>KZM81192 pep supercontig:ASM162521v1:DCARv2_B1:49397:50242:-1 gene:DCAR_031213 transcript:KZM81192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPRREKKAGDNLEEEVSDHDSVDLDFDNHAPNWLLGRSGRTRKTVLDKMQKREKNRSAELTKLREELSREMEDKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELDGNEAAGAT >KZM81196 pep supercontig:ASM162521v1:DCARv2_B1:172049:172640:-1 gene:DCAR_031217 transcript:KZM81196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGSSRALKKAGDNLEEEVSDHDSVELDFDNHAPNWLLGRSGRTRKTVLDKMQKREKNICAELTKLREELSREMEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELGGNEDEGAI >KZM81193 pep supercontig:ASM162521v1:DCARv2_B1:62518:63235:-1 gene:DCAR_031214 transcript:KZM81193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLYVSQSGLRNNRKEFIWHHTEVQCPQQVGGTECGFLVMRYMYDISCFLRKILTRTGKWFLVLGVMAKRKSMRFERFGLSFLLLNVSSRMAINAKTGVQKKELQESGVS >KZM81195 pep supercontig:ASM162521v1:DCARv2_B1:168377:169315:-1 gene:DCAR_031216 transcript:KZM81195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHLMLRALASPIGPTDWNEALDIIAYGSHEQDNAHWEVVDALPSYGRGIELPGGRYRSLITGNNLVDVVITGDNGTIDSQGSVGGSTSVLIV >KZM81201 pep supercontig:ASM162521v1:DCARv2_B1:477120:477431:-1 gene:DCAR_031222 transcript:KZM81201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCYEKASDEDSGWIVAGWAKTSMEIALSEKPMLAGRLRTAEDGGLEIVLNDSGIKSLLMIKPIHTQMSFAPTLCTIYMQRTQNVRTRQRATILDHLTESQT >KZM81197 pep supercontig:ASM162521v1:DCARv2_B1:194340:197329:-1 gene:DCAR_031218 transcript:KZM81197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSFLVLGDLHRQFRSRPRKLAKDANGDYSPEPPSLYAHFSTIASYWKEFVEKSMKEDFLEKSIKNKLQAEKMETRYRKACLGYARIREKIIQEKTEKGEKDPIVTRLDAWEYARRNVNDIVDDPVAVQLLKDVRKGFSPGMQSQGSSHMDNFDMDNNIQAENEVNHNVVAAKELPQTPLTITNNKKVISENDKCDMNPKKKLEDKHKEKTVKSNAGVSSRPLGYPLRGDVCHDGVLDIVRLAIKFEPCTDIEVYMGPHWDGDPWIEHINKENVLEVLNGQWLSSSSICFYIMY >KZM81194 pep supercontig:ASM162521v1:DCARv2_B1:100676:101267:-1 gene:DCAR_031215 transcript:KZM81194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGSSRALKKAGDNLEEEVSDHDSVELDFDNHAPNWLLGRSGRTRKTVLDKMQKREKNRSAELTKLREELSREMEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELGGNEDEGAI >KZM81199 pep supercontig:ASM162521v1:DCARv2_B1:274683:275395:-1 gene:DCAR_031220 transcript:KZM81199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVRRLRAIVGDALLRSAILNLQNEALSILMSFVRKKDEQLPWWGMLLAFFLAWIVTLPAGVIQAITNQEFFAVEKDEQHKLPTVYQKFSYGNYTGAGMQYPMA >KZM81198 pep supercontig:ASM162521v1:DCARv2_B1:212043:212267:-1 gene:DCAR_031219 transcript:KZM81198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKREKNRSAELTKLREELSREMEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELDGNEAAGAT >KZM81200 pep supercontig:ASM162521v1:DCARv2_B1:387604:395528:-1 gene:DCAR_031221 transcript:KZM81200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSESHGTSGTDGSKDPGDTEKRRGKRGIVNMLKETFHGVEDEHKDKIIRRAGDLHRQFRTRLRSMAKDKNGNYHAVPPPLYAQFSSVTPYWKQFVENSSKEEFMMRGYARRDADGEVSDPATLQVLEDVVAISQCLPEHELTNIGTDDLLARAIPLEYAGRVRGLGWGVTKTSLKTTSTASELSKLKNDVSYLMNEINEMKRKGCNPVAQPGGSSHMDNFDMDNEVAGQHDDGDLVLGEYLPQRKNVCYLYVDPGGKYVGRGILHNDPNDRILHGIPLEEGYVRIQFEVAEKSEYNTQLPRPCDEANLVGEAPGYFLAWPRKLISMKPQKTPGIMNKEKAKHAMGQKKLEDKENRKHAVKENEKTSESIGYVSSRQLGYPLIDDIGHDGFLEFVRLAIRFDHVTDIQVDMGPYWNGDPWIEHRNKDNVMEVLDAQFLSTSTLTFYIRYLCEVFLSKNPDMTAKFSFVSPHIVSHSIDNSNSSLAKCLLQHVDKDHLLFVPYNVSKHWILVAINPITESIYFMDPAPVTNQIHFKNVKALVETAMSMFRSHSGKRYSAMMFNSFRWSKVQCPKQTMKDSTYSGHFVGSFIEDLLCAGATKIDANSEERKTPSDTHAREESETTLSIRELVTLEKKADEQPSSSYRDELNKEIHELSVQMRSNHELYMAKFDAIDSTLAQVLTHLQDPKSNDQDSKEDPSTKGENRDKGDRDDRGNSSNQSNKGNTFGSAPDKESERSKGKEPLYQSDNVFNSDSYDDYPNDMDDDDIFDAIYRQVEEEGKFDEIYLFPDEEPVDLEHEENVRKFKAENEARKRKLRDYQKLLEDKLITEEQIKIQKQKIYDAACKQKNLD >KZM80956 pep supercontig:ASM162521v1:DCARv2_B10:390852:392874:-1 gene:DCAR_031442 transcript:KZM80956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILNQRLPQENEATSTKEKSSKSFITSIRYEHVPLIVSMIWMLQILITTAEPQVPCYFIFGDSLADNGNNNALATQAKVNYPPYGVDFPGGIATGRFTNGENAADILGKLLGFLNYTLPHATATGPEIVKGLNYASGGAGILKRTGRNLGEHINLDLQLKHHGMIVSKIMSLQGNNATFTKDYLSKCIYTVGMGSNDYINNYFMPDKYNTSKRYTPDQYADLLIHKYSRQLESLYIHGARKVVVFGLGLIGCTPAKVSDFGANASGCVDKINAAVTLFNERLKPIIDELNNKFGSAKYIYINTTNISLGDPSSIGFTVFWAPCCKPSVDFGKGQCKPNQPPCNDRSKYIFWDGFHPTEKVHMGTASRAYIAASPLDSYPMDIRSLALLKL >KZM80963 pep supercontig:ASM162521v1:DCARv2_B10:846171:846662:-1 gene:DCAR_031449 transcript:KZM80963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFLEDNPSVSMQTMEQIINYHTLRKREFDERYGRNWLMHDDSDYPEEEEDNQIGESPDDVINLTAGDENKQPQQTSQGNPSTTQQHQYKPPSYQEQRKEVISLHRVLNKDRSMKPDHTSRVLDNPIRYKDFYLEIHINSLKKLKTIIVKGLYIRVVGSLNN >KZM80974 pep supercontig:ASM162521v1:DCARv2_B10:1200583:1202165:-1 gene:DCAR_031460 transcript:KZM80974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSGVIVEKFMKFLTADDTKKDEMEPLYSFKKALPMLPGYQSFIFSNGKNIVGGYNHGREKFHGLRKFCAIVGLENFREFNLVLFSYEENGVSTVSVFDDHFVEFIFPGTPVSMGLNSENINVHHCIEINVQACHMYKYSYGVDVSTQYAAVTNVWSKKDYISVYSGDRAWKLQVRTRPGNLKRTTIMDGWIQFRDDMGLQAGDVIVLECTLASFHHFSIGVLKNEVA >KZM80952 pep supercontig:ASM162521v1:DCARv2_B10:237554:239228:-1 gene:DCAR_031438 transcript:KZM80952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAHSCSVPMIMLIIFFKLQIIPVIRAKPPVPCYFIFGDSLVDNGNNNNLQTQAKVNYPPYGVDFPGGNATGRFSNGENSADILGKLLGFDNYIPPYATARGEEILQGVNYASGGAGIRSESGRNLGARISLDQQLRNHRFTVSRLGVLQRNTSYTKEYLGKCIYTVGMGSNDYINNYFMPSNFSTSSLYNPDEYADVLIQQYTKQLESLYDAGARKVAVFGLGLIGCTPFQIYTFGKNASGCVDKVNDAVALFNKRMTPLVDGLNNNFTDAKFIYINTTHISHLDPASSGFTVFSTPCCISSVYSDKGQCEPNQHPCTNPQNYVFWDHHHPTEKANNVTAWRAYKATTPLDSYPMDIRRLALR >KZM80959 pep supercontig:ASM162521v1:DCARv2_B10:715391:715705:-1 gene:DCAR_031445 transcript:KZM80959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAVATTPCGCAALPFWLPRPRPPPPSRDLPSPSPGFPQLPFVHLCFPHLHLCTASSLQPRRIATSRRRLTSPTPIAAKQTIPQTPPPPTIVSKSRLKPNN >KZM80961 pep supercontig:ASM162521v1:DCARv2_B10:802237:802683:-1 gene:DCAR_031447 transcript:KZM80961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQIAIAVTSATQELQRSLVDHLTLSIDQTSQRLEARIARSREQNEGMLNSIKDEQIRFQEEMKSSLSSLRGMDQTYRFGVSGSGSPQKTHGSQLLGDVGDESRPEGSVVGSGRMGGHPGAFGAGGDDGYSSQEQRRQPFFSLQLLA >KZM80950 pep supercontig:ASM162521v1:DCARv2_B10:217147:225146:-1 gene:DCAR_031436 transcript:KZM80950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFPAEFLGLPNHIPAYAASRGTERVLQGVNYASGGAGIRTEIGKIVGERVDLFTRLEHHSISVDSSCTLAIGINTTDGSDVDGLCKPEQTEPIAYSSCKPAAITKTSHRTYIEVPGYYIFGDSLADSGNNNNLMTLAKANFHPYGIDYPGGPTGRFTNGRTYVDFIAEFLGFDHHMPPFSTANGDQILQGVNYASAGAGIRPETGKICGERFHIMAQLDHHRTTVSRIKQLKGNNDQATQEHLSKCIYTVGIGSNDYMNNYLVVPANPKDVVFTPDKWAEDLIGRYNTFLRGLYDLGARKIVLWGLGPIGCEPSQVKALRMAGKPGCVDMVNHIVSLYNNRFAPLVDELNKLPDAKFIFVNNTHISPGNNPASIGVKVGDRPCCTTVAVPGTCIRGMPPCPNRDEYSFFDSYHPTEKAVLPGAKRAFQAQEPQDVYPMDISRLAQL >KZM80971 pep supercontig:ASM162521v1:DCARv2_B10:1161204:1161863:-1 gene:DCAR_031457 transcript:KZM80971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNAALPPFNSFPQTEQLNNNTCIYAHPTKTTKQQTPPVSHNSRLPRITHQPNIPATISQSLLQPSTITIPFQHLDTTPIILLQPRHHYRTQPQSFCFPFPWLHTHADPIELPLQHHLLVQIRTKSTLKQPLSLAVSFQPPQIKPTNLYIYTYTNPAPSQSPLSTPPLRRYIDSGQPNQYPKQTAQPPSCYLRIPTITPPITSSCRPLFPSPWRRRAAL >KZM80975 pep supercontig:ASM162521v1:DCARv2_B10:1227097:1230581:1 gene:DCAR_031461 transcript:KZM80975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLVIVLVIAFPLAFLYLSLKHIKKDDLPSPPGPPGLPLIGNMHQLYKASSNHEHFWQLSKKYGSLVTLHLGSVPALVVSSSKMAKQVLKTQDAIYSSKPAMTGQQKMSYNGLEMAFSPYSEHWRDVRKFCTLELFTQKRAQMSVRPVREEEVYRMIDGLAEAASASKEVDVHRCFSDFASSIITRVAFGKRYDQGGKFHRVLSEIEALYSNFFVSDYFPMFGWIDKLTGMMARLDRTFVELDMFYQELIDEHLKPDRLASTTDDVIDVLLRNKSSASFALTMNHVKAILMDIIVAGTGTTATVLTWVMTALMRNPGVMKKVQEEVRRVIGKKGKIDEDDLQNLPYLRAVVKETMRLYPPGPLLLPRETMGSSVIGEDKDHMYKIKPKTLVFVSMWAIGRDPEDWKEPLEFIPERFLERPDIDYKGQHFEYIPFGAGRRQCPGLNLGAMNVELALANVLYTFDWELPDGLRSQDIEKGLRNA >KZM80943 pep supercontig:ASM162521v1:DCARv2_B10:17275:18329:1 gene:DCAR_031429 transcript:KZM80943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAMIGTGTGEEQIPPGVPMSMEQHMLDKGAQMMQSLKPIKEMSQHVCTFALYSDDMSRQIETHHYVTRLNEDFLQCAVYDSDDSHGRLIGVEYIVSDKIFENLPPDEQKLWHTHAYEIKSGLWMNPRVPELLMKPELENLTKTYGKFWCTWQTDRGDRLPMGAPALMMSPQAVNLGMVKAELLKKRDDKYNVSSDALKTSRVELPEPEWLNPQADYWKQHGKGFKIDVETTDIKRREGVAFP >KZM80958 pep supercontig:ASM162521v1:DCARv2_B10:665815:667433:-1 gene:DCAR_031444 transcript:KZM80958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAELMKWFFLCMITVLTFSSWITRAQPQVPCYFIFGDSLVDNGNNNRIASLARANYMPYGIDFPEGPSGRFCNGKTTVDVIAELLGFEDFITPYAEARGQDILRGVNYASAAAGIREETGQQLGGRISFSGQVNNYRNTVSQMVNLLGDENSAGDYLSKCIYSIGLGSNDYLNNYFMPTIYSTSRRYNVQQYADVLLQQYKEQLVTLYNYGARKFALIGVGQVGCSPSELAQNSPDGSSCVERINEANEIFNSGLRSLVDELNLNQRDSRFTYINAYGIFQDLISRPAFYGFSNTNTGCCGVGRNNGQITCLPLETPCRNRNEYVFWDAFHPTEAANIVIGRRSYAAESASDSYPYDIRRLAQL >KZM80960 pep supercontig:ASM162521v1:DCARv2_B10:798644:799287:1 gene:DCAR_031446 transcript:KZM80960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVARVTNLGSSLEVPSVYELAKVKMAAVPSRYVRPDQEPALSRSNPGLEVPVIDMELLLHGDKMETELNKLDRACKEWGFFQLINHGVSDSLLDRVKTEVEEFFKLPLEEKRKFGQLDGDIEGYGQVFVVSEEQKLDWADMFFMITLPPELRKPHLLPQLPLSFR >KZM80947 pep supercontig:ASM162521v1:DCARv2_B10:187585:189066:-1 gene:DCAR_031433 transcript:KZM80947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKDKVTFTRKRNYTIRDFESFTSKSTAGRYSVSGCLPPDFVEREFWQEMGSSNKRTVEYGINVEGTAFSNNSSDQLGSSKWNLKILPHLLRSTLRLLKHDIPGVSEPMLYIGMLFSTFTWHVENRYLYSINYLHCGAPKTWYGVPGHTTVDFEKVVQQYVYKQEILSTNGEDGAFNLLVEKTTMFSPKILQEHNVPVCKVVQMSGEFVITFLKAYHAGFSHGSVLNICGSPASCFNCAEAVNVSTGDWFSFGAAASQRYALLRRMPVVHFEELLCKEAMLLSKHSSDQDIL >KZM80962 pep supercontig:ASM162521v1:DCARv2_B10:841121:841528:1 gene:DCAR_031448 transcript:KZM80962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSTSQEVEALQKVEISNADKIREFIKGVYDAVIETRDSSTVNNPGPWILCTGVTHLQRIGPELVLLAISIVVAGIIETHHKSVVFKCDMADYCSLEFLHNFRFW >KZM80946 pep supercontig:ASM162521v1:DCARv2_B10:149011:154734:-1 gene:DCAR_031432 transcript:KZM80946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRLILQQARGQSPGLLPLLGSLRFHVLFHSPMGVLFTLPSRYYFAIGHPGVFSLASSRVGDERTRTADIRRRTDKNRNGELYRCIADTKGSFVQPALYEACGLPVIEAMNCGLPTFATNQGGPAEIIVDGVSGYHIDPNNGMN >KZM80949 pep supercontig:ASM162521v1:DCARv2_B10:212392:214108:-1 gene:DCAR_031435 transcript:KZM80949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAKSWIIGRIITLLFYLKLVTFIDAAPQVPCYFIFGDSLSDCGNNNKLQSLAKVNSPPYGVDYPGGVTGRFTNGRTFVDFLAEFLGFDHHMPGFATVEGNAVLQGVNYASSGSGILNETGAIVGERFSLLQQIDNHKSIITRIKQIQGNNDQTTNQYLNKCMYTVNIGSNDYEGNYLMVPAANRTTPPDKWAAQLITAYSDTLKTLHGLGARKVAIWGLGQLGCEPSQVLEAKPKTPSGCVDDINKMISLFDNSLPKLVDDLSKTLPEAHFVYVNSSNISPGNDAAALGVKVTLEPCCKVGKTGGCIPNSAPCANRNDYSYFDLYHPSERSNLHGSLKAYNSTEPLDVYPMNLQKLALL >KZM80944 pep supercontig:ASM162521v1:DCARv2_B10:20026:20343:-1 gene:DCAR_031430 transcript:KZM80944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAMETVKRLGFEDPVVIFSKSSCCISYTIKTLISSFGANPTIYELDEVANGEELEKGLSELGRKPLVPTVFIGKQLIGGSNEVMSLNVKGKLKPMLIEAKAIWV >KZM80945 pep supercontig:ASM162521v1:DCARv2_B10:56212:61808:1 gene:DCAR_031431 transcript:KZM80945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLLRSVNQSPSDWKIGARVTRMRDYHTEAFMEHEAWTDLRNIMFEGNIYDFDNVVCRASSGKLRLVSSSICIVLTCSTIVQTVPEEVATIPRHKFEITNLWDIYDLTISYPLNVLPNHALDVVGVALDVEDVREAGTRSRSRFYVRFTLYDGRNFAKVLVFDENVQQMEPYFENDFTVEPIVILSSMRSMFVQGTITIHPASTFTSLQEKTIKATSMPRPHPCPPALNVCLPHFHNQRTNPPPPSPPPPPPPAAPPPPSSSPPSSSPIYSDFKDLILSIYQQLQNRQHVKSAEIVAPLTFPCPPPSSPPPPPPPPSPPESTSKDELAPH >KZM80965 pep supercontig:ASM162521v1:DCARv2_B10:904071:904244:1 gene:DCAR_031451 transcript:KZM80965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFLTKSAAVRDEDVKGVEKSGGVFSGDASGGGDGGGVMSGGVICIRLRRIRCL >KZM80953 pep supercontig:ASM162521v1:DCARv2_B10:241413:242478:1 gene:DCAR_031439 transcript:KZM80953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKASSALRKRDLEHASDPSAVSKLHSSKCFKSIVAYVVAVLEEAKAEEDTTLAIVVAVESVKPNSSRGEVLPEAQDAGVSRKTPEEAQIADTLEKAQEGALATDTVSSDLPTMDDAQRLPPLGEINNLSGSISDFPLNSSK >KZM80966 pep supercontig:ASM162521v1:DCARv2_B10:917562:919188:-1 gene:DCAR_031452 transcript:KZM80966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPMLTKKIVKKRVKKFKRPWSDRLVTVKPNWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVVHNTKDLELLMMHNRKYCAEIAHNISTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >KZM80977 pep supercontig:ASM162521v1:DCARv2_B10:1323995:1326255:1 gene:DCAR_031463 transcript:KZM80977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLVIVLVIAFPLAFLYLSLKHIKKDDLPSPPGPPGLPLIGNMHQLYKSPSHHEDFYQLSKEYGSLVTLHLGSVPALVVSSSKMAKQVLKTQDVIYSSRPAMTGQQKMSYNGLEMAFSPYSEHWRDVRKFCTLELFTQKRAQMSVRPVREQEVYRMIDGLAEAASASKEVDVHRCFSDFGSSVITRVAFGKRYDEGGKFHRLLSDVEALYANFFVSDYFPMFGWIDRLTGMMARLDRTFVELDMFYQELIDEHQKPDRPASATEDVIDVLLKNKSSASFALTMNHVKAILMDIIVAGTGTTATVLTWAMTALMRNPRAMKKVQEELRTVMGKKGKIDEDDLQNLPYLRAVVKETMRLYPPGPLLLPRETMESSVIGEDEAHMYKIKPKTLVFVSMWAIGRDPEDWKDPLEFKPERFLERPDIDYKGQHFEYVPFGAGRRQCPGLNLGARNVELALANVLYAFDWELPDGMRSQDIEKGLRNA >KZM80968 pep supercontig:ASM162521v1:DCARv2_B10:1039887:1040706:-1 gene:DCAR_031454 transcript:KZM80968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMNIYVQHHGELNYDTINSYNGEEENFDERDAYSEGDDEKNDSYQNSEFKESDFDSQDIWSECDSSEDENMKTGYVGPLEDITNEAETGKFYRAYYSDKLGRSIIVMKPGYEVLLNLADSRLQEQRMVKE >KZM80957 pep supercontig:ASM162521v1:DCARv2_B10:504825:507792:-1 gene:DCAR_031443 transcript:KZM80957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDAMVKIFSTKMSGWNGIPSYIRKLTHSMVYGYKVNVGKMVMAQLHSAITKNVYIYPRFVTMFLNDISSTDENASNTMDWFILKKNTRTSLINSELHNGMRLQYTKHMSDHVSNLGSSFTDDLVIFSLEDEARVDPTQANPHSSKYIPFKSSRQPKSLQKAQTVSEKRTIEADEEDSENVEGETAQVAANKDGVVKEPTVQQSYLLSTSYLLSGLHSLSPVHTVVFTQTNNIVSTNLVVTPLQHPHPDVIIEDKSKHVPISTSIKGKSRPSSFLHINTAHTHAARALSLESSTMRSHEVTLKHIESERTPTASRPQNLPSNLKRLRTGVPLIQASSRLSSLEEKVFVMSDKFDSLFKSVAEGFSKIRAALESFTTLLHAANLRKGEKYNISDKGNEDQTSGEPQGRASEKELGGASTGASGKRELGESAPREQMKQPRATVSRTVLPPVTIREPAFGSSALNKRFRQREEEIAKGKGKQKLVEYADIFNAYGDGEEFDLVEATVIMVNDNESKQQFEKLIHDAKAGIGNRLMNWSDKIREQVADFCVIVNEKHRWFVYVYLKNQYTLYFTMECLQLQATIVLYSLLSTMMKKEIPVNQILNITHSNVINEKALEIYMNPFTIYYRMKCENSDKFGVVNLNETRMGLPGNKYVLKKILAFAINAERNSAVQKLHPVIVQRYRSQDRLVKDG >KZM80954 pep supercontig:ASM162521v1:DCARv2_B10:280914:281240:1 gene:DCAR_031440 transcript:KZM80954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASPQNKAETLEGLEKNNEVVINEYIDSDPFFKFMDDHDDRVRPSVFTSSWDKALEAVVAHHPGMFEPSQIPSPYLLAQLLATTSSSQVPLVVDVEEEEGAEEAVGD >KZM80969 pep supercontig:ASM162521v1:DCARv2_B10:1046962:1050261:1 gene:DCAR_031455 transcript:KZM80969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKGGFGTSVCSYSPLSSLNLQWINTITSTVLMLLSGTSIQKSFLVPIFALQAPASIISWIKGEYGVWTAFLLLLVRLFLHIPGELELPFSALLIVLVAPYQILNLRGKLEGVILSLTIAGYLAFQHFSRGGSLGKAFDQVALVEAHCEMGVGYWNSCRVCGRRFRSREKLGGHFREVHVLDERRRLRKVEFAKEGSRNRLVGKFLMEIREIRNAVRGVLIVGNCLKATNRTEASYSI >KZM80973 pep supercontig:ASM162521v1:DCARv2_B10:1198207:1200260:1 gene:DCAR_031459 transcript:KZM80973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNYEYLNNVHSGRTDWKVKVRIIREWRGVTITGQPYKGYNLLLLDAKNVRMEAFVPLFLMEKLQNVFILGKMYAISNFQVKNYTETDKWRCVTTDRQIQFTNQTRAKELHDNDYFIAKNCFEFCDLGDIKSFANQTKYLADVVGVVTRREDLKLVHTKQGVDKYQIRMTIADGRNYLNITLWNNLAECFQSDISSSKYEEPLIVIIAAGKVGIFEDEYDMCNFSPTAYYMNYNHHIVAQLRKMSTQPEFKIEHRSIVQTKKEPELKTIQQIKTLGEDYIEEEVICQVQITAVQESNPWYYSQCTTCYKQIDQVESTYRCSKCNNRIVPYPDKKFAIIIVAKDETGEINILLMDRPIQKLSGKTVLEMEEEEKGKFPATFKTMEKGIYTIKLEIREFNIKEKEEIYIGNDIYQGSYMVPKMKEKKFTVQQATEISQAQSSGTSIHLDNISQL >KZM80972 pep supercontig:ASM162521v1:DCARv2_B10:1178538:1197833:1 gene:DCAR_031458 transcript:KZM80972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEEIDNSILSDVELPFLNMEEPPSSGPQEPITQSVMDTTTPNTEFDQGTFQLEIERATTSVNLLSATFPMKVIVTASIGVNDSAVSTKPTITPLQRPHPEVVLDTAHSHAARVSEGVKKNIEIKEQRFRDQNEGHPNVLAKGEGLDHVQSEHKGANILQELGLESLTVRSDEITLKHIESVRTPTASRPQSLPPIPKRLRTSVLIFNHPPDYPLWKRKWVVMSNKLDRLSQSVANSFSKIHVALESSPQFFMLPTYLRGRKMIDQKMIDQIRVRDAYLEGPRMGFDNRFLDKFKNPGSTLFNVYEVTDLHLKKRKAPAELISSINKTPVLFDVFNYGTSMMKPYGCSEVANRPSLSSTCVRNTRLKTLISESFAEKTPKSTLCSVFSSPPGSSTIVEKDCTKKKSFESIPQALNFDMGKDTTHNDHFLEDVASTTKSSSFPYKNHRIHAFIAASLTDKFKKDLEEGALYEISNFSVKFYKGDETYRAVRSGKHIYFNTDTLCSKVVDTCLKIQPLSFDLYCLDDVYALKKDNRFLIGEFLDSYIDVVGVVDAKPTKIEYTKDGINGSIVKFTVTDGKSYLNVTFFNAFGDTFLEAFEQKKEEPVIIIIASAKISEWNDEVSLANYPATRFYLNNNHHCVKRIRTSLAESTFYQIDFIEEAEDEVPKFNVKELLSLKDEYIKKRVTAKLHVKKIDKSMGWYSNYRIPCDQDLQLVEKRFKCMKCGKFKPYPDRRYEFCILCADHTGTVPILFTDDELTRFIGKTVYDILADETQVGDGDKFPPILLQFENRTYNFTLHVTKENVVGGSNVYTAVKVSADEEISANDDPPQTNSVPIKQTEISNPRTTLNNTSPATGESTNKSRARKKIDVVPCDLPEKSPEPKLKNVKTKIA >KZM80955 pep supercontig:ASM162521v1:DCARv2_B10:367253:368013:-1 gene:DCAR_031441 transcript:KZM80955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSGASRGTFKLSLLGFHRGFQEDLLFGGRRSSSYDVGDDSDRDESESGSGNISIDKESDSDSSCEEVPISKLVHSRVKTVGLKRKAEEGPVGKGKEIAGGVEPRGPKKLKTLKIPTFSCLGRLQDGWIV >KZM80964 pep supercontig:ASM162521v1:DCARv2_B10:891869:893280:1 gene:DCAR_031450 transcript:KZM80964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSKVTKLGSSLHVPSVLELAKEKIDAAPSRYIRSDQVPVLSSANPAIVVPVIDLQLLFDGDLLETELNKLHQACKEWGFFQAATEEKKKFGQLEGDVEGYGQAFVVSEEQKLDWADMFYMVTLPTHLRKPHLLPQLPLSFRNAIEAYSMELKSLAMKTFKLMAKALKMNPEEMEMLFEEGMQSMRMNYYPPYPEPDQVIGLTPHSDGGALTILLQLNEAEGLQVKKDGIWVPVKPLPSAFVINIGDVLEIVTNGIYPSIEHRGVVNSVKERMSLATFLSPNLHGEFGPAPSLLSSETPPKYKRIGTADFLKGLFAQKLDGKFYLQTLRI >KZM80967 pep supercontig:ASM162521v1:DCARv2_B10:1033615:1037343:1 gene:DCAR_031453 transcript:KZM80967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTPLNTEFDQGRIQFENETATTLVTLLSATLPIKVFVTTSVAVSDSISTAAPLAVDYIPAVRVPLAVKITPAVIWITVVYTQIDSIVSSKPAVTQMQSPYSDVLLEDDSDYDNVLISSFIQDTSKPSTFMHISTAHTNVSRVSEGEKKKREITELRVSVQNERQPNVLAKGEGLEHVQSEHEGATNLKALGLENSTVRTSLRLSSLEEKVAVMNENLNSLSQSFADGFSKIPVALDSFSELLHVANLPKGEKSNRSDKRELDDEPDKGDQPYGDSFQPPDQPSKESQGNTSQKEIGGASETGKREHEASAQGEQGEHEASTQREYGEATHGELNTVEIEINGERVLANISLAEDMNRSEAVRRNASVSRTILPPLRTWEPTSGSSALERSFRQREEEIAKGKGKGKLVEYADEPHTYTDDEQFEKVIHDAKAGSENRLMNWSAENSDKFGTVNLDKTNRSQDRHVKKRINLANAVRKEKGGSLIYLAFDEDIQEAVVVGQEAGERGRVNESETEATINTSVTEATVNEPEVTVRKTVVSRTEDAVMETTVNEAAVITGETENCEADPMEATLAGTESTVMPCAFNEAILKEIEDIVISTARYAQMRRREAFKYFLEKRAARWTSRMWSGTKHPANDHFKRLHNKTEVTPIQKAQENIFDVMKRLLLARVFDIGVNYDEKGNVISYMLFHPIFQGHVSQTVFDTEFKNYREADTRSIYFFELERMIEFLMDDPSVSMKTMEPILNYHTLRKREFDERYGNWIMHDDSDYLFPEEEEEDQIDELPNDVIDLTADDEVKQPQQTSQGNPSTTQQHQYTPPSYQEQREEVINLYRVQNRDHSMRPYYISRILDKPIRYKNSDQEIHINSLKKLKTIIVKEMYIRVVGSLNNLEAQFAEECEVVLESRENEDPKLDEKRRETLYSVPGRKFRINFNWNTYNIKRKVKMSKEKHQDKSCINIPEKVSTLALCPAANTTSKFLNSGNTHQTIRVF >KZM80942 pep supercontig:ASM162521v1:DCARv2_B10:11956:14104:1 gene:DCAR_031428 transcript:KZM80942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILHSAEGPIHAVKWRTNLIAWANDTGVKVYDSANNQRITFIERPQGSPFPEILFPHLVWQDDSLLVIGWGTSVKIALIRANQNKTTSGPYRHIMSNMNKVDIVASFQTGYYVSGVAPAGDNLVVLAYIPGEEDREKEFSNSVPLRQVVLIYRVPTMDDLNQDKLAGGRVDFLGLLKERESDIA >KZM80948 pep supercontig:ASM162521v1:DCARv2_B10:208985:211595:1 gene:DCAR_031434 transcript:KZM80948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMIACVVVTAWQKQPISSSRKLRNVKGTIERYKKANSDAPNTASVSEANTQYYQQEATRLRRDITKIQQDNSHMRGEALSSLAMKDLKGLETKLEKGLNKIRSKKNELLFSEVEYMQKREIDLHNHNQYLRAKIAENERAQQQMNLMPGSSSYDLVQPHESFDARNFIKLNGLEPTNQYSCHDPTALQLV >KZM80976 pep supercontig:ASM162521v1:DCARv2_B10:1246288:1246740:-1 gene:DCAR_031462 transcript:KZM80976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVRDRLYTLNRMVGPLEELIDWDMGPGVDHPNFIDVRVPEDAPDLVNFNAVVGIAENGAPEAVPAEQMMANGGVPTANPGTTNGGVQIQENVPPFVFDEPINDHEKMFQPGAATGFLFAGDPPLEEID >KZM80941 pep supercontig:ASM162521v1:DCARv2_B10:4030:5359:1 gene:DCAR_031427 transcript:KZM80941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKERVQHAKEHKKIDDKENNKLAHKVKEKTRESVVGVSSRKLGYPLPGDICDDAVLDFVRLAVKFNRVTDVVVHMGNYWERDAWNDYINKENVLEVLDYQWLSATSLTFYIRYLCEVYLSNNPDLAAKFSFISPHIVSHMVDSSNTSLANCLLKYVDKDHLLFVPWNVSKHWILVAINAKTEYIYFMDPAPMTNNAYYKNVKAFIETAMIKFRTNGGKKYTMTSFNSFKWMNVQCPKQCDGISCGYYVGCFIEDILGTGETKINVNFTYTPRLKTYPSDKMLSFKRNWTGFLYNRYLKDELLN >KZM80970 pep supercontig:ASM162521v1:DCARv2_B10:1052671:1065164:-1 gene:DCAR_031456 transcript:KZM80970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFISSTSLSLSPTKPPPISAVKASFPIMGFPPTTHLLAGALSLGLVLSPPCISLESSAMSSPAAQLVESSAEACGDKESVKRDVVNAPELVSNDDVVEQAWEIVNESFLDPSGNRWSPRSWLQKKEDLAGTSIKTGTKAHDIIRRMLASLGDPYTRFLPPPDFSKMARYDMTGIGINLREVPDDNGGVKLKVLGLILDGPAHNAGVQQGDELLSVNGVDVRGKSAFEASSLIQGPSETVVNIMVVKHGNCGPVKSLEVERQLVARTPVFYRLEQVEGAATSVGYVRLKEFNALARKDIVTAIQRLQDMGASYLILDLRDNRGGLVQAGIEIAKLFMNQGETVIYTAGRETQYQNNIIADSEPLFTSPVIVCQHILVFAMLIIVIKYGSTHDLCEQVLVNKNTASASEIVASALHDNCRAVLVGEKTFGKGLIQSVFELQDGSGVVVTIGKYITPNHLDINGNGIEPDFKKFPGSSIVENSPSEAVWQNGQWISVNQSFEDSSEYTAQLDTIPIINPTTPTTTPAINPTPTTTTPTFNPMPPTVTTAPTTPTTTPTTANPASSGGSWCIANPSASETALQVALDYACGYGGADCSALQPGATCYNPNTLRDHASYAFNDYYQKNPVPTSCVFGGSAQLTYTDPSSGSCRFSTPKTTSSMSPPVIPSPIYPTPTSPSAPTGYDSMPSPPTFDNTDPTGFGGEPTESPNSADFTSLNTLLLFMMTYLVMSVIAVEF >KZM80951 pep supercontig:ASM162521v1:DCARv2_B10:229964:230391:1 gene:DCAR_031437 transcript:KZM80951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSYIQTPGFCSCGMDPVLRTSWTDANPGRRFWGCSQYVRNRSRGCNFHMWHDPAVGDRARNIIPGLLRRIQRLEDEIKRRRDKEKLLLISLSIAVIIVCVVLVLFVFTVI >KZM80925 pep supercontig:ASM162521v1:DCARv2_B11:48286:48558:-1 gene:DCAR_031464 transcript:KZM80925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGRQCLSLRELCQDTLCTIRFAEFNSGPEAYPMQHLFLMENIETKDFKLLGSGSGLGMVRPSMMA >KZM80935 pep supercontig:ASM162521v1:DCARv2_B11:466485:475650:-1 gene:DCAR_031474 transcript:KZM80935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIVRKVSMPLSKSSRRKAFICRIVEDVNKKLLLKFPSEFAVYFSSLGLNNIGLHVPLKKTWLGRFVKCVGGTEFTVQIFNEYAVEVDYTNTAEIGQHKLHPSRIRASVMEPLSAMSELKKDKLQSIFCYNACSAFDGELEIVIDAKHLEDNELIETLSLKFCNMHGNILQGKWILTISNGYRMHVVYDRENHRLLGITKLFFDFGIVGGEVLTFEFVDGSNFNVHIFGEDGNEMHYNSNGHETEDSSPQTGTLFLTLRYPCEFEVRVMPSYMLKNCPGVTISVDFMRVTNQWKNNDKISIYKGHFSWEFEIRKCRTANRTTINNGWKNFRKDMNLDVGDFWSIQIINKRIIELWSMLMGLRCCLYAGKHKVILETEFGDAVREWEGWRNFIDPTHAELIRSLVHRTDDERLKLEICVVKQSRNQLARYLAEDGAVNRTMPKLPVQFCSKYSEVLYESMELKLRNGYIMRVQLDLVKGEVKGFLWFFKDMELSGGELLLFEYFGRFSFNVYIIGDNGAEISYPDRVHCLQLCLPSVVSVGDGGWRFFSTRVSGESILDEIDAPAEFIERCGLFLPERITYVLSNGKKFDGSYNSQNSRFSGLSKMSNIVGVDTMYVVRNLLLTYDVFKMISISAFDLERNEAVFPGTPLCMDASGSYPLLNNYFQITVEKKHMSDDCFAVEISNEYNDLSIEWDNFQF >KZM80940 pep supercontig:ASM162521v1:DCARv2_B11:613278:617972:1 gene:DCAR_031479 transcript:KZM80940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKENYKSELRSAILHLNERGLYSASKWAAEQLMGIVMDGEKNIPVRYKYLKVNSSTRRRSRTDESPSTLGAGSNISTPVRDEYNDGMDNDYYLLAKSYFDCREYRRAAHVLRDQTGKRAIFLRCYSLYLFASISTFRERVRAFSSCSMHFFHRVRVETKDFERLIRRSEVEECELHFLVEMFLLSRASYDEKAGEKRKEEENIELEGPLGKSDALNQELVLLESELSVLQKNGTIDSFGLYLYGLVLKEKGSANLARIVLVDSVNSYPWNWNAWSVLQSLCTTADILNSMNLNNHWMKEFFLASAYHELRLHNESLAKYEHLEGTFGYSNYIQAEIAKVRYSLREFDQVEDIFEELLSNDPYRVEDMDVYSNVLYARECFSALSYLAHKVFMTDKYRPESCCIIGNYYSLKGQHEKSVMYFRRALKLNNKYLSAWTLMGHEYVEMKNTAAAVDAYRRAVDISPCDYRAWYGLGQAYEMMGMPLYALHYFKKSVFLQPNDSRLWIAMAQCYESDQLHMIEEAIKCYRRATNCNDSEAMALHHLAKLHAELGRSEEAAYYNKKYLEMMEDEEREGPDMVKALMFLAQHCKEQKRLEEAAVYCTRLLDYTGPEREQAKNLLRGIRLEQSSSPAMDIDQFPLE >KZM80933 pep supercontig:ASM162521v1:DCARv2_B11:427874:429406:1 gene:DCAR_031472 transcript:KZM80933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFIEWEEGAVSSVGGVSNKTVVVVVSNSWLGKSSRKPLGDVTNENVRPSIGKTVNKNVTSNRGLAAACNECVARSRISYNFSSQAKVHLPTSGLFSPESTIPSSQFTPLQRDCSLRVDQSAGVHERRRKAGIAYPDCESQHIPKGRIKKARCPRPEAVDSITKSILFEETNPVNKMVIPTHLDDGESSFIGDEELYDDFLEVDDYEEHAEEFNSRYEKNLKPGIILFI >KZM80926 pep supercontig:ASM162521v1:DCARv2_B11:102197:102349:-1 gene:DCAR_031465 transcript:KZM80926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNYEDKESTNKPRLKCLFDKEYLIQLDTDEPDSTFVCQLLRLSVLHQL >KZM80929 pep supercontig:ASM162521v1:DCARv2_B11:172617:173093:1 gene:DCAR_031468 transcript:KZM80929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAATGKQADLLAQPVNLHTVEANANSQKQLTIQEILENHLLVGEKMARCLCLAKIIAVLEDEGWYYNCCSNCARKARLLGQNYYNNNCA >KZM80931 pep supercontig:ASM162521v1:DCARv2_B11:358628:359104:1 gene:DCAR_031470 transcript:KZM80931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSFIGIDLGVADTIVYACCRNLIRLVGDFEANFFALTDKAYNGHFLGKVAHFILSIMYGRHTSVSGPEALVDLHKFPYYRFQIADVVSSIELVFSSISPSCVVAEVSNEIGCPFHVMLDDQPFLNNSLETEMCFFCEVACIFNRFMKKVQVSVPTH >KZM80930 pep supercontig:ASM162521v1:DCARv2_B11:269839:270156:1 gene:DCAR_031469 transcript:KZM80930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLRQYRRAMEWEADEDPIKRTLSMFVKDNLRLHKSHFDQVIQELNDLKYSVTSIKEDNQQNLEDRIPVGTMSHIRTKLNQESSLDTSVAALDKRVSDVEGQLN >KZM80937 pep supercontig:ASM162521v1:DCARv2_B11:483258:488456:1 gene:DCAR_031476 transcript:KZM80937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTKNKKRMLATKNMEQSSQTSSPSLSESSEYREKKKKTRKKAKLDKRLKKLNKKIHVCGAVKDPQDLRDYVNDNDEQKQQKKFTLTDGSTDIGVTLFDDFAKQFEEAIKNIGSGNKFVIISSAKIGKFQGDVNLTNYPATRFYINPEHPAVKKLQKRAEKNNFLAEKEVIPTQLENVAIDDMSITDIKNLNKENSEVYEVCDVQINVDESVENATDVQQISEDAEDDQMLDEMHVETETSRNKAKKLPKKAQREKINAGGGKPKQQTSRNKEKIIKIKKEKNLSQEKTKVNANMQAKDVQKKKARKLIEVVSESEDEDMTLNSYQLKNKKYDVFGIIENDTPIADLVNRFGKKQKQVKFNIVDGRYSQGKFSKYNFVNHVKPKNETITVADVKKLPVEFVEDKKGFGNRSMVQVSLHIVLQNNRAEEWES >KZM80928 pep supercontig:ASM162521v1:DCARv2_B11:157372:157783:1 gene:DCAR_031467 transcript:KZM80928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPLQYLVNAAFLATLFSDYMDAADTPGWSWGPNLYSMIFSVHLLRPRLFASRK >KZM80934 pep supercontig:ASM162521v1:DCARv2_B11:446813:447271:1 gene:DCAR_031473 transcript:KZM80934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGVKGALCYKACKNLIRGNTPTWQLHILRNIAQDDDLCFLAYYVFKTHYEPSSLQQNGQIVHQKISESSKFRFEFESNCRALNGRYRKYNRFWYDRPDIFPQNGVCSSYVSGKAHNMDPYGVGCRYKEILAATCSECMLLMINYKIFRGY >KZM80938 pep supercontig:ASM162521v1:DCARv2_B11:562266:563818:1 gene:DCAR_031477 transcript:KZM80938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLAFSSTNHASLEQTPVPSETITETTTVNELVTHTNNNVWEVGTVHADGGVKVEVIRGVLEPSNTCSHKITDIMYERLEPLGITWKAVSADIKDLYFEKFKKSAIKKSNRRGVKGGNDKAPPTHTGGSASCRVHAARLEMKDQMKKAEEMRIKEVEEMNDQLKHANEMRMKEVDDMKDQIRQMQNQLAMVLENQK >KZM80927 pep supercontig:ASM162521v1:DCARv2_B11:153607:153918:1 gene:DCAR_031466 transcript:KZM80927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAIIQVYKTITRAQVAANTAKNSNKGLERLRLWLLLVSRKLNKAPLSLFSGSRTSIPRLPRNTNYCRILFLDNLVLDKGYLTHCTGVVRSIFQKPRSNLRF >KZM80936 pep supercontig:ASM162521v1:DCARv2_B11:478807:479459:-1 gene:DCAR_031475 transcript:KZM80936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPQAASQAAKRKRFQEMKNNVVDEIYSLVISSDAFVTEVLTTTEEFSLIFENMKKRQMDLTKQAVIINEKYGHIADIVEEKKKPKIAETSSSFQSLPISYTKSIDIFLEDSELSLQRHTENIKKALEDVKNNFNESVETWSNNYVKLKEQANYLANSGTRHRIEVDKLRSVQYGFIPGEDPSDSDISD >KZM80939 pep supercontig:ASM162521v1:DCARv2_B11:609156:611396:-1 gene:DCAR_031478 transcript:KZM80939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDKGKQKIYQEYFFNANGVMSQEAISVMDEGNLGSIAPSLIGKAADLDAPVGHGLYGQDVFHHALSGTLKEFLAQKQLTAELLSKHFILKDILTGSPFNRPAEIPMNMDKGKQKIYQEYFFNANGVMSQEAISVMDEGNLGSIAPSLIGKAADLDAPVGHGLYGQDVFHHALSGTLKEFLAQKQLTAELLSKHFIL >KZM80932 pep supercontig:ASM162521v1:DCARv2_B11:369529:370208:1 gene:DCAR_031471 transcript:KZM80932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTVDVNPVLSDPEEDPEEDPVVRSVQEVVANPAVVRSLGRTVEDVKAGRVPISQMEPGEARVMRIIEEAKQAVGIETDAGVSYHVYAALGREYDFLRGQNTEIRRLMDTLLQESRVPVEDAEARSRIRAIEHISRQRLAEFPSNSEWDVEARRVTRLICWILSELRAVRGPRN >KZM80896 pep supercontig:ASM162521v1:DCARv2_B12:27049:27324:1 gene:DCAR_031480 transcript:KZM80896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIKTLNFEVKVLKENQEKMLARLNELENRNKEDSTDGKNEGVSERISRLFDESLVDDMVDAGVQTEPLPDLTDMPEDLGFVTVEKPVET >KZM80909 pep supercontig:ASM162521v1:DCARv2_B12:761220:762964:-1 gene:DCAR_031493 transcript:KZM80909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDYPRRDADESKSRPRNHTYADPYLGWKIGKESEKYENDTKLNGGVIEFRTTHEHGICSPPLWENQGPAIESDKLQYSLNLSPTSRRETVNRGRLELMEMVKAMPESSYELSLKDLVENFQGPEQPGGIQESAKKKKKKINNKAKKKSGNMNENNKGMFLKMVELPFGGGASRKKKVKNPYARVVLKTEDEGGFDDKEWWNKIRRWSVSDQGGSSGSGGSSRDSIGSTRSKSTRDKNGCFSGFQLRKTKSAKCI >KZM80921 pep supercontig:ASM162521v1:DCARv2_B12:1010165:1014061:1 gene:DCAR_031505 transcript:KZM80921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSACRKMSFSGLKITKKGQKEKESDETIRFVSPNFASEYIIGVLKVPKVFCDEYGDKLPLKLQLHVPPGVVWEGIFIKQRLWIQGLEKMMSFYSIKPYHVVLFEYMGVVMAIQPSTFRVMLKPSHVDKRQHGVFYYLFLKKRLAYKMHGFIGETSSLFKFRFENRCSGRSICEVVLGRKHLRNSEVYKEIPSVFAQLSHFKLDGIVNLILRDGETVPVKFCAQRNFLFGMRKLVRRYAIESIDVMVFTLIRHSTFVLSIFKFYGMESKYNAVEICKGEVMKNVRFEDIIILSDSDNSEEGMAAEEEENGNEAAG >KZM80900 pep supercontig:ASM162521v1:DCARv2_B12:386132:386305:-1 gene:DCAR_031484 transcript:KZM80900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIIYVDQFLLEQNFKPPSHMRTIAPLQYQIEVSQIGKKSNNLSKDMNRIKNNELES >KZM80904 pep supercontig:ASM162521v1:DCARv2_B12:480103:481888:-1 gene:DCAR_031488 transcript:KZM80904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILSIFLSFSLLFIIDHRTSAAPSPQIQQACKATRYPDTCATTLSDVKDIPPTPEPIQIIHSAIQVSQTGLKTSMTKLQALLQTSASNFNLSTNVRISLETLRYADSRINSTGVALSQGKIKTARAWMGAGISNQHGALTGLAKYINDTTMVNETMQVLNSSTVLASNALGMIRAYDLFGNQTVSWDTPKTERDGLWEASPKQGSELWSLQFPQGLVPNVTVCKVGCDCSSVQQAVDRAPPNSMTAEEAVDIAAVNRGKQFVILIKEGVYAEMVKVAFEKTNVVFLGEGIGKTVITGSLNVAMPNVTTRSSATLSVYGDGFMASNMTIENSAEIDVQAVALLADSDRTLIENCAILGHQDTLYANALRQYYKSCQIEGTVDFIFGNAIAFFQDCTILVRPRVTTPEVGDQSAITAHSRMDPSQVSGFVFQNCVINGTDKYAELYRINPKVHNYFLGRPWREFARTVYISCVMDIVCPEGWSKWVGDQGLQTLYYGEFNSTGPAANPVGRVPWSNQIPPDHVASYSLQNFIQGSLEPAPAA >KZM80915 pep supercontig:ASM162521v1:DCARv2_B12:942459:943931:1 gene:DCAR_031499 transcript:KZM80915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSDVVSWNTMIGAYMDRGELELAVGLFEVMPERNIVTWNSVLTGTLKAGNMRVARLVFDKMPERNEVSWNAMVSGYVKLGHMEAAEGLFRMMPVKSVVSCTAIITGYTAVGNLEAARKMFDRMEEKNEVSWNAMIAGYVNNKMFDQALLLFQNMLVDGKCKPNQVTLTSVLSACTHLGSLEHGKWIDCYIKNNKIELSNPLGNALIDMFAKCGDLGNARVVFHKMRQRCIITWTTMITGLGVNGECREALELYYLMCLEGPKPDEVVFIAVLTACTHGGLVEEGKRVFDQMINVYNVTPQIEHYGCVVDLISRAGKLEEAVKFVDNMQLEPNAVIWATLLSACKIHRNGEMFEYVKSKILLEEPLNPGYLTLITNMSSSVERWQDALDVRMSMRQQGIEKVPGCSLIQIGQCAHEFLAKDTKHGHRKEIYQTLDNLTAHIMANRDILYKCSVRTFHFWTMLSEERKKGGGGGGGVISYFWHEDGLVHR >KZM80920 pep supercontig:ASM162521v1:DCARv2_B12:979308:988290:-1 gene:DCAR_031504 transcript:KZM80920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNNSLMPCRIIFAFDAHKERSDNEFKNTINGIRLHRDMIHGGDTVLVVGVLHKILHPFGYQIQASPEAFTKSNLHLVEEEVSKRVDLHVSMLLESALDCKDEGVDFEVKIVAGTPTRRVVLQEAKMANATWIVLDRHLKRDLQFYLKHIPCKVAIVLDNLTVEVLRSYTAVDKDNIEHEVVYSMSKSVPLSSDLEYEHSQQSVISFTSYIASITSSESSELLQSNSSSSSSYRGLEHIFPSNYVYVSTPMQQRSGRQSRGESMHASTPLIQKQKKHFTRSRSSDAPLLCATCKIKTELYIKESMRFSYSEIQLATDKFSKENLLGEGGYGHVYKGELEDGQLIAAKMRKETSTQGFQEFSSEVYVLSFARHKNIVMLLGYCCKENVNILVYEYICNKSLEWHLFGKYLAPEYVENGIVSVRSDVYSFGIVLVQLISGRKVVESNAEHHRLSLRQWAEPIIESLALHELIDPRLGESYDTCELYHMARAAYLCLKNDPEMRPSMAEVVRLLEADDDHLHSLVEQFMPRFSR >KZM80924 pep supercontig:ASM162521v1:DCARv2_B12:1142501:1143947:1 gene:DCAR_031508 transcript:KZM80924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPYKVLQKDEWERLALVESMDFVKLTYKSVTWVVKLKWENGKLYFGRMWNAFAKAGNMRKGDTIAFQKTEKAQKYMICIFERDLCSKCNYAGLGQKSGIMDWLKIANFEFISTGEMEIPRVFRDSPGVSIPEKMNLILRDGETVVVKYSPERKMLYGMRNLIHQYPIKPTDVMIFTFINHCLFVLSLFKFSGMESKYIVQESVGAEDVNKKIGEDIIVVSDDCDEDVEDVVLADDPDVADNAEVDAAKVVAEPISSRVTLRASHVDKRQHGVYLSPSIYSTYKSWTGLINVRLIFGARISFVSILRSGKVCRFGKGWSEFTMANELAEGQVLQLDYIDDFTFQVEVV >KZM80913 pep supercontig:ASM162521v1:DCARv2_B12:925092:928215:1 gene:DCAR_031497 transcript:KZM80913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWCFGAVVAALLVMVVNGYPEEDLVVELPGQPEVEFRQYAGYVDVDVKAGRSLFYYFVQAHHDPDHKPLTLWLNGGPGCSSIGGGAFTELGPFFPRGDGRGLRINSKSWNKASNLLFVESPAGVGWSYSNTTSDYNCGDASTARDMYIFLINWFAKFPSFKDRDLFLTGESYAGHYIPQLAIALLDHNEQSRGYKFNIKGVAIGNPLLKLDRDAPAVYEYFWSHGMISDEIGLAIFSECDFEDYTLDGKHNVSEACDKSMKKANDVVGKYINNYDVILDVCYPSIVEQELRLRKMATKISVGVDVCMVNERGFYFNLPEVQKALHANRTNLKYEWVMCTSLLNYTGADENIDILPLIKRIIQNHVPVWVFSGDQDSVVPLLGSRTLVRELAHDMQFEVTVPYGAWFHKGQVGGWVTEYGNALTFATVRGAAHMVPYAQPSRALHLFSSFVRGRRLPNTTHPPIDEQILSIHLP >KZM80902 pep supercontig:ASM162521v1:DCARv2_B12:401031:402824:-1 gene:DCAR_031486 transcript:KZM80902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEANFRWRPYADLDDEHQPEYDLYLRWTATTPLMYMAYVEWCYTNRVMRQLGFVQDIPTSSPRANHSNLHDIVNESINWEGARESHTRLWDSSLDRALTSPPLMFGEGCTAAYMPWFLAVTRRYIVNPVFWRTAEAFQGTQGATQALEDQLLDMKSAIDPATLDLGRSQRIVQGLLGRFRGSRNPSRHRGRPPVTPVEPEPGTSLERALTSPPLMFGEGCTAAYMPWFLAVTRRYIVNPVFWRTAEAFQGTQGATQALEDQLLDMKSAIDPATLDLGRSQRIVQGLLGRFRGSRNPSRHRGRPPVTPVEPEPGTYYTHVASSSSDRGGWSHLVGTSSSPVGDVAGTSRADGWGSWPESTVRPSTYAGDDYEGGPRGFTVRLEDDQDMSAEGQSQESYQFQDADAYRPDMSFLRDQYTTPPPQVPVPSFASQSYIFGAPAFPFAPPPVRSTPTPIQMSTFASYTGESSPWAPPSPAVPGHSEAEEQPEDEHRQQPPRAAKGKGQRCHTGSHIFGHKKK >KZM80923 pep supercontig:ASM162521v1:DCARv2_B12:1140476:1140802:1 gene:DCAR_031507 transcript:KZM80923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTTKTQLFSHGDSEMKELGRETGTKNSQRRSSKSKEKTQQKLDKLQKRNSRHGNSELGNHTTRLAETTVTLYINKRHNSAETTHTQRQKLVEEQRNSKQKRNPVNV >KZM80905 pep supercontig:ASM162521v1:DCARv2_B12:568224:571914:-1 gene:DCAR_031489 transcript:KZM80905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLAKPRTLLVVVAMASILSVSLFLLFFFVHHKNSSAKQLFTIQQACNATRFPDSCLASLSAAKLSSNPDPVQVIQAAIAASQNSLKTSVDKLRALQSASTGNFNLTENVRLGLEALTYSESRINSTAVAVPGGNIRTARVLMSAGLGYQAGCLSGLGKYINDSTMVNETMSVLNSSIGIASNALSMIRAYGLFGNDTGSWVGPKTERDGFWEGGFGSDSGSWNVEFPKGLVANVTVCKSGACDCLTVQEAVDKVLDDSEGRFVIWIKEGVYNETVRVGFRKKNVVFLGDGMGKTVITGSMNVGQFNVSTRNSATVGVLGDGFLASNLTIENTAGAGANQAVAFASDSDLSIIENCEFLGHQDTLYANALRQYYKSCHIEGTVDFIFGNAVSIFKDCTILVRPRQLNPEKGEQNVVAAHSRTDPAQSTGFVFQDCVINGTDKYMELYYSNPKVHKSFLGRPWKEFSRAVYINCVLETLINPQGWLIWTGDFALQTLYFGEFNSTGPGANSSARVPWSSQIPADHVETYSLHNFIQVDNSISK >KZM80906 pep supercontig:ASM162521v1:DCARv2_B12:633763:641676:1 gene:DCAR_031490 transcript:KZM80906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAVACAERATSDMLIGPDWAINIELCDLINSDPGQTKDVLKLLKKRLGSKIPKVQLLALFVLETLSKNCGEIVFQYIVERDILHDMIKIVKKKPDLTVREKILILIDTWQEALGGSGARYPQYYAAYNELKSAGVDFPPREENSVPLFTPPQTQPLSHPPIAHPAVSFEEEEAAVQASLQSDATGLSFDSLLLQANIDIFINKKQYSLTEIQTADGLADVLMEMLNALDPQNREGIKEEVIVDLVEQCRSYQKRVMILVNNTSDEELLMKGLTLNDTLQRVLSCHDGIAKGTAAPRVGQTQGSVVPLVNVTHEDEEPEDDFAQLSLRSSRDNLQGRKPENRPIETARISPVLPPPPTSKKPVSAAEYGQIDYLSGDVYSSERTSEASSSIPVPTAAHINTSYTAPLAANLSSSQPADDFINPTASDNFINPTASFFSDNQTTNDEQAAKPVDHSLPAPLESPVFRPPPPSKNSQRTQFFQHQVGGPQGGALGSSNDNLIGQTTNLSLNSSTPKKQEKSEDALFKDLVDFAKAKSSSSANPNRSF >KZM80899 pep supercontig:ASM162521v1:DCARv2_B12:291048:302881:-1 gene:DCAR_031483 transcript:KZM80899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIITAFFAKTLHVVLESRSPYVSSRHYSGEQNLSSPSSSSSSASTRPKDKWFNLALPECPAALENIDYWHQSILEPMVIDVILVQKAMSWDPADCTPRNSLGRNFSGKEQYPSSLNPELDELGFEVKNEKVIERWIVHYESRKVNRENVPGHRRLSSNSSHVVYKKSVLLLRSLYLTVRLLPAYKLFRDLISSGQIRTYNLTHRVSSFVEPFTHREESDMQQFVFNPVDTSCGRLCVSVLYRSSLCDTGSEPSTPMSPQFIPDYVGSPLADPLKRFPSLSAPQRYASSSPFERRHSWSYDQYRASPPLAYPSPSPTYSDSHASASRFSSPHLPPMSVPRYLHEVPHVHTKQTSIDEYWPFPSFSPSPSPSPPTHVSDRQISKALLRSESAPLSIPHGKLSNTPLLLNTQNFPASPPLKTTRVISRMDRGSSLVQTGSVSAVGKLLPLGGGETGNSLGVRLSSNSSPRKSYSRSSSRLSYEDTFDDSEFSGPFVVDDDDTTDPGSRPPSFDQREPNEPGGIFPIVKSQDAAVGALVHMLKKAPPLRQDLSESRYLGKSSKCEALGGRSREPVQISGGSGFRQASSSSLEPSGVFSSKTTTDALEELRGYREMKDLLLRQGGRS >KZM80917 pep supercontig:ASM162521v1:DCARv2_B12:950557:952687:1 gene:DCAR_031501 transcript:KZM80917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYEYLSNVHTGRTDWRVKVRSIREWRGQTARGEPYKGSNFLLLDAKNVRMQAFVPLFLLEKLQKMFTVGKMYTITNFKVKNYTELDKWRCVSNDKQIQFTNQTRGKEMDEKEYFIPQNCFEFCDLGDMKSLANQTTYLADVVGVVTRRDDLKLVHTKQGVDKYQLRMIITDGSHYLNVTLWGDLAECFHQEVSSSTFEEPLIIIIATGKVGIFQDEYDLCNFNPTAYYINYNHHSVAHLRKMSADPKFKKEHIRIIQTKKEPKLISIQEIKQLGEDYIEEEVICQVRIITVHVSNSWFYAECTTCYKQIDEVGGKFQCKNCNRIVPYPDKKFGICITATDTTGDIDILLMDRPIRKLFGKTVFQMEDEEKGQFPTALKTMEKDDYTIKLEIREFNIKDKEELYVANDLYRGLEMHTAVRLPTCSVQQPTEVSVPQSSGNSVHLDNISMP >KZM80903 pep supercontig:ASM162521v1:DCARv2_B12:469032:469322:-1 gene:DCAR_031487 transcript:KZM80903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEGNVTPESELNDGCNEYHTKDDDDEILWRTSASNEYIDREDLVGSNNSRHREKKYLYSDEEEDTQSGDVKAKQNKVTDFTKLLENVALCIDYV >KZM80911 pep supercontig:ASM162521v1:DCARv2_B12:859037:861581:1 gene:DCAR_031495 transcript:KZM80911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAYHPGPVNGTLLTLKDTHRSTFVWNSSKVWIYERFPTLAPRHTATPLITYPLALRWTGPFTRTEVPHGQRRITRYELDNMIEANFRWRPYADLDDEHQPEYDLYLRWTAPTPLMYMAYVEWCYTDRVTRQFGFVQDIPTSSPRANHSNLHTIVNEAINWEGARESHTRIWDRSLERALTSPPLMFGEGCTAAYMPWFLAVTRRYIVNPVYWRTAEAFQGTQGATQALEDQLLDMESAIDPATLDLARAQRIVQGLLGRFRGSRNPSRHRGRPPVTPVEPEPGTYYTHVASGSSDTGGWSHLVGTSSSPVGDVAGTSRADGWDSWPASTVGPSTYAGDDYEGGPRGFTVRLEDDQDMSAEGQLQESYQFQDADAYRPDMSFLRDQYTTPPPQVPVPSFASQSYIFGAPAFPFAPPPERSTPTPIQMSTFASYTGESSPWAPPSTAVPGHSEAEEQPEDEHRQQPPRAAKGKGRRCHTGSHIFGHKKK >KZM80922 pep supercontig:ASM162521v1:DCARv2_B12:1136293:1136857:-1 gene:DCAR_031506 transcript:KZM80922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRMAKQQEVIVLISAAKIGLYEGAPNLTNYPATRIHINPSHHCILELQKSLKEPTSEAAMSPPPEDVNYPTIESANLSTFLQTRVNCKVKVTKVKEGSSWFYAVCTKCPKKILRDQGVFKCTDCNRIIPYPDKRY >KZM80914 pep supercontig:ASM162521v1:DCARv2_B12:929210:931236:1 gene:DCAR_031498 transcript:KZM80914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMMFSGSCFTLKCNQAQPHSAGKRLSRPKASGAADPEFIDKESEQNAGLFKRRLALVSGVSLVSSFPKHGLAVVKQGLLAGRIPGLSDPDGQGWRTYQRPDEKSGGHGVGWSPIIPYLFSVPPEWEEVPVSIADLGGTEIDLRFGNPKEGRLFVIVAPVLRFADNLGDNATIEKVGPPEKVISAFGPEAIGENVDGKVLSMEVKDYSGRKYYQYELEPPHALITATAAGNRFYLFTVTANGLQWKRHYKDLKRISDSFRVV >KZM80910 pep supercontig:ASM162521v1:DCARv2_B12:763675:764789:1 gene:DCAR_031494 transcript:KZM80910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVDDSSDKSWRLFTSEDMIKGYKPKSVRKRKSSAEKKNISGNSTKRFSYPLSPLTPVSSHSVISNCTQSKSSAEQENISENSATRFSYSLPPFTPASSHSVLSNHTQALDQTRFSAIGAKNRSNQYKPADKVLSSTRVPLADINEEPPNMETNKYLPDDDIECSTMADPVFSDSSESDYLSGINYSLQNL >KZM80916 pep supercontig:ASM162521v1:DCARv2_B12:948476:949417:-1 gene:DCAR_031500 transcript:KZM80916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTQQELKSLGVFGIYREGHRIMAPFRNIFNQVTIAFILPLSLIYLSEMEISSILFFRMAFRTLDDGNQNTSSDWASYVLFKLAYYTFLLIFSLLSTSAVVYSIACIYAHRDISFYKVICVVPQVWKRLIITFLVTYGLTFIYLVVAVLTLGICLAFGGTASVVLFFTFLIIYIIGFVYLTILWQLASVITVLEDSSGLKAVKKSRKLIKGKIWVALAIFVELIVVVGVIQFVFYVYVVYGDLWEMWKRVLVGISCLVLLVPVFLYGLVLQTIIYFVCKSYHNEMIDKPAMSDHLGGYERLYQPNEVQMEQV >KZM80898 pep supercontig:ASM162521v1:DCARv2_B12:191997:193252:1 gene:DCAR_031482 transcript:KZM80898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGECKKTIQDMRAKMFNLHSSLSTAHEEIVRQ >KZM80918 pep supercontig:ASM162521v1:DCARv2_B12:953123:957723:-1 gene:DCAR_031502 transcript:KZM80918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGWDLPPKGFVKVNVFGVFFHNPLSNGNTSGLGIVVRDSEGDILLMVSGSLQIMNERANELWAMLLGLRACLYVREHNVILETEDGEAVAEWEGWKAYIDPTYEDVIRSLVKRTTDKRLKLHVSVVNESKNHLARYLAKDGALNRTLPVIMPKPFGRVREIWHLDMGLGTTEFGFDLVTEEQYRKIKEGDSNEAMGQFNPDAEGSRDMECSGFISEKFIRVLTAADTTLDELKIPRDFVRKYGSRLEDSLLLKFRNGYEIAVVFKNERGSLLGVFSIFEDFGLEGGKMLLFEYNGVRDFNVFVIGKDLTEIIYPNIVHFTQNRRPRTVSLKNGGLKYVHFVKEEEPLYDEFEPPFSFKERCGMLQGYHTFLFSNGKKTVGQYNHENGKYQGLAKICSILGLQNFGKFNLVLFSYEEMYVTTVSVFDDHFLEVFLPGTPLSSGLNSHNPTVRGRIEITIQPCHMYKYSYGVDISTEYNGITNFWRNIDYITVYAAGSAWKLQNERGSLLGVFSIFEDFGLEGGKMLLFEYNGVRDFNVFVIGKDLTEIIYPNIVHFTQNRRPRTVSLKNGGLKYVHFVKEEEPLYDEFEPPFSFKERCGMLQGYHTFLFSNGKKTVGQYNHENGKYQGLAKICSILGLQNFGKFNLVLFSYEEMYVTTVSVFDDHFLEVFLPGTPLSSGLNSHNPTVRGRIEITIQPCHMYKYSYGVDISTEYNGITNFWRNIDYITVYAAGSAWKAGGGESQRTAIKDGWMQFRDDLGLVAGDVLVLECADSSIQHFCVQVIKNHGE >KZM80907 pep supercontig:ASM162521v1:DCARv2_B12:742270:742490:1 gene:DCAR_031491 transcript:KZM80907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTDSNEAIQSTTGVWSNNILDDPSLFEDFGVYIQYLATYND >KZM80919 pep supercontig:ASM162521v1:DCARv2_B12:958436:961212:-1 gene:DCAR_031503 transcript:KZM80919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDNLSGSWILSIRNGYQIHVVYDRQSMKLLGVSDLFSDFGLIGGEILLFESVDLRVFNVYIMGEDGCEIQYPEVVHSSQICCPVPVLISDGGWKFVNFISLAFPTEDKVVSSLASTLRQCLHFKIEVMPSHMLRYCHGVCVPTQFQPLTASWKKKDKIRVYRGGMSWEFEIRKRRPGNQTAIHGGWIEFRQEIELKVGDCCFFWCLDESNHHFRLEIVKSSG >KZM80901 pep supercontig:ASM162521v1:DCARv2_B12:388901:389462:1 gene:DCAR_031485 transcript:KZM80901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKKGKGKEKCKDSSEMSPSPKYPACLRAVSPTSVAITIHAKPGSKLASITDFNDDALSVQIDAPAKDGEANAALLDYISSVLGVKRRQLSIGSGSKSRGKLVLVEEVTLQGVFDALDKCFKAR >KZM80912 pep supercontig:ASM162521v1:DCARv2_B12:907286:909893:1 gene:DCAR_031496 transcript:KZM80912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGVDDFDDFLDDDVGKLIESLERKTSKGDFNKKPVEGGPKIGTVHFVDRCIVEPQYKKTLLAIAYYEYDCDDAIGDVYVKDHIQTNFKNILSKEKKQTDQRVKIAKSLGYLRATRRMLNPHYFDDAVWDNINKYWEFEKFHKASENGKKNCAKKDMNHKSGAIPFSVRRAKLDSNLETPMTNLEFFKHVYNIEEPVVKNIMEAMEVNNPQEVPEAPQSPASKRKHDLYLLMQARKPKKNKLIFFPRNTLSELLRGSEASRLNAAQSTQASQFIIPEDAYSIIRRVLSEVTNMVKSIADNQVPRTQLSKMLEKLATEAFPDRANPVQQSAWDQYIRIANEVIGQVMRNYDKIIMELFTFPLNMYIQLADTAWSSTLQIYLSA >KZM80908 pep supercontig:ASM162521v1:DCARv2_B12:746513:755508:-1 gene:DCAR_031492 transcript:KZM80908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHCCAHFALSPSPVRDFKPSSSSSSVPPAFILFSDKGPSYNTLVFQAVRLLGPPARFEESKLKVEVTGDETNRYTRIAPRTYTLSHCDFTANLTLTISRLIHLDQLKGWYNKDDVVAQWTEVKGEVCLDVHCYVSGPNSLLELTAEFRYHIFSKELPLVLQAMLHGDSSFFSRHQELMNAPVRVYFHSNSKKYNRVESWGPLKNAAQVLQAMLHGDSSFFSRHQELMNAPVRVYFHSNSKKYNRVESWGPLKNAAQNNSIFFRDTMAEKNLHDNISRLLVRVKPIFLKGIVDNLSIAQRQWVVENGLEKDVKDKMLKNLHHPNSSEDAKLGSE >KZM80897 pep supercontig:ASM162521v1:DCARv2_B12:106745:112126:1 gene:DCAR_031481 transcript:KZM80897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGVRRSSRLTRSNQSRSPASKRRALSGGAMSGLDDIRNEWKIVGSTHNKESSEEENDFVTPVESFSKTSERLNKGERSTTFKKKGKQRTLFSSMKKVQHQAESSKRSWENEEFGQEIVLVNSPAVNPNIAVQRSQHQKANDGAKRGRRKITVEKGSGGTLKEVKVKKPRTYDNYIQKKFSPSIMSDVLGNLSDEQKDWVTNTGFADILGFRMVWYTHKLGYNVVTAFNSEECCLDLKAGKVAITDQTVRSVLGLPMGSEGIKSKDEKERLILWGKQFQGCAGSEITPLMLSNRIMGNREADTDFKLNFLVLLYNFFFEGQQNRFLNRDVLRYAFDLDNCGRYNWCRLLIERMQVTHNYWAAEKKRYFTGSLPFLIYLYVSKVRNPGTVYILPSYPAYKGWSDRLLRERQKYDATHDCFGVGNLVTLKDKPDGSAKSTNAAPADEKLKNAVAEQMEVILLTDKGQLDGLADNNGKENYIDHTKKEDDVFVEDSFKLDDECVHDGWEDGVNQAEEHNYAEKYIEHDKSLDRVFLEDSSKPDGECLDKGCGERFLIKEKHEENSSHSRITSVFIQDMHKGATDKDSKAGRSPELNDAQGVVMETPINVATKTKTALSNNELLQGREPVADDVIETHFDETEYVKQFKQKMAELSKLYDTCLDRYEVSYALYPDNSVIQEMKTEYAYFFKLFHETTPLSKKLFTLVDNGKDMGRRSPLEDSAFVPSFSLGVSQVTPKKLVNDMEGIQVAREGDQLNVSGNVGFIRPRRETKVSQICRSPFVSRVVDISTHSVNGEESRVWDWLFSNKRNKKEHLFEWNKRMCTKAHFQSLEDNKMVETTVIDAWTCLLNENEILRSDASPLRLFLNTETTYGPMNMVVNDGDIHGVLKRRAVFNDNIEVVLEMVNGIHNRIYGVQDFDMFVFPIYNATHHYIICYNMKKPSWEIIDNRVQTTSFADMFGDLPFRLHDCFCDWISIYNLPKGEEIAKTNPRVVRLGWQTTENWIDCGVYVMRHMETYMGSLYRWSAGLRAEDGKARDLLKKLRMIYCHKILTWSGNKYRQMIMRNVASFIKDKKAALRQL >KZM80895 pep supercontig:ASM162521v1:DCARv2_B13:200109:202779:1 gene:DCAR_031536 transcript:KZM80895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKDKTAKERKVVNYLYIYLTILLRNVEKQLSNIINIILPLLEACNDKSPDVRQEYVQGLVDLRSRIMLVVEAFTFASPGLLHILSGIKYTLQVNQISLPDFVPTALSKSQGHKVLSKHCRRLDGAEYQASDLSLLIENTSVEHFGLARNITITKDSTTIIADAALKDEIQARIAQINKELSETDSVYDSEKLAQRIAKLSGRVAVIKAKLEDAEERCGADIVQKVVSIVSCVSGDGNLIPEHVRLVRHTVQIPVIYLTCYWSKSEY >KZM80894 pep supercontig:ASM162521v1:DCARv2_B13:170386:170644:-1 gene:DCAR_031535 transcript:KZM80894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVYLSDLKGDEEDWVIRVRVCRMWESISTKDGSLISVDMILADEKFEPSVCLHI >KZM80891 pep supercontig:ASM162521v1:DCARv2_B14:582416:585947:1 gene:DCAR_031571 transcript:KZM80891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNWLSFPFSPSNPSLQTHLSSSTDQSQQFSLGLVSENDDSPFQHQEWNMMSGQVSSEVPKVADFLGVSKSENQSSDLAVYNNEFHANESDYLFTNHNLLQLPNPLAVAPPDHSYDIPENASNLQSLTLSMGSGNKQCETIGENSESTAIVPVDATPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDMAALKYWGTSTTTNFPITNYEKELDDMKNMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESNSLPIGGGAAKRLKEAQAIESSRKREEMIALGSAFQYGSSSSGTVLPLQAYHSLMQQPYHDPNPQPLLTLQNPEISQYSTQEHQFNQSYIQTQLQLHQQSAVDSYNNSQLYNSYLQNNPSYLHGFMNMGSSSSVLDNNGSSSNGSYSTGGYLGHSTAGNGSGGVGGSSTEELGMVKVDYDMPSGSYNTAGWSGDSSVQGSNPGVFSMWND >KZM80892 pep supercontig:ASM162521v1:DCARv2_B14:592308:594342:1 gene:DCAR_031572 transcript:KZM80892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQRTNGRAKAGFRNGNQNSKSSAKSAAPEGDQSKDTRGWEEVITIPKDKFKEIKEEALKWDKLIEGDAIGALKAEKIEEMIEVMSGETKYGVKIVEIEDRYGHLFDAVHQKFNSPKRLGQSRKGKREDKQSMGGLVDSSLISHVSETEGGQFVGCVISESAMDNLVTSDEGNGSVRISIRKEGNLEWEFSNSIDRRDRDLVEVGDLEKLGSSQEESLCRLMKTIKLKGVGRPRRKKGKN >KZM80858 pep supercontig:ASM162521v1:DCARv2_B14:93719:95667:1 gene:DCAR_031538 transcript:KZM80858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLGAVEIESKRFVFLRIDYGNSIYASKSMVEAWQSKFTEKLTGFNAFWYSHHLFVIVYALLIVHRIKLYLTHEWYNKTDDEYLASLQADREKELKAREEAEPSCSLTAGNIVEALKVSFICNKLVKET >KZM80865 pep supercontig:ASM162521v1:DCARv2_B14:233881:241471:-1 gene:DCAR_031545 transcript:KZM80865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATPIKPHKSPLKKLKHSPTHSSPKFAIPATPDTAAAATPRRSLRFISTPQQSSPAPQSCPKSSRRALSYKPPIKAPKPQIPKTPKSDAIEAVLSPVSPDRLDVKKRRNLGGRKSAIGVQKKRVYYKRVSYDGGEFAVGDDVYVKRREDASSDGEDPDVEECRVCFKAGRAVMIECDDCLGGFHLKCLKPPLKAVPDGDWICGFCEARKLGKKVELPVPPKGKKLARTAKEKLLTSDLWAIRIVRLWREVDGTYWFRGQWYVIPEETASGRQPHNLKRELYRTNDFADNEMESILRHCYVMNPKEFANANNEGDDVFFCEYEYDMRWHSFKRIADIEKEEGGSEEAGKDEDWNPDNDSDSELESEDEEDYDYENEKSFNLSNGPSPVRLAANSQKGRIFGLQRIGMKKIPEHIRCHKQTELEKAKATLLLATLPKSQPCRTKEMEEITGFIKGGICDSQCLGRCLYIHGVPGTGKTMSVLSVMKNIRSEVDAGSINPYCFVEINGLKLASPENIYKVIYEALTGHRVNWKKALHLLNERFSSGAKRGDDRPCILLIDELDLLVTRSQSVLYNILDWPTKPHSKLLIIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIISSRLKGINAFEKQAIEFASRKVAAVSGDARRALEICRRAAELADYRMKNLLESSTSSEENILVGMAEVEAAIKEMFQAPHIQVMRSCSKLGKIFLAALVHEFHKTGMSETTFEQLAVTVCCFCTSNGEAFPGWDSLLKVGCKLGECRIILCEAGAKHRLQKLQLNFPSDDVSFALKDTQEAPWLAKYL >KZM80870 pep supercontig:ASM162521v1:DCARv2_B14:336230:340192:-1 gene:DCAR_031550 transcript:KZM80870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEESGRDVVVTQISVGEFDGYMTAKSLLDYFEQNVGIVLRCRLKTSSTPFEAYPEFAVDTTKLERLHSYENLIPHAFVHFATPDAARSALDAAGRGELILNNNLLKISLGPHRDKEPFKLPDVVVEIGSLVSKDKFFVGWRGPATGVDFLVDPFDGTCKFVFTRDTAFSFPGTRNHAVIKCNLKVEFLVRDIQWMKDYNDSSYLILLLHLESSPKLYYRTADDDIEDTTPFDILDDDDPWIRTTDITASGAIGRCSIYRILIKPRHGVKMEMVMQYLKEQRVSVRDLQTQLTVQDEPDFGMPMPDPLFCIHSKEGINFKTLFLVNAALHKGIFSQYTLLDQFFNLLKSQKEEVNIGALKHICSTNRPYFNASERLKNAQDWLLKNHKLLRTRELDDFVEVRRLIITPTRAYCLPPEVELSNRVLRHYRSVADRFLLVTFMDDGMQTLKKNVFTYYPASIVSDTTSNVNGQETSVFKRVKDITNDGFHLCGRKYSFLAFSANQPRDRSAWFFAGDQNTSVLDVLSWMGSFTNKNVAKYAAGMDLCFSSTYATVDVPQDNVDFELADIMRNGNDFSDGIGKISHDLAMEVAQRLQLNVSPPCAYQIRYGGCKGVVACWPASKSGIRLSLRPSMNKFVSNHTILEICSWTRFQPGFLNRKIITLLSALNVGDNVFWNMQESMISKLNQMLESVDVALNVVTSSCAEEGNTAAIMLSAGFKPCNEPHLRGMLTSIRAAQFGDLREKARIYVSSGRWSMGCLDELAILEQGQCFLQVSNPSLENFFVKHGLEFSETKRDFQVIKGLVLVAKNPCLHPGDVRILEAVDVPSLHHLYDCLIFSQKGDRPQTNEVSGSELDGDLYFVTWDEKLIPPGKASWPAMDYAPAEAEELMHDVTLKDVSDFFARNMINESLGTICNAHVVHADGSDYGAMDENCLVLAELASKAVDFLKTGKIVNMPSHLKPKLYPDFMGKEDFQSYKSTKILGRLYRKIKDNYDLTESAESNFRPGEIPYDTDLETPGSTTFINDAWGIKFSYDEKLHSLLGQYKVNNEAEVVTGHIWSMPRNSSKKQGELKERLKNAYNALKKEFRRVFEHMDADFDDLSNDEKNAVYEHKASAWYQVTYHPKWVKMLLDLQDPDANDKETVMLSFPWIAADYLARIKIRRGGMKDASTQPFEATRIDFLY >KZM80862 pep supercontig:ASM162521v1:DCARv2_B14:204047:204472:-1 gene:DCAR_031542 transcript:KZM80862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSARRILRRRTISTRSCSNKSPKKPTSIPPSPTLLMKKKKKKMSTTNDADGLVENLTLENINSTNPEVLNNGCSTPKGKKFRIPRINKCPPAPMKRRSSTTTMVSSVKRSPISFFSPPDLELFFFFASDHPLCVNDNTN >KZM80877 pep supercontig:ASM162521v1:DCARv2_B14:392928:393527:-1 gene:DCAR_031557 transcript:KZM80877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKIEGASSHSSQFCSDAKPSDQSPHSQEEIPNKKEVTKDEPLSRPTNLVDKPSSAPKESQELPPLPNSTSIQDFQLEKDDNLDSDINVGDLSSLEENVQLPICNFLKKLKLNRGRNKIKQIWKAKPFDIGRCKLSRMNQRITSKQACQMIKTKEAPKDLEREAEEILQLAENMGLKLKGSKERVLIEIKKQLGNSQV >KZM80882 pep supercontig:ASM162521v1:DCARv2_B14:495361:497483:-1 gene:DCAR_031562 transcript:KZM80882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDEERWEKFKEDQWKRLRDIEEQSVPKMTDLEILAGKRRIKLQAGSRHNVSKNYSHVVGDYNIVTSLPVTSETLDNMESEISKKQHQHTQKRRERTGKKDDLNLNTSLSNSEYLRKIESEAREKKDERNKKRREKYRAQKEASKQDGLNLEIEARKKHDQLNQKRRAKYKAQLNNGKRGTRKGVHNIKMLNNGKHRTRKDVHNIKMLVRKKEKNARTNDIRRIEKALMQE >KZM80867 pep supercontig:ASM162521v1:DCARv2_B14:307727:308862:1 gene:DCAR_031547 transcript:KZM80867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHIWEVPEKGFVKISVHYVSFDVPLPNGNSNAVGVIVRNNGGRDLWTALGPMEGLNEEQALMAGVLAACVGGVKKEWGKIHIETTNRDVYDTIRIQNQFGLQEDQVEVYLLFNTLYTNHFKEGSTVHLSCFAETDSRVRDLAFHIEKDMGRVLLRLTPGCGTWLFISKRTWDVFCHTQYLNLGEGEVIDAPPPNPTKRESCSVFWIVMSVLLRLGVNNFNSEWSAKVVDKGKQKYFEGRAFNNNGILSLNAIRFMDDGLLGEYSDIFKQEVVDFCALVKKGLLAGDLLHHATEGTMHKIQPTMLELLDSLLFSGREFFSVDEVLHALGLSQKSISLPVKNERCVM >KZM80884 pep supercontig:ASM162521v1:DCARv2_B14:515787:527969:1 gene:DCAR_031564 transcript:KZM80884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSRLWCDNCGSNRDTQTLDDGRACCGFCGKLLVEDNYSEEVTFAKDAGGQSRAQGTLNRLASGYSASRDRTLDGAYEDMQWMLSSVFNDDDSNVLRRARNFYRIALERNFTKGRPKHLVEAACLYVACRTSEPDPKPYLLIEFAEFLGRNVYELGAVYLTLVQQLSLQDVQSIQKAVDPSLFIHRFANRLLGQTDVNVETTALKIIASMKRDWMQTGRKPSGLCGAALYISAISHGYSYTKSHIVKVVHICEATLTKRLIEFENTESGSLTIDEFTQKAEKHEAELRSLGQTTIGMKSSDKCEVLCKHKGELTFAHGLCRECYAEFMNFSGGLDGESEPPAFQRAQHERMEKEYAEKNAVDSSCDHMAIQGQNSNYIKSDRRSEIPGESRDENLHFSEDVNAGGATKEVPIDDAGYSKLQGADNISAESPASETLSDIEDLEVDNYIHTAEETQYKKIIWEELNREYVEEQLAKEAAAAAAKEAYEAHLRNCPEDMEDARKLAAEVAAAMEQSKKERRQKRAAEAKNAAPPGTAAEATRQMLAKKKLDSKINYDVLDELFNDSFSPDNKKSRLNDEVYASGTEYLKQNIKENDSELGPENENEDGPEEFSEGLQYGNEEDYIDDYGYDEEY >KZM80878 pep supercontig:ASM162521v1:DCARv2_B14:418026:423687:1 gene:DCAR_031558 transcript:KZM80878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFEGLTYEHLNFIFADHDHDHFFSTTQESLNPLMNTSAYKFGLCEPGCLSEPGCLSYYDYGHTYVVGDCTPRINEYSSHMENSASLPSVRPAAVHTPHEEISSSTSHVNPVDCLRGPHNTDDYQVVWQDNVDPDNMTYEELLDLGETVGTQSRGLSQENISLLPISKFKCGFFSRRKSRRERCVICQMEYKRGDQQINLPCKHIYHSGCGSRWLSINKACPICYKEVFANASKQSAK >KZM80880 pep supercontig:ASM162521v1:DCARv2_B14:483147:485341:-1 gene:DCAR_031560 transcript:KZM80880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACRLLKELTLGRGTEFIKVRISREWEGRKAGATYATTKTYIIIDEEGTQFQAGPLQFGLIADFSKRLQLGTVYLISDYDVVPAPDTYRPVPGEYAINFHRKTNVKKLGDVPAIPMLQFGLKTFAQARGRLGDVVTLIDVVGKLKDYTDIQTAKSGKKSLDIVLSDERDEITLTLWENQAFDFLNRKNEYNQPNVVVIITGTSTRLVRGEIVLWSSSSTQYFFNIDHEAVTTLRESTKLENTIIPTLVPSMRTQDQPMTANVETVPIAELFEAQLPDGKNFIEFYTKATVIGLFPAHGWYYTGCNKCGKKLNDFAQCIKCSDETKPIPVYKVTLAVQDSTSDTSFVIFDRHVMQLIKVSAQHLLNTDQNATPEIIPSVLNNMVGKTCIFKLKLNQYNTVQHREGFTVTDIEEVEMTRPTAISKIDSFEDKPEHNLAHQTKEPSKRHLQNKRKTPDDHKNSDQLLPNPENTSKSFLEVKQYHDAPRDTMNGDQIPPANSGTKGKSENKSRRSKT >KZM80893 pep supercontig:ASM162521v1:DCARv2_B14:612007:612162:1 gene:DCAR_031573 transcript:KZM80893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEYENWLWEDEEEEDQEAGIIEITDDEDEEAVSMLMDGVGQPGMGGRQ >KZM80873 pep supercontig:ASM162521v1:DCARv2_B14:357854:358672:-1 gene:DCAR_031553 transcript:KZM80873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADSNTLTKVVDYRVCGDPNSVLRFAFIEFMDEEGARNALGMAETVLGFYPVRVLPSKTAIAPVNPTFLQRVYRLRLLGDYHHSTRIAFVEFVIVSLCKFPNFF >KZM80881 pep supercontig:ASM162521v1:DCARv2_B14:488388:488639:1 gene:DCAR_031561 transcript:KZM80881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNLQIDKIAGGVRLGFSVIEDEITDVPVHIDCLVYPPTDGVIPVYRAVRNGPKKDQTAPGEQRIMKSLLAALKKSVEIARL >KZM80860 pep supercontig:ASM162521v1:DCARv2_B14:141052:141564:1 gene:DCAR_031540 transcript:KZM80860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFQDSLRKNRNVLLYPRFFQIVLNQLLTPAERAVYPNIDNVICSFMTTRVISMLENHQNYTNNEDVVLPEAMQEFLNNQNLPPPHNQNVADPVVQEEEAPETQAEEVTETSHQVNIPETQTSQMEEEVIVEDAETSSDDNAQSEGEDSLLRMKWIALLKPLQLHLLLM >KZM80875 pep supercontig:ASM162521v1:DCARv2_B14:381261:382787:1 gene:DCAR_031555 transcript:KZM80875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQHQLPTVYQKFATQYNFGSSLSQDVKSTYGSFPMQRSRFAYGNYTGAGMQYPMARTYLATPDLPSAYSSPVFVQAPAEKGIAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKTGRLSEPYKGIGECFSRTIKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKSLFNFKKDRDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGKLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >KZM80883 pep supercontig:ASM162521v1:DCARv2_B14:504940:505319:1 gene:DCAR_031563 transcript:KZM80883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSISACPIASRVWVEKAKPSPPSDQNVDVSKSIPDPEINSQNPPELPTETVPCEHAQVPVHNKPCNADIQVDPLIPPPKVVTSNIPLDETNNDSWTTVTD >KZM80885 pep supercontig:ASM162521v1:DCARv2_B14:533118:535804:1 gene:DCAR_031565 transcript:KZM80885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVPGTDVMPQCASFDDGCNKDGGVYSVEVDDCEELKSSCQGKAGKPPRNDLPVMRHCISQAMLAGNSELENPVEMKSPPVEQTEFIPVLRSGSCSEIGPKPYMEDEFICVDDLHECLGGASNFPSPGAFYGVFDGHGGVDAASYTSKNILNLIVGDSHFPVGVKVAVRNAFVKADHALADSKSLDQSSGTTALTALVLGRNMLIANAGDSRAVLGKRGRAIELSKDHKPNCTSEKLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSPCPLSSEPEIEEVVLTKEDEFLIIGCDGLWDVMSSQYAVTVVRKELMMHNDPEKCSRVLVKEALKRNSCDNLTVVVVCFSSDPPPKIEVPRSQRRRSISAEGLDLLKGCLEF >KZM80888 pep supercontig:ASM162521v1:DCARv2_B14:549530:551292:-1 gene:DCAR_031568 transcript:KZM80888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAKVDVTKKSDAKAQALKTAKAVKSGTTKFKKVKKIRTSVTFHRPRTLTKDRNPKYPRISATPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRANKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KZM80866 pep supercontig:ASM162521v1:DCARv2_B14:242257:244502:1 gene:DCAR_031546 transcript:KZM80866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIERIEPLEKKATSGDEPKQKIEPLLGEVAGNYSNRIHGKSGKHSGNKSLIPAALRRVKFLLAVVHPDLIKKGVLGDEEALWVIHEFLHNNGWWERARNLNFISEEEELATHNYDLPILDFIQANNHFVDHNVQLMINRGDTEGVRMALNQIHYGSLKAARDGNRSQGTLNNKEKKSDLKQAFGNSHYKNRKNNHSQMQVDKFITPLFRMSDIPRIQLRPTEMLFSKSLYLPLISSIRPFPPSNMPLLLPKDMWTLFKKVGRLRDVILPRKRDKNRNRFGFIVVAEELDGNKLISTLHGKLLGTKRLHLSWAKSQHKPQIFSSEKYKTPSFYKFQEESAMPVIGGDRHTTSPVVKPVIDVKKSLPEDGEHDEKSCDVPSMVNVMDLLPNDDMLELMNHSLFLQTIKPETVSNVTLIVEGLRVKTATVRGLSNRCFLAHFDCPNDFEEVDIDFLQIGFLEVRRATLDDLLPSRRAWLEVRGLPVFGWTEENFKKLLAEIGQVLQCCRMMDDDGNYKSPKFLVETFQADFINMSGDHSEVHDEVLLAEESNSLINPLTPRPNSVPIRSSGWSHSSKKIIMHSPEPELPQQFVTSHWLPGDKDSSVSR >KZM80890 pep supercontig:ASM162521v1:DCARv2_B14:572841:573137:1 gene:DCAR_031570 transcript:KZM80890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSPDLSKNHHNQTKSATTTINHHNCLLRLHHHLHTTTAICTQPPPHNHRAKIKLTKNQYKSTKTNKKSPLYNKKSPPTIFADLSIYYGDRDNNEER >KZM80857 pep supercontig:ASM162521v1:DCARv2_B14:37095:40026:-1 gene:DCAR_031537 transcript:KZM80857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPKPRSSNQLEYGSYNLPPISSEPWWQSLGKNSTNTDGIQENGSDSSSQSVDGSEDEDDGSNESQNTGNMPSDPNFAQEHQNQHVATNVPPGNAENPPQASQLELAGQSVAYDPNAYYDPYYYRGMMAAYGQPLVQPHLLDTHHNRMPLPIDMTQEPVYVNAKQYRAILRRRESRAKAELKRKLIKDRKPYLHESRHRHAIRRARASGGRFAKKSDTDASENPQTSEVKGVNISSSVSAQSANSSGSQVFPSNCNLNSHQEARGPDATYVNNSGGYENQEAFRVSTYDLHSNREGGSVCQQ >KZM80859 pep supercontig:ASM162521v1:DCARv2_B14:99219:104340:-1 gene:DCAR_031539 transcript:KZM80859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEKNSGNQNKRGSLGGQSSSSVVNRLDIRNERTGADRSRILETSEDDDEFVTPLEKFSSSGSKRPAQLPIEMGKTPSMCTTVRGKKAKVNKTIRNSAVRAKEANDAGAAISVNPVQDTLDEAAGQERDSGCRTRNLLSKNNKAKACDNTRGKAIVQQPNEMDGKPKKTTTYLRYLQKKFSPEHFTNMIVNLSEAQSNWVKKTGFEHLLHHRNMIYPHRVGYNIMEAFNSEKCALILQAGMVVITERLVHNVMGLPLANQNRYVKKDFLSFGGNIDECWRYNWCALLIKNLKETHEFWAAAKWRNFAGPLLFLVDGGLQSEQDNGRETTGPSGGGLELTMKDMVVPDSLSEGDDVYVEAGCGNELSSIINEEDGSEEEVDLLNGINENLGDISNEVQVLRNAHINNEDLGNSRKVDVNERSKEVRGLNRAAEVASGDNQISGEVERSLKGVYDIEHTNPVEDQSTQACADSELEKHFEDEPYMARFEENLHELETIYQRCLMNFIESLALYPQNKKLADLKNKYKRVFQWFGESSPVTKSLSNSCANKWPKKSREVNSDDIAPSFSLGMSQMCPKNLGDAMDTCLITPEPSILLSKQTNDRSETGMLQKPVKGRPRRDIMPTAICRSPYVTRLTDIGRHILTAEERDVWDWLCHDKTNESDYIFEWKGRACTKAHFHSLEVGKPVESTVIDSWTYMLNENEILRAESSPLRMFLTSETIYGPMQMTVEEGDWDAQITRYVAFDDNMEVVLKLVNKIHSKTYNKPDFTLFLFPVYTGVHHYIICYNIKKPRWEIIDNRVQEMSMEDTYGDLLWRLHDCFCHFLECYSLNNHREIVNLYPEIVTMNWQTLDNVIDRGVFVMRHLESYMGNKAAWSCGLRSELDNQKYVLQKLRSIYAHRILMWTKNKKRHLVMQKMVNYTKSRMLGV >KZM80872 pep supercontig:ASM162521v1:DCARv2_B14:353397:353882:-1 gene:DCAR_031552 transcript:KZM80872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLDLNVLRSSYAVYCSYACGQSSLTDYCTYFIAYSFGSCTDVNSARPPDRMLGEVRGSSSRCMASSLVRAGFVRGSSAQGNGCYQHRCKNNSLEVPLLTSSV >KZM80876 pep supercontig:ASM162521v1:DCARv2_B14:384753:385171:-1 gene:DCAR_031556 transcript:KZM80876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKLNPQRMIIRTQSIRNRKAPELIRGQESIEKRNKHSATPIPRHKQLTLRDKLVHHVTVLPVVTVHEFSHFFGVEQDGRRLIGRRIVETCGRLYEGLLRLWVVEVPGQDFGPETCQL >KZM80871 pep supercontig:ASM162521v1:DCARv2_B14:351693:351842:1 gene:DCAR_031551 transcript:KZM80871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQGLAHGTCGRPSSRQRSPVEQPNTECSATKPPTITLNTVHTVYKRG >KZM80887 pep supercontig:ASM162521v1:DCARv2_B14:541665:547533:1 gene:DCAR_031567 transcript:KZM80887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQVLVALALSLVGGMSTSLGALFVVLNETPNLKMLGLLQGFAAGLMLSISFFDLAHNAINSIGFLKGNLWFFGGVIFFAIIASFIPEPTLSPTSDSKSKKKNSTGGSKDMRKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSIKGLRVGLNLALAIALHNIPEGVAVALPVYFATNSKWQAFKLATISGLAEPLGVIIVAYLFPSSLNPEILDGLLGAVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMAFMSASLYFLELSLPAEMSL >KZM80864 pep supercontig:ASM162521v1:DCARv2_B14:227240:230304:1 gene:DCAR_031544 transcript:KZM80864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHNIDISVPPPHIHPNYSMGGEAGDDPNFEKDLEELRETFNSGKTRDASWRRSQLKAMQLLLKETEDEIFHALKQDLGKPRCEAYRDEIGTVTKSVQYALGNLKYWMSAKKVNLPLIAFPATAELIPEPLGLVYIISSWNFPFGLSLEPIIGAIAAGNVVVLKPSELAPTCSAVLASVIDKYLDNKAIKVFQGGVSVGQQLLQHKWDKIFFTGSAQVGRLVMAAAANHLTPVTLELGGKCPAVVDSISGSWDRESTFKRILGGKFASCAGQVCIGVDYILVEKRYLSTLVSRLQIWIRKMFGDNPKECLARIINKHHFIRLKNLLSDPLVKASIRHGGSLDEANLFIEPTILVDPPLHSAIMTEEIFGPLLPIITLDKIEDSVKFINSRPKPLTIYAFTNNEKLKKMLIAGTSSGGITFNDTLVQHAADTIPFGGVGGSGFGRYHGKFSFDTFSHEKAILRRGFLTDFWFRFPPWDDKKLQLFRDAYRYDYIGIALTFLGLKK >KZM80861 pep supercontig:ASM162521v1:DCARv2_B14:192068:201478:-1 gene:DCAR_031541 transcript:KZM80861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASISRWPLVSNAGNLGVNGLGQDNKKKGRTSSGKDGGMGTEQSGVLEVCKYWFLLDWSMLEAFKKGNYRLIPLARARIDFLSRAIKPDLMDKGVAGDEDSLWEIHEVLQNEGWWCKADQLKVESLLPTKLEADAQNAALMDFLRAKEHLIHPNSREMAFKGDPEAVRMALNQIHYGSLEEGRQEPKNWTKNDLLSLTRNFIKSWKQLVEPSVLRDALGGNDKAISLALGQIHHRSLESQEHLVSSPYKKALLKEPVKDTTQRKRREATSSPRVQKNHSVFFSGIQESAHPLALWQHFKRAGKVKDLILPKKKDKNGNRYGFIIMENDIEADLIITKLSGRLFDSKPLYLTKARGRNSGAPSPQMKQINHLQTTPPMSSPKQSFEPEMKEGILQEPKAKEGSTLGNTPQHDGGNTKEGDIGYDASEINISPSEDMRCIIQRSLFLRTAQIETVYSTTMIAESLGVRNVQIRGISGKTFLAFFANMEDLTCVDRELLSIGFEEVRDIRFEDIIPLRKTWVEVRGIPVMGLTEENLKLILQDLGNILFFGKLVDKESFYVQPKLWIETGKMEEISVYKRVKLLGKSWRIRIVESNDKNGWLGDENDEWYSSEKKVKSGGSEDLGANDTTVKHSVNMVSSPSVVMDEAREGVQDTTGQISLGTGAMENHIDSPSSKSVESSQSLVNPLTPRGAPIIDTKVYASHSSHSAHISNEKHRSPEVPIEHARSGSHTEDPQLKPLKAEHNSQTQLTMHKMQEFADEIIQTKTTNWIPRNIKSSEISSDVLCISSPLFLLPLPLPLLCCADTMEFIWDIPAHNAYKINVHCELTNEPSPIGNTVALGSIIRDFSGSKCWGLEGPVNGLSEEQGIMAAIQAACVYADEKGLEPIHIETTNVGIFELVSSQDQYVIPVELLEAFRLFNTLHANNVDNADGANPRRISWIPHHMNSAAVYMAEHGLSNLTEMVELPGSSTLGNLQFFLDRDMGRVLPNPQMVILPNLGLGEVEDGIPPPPVNHSFDDPVPRSISAAVHDRKMKGPMLTGNSPLKGCSSKSWEIEAPIPLLLAKGKDMLYGGYAFYSNGSFSRKAVEILESGMLAEISPVFAQKNVNLEAHVGKGLLAKDILNYAMLGCLYVAVAILQKPNSPQMTNLGSGSVDDKKPVILPEENHFMQAAAVAIEDTPSLLPMPSTLPILDSVDVSTLPELPLLPVSDLLVEMNMSSPTVGMKRPRPEDDA >KZM80863 pep supercontig:ASM162521v1:DCARv2_B14:216981:219142:-1 gene:DCAR_031543 transcript:KZM80863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPDQCGKNISNSSSSSSHVMKLRKGLWSPEEDDKLMHYMLSNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIIHFHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSSSSTPNTSDSSIEPKEISTTAGIFSIPPHCSTTTMSNMDSSSTLSPSSFFLQSMGLSYIVDPKMPMSAGNGINLWGTTRSYLNVPQSLQGISGNGNFGGNIGMDCELHVPPLENINIAENLGCDEVNKSNAIYSNSLNNSSKVETIGGNGNYWEGDELRVGEWDFEELMRDVSFLPALDFHLID >KZM80869 pep supercontig:ASM162521v1:DCARv2_B14:332949:333567:1 gene:DCAR_031549 transcript:KZM80869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFQLENLQKQLENLQQLVDLLMQKEVISNQQIMSLQNDVTVLQNTLLNNEQISQQIWAQFSNEIKSFKIDVKADIAGVKADIAGVINSDMYEKIVNKMTVMFNEVYRRLPEPVAQSRAENVSSRAQNVSSSTPQNF >KZM80874 pep supercontig:ASM162521v1:DCARv2_B14:367345:373841:1 gene:DCAR_031554 transcript:KZM80874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINGNDELKSPLLQPSDVTLNADRTTKSIMFKVSGIECASCATSIESALEKLSGVESVMVSPLQGQAVVRYIPEQITAKKIKETIEDAGFEVNDFPEKDIAVCRLRIKGMACTNCSESAERALSMVDGVKKAVVGLALEEAKVNYDPNITDTDQIIKAIEDAGFGADLISSGSDVTKVHLDLDGISSPEDLSVIHCVLESQEGVQYVEMNLEEHKVTVSYDPDIVGPRSLIRCIHEAGQGEKSYHARLYNPPRQRETEKKHEIQMYRNQFWWSCIFTVPVLMFSMVLPMLPPYGNWLDYKVHNMLTLGMLLRWFFSSPVQFIIGRRFYIGSYHALRRKSANMDVLVALGTNAAYLYSVYVLVKALTSATFTGNDFFETSSMLISFILLGKYLEVVAKGRTSDALAKLTDLAPDTAHLLTYGADGNVISEEEISTQLIQRDDILKIVPGAKVPADGIIVDGQSHVNESMITGEARPVAKRPGDKVIGGTMNENGCLLVKATHVGSETALSQIVQIVEAAQLARAPVQKLADQISKIFVPAVVVAAFATWLGWFIPGEAGFYPKSWIPEAMDAFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGDALEKAHKVKIVVFDKTGTLTAGKPTVVSAKIFSKFSMEEFCNVVIAVEANSEHPIAKAVVEHAKKFRKEYGSQTEQSSEVKDFEVHPGAGVGGKVGERMILIGNRRLMWASNVPVLPEVDAYISENEELARTCILVSINGRLAGALAVTDPVKPEAARVISFLQSMSITCIMVTGDNYATATAIGKEVGIQEVFAETDPLGKADRIKELQMKGTTVAMVGDGINDSPALVAADVGLAIGAGTDVAIEAADIVLIKSNLEDVITAIDLSRKTISRIRLNYVWALGYNILGMPIAAGILFPFTGIRLPPWLAGACMAASSISVVCSSLLLQFYKKPLHRNDN >KZM80879 pep supercontig:ASM162521v1:DCARv2_B14:478180:480054:-1 gene:DCAR_031559 transcript:KZM80879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRTQDYEILELSEDAMTAYSSNPSALQISPNKNKATTGKQVHGIKDRPRKIAGYHFEGKKKSFDDSHDDANDYGMHRNKISQTIRTHPANTKPTKQTPNSAVITDFRYWNHLPPDLLRLVLNRLNYQERVCLRATCKNWNSILYSATDLIHDIPLRTVALILMKTSSERFKHFFNFLIFWIKDQNDATVRALLNHIPIHQLYQWGHVINRPDESMFTYFMTMAQRLGNLDAEFYWVCKSVVLRNHVCYDVLDISYKIIQDLSTRGHMLSYLFKNMMDIYFFPKKRSDAVTAIAQMITTPTTKPKISGMILALKKIGGHIYPDTIFENLTGPPICNAQLPNEDNHYTPDGYPVHPDQMDEFTCLRCKVALLMGCLSAYLTQSIDLYLDLF >KZM80886 pep supercontig:ASM162521v1:DCARv2_B14:537449:538888:-1 gene:DCAR_031566 transcript:KZM80886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVALFGKRVVQSMYIFCGISRSFCGFSANSDGLHDGFRRVEEHLNLDGKGCDFGLGSDEEPLFSSGRRFFEQARCDAQRAVEVLFRDGPTFDAKEALDDMEIRISEVLVREVLFMILTDINNANKSRNARLGYTFFIWSSQQGNYSHTVYAYHLMMRIFAESNELKAMWRLVDEMIERGCPTTARTFNIVICTCSRAGLAKKAVERFIKSKNFYFRPFKHSFNAILCSLLAVNQYKLIEWVYQQMLVEGHCPDILSYNVLMSAKYRLGKFDEFHGLLDEMARNGLSPDFHTYNIILHVLGRGDKPEAAFNLLNHMKEIGIEPTVLHLTTLIDGLSRAGNLDACKYFFGEMRRYGCMPDVVCYTVMITGVIVAGDLASAEELYKDMINDGQVPNVFTYNSIIRGLCMAGRFKDALHILEEMEIKGCSPNFLVYNTLVRCLRNAGKLSEAHKVIRIMVEKGKYGHLISKLKRHRRQRGG >KZM80889 pep supercontig:ASM162521v1:DCARv2_B14:558896:560986:-1 gene:DCAR_031569 transcript:KZM80889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGDFLGDYSLGFQNLNLKSSNRKGHGGGATGWTREEINITNFIWANTKWVDKQILERLNVGDRATIISALEQIHWRSLEDKHFREGGARKSYSEILRQPNNPMVDKEKRVVGDEYVGEWKQVSRKKKVKQVKSGYRGEVTTIFLHGIPDTATGREVWDLFMSSGLILDIILPKKRDRKGIRYGFVHTSSELEAGAIICNAKMNKRLGSKIRMSINPENQVLRNSGENPNRDGAKSKIQGIKADDNSGGEVEFEKKLFEFTEMEIDTEVEKALSKYKVGYTWFVEDAEELQGKLQDIGLGKYRVFALSNRKFLIRKDKSDSWEELETTDLSVWFCKLGNFEETDYTMSRIAWIECRGLPMPAWKDENLKSFTRRYGRWVSWTYQSDNLKEFFNPMVCIDTMSIDAINDKLTVLYKGKQIVIDFKEIEDISRLKGKILPMEFLRSSHPVRSQAESINKNGDTDAGMGKEPQSNNSEDKGIANNEGSVFEDKENKEEKVFENIDEQVNVMEVKGEEPKISRDKNSSPSRNIKKVRSFTAKEPVKEVTRQRSILSLSISPTSREVADTENSEKSEVYQIARNVSSHSSLCIDIHKKLKVKSNRGRPKKINPIPRNPFEIGIKFKNKKAKGRGKRGGKYLGCPQIPKKNLQLVPAKLIGGTVKEALEILSSVEGMGLEVASNREEALKVIIHQLDSGEL >KZM80868 pep supercontig:ASM162521v1:DCARv2_B14:317043:317432:1 gene:DCAR_031548 transcript:KZM80868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSLAFCTLAAILCSIATNYAVANPHHHYVYKSPPPPATSSPVVYTSPSPSPSPTPAPAPYSPSPSPSHTCVCHCPLSPSPSPSSPYVYTPSPAPEAPAPAPKSPDTYKSPAPAPTKHYMYKSPPPPY >KZM80851 pep supercontig:ASM162521v1:DCARv2_B15:101429:102064:-1 gene:DCAR_031576 transcript:KZM80851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTFSSLEKHCVRMESRLKESLLFNVFNYDISMMKVHQNTVQGPSTDSDEDNMGKETWGXESWGVSSSMQ >KZM80856 pep supercontig:ASM162521v1:DCARv2_B15:434750:438198:1 gene:DCAR_031581 transcript:KZM80856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRLAALEAKLLASQPSREDYSTEGERAAEKARGKRVITGVSEELIDSALKNQFSYSHDEYIPEFVDDRVIRMVGADDDDLEEGEIPDKEVFADELAYHNDIFPPEEFEIANPQDIADVSRDFAEQRRAREKLENQRRIRRERRLANLHKDGAEWDAARSVFDFPEVTQDNDDDEVKDIFDSFRNNYKDLHDYHEVLNDIISTVSVAVLPRRGWMVNISFELQKEGHGLKHVSSQFLRDLSLTELFVVRNKVISTGKKHNEVFRDMVEEWITDIGLEIHDKPSVIKYFKDGMIQSIGLTDEALSTYNPRILKYLEAQFREKCSRTSKGRLTAELLYAYRLNFAALRDLDLSAINRQPPYPLPPLNPEVPENPNAPVVTYNPTSVCVI >KZM80854 pep supercontig:ASM162521v1:DCARv2_B15:217652:218092:-1 gene:DCAR_031579 transcript:KZM80854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALGLQAAMSCLGEAGYGVVVEGGWFEQVSGEVSSEVSSVRKEEEGVGLCRTAWGIEEAATAAMKLLMTLAGCSFDRGKGEPRERAENGWGVMFLCSAGWCLNGDYNFLAVVCISCGCDEKEAEKRKIRLGGWVGKNWLFVLVGL >KZM80849 pep supercontig:ASM162521v1:DCARv2_B15:51163:53148:1 gene:DCAR_031574 transcript:KZM80849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKLDSLSQSVADGFSKIHDALESFSILLHAANLPKGEKNDRLDDRSDKEIKTNPQRNHKIHDALESFSILLHAANLPKGEKNDRLDDRSDKEIKTNPQRNHKGEQDEETEGELRKDVSSSQREQGAFVQGEPNTVETVIDGEKVLDSVSC >KZM80853 pep supercontig:ASM162521v1:DCARv2_B15:192288:192641:-1 gene:DCAR_031578 transcript:KZM80853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVATSSTAAAAHHLLISLSTSSRCSLFFILLSFAAVAGVALGLSPPATPQPPPAAIILATFQISSLSLISNPSPSTSSPNLVHDGSPPPPPVEPPRFLNAAVVAIVHANPTGELEQ >KZM80850 pep supercontig:ASM162521v1:DCARv2_B15:81527:81793:1 gene:DCAR_031575 transcript:KZM80850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIVNVTNVDERVHMETEDAVTKTTVNTSISEATVVERETTVNEAVVTTGETYIREAVPMEIDTAVIKRLMWKQKLLSTLMYSMKLF >KZM80855 pep supercontig:ASM162521v1:DCARv2_B15:278555:278794:1 gene:DCAR_031580 transcript:KZM80855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQTTICRRPPLAPPESVLPTSVRHQIVQKRNIHHQESVGGFVFEKGAANWPEIRPEVRRCRVLRELRPACTQLVYLF >KZM80852 pep supercontig:ASM162521v1:DCARv2_B15:156407:160015:-1 gene:DCAR_031577 transcript:KZM80852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAIIPTIDLSPLIAATEYTKSQAAGTVKSNRPVNKIGTETVKKELSRACREYGFFQIVNHGIPVELLNRSLELAKTFYGYPDEEKMKRGPGAPPKGGFTKNPQHLPDRNEFMYIFEPGSPKNVIPENPPQFKEVLEDIFPQYRNLASLIEDIINDCLELPPNFLKEYNDSRDYDHLLSLHYLPASDFENSGKVEHEDGNIVTFVLQDDVGGLEVLHKGKWIPVPPAPSTLVVNVGDTLQVLSNNRFKSATHRVVRQKGTTRNSYAFFYVLDVDKWVEPLPHFTTHIGEPPQYRGFYYKDFVQGRIQDRLNPPARLEDRFCIKHYAISTSSGA >KZM80848 pep supercontig:ASM162521v1:DCARv2_B16:732830:733210:1 gene:DCAR_031587 transcript:KZM80848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYIPVQFNEDTHGLAVLGLSRTYPASLWTPLFNSSAANCRISSVFDKQVVNLDQGIWNGFKARDLLELAVIGWEARSGEGSSSSFSIHDHETHKDAVIESSILNSDLMEVAQMMVEWDEFASRSF >KZM80843 pep supercontig:ASM162521v1:DCARv2_B16:163360:164355:1 gene:DCAR_031582 transcript:KZM80843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEVLVKAGIPCCRLVQDAGEFVVTLQRAYHSGFSHGFNCGEASNIATPEWVRLARDAAIRRASVNSPPMVSHYQLLYDLALSLSTRVPMTT >KZM80845 pep supercontig:ASM162521v1:DCARv2_B16:337358:339449:-1 gene:DCAR_031584 transcript:KZM80845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKYVSFKDVSKARYDWKVQARVMNLWRGVSTKGEPFTGFNLLLLDNKRCRVHAFVPGILAAKLEAILEIGQIYLFENFTVKDYKADEKSRPVRKNWQIVLGQETKITSLDENEVAIDKAAFDFYDLADLKDLANQSTYLTKQINITNVTATTFYINWNHSSVAHMRKMLSQPEFEEYNKSVPKWKPIQILSIDQIKNVKAEDSETEVLCKVIIDQVMQDTWYKNICTSCYSKFQVVGYEMNCIQCPRAVPYAEKWFEIFCMASDATGTIPIMLDNFSAMKCFGKRAYDVYDKEIEVFPEIIKSLEKRLYTVKILITIHNITGRDKVYTVKDMTAGHNIKTEASESQDTTPKPVQDSYAEPSSSSYHLDSVSENN >KZM80844 pep supercontig:ASM162521v1:DCARv2_B16:302845:303087:1 gene:DCAR_031583 transcript:KZM80844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPRGSNPPLVLFCRHPTSSYTARHQCTAEELPKRNIELSFGARRRGRCPLSYGHSATGLVFSNKLPNGGPDHTCKLP >KZM80846 pep supercontig:ASM162521v1:DCARv2_B16:339868:342309:-1 gene:DCAR_031585 transcript:KZM80846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPSSKEKKDSWVEHNTSGILQYIDPISHGFRTPHVPTFNSRQPLSNITNLGGGLNASPSSVVGNSHGFRPPNVHTLNSTEPLSNITNLGGVLIGSPTSESHGFHTPPLATLNTIQSLSNITNLEGNRTRNCGRKAATRRAATSENTPQTAPRDSTGTRKTNRVHKAATRSTTTSTNNSESAPKTKTVPRSDIFKNLFASTNSPQSCTTQNVRNRSVDTTQVRCSRLFQPTNDDTNYEEIENSRPTDIPSEDEERLSDYGEIRNPTLLLNLEHLLQQLRSLPTGLEASRTKIQLNVKSWLILLAPR >KZM80847 pep supercontig:ASM162521v1:DCARv2_B16:528774:530552:1 gene:DCAR_031586 transcript:KZM80847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVKHLNGAFLDRLEESEVSVLGLPFSTVIFKSTIFYNLRSHGCNLVALYLKFLSYTSAGTGSEVGPDTFPLLGGGLSGPYCCGVGADKAFGRDIVNAHYIACLYAGINISGINGEVMPRQGKFSFLQPCCFSRCQLIITL >KZM80841 pep supercontig:ASM162521v1:DCARv2_B17:286039:286434:-1 gene:DCAR_031589 transcript:KZM80841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFYSRLRLRSKYSRGASAPAPGGVKIPRALRIKSPSSPKSSPSSSVLGLGLGLGGGGGGQHYSFPSWPRHLSSSFKIELDNSSSGRPSSLNIELDNCSASFIKCETSFDSESGKASFRVEANICKENKL >KZM80840 pep supercontig:ASM162521v1:DCARv2_B17:65512:71572:-1 gene:DCAR_031588 transcript:KZM80840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEKTKKSKASKKGKSKTDGKNKDIDSKKKRDLKGKELAATKPSYNLQRGSATMCTDLKSLQCLHQARLRGFLRKLVMRQNWDEVSGVLSVLMKTTCKDRSPSLNRTKYLAALELLQHTDSEASSKNKFQDVFETWMRKLATPREWQTKDRFLVQLEYIMFCLTRGKTEEASQAVIWLRQEREFQKNRLSNLVVGLVYCQEWYDTLCMEFPTLNLRRAPDQSEMSEPEFEMSFEDPRSYIADDFQEDNHTVKCNSDASVGNYKEMECEGDPKVSSNVDIQMQTPHSFHRPQSFYVHSSENDEEDSFSGYASIFNVQGLEPWWLASRVLYTVKDLDEFLYLQKKVYNESYKGALKYLRAALDSTPPTLEALFPLVQMLLIGDQVKEAIDEVEKFSSDSPVYARYKASLFEHFDSNNHIRLSTCFEDALNKDPQCTHSLTRLLWLHEQGHYSTEKLLEMIALHLDATYADRNIWKEFASCFLKLSPSEEDRISTCNDKTLQGQSKNFNRKPVRVADSVMGKEWKLRCRWWMTRHFSKMILVSEFEADDVQLLTYKAASACHLYGQDFDARTALHFAAVNGHVRCLRLVVADFVPSCPYGSISGQANGGDASNH >KZM80842 pep supercontig:ASM162521v1:DCARv2_B17:356189:359723:-1 gene:DCAR_031590 transcript:KZM80842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFPPLPPHGLVAAAAPGNAPVVLDEMPEVASPPLNVVPLASSDENVVLMAPNDVDDVQDHSSFDLVAQMPAAVLLGEFDEAASSSDSVAQVTQGVRGVSPVNARGAPVLDNARVVREAGPVSARAVSAPLSARGVREAEPVVDLQACSQTINHQRTMLDVDLLLFMDAFPPLPPHGLVAAAAPGNAPVVLDEMPEVASPPLNVVPLASSDENVVLMAPNDVDDVQDHSSFDLVAQMPAAVLLGEFDEAASSSDSVAQVTQGVRGVSPVNARGAPVLDNARVVREAGPVSARAVSAPLSARGVREAEPVVDLQACSQTINHQRTMLDVARGERMLMKMGLHRLKTNVA >KZM80800 pep supercontig:ASM162521v1:DCARv2_B18:98356:105613:1 gene:DCAR_031596 transcript:KZM80800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPMVIDLDEMNKPEMSSAKKSLKRKRVSMIESLSKEDREARIVALREEMSSLFAYFGEILEARKRDGVDLGVSGNSLIACLLEESRLPLSKLVDEIYEKVKEREEDVSVASVRSSVLLIGQRSFYGLANVNADVLEDDSEACLWCWETRDPKLIPKSMRGALKIWRTCRKKIQERITAVSALISALEKVDHDQTHRQELTKASERLGKVLSEADIRLLIGSMVQKIGADMAEKGGKREQTLLIKQMEKNKREAEKQKKRVELELQKEKLQSEKELKRLQDEADKEDRRREKEESELKKQLKRQQEEAERDQRRREKEEAELKKQLALQKQATLMERFLKKSKNSPPSQKAASPGDIRTADLPRNKATQVLESVTLSMDSILSQYDGAGAENLWKSHLNSWRCSGHSIRTDRKQHWGVRRTPKTELIKELKLTGTEEHCDEELITEKLADDSGESNSNCRECHTNKDEPVSKNLSRRRMRQLLQFDKSHRPAFYGTWPKESQLIKPRRPFNKDPDLDYEIDSDEEWEEEEPGESLSDCDKDDEEEILEDGPSKAEEEDESEDGFFVPDGYLSENEGVQDKMESDEDLVQAANSLSSCKADSEELSVFFRQQKYLHNLTENALRKSRPLIISNLLHEKAIPVLCGASKGTSELEQTCLLALSMRALPSYPTIEISLSEDVKDENLEASPSSNKGKTTPVADTKAILDSDLSEMVPIIQSNSQGINKVVNSLQQKFPDISKSQLRTKVREICHYTDNRWQVKKDILNKLGMSPSPSPDTSKKNMKNIASFFSKRCLPPEGKTENQVEASSTPPNDQLSNCIQIDT >KZM80808 pep supercontig:ASM162521v1:DCARv2_B18:178047:182986:-1 gene:DCAR_031604 transcript:KZM80808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSLDHLDDTKDKPPSAGESPSPPPPPRRRERDSRERRDNIDNNPDRPPRRDYYDRNLPREREFNKRRASLSPPPPVFHRDRRNYSPPRRSPPMQSYKRPRRDDPGYDGRRGSPPGRGGYGAGDRRFGYNHPNGHDREIAGRTGYPDERPHGRYAGRPSGGYESGPSGWGPARGGLTDAVNMSRSQREGLMSYKQFIQELEDDILPSEAERRYQEYKSEYITTQKRAYFNAHKEEEWLKDKYHPTNLLAVIDRRNEHARKIAKDFLLELQSGTVDLGPGINASSAGKAGQADATSENELDVGGKTKRHAKGSAKENDIPKAHPVSSEPRRVIVDIEQAQALVRKLDLEKGIEDNILCRTDSERTSREKSHGGSSGPVIIVRGSTSVKGLEGTELLDTLMTYLWRIHGLDYYGLIETSEAKAFRHVRVDGKNSDITSNGVEWEKKLDSFWQERLNGQDPLEMMTAKEKIDAAAGESIDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELLLEVTSKVREDLYFQNYMDDENAPGGTPVMQPTILV >KZM80816 pep supercontig:ASM162521v1:DCARv2_B18:251350:253083:-1 gene:DCAR_031612 transcript:KZM80816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQHKTSYKNRKTVKRRVFNKSYTEIKIIIMNGVRGSGGGRQSIRGAPPQGPNRVKERDEDLLLFKELHKKDRVVSLLQPVSDEFEPTGNTEVAKQASSTKSTIARSKSPSPKSKVPFRSTTPNRKQMPNPSAEQKKNSKSAPTFFSQKPSLAVTNTSTEQSDRLDATSTPTNSSRISTLPNQRESHLNFFSSNLSKSIGELNLSKTKPTSRGVSPQVMRSIKTTAQTLGVSDETPPNLKTERSASALRAQADRASSALRGRARRPVSAFRDRPETQNPKQINPVVVETATKTVNRRQSCSPSVTRGRKVVSSSTEENSATTPKGKLQGQVFGSRMVDKFLTARKSSTEEKAGPVLRSRMGDSFSSSKKSSDEEKSNGNGRIYGSINESSGFGRLMAKSSLDVAVKHMVHFFVFFKIHPDFGTV >KZM80821 pep supercontig:ASM162521v1:DCARv2_B18:332942:335289:1 gene:DCAR_031617 transcript:KZM80821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPLAQKVFVFGSFSEEEARNLLNPSAENPVDKNGLLAGSLNNVPGLCFGSFDADLSISLPSYSNGSANFKPEGDEGKATQSVNVKVNGDVENSLHCSIIGNGSKGTTKEDELSESVLDDQVGSLEKLRLTSQDHNVVSLKHGSLNGTSHGNLQKHCEKAFDGPAKTVEDLQPRGLINSGNLCFLNATLQALLSCPPLVKLLLELKSHSISKVNYPTLAAFVDFVSVLEPNGITLKKKDIHVLETGRPFSPSMFEVVLKKFTPDVSSSISGRPRQEDAQEFLSFVMDQMHDELLKLEGKSSGNGGKLSLVSSSDDDEWETVGPKNKSAVTRTQNFVPSHLSEIFGGQLRSVVKARAT >KZM80803 pep supercontig:ASM162521v1:DCARv2_B18:137484:145436:1 gene:DCAR_031599 transcript:KZM80803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALLRRKKDIFYSLRGPTCLLRGSSRVVDSLDVDVGTVHSFRDSKNTNYRSSSSLAKEEVLIFSAKGFLRRIASTNQSLYPGITEIGFRLPLRGRSLAESIVHFSSAGTSKLEPDSGNDEKADTLKKEASPEECDEAVEGLTTAKAKAKAKQLQESKKDTRSILEKVWAFFLGIGPALRAVASMSREDWVKKLRHWKDEFKSALQHYWLGTKLLWADVTISLRLLQKLANGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVALKLFPNMLPSTFQDKMKEQEALKKKLNARIEYAKFLQDTVKEMAKEIQNSRSGEVKKTAEDLDEFMNNVRRGTRVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKSLQKIKSDDKMIQAEGLDSLSEEELRQACRDRGLLGLRSVDEMREQMRDWLDLSLNHSVPSSLLILSRAFTVSGKLKPEEAVQATLSSLPDEVVDTVGITSLPSEDSVSERRRKLEFLEMQEEMIKEEEEKEEEQAKKKESLEKQKDVALEEMVVPTAQEADKLAKAKTLDKQEQLSELSRALAVLASASSVSREREEFLRLVNKEIELYNRMVEKEGTETEEAAKKAYRAAREESNDTEEKTVDAKVSSALINRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGIVSPEELASAAMYLKDTLDKEGIQELISNLSKDAGK >KZM80807 pep supercontig:ASM162521v1:DCARv2_B18:172769:173575:-1 gene:DCAR_031603 transcript:KZM80807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDRGRRERDNRNNGGERYDRADNPQSMDFQSNNDGQDGSNLDESMFDGFNGQGMHFPSDMAPPPVLMPVPGAGPLGPFVPAPPEIAMQMLREQGGPSPFESGGRNGRSGSHLGGPAPIIALPPQFRQDPRLHLNALSTRITMTAARYDDLDAPEDEVTVIDYRSL >KZM80815 pep supercontig:ASM162521v1:DCARv2_B18:247880:248461:-1 gene:DCAR_031611 transcript:KZM80815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNNNSTSSRVSVVIKPLFSVLSSLAKGKWGTIKTKTETLKAKLVIFSLLKPKHLPALGAISHKIHALVAGSGASDQQHPDRDDQVLDEVQQQQMVEYYYNNYYIDDHEEDDNKYPDLRHCFFDEEEDDGDDPNASAIDQVRNSKQEEGHDFSLEDEIDQVADLFINKFHKRMRIQKLASFKRYQAMLSRST >KZM80824 pep supercontig:ASM162521v1:DCARv2_B18:351592:360202:1 gene:DCAR_031620 transcript:KZM80824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVGRDFGGSMRKEAVPPVSADVIFASSRFPNYKIGANNQIVEVKEDLKVLSMKEVVARETAQLLEQQKRLSVRDLASKFEKGLAAAAKLSDEARLREAASLEKHVLLKNLRDALESLRGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKTEVKKLANFLKQASEDAKKLVDEERAFARAEIENARTAVQRVEEALQEHERMSKATGNQDIEELMKEVQEARRIKMLHQPSKVMDMEHELHALRIQLVEKSKHSLKLQKELATSKRAEQNTPYLYELDGTEALGSYLLIQPCSDVAPKLSECSIQWYRLTTETGKKELISGATKAVYAPDPFDVGRTLQADITKDGLTLTLTTTGPIDPAAGLGNYVEALVLRHDTEFNVVIVQMNGAEHPSESIHVLHVGKMRMKLCKGNTTVAKEYYSTSMQLCGVRGGGNAAAQALFWQVKTSLSFVLAFESERERNAAIMLARRFAYDCNIVLAGPEDRTALAS >KZM80805 pep supercontig:ASM162521v1:DCARv2_B18:158071:164304:-1 gene:DCAR_031601 transcript:KZM80805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSRIEEDKALQLCRDRKKFVGQALDGRCALAATHVAYIQSLNATGTALRRFVEPEGPAESSLFTSTRATPDVAGFTNKSHSQYSLSPSSLSQRVDASENLSPAPSTPLSSLYVANHMKSSGSFSKKVVEKPTVAVLGSVTSSSTPKTTPHSTGRADESPFDNPPIAPDAPPWDYFGLFHPIDNQFSSEEVRELNQGMEGADEVRNTKENSRSSALEGEGVKDSSPGREELLESEDEFDDSSVDKLVRSFDNINRAVDHSGVTDKPSTPFADSIASETVVQNGDKYNSPALSPLRTASGVVFPIDVKTTPLKENGIGDKVASKGLFSSMKDIEYLFHKASESGTEVPRMLEANKFHFRPIFPGKESGSLAATLIKNCFSCGDDPSQVVEEPAAQTTTKYLTWHRTTSSHSSSSRNPLGAQATDDIGDLTSNIYENFCMVNGSHASTLDRLHAWERKLYDEVKASGIVRKDYDQKRKLLRLLESKGQSNDKIDKTRAVVKDLHSRIRVAIERIDSISKKIEELRDKELQPQLEELIEGLKKMWDVMCECHKLQFNLISETNNNFNNYISFQSDSRRQIIICLETELGTLSSSFTKWMEAQKTYVQAINGWLYKCVSLSGKSSKRRSRVPAPPLRNYGPTIYVTCGVWLEELEKLPSKEVTDSIKALKAEVSHLLPRQEKHQGKGTNHMNSTTWQGGNHIESGFNASRDEASENWITGIGRFRSRLVDFFGQLNRFADSSHTMFVNLQESIEESKRSYAQKNSQSQRTK >KZM80830 pep supercontig:ASM162521v1:DCARv2_B18:442194:444061:-1 gene:DCAR_031626 transcript:KZM80830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSSKSLGLMLSYTTLLFLASVLIGSVEAYKNYTVGDSLGWYDTLEKPHVNYQKWVSGKTFSLGDFLIFNTDTNHSVIQSYNFTTYKLCDFDDALSNDTSQLSAADPSAASPSAVYLAVPLVKVGMTYFFSSDYDGEQCKNGQHFKINVTYGQGLPKSLKEPADEAPGPADPESGDDQPTPATSVPSSFNNPRDISSDDDDDKDDTKASDSVSLAEFLNLYGRLLNGFFVLLGIVCIV >KZM80823 pep supercontig:ASM162521v1:DCARv2_B18:347187:347354:-1 gene:DCAR_031619 transcript:KZM80823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIDNLLRRLIEGNLSLMKNQAMNAGRRQTRQILCNLNLAPVRAQRRSYHISGC >KZM80837 pep supercontig:ASM162521v1:DCARv2_B18:648330:651356:1 gene:DCAR_031633 transcript:KZM80837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGFFLLRQKIRYSAGYNSDHHTLATMLKSCAAISDIKLGKTLHSQVVKLGHNSCQFVCKAILNMYAKCNIFDDCLKLFRQNSSNDAITWNIVLSGFSGSRIHDSEVMRLFNKMHTAEDPKPTSVTIAIILPVCARARALGAGRSVHSYAIKTGLESDTLVGNSLVSMYAKSGLICDDANAMFHMITEKDVVSWNAMIAGFAENKFTGEAFRLFCWMLKDSAVPNYATIANILPVCAGLEENAAHRFGKEIHSYVLHRPDLMDNISVTNALMGFYSRIRRMNEVEYLFARMKSRDSVSWNSVIAGYCSNGESMKALKLFHDFVSVAALKPDHVTLVSILPACSHLCNLKAGQQIHGYIVRHPGLIEDTAVENALISFYAKCNDIKAAYRIFLLIRKRDLISWNSILDAFAESGFDTDFVNMLKWMFREGIKPDSVTLISTVQFSATLSRVGTVKEAHAYAIKAHILLGTTELKLRNALIDAYGKCGNMLYASTLFESLSENRNVVTCNSMISGYVNCGLHDNANMIFTTMSERDLTTWNLMVRVYTENDHHGQALSLFFELQNNGLKPDALTVMSILPVCSQIASVHLLKQCHGYVVRACFDDACVLGTLIDLYSKCGSIGSAHNLFKSAFMKDLVMFTAMVGGYAMHGMGSKALGVYFNMLELGIEPDHVIITTILSACSHAGLLNEGLKIFDSIDKLHRLEPTMEQYGCVVDLLARAGRVNDAYSFVTSMPVEANANIWGALLGACRNHHEVEIGCDVADRLFSMEANNIGNYVVMSNLYAANARWDGVAETRKLMKTRELKKSAGSSWIEVEGRNPGYHGKAVEAILSPLSMR >KZM80798 pep supercontig:ASM162521v1:DCARv2_B18:53388:56702:1 gene:DCAR_031594 transcript:KZM80798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVSSLPDGKSKDLLTMKQDKEEWMEYDQVMEHVVEKSGLDKSIFYDLRGNHDNFGVPAVGGPLDYFSNYSLNGKQGRNGLVNSVTIQTGKRRLLFVGFDSTMSLGLRGPTNLFGHPTDKLLSDISFELSQWDSQSSLPVTKISFGHFPISFSASSYSGKTLKNTLLNHSLSAYLCGHLHTRFGKNLKRHHVSNHRLLSQEYMQLNPHEILSEIVKNCSTEAATPTKEFWEWEMGDWRKSRAMRILAIDRGDVSFVDTDIKSEAKTTIILVTYPLDSRFMSTILSARKYICQEVDSTSYGTIRALVFSSSPIVSVVARIFDSSLGYLVIMEEFMQKKENESASSREVLYDVPWNFKAFEDPSPDRYWLQIEAIDIKDQSTVTELRPFSVHGLVAKVSWTWKEFLVMGCQWAALYFPIFWIFYIFMFSVLLIPRSIIIFSKTQWSYKNFIANKNFTTFIVWVSSELYKIPKVWFLVVAYLCYLVLCPWLIGQVLTDGEKGYMTYKGWVLNINSIRNLDFLGYPDIMVIVFPHLFFVVLPAVLVIMALAAERAMYQDFLLSLSGKKKDDYNKRSALSNHDRNNSLKLYLQKRWFRIILFVVSLAICLKHYQNCRALVKAYEMNPLAHFSLYCLSIPLLLAYVVYKTGKA >KZM80797 pep supercontig:ASM162521v1:DCARv2_B18:23910:25235:-1 gene:DCAR_031593 transcript:KZM80797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRGGGHDYEGLSYVSKAPFVVLDMVRYNKIDVDHEAATAWVQSGATLGELYYSIAQKSSTLGFPGGFWYTVGVTGLIGGGGYGNLRRKYGLAADNVIDARIVDVKGRILDRNSMGEDLFWAIRGGGASSFGVILSWKLKLVSVPEIVTAIQLDRTIEQNGTEILHKWQSVAPNLPKDVEIRVIAATIWKNRPNPVARTVLTDDSIARNRAEKTILLRFSGYFLGGQEKLVSMMNKEFSELGLTKEDCTELSYIQSAQRFSLLSTSESPEVLLNRTSFRIPFKAKSSYAERPISRKGLEGIWTRLLEYDPGTTNFVFTSYGGRMNEIAESAIPFPHRAGTLFMIYMRVQTDGDAEKRIEWIRELYEYLTPYVTKNPRTSYVNYNDLDLGVNNEEGPTSYKRASVWGKKYFKNNFDRLVRVKSSVDPGNFFRHEQSIPPFS >KZM80820 pep supercontig:ASM162521v1:DCARv2_B18:315808:320133:-1 gene:DCAR_031616 transcript:KZM80820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPRKSFLPLFILSISLFSLIIFSLYPSSPQSLPSKSFHSHLINPTQSFTLTIKLLTFNRLHSLSRCLTSLSSAHYDSNRVNLHIYIDHFANGSPDLDQKLNGSHQILDFVDGFVWKFGDKIVHYRTENVGLQAQWLESWWPSDDNEFAFVVEDDLEVSPLYYKFLKGLILEYYYNESNYSPWIYGASLQRPRFVPGKHGNKIQLDGGTRLFLYQLVGTWGQLLFPRPWKEFRLWYDIHKNKGIKPYLEGMVTTGWYKKLGEKIWTPWFIKFIHARGYFNIYTNFLGDRALSVSHRDAGVNYGKSAGPDSYLLDESSLDFNLLEMQPLSNLRWYDFCFQEVLPGRVVKHINEFGSVLNSVHKSKTIIFVSLYETSEELIRNLICHFERLNTLNYIFMGPESSLLHDLARRGHPVIDADKFFDNTRAPNARSIPVSELELVKDTYVKSYVIKKSLELGYNSWLVVGYIVPYSSESFVDSYTRTCDFFMSKDKSAFFARSSSSAHKIWVDQVIANLEKMSNRDDSLARDGRRFMYVLDKVLENKITEFDFGVDINANAVEKNVFWFPQMGLDIVQKKLQNLSLWLVDDNLSCNAVICHSN >KZM80826 pep supercontig:ASM162521v1:DCARv2_B18:390552:392357:-1 gene:DCAR_031622 transcript:KZM80826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFECSKLELNQSIGTYFLSWPDIRFCAACSVEAELGKLKGAGLFRTPITGGADKNTSVVPAGDMETESMVADIADPNAKKDMEDANVKEDIPDPNVKENNSDL >KZM80811 pep supercontig:ASM162521v1:DCARv2_B18:212498:222821:-1 gene:DCAR_031607 transcript:KZM80811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLVITDPKNPLGTPSSSRSITETVNGSHKFVIQGYSLAKGMGIGKHIASENFTVGGYQWAIYFYPDGKNPEDNSTYVSVFIALASEGTDVRALFELTLIDQSGKGKDKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRAMLESSDYLKDDCLKINCTVGVVVSAIDCSRLHSIQVPESDIGAHFGMLLDNMEGSDITFNVAGEKFPAHKLVLAARSPAFRSEFFDKMVEDEQEVSVMNMEPKVFKAMLHFVYRDALPEDELVTSGSSSSISETLTAKLLAAADKYDLGRLRRVCESRLCQDISVNSVSRALALADRYHATELKGVCLRFAAENLAAVMRSDGFEYLKENFPSLQSELLKTVAGCEEDCSSGGGKSLSVWAQLSDGGDTNGRRVRQRT >KZM80802 pep supercontig:ASM162521v1:DCARv2_B18:112816:125523:-1 gene:DCAR_031598 transcript:KZM80802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILAPDVPIALRLSSHLLVGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNDILEGNYVDHHISSKEQITLQDTMDGVLNSTSQFGLDERFGDGDTSVLDPEEELFLSKVAAAGHDDFMFNSGSDPQSSVQPMASFNHAETTETTDNSAIMITSANKHSEEINDDTNHLEYDQAPRTPGLLEEPNLSNIQDTSACDDHLEFEHQNLTEFAVKENMENASSNSALYLGDRNEVNGALCTGLTPVPGHPTSDKDNSFLANDLESSQKTPQEEFSTVESSLLGKSDSISLIAPASANPVEDMVMNKICAAPAILNGAEDIQNGIISNNKPEISFNAKTSEDCLEVQGVGLGKTNTGTYDLNNTCQQVSEVFLKNHGTSNQTEFSNDVEISEDLVQSSPPSNTGKLNAEFVATSEHEKSLTQGTIDKSKEMLELGNSVHENVAGTEDSIQIKSSAAPNLLEREDGSLSAKLSTITQGEEFHEDNGSNQATQLVSCSNLNGQGENVNALDSQLETMTGSVCTEFPAPEKLLSVPEMDAHNSLTMGATPGQLFAQTEGGIDTNATVTGKKRSFAESSLTMQSLNSVDSSTMVLRRTTPESVPHDDDLLSSILVGRKSLALKVKETPQQSLPYLKRHKPAPRATASKRKVLMDEQMVLHGDMIRQQLTDTEDIRRLRKKAPCTRPEISMIQKQSLEDEMFSEPIFTGVSLELTSLHNQAYDLSETTISLDDVNIDHALSKDKAGTFFEATKGMDLYEEFDSRVAEIGGGSNSLDGRVNYEAQPAKAPVLVDYEAQPAEAPVLVDYEAQPAEAPVLVESQQGDGQSMSLDPASDVAEAKNSQDLHPETIEMDVDAVNTDVTAVVYSSSTVDVTRNGTGDQTAGVLQLDAGITNEVEVTPQIEAPVQTTDEQPNVLPVEIDANAADKKEHNVDIDVHDVDVTEDIPSRHTEICDSVQVETEVCTEGVAHTESVYPTTMSVDMVACGTYNLSDEHAVDEARQNEQALLEEDMFLYAAAEYNVNNLETGGVFGMEEVTNSLDPVMVDGDLRNSMHEENTKEFNIGEVDYNDLNYSAAGNDTEFLNYDEDEVAEADEEDVPNTEETRFIDNSGWSSRTRAVAKYLQIMFDKEAERNRNVLPIDNLLVGKSRKEASRMFFETLVLKTKDYIHVEQAAPFNNINILPRSKLTKIDF >KZM80810 pep supercontig:ASM162521v1:DCARv2_B18:209197:210802:-1 gene:DCAR_031606 transcript:KZM80810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMQVRVVTGANKGIGFETVRQLAISGVTVILTARDVKRGTDAVASLALPNVVFHQLDVQDDKSIHSLVSFIYERFGRLDILVNNAGASGVTVDEDGLRAMKIDPNSWLSGQAMNVVQGVVKTSYHTAKQCLDTNYYGVKRVTGGLLPLLELSTYGGRIVNVSSLRSELRRIPSDEIRKELGDIETLTEQRIDKIVDKFFHDLKHDMLEANGWPMMLPAYSISKATLNAYTRVLAKKYPNMCINCVHPGYVDTDINWHTGTMTVEQGAKGSVMLALLPDGGPSGCYFDQTQVAEF >KZM80835 pep supercontig:ASM162521v1:DCARv2_B18:575911:576840:-1 gene:DCAR_031631 transcript:KZM80835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDFLLLVVNNYDTERREIIVQGVQSSFDVLVRRGVVQSLDVLISSDKLFPFLKERIVKLLSERKVTSLENFQAGSFRGSTSLPDHPAAVGMESGTLQHDMSASASQNDVELGPPTNVTSCSPLAENDDIHEQSLESLVQNLGKHIRSSKQMGVQALDKILHLYINYLSSKAETTNFSISLDQLSSIIAKEFRCSGNQLFDKNWVQDLQSASAVIIRYFMFSQNETLLGMLLCWNRDGLTVGPCFLSYAINLAHEAHVLGYVTHPNTLTHPDTLRDSNKTTDSGMSLLKYHCEQYFCFMNKGKDLAIF >KZM80839 pep supercontig:ASM162521v1:DCARv2_B18:739169:740770:1 gene:DCAR_031635 transcript:KZM80839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYQEKATTQAFVCRDTRAEEELVVVSFRGTEVFDADSWPSDIDLSWLYQLRCGMGKVHGGFLKALGLQKSLGFPEEIEQVDDRPRDYKGFIHPVNQESET >KZM80813 pep supercontig:ASM162521v1:DCARv2_B18:240474:243206:1 gene:DCAR_031609 transcript:KZM80813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAVITQRHKEVEGKQKNVQAERRNRRVLGDIGNVVKAVDAGKPKNPIKTNRPMTRSLCAQLVAKGLPVVGGKDPKVVKRKDIQLIDDGVMGRKDASVLEAAAVKKKDSDQKPTVTLSCEEEIKPKSSGRKSRPDYSEKTEKAFTSILSARSKAACGLINKPQNEIIANIDASDVDDELAAVEYVDDIYKYYKLTEGDGQVHDYMPSQTDINSKMRSILIDWLVEVHRKFELMPESLYLTINIVDRYLSMKIVPRRELQLVGVGSMLIACKYEEIWAPEVNDFIAISDNAYNREQVLLMEKSILAKLEWYLTVPTPYVFLVRYIKSSVPSDPEMENMTFFLAELGLTHYTTVVTYCPSVIAASAVYAARCTLKKSPFWTETLKHYTGYSEDQLRDCVKLLVSYHAALSESKLKAVYKKFARPEKGVVALVPPLKVI >KZM80801 pep supercontig:ASM162521v1:DCARv2_B18:107661:110313:1 gene:DCAR_031597 transcript:KZM80801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLSLSTFQCPKIPPFSRNQPTHFRSHTLLKASTLGIPPLEVENKKKPFTPPREVHVQVTHSMPEEKIEIFKSLHKWAEEDLLVHLKPVEKCWQPNDFLPDPASEGFMDQVKELRERSKEIPDEYYVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPSPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKEYGDLKLAQVCGIIAADEKRHETAYTKIVEKLLEIDPSDTVLALADMMKKKISMPAHLMYDGQDDNLFDNFSSVAQRLGVYTAKDYADILEFLVGRWNIEKLGGLSSEGNKAQDYVCGLAPRIRRLEERAAGRAKKKGTAPFSWIFGKEVQL >KZM80806 pep supercontig:ASM162521v1:DCARv2_B18:166249:167376:-1 gene:DCAR_031602 transcript:KZM80806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFRWFSMYNSTHMELNFISLFPSLCLAAFGAVLHVISTSLLGITAITISNTIAGEETVHKLASLLLVFLGGSYIILFLMGKGSHGHTHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSYYMMVIAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGILTLIFHDHDHDHAHAHAHADSSLQLNRKLIGL >KZM80812 pep supercontig:ASM162521v1:DCARv2_B18:228497:236156:-1 gene:DCAR_031608 transcript:KZM80812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGTSKELVPLVSKDLPKPLLPVANRPVLSYVLDLLEQSNLKDLIVVVEGEDAAILIGNWISGAYADRLNVEVAHVHEDVGSAGALRAVANHLSANDILVISGDLVCDIPPGAVAAAHRRHDAVVTATLCSSPISGPTESSPGGKDKAKKPGRYNIIGLDPSKQFILHIASGIEVEKDIRIQKSILRAVGQMEFRADLMDAHLYAFKRSALQQVLKEKPNFHSLKQDVLPYLVRSQLRSEILLNSRQVEENGGDKEPIGNNTVMLSQLLLNSSTQSFHELYAMSPGGPTSVLKKTHKCCAYIANKSKYCARVNSFQAFCDINRDVIGDASHLSGYSFSAHNNVIHPSAVLGSKTTVGPQCMLGEGSEMGDKCSVKRSVIGRHCRIGSNVKVINSIVMDHVTIGDGCSIQASVICSNVQLQDRVVLKDCQIGAGFVVTASSEHKGESLSKKEKQ >KZM80817 pep supercontig:ASM162521v1:DCARv2_B18:261338:262972:-1 gene:DCAR_031613 transcript:KZM80817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSSFLQLLFLTISLASVLSSDSHQHFIHCLTRFSPNPNSISQVIYTPQNLSYDSVLKFSIHNLRFASSATPKPLVIVTPRQESQVQTVIYCAKKHDIRMRIRGGGHDYEGLSYATKAPFVLLDMKYFSAVSVDHLAATAWVQSGATLGELYYSIAQKSDTLAFPGGIWCTVGVTGLISGGGYGTLRRKYGLAADNVMDARLIDANGRILDRKSMGEDLFWAIRGGGASSFGVILSWKVKLVKVPRIVTGFRVYRTLEQNGTELVHKWQTIAPRLPKEAELRLLINVIWTNKTNGQIKTPQDAEPISGADEKTLRFEFVGSFLGPAEEFVLLMKEKFPELGVVKEDCLEVTYIQMALIFSLFKAQDSPTLLLNRTSYTIPFKAKSSFVNKPIPIERLEGIWKHLLKQRPTMTNLMLTSYGGRMEEISESAIPFPHRAGTLYMMYMRVTTMGQSTNPADANAMEWIRSLYEYVAPFTANQSAYLNYNDLDLGVNNEYGPTSYEQASAWGKKYFKKNFDRLVRVKSVVDPSNFFRHEQSIPPARP >KZM80814 pep supercontig:ASM162521v1:DCARv2_B18:244439:246928:-1 gene:DCAR_031610 transcript:KZM80814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEASSKSPSSQHTLNQNHTTQKPTWQISLTNLLKHEDYSSFSPLFSLYSTGLNFISPGILRKVARGLLGAAFLLFVVMIVAVALGVGLVGFWVEEPVFAKQNLLFDYSQVNPTAVFALGFGVEKQMEVPVGQTYYVDLLLVMPESYYNLDIGMFQLVAEVLSTNGRVIDRSSHPCMLRFRSLPVRLVRTCLFSIPLIMGISFETQRITIPMLRHKEGYSRTEAIRITILPRAGTMSLPQLYEAEIIINSELPWKKELAHSWKWTLYVWTSVYMYILLLIALGCWFRPVIFAVISSCYSHKDGVRDDTMEVRRGRPLLAKESREVSETLRRLKESRNKKKEMLLHEGAPETQPQGSSASSISVTRDDSSITAEDDRDSVSVCSDD >KZM80822 pep supercontig:ASM162521v1:DCARv2_B18:345758:346534:1 gene:DCAR_031618 transcript:KZM80822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLTRLQMLDISYNSFTGSIRPVLQNCSSLKYLYLSSNLFCRNTPVENQNKITTRNGDICSIWNFDGHIAYKDIIRAIDNFDIRYCIGTGGYGSVYEARLPSGKTVALKKLHRLEAEEPAFDRSLRNEARVLSNIRHKNIVKLFGFCLHNRSMFLIYELMEKGSLFCAPRDDAHAVELDWSKRVNIVKGISHALSYMHHDCTPSIVHRDISSNNILLHSEMEAFVADFGASRLLDPDSSNQTLVAGTYGYIAPGNLF >KZM80825 pep supercontig:ASM162521v1:DCARv2_B18:385344:385696:1 gene:DCAR_031621 transcript:KZM80825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPDITQVIDNSRETNYQQVEDFIKTVLTCVAD >KZM80818 pep supercontig:ASM162521v1:DCARv2_B18:305705:309801:1 gene:DCAR_031614 transcript:KZM80818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYHARSLFLPSKSLLKFRPNTYTHFHTQPHFVSSNILPICSSTLSLAQTKQAHALALLSGLLPHSVSVSASLILQYAKFNSPRAFKLLFDHTVGHCRTAFLWNTLIRAYNIVGNNGVVGFDCNVLGVYNLMIRSGVVPDDHTFPFVLKMCYDYRESWKGREVHGVVFKLGFDLDVYVGNTLLLFYGRCGGLRDVERVFDEMLERDVVSWNTVIGVFSGSGLYEKAVMGFREMNLRALCKGNSVTFVSVLPVCGLLGDFVLASQIHCDVVKVGLDRDLMVGNALVDAYGKCGDIDCLMRVFDGMVERNVVSWNAIITSFTYGGHDRDALDIFRLMISEGVKPDGVTISSMLPVLVELNCFAAGKEVHCYSVKLCLNSDIFVANSLIDMYAKSGHPVKAYHVFNKSKSRNVVSWNAIVASYAQNGLEMDAIELVREMQANEEIPNSVTFTNVLPACARVGFLRAGKEIHARSVRTGSSTDLFVSNALIDMYAKCGCLSVAQNVFDNSIRDEVSYNTLIIGYSQLSDCLKAITLFTEMGLIGMKHDSVSYMGALSACANISAAKQGKEIHGLAVRKLFHMHLFVANSLLDFYTKCGRIDLARKVFDHIPIKDVASWNTMILGYGMLGEFDTAINLFEIMKEDGIKCDSVSYIAVLSACSHGGFVEKGKQYFNEIYALGIGPNQTHYACMVDILARAGLMEEAVKFIKSMPLEPDANVWGSLLGACRLHENIELGSWAAENLLKLKPEQPGYYILHSNMYAKAGRWDEADNVRKLMKSRGVKKNPGCSSSLNRSLIRDKGKTKLMDDFAFSDNGVLSRGNRAPRRKEAPKIFSGSSSLNRSLIRDKGKTKLMDDFAFSDNGVLSRGNRAPRRKEAPKIFSGLL >KZM80832 pep supercontig:ASM162521v1:DCARv2_B18:463444:463764:-1 gene:DCAR_031628 transcript:KZM80832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTMTSAFLGTGSSVTLAASAKQPMISVCRSNVVMSKASEVTKESFEVNNKNARRELMFAVAAAAACSVANIALADEPKRGSPEAKKKYAQVCVTNPTARICRN >KZM80829 pep supercontig:ASM162521v1:DCARv2_B18:437357:439502:-1 gene:DCAR_031625 transcript:KZM80829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKYDLYALSTTARRDGKGGYRATMFVYVLGSLENIGFIANMATLVLYFHLVMHFDISTAANTLTNFLGSTFLLTIVGGFISDTYLNRLYTCLLFGLLELMGLTLLTIQAYADNLHPDACGEEKSSCIKGADALMFYASLCLMALGAGGLKGSLPALGADQFDAKDPKGAQSLASYFSWYQLSVTFGSIIGVTGVVYVSMNVEWYWGFFIGLVAAFVGFVVLALGKPYYCIQPLASSPIIKIFQVIAVTFKNRNLTLPEDHMNLYEIEDKERDISDVKILHTDQFSILDKAAIIPEGVKPEAWKVCTVTQVEEVKILTRMLPIIGSTIIMNTCLAQLQTFSVIQGIYTDPHLGSLKIPTASIPVIPLLFMSILLPIYEFIIVPFARRFTGHPNGITQLQRVGVGLVLSVISMAIAGLIEVKRRNQFYDNPLKPISLFWLAFQYGVFGIADMFAMVGLMEFFYKEAPSGMRSLSTSFALISLSFGYFLSTAFVSIINAVTKKITPSKQGWLHGQDINHNNLNLFYWFLAIISALNFVSYIYCAKWYKCKEETKVAASETEEKVTN >KZM80828 pep supercontig:ASM162521v1:DCARv2_B18:428943:429950:-1 gene:DCAR_031624 transcript:KZM80828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSDLTSGMNYFDNSFDVSTPNTMPHVNSGQNDLINGASPIFNNAGGVAVSNTSASRPGRPRGSRNKPRGEDSAPVRVILKAPRGVDLVDWVVNYASSKKAHLTILCGSGNVSRADLSHMGSQAPPTTFTGPLSLITMSGMFLFSGSRDGPRAFFNVTLGRLSGGIVSGTAVSMITMDEVALTANVFYNPEMLAVRATEEMAMESNYNLLRGRNLKWSVVLSFEPGTDVINALVQFARYYSLNLSVVCCSGLVSEADIGYSRSHPLSVDALGNFQIISFSGNCDGRVANSLGDIQKSFVVSMVSENNVLTNGTVVKSMKAASYVTVVALAKDA >KZM80799 pep supercontig:ASM162521v1:DCARv2_B18:89366:94181:1 gene:DCAR_031595 transcript:KZM80799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLYQEELETPAILQDLKITYHDSSLIFPSKETEKRIMFLSNIDKILNFNVQTVHFFPRNSKFPPEAVTQRLKDALSRVLVHYDFLAGRLRTNPETGRMEINCNAGGAGFVVASTEFALDEIGDLVYPNPVFQDFAVQSLKGSKAEDQPLCIVQVTSFKCGGFVLGFSTNHCLFDGLSFKHFLENLASQAYADDKLPLPIIPCNNRALLAARSPPRVTFPHPELLPLPLPAGDEACPSVFDCSPQDLDAKVLRLSSDDIAHLKIMAKMGPNRGTSKITGFNVVTAHIWRCKALSCSETVENPSRISTVLYAVDIRSRLRPPLPISYSGNAVLSAYAMASCTDLESGPFSRIVEMVTEGAARITDEYAWSVIDWGETYMGFPNGEFLVSSWWRLGFQEVEYPWGKPKYSCPIVYHRKDIILLFPDINDGVNAVNVLVALPKDEMKKFQALFYKFLN >KZM80831 pep supercontig:ASM162521v1:DCARv2_B18:452157:452375:1 gene:DCAR_031627 transcript:KZM80831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPKREFQSSVPWRGDMEEDACNELKLLVTSDESGSTMHLHHNNTKNNDVSLTRFDSQLSYAFRRNYQVSG >KZM80827 pep supercontig:ASM162521v1:DCARv2_B18:397947:398951:-1 gene:DCAR_031623 transcript:KZM80827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVAHKPCNIPISKAVTHMQYATIKTDFRNLKMTSSWKPSKSSECSVSSRETRLSNKWMEYQGIKNWDGLLDPLDDDLRSEILRYGGFVEAAYRSFEFDNSAPGKYGTCRYGRDSLLPQCGLGGIGYKVTKNLRATSGIQLPGWIDKSPRSSWIGYVAVCNDKDEISRLGRRDVVIALRGTVTCLEWMENLRVTLTSMDEGGPTRAMVERGFLSLYTSHTATCPSLRDSIREEVSRIIQTYGDEALSITITGHSLGAALATLTAYDISSTFRHLLTTVISFGGPRVGNKTFSDNLEQNGTRILRIVNSNDVITKVPGFVIEEDEHNIKKVIKR >KZM80838 pep supercontig:ASM162521v1:DCARv2_B18:680867:681614:-1 gene:DCAR_031634 transcript:KZM80838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYICNFEEAFNEPDPVEGLDKLMDPRLGDDHPIDSVRKLAQLARACTLENPQLQPSMRSIVVALMTLSSSTRDWDVGSFFENHDIVNLMFGI >KZM80809 pep supercontig:ASM162521v1:DCARv2_B18:186630:189478:-1 gene:DCAR_031605 transcript:KZM80809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMCKWTWYKAILVLLIFFRHQLVGSSTATSSNAAKQKTALFQFKQSFRISTPKTINWSLSSDHCTWEGVSYDQSTGDVIGLDLSCSQLEGAILPNSTLFQLSHLQFLNLSQNDFSLSESPQESLVCINWSQLISGNRGAKLEDEVFTSVLLDGVLNLAYVEILSVLHVNLSTSLTVLNLQNTNLRGVLPQEVFHLPNLELLDLSLNENLSVTFPKVKWGSSASLRHLDLGWVNLKGGIPDSIGFLESLTIILMQKFLTGLVEGSQLNTFENDSYVGNLGLCGHPLTKKCENDIGIKEEEDEEDADYFFSGFTWEAVVIGYGCGVVPAFITGYLLLLAGKPK >KZM80834 pep supercontig:ASM162521v1:DCARv2_B18:472385:475300:-1 gene:DCAR_031630 transcript:KZM80834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLIVGAPHEADNLLETSLREAFELLESQLSPPFSLKCRNELEYFDINKAIIYGILCEPQMGNVHIKHLHGIVTDGYAFFTNTLVRIVDELYEKLVDSVRVQLIWITLEMIDVSAIGFQGLLVALLRQIVGGDFSDKNLWLCSELGVQSSFDVLVRRGVVQSLDVLISSDKLFPFLKERIVKLLSERKVTSLENLQAGSFRGSTSLPDHPAAVRMESGTLQHDMSASASQNDVELGPPTNVTSCSPLAENDDIHQQSLESLVQNLGKHIRSSKQMGMQALDKILHLYVNLSSKAETTNFSISPNQLSSIIAKEFQYSGNQLFEQNWDQDLQSASAIIIRHFMFSQHETLLGMLLCWNRDGLTVGPCFLAYAINLAHEAHVLGYVTHPNTVAHPDTLRDSNKTTDSGMSLLKYHCEQYCCFVNKGKDLSQAIISTSSINVKLVTTLIEGAFAAYRGFNMHRGRELAPNSDNYLPKILYCDLMSYAKQDNRILKFSLYGISSNLRDLFLCEENIMKSIVSQLDYSDLLDIQFDLGSKKLSIFGDSIEAISHLIRSSFQWECIEQHNFWGLLRSELAVSEVPKEKVLLEFFCTDGLDPKISSIAVGGLLALCSSLAPTPDLVGAVLLLPNKIFTNFATTVLSAWAVSNTSMLFTSLAEFMDKINAGDSMIPDLSEIMINNSAFIWLLNFLDAKRNEKQQL >KZM80796 pep supercontig:ASM162521v1:DCARv2_B18:10727:16926:1 gene:DCAR_031592 transcript:KZM80796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAINFFYKTKIEEAEDNKIIPQYKFEIHPFDKVQGLVGQIKNLIDVVGMVTSVGRLEKRTNGAEKLDVALTDSRNPRNRATSIPPAFIISVQVQALQELYNLDSAPTCSVPSSFLVHLAASCSIPSARSLSLISRLGASFLSWNEKMIVTLWEDRAYQFQASLQNTGQSPIFVVITGLLAKKFSDKPSLSSTDATRTYFDIDYKPLKDLKNALHEASAKAGVGLLPPTNVQFVTADEKSVQQLHIKDVLDIEIPPEKDQVRGLCMATITEIMEGNGWLYNCCSKCARAVHPTEEKYFCVACNDDNITVSQRYRVVARIKDDTGTTTVTLFNKEAEQLIGAPIQRLINELTEGTNMEEIPPAVKNIVGKLCAFQIKINNYNITNGCEEYTVTRVSECSNAEAGGSDTVNAGHKDKRVRLE >KZM80819 pep supercontig:ASM162521v1:DCARv2_B18:313318:314871:1 gene:DCAR_031615 transcript:KZM80819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLDRDLMVGTALVAAYGKCGDMDCLMRVFDGMVERNVVSWNAVITSFKYGGHGRDALDIFRLMISDGVKPDGVTISSMLPVLVELNCFAAGKEVHCYSLKLSLNSDISVSTSLIDMYGKSGHPVKAYHVFNKSKSRNVVSWNAIVASYAQNGLEMEAIELVREMQANEEMPNSVTFTNVLPACARVGFLRAGKEIHARSVRTGSTDLFVSNALIDMYAKCGCLSVAQNVFDNSTRNEVSYTTLIIGYSQLNDCLKAITLFTEMGLIGMKHDSVSYMGALSACANISAAKQGKEIHGLAVRKLFHMHLFVANSLLDFYTKCGRIDLARKGFDHIPIKDVASWNTMILGYGMLGEFDTAINLFESMKEDGIKCDSVSYIAVLSVCSHGGFLEKGKQYFNEIHALDVGPNQTHYACMVDIFARAGLMEEAVKFIKSMPLEPDAKVWGSLLGACRLHENIELGSWAAEILLKLKPEQPGYYMLHSNMYAKAGRWDEADKVRKLMKSRGVKKNPGCSWI >KZM80836 pep supercontig:ASM162521v1:DCARv2_B18:642267:642866:-1 gene:DCAR_031632 transcript:KZM80836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYNFSEYCLIWLPNLTTLCLDGIRLPESLSSISVPCLTTLIMKRAKLPENVWDLPALLSLELDEVDLPANMNDFFSALVSVRDITISFSGSCKHNWIISCPQLVHLQICTNFSCICWIHEIAVLSNKLRELSLVGIFMVRSKVHELENVSVKLWDTNEFNDATLDEKGLHYDFVIPMLSELGNARTLTLDSAMIEVN >KZM80804 pep supercontig:ASM162521v1:DCARv2_B18:149494:156488:1 gene:DCAR_031600 transcript:KZM80804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTSRSAIDSGFYPVREGEPDCSYYIRTGLCRFGNSCRFNHPTNRKLNELECVYYLRTGQCKFGSTCKFHHPQPSNMMVSYRGSPIYPIGAATTPGQQSYAGGIANWPLSRASFIPNSRWQGPSNYAPLILPQGVVSVQGWNSYNGQLGSLSPAEQQETTGNSQHYATSHQSEVATGGTYPSYRSGSVPVGYYALQRENVFPERPGQPECQFYMKTGDCKYGAVCRFHHPRERLIPAPDCVLSPMGLPLRTGEPLCIFYARYGICKFGPSCKFDHPMGVFTYNMAAQSPTDAPVQHYLGTSSGTGTMTLSSEGLTEASSVNPRRISLTETRQIPSGDNHIGSEG >KZM80795 pep supercontig:ASM162521v1:DCARv2_B18:8746:9429:-1 gene:DCAR_031591 transcript:KZM80795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNRGMETLPLDIISLFLKSALATGFDGFFNLLKAWARSQRRHLIVKLSEDLPISSLYKFGDMGSVSDISAFHQFMNVAEEMGIGDAIVYRSCLNLFSGSGSTEASFAALADLGGRGLFLAKVANWIQKNLYRRHTSVTALHGLVDIHRDPYYCHRIVRALASIKVIYSSVESSKLVHVVEMKTCCPIHSNDGDDLFIIDCIEAELCIFCELACMLNSFVRSGWGT >KZM80833 pep supercontig:ASM162521v1:DCARv2_B18:466871:467812:1 gene:DCAR_031629 transcript:KZM80833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVQGCEREVAQTEKGSSQEKNECIMRLSWALVHSRRPDDVRRGIAMLEALLSDTDSSPEQMREKGYLLAVGYFRSGDYPRSKHMVERCLEVQPDWRQALNLEKAIEDRITRDGVIGIGIAATAAAVLAGGLATALARRS >KZM81191 pep supercontig:ASM162521v1:DCARv2_B2:271242:272191:1 gene:DCAR_031226 transcript:KZM81191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSWIKADRDSLEYEIGVEEVREKDELETFAFLDPGATFGLNADFQKGVVKRLGEGNPDRLFFFPYNQNVHWVLSIIWEGEIYILNPLSHPTHFPALERALTEAVKTFNCTTGRGNTIPKVKYLAGSPKQPGGHECGYVVMRYMKDIIEDHKDLKFTSNWASKSRKCYTRDEVDQVRYEVLDYIQEFV >KZM81188 pep supercontig:ASM162521v1:DCARv2_B2:70576:71620:1 gene:DCAR_031223 transcript:KZM81188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFKTLQYKGEHTKIGSLQRALVKKQWNFFFDCISRCFLNKVSGFDALPSGSLQIPYSLIHDTPLNYGEFILAMLAVKKEDKTGYICYTHFLQLIFNHFYHDYTFENDELIPIFKIAELGIKTLINGDNKTGFNHQCVVPNMQPADKSPKKSARVNTDISSVPHKTATPVSESSPNLKDALQSEEENVADLLTKLKSQSQPQASTEDQMYTVE >KZM81189 pep supercontig:ASM162521v1:DCARv2_B2:74359:74931:1 gene:DCAR_031224 transcript:KZM81189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFKTLQYKGEHTKIGSLQRALVKKQWNFFFDCISRCFLNKVSGFDALPSGSLQIPYSLIHDTPLNYGEFILAMLAVKKEDKTGYICYTHFLQLIFNHFYHDYTFENDELIPIFKIAELGIKTLINGDNKTGFNHQCVVPNMVRHILQKRLPSKFGLDATGPSPSVIDAATLDSTPVSNHSIPPKHSTK >KZM81190 pep supercontig:ASM162521v1:DCARv2_B2:159159:159368:1 gene:DCAR_031225 transcript:KZM81190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELDPNLEGSNNFREADKNIAMASGASNADQRKSADVGWESNLKGNGVINEAGTTEEKTYSNVPNAK >KZM80793 pep supercontig:ASM162521v1:DCARv2_B20:499633:499944:1 gene:DCAR_031659 transcript:KZM80793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCNKAQANTSRPEEARLRLPDPGHNLPRDGEPKTYLQASFFPFFFHQCWPSRGSSMPNPNGKPFDLPLPSSIIHPPPVPESPPGGLALFLNSSLKFSGFHR >KZM80775 pep supercontig:ASM162521v1:DCARv2_B20:168771:169616:-1 gene:DCAR_031641 transcript:KZM80775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSTSANSSTSRGEFWTEYDDMSRENFQKIAENKVYTTTDLLDLLRYVAPKMMQRAEAHYSHGIAENLEDPKYNHHKYWSNPLETMLPDAPDMETYCMYGVGIPTERSYVYKMSPSDRRKGIPFRIDNSADGSDSCLRGGVYFVDGDESVPVLSSGFMCAKGWRGKTRFNPSGSATYVREYRHKPPSSMLEGRGLESAGHVDIMGNVALIEDVLRIAAGATGSELGGDRIHSDLLKMCNRVNVPL >KZM80789 pep supercontig:ASM162521v1:DCARv2_B20:471900:472223:1 gene:DCAR_031655 transcript:KZM80789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGINNSLLGLRPPQSLRAPRSVQWDVSIYLSLDSKWEPGLKKDLRVSRVGPGGSLNAFFFLLIGVLSQRLAMVRKKGGTSTLRERSTTESCMLRSGRMNRSRKGIY >KZM80792 pep supercontig:ASM162521v1:DCARv2_B20:493910:499454:1 gene:DCAR_031658 transcript:KZM80792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFRRFDENRLNSNKTYSKKSYTTQFAPEPTTTVNPSAASGAQAQALTERAVELRARIHDVLGELMVNRSPDEVLTAAQAVHGESDLIVFLECLLNDLNINGVQSEAFAETWFIAGQAPTIPSPLEQFAILPLIPMNIGHLYFSFTNPSLFMLLTLSLVLLLVHFVTKNGGGNSVPNAWQSLVELIYDFVPNPVNEQIGGLSGNVKQKFSPRISVTFTFSFFRNPQVTDTGASPSRSKFHVSLDSNSQTLLFVSSICSFSCRGMWSKDSHKDRTECLLLGKFLATLISIRYFHDHCGRISFLARYQETEKMGQPKTLATVGMTFLQLDGGAFKPAPDFRSIGSAYEFMSQGALLLQSNIESILLKQQSQEGKYTSPAQSAINQTTPFVLQLDGSALVLDLLSLALASEAVVAEVELKVHLLVRKKLNPGANGISNGSSVSEHAAQTHLRQFPSQVLRMPFLSKSDIDRNRFAMGIGSQRPSPWVKTGRGGREKQGSKKKPSRAKGREDIASKQQGSPFRTDYNKLATLIETKGKLSALFTCNAMRLRVHRKSATFVTVSVWYSLDSFNSSLKAFGVMNRKPFRKKDIIRKGWLRTIDCKP >KZM80776 pep supercontig:ASM162521v1:DCARv2_B20:246403:251926:-1 gene:DCAR_031642 transcript:KZM80776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQTLTQRPSPAEPNGEINMILNNFDAFTDLDISNYDWKCHVRVQSIWKGISREKQEFYGINVVFIDDTNSKIHAFMNKQVSEKFEKELVEGGLYMLSNFRVKEYVGDETHRAVKNPKHIYFTAFTVLEKLEHPCLEIEMFAFDFSAFEEIEKIANDNRFLIGKVYISNYPATRFYINPKHYCVAQLKDRYEKLSTVDISSPEEEEIFPKFGVKQITELRDDFLQIRIMRCWRGATKAGVPFRGINLVVMDAMNNKIHAFIPGQNVDKFEEKIIVPNLFIVSDFEVQAYKADDKFRCLHNTKQLIFDGETKMKDIEDDNSIAKEEVFDFYDHADLKNIADKNLYLTVTFWDRMAEILNDEMAKETETPVIIIITSCKVGLWNGAVQLSNTAASKFYLNSSDPSVRELRKILKKPGTVLRTMGKPKRKIPELHSIDSIHTLGKEYIETEVITHVKFVAVDESVPWYRNVCTTCWNEVHINNDQFLCSLCNRIIPNADKKFQLAVMACDNSGELQILLKDRQVKTIIRKRVFDIVDQPTTTFPQILKDLLNQNYTVKILISDVNVLKDVKLYLATNICKGFHDLAVHESETKQAGHAQPSSLSTHLQGLSQLNFDSQVSIAEKIHTIMDDIPYQMISNLSPQTRNNWRLKVRVTRMWQQINRDAEIVGINLIFVDGLGGRIQAYIPRQIRHQFEDHIIEGETYDVNNFVVRRYSDMQFGRCFASDIYIQLNHMTEVLLTGDVDYIPPHVFQFTDLSALMDAASENKFLIDVVGILEHHDPISTFRNRYNQQKSCFRFTINDMHTSAEVFFYDEMAEEFDQAIHDAVQHPIIVIISSCQAQFFRDAPKLSNLPPTRFFINPNHGAVEDLRDALRLAAWHND >KZM80786 pep supercontig:ASM162521v1:DCARv2_B20:377391:377753:1 gene:DCAR_031652 transcript:KZM80786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFVFGMGWGLFRCRLLGTGCCMVVEDVSLGGQRLVVAVDNTYNATGGHWQWEVVRGWEWHVVRRWCGFEVLIVYRSSELLQPVGDNDSRERNRKQRQSEKLKGWVLNGQQSWRLNRVM >KZM80771 pep supercontig:ASM162521v1:DCARv2_B20:58324:59145:-1 gene:DCAR_031637 transcript:KZM80771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMDIICSSELPGVMYALRDLANKFQKVQDILLEYGLENVAEILIEGLSRVKRCTDEGRALMSLDLQVLINGLHHFVSVNVKSKLQIVETFIKAYYLPETEYVHWTRAHPEYNKSHIIGLINLVATMKGWKRKTRLEVLEKIESAIL >KZM80773 pep supercontig:ASM162521v1:DCARv2_B20:69648:83763:-1 gene:DCAR_031639 transcript:KZM80773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRFGEKILSSVRSARSLNRPEVPARAVAAAAIARALASLPPHQRYNISSSSEELTSIYGSATHGEVVAELEEEFYQEEFDPVRHVLENIPSEEIEVAYFEEKAALRLAQLDKISEHLSRHVMEHYEQMVNGMHLVRELEKDLKVANVICMNGRRHLNSSRTEVSRDLIVTTNSKRKQALLDMLPVLTELRYALDIQVALETHVEQGNFCKAFQVLSEYLQLLDSFSELSAVQEMSRGVEVWLGKTLQKLDSLLLGVCKDFKEESYITVVDAYAIIGDVSGLAEKVQSFFMQEVLSETHSVLKNIIREDAESPNRISTRLTYSDLCLQIPELKFRQCLLETLAVLFDLMCSYYAIMSFQPENQDSTCRPLILKQKQDNDSNSLGDNQHVSLVTDSNTSHKNDRPPSGSAERSPISNVMEEPATDALCDDTLINGSDYPLSPESEARDGGKEASSSGSPWLLLRNDATVYVSQTLQRGRKNLLQLATSRVAVLLSSSAVCSTSIHEFLKNYEDLNVFILLGESFSAVEAVEFRHKVKDICENYFAAFHRQNVYALKMVMERENWMIMPSDTTQAISFAGLVGDGAALIVPSNSSSTGRGSHSSKSANSAEIAFKKSGFSDWLEKGNPFSMKLATKEPSDSLSQNGLLSPSESEGKNLLLSSKTSPKNRGSTHINGYIELLEDENEDLLADFIDEDSQLPSRISITKHTRNRSSHSYDTEAIAHTGSSLCLLRLMDKYARLMQKLEIINIDFFKGICQLFGLYFSFVFESFGQQNTHPSGQGSNHHLTYRLKTALSRISQDSDPWIKSQSVSSSLASKNVPSSYMDITPASPPSANFSNVQGTSFGLQERCAGADTISVVAQLLHRSKAHLQSMVLQNSAAKVEEFYVHMVDAVPDLIEHIHRTTAKSLLHISG >KZM80770 pep supercontig:ASM162521v1:DCARv2_B20:47785:51027:-1 gene:DCAR_031636 transcript:KZM80770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARTTPDGSEQWGYVEVRPKAHMFWWYYRSPQRTQDPNKPWPVILWLQGGPGASGVGIGNFEEVGPLDTFLKPRNSTWLQKADLLFVDNPVGTGYSFVEDKSLFVKTDEEAAADLTALLISVFNRDQVLQKSPLYIVAESYGGKYAVTLGLSALKAIEAGKLKLRLGGIALGDSWISPEDFVFSWAPLLKDVSRLDTSGVDKSNRLTQKIKQQISEGQYEAATNSWSELENVIISYSNSVDFYNFLLDSGMDPVSATAAELSQKKIFLKRYSRYLDSSRALPGGDGDLDTLMNDILRKKLKIIPKNVQWGGQSDLVFSALAGDFMKPRISEVDELLAKGVNVTIYNGQLDVICSTKGTQAWVEKLKWEGIQSFLSMERSPLYCGDGSATKAFTKSYRNLRFYWILKAGHFVSQKS >KZM80774 pep supercontig:ASM162521v1:DCARv2_B20:163830:167804:1 gene:DCAR_031640 transcript:KZM80774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAMLGFFLGLLVISSGFPASLRLERGFPRNDWVEISKLRARDMARHGRILKSSDDHIIDFPVQGTYDPYLVGLYFTRVQLGSPPKEFYVQIDTGSDVLWVGCKTCDGCPKSSGLQIDLEPFDPSSSSTASLISCSDDRCALGAQTSDSYCSREDDQCIYSFQYGDGSGASGFYVSDIMHLDTIVRDSPTSNTSANVVFGCSTSQTGDLSKPDRAVDGIFGFGQQSLSIVSQLSSQGITPDAFSHCLKGGETGGGILVFGQIVEPNLVYTPLVPSQPHYNINLQSISVDGKKLLIDSSVFATSDDGGTIIDSGTTLAYLVEEAYDPFVDAITEAVSQSATPVVSKGSQCYFITGSVSEIFPTVNFNFYGGAPFVLKPEDYLLQQNSADGATAWCIGFQKMNGTTIIGDLFLKDKIIVYDLGGQRIGWADYDCSMPVNVSTARRPKRSGVFNTVSGSSSQRDQLQVQKLSRTPFFIP >KZM80784 pep supercontig:ASM162521v1:DCARv2_B20:306402:306551:-1 gene:DCAR_031650 transcript:KZM80784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEIEMQRGILGLKLTNFTRYVVSDEEDDEDEENDEEVDDEEEEDDSE >KZM80782 pep supercontig:ASM162521v1:DCARv2_B20:301369:302572:1 gene:DCAR_031648 transcript:KZM80782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHHQIMALHENKTNWKVIVRVTTIWTAVSEDGDALRQNLILLDSWNTRIHATITPEIWSQFSDFVNEGTFYTIRNFSVAPANGNYRPTLIMSVELPDVSIPRYKFDIRPLGDLLEYSVVHNSLSQNQFSTDVIGVVEDLAPVQLLPSNLGEVQLVRFTICDGRNLIRVRLSGWLFPDLATFYERFGGSPMIVILASIKINMFKGYSIVYGLPCTRIHINLDCPEVFQIMQRLAVEGYQGFCLHS >KZM80790 pep supercontig:ASM162521v1:DCARv2_B20:472731:472991:-1 gene:DCAR_031656 transcript:KZM80790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESRLLVAKPFALFYKSRPRLLYFSRSGEATASTTAPLPRLASGKAYTLLASLALACAKLESFSLGPKASVKAKDLIQQKPRIAY >KZM80788 pep supercontig:ASM162521v1:DCARv2_B20:443480:443683:-1 gene:DCAR_031654 transcript:KZM80788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRSHTMSVTIIRCWIATHRELVKKENTNSVGSATAKSLKCCMKLHLLAHCNILNPSLVNGALVQS >KZM80779 pep supercontig:ASM162521v1:DCARv2_B20:292878:295597:-1 gene:DCAR_031645 transcript:KZM80779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNLAPKRRKIKSNSDIKTEICLQLVRLNRKKRWQNGWVLKHVNAEKVRQTLRERIEADYNWFAPRRCQTFRETVELGGSESMVSTERVEDQKTKALIMFNAEHGQGPYYEMEITGTCLCKDSKTQQIKEDMRGLYGKWLQGDIISIKLQETEWRIEIIKTGEVFNFGPGWFKFCEEAALKEGDRLVLRTYDDPLEVFACVFKHEDMQLIQESLGKEETGVSFFQYGNDLLIRDGVMISPVVVTKYYEEILQTVERVLCGDREWQLGYCKHNKTLTGFLPIVREYAVTAADTIFFSVTPGGESSVKIFQNDGMEIKYTDFVVGKEIAPEARYSREKERDIEVIVISDEDGSVENEAVCSGLSFAEVLQSSHVDGRSHGVIRSYMYISKSMESAAKNWNTSTVLTFNKGSSSWPIGITKTNNRIHFSRGWNAFVRDNDLKKGDTVNFTLGEDGTSFETTIKFSKKREDKDQE >KZM80772 pep supercontig:ASM162521v1:DCARv2_B20:64783:65376:1 gene:DCAR_031638 transcript:KZM80772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPHCLRLMHVLQGSSLLTTSATVDAIEECWKLCGGHGYLCRSGLPELFAVYIPACTYEGDNVVLLLRVARYLVKTESQLGSGIQPVGTTTYIGRAEHLM >KZM80785 pep supercontig:ASM162521v1:DCARv2_B20:317056:317960:1 gene:DCAR_031651 transcript:KZM80785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEALRNLKPVSSKIIINFYPATSVELVHEDDFMIPFHKFEFVDLSELFALSSSYGNPDTPDYSTDVIGAVKDFERVSIIKTMYGDKEIVRFRLTDGRHSHKVTVWGKLVVSTNTVFTEATEKPVITILSSTKLKTFKSTYGLILSGLYNVYSCNC >KZM80781 pep supercontig:ASM162521v1:DCARv2_B20:298958:299602:-1 gene:DCAR_031647 transcript:KZM80781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQSGSLKRKHYEVLKNDLSVELTKLTEDSRNLHISFRSAREDFELMCKSMADRQADFLTRSLFLEEKYDEVLGVLDRRVTDQDGESVGENVVLSAAYAATFHRFLTDSGHSLKNLGVLMEEENKEMDVVFEKYETIWKQNMKELKERAGLIENQRAKLSAKLLEFNRYEIIDSDSD >KZM80780 pep supercontig:ASM162521v1:DCARv2_B20:296865:297919:1 gene:DCAR_031646 transcript:KZM80780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDRLSVVDNSKIMWKVRVCVTRIWPSRKKNGVIVRQNLLLLDAESTIIDVIPQDDVSIPMHKFKMIPLGKLNEYVSGRYPHLQGHFSADVIGVVEDLEPIHVLQTRDGQVGAIRFSIFDGRLRQKVRIYGPFNPDATALYDNQFFNPKIVILAGTRISEYKGNINITNLSSTKIYINLECPEVHNFRQW >KZM80778 pep supercontig:ASM162521v1:DCARv2_B20:282405:284819:1 gene:DCAR_031644 transcript:KZM80778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGDVYCFAVAFYSLLFHGLTITPFAASARKRIAQGPHSPSSTNKDRKRRPPDEEVTNDQSTSTVHDSGVSNKENSNLNFRSAPSTDRASCQSTVITQQAPQPYTADRPFTPSPHSTRQVDLLCHFSEENVMSPSQRRLRRLNLAQGGGEVIRPLQFYRGHRQMDSRVLDTSESSMLKESRRAVSVTVPDINDLDIPKPSWYTQNSATSHVTTSNDAATNTNSTVEKVLNPVPLAGVKNLMQSFDEAGGDDTGDVPPPTPGMSDYSLLDNEDSDDDGPSHIGEYLSDDEDEQTNDSLV >KZM80787 pep supercontig:ASM162521v1:DCARv2_B20:422074:423395:1 gene:DCAR_031653 transcript:KZM80787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSNGYVAPERTLSTQTDSSTVSAMPIETISLKELSEKTSTEMLDTFFLCKVQVKVVEETNSWWFFSCIGCGEEAYTIEGKFKCTAKCQGNYPISEKRCRIVILAKDPTEAYNIVLLDRAAKSLLGKTATKLIAENSENNVVDLEENGNTPVNIPSSSRRIEHGAMHLDLKSPEWKL >KZM80777 pep supercontig:ASM162521v1:DCARv2_B20:262930:267494:-1 gene:DCAR_031643 transcript:KZM80777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGNTCPTNDDIKNILGSVGADADDDRIELLLSEVKGKDITELIASGREKLASVPSGGGGGAVAAAAPGAGAGGAAPAAEAKKEEKVEEKEESDDENNSGNNWRLFTSEDMNEGNSFNLTSPTSTPASTICNASSSGDQFIPPKKAMRRCHLESSRTLFPDEEVLETQDQSNDIYEPETNIVHGPWFTDDEDDSDYVICSLTLLLYFNGHVRRFHCFHISI >KZM80791 pep supercontig:ASM162521v1:DCARv2_B20:489889:490398:1 gene:DCAR_031657 transcript:KZM80791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEHGNRSDTNTDYPFPLLCFLKLHTYTRVQVLIDICGVDHPSRKRRFEVVYNLLSTRYNSRIRVQTSADEVTRISPVVSLFSSAGRWEREVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDSASPWEQRSDV >KZM80794 pep supercontig:ASM162521v1:DCARv2_B20:513309:513911:1 gene:DCAR_031660 transcript:KZM80794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINYARVTSLLRPEPRNPLDMMQNGSCSILDQRFLYEKNESEFEEGEGALDPQQIEEDLFNHIVWAPRIWHPWGILFDCIERPNELGFPYWSRSFRGKRILYDEEDELQENDSEFLQSGTMQYQTRDRSSKEQGFFRISQFIWDPADPLFVLFKDQSSVSVFSHRELFADEEMSKGLLTSQTDPPTSIYKNAYFNLSLP >KZM80783 pep supercontig:ASM162521v1:DCARv2_B20:303431:305313:-1 gene:DCAR_031649 transcript:KZM80783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSPYKRPRFLMTTYGPWSENLVVEVDRTIPGIVKVQFYNGREVHIRYIKAARSFCNLDPLYHELGGDRRFILMMSYAGEGIFSVDIIDKNCTEIEYPTNKRIPKGPVTCQVILVNGEEITCNFVMERSRFFGLVMLVEKNYVQKWVVLVFTYRGVDTFDLGIFDKSRAENLLKIQIVEIDSNSDDEQNHGHGGQLDDQSGQEQQVEALQPEFRKKLNSSNTNSSTHGVVVSST >KZM80765 pep supercontig:ASM162521v1:DCARv2_B21:139915:140495:-1 gene:DCAR_031663 transcript:KZM80765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYQVVLSPQIKLISLNYQINVGDVEGYNAGHYNHFVGSREAMLETQLEKERIQRAILEEEALARRRELEAEVRREMWEERELEWRRRGGDPITTCYVERGLFDKRVTLPVGERMCGFPRLEEGKNLRERMYDYPRLEEGTIVRGRINDYRPLEKGRVRGYVKFLN >KZM80763 pep supercontig:ASM162521v1:DCARv2_B21:19188:21117:-1 gene:DCAR_031661 transcript:KZM80763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKRIILPVPLKQVKMDIEIWFSIMCLIKVKVNEYEESFTKYQIHQMLLFRYVGVDEDEDVQLFYYFVKSRANPENDPLILWITGSPGCSSFTALAYEFGVTSLEVEIHAKVKKKDVQEEVDSKMDVRGSEKS >KZM80767 pep supercontig:ASM162521v1:DCARv2_B21:307461:309409:-1 gene:DCAR_031665 transcript:KZM80767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFPPLPPPGLVAPDVPVNAPMVLDEMPEVASPPLNIVPLASSDENVPLMAPNAVDDVQDHSSFDLYAQMPAAMLLGEFVEAASSSDSVAQVTQAARGISPMNARGAPVLDSARIVREDGSSSARAVSAPLSARGVREAEPVVDFQARAQAIKHQRTMIDVEGEIDASADTEVMDTDSEHVDATRPVGDALPQDSPVVLMECPIDPPSVPLVRPSASAPERAPSAPLVSSTAAVLESAPVAPLECSIDAPSEPLVQPSASALVNAPSGAPSAP >KZM80768 pep supercontig:ASM162521v1:DCARv2_B21:366306:369004:1 gene:DCAR_031666 transcript:KZM80768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHKTFKEAFLLYILGHFLCPIVKNQPSQELYKALTIVSDATQYNWSKYVLDHLIEGIKKFQKGKSTTGCIYLLMVFLNRFA >KZM80766 pep supercontig:ASM162521v1:DCARv2_B21:144288:146107:1 gene:DCAR_031664 transcript:KZM80766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILVLAIFLCVFPVIIVLAVCVRRPVFQDYQPREHSPQPPSQPLKESSQPPRALRIKLITTLKEEHSPQLPSQPQPPSQLLEESPQPPRALRIKRPVFQDYQPREHSPQPPSQPLKESSQPPRALRIKLITTLKEEHSPQLPSQPQPPSQLLEESPQPPRALRIKLIPTMKKEHSPQPPSQPQPRIKLIPAMKKPVRGFTETLDMTQKLKLWALRRAEEEREAALKEEEERKIQLDLEAQWEWEAAMEAEWERQAELDIEAKWERQAEAELDVEAVWERQERQDEAALDLEAAWERQDEAALDLEDAWERLETQAQAWERLP >KZM80764 pep supercontig:ASM162521v1:DCARv2_B21:98720:100005:1 gene:DCAR_031662 transcript:KZM80764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKYLLPTSLFTSTYSSPSVTNSPLCPEMVRHLDMLNGKSDAEILRYFGYSEARANRMLSGRPILSPLADSRSDINSGPRVELKRQVVINIFGTCSCFNRELNSSTSLAANNSSSSGLQIVSCASLVFSIVRGTGLGGSSSPSSRALFSRASRAWLSCAFRVSSVP >KZM80769 pep supercontig:ASM162521v1:DCARv2_B21:377450:377657:-1 gene:DCAR_031667 transcript:KZM80769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVAKSFDAPIKLLFPTSDAAHSMLGLGDIVIPGTMSKI >KZM80761 pep supercontig:ASM162521v1:DCARv2_B23:365555:381842:1 gene:DCAR_031672 transcript:KZM80761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLAILMLSAFAIFFSLHHEGDFSFKEAWYHLSDEYPVKYEAERLPPPIVSDLNGDGKYEVLVATHDAKIQVLEPHSRRVDQGFSEARVLAEISLLPDKIRVASGRRAVAMAAGVVDRIYKHGQVQKQVLVVVTSGWSVMCFDHNLKKLWEKNLQEDFPHHVHHREIAISISNYTIKHGDSGLVIVGGRMEIQSHMQMDPFEDINMAVDSEQHRRSANEKEVFENSTVDLRHFAFFAFGGRSGKLHWTRKNEDIEALSSDASQLIPQHNYKLDVHALNSRRPGEFECREFRESILGVMPHQWDRREDTLLKLAHFRRHKRKALKKTDGKSTTYPYHKPEENHPPGKDSTQKISNLIGKTAKYAGSAKPKKLHLQEGGLHADINGDGVLDHVQAVGRNGAERTVVSGSMDVLRPCWAVATSGVPVREQLFNVSICHHTPFNLFQHGEYSRSFGRTADTGSLEVATPILIPRDDGYRHRKGSHGDVVFLTNRGEVTSYSPSTHGHEAIWQWQILTGATWSNLPSPSGMMESGKVVPTLKAFTLRKHDNQELILAAGDQEALVISSGGSILASIDLPAPPTHALVNEDFSNDGLTDLILVTSSGVYGFVQTRQPGALFFSTLVGCLIVVMGVIFVSQHLNSMKGKPRVSSGHL >KZM80762 pep supercontig:ASM162521v1:DCARv2_B23:383262:386863:-1 gene:DCAR_031673 transcript:KZM80762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFAATRKEARNTIASLLGSRLNLSPPIRNGMNSHHFSKLVQSNNGNRVFLVDTLALVRRLEAEGVPSKQAESITSCITQVLNDTLENVAHSFLSKAEMEKIVMTQDAHLSKFKSQVTSSQGHHFSMLQHETEKLKSDIEKMRSELKYEIDKVTAGQRLDLNLERGRIRDELANQVQETSNLTNTLDREIHALRAQVEAAKFDIIKYCIGTLVSISAVGLAVLRILL >KZM80759 pep supercontig:ASM162521v1:DCARv2_B23:157992:160220:1 gene:DCAR_031670 transcript:KZM80759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLLFNHIQSLEKARINWKIKVRLTKFWPTIVADAIAVRGYNMIFLDEDNSNIHAYAYPDNWTAIGKSVLEGKVYVVENFQVRDSTGRLRPVSNKKCIRLLSSTTIDEVDDDTMIPYHKFEFMDLADLLGEAERNANVENPEFSTGFYMFRLIIMADDNNLTSNVLLSDRVVKRLIRTIATNVIAALKNEEANGSPPAVLKDIVGMEITVKILLSESNISGDSNIYQATDLFDPAAQPNMISQHSPIPKCPSFSQAEEDSPQSSKA >KZM80758 pep supercontig:ASM162521v1:DCARv2_B23:33702:37585:-1 gene:DCAR_031669 transcript:KZM80758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFALFFFFMAPLACILAQNVQDAELVVNSTALLTETDENFICATIDWWPHDKCNYNRCPWGYSSAINLDLDHPLLSKAVKAFKRLRIRVGGSLQDQVKYDVGNLNSPCHPFAKESNGLFGYSKGCLHMRRWDELNNFFIKTGAIMTFGLNALYGRHKIRQGVWGGDWNSSNTQDFIEFTIFKGYQIDSWEFGNELSGKGIGASVGAEQYGKDIIKLKALLDKLYKNFHPKPLLVAPGGFYEKEWYDKLLKVSGSDTVDVMTHHIYNLGPGVDPNLVNKILNPLHLSRVTKTFSSLEQTIQENGPWASAWVGESGGAYNSGGRHVSDTFVNSFWYLDQLGIASKFNTKVYCRQTLIGGHYGLINATTLVPNPDYYSALLWHRLMGKGVLSVESNASPHLRYYAHCSKGRAGVTLLLINLSNQTYFEASVQSTMSSKLSAGKETTSRKNKLVHHLKKTISWIGGKTSDEDLYREEYHLTPKDGEIQSKIMLLNGIPLVLTDNGDIPSLAPVHVNINSPLSVDPLSIKFIVLPNFNAPGCK >KZM80760 pep supercontig:ASM162521v1:DCARv2_B23:252411:263114:1 gene:DCAR_031671 transcript:KZM80760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNWLCQAFKSMVSPKHRSSSKFSLHGWVGGLSGKPAPKAFQSQPSLDKRRSSIDSGSQNLKASEGSVVQDVSNVVDHSSVTNKDSTLDKCVVSNKCATSVVKTVEGLSSDGRPGDDQKISGKDVVIQKIKWGDLDDEVLIMDSGNIYGAHIKFGGFENDNLVSRKAETGAVSGTCMPSSCKPGKSTFITAKCEDEHMQKPLFSPQAKSFQEELKEGNEVASNEVDIQITYDHRPSSANDVLGSERANYVHTNSEPSNSSCPYNESSTPKVEAVLNMEPLASDVICETGAAVIPEVAIIDESSSTVTDVVPSATALPEKSGGGSPRQSNTPEFNEDCMDLGTQLVANGFSEVPLDIGKYEAVESKERFRQRLWCFLFENLNRSIDELYLLCELECDTEQMKEAVLVLEEAAFDFKELKSRVVEFETVKKASPKLNDGAPMTLKSDQRRPHSLSWEVRRMTTSPHRAEILSSSLEAFSKIQQERAKTHTSDYAERKGFDDLDRHRRTINMLEKQTCGTDTISDCKASAVKPRKQSGVIAVTQGSSSRERRTADLSRSNKMKSLQYGRTSIQNDMASESNEVKLTLKDCSSVTVIGKGKRELIGPTSEVEKIILKKDRLSTDTIAERHSKYMDNLRRQNPLLERDRDQKIGSSIDLWKSMDAWKEKRNWEDILATPTRFSSRFSYSPGMARKSAERARNLRDKLMSSEKKKRTVVDLKKDAEEKHARAMRIRGELETERVQKLQRTSEKLNRVNEWQAVRSMKLREGMFARQQRGESRHEAFLAKVVRRAGDESSKVNEVRFITSLNDENKKIILREKLQDSEVRRAEKLQVLKIKQKEDIAREEAVLERKKLIEAEKSQRLAETQRKKEEALVRREEERKASSAAREAKAMEQMRRKEVRAKAQQEEAELLAQKLAERLRESEQRRKFYLEQIRERASMDFRDQLSPFRRSLHKEGQSRFTPTNNGEIDTANNTSSHIDAVLSTGNSAHQNSFKKRIKKIRQKLMALKHEFLEPSAGPENSGSGYRAAVGTARAKIGRWLQDLQRLRQARKEGASSIGLITAEMIKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTVYLLRLLRVVLSLPANRSYFLAQNLLPPITPLLAGALENYIKIAASSNIPSSTNLPLNRTLIENLESITEILDGFLWTVTVIISHVSNDEHQLQMQNGLLELVIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSINLLVTLTSRFRTVSSIDWDYSPSGIIPNIIIEEEKLAEVSLLGSSSFYNCTNDHELPISVASDAPSVSLPEVPEDKALDESCPSSLMEKATDKNADKVQSTTTKMDMTETIKPSQNLKDDKVKPSASRKDEKSSVYSGAVEIKEERLGLKQPVAFLLSAISETGLVCLPSLLTAVLLQANNRLSSDQGSYVLPSNFEDAATGVLKVLNNLALIDINFIQMMLARADLKMEFFHLMSFLLSHCTSKWGVASDKIGLLLFESLLLLSYFSLFHYENQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILAGTLVAACFGCDQNKDVVQQELSTDMLLSLLRSCKNGLPAFCPRSVIESRPVDEVTDSIQLGPEIRKLQGDISQRSNRFNVRSNRTLGKGGGPGNSGRTLKMRNTRDFKPSKSYEEKSSKNGPLTSQAPCNLMLHSRFPESFINRAEQFFCADVTTSVSEETAVQ >KZM80757 pep supercontig:ASM162521v1:DCARv2_B23:19156:19944:-1 gene:DCAR_031668 transcript:KZM80757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYMWFNIDVDTDMLVDEAPMHGEQHGHALVFTKIMQASRVDGRSHGVYLGKSLESAARDWKAGTTVMFNKGRNLWPIGVSGTNNRIRFSKGWNAFVQENDLKVGDCVTFTLQADGLSFEIDVKYAKTKRGMKSKT >KZM80749 pep supercontig:ASM162521v1:DCARv2_B24:32460:42221:-1 gene:DCAR_031676 transcript:KZM80749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKKTSSTPNATTLNLMRALRKYKSVSTNKKAVSSPCLGNSSPVSVRKKNAISTKLRKQISKKRTPVEEPITKKQEGLKLLKRGAVTMHRILRRKMLGIKHFVTFNRKGEPYGPKAAEMQSYIGVLARTKPPIWYKSWKEVPKERKEKIWDCVQMAYDIPSTAKRMVLKSACQKWREFKCRLTTHYVLPYRNQPEMLKYSPADYSFIEKGHWDQFVADRLSAEFLVIHEDQKKIRELNLYPHRMSRKGYANLEQEIAEGDTEMEIDRSTTWTRARKDKDGNFTKAVEKIVTKIEKMKQKVLDGEETEEGVDDVLTKVLGNPEHRGRKRGKSNIEEKIQEGIQKFMSEQTSKIIEERDAFWAKEMEKLKAALCGKDIRLDGSPLINSQQGSCSKGGSAALRKDLDLNEGPRKKLKLTGDCVDEVEEEDHAVGNTTQLGEEMVKENNQEVEKSVTVVDEQLEVRGLKNDSERKLAVGSPTNFVAYGIVDTISDVLHGKPLEKENARVSITRVIQGAAKIPFPIGDEIMTVEQACGTFIAWPRDLILEEDSGALVNPNVKVNTKNAGKKSKKLNKPDAMVEMAADSPPILKRLLDWGKDALDDDRTISFPLCEKAFGSNKKKVLYLTDVQALCCGGEISGSIICMCIHVLENYLEKDKMTDMVTFVDPGIIGAIGCGSVAERARSLSARFKNAKKGQYFLLPYHHVNHWALTVVNPEAQTVCHMDPLKRRIASEEWIELVDNGIKLYKESVRKILKKKIVWENMAGVPVQTGTKDCGLFVMRYMKEICEDKDLNFASKWLRRKNLAYSVSDINEIKVEWANFFMKHHAR >KZM80751 pep supercontig:ASM162521v1:DCARv2_B24:72238:74576:1 gene:DCAR_031678 transcript:KZM80751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEWKYETAFERGRKRTAFLNKCVKKFRIYYYYPEDYTVEEGNSVVREHLKRNLKHNMFIWKRDANKQVEEALKKGEEANRLMFKPHYLEEDAWKGCCDYWDSTGHKQMSETNKENRKNLKFPHASGAKPFEERRIARELETGEVMSELDLFNIVYTKKHAELMEIKEAMERAAQEFASQTGADEPPPSPATCRKKNILISLRARKLNKGKIFMNPNKTIHDFLGPEEAAEWTTSSIPARIPNHAYDMMGRALNEVTDMVQAMDGINEITRSHLDEELKKLADGAYPNKDDPVQKVLWGQYIKVAASLASSQFERFKKVIIEDTEEDGTENGHDMEDYEGNADGQNIDDEAGDMDDDRANMDGHYSDEDGSFNNTQLSP >KZM80750 pep supercontig:ASM162521v1:DCARv2_B24:69756:70380:1 gene:DCAR_031677 transcript:KZM80750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFDRPLKKTVVPAAFERISNKRNRGKVDVREKVVGEGNGKKKVLGEGSGKRKAVREENGKRKVVGAKIVNRKVVGEENGKRKVVGEGNGKRKVAEVVDKGKRKEAGDGCRGRVVKKIKATGGESRGKEAAKKKEGNRGRF >KZM80755 pep supercontig:ASM162521v1:DCARv2_B24:525299:525511:-1 gene:DCAR_031680 transcript:KZM80755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIVEGAGGYDFTAALPPGLIREGDARGKGQSCRVSDGGGLVVEALAQGEQRWLGLDSGGLVGVELQHRG >KZM80756 pep supercontig:ASM162521v1:DCARv2_B24:531428:531640:-1 gene:DCAR_031681 transcript:KZM80756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIVEGAGGYDFTAALPPGLIREGDARGKGQSCRVSDGGGLVVEALAQGEQRWLGLDSGGLVGVELQHRG >KZM80752 pep supercontig:ASM162521v1:DCARv2_B24:231572:231784:-1 gene:DCAR_031674 transcript:KZM80752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIVEGAGGYDFTAALPPGLIREGDARGKGQSCRVSDGGGLVVEALAQGEQRWLGLDSGGLVGVELQHRG >KZM80753 pep supercontig:ASM162521v1:DCARv2_B24:240028:240882:1 gene:DCAR_031675 transcript:KZM80753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKDMLGRNRVEDVNWLCCLSEPELDLLIDLKMMVLQRAKTIDCKSLVAKFDLKILRALGFILMEHFKENIKNITDIPDLADICASLDRCNLLKLDDKDGSGSASTEELKKLTANRKRRAVEL >KZM80754 pep supercontig:ASM162521v1:DCARv2_B24:363816:365353:-1 gene:DCAR_031679 transcript:KZM80754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGEIEKLFFVNPKGALREFSRLQYNAFSGEIGSNYDWVVAVDGAVSKSQATKGKAGIGGVIRNKECRLIYVFSGPSIANQVFEVEMDACMYVMSSMDPSWVPPKKNFVKINIRASTIVDALQNGNVNSIGILARNDRGKYLWGIMSPMKNIGFLELQLWAIHKAMITAFRKNIPRVILETDNVHSYDITLEQDEELIEEEGLVEVLRQINNPSRTYNGMRQEDNSKWDCELVTVDSSRNRAALCMAHYGMSNCSSLVEVPEPFAELKEHLDIDMGFGPHAEFLEVQPNFGEGELLPAEVGRRGVVEIIDLTSEGVNVNGRAAGKGKQKV >KZM80747 pep supercontig:ASM162521v1:DCARv2_B25:59596:61230:1 gene:DCAR_031682 transcript:KZM80747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKCPTLVPHNPVIFSLEDEAGVDLTQANPHSTPYTLPRMSSLPKSIQKAQTVPQNRTIEAGEVDSKSVEGETAQDAANKDGNIIEPKVTPSEPKAKRSRKTKVTVIHAATSQKVVDITQEENLSLGVSSQQESSIEVGMSPKAPPPIISEILSSTCPTSHVSSLTSRAEDRPNLSNVDLPFQIMEEPPSSGPQEPIAQSVMDTTPLNTKFNQASVAVNDSAGTTATLAVDSIPGVRTSLDVTSTTAGKAHTTVFTQTDSVDDFDDDNVLILSFIKGTPKPSSSMHFSTAHTHSFRMRVGEKKKRAIKEQRVSKQNERHPNVLAKGEGLEHVQSEPEGATILKALGLVSSTVTSDEITLKHPESGISRPQCLPSIPKRFRTSVPTIQASDRLSSLEEKVAVMNDNLNSLSQTIATGFSNIQVALASLSRFIHVANLPKGEKSDRLDERELDDEPV >KZM80748 pep supercontig:ASM162521v1:DCARv2_B25:132757:133581:-1 gene:DCAR_031683 transcript:KZM80748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPQYLPSSSSESERLVQEISSESERLVQEISSDDSGSDFESQQVTKTTCDNVSSALKKPTLPLKPNAKPRAIKAVFTKEDEIALVEGMIEFKETNQSNLSSNMTAFRNFVKNSLSCIVSRTQLVSKISKLKHKFLCNVSKVENGEDPMSWKPHDYKLFELSRDIWGSEVLGGMEKDSKDDHVANKTEAKPKLWWSLYPCLCASLESEANKNFRETILAKEYVNKVLRVLETKKAAELEEEWNSFLVMQQQVYAKRMKLIAKQAEAMMNSSSQ >KZM80744 pep supercontig:ASM162521v1:DCARv2_B26:319278:325899:-1 gene:DCAR_031692 transcript:KZM80744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKVKSPLIASDGVELTEVDVKGFGKNEMKDLLDRLMKIPEKDNEGFLLKLKQRLDRYHESRVLVLQSSNMLVELSRREEEEDIKADPDIDMYMKATSLEGQDVREMMVGPVRAYFMDAISTGLASSTSYQIVNSIRQSIHILEGTAVISLLQPAPETYELFDDIILLSDGKIVYQGPCENVLEFFELMGFKCPERKGVADFLQEVTSRKDQEQYWMNRDKGYSFVSATEFAEKFRSFHVGVELSNDLANPFDKAKGHPLALTNKKYGANKKDLLISCISREYLLMKRNSFIFIFQIIQIIFVCSVAMTVFLRTNMHKKTEEDGQLYLGALYYTVITVMFNGLSEIALSTMRLPVFYKQRELLFFPVWAYSIPTWILKIPVTLWEVVLWVCLTYYAIGYEQDFERIIGHILVLLCAHQMASALYRCISAIGRSMIVANTLGSCTLLAVLALGGFVLSKDNIHPWWIWGYWSSPLMYAQNAIAVNEFLGKSWRHVLPNSNEPLGVTVMKTRGLMPDAYWYWLGIGALVGYMFLFNLLSATALAYFNPIGRPQAILSEETLAERTSSGRDLTACAMFTTNGSMDLTSKKKHGMILPFQPLSICFNDIKYAVDVSQELKAQGFLEDRLEILKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTCGYTEGTITISGYPKKQETFARIAGYCEQTDIHSPYVTVYESLQYSAWLRLPPEVDNITKKMFVEEVMELVELTPLKGALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELILLKSGGEEIYVGPLGHQPSDLIEYFEAINGVPKIKDGYNPATWMLDVTSKGQEEALGVKFAEVYKKSELYRKNKESIEAISKPIPGSQDLQFATQYSQSFFTQCIACLWKQHWSYWRNTNYSAIRILFAIFTGLLFGTIFWNVGPVRGTKQNLFDSMGSMYAAVIFLGIQSASSVQPVVGVERTVFYRERAAGMYSAIPYAIGQVLIELPYGFIQTILYGVIVYAMLGFEWTAAKFFWYIFFIYFTLLYFTFYGMMTVAVTPNHNIAAVFSTFFYGLWNLFSGFIIPVTRIPVWWKWCSYTCPISWTLYGLLGSQFGDIEEKLETGETVAQFIKSYFEYDHDFVGCVALIIVGLAFLFGSIFALSIKSFNFQKR >KZM80737 pep supercontig:ASM162521v1:DCARv2_B26:92741:93700:-1 gene:DCAR_031685 transcript:KZM80737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSRMNFANLAFRDLNRTNPCIIYVDSDGTSSTTVRIIIVSNMLPLHAQKDGETEGLSFNYDEDFHLIQWFFYVGSLNADIDVNEHEVVVERLMDDFNCVHTFLANELFSEFYHGFCKYHRQPIFHYMLPMCGKHGDQFDQGLWQAYISENKILAEKVLEVGNPETNYILIHDYHLMAVLVFLRNKPYRAKLGFFLQSPFLASAEIYRTLPVSADILRILLNCDLIGFHTFDFARHLLSCCSRMLGLEYESKRGQIGLDYSGRTVYIKILPLGIHLGKVEKCSEYSFYISESQGIRREVQGEACNCWRRRHELIQGN >KZM80746 pep supercontig:ASM162521v1:DCARv2_B26:351157:351519:-1 gene:DCAR_031694 transcript:KZM80746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKEGKKRKVENNEGETEDEKMEKFFALIKSTRRVGGEDRPKENEVLVNDNEEKPKTNIAAAGWCPKFQLEDFEINKFISIPAPAENLTGPSTTADDDQNKQEIIEEENDTVNLKLSL >KZM80741 pep supercontig:ASM162521v1:DCARv2_B26:280361:283974:-1 gene:DCAR_031689 transcript:KZM80741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSRMNFANLAFGDLNKTNPCIKYVDSYGTSSTTVRIIIISNMLPLHAQKDKETKGLSFNYDEDSPLWKLKDGFSPDTVVFYVGSLNADIDVNEEEGVVERLMDDFNCIHIFLANELFSEFHHGFCKHHLWPIFHYMLPMCEKNGDQFDQGLWQAYISANKILADIVLEVANPETNYILIHDYHLMAVLVFLRNKRYRAKLGFFLQSPFLASAEIYRTLPVSADILRILLNCDLIGFHTFDFARHILSCCSRMLGLDYESKRGQIGLDYNGRTVYIKILPLGIHLGKVENVLNIPSTSVKVKEFEGKFKGKFKGKHVIVGVDDMNLFKAIILKLLAFEQLLRKYENLRAIMVLFQIINPERSSGEDIEEVRRETYGTANRINQIYGSCGHQLVSLIDRPVDQCVKNAYYAASECCIVNAVRDGMTLVPHMWKKTSEWKSILVVDLEWKAAVERIMNLYTEATYDSTIEVK >KZM80736 pep supercontig:ASM162521v1:DCARv2_B26:27726:29459:-1 gene:DCAR_031684 transcript:KZM80736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLHAQKDGETEGLSFIYDEDSPLWQLKDGFSPDTMVFYVGSLNADIDVNVQEEVVERLMPIFHYMLPMCGKHGDQFDEGLWQAYISANKILADKVLEVANPETNYILIHDYHLMVVHVFLRNKRYRAKLGFFLQSPFLASAEIYRTVSVSEDILRILLNYDLIGIHTFDFASHILSCCSRMLGLDYESKRGQIGLDYSGRTVYIKILPLGIHLGKVENVLNIPSTSVKVKEFEGKFKGKHYENLRDIVVLVQISNPETSSGEDIEEVRRETYGTANRINQIYGSCDHQLVSLIDRPVDQCEKNAYYAASECCIVNAVRDGMTLVPHMYIICGQGSSTVDEASGIMSYFPRTSVLIIYGYVGCSPSLSGAIRINSWDISSLAEAMHSAVNMDNSLRQLRHEQNYSYVQSHDVTYWARSFLHSMERACLDHCNYQCWGLGFSFTFKVAALSLGFQKLFSESIVPAYNRTNRRAIFLDFDGTLVPHSSTKKNLSSELVTALNTMCDDPKNTVFIVSRRGRSSLTEWLAPCEGLGLAAEHGYFIRYFPSKTS >KZM80740 pep supercontig:ASM162521v1:DCARv2_B26:169081:171584:-1 gene:DCAR_031688 transcript:KZM80740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFNCVHTFLANELFSKLYHGFCKYHLRPIFHYMLPMCEKHGDQFDQGMWQAYISANKILADKVLEVANPETNYILIHDYHLMAILVFLRNKRYRAKLGFFLQSPFLASAEIYRTLPVSADILKILLNCDLIGFHTFDFARHLLSCCSRMLGLDYESKRGQIGLDYSVKVKEFEGKFKGKHVIVGVDDMNLFKAISLKLLAFEQLLRKYENLRAIVVLVQIINPERSSGEDIEEVRREKYGTANRINQIYGSCGHQLVSLIDRPVDQCEKNACYAASECCIVNAVRDGMTLVPHMYIICGQGSSTVDEASGIMSYFPRTSVLIIYKYVGCSPSLSGAIRINSWDISSLAEAMHSAVTMDNSLRQLRHEQNYNYVQSHDVAYMARSFLHSMERACLDHYNYQCWGLGFSFTFKVAALSLVIIYTTTKLASDNTSQTTDAGKSVGRNKDTTQIFLSDTLTNK >KZM80742 pep supercontig:ASM162521v1:DCARv2_B26:293160:298957:-1 gene:DCAR_031690 transcript:KZM80742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVLSSLADMLVELSRREEEEDIKADPDIDMYMKATSLEGQDVSLLTDYTLKMMVGPVRAYFMDAISNGLDSSTSYQIVNSIRQSIHILEGTAVISLLQPAPETYELFDDIILLSDGKIVYQGPCENVLEFFELMGFKCPERKGVADFLQEVTSRKDQEQYWMNRDKGYSFINATEFAEKFRSFHVGVELSNDLAKPFGKVKGHPLALTNKKYGASKKDLLISCISREYLLMKRNSFIFIFQIIQIIFVCSVAMTVFLRTNMHKKTEEDGQLYLGALYYTVITVMFNGLSEISLSTMRLPVFYKQRELRFFPFWSYSIPTWILKIPVTLWEVVFWVCLTYYAIGYEQDFERIIGHILVLLCAHQMASALYRCISAMGRSMIVANTLGSCTLLAVLALGGFVLSKDNIHPWWIWGYWSSPLMYAQNAIAVNEFLGKSWRHVLPNSKEPLGVTVMKTRGLMPDAYWYWLGIGALVGYMFFFNLLSAAALAYFNPIGRPQAILSEETLAERSSSGRDLTASTMLTTNGSMDLTSKKKHGMILPFQPLSICFTDIKYAVDVSQELKAQGFLEDRLEILKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTCGYTEGTITISGYPKKQETFARIAGYCEQTDIHSPYVTVYESLQYSAWLRLPPEVDNSTKKMFVEEVMELVELTPLKGALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGIRTVVCTIHQPSIDIFDAFDELILLKSGGEEIYVGPLGHQSSDLIEYFEIKDGYNPATWMLDVTSKGQEEALGVKFAEVSKKSELYRKNKESIEAISKPIPGSQDLQFATQYSQSFFTQCIACLWKQHWSCWRNTNYSAIRILFAIFTGLPFGTIFWNVGPVRGTKQNLFDSMGSMYAGVIFLGIQSASSVQPVVGVERTVFYRERAAGMYSAIPYAIGQVLIELPYGFIQTILYGVIVYAMLGFEWTAAKFFWYIFFIYFTLLYVTFYGMMTVAVTPNHNIAAVFSTFFYGLWNLFSGFIIPRIPVWWKWCYYTCPISWTLYGLLGSQFGDIEEKLETGETVAQFIKSYFE >KZM80738 pep supercontig:ASM162521v1:DCARv2_B26:135299:135778:-1 gene:DCAR_031686 transcript:KZM80738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSLRQLRHEHNYSYVQSHDVAYMARSFLHSMERECLDHYNHQCWGLGFSFTFKVAALSLGFQKLFFESIVPAYKKTNRRAVFLDFDGTLVPHSSTKKNLSSEVVTALNTLCDDPKNTVFIVSGRGRSSLTEWLDPCEGLGLAAEHGYFIRYFSSQTS >KZM80743 pep supercontig:ASM162521v1:DCARv2_B26:311819:315183:-1 gene:DCAR_031691 transcript:KZM80743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSRMNFENLAFGDLKRTNPCIKYVDSDGTSSTPVRIIIVSNMLPLHAQKDGETKGLIFNYDEDSPLWQLKDGFSPDTVIFYAVKVKEFKGKLKGKHVIVGVDDMNLFKAISPKLLAFEQLLRKYENLRDILFLVQIINPERSSREDIEEVRRETYRTANRINQIYGSYGHQLVILIDRPVDQCEKSAYYAGSECCIVNAVRDGMTLVPHMYIVCRQGPSTVDEARGIMSYFPRTSVLIIYEYVGYSSSLSGAIRINSWDISSLAEAMRSAVSIDDSLRQLRHEQNYSYVQSHDVAYWAHSFLHSMERACLDHHNYQCWVPAYNRTNWRAIFLHFDGTLVPHSPTKKNVNSEVVTALNTLCDDPKNTVFIVSGRGRSSLTEWLAPCEGLGLAAEHGYFIRTNWRAIFLHFDGTLVPHSPTKKNVNSEVVTALNTLCDDPKNTVFIVSGRGRSSLTEWLAPCEGLGLAAEHGYFIRWKKTSEW >KZM80745 pep supercontig:ASM162521v1:DCARv2_B26:347682:349787:1 gene:DCAR_031693 transcript:KZM80745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHQHRTFCSSVAFSATSQISRFARLGQIEDARKVFDEIPHKCIVSWNSIIAGYFQNNLPNEAEALFYRMPERNNVSWNGLVSGYVKNRRIKDARKVFDQMPERNVVTWTTMVRGYVEEGSIDEAETLFRQMPEKNVVSWTVMLGGLIRDNRIDEARRLFDVMPVKDVVARTNMIAGYCQEGRLVEARELFDEMPRRNVISWTTMITGYCCEGQVDIARKLFEVMPEKNEVSWTAMLMGYTRCGRMEEASDIFDAMPMKSVPSCNAMVLGFGHNGEVDKAKMVFDRMREKDDGSWSAMIKVYERQGYELEALHLFSLMQSQGIRINYPSLISILSVCACLTSLDHGKQIHAQLVKWQFDCDVYVASVLITAYMKCGDLVKGRLLFERFSSKDIVMWNSMITGYSQHGLGEEALGVFHEMMSLGIMADNVTFVGVLSACSYTGKVEEGLKLFEMMKSKYLVQPRTEHYACMVDLLGRAGRLTEAMKLVTEMPVEADAIIWGSLLGACRTHMNMDLAEVAAKKLSQIEPENAGPKILLSNLYASKGKWAEVASLRKDMRSRKVSKSPGCSWIEVEKKVHMFTGGDSTSHPQHIMISKKLEELGHLLKEAGYCPDGTFVMHDVDEEEKAHSLKLHSEKLAVAYGLLTVPVGVPIRVMKNLRVCGDCHSAIKLISKITNREIILRDANRFHHFNDGLCSCKDYW >KZM80739 pep supercontig:ASM162521v1:DCARv2_B26:149853:153190:-1 gene:DCAR_031687 transcript:KZM80739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRSAGGRGGSAGGRGGSAGGRGGSAGGRGRGDKNASAGGESSKDADGGECLTGAADGNDGALGGHGSQAGGGECPTGAADGNDGTLGGQGSQDDGRECNTGAADGNGGTLGGQGSQTGGGECSTAGEGNATGTKGGVRTGASTTTRKRRKRAVVDEENSACAEWDDEGRQTTPIRVEADPRSHRTRGYSPGGFGTYPVTPNVVRIAGNLIDCSKALRNLLAIMRVYWPDGCVGAKDVDRKNPNFWSQCISEFLRYNTWDPRFANEEEARDSIRNHMRDNMRRTLADDKRRADKAIKEKGGTYKDHRPKYVKPGVWSRLCEYWVSEGFKKKSEAGKAARAAVKMPHTSGARSFDRRRRDYMDKHGKLDYTVVYKDCHTLKDDERKGNWITEEAKKIILPGNGYAPTFRESVRSTRNTGRFRPRSPEFASGSLVPATHKNSGAMSFKKKNGQGMKGKLTHLWREGTAQENTRAR >KZM80733 pep supercontig:ASM162521v1:DCARv2_B27:72356:72995:1 gene:DCAR_031695 transcript:KZM80733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPEGLCSSENVFTQEISPIPNPKRKRNLPSTPGKSRCRSDSPVTRVTDGYKSIRMRNMKQGFLERSKPALHRRGHNLAWKLKQRNNKEVMRKKVYLCPKKTCVHHHPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQADWKAHSKICATREYKCDCGIFTSDCYEWIISNAPA >KZM80735 pep supercontig:ASM162521v1:DCARv2_B27:162377:162799:-1 gene:DCAR_031697 transcript:KZM80735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDYYSLRYTDPKECFAGVRREWAFCLKESDQLRNDLIGLGANLPVRDSLAVYPAHNFNGSWGDYRRLIIEAVGLIREENNRMLLRRCRFYMLKLAKDSATASGREMTFEEECQLLQNPNYLSIDPMSDEEPTDSDDSE >KZM80734 pep supercontig:ASM162521v1:DCARv2_B27:147627:150077:-1 gene:DCAR_031696 transcript:KZM80734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLVIVLLIASTLAFLYFCLNHIKKKDDLPSPPGPPGLPLIGNMHQLYKASSHHEDFYQLSRKYGSLVTLHLGSVPALVVSSSKMAKEVLKTKDVIYSSRPAMTGQQKMSYNGLEMAFSPYSEQWRDVRKFCTLELFTQKRAQMSVRPVREQEVYRMIDGLEEAASASKEVDVHRCFSDFGSSVITRVAFGKRYDEGGKFHRLLSDVEALYANFFVSDYFPMFGWIDKLTGMMARLDRTFLELDMFYQELIDEHLKPDRPASTTEDVIDVLLKNKSSASFALTMNHVKAILMDIIVAGTGTTATVLTWAMTALMRNPRAMKKVQEELRTVMGQKGKMDEDDLQNLPYLRAVVKETMRLYPPGPLLLPRETMESSVIGEDDDHMYKIKPKTLVFVSMWAIGRDPENWKEPLEFIPERFLERPDIDYRGQHFEFIPFGAGRRQCPGLNLGARNVELALANALYTFDWELPDGMRSQDWTDI >KZM80732 pep supercontig:ASM162521v1:DCARv2_B28:214261:214544:1 gene:DCAR_031701 transcript:KZM80732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCIAAASTISVRPKPKASLNISPKSRQTLATSSTPFFNSAALRKLFQSLSVSSRYPRILVVKVS >KZM80731 pep supercontig:ASM162521v1:DCARv2_B28:131736:132368:-1 gene:DCAR_031700 transcript:KZM80731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLTGTLSMGDFSKSRPTVARNPRTTAADQSKQDGMQASSKWGEILYVQENDFSSIKEEARQYKDLLEDGLWKALEMENLNILRYAKNRLERIKRCVDDDVRERAIQGDEKSIKQAMRKLMFTAWEGPIVKFADQQLQYRLEREEPKHKDFILNCQKWVDENVLIMVQIGDNEGLRMATNQAHYKSLRTKKGQKSYMEATLGKNKQKEV >KZM80730 pep supercontig:ASM162521v1:DCARv2_B28:79542:79833:1 gene:DCAR_031699 transcript:KZM80730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHQTSFSLPSLFAVYNNDLKGVLYAWCLPEISSYARKYVQDLYKGFT >KZM80729 pep supercontig:ASM162521v1:DCARv2_B28:29060:42819:1 gene:DCAR_031698 transcript:KZM80729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSFSKKSTECPVISKTSGYIFDKLLIEKFILDSGKCPITGEPLSMDDIVLVRTPKIPDAETVDMSSSSNSKTVEEIDCQRMLDDMVEAIRESSARLRRFRRNSREIPTSLASADALQNCMLSGTHHLHKTDMPGILSLDIDISKDIIATGGADANAIVYDQVSGNVISALEGHTKQVTSIKFVKEGELVITGSADSTVRVWQRSEGGSYKYKHILDHHTDEVQAVMIHPIQTYLITASLDCTWNLYDISHDFRIVACAKGSERFTTAAFHPDGHVIGTGTSRFVRFWDVSKQDDIFKIDAHAAPVSSIAFSENGYHLATAAEDGVKLWDLRFIRTLMTFPSDLKTGTRPIDFDYSGLYLASGGSHISVYDIASAPKYGTIATFSDYSGIANITSLKFGKDAKYIAAGSQDSKLLLFSSPKNDELMDC >KZM80727 pep supercontig:ASM162521v1:DCARv2_B29:450353:451075:-1 gene:DCAR_031704 transcript:KZM80727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGMLRPFLPLRFPKVPSVDGAGLPVAGLTAHSAGVKLDASGPRSNIVITAASGGVGLYVVQLAKHGKKESLSSLVLVS >KZM80725 pep supercontig:ASM162521v1:DCARv2_B29:46808:47474:1 gene:DCAR_031702 transcript:KZM80725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLWGAIWLYNATDREEYINYVINKAHLFGGTGWAITEFSCDVKFANIQILAFKLLSEEKHKNYGAILE >KZM80728 pep supercontig:ASM162521v1:DCARv2_B29:499147:500726:-1 gene:DCAR_031705 transcript:KZM80728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCAASVEMNFTKHDEMVWNLRVAEAKKANVNAYDPHPHHVTNQLNSHVHKATDKSSNDTRRGLHGQILKGPCIATNPIDQCWRCDPNWDKNRMKLADCALGFGRHTTGGKGGKVYVVTDASDTDMVNPKPGTLRHAVIQTEPLWIIFKCNMMITLSQELIITSNKTIDGRGAQVHIAFGAGLMIQFVKNVIIHNLHVHDIKATNGGMIRSSVDHFGLRSKSDGDGISVFGSSHIWIDHISMFNCVDGLIDVVAASTAVTISNCHFTRHNEVLLFGATDGFTDDKIMQVTLAFNHFGNGLVQRMPRCRYGFVHVVNNDYTHYLMYAVGGSSSPTILSQGNRYIAPVDRNAKEVTKRDYAAESEWKNWVWRSQGDLMINGAFFVESGDPKHVFVSGEGMIVPKPGTMVDKLTQFAGAIGCKSNEPC >KZM80726 pep supercontig:ASM162521v1:DCARv2_B29:196581:197128:-1 gene:DCAR_031703 transcript:KZM80726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSEMQTDLDVRLLACKQNIEQDLEEQEARPAFDVHDYDKRVLDTLSRSFDNKSAMSFADVVRGQEKHDLARSFSAILQLMNNGNVDLQTSDTTEEEATCYTAEKPFLSNF >KZM81183 pep supercontig:ASM162521v1:DCARv2_B3:704620:712791:1 gene:DCAR_031244 transcript:KZM81183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVELRKANTKEKFVEIVKAAAKNMEHGSWILGGGWNNDFWGGELPMATWIDDITENNPVWLSRMDGHMGLANSLALEIAGVTKNIEDPIGGTVVRNTGGEPTGLLIDSAMKLVLSCIPEVSKDDRRKALERASNLALMRGVTTVVDFGRYFPGTSVELPWEDLTDVYRWADIYGKMKIRVCLFFPMRTWQRLVDLIGQTGRKLSQWIYLGGVKDFSDGSLGSSSALFYKAYAEEPHNYGLQVTNIDDLLNSTISSDKFNLQVAIHAIGDRANGMILDMYTSVVATNGMRDRRFRIEHAQHLAPGAAAKFGQHHIVASVQPDHLLDDAESAIKKLGLERAQEGSYMFKSLLNSDAVLAFGSDWPVADINPLSSIKTAMERIPPGWENGWITTERISLPEALNASTILAAKACFLDKDVGSLSTGKMADFVVLMNDSWEGFAEHGYGSIAATYVGGRQAYIRNI >KZM81172 pep supercontig:ASM162521v1:DCARv2_B3:194343:205148:1 gene:DCAR_031233 transcript:KZM81172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGRCSRRRKVVDVGIDIPTDGNSEGGSLDLFTQARKALSDRSPFDLEDGQEVLTGNLPRELATVLCKRSDGRKRHKKSHSATGESKSQRLEKSRGAAFWAGKEVYFRGLTVADIDKLCELSTLDFTETSDCLLIPFVENDRTGTCSAVINGNVSASGNELGNVPEVQNKCDEQFMEVDSAGSVELNVEDKDSGFKQQTSGLEWLLGSRSKIYLTSERPSKKRKLLGGDAGLEKLIVSSAVEDSSSFCHYCGLDTVDQLNRLIVCSMCKMAVHQRCYGVQEEVSESWLCSWCMNRNQKGNSERPCLLCPKQGGALKPVLRSDSSGSMEFAHLFCCQWMPELYIEDTRKMEPIVNFEGIKETRHKLICRLCKIRCGACVRCSNGTCRASFHPICAREARQRMEIWGKFGSDDVELRAFCSKHSEDLNNISIVQGDQFPDQTIAKHQPVSSTMIKPHKLKISRRNGEVEGHFGTSELHLEKADCSVPHEGVLPDARSNLTNELECRGIQQSNTALVLDKSSEDVDTTESSNFKTILKKLIDQGKVSVNDVASDIGVSPEFLSSNLIGDNIVPELRSKIVEWMKNHAFIGPLQRNLKVRFKNLTKVEAVANGDTDGIVSDSCTPDVSVTSIPPRRRTKSDIRILKDGKALCVTRTSSIEDGTSMTHKSACHIIRDEPACQSEESVPDNSLKTLLEPAGSRDILQSNSSKIEGEGSKLSYCTASDSVRAVEGAIPEKNAALDSVSANPVYDTAVNCVPDLINEQSVCSFHMHPTIRKTLSEMPNPAVIRFLTDDNDGLRDRELSPLEASSSSSICCNHQSDKLASPGSVYKFRPGLEQLAKAGRMGILEHSPADEVEGELIFYQQQLLHNALAKKRFSDNLMSKILTNLPEEIDALGKQKWDAVTANKFLYELKEVKKQGRKERRHKEAQAVLAAATAAAAASSRISSFRKDSREESAHQDINPLKADFYSGGAGLYSQQMPRAKETLSRLGTTRVSSEKNYDAVYTNSDFSKDHPRTCEICRRSETILNPILICTSCKVAVHLDCYRCVKDSGGPWYCELCEELSSRSCGALAVNSWEKPYFLAECGFCNGTAGAFRKSTDGQWIHAFCAEWVLESTYKRGQANPVKGMETVIKGSEMCHICRRKQGVCIKCNYGHCQNSFHPSCARSAGFQMNLKTVGSKLQHKAYCEKHSLVERAKAETQKHGMEELKILKPVRVELEKLRLLCERIIRREKLKRDLVLCSHEVLDSNREAASLSALACVSFYQTDVSSESATTLLKSYTDGCKSGNEAIQKSDDITVDSTVAGKSRTKFHVPVDNDQKTDDSSTSQQPCPSKPSDGASFSGKQIPSRPSVPSWSFPSDVENCANYRKYTETFEKELVMTSDQASMKNQRLPKGLVYVPIHCLSGEKEAVPDACSTQEQLKSDE >KZM81186 pep supercontig:ASM162521v1:DCARv2_B3:739020:739244:-1 gene:DCAR_031247 transcript:KZM81186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRKLGCREVSWTEYNSGRKLLMCATSACSFFRWGEGEMDTRAKSTINGLLRRSKLKDDEHFAELIQVSRCVR >KZM81168 pep supercontig:ASM162521v1:DCARv2_B3:103937:106582:-1 gene:DCAR_031229 transcript:KZM81168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIEEVYSSDHIMGDGPKEKTISRLESLIQVATSRLEKMPVHRDRSSQLTVQMIADELRSMVKMLREDTTPNIPRTREEETEELTPYKRFINDLHALISPPVQGTPLPLILLGKSKNALLSTYFFLDQFVWLSRTGIYKVQTFFPYTRVSN >KZM81169 pep supercontig:ASM162521v1:DCARv2_B3:110034:110537:1 gene:DCAR_031230 transcript:KZM81169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVHNQKHRNGKPCVSPSPSSNKIFLPILCRLSIKDTTSSIAPQPTSRRANLCPDPRSPKVSCMGQVKRHPSSTTTHKIIKKCVVDQRRRRRRQLASKLSSDGDDNSKGGGLVNFAELDPPLPVIKKLQPLQPSVSLWKRRSSLPQLTDIQIPTFQTAIDPPPSI >KZM81175 pep supercontig:ASM162521v1:DCARv2_B3:325300:326014:1 gene:DCAR_031236 transcript:KZM81175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMMMVLAASIVVFSLSIVLFPIFITYHFEKEQALAGYEIEYINLQGRIVVPGFFDSHVHFLYGGLQIEHAQQLAPGCCSEIWSAPYCCFIAGF >KZM81181 pep supercontig:ASM162521v1:DCARv2_B3:699385:699549:-1 gene:DCAR_031242 transcript:KZM81181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFMLFNELSCLSANLDRIYLDANEISAAYHDNHSTLEAQANARLSKCNIYKKT >KZM81185 pep supercontig:ASM162521v1:DCARv2_B3:737102:738219:1 gene:DCAR_031246 transcript:KZM81185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLRREEDANIYQPYEYPRYQFPEQQFAIKLFYNGKMEENPKNYVGGSVAYVDYCDSDEMSHLEINAMLVSLGAIGGYYKLWYKLPGTSVDVGLFDLDTDDDLLVMCDLINPKKILVEVYVNLTPAFNVKRATYQEEIHMMDPFPYSTQQEIDMETDLRNVNGLYENFSDPYDSKDDHQNRVVDDESDESFHCDGSNQDSSDDDLLFDKNVDKTELEEDHNENEDADLDENDDQGNKILLRLTYKFDLTCI >KZM81177 pep supercontig:ASM162521v1:DCARv2_B3:481742:482051:1 gene:DCAR_031238 transcript:KZM81177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEPAECSSIYVEPMKWMEAVQEGFVGQKLQCIGCKGRLGSFNWAGMRCNCGAWVIPAFQLHKNRMDECSL >KZM81182 pep supercontig:ASM162521v1:DCARv2_B3:701470:702152:1 gene:DCAR_031243 transcript:KZM81182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMKMMVAAPLVVLSLSIVLFPIFNTYPFLRNWGWTRQVADLLVINGTIYTSDSSLPFADSMAVRNGRILRIGDYSSVQALAGYGTEYINLQGRIVVPGFIDSHVHFLSGGLQICIW >KZM81170 pep supercontig:ASM162521v1:DCARv2_B3:112450:112641:-1 gene:DCAR_031231 transcript:KZM81170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIKAEDILTTLQSLELIQYRKGQHVICVDPKVLDRHLKAAGRGGLDVDVSKLIWTPYKRQG >KZM81173 pep supercontig:ASM162521v1:DCARv2_B3:268253:268498:-1 gene:DCAR_031234 transcript:KZM81173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTVVVINKPCNVQRGLNRMPVGDLLVVFEGGVAATISGGSTNPCAFEVELLFMVCERWLELLKKKWLKMQAYVRKPLKH >KZM81167 pep supercontig:ASM162521v1:DCARv2_B3:58266:59108:1 gene:DCAR_031228 transcript:KZM81167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEWITDIGVEIHDKPSVIKYFKDGMIQSIGLTDEALSTYNPRILKYLEAQVREKCSRTSKGRLTAELLYAYRLNFAALRDLDLSAINRQPPYPLPPLNPEVPENPNAPVVTYNPTSIIFKKKKDSEAIAIPLTEIEKFSSKRIIHAVAAVKWVRAHPSRVIMKIEGMDLNITFKKLKKMVHLQTLEKMKKNLEQPPPENTLEQVALSTITARIEEIENKLTQKRVEEAAKRRAELNLMNARAKKPRKD >KZM81174 pep supercontig:ASM162521v1:DCARv2_B3:322789:323244:1 gene:DCAR_031235 transcript:KZM81174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDKRLPLKIIRGMQWHLGLPDEYLDDPEKNLDGCFRIVDMEDGLKGLAVECEEKVLSFVQRNAMRRGGYNGGSMEVVEFPLFPSKGMSLKRKIGDWFDKFQEVLYVSPYDEYWGLDSDSDVAEKRIVGVLHEMHCLFVEHVAERRSSYA >KZM81187 pep supercontig:ASM162521v1:DCARv2_B3:773111:783241:-1 gene:DCAR_031248 transcript:KZM81187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSDLTANSNNQMDTKLNDLVAANGICGVLQKWVNYGKGWKPRWFLLEDGVISYYKIHGHRKVFVHQDTFKGFRIIGDDSNKRILRSSSKSSNNSKAGIHDFTPVGQVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETREDRQQWLEALHAVKGMFPRMSNTELLSPIDNVTVSTEKLRKRLLEEGVSEEAIQDSEQIMRNEFAYLQSQLILVRQKHWLMVDTLRHLETDKVDLENTVVDETQRQLKGVGTSSRSLLEKYSEGSASESEDDNERVDNVEEESDEDDNAFFDTQEYLSSNSFRSSGSDYLTSSFSSDEEFSSELQGIDPSIRSAGATFPKVKRRKKLPDPVEKEKSVSLWSMIKDNIGKDLTKVCLPVYFNEPLSTLQKCYEDLEYSYLLDRAYEWGKSGNSLMRILNVAAFAVSGYASTEGRSCKPFNPLLGETYEADFPDKGLRFFSEKVSHHPMVVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGILTLEFDDGEVFHWSKVTTSIYNLILGKLYMDHYGTMRIQGSRNHSCKLKFKEQSIMDRNPHQVQGNVQDMNGKTVATLFGKWDESMHYVDGTSAKGKRSDQSEAHLLWKRNKPSKFPTRYNFTRFAMTLNEMTPGLRDQLPRTDSRLRPDQRCLENGEYEMANAEKLRLEQRQRQARKMQERGWKPQWFAKCEGSESYQYIGGYWEARENGNWDSCPDIFGQISSDQVAD >KZM81179 pep supercontig:ASM162521v1:DCARv2_B3:682695:685797:-1 gene:DCAR_031240 transcript:KZM81179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNEFYLFQPAEEYLPIIEELTSKTLDSDKEYSSPAQEIKLRLVHAFDLLTMDKNGGRKRVTLSPLSPSSNGNLPKRRFMVTGTDDGKSNMYKGNPVLKENHHPNYASQSTLNPGLLYEMSCVIQSSRPSPMILDGKNNMNRGNIALPKENHHPNYALQSTLNPGIFYVSCTRIISTLHYECFITSRVRVFRSACSVFPLNVSSAERKQSNPSCESPGSS >KZM81176 pep supercontig:ASM162521v1:DCARv2_B3:365044:370226:1 gene:DCAR_031237 transcript:KZM81176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIAVGSENDKYLYSTNNYVGRQIWEFDPSYGSPEEKAEVEEARNHFWRNRYKVKPSGDVLWQMQFLREKGFVQNIEAVKIADGEDISYEKVTATVRRAAHYFAALQASDGHWPAENAGPLFFLPPLVMCLYITGHLNIMFPAPHREEILRYLYCHQNEDGGWGLHIEGHSTMFCTALSYICMRILDQEPDGGVNNACSRARKWILDHGSVTHMPSWGKTWLSILGVYEWSGCNPMPPEFWILPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVASTTPLILQLRDELYAQPYDQINWHKVRHCCAAEDIYYPHPLIQDLIWDSLYICSEPLLTRWPFNKLRKKALETTMKHIHYEDENSRYITIGCVEKVLCMLACWVEDPDGDYFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFGLQALLAADLTDEIGPTLKRGHEFVKASQVKDNPSGDFKSMHRHISKGSWTFSDQDHGWQVSDCTAEGMKCCLLFSLMSPEIVGKKIEPERLFDSVNVLLSLQSKNGGLAAWEPAGAQEWLELLNPTEFFQDIVIEHEYVECTAAAIQALVLFKKLYPAHRKKEINEFIANAVRYGNWGVCFTYGTWFALGGLAAGGKTYNNSSTVRKGVDFLLKSQLDDGGWGESYLSCPSKKYIQIEGNRSNLVQTAWAMMGLIYSGQAERDFAPLHRAAKLVINSQEEKGGFPQQEITGVFMKNCMLHYAAYRNLYPLWALAEYRKRVALPSIVV >KZM81171 pep supercontig:ASM162521v1:DCARv2_B3:183767:184416:-1 gene:DCAR_031232 transcript:KZM81171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVPGRKYKKKTPSDDGDEESAAVQDGDVVQDGASAENNDTAESKKTQKGPVHGPNWLLGRSGKSRRTMNKPFHVGLSSTSNSTADVAEMKKTIRDELVAEMQEMIYKKVQEKLTKVMGRLGELVPDLREVAVEDFCANVDKGVDEEAQNDR >KZM81178 pep supercontig:ASM162521v1:DCARv2_B3:547992:551958:-1 gene:DCAR_031239 transcript:KZM81178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDQLSSLSAAKTSWRIKVRVTRMWPSISNGSKGQSAGLKGYNMILLDDDNCHIHAFSYAKTWKAIEEKIEEGCLYVISNFYTKEALGSLKPVSSKYIINFSPSTTVDKLEEDYFMIPIHKFEFIDLGDLFGLVSSYTNTEFPDYSTGVIEEYERDIEIQTMYGDRHIVRFRLTDGRLDEEGYIHTEKVQDSHAGESSAATYVVADLIETVTRGALIRFLVRRSVMYATTFATVITQSFCYRSMFFNSKVLMVLDFQV >KZM81166 pep supercontig:ASM162521v1:DCARv2_B3:30660:31445:1 gene:DCAR_031227 transcript:KZM81166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIHLTRKLRTKAHEPSLHLLNPLIHRVVALSETRETPTERTSENPTAQPTLESAIPTVSVTEFEALKFKVQHVEAENLVLREEFVEIKSTMEQRLAALEAKLLASQPSREDYSTEGERAAEKARGKRVITGVAEELIDSALRGQFSYSHDEYIPEFVDDRVIRMVSADDDDLEEGEIPDKGVFADELAYHNHIFPPEEYEIENPQDIADVSRDFAELRRAREKL >KZM81184 pep supercontig:ASM162521v1:DCARv2_B3:732756:736310:-1 gene:DCAR_031245 transcript:KZM81184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEDDVYNTAGLNEKEDRERIVEREAESVVVSRSRSQATTRRVTPTGAESSSEKQLANGDLYIGKFLGNVPHGFGKYLWSDGCVYQGEWRKGKASGKGSFSWPSGATFEGEFKSGRMDGFGTFIGSDGDSYRGGWANDRKHGYGVKRYKNGDYYEGNWKRNLQDGQGKYVWRNGNEYVGEWRNGSINGRGVLVWRNGNRYDGDWENGVPKGNGVFTWPDGSCYVGRWGDEVLNGTFYPGTAGGGIGNCKEDMFVDGLVLRDKVSDCGAVVTKRSSVSLEAARGSLNERNFPRICIWESDGDAGDITCDIIDNVEATMLYTHVNLLGKDDIGKFRRNPCFNREVKKPGQMISKGHKHYDLMLNLQLGIRHSVGKHASVVRDLKPNDFEPREKFWTRFPSEGSKMTPPHPSAEFRWKDYCPVVFRHLRELFQVDPADYMLAICGSDALRELSSPGKSGSLFYLTQDDRFMIKTVKKSEVKVLIKMLPSYYKHVCRYENSLVTKFFGVHCVKPVGGVKTRFIVMGNLFCSEYQIHKRFDLKGSSHGRMTNKAEEEIDETTTLKDLDLNYVFRLQRNWFQDMIKQIDRDCEFLEAEKIMDYSLLVGLHFRDDNTGDKIGLSPFLLRNGKKDSYQNEKFMRGCRFLEAELQDMDRVLAGRKPLIRLGANMPARAERVARRSDCDQYSPGSGETYEVVLYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKLYSKRFRDFVGRIFVEDG >KZM81180 pep supercontig:ASM162521v1:DCARv2_B3:690160:693435:1 gene:DCAR_031241 transcript:KZM81180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSQQPMINLLRSGIRQRGSGNALDLFLQRRAESIKRSKTIGTSSEFDKNSRVFLLAMDSRSQQPMINLSGSGIRQRGSGNALDLFLQRRAESIKRSRTIGTSSEFDKNSRATSQVPLSTIDQSASYQSIRRARLSNFDQNPSPVSVLDSTVTNVMPSTNAATAIGRSPLSRLDQNANHGVLLDSTVTAGATVSPADLCNSTTSSSIHHASCAPVSATVHKRGGRGPGLQLKATSTGALQSGSNLSEVLHTGMSSDPFRYTSITSGTVVKDDMFLSFFPHCISCTGLM >KZM81152 pep supercontig:ASM162521v1:DCARv2_B4:945459:947541:-1 gene:DCAR_031270 transcript:KZM81152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVQLRGAGDAGVVAANAGGLLGVLLLVQIGHKRLFGQKGSVDMVACPKPLEELYEDQLSQLRWLGFRDTHDNIQALVETSGDVHAAALLLLQDL >KZM81139 pep supercontig:ASM162521v1:DCARv2_B4:577402:577609:1 gene:DCAR_031257 transcript:KZM81139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVAKSFDAPIKLLFPTSDAAHSMFGLGDIVIPGTMSKI >KZM81158 pep supercontig:ASM162521v1:DCARv2_B4:1195125:1205644:-1 gene:DCAR_031276 transcript:KZM81158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILISKSDLAMSNAQSSSPIRSDYKDLNSTNSNNLIRRERPSRACTIRSAARLHQAAAAEAKLTQPHSKRKKKNKRKDLQEEEHEEEEEDPSSSPQDDQCSKIITPLVGEPPPSQLSRWSIRSMWELASILNFFNVFRPLLNIKADFSVEEFETALITPNNTLADIHIPLLRAIPPITRMALGQNTWITVLCRKLRDWWHWVAEGELPIVASHGAEVEAYNTLDPGVRVLILKALCDIRVEQEDIRNYIDNSIKEGVQLSAFRKERIGGDSRGIFYWYEDDSVIGQRLYREVRKIEVKRGKGKSVQPISSSCYEWETVATNLDEFQEVSCARNIGV >KZM81165 pep supercontig:ASM162521v1:DCARv2_B4:1269588:1276498:-1 gene:DCAR_031283 transcript:KZM81165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLYIFCFLILLFTGHSLSYPLCTNSRIPIKQKNVLKFCPYHGTGCCDSDNDEQLENQFRQMNISSPRCASLIRSILCARCNQFSAQLLRIDYEERNIPVLCDTENGALNDFCTDIWNTCGDIPIPNSLFVRPVQRKDHFSFDTSPTKLTNQWKSRGNFCEVFGSSSVDESMCFDGKNAIFGTAIAPQPIKGLCLEKVGHGSYINMIPDPNVSNRVFVSNQEGKIWLVTMPEAESTEILKMDESKPFLDLTNQVLLDPESGLMGIACHPNFGRNGRFFVSFVCDKLRHEGCQGRCSCNTDVNCNPSKILPENGIEPCRYHYVVAEYTANGTASERYLEKSANAVEVRRIFTMGLQYTGAHAGQILFGPADGHLYLITGDGSQGLHSANLAQNKRSLLGKILRIDIDNMPSEKEVERLGLYGNYSIPNDNPYTSDNELAPEVWALGFKNPWRCSFDSKRPSYFMCGDAGQDGYEEVDIVTKGGNYGWPIYEGPYVLHPPNTSSSSATSTFIFPVSGYNHSAVDNNSGSASIIGGYFYRSMTDPCLYGRYLFSDVYQIAIWAATEVPTNSGNFTAESIPFSCASDSPIKCSPKAGSDLPDLGYIYSLAEDNLKDVYILTSTGVYRVTNPSRCSYQRGAIFSSAPASIKAAPILKRSSVMASCGGGSAIIVVFVSSILVLLVLPCFSHPLCSDSRAPFSSKTPLLFCPYNGSLCCTSAQDLSLQKQFAAMNISHTECASLVKSVLCARCDKFSADLYTVQSTSRQVPLLCNTTTGSNSFCSQVWNTCQNVSIINSPFVATSLLSQPGTPVNSSSKLTDTWKSKNDFCSAFGGISPDDSVCLDGGPVILADKKPPSPPSGMCLEKIDNGSFLNMAAHPDGSNRAFYANQQGKIFLATIPKQGSGEEMKLDESTPFLDLTDEVYFSTEFGMMGMAFHPNFAQNARFFASFNCDKSKWNGCSGRCACNSDVDCDPSKIGTDNGAQPCQYQTVIAEYTANDTNSQPSTEARPIEVRRILTMGLPFTGHHGGQILIGPTDGHLYFMIGDGGGVGDPYNFAQNKKSLLGKIMRLDINTNPSPAEIDRLGLWGNYSIPKDNPYTLDKDLQPEIWAIGMRNPWRCSFDSARPSYFMCADIGQDHYEEVNLVTKDGNYGWRAYEGPNPYNPPKAPGGNTSRDSISPIFPVMGYSHSDVNKNEGSASITGGYFYRSTTDPCLEGRYLYADLYAGAAWAGIETPENSGNFTSTKIPFKCAEDSPIPCTYVPGNTLPALGYIFSFGEDNSKDHFVLASSGVYRVVPPSRCNYSCTKEKATTTSPPTPSHATCNYFGLTLSLISCFVLSYILMI >KZM81131 pep supercontig:ASM162521v1:DCARv2_B4:277986:278225:1 gene:DCAR_031249 transcript:KZM81131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMPPESNCGERCEKPAVKKKHGRAVLRCREMAVVCFRLQLRCLMWGLRELKVGSQVLEWIAEGGDRDCSSPEMWVME >KZM81138 pep supercontig:ASM162521v1:DCARv2_B4:556083:557030:1 gene:DCAR_031256 transcript:KZM81138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSQQPMINLSSSGIRQQGSGNALDLFLQRRAESIKRSRTTGTSSEFDKNSRAIRRARLSNFDQNPSPGSVLDSTVTNVMPSANAATGN >KZM81141 pep supercontig:ASM162521v1:DCARv2_B4:700486:702530:-1 gene:DCAR_031259 transcript:KZM81141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVQLRGAGDAGVVAANAGGAAGYIGGVLLLVQIGHKRLFGQKGSVDMVACPKPLEELYEDQLSQLRWLGFRDTHDNIQALVETSGDVHAAALLLLQDL >KZM81163 pep supercontig:ASM162521v1:DCARv2_B4:1246229:1248570:1 gene:DCAR_031281 transcript:KZM81163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQLSALDCSKTAWRIKVRVTRMWPSISNASTGSDGLKGYNLILLDDDDCHVHAFIYADSWKSLADKIDEECVYVITNFYTKKAIGSLKPVSSPILINFSHSTTVEKVEEDDFMIPRHKFEFGDLGDLFGIATANTNTEYPEFSTDVIGVLEDYEGLAKIKTVHGDRNIVRFRLTDGRHPPRVTVWGPLAVATDTAYKACAARPIIIIMASVKMKTFLDYVQINTVPSTKIYLNLDNEVVSAMRQRLDEEGYVPSEKTLSSTSSAVLIPPPIIETITLKQLSEKTKYEFLKSMFLCKVKVKNIEESENWWYDCCHRSNCNEEVSKVEGKFRCFKCHRNYPIPQKRYRIAVLAEDETEAFSMVLLDRAVKRIVGKLIAERIDNQATLTDYPDELKAINGKDLSFKIELNEDNILLKSVVYIVTDAFDSEITASSKSEATNSDVEVTGFINDHTGHSQVKHQKNQNG >KZM81148 pep supercontig:ASM162521v1:DCARv2_B4:864869:866922:1 gene:DCAR_031266 transcript:KZM81148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVLGGVVGAGDAGVVAANAGGAPGYIGIKMQHNLEREPQGDGVLGGVVGAGDARVVAANAGGAAGYIGVMRPNNNGEYVEMKKKMESLEEKLKEKDEDFEGLQDSYQALLVKERNNNDQLQDARKKLINALKDRRTSMRAYTGVKLMGDLNLKPIFAAAKKKYPPAEVELKAIQLLHEGIAFNSTSAGGYFFFAAANMGLRLRSSISLTPV >KZM81144 pep supercontig:ASM162521v1:DCARv2_B4:765799:766008:-1 gene:DCAR_031262 transcript:KZM81144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVQLRGAGDAGVVAANARGAAGYIGVMRPNNNGEDIMEMKKKMEFLEEKLKEREEKL >KZM81156 pep supercontig:ASM162521v1:DCARv2_B4:1161265:1161462:1 gene:DCAR_031274 transcript:KZM81156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRKSKLAFFCCLQLRGIKLLFSCVLLRGRFFFQLRRRIDLSSKGRQLLITKGNLIKVTGGEV >KZM81149 pep supercontig:ASM162521v1:DCARv2_B4:867261:867665:-1 gene:DCAR_031267 transcript:KZM81149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVLGGVVSAGDAGVVAANGGGAAVDSVLRPNNHGEDVEEMKKKMESLEEKLKETEEKLKEKDEDFESLQDSYQALLVKERNNNDQLEDARKKLINVSCLVVLHFNMDFTVNESEFSLHVLLE >KZM81151 pep supercontig:ASM162521v1:DCARv2_B4:893814:895865:-1 gene:DCAR_031269 transcript:KZM81151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVQLRGAGDAGVVAANAGGAAGYIGVMRPNNNGEDIMEMKKKMESLEEKLKEREEKFCVDEHIGLGSVVACANRAQEVVWPKGQRRYGGLPNANSSFSLIMQKGLWCLEPLEELYEDQLSQLRWLGFRDTHDNIQALVETSGDVHAAALLLLQDL >KZM81140 pep supercontig:ASM162521v1:DCARv2_B4:587488:587695:1 gene:DCAR_031258 transcript:KZM81140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVAKSFDAPIKLLFPTSDAAHSMFGLGDIVIPGTMSKI >KZM81136 pep supercontig:ASM162521v1:DCARv2_B4:417333:419044:1 gene:DCAR_031254 transcript:KZM81136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIDEDAPEPRCEAWYRNVLNSRKAEFGVVSELYLLLPLVCEDCLHAPFPVTATGHMEDMIATVPVFLCEELRLSYVELYARYHEVFVTRDALLRMIATSRPAAPISDDGLVRRSEVIDVMHQTATSVITGLQSA >KZM81153 pep supercontig:ASM162521v1:DCARv2_B4:973364:976515:-1 gene:DCAR_031271 transcript:KZM81153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVKDDLKYSEIGAVYASTKKLCDWKLLTSDSELTGLVKNPRSASSLLRINCNSKKTEKRGISVQRTGQNKLPLLSAALTQNNSRKGKGNEKSQNESEDYDPGQDAGSDGYVSVTPPKGKKKINKKKLLTGRGPTTRSRANSTTNPGPKDPCDNVQTCPSVEPVPPPLVVLPEPDDGQGSMAAWRAMRKRQKEKEEKEKAEKEHAEKEKAPSASKMVVEEIVLTDIEEENVEVSVPKRPRGKTRMDKVHTRTFDKRVVIGMNDDFQPIAEKDKVLSELSCFLGTLAKRCVPITFVTWRHVPKSLRTTMWNYVKLQVSNQARHYTAYENDEMRLANRPDGIPLESFKLLLEYWNDDSSKKKMAEDDSEHYDAEVFIKTRARDKKREYKIKPDKMEKKIEDITKNICTGDDATDQLGAKHGPNWLKGRYAKPPMHTSNAPTDTYVKELTIKIKEGLAAELEEKVKKVETEFQDKVKRVEAGVDHKVQKTLEFALNLKKPTQISLLKSQSCVPWSQVKMKMVPL >KZM81137 pep supercontig:ASM162521v1:DCARv2_B4:538464:540114:-1 gene:DCAR_031255 transcript:KZM81137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNKELSKNEGEHAMEIGSWIICVIKSRYSSTVNNPGPSILCTGVTYLQRIGLRLVHSAISMAVAGRNNRDSP >KZM81142 pep supercontig:ASM162521v1:DCARv2_B4:712791:714832:-1 gene:DCAR_031260 transcript:KZM81142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVQLRGAGDAGVVAANAGGASGYIGGVLLLVQIGHKRLFGQKGSVDMVACPKPLEELYEDQLSQLRWLGFRDTHDNIQALVETSGDVHAAALLLLQDL >KZM81159 pep supercontig:ASM162521v1:DCARv2_B4:1207566:1212596:-1 gene:DCAR_031277 transcript:KZM81159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLPVKHSASADFPPAEEDTAPRHKGRGPGVKKLLAQRFGDDTGNTAKNITGTKEAYVTYPYTNGGTHVSPKTIVCRTPSSTLTFQGSMMRRINSHSQSTKSYAPHNMFESPGSPFTSSCVFTRLYESGIIFPVHIINWKYHIAKSRRPFRPSSGTPSSGVKDLSHDFNEAEDPTENNILFDDGGCGIELFKTPVSSCSIAKKIKVAANLCMFRPFVISIRVFSVVMNYFK >KZM81164 pep supercontig:ASM162521v1:DCARv2_B4:1267510:1267875:-1 gene:DCAR_031282 transcript:KZM81164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMKSAPIITKVFTQKDEIKLLKSMIRYKSEKSRDATSDLADFTDFVKPLSFPASKTQVGGKIQRLRTKFRNNFKREKHGKKPIGYFNKHQCQLYGLSKKIWATLVQVDSDDEEGVGNDN >KZM81161 pep supercontig:ASM162521v1:DCARv2_B4:1238295:1239497:1 gene:DCAR_031279 transcript:KZM81161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLDCINKHGFDNHIIAVARPDVWEKLINVMFEGGLYQITNVYVRDAVGRYRPVTNSNVIHFLPVTVVTLYPNDSIMIPFHKFELTPLGDLRRIYYESNPEHVPEQSTDVIGVLENLEPVRTIQTIDGPTEFVKFTVNDGSIFIGRVQLGNIDSSRIYVNLDIEDVSVFRNRFQHSVSRDWCLGSVMCFKQANAVTISSYEHLQFKKLMLYIIFYKIPCCLAI >KZM81147 pep supercontig:ASM162521v1:DCARv2_B4:843964:852341:1 gene:DCAR_031265 transcript:KZM81147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTCLVMLEAVKQYIVTPYWKDFVENSTKEDFLEKSEENKARAEGVEARYKKACLGYARLREMLVATQEELAEHELTGTNDLFAQLIPKEYSGRVRAAGWGVTKKSLQKISTMSELSQLKNDVAYLINEIKELKSKGCNPSMQSRGSSQMDNFDMDKEFIYEHNDDHDPVIGEELPETHQKDMNKERVQHAKEHKKMDDKENNKLAHKVKEKTRESVVGVSSRKLGYPLPGDICDDAVLDFVRLAVKFNRVTDVVVHMGNYWERDAWNDYINKENVLEVLDNQWLSATSLTFYIRYLCEVYLSNNPDLAAKFSFISPHIVSHMVDSSNTSLANCLLKYVDKDHLLFVPWNVSKHWILVAINAKTEYIYFMDPAPMTNNAYYKNVKAFIETAMIKFRTNGGKKYTMTSFNSFKWMNVQCPKQRDGISCGYYVGCFIEDILGTGETKINVNISKHQSPSQSPVHSNFPSVCLSTSVLSTFVKQLKHQEKHQEKYRDKRLYTEARQTNSLKRLMEHDEERWKKFKEDQWKRLRDIEEQRPEDDGFGNFSLETQD >KZM81134 pep supercontig:ASM162521v1:DCARv2_B4:404152:405165:1 gene:DCAR_031252 transcript:KZM81134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDSSIQVLLPPPRSPPVVIDLTAPSPLRAETVDPVDTILGMEEPVTSVYAALPIPEAPVCDTAPPTPTIQVSVVTPGLGGLFAPFPVTATGQMVEIIATVPAFQYEELRLSYDNMPAAPVSEDGLVRRSEVIDAMHQTATSVITGLQSAIAELLSSSVGAVDQGAVASLSELTRCEFLSRVDEMFRPHRQTSYGTFVFEGWHI >KZM81145 pep supercontig:ASM162521v1:DCARv2_B4:820398:820649:-1 gene:DCAR_031263 transcript:KZM81145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCDKYPALVSLILLALVVAEPTCTDLFLASRIKRTHANGLVWILILKTNLFYLYDAGVGLTPTHHYPHFVIFLLFICVAKLI >KZM81150 pep supercontig:ASM162521v1:DCARv2_B4:886768:888318:-1 gene:DCAR_031268 transcript:KZM81150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVLGGVVSAGDAGVVAANGGGAAVDSVLRPNNHGEDVEEMKKKMESLEEKLKETEEKLKEKDEDFESLQDSYQALLVKERNNNDQLEDARKKLINVLKDRRTNMRAYTGVKLMGDLNLKPIFAAAKKKYPPAEVELKAMEFSSLLEEKLRDPNWYPFKVITFGEDSKRVINDEDESLVIIKSEWGDEVYNSVVKALTELNEYNSSGRYPVPELWNFKEGKKATLGEGVDFMERLCKTNKRKRN >KZM81133 pep supercontig:ASM162521v1:DCARv2_B4:347481:349221:1 gene:DCAR_031251 transcript:KZM81133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERRKLKGNSPMASQENLAPAKMGLIHRDS >KZM81132 pep supercontig:ASM162521v1:DCARv2_B4:341872:343250:-1 gene:DCAR_031250 transcript:KZM81132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHIALLRLLAAALIAVGGEYFKLYNVSYDHRAIIIDGHPRMLISRGIHYPRATPQMWPDLISKSKEGGAESADVIQTYIFWSVHELVKEMV >KZM81143 pep supercontig:ASM162521v1:DCARv2_B4:739598:739807:-1 gene:DCAR_031261 transcript:KZM81143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVQLRGAGDAGVVAANAGGAAGYIGVMRPNNNGEDIMEMKKKMESLEEKLKEREEKL >KZM81160 pep supercontig:ASM162521v1:DCARv2_B4:1214119:1216093:1 gene:DCAR_031278 transcript:KZM81160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHGRLPPTLPSPTSGGTVDPLSFVLYNCFCCLSLTSSLHFLSGRKRVPPGPLSPNTKQPEKRRNVHKRDIDMHAKHTSCKNQNPNVIDCATYMYHSLDITVQTPVSRLLKTGAGINTSGHAFQMPASSIRARNPADSMAARQRLLDSFNCGTVYLNRGRQTAPINPSLSNRTSLDPRILDTSSSARRRDERRLVNVTFPEPEQIGIKPPGCSTLASQYQHKSPREASSSGVKNLMSQFNEAVVDSALTGINGDKQVYESHLAGTVLS >KZM81155 pep supercontig:ASM162521v1:DCARv2_B4:1087860:1105931:-1 gene:DCAR_031273 transcript:KZM81155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQNTVKEALNALYHHPDDSIRLQADRWLQDFQRTLDAWQVADNLLHDSSSNLETLIFCSQTLKSKVQRDFEELPSEAFRPLRDSLNSLLKTFSKGPPKVRTQISLAVAALAVHVPAEDWGGGGIVIWLRDEINTHHEVIPSFLELLKVLPEEIFNYKIAARPDRRRQFEKELAAAIEVALSILTACLKINEFKEQVLEAFASWLRLRHRIPPSTLASHPLVLESLSSLNSDYLSEAPVNVISELIHYTAARNLDGISAQMPLIQVLVPQVMNLKSQLRDPEKDEEDIKAIARLFADLGDSYVELIATGSNDSMLIVHALLEVTSHPEYDIASMTFNFWHNLQISLIERDSYLFLGTEALVEAERNRRLQFFRSSYESLVSLVSFRVQFPLDYSDLSKEDQMDFKQTRYAVADVLIDAALVLGGEATLKILYVKLVEAVNSCLNVESSDWRPAEAALYCIRAISDYVSFDEAEFMPQIMALLPKLQNQPQLLHTVCLTVGAYSKWLDTAPNGMSFIPSVIEVLVNGMSVSEDSAAAASLAFRHICDDCGKKLCGSLDHLFQIYQRAVAKKALEALCMPAVIPLQEIINHGPEVLGQKPSREVTVHIDRLANIFRYVNHAEAVADAIHRLWPIFKAIFDIRAWDTRTMESLCRACKHAVRTSKIYMGVTVGAMLEEIQGLYSQHHQSCFLYLSSEVIKIFGSDPSCASYLKSLIESLFSHTTCLLTRIQDFTSRPDIADDCFLLASRCIRYCPQIFFPSAIFSPLVDCSMIGVTVQHREASNSILSFLSDIFDLGNSSAGKQYVSIRDSVIVPRGGTLTRILIALLTGALPSSRLETVMYALLALSRAYGEKTIEWAKESVTLIPSTAVTDMEKTKFLQALSNAASGAKLDIITIPIEELSEVCRRNRTVHEIVQGVLRPLELHI >KZM81146 pep supercontig:ASM162521v1:DCARv2_B4:822326:822544:-1 gene:DCAR_031264 transcript:KZM81146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHNLERGSQGDGVLCGVVGAGDAGVVAANAGVGAGYIGVMRPNNNGEYVCPNNFVGNNFKHVSRRDFIGAD >KZM81157 pep supercontig:ASM162521v1:DCARv2_B4:1189062:1191448:-1 gene:DCAR_031275 transcript:KZM81157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRSTRVLFQEKLLASKNRTEASVGKKLYNDMLPEIEKVHKKREKLLKKQHRQALLLDNMMGVDGLGLGRSLRGRKPVSYTFDDYDRSINEAIKITKTKQSSPEHTVRREGLRNDTSLNGSRLGGPSQTPQPESFSALSPKSSDYEKSDDEDNSEPLDRGNRRRQKSQRFSEREFAEATSSHEADFDSDDDIVGEVVYDDEYLKKRKVMKVSSSSEGDEEYLWEGEHADEEEEEEEEDNQSLSISDDSDERPRFKKLRGRTRRETKLKSVRDMQSGLRRSKRATRNRIDYKQLEGSDSENEPPKYEEFDAQDKQYQSDSESESLNREESNSLEDKHINAYEGAEFSLGTHSEDSEPNKNYEMKTEPPVSEKPESVETEQLHVPLKPHRPDQDGLEGVKRRHFLDLNELAPGPGFDDGPNSSMKDEDINDL >KZM81162 pep supercontig:ASM162521v1:DCARv2_B4:1239970:1243884:-1 gene:DCAR_031280 transcript:KZM81162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSDHSSEEYSSDDSLYGEIDGKSTEKRSQARIKARTVPYGFHDRHGRYKHPKIKLLCTEGFKYKCRYDREEGKIVGLQRFFRDHWVTQNSIITFQWPGGRSFLVRIYKPTGIEADYNWFNPRKCQSFKDSFDINDPKYSSGGGMVEEEKAKALLMFNGKREQVGMYEMVVTESCLEKNNKTLEIKGHMQEMCEQWNSGDVIKIKFVNKVWKIEITKKEGVTFFDPGWFEFCDEAALMAGDTLVLRTCKERLELLACVLKMTELQLIEKSIGCDQTGISFLQFGHDLLINDGVMVDTVLCGGREWQVKYSKDTKMLSGLLPIMREYEVKIRDTIFFTVARDGESVVKIFRRDGTEIRYCKAVVEDGKVQEPFLQREEVSHVEVIDVSDDEAPMDIETDDHAHVVTEIMQASHVDGRSHGVDVSDDEAPMDIETDHHALVFTELMQASHVDGRSHGVYLGKSLESAARDWKSGMTVIFNKGRNSWPIGVIETNNRIRFSKGWNAFFRDNDLGVGDSVTFTLQPDVLSFEIAIKYADKKGGMKNNV >KZM81154 pep supercontig:ASM162521v1:DCARv2_B4:1062472:1062837:1 gene:DCAR_031272 transcript:KZM81154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPPNYIPPHPSPAHSFYSPFSDSPSLHLLRRRCRLRRAAAAQPRTTTHAYLTSHPSLFTSSSRREAPSPSQHHLRNSPPPFADDQPASHLTPAVAATPSGLPTRVPASRPTPHNSDSI >KZM81135 pep supercontig:ASM162521v1:DCARv2_B4:415624:415902:1 gene:DCAR_031253 transcript:KZM81135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWAMAGGAMQPRPRVGDSGGSAAVAASENEREEESRLLEGRGRAGCEFCVFLGSGGCMRRGRRADAATNGGGRRVAPSAALEKNKGISCES >KZM81092 pep supercontig:ASM162521v1:DCARv2_B5:813126:816430:1 gene:DCAR_031316 transcript:KZM81092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVDNGLASGMGLDFGWQQRYARFCGRVVVLSILSLLLYPFLWAWTIIGTLWFTSARSCLPEEGQKYGFLIWLLFSYCGLICIACMSIGKWITRRQAHLLRGAQQGIPISQFGVLVDMIRVPDWAFEAAGQEMRGMGQDTAAYHPGLYLTPTQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSSIRADSDHSPAAVVTAAPYVRTQPPSQSYLLRLQGLLRQVGTENGGNADLALEAAENGVLPVLMQPNRSPEPGRMRSSSLEH >KZM81083 pep supercontig:ASM162521v1:DCARv2_B5:621104:621472:-1 gene:DCAR_031307 transcript:KZM81083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSSYSQENNKALSILGERVIETSVSLSSLVKDIDITAKDLNNKILEVSRVETSCAFDGLQLGLQKVVRVSRKTNSSTPAVVCGSFRAIFGAIAIDKGNSDDAGSVFWYVHGGGSGRAMAM >KZM81078 pep supercontig:ASM162521v1:DCARv2_B5:581723:585490:1 gene:DCAR_031302 transcript:KZM81078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISVAGFKVPTSSIAPTTPSFHHHANKPELGSSPSPSPSQTYFKHHHKRQKFKDLAPGISHQLHPPTNSQQGPSLSPLISASTPTRSWSAISPAQAPTISSFQPQISPLSSSMKKKILPPPTVLTLPPPPPSEGCASVTCTVPLTYTPGGAPCSCVWPIEVRLGLSVSLYVFFPLVSELAREVATGLSLNLSQVRIMGANAAVQQPEKTMVLINLVPLRENFDNDTAFMIYRKFWKKQVYIKTSLFGASRAVHVSYPGLPPSPPSAHSSAVNIDDHQYPHSENDGMPEKPLGVDVASARKGGTDRSLVAVIIISSVTAFIVCMGVIWFLLVKCGCSICQPNQTDIVSSRSKPSGTNKSLMYGSRPSSASMSYSSSILAYTGTAKVFSLNDMQRATENFAASRIIGEGGFGLVYSGTLDDRREVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTDDQYRCLVYELVPNGSVESHLHGSDKESAPLDWSARLKIALGSARGLAYLHEDSSPRVIHRDFKASNILLEHDFTPKVSDFGLAKTTLDGENRHISTHVMGTFGVSKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEIKESITRSSSQEDFLMDKHNEHTSELMEATGIDDPLFGYDPILDTKIPMSATDLRYASAGFEEQESMSFRRISNSAPLKMVKKKQLWQRLWGLSRGSMSEHGSTGN >KZM81089 pep supercontig:ASM162521v1:DCARv2_B5:746102:750878:-1 gene:DCAR_031313 transcript:KZM81089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPYNPISTIDPTTYDWSCRNSRIHAFANSKYCDDLLKEIKEGQIYTISNFKVKDYLGDEKFRAVRNKRHIFFTPHTRFKECSGLGLQIENYAFDLFHFDEIEKLADDNRFLIDMVGKVKNIQELIKTKKNEEDKILFKFELSNGSSNLHVTLFDSFGEQLEKEFAQLDIQNLYVIICCARVGRYEGVPHLSNYPATRVFINPKHHSVDDLKKRCSEMKPQTDIVDVPMGQAEVDLPRKLMTVKEIKGIKAETGEAIVLCEVTVKRITDKSAWYFRKCTGCDLELEHDNGKFRCSRPNDDTGSIAIIFPDQAITRILDKTVIDLHADCADETEEEKFPEILNSLLKRKYTVNLGINEDNIKKGSTVYEAIDILQDQEKGDSFDPNKTPVAEIQDVSMVTVSETDATTHLTPNTGDSTTMKTRGRKITEALDFNPTDPSALQPLKSVKLENYQTLDKLKIGVDQYNIKVRVIRLWRGATRAGEEFKSFNLILLDHKGQRIHAFVPTKAAAELQHQVIVGRVFSFKNFTVQSYSQSDRFRVLRNDRQLVFGQEALLQELADDGVTIPQDAFDFYDHSQLLELSKQTTYLAGEVDISNNNATKVLLNYKHHSVSQLRKMLSNPDFATRALGEKKEKKMQKITVENIKKLGKEAIEGYYMAHVKVIRIDQLRPWFYYACTSCGREPTMLKPCPVCESCNRYVPYPETKFRLHVEVGDTSGTLQVILPDREFTEVKTLPPSLAAIIDKDYSLVVQISEVNILNGFQIYWATNICKGFVKISQEAGDEISITEAQTSQATTSTYADQAISKVNLNSL >KZM81123 pep supercontig:ASM162521v1:DCARv2_B5:1641275:1643641:-1 gene:DCAR_031347 transcript:KZM81123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVVTSTQHLHPDVIIEDGFDDENVPLYTLFKVQLLPSSLLHSSIAHTHAARLSEGVKKKKEIKEQRVRTQNKGQPNLLLEKGEGLEHVQTELDIATSILALGLESSTLRSDEITFKHNESVRTQPASRNQSLPSIPKRLRTGVPAIKASYRLSSLEEKVAVRIDKLDSLSQSVVAVFSKIQATLDSFSEMLVSAFLAEEMKEHV >KZM81111 pep supercontig:ASM162521v1:DCARv2_B5:1395966:1402118:-1 gene:DCAR_031335 transcript:KZM81111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVTKTGNSNGPLDNTKSTIDDGKKQGNGSTAWSKESDKQEKGRSHLGIKETQLGNRSEQDFKKQQQLDRINRYWFLLDHDLVEAINKELLEEGVKGEEEALWSIHEFLYNNGWWEKASNLEIDWNEEQGVEETMDPLLHFLRAYEHLIHPNSRVEALQGKREAVRMALNQIHYGSIELARLELKKGSPKHYASTEQSTKGKGEFDTRKTLLHHLQVAKNFIKTFQHLVEPSTLKDAMLGNDKAISLALGQIHHKTLPSKADGPTSQTYKEALLTPSKVATPKQIISPKARVDRNTIKDAEEEEVQNKERNIVVAHLAQEQALPLLRGPQTQIRGELPEVTILHTSNWIPREVESSISLPKSTSESELSEVNDDLYAHHPEYGPQTSDILKELKNLKVQVKRGRPRKYNRNQLNKHFKLPRRRKLRGEGLKQTTHFFLNANYDEAEAIFETGSLMGLLPLNSKENSMKFIKENLK >KZM81114 pep supercontig:ASM162521v1:DCARv2_B5:1446231:1448781:1 gene:DCAR_031338 transcript:KZM81114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVMDGFTGLDQNSLCSESELEALFAAASDENIAHEVSLKKEAIVVVDDEEVPQPLKGLYEDGPTPFIKKIYGMVGDAETDGIISWSESGMSFVIRDQYKFCVEILPKHFKHANFSSFIRQLNTYVSIFFSFLVILVYNIPTCCPAGCHIVEQWRLRLAVLRSRVRFPGHSGSMWATCSKHEWSSVLVCPPGLVENFKKLSLERWEYANEGFQKGKDHLLKNIKRKKHQPDQQVPQDGKNSQLSLYHENLKKEAELEKLKSDTEKLRTELLIIQKEQESADNYLLSVKERLIRTEHKQQQMFICMARAFKNPLFNEILMQQLREKEALDTAGTSKKQKLIAPQCNKSLVEAIYYAGGSQAKDDFTMIDTEAQKAYFHNEAKNPVVQSQKVNEVLATKPSDIALDNCLLVENLLADDVVSETKAATEQANVHSKVVLELEELITKVSANWEVSMKEMVEQAVCLQSQF >KZM81073 pep supercontig:ASM162521v1:DCARv2_B5:407406:407888:1 gene:DCAR_031297 transcript:KZM81073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYTGTGDGVKNTSEIRQTPSETHAREDSDKSLSVREVSAHTNTDLLQEQMANLRAEVERLNAENAKFKSGELVALPLHEQVADAFVTSLQKKMDEHVKGIYSRIDKNHEICMTKLDSLEQTLAQVVEHLKISKSTTQSTPEDPSTKGEKDEEDKDENC >KZM81119 pep supercontig:ASM162521v1:DCARv2_B5:1513478:1517829:-1 gene:DCAR_031343 transcript:KZM81119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINIMTVDAERIGVFGWLMERDEERWKKFKEDQWKRLRDIEQQNVAEMTDLEILAGKRRIKLQADLSDIVIYRAVLDAPLIADCKNAFGIKDGSTSTDTEVKIEGDAEQEKSAVNRSLQITTVHNDAEQKTSFSLPATPLQICGSSIQPFSSKSKKPPRSSLLSIRGSSSGIYGTPRFGKLNFIQPLANIHDRIEEMPEILQRNASPNSGAKSGSPNSKRVSPPHSIGLSPGQRSSQPLEMNGILKSPIKEDAELEKNIDENNLEKVPISKDQVLTDKEVNEVIVKPCDTSAATKNVERKSGLLKIGSLSINLKKAGCETAAANPGAIGSVLSPSSTSATVTAKQVNFVTVGSMLVTLKSE >KZM81128 pep supercontig:ASM162521v1:DCARv2_B5:1878829:1880274:-1 gene:DCAR_031352 transcript:KZM81128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDELFSNTYNPLLQSGIPSDSDNLSFDAPDWVHNLLDSNQLSPPLTRASEFEIPQIHNQGTTSQTLEAEISQPLSQPLQIIESEGESALAAPHKEIVSETAALSPSQERRIEPETTADMSISSPPQPNTIPMHSEVAHTVEELTVANTLSSMSGIDTVVSDPFQGQVPSQASGGNLDEMPHSTSLSTPLGGTFPDPSKDSSPLEGERQSVSEPFVSGSVPVIEDLSQSLSPSKAVVGNQGASPIQGSHPSSPVSTHPEIPTQDPTKDSLLSSSWQLVSYDSDSSDEETEDEGSRTFIAPSVTSLEEAKKISSAGTSKAAGTSLSERETPTELDIQKPSDRLSVLALSETRETPTERTKFEALKFKVQHLEAENLVLREELVEIKSTMEQRLAALEAKLLASQPSREDYSTEGERAAEKAKGKRVLTGGD >KZM81095 pep supercontig:ASM162521v1:DCARv2_B5:888887:894334:-1 gene:DCAR_031319 transcript:KZM81095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDQQNSTPTTSEGSRKKRYKHAAKKNKVKPGQKSTPDSDESNEETYSIHELYRAQFQATNANNQSEATQAQNQSEQTEDARQTEDQTEGNFQPPTEENFMKIKPWMKNMLKKINNLRVKKKLKIKTNLPLQKIRNGKERRPKSNIFLLNIKTPIPNKFDMQQEEAQPKAKRPNFHLPTKKDANEKYEGQPAKHLHTPKDDLKLRNSPRLFSEMISDLTEDQKKWVERTGFGRLLDFTLELIPANLAYNVFQIFDPNTVSLNSNNELIPILEEDVYEVLGLPCGRESITLGTYDYYRSRIDEWSAQFKTEKESTQVTVAKLVQLIKNQGLTQNFKFNFLLVLSNVLIGTPTYSYIDRQMLRLHGNLDECYRYNWAEFLISYLASATKSCMKMHQVISELFYADRVRHKGIKLVERQCPSFKGWTQEKLRERQAIDVYGGPFGFGLIMKPLRDLPSSQEQTATENAKGNDKGTDVPNPPLHDWNSHQNDDDLWEEWERAQRQSVQNETNDREDVRDTEQHIQVEQQHDDGQASSEKVQDFVESIRSMANELIDTKLLFDTELNLALQKYPTNEQLLDNKNIVFNVFHQQGTEKTTNTDSSPPEENTDTEEFQDCYEEEDFHLTIEDVEQLDLLSFVDSAKINAQQTDLFETDTVGEIYPSFSLGIDDEEDIPPITPKPALWEKSSRALKIGRYGKSPFIERVIDIHSKITNQEFGVWRYMTEIKDPMEQIFLCNDFFSLREDMQSLNIGKHIETMVVDTWAIVLNDAEKFKSDDSPLRLFFTIGCVNATLDEKKTLATTYKLFAENVDSMLIQCNRTKLDLIDMAFFPICAFEHYYLIVYHLKNWTYEIIDNIDRSKIDPKKCYGEKPKILHSHFVKYLHAKGHVGISGKVKKMKPSYLHLPWQTRNNSIDCGVFLMRHMESYKGDLKSWSTGLNAEKDGQDHQLIKLRIKYNNAILSSQLNQKKKEILRQGKELYIEAASKKLVDLVINSSQQSQEERPTSTIAAKSQNKKKVTFAKNLITPFDEVGDPPKDV >KZM81113 pep supercontig:ASM162521v1:DCARv2_B5:1408788:1414096:1 gene:DCAR_031337 transcript:KZM81113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLELETLIMSRKAPSEFPPYRRRRPLKSKAFDSFLRIVSECHLHFQNQEQVATVEGDQLVENNDLANRGDSLLENDKLGTEDGLARENLLVNGDREGDLLVENKDSRAEDGLALQNSLVDENREVVSSQEPGCSGDRMDVDGLKIVDNVKSNGDQVPIDNVNGIEQVIEGNQNCNNGFAAFASLINNDDHSSLPDFDMEEFDLGEQLGDNAPCADVSELIDTLLETDVTVGASIPIVNNDENSLHMKRNEVETQVDVKESDTPGSASVMVSSPLQKTVEGIEDGEISEVSGFLEKSSDLLHEDVLPLKNSVDKGDIIHKEGFNLNALQRGLEKDNQSFGQAKTGVKITSTAMNVEMGNDNQNLMNNRTQVYYGDTVEDDREDREDCKLESGRNRRRSAPKAANSQASCPKNISLSGGSTGENTIENQCSASTEKVANQNNKKRKRGCSEDRKAKSKKKERMKRAEQRRELGVKKLKLLPVSKPKTISYCHHFLKGRCHEGEKCKFSHDTVPLTKSKPCCYFARQSCMKGDDCPFDHQLSKYPCNSLLSQGSCIRGSNCMFSHKVPMKEGSSGASDICNPDLKSVSTKEISVPQKQMHPNFTGSQNSSIKLSSSGASYCKNYKKSGEGPALKPAGQAPKGISFLSHGKVPLGNNSKNGQGPLSNGAKVDQQTLASAANGVESLHKSTQKMPVVPRGVNFLSFSKDPSESFSTKKSSFSLVTNVETGKSPLSDPDKSKHAGSSSKTDVGVTVDSQTGQGASSIFQNTSETANRTPATTPQGLGLLSAGNLLDRSFNKKQAGSNSNENNAMHPTVRNNESVSDKLRNLNLLLPPVLSPLPSNHSLSKLTDVQSKGSSSSLQGSLFSNTPSSLQRAFQSTLALAAKFESKAKNAVSTDLNKEGSSSSQNNSMKPSTILDFLYSSKSKTQQ >KZM81106 pep supercontig:ASM162521v1:DCARv2_B5:1225631:1233242:-1 gene:DCAR_031330 transcript:KZM81106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLAGLPGLKSLDKFRAYSGAGVAKTFSNCPRPSDSISLGSFANLKLTAEKLVKEQASAKTDLDLANCKLKKLSEHISVLEAKLQNAFNENAKLKVKQKEDEKLWRGLESKFSSTKALCDQLSETLQHLAAQVQEAEKDKVYFENKLAASDADLDRLNDQMKSLSLKLEFSNDTIRNREKELQELSIQREEREKSFVDEQSRFCSLIEERGVQIKRLEETIVNKGSDLVNLNHNFESLQLEFKLKEDDLNNLSCSKEKLEKEKIDLLSCNQRFAYKLDRALGEIKNLENLVNILSVSLTDLDNQSLTISDNFKQLSTSFNICFKLAQEGRDLRIQSSQNKYDQLHDRFVHATSEKDVLYLVNQDLRNKVIDFEKEREFTMVQHAEECRLVEERFLALETKAEGLLSRKNDMESLIAELEEKLNSTAENAQLSEKRMQESLLKISELEFEYKDNCEKLQAEILKKEEDIDILKKEIEKHEQSLESQEKKVNQFEVISEERDGLIQKYKEKEKELEDENAKIQELLVRAESNLAEAKKQHEQMLESKQLELSRHLKEISQKNDQAINDIRRKYEVEKLESIKIEKEKASKAIEEMQAQCDQKLAECKEVSEQYSKRIQEEQAGLISRIQQEHDEKETNLIYKHSEQLKYANIQAENELREKTMSMRKEHDAQLRALRDQHKDECRQLQEELDVQRTKEERQRALLQLQWKVMSDHPQEDQEVESKKNYSIPASKIRNSHSGKSGQRVVVREEEQEEDSPYLRETQTPVSNILKRTKNENTGSMRSIPKHSKKVTRREYEIETTNGRTITKRRRTKSTVMFEDPEKNRSRSTRTTKANTPRNVVKGIKGGGLQKPSNIGDLFSEGSLNPYADDPYAFD >KZM81076 pep supercontig:ASM162521v1:DCARv2_B5:566642:570993:-1 gene:DCAR_031300 transcript:KZM81076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVMTNESREFVAKRIWEEKAKVVQTLLLVAGLNTLLQTTFGTRLPAVIGGSYTFVAPTISIILSGRWSDQDPVARFKKIMRAVQGALIIASTIQIVLGFSGLWRNVTRFLSPLSAVPLVALTGFGLYEFGFPGVAKCVEIGLPELIILIVFSQYLPHVIKPGKHIFDRFAVLFSVVIVWIYAHLLTVGGAYNGTSPSTQTSCRTDRAGLIGGAPWISVPYPFQWGSPSFDAGEAFAMMMATFVALVESTGGFIAVARYASATHLPPSILSRGVGWQGIGILLSGIFGTGNGSSVSIENAGLLALTQVGSRRVVQIAACFMIFFSILGKFGAVFASIPSPIFAALYCLFFAYVGGVGLSFLQFCNLNSFRTKFVLGFSIFLGLSIAQYFNEYTAIKGYGPVNTTGRWFNDIVNVPFQSEAFVAGIVAYFLDNTLHKKDSSIRKDRGKHWWDKFRSYKTDVRSEEFYSLPFNLNKYFPSV >KZM81080 pep supercontig:ASM162521v1:DCARv2_B5:600572:602481:1 gene:DCAR_031304 transcript:KZM81080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRACLWLVLVPFLLFSVAVSEEEEDVKTSLIEFMEKLEPGDVQRGANWGWNQSSDPCIDKWEGIGCDGSLKFVKKIVLNGLNLTGVLDADLLCKTNTLFVLSLENNNIVGDLSDDILGCRNLTHLYLSGNRFSGVFPKSLSGLSNLKRIDISDNGFSGKLPEMSKVSGLLTFLAQNNQFDGEMPVYDFSNLVEYNVSNNDLSGPIPDLDGRFGASSFLGNPGLCGKPLAKICPEKKSKKSLARYLIYCGVAIMVLIVILLVSYKVIRNVRSENKKANAVKHSDDSDSTSSEYKNAGRSEFSVTSAESGLASSLLVLSNPDVKGMKFEDLLRAPAELLGRGKHGSTYKVTPNSGLILAVKRIKDWGISAENFKKRMQRIGQVTHPKILSVLAYYCSKQEKLLVYDYQQNGSLLSLLQGSHNGQIFDWGSRLNIAATIAESLAFMHDKLHADGIAHGNLKSSNILMNNKMEPCISEYGLMVVENDIQANTLKPDPTGGRAYATFTVDVYAFGVILLELLTGKIVQNTGFDLDKWVHSVVKEEWTVEVFDKSLTSEGAYEENLVTLLQVALKCTNASPDGRPSIKQVVEMINSIKEQEEGSSISS >KZM81110 pep supercontig:ASM162521v1:DCARv2_B5:1377670:1378671:-1 gene:DCAR_031334 transcript:KZM81110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTWEIPELGYKKINVHCVIIQNPLQNENRVSVGVVIRDSEGENLWGALGLLPSQTEEQALMSGIHDALIHAQEKKWDLLHIETTNRHVYDTIRHQEHIFLEDEQLEVYSMFNTIYANHFAEGKTKRVIACIPQRMNGTAEYMAQYGIDKGLEFGEFTGTVGNMDYYLARDMGMTMPLPNPEVLQNLGEGEVIDGPPPPPSKKRKYDDLSFEVRPLQCFRDKDKEKVMEHYSFNDKGIFTEKAVKAMTSGSLSRFSPVFNGEMVDLNVVVGRGIYARDILHHAVIGTMRVIIPKLYVSNHVRPLAEVDELMTVNQVLSLLGFNPGKASTSGP >KZM81129 pep supercontig:ASM162521v1:DCARv2_B5:1895103:1895531:-1 gene:DCAR_031353 transcript:KZM81129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFSSVLDGCELRYQNLSDNSLGEKGVGAFESLLKSQRSLEGLYLINDGISEAAARAVCELIPCTEKLKVLQFHNNMTGDEGAIAISELVKHSPALENVRCSSTRVASEGGVALAEALGTCNNLKKLDLRDNMFGLESGLV >KZM81122 pep supercontig:ASM162521v1:DCARv2_B5:1636444:1636875:-1 gene:DCAR_031346 transcript:KZM81122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIIEVGIDYENEIAVTYKLFHPRIKGHVNHTDFDKDFKNQRSEDTRSIYFFELKRMIEILEDDTTITPEILNPVYDYYDLREKQYKDVYGDWRMHDDSDYLNNIEEEQVDVAPDDVIDLTADDEDEQPRQPPWRNPTEAQ >KZM81086 pep supercontig:ASM162521v1:DCARv2_B5:730310:736734:1 gene:DCAR_031310 transcript:KZM81086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSCMHDRIAQFQSMESSVSQLLPCDSNGDCMVCGEMTLEEDTLTCNTCATPWHIPCLGTALPADASYWDCPDCSSLPNQPISARCQSTPTVDASEHENGNRQKHLFNLHNFEEDDVLPKNQVIELFEESLKCSFCMQLPQSPTTILCGHNFCLKCFQKWVGQGKRTCAKCRSIIPSKMVSNPRINSSLAIAIQIAKSSLIEGSAEACNFVHRQNQPGKANRHESGKKSAFQENIFVTVPPDHFGPILAENDLRRKQGVLVGQSWEDWIECGQWGAHVSHTEGISGQSDHGAQSVAFSGGKEVDEDHGDWFIYTGSDGRILLKGEENSKCFHQKLETCNEALHVSFEEGYPVRVLSSSGSLLTKRLRDRSDVYRRFGKYYRRDGKSNSHCNMNLFDRLRRKKLPSYVPKTGIRYDGIYRIEKCWLKVCNEGRKVPRYLFVRCDNDPAPWSSNNYGDRPRSLPDLKELKTASEIRERKESPSWGYNDEKERWMWIKAPPVSKEKENSQNLEDRRKARKWRTHASSMRARLLKEFRCLLCRKVMVLPLTTPCAHNFCKSCLLGAFAGKPCIRQRNFEGWRTLRAQKNIMKCPSCSNDIFDFLQNPQVNTEMMNLVKSLLHHGEVEKDVEVIKETTDHCENTHV >KZM81084 pep supercontig:ASM162521v1:DCARv2_B5:698684:699061:-1 gene:DCAR_031308 transcript:KZM81084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYMGDAKTWTSDLKPENYGQTRQLDKIRAKYCHAILASPLNEIRQKILDEAKLLYNKMASERVMSIVIEASKRKGARVHGKKMIKGRVLFDED >KZM81077 pep supercontig:ASM162521v1:DCARv2_B5:574614:577599:1 gene:DCAR_031301 transcript:KZM81077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDPIDVSDLGAALPAAAAALSAEDRAGLVNALKSKLQNLAGQHSDILENLTPAVRKRVEALKGIQSHHDDLEAKFFEERANLEAKYQKLYEPLYTKRYEIVNGIVEAEGVKSENALKQEEGQEKGVPEFWLTAMKSNEILAEEISERDEEALKYLKDIKWCKINDPKGFKLEFFFDTNPIFKNSVLTKTYHMIDEEEPILEKAIGTEIEWYPGKCLTHKILKKKPKKGSKNTKPITKTEPCDSFFNFFNPPEVPEDDDDLDEEAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQGDEFEDMEDDDEDDDEEGDEDEEDEEEDEDEDDEEDEDDVKNRKKKTGRSQAGEGQQVERPPECKQQ >KZM81067 pep supercontig:ASM162521v1:DCARv2_B5:374759:374917:-1 gene:DCAR_031291 transcript:KZM81067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLMLANESGRCVLGGYDQTAKIRQENGIHEMVQIEPCSVTERWKTSVFFT >KZM81079 pep supercontig:ASM162521v1:DCARv2_B5:586078:590976:-1 gene:DCAR_031303 transcript:KZM81079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSKQFECQVTRYASSFSPSPASSSASSGSPDVREKGYDYIIVGGGTVGCPLAATLSQKFRVLLLERGGVPFANPNVTFLKNFHISLADVSPTSASQFFYSSDGVYNARARVLGGGTCINAGFYTRPSARDIKLLNLDAKLVNESYPWIENQIVRMPKLSSFQEAVKDGLLDIGISPDNGFTYDHLYGTKVGGTIFDSYGRRRTAVELLRSANRKNLDVLIHATVQKIVFDKTGKKPRAVGVAFKDETGKQHQAILSRRRGSEIIVSSGAIGSPQLLLLSGIGPKSDLEKLNIPVVHNNYFVGKGMADNPMNAVYVPFNRPVEPSLIQTVGITKMGVYLEASSGFGQSTENISCNHGILSAEIGQLSTIPPKQRTREAIEAYKRNKRNFPQEVFNAGFILEKIASPKSTGQLSLSNTNVDDNPNVSFNYFSHPEDVQKCVEGIRLVEKLLSSKHLTNLMPPEDETFRKLLNMSVRANFNLIPKHTNDTKSVEQFCKDTVITIWHYHGGCHVGKVVSPDYEVLGVHRLRVIDGSTFSLSPGTNPQGTLLMMGRYMGVKILRQRLGRASGF >KZM81093 pep supercontig:ASM162521v1:DCARv2_B5:818584:819950:-1 gene:DCAR_031317 transcript:KZM81093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVNEDTALFQTIARKLVSGLGGNFRAEAIHRNLFSGLTCEARFQSFRLFQRALERKNGGDANVKYAWYGGSKHEIHNILAYGFGQMTQNASGVYLSPADSPFESLQFAVEDDAGLRHLLLCQVLLGNLELVHPGSQQSHPSSSEFDSGVDDLVHPNKYIVWPTLMNTHILPQFVITFRAQNCTEAYQRIQVSQRKPTSPWMPFPTLIASLAKILPPDAINLIVKHHRDNRENKISRHELIQRVRHIAGDKLLATVIRSYRNKVWRCL >KZM81090 pep supercontig:ASM162521v1:DCARv2_B5:760617:762539:-1 gene:DCAR_031314 transcript:KZM81090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIIPGVYSRYKGLKRRKTSRSVKQEDVLKSTKLPARTNNSSYKDLSQSSSNDGTKSQVHNKEKRNTTPLSAPNGHNDPCRNRERVNFEDMDIGSSTPMSTLSSLHETSEIVEGQAAKFVSQNHVTPRTTPLSNITNNLSRNLSNTSADRGKGKSKASTFDPPTLKPFSRNLFDEEFSRDPTTHTVLFDEDLEETRLPASYLSDDSLSDLDTSYGEQPRNVIVKFHPVVV >KZM81112 pep supercontig:ASM162521v1:DCARv2_B5:1404188:1407461:1 gene:DCAR_031336 transcript:KZM81112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRLRLSRLGCKNKPFYRVMAADSRSPRDGKHLEVLGQDGGKRMGLNFDRVKYWLSVGAQPSDPVQRLLFRAGVLPPPPMLAMGRKGGPRDTRPIDPMTGQYLTAENSTKSAQSKDGGEDAASTS >KZM81064 pep supercontig:ASM162521v1:DCARv2_B5:88490:90575:-1 gene:DCAR_031288 transcript:KZM81064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMKKDNIFLMDVVAITEAVQPKAVYTKEDVQRSHVPFTITDQMTRKNVTFFNEFGDAFLEAYEKIKDQIVVIVITCAKVTEWKDVVYLSNFPATRFYLNLNHYAVNNMADRYANPNFYVMDMDDEDDSLEVPAIKIKKLRDLNEIFIQDISKAPISNVNSPAIEKSTNKSKSRMPTEMIEPTITNADLKELAVTKDNTEMFSQMPSQQDMINAPVSNINSHASKKTTN >KZM81099 pep supercontig:ASM162521v1:DCARv2_B5:1049136:1049822:1 gene:DCAR_031323 transcript:KZM81099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIENNNIATIPTDIVIVLLELLLAGGFQDFYNFFMAWSQTQRAVVITSLLEKYPLRTLYKYGCRGSPADLLCFDNFFRIAENLGIGDAILYRRCRAIIYGAGDIDAHFTVLDTLSGNKHFLAMVANFILRSLYKQGTNGATLQVLIRVLNHPNYQDLIGPAVNHLSDIHSYIIFPELVDAVDIEACCPIHSTCVKVSLENQCPYTQNCLFCNIALMVTVFTRKPLAD >KZM81096 pep supercontig:ASM162521v1:DCARv2_B5:969249:969611:1 gene:DCAR_031320 transcript:KZM81096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHYGFSDSDDEFANTAIYECSDSDHCDKGDSCDGEHGYSHHYIEEWVVQSDVTMDENPQTLPNESAIQVPFDENNSPPVPLSSDPVELATVVAPDPDPSSDDLDLDVEADSEDACSRS >KZM81075 pep supercontig:ASM162521v1:DCARv2_B5:491429:498975:1 gene:DCAR_031299 transcript:KZM81075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNAIITALQARSDTQEIQVRVGRIWEAINRNNKTVLYTNVILMDQQDDHVLAIIRNNQRHLLLPQLKEQGVYNISNFKVVPGPASYRSVDMDMSINFFYKTKIEETEDNQSIPQYKFELQPFDKVQGLVGQIKNLIDKASLLSTDATKTYFDIDYKPLKDLKKALYDASAKSGVGLLPPTNVHFVTADEKSAKQLHIKDVLDMEIPPGKDQVRGLCTATITGIMEGNGWLYNCCSKCARAVHPTEGKYFCAACNDDNITVSQRYRVIAAIKDDTGTTTVTLFNKEAEQLIGAPIQKLIKELTEGTDLEEIPPSVKNIVGKLCAFQIKINNYNITHGCEEYTVTRVSECSNAEAGSSDAVDAGHKDKRISIATARYLFDRYDYLFSWPTLLWNLMIRAYSKLIDSAESVVLFKKMMMGICYPDKYTFTFVLTSCCRQVSVVCGQSVHGVLIKNGCEFDLYVGNSLINLYCVFVRMGDAQKVFDGMVERDVFSWTSLVGGYAKQGEMDRACEFFGLMPSYNEVSWTVMISGFVVSGRYVEALGYFHDMLCYDSNVKPNEAVLVCALSACAHLGALERGKWIHLYMNKFRISVSSNISTALIDMYAKCGEIDCASQVFSELYRPDVQNFSSIITGFSNHGLGNHALRIFNQMLAKKVKPNEITILGVLKGCSHAGLVEEGTSIFFNMENLWGIVPKLEHYGCYVDLLGRAGYLERAFRAVKRMPMEPDIVIWRALLSACRVHQDVNFGLFGEGI >KZM81068 pep supercontig:ASM162521v1:DCARv2_B5:377875:381439:1 gene:DCAR_031292 transcript:KZM81068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTGLVADKFCILLTYEDTLSNELKVPRQFCSKYSDSLHDEMELKLRNGYIQHVELDFVNCHMKGVLCFFKIFELKGGDLIMFEYFGHCKFNVYIIGTDCSEIRYPDIVNYLPGIVTLGDDGWRFVTACAGVDAIIDEIEPPPGFIERCGFALPERIIFVLSNGKSFVGRCNSETSRLSGLSSMFKTLVIEFLDAIRNLLFTALDSDDNEIVFPGTPLSIAMESSGLIEPKFIRFLTNAEINSDELKLPKDIVKKYCDRLKTCFFLKFRNGYEIPEQLNEEKRISMRSGGFKFVTFVKEDNPLSDDFEAPASFKRAIPLVPGYQNFLFSNGKKIEGGYNRESGKFYSLRKFCQILVLSVLIWKKRDYINAYAGDRAWKLQVRTRRNQFVRCGILDGWIKFREDLGLAVGDVVVLKCTNDSLHHFSVKVIKNADA >KZM81087 pep supercontig:ASM162521v1:DCARv2_B5:739223:743586:-1 gene:DCAR_031311 transcript:KZM81087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNGSETKSSDSSPFRAIPDLDLCFCDQVVVENYCWFEENAGRVEGLCILQEGNDGFVRADQIDLKSLDEQLERHLNRVWTMESNIKKQDQLSSSFGAASATVTPTVIAATSAPPARQRQEWEIDPAKLIIKTVLARGTFGTVHRGVYDGLDVAVKLLDWGEEGHRTEAEIQSLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSGLKLQSEGGPIGMPSNICCVVVEYLPGGALKSYLIKNRRKKLAFKVVVQMALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPDIPRCCPSSLANVMKRCWDANPDRRPEMDEVVTMIEAIDTSKGGGMIPGDQPQGCLCFRSHRGP >KZM81081 pep supercontig:ASM162521v1:DCARv2_B5:604119:613743:1 gene:DCAR_031305 transcript:KZM81081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEERPTLSVKFSNVPKTSTAQEIADFFESRIGKASVFACEIFSDHKNWKARDSGRVQFETPEHKITALALYQQGQLVFKKHNICLSGSFDDVVVRPVEVSNRVEDGVLSCGIMSGSERMWVLEKWGSVRAWVMPERMSCEFWLDCGGVGYKLEVLFGDVLEVSKCCLNGAAEPNAVLLTLKYAPRVYKKVLGPHVDTRFSSDRYHVCKEDFDFLWIRETDFSSVKSIGQSCAFCCEFVKGASGLDAFSQLPFYKNEFTKLVLKESEEFHTSSELNPLVRCPQNSNLSYEVLFQLNALVHNHKLSLAAVNPDLVELLSSLDKVKALAILQKVHKLQYMCFDPMHFIKDQLEVQKNNGKNLQSTSLSKSANPNVMSCHRVLITPSKIYCLGPELESSSYVVKNFSSYASDFLRVTFVDEDLGKLHPHTVSASTQKGIFAKPCRTSIYHRVLSVLREGIVIGAKRFQFLAFSASQLRSNSVWMFASNEHVRAEDIRDWMGCFNKIRSVSKCAARMGQLFSSSTQIEEVLPREVEIIPDVEVVSDGVEYCFSDGIGKISYTFAKEVAKKCGLSYTPSAFQIRFGGYKGVLAVDTKSSHKLSLRGSMHKFDSDNRMLNITSWSESMPCYLNREIISLLSTLGVEDHSFVALQDVQIRVLQKMLTEKEAALDILESMGKSDSNRILAKMLVKGYNPNEEPYLSMMLRSHYELQVSDLRSRCRILVPKGRILIGCLDESGILDYGQVYIRIAMTNQELKSGEQTFFHKVDETTSVLIGRVVVTKNPCLHPGDIRVLEAVYDESLMKNGLTNCLVFPQKGERPHPNECSGGDLDGDLYFISWDEKLIPPRTVAPMDYTGRRPRLMDHDVSLEEIQRFFVDYLINDSLGTISTAHLVHADLDQDKALSETCLYLANLHSMAVDFAKTGAPAEMPRALKPRMFPDFMERWEKQCYTSQGALGKLYRATVESTEREKLGPAYPNNFQDCYDHDLEVNGFESFISIAKGHKEMYLDKLVSLMKYYEAKNEVEILSGNLQRHSSYLLRDNRRYGETKDRIMISIKNLHKEAKGWFDSSCAEQEKQKLASAWYHVTYHPTHCQESIKCLGFPWIVVTGEYEPLTTKTFTPILVSGLSAGEVFSTAKYNCILSYIFDDLVVFGQASASLVEMPKMKFVSHTLSAPFLLFEVAALVLGGDRFSEVVGRTNSEEYELDVDVDSHDGLNEAEEYETYKGEKYDRGRRKRTGKEKSEACYPIQAEVEVYAEILPYAFLQTDSDEREYLKLFYLCSDKMDQTILPKVMQVKHFGHSGRTKWTHLGNEDTTEVLIALRGCLFPA >KZM81061 pep supercontig:ASM162521v1:DCARv2_B5:44800:47757:-1 gene:DCAR_031285 transcript:KZM81061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRGSLFSLSYSNSLGSFIKHFQTSKLTPFKLKTFYRRHTTTSALLKTSHFETLSPRQKEQIHVYVDALLLWNQKMNLTAVREESEVMERHIEDSLAMIPPIRSSYLLKCGASCEEISLVDVGSGAGLPGLVLAIACPGWNVKLLESMNKRCNFLEHAIELTGLSNVQVVRGRAEDLGQNPDFREAFDVAVARAVAEMRVLAEYCLPLVRVGGLFVAAKGHDPQDEVQRAHRAIYLMGASLLQTLSVESHSPHGQRTAIICLKDYSTPRKYPRDPGTPAKLPL >KZM81097 pep supercontig:ASM162521v1:DCARv2_B5:977765:981994:-1 gene:DCAR_031321 transcript:KZM81097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILESLKTLVEDTVMSKMENQQNPCGETLNGASGLMAGDEVVVGGDAVEGGIDNVEVGNQGLGVGGVVGGGETGVSSGGVGGDGVEDGENCWGFGGMERGILGNEVVEGGDEPGGVGDVEAVQYGCGNGSGYELVPDVCLSNVGNDEIGVDMLVMQEVSVEEEVAEKVLVQEEIQDAGAEKVVVQEDIQDAGAEKVLMQEDIQEVGAEKVLMQEDIQEVGAEKVLMQEDIQEVGPVVQEGIQDVGAEKVVMEEEIQDVGAENVAVQEGIQFVMQEDIQDVGAGKLQEGIQDVGPEKLQEGIEEVGDEDVVMHEEIVEIEAGDVAMNEAIEVEMEGTEKVVMQERFEVVGAEKLIMKQDAVGDDEVLMQEGNEEVKAENLLVQEAVEEVGAMKVVMQDGVKDQYASQQNLVDSGNKIDVSTSGISLYVDVFGQVDSGVRGDHSCTVEQGSFERSSNAENLLNGSSALLPENGTNGNTPEVRDSSIYKNAEVGSDVNCEAIACNGHRFSVGDLVWAKTNTQLWWPGVIVQHSDLSNDSAKGEEDHFQVRLFGSGNVLWGRNWQLKPFHEFFDQISRQGSSKSFYYAVEKAVGEIGRRVKLEMTCPCYLEESKITDVTGGEISKKRASKLEELPVLQFEPEKLLARIRCLARDIVFPSTIESKIIQSRLSAFYRSVGHLQLPIHQLKPTDAKSDDQNVVASEVISSKQALKSRTRSIHQDPDDDKNEASGRVLSAEMKLASPILSTELSGDKVDSVELLDCGTNGKLEKSYESRERRKSKYLSFPYVDPSQVSKSLNNEEGNEMADPSDETSLSVRTVKSRDKKRKKSPCRKSTVHNIYVPVVINASSAELLSKLRYTALDCLYPNESKQFDIMQCFVSRFRKYAFHAFTHEIRKEEDTVCMEFENEMGLFKTLSEIENQTEKQIEKEARKRGTPEAAMAAPDVSETVGIGLLEKDSKIKFMSKCRKKETTSPLGLNTKPTDGFPGNISSGSIVIDFQTDPYALESQTLPKKRTRKTKKTPGCPEIKVMARPADLKGSNVVAQLEESSAARVCTPNEEKSERKRKKVATSSNHPMTNGADLPNENETIGADGAVLKEIEVMGPYSLQNIPGVNKEGTNEPFSLHLNAVLAPEQPDVHKNTETSSVMEGIQQTGMLSTVKPELKKRKRQQKAANFTSAIPDLNGNTTESQLSEVKPKRKSRKKKTDSGLLDINLSISEAQTTGEVIGTALLLKFAPEAPMPTPEDLTSAFCTFGPLKDSETKVFIDSGTAQVVFINSSEARTAFCSLEKNSPFGSALVNYRLQVLFAASGVSGSDGGLNMHQVWPAEKVKSPRKPRTAKKPKNLVKPSTISEPQGKSTEAPDLQFVRQNLQMMTSMLENAGDNISPEMRLRLETEIKSLLNRVTSMVGSSSS >KZM81117 pep supercontig:ASM162521v1:DCARv2_B5:1455703:1456975:-1 gene:DCAR_031341 transcript:KZM81117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETSIRASPSPHSCLSSKTDRTMQGKDSVGSREQREVKDRKKSPYKHHRETHGMSDDFDENTPIGDFKGPNVFERAKEEFEAFIDTIHPKKESSGLASGGKNNVTPPKVEVRKEHKEGAERMKSPHKHRRETHGRSDDFDANTPIDDFKGPNVFERAKEEFEALIDTIHHKKESGGPVLSPDKRSDVSPKKKSDIYDCLGPLGKGLEKVCSPGSHKKD >KZM81069 pep supercontig:ASM162521v1:DCARv2_B5:382836:384111:1 gene:DCAR_031293 transcript:KZM81069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHENNLTGNWILSIRNGYKIPVAFDAENRKLVGVGEIFSDFGLVGGEDCNEIQYPAIVHSSHDTSPVAVSSNDGGWKFVQFISVAHPTMDKVVFYVSDVDIHCTSNSMSLHLTCYCTAMVWWIDESFHHFRVEIVKAAVLPN >KZM81071 pep supercontig:ASM162521v1:DCARv2_B5:387209:388365:-1 gene:DCAR_031295 transcript:KZM81071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNIIPVEELDIGNAGPTTVHLNIKQHSVTEMGRRFQVCVLALNETGTIDIMLEDPCLQLVCVDTATPGVLKSIENKDFTVKILIMKENVENKYPIYVGSDIMHGFKLKYDSDAKDVPHPIEDSVTQLSGSSYHLETLSGISNSMDVVQN >KZM81107 pep supercontig:ASM162521v1:DCARv2_B5:1236598:1237459:1 gene:DCAR_031331 transcript:KZM81107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFRRLKEDADVYHPRQCPDYSDYFDFCNADQISIIEIYSMLKEVIEFGFHQLWYKLPSTTFDRGSFALDTDEELMTMCELIYEEDKYMEIYVPTMAQLSTEPCRDSDVEFVEPTPNEAPAKNNPAPPVNMPTEGNPDDQEEFDFENTVIKHNHAQRDS >KZM81072 pep supercontig:ASM162521v1:DCARv2_B5:405479:407093:1 gene:DCAR_031296 transcript:KZM81072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFVIAETNCCANLNPDVCFDRFKRWVRSLTRQCLASTALTADTPIQVQPLFDYYSNAVNSTTLDNFKLIGDLPNGKRIVITVDDVNRILGFPRDNFHLPNGKRIVITVDDVNRILGFPRDNFQEVPTDDELTQFFHDIHYQGQIFLPKMSKRKLKAEWDVFFDTLAKVFAPTMRKNFGNISLMLQIFGFSIAYNRRINFEKILLKEIIRKMGTTTTTTSSPKHPTTASHHSLYDPPYNSPYQSPHQSPYNSPHQSQNQSTQHYNFFPEQQSSIFPSQSEPIPSPTHTHHIPQTQSTSQPLPSDSAINPELQHFQTDLQVAQVLSTLTDTFNVDISYFDCDIGFDFQTPSIELENTQVHNQVDVSILTTDSSSNTSTNTTTTSVVRKVARKRSGSALLREPAALSPHKKQRVAEPETTAAASISSQKDLDT >KZM81103 pep supercontig:ASM162521v1:DCARv2_B5:1173206:1174267:-1 gene:DCAR_031327 transcript:KZM81103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDDVFDATYRQAEEEGKFEESYLFQDEEPVDPEHEENVRKFKAENEARKRKLRDYQKLLEDKLITEEQIKIEKQKIYDAACKQKNLDVKRKVGKSWDIAKRIFNGLKREPFNDRKFLSLIYDLREVNPDEDIFMHAFALEIDYLTVGVNNLLEQWELIVYTRRNGSFRLSVEFLKSFSVSELWVLRNKVKRCSNLNELLRDKLLDCAVFNSPQVVKNPYSIKFIHKELLCTVNLDEEALAKYPAKRLALASTLLRTKGFASKAKSDADDVILAYCTRRNISQYFRRMKNVTKAQPSDFREDPVEMEVLHQLALARERKKRGESTTSEVPSREEPSTPVLHSSDIEEGEVDL >KZM81125 pep supercontig:ASM162521v1:DCARv2_B5:1718516:1726506:-1 gene:DCAR_031349 transcript:KZM81125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCAACITPETTTNNNNTRKPNPYSSSSPAVIRVLRDLSPGNHRWTRITDKYIVGHELGRGEFGITYLCTDKETRQNLACKSISKKKLRTAIDIEDVRREVAIMSSLPDHANIVKLRATYEDEEAVHLVMDLCQGGELFDRIVARGHYSERAAATVFKTVAIVVKMCHDNGVIHRDLKPENFLFANNKESSPLMAIDFGLSVFFKPGEKFSEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWADSEQGIALAILRGTLDLKREPWSQISNNAKSLVRQMLEPDPKKRLTAKQVLEHPWLQNAKKVSNVSLGDIVRTRLKQFSLMNRFKKKALRVIAEHLSIEEVEVMRDMFTLMDTDNDGKVTYQELRAGLRKVGSQLAEPEMKMLMDVADVNGNGVLNYGEFVAVTIHLQRMENDEHIHRAFMFFDRDSNGYIEVDELRDALDDESGETADNVINEVMREVDTDKDGKISYNEFVAMMKTGIDWRKASRQYSRERFQSLSVQMMKDGSMHLQDAMTGQTFVV >KZM81105 pep supercontig:ASM162521v1:DCARv2_B5:1218589:1223967:1 gene:DCAR_031329 transcript:KZM81105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPLRGIKNDIQNRLLCYKQDWTGGLRAGIRILAPTTYIFFASAIPVISFGEQLERDTNGTLTAVQTLASTSLCGIIHSILGGQPLLILGVAEPTVLMYTFMFKFAKDRKDLGQELFLAWTAWVCVWTALLLFTLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIRGVVDEFRIPSRENPNQAALLPSWRFGNGMFALFLSFGLLLTALRSRKARSWLYGSGWLRGFIADYGVPLMVLVWTAVSYIPANDVPKGIPRRLVSPNPWSTGAYSNWMVMKDMLNVPPIYIVGAFVPATMIAVLYYFDHSIASQLAQQKEFNLKKPSSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSAAKKSISKNCNLGQLYHNMQEAYEHMQTPLVYQTPSCLGLKELKESTVQLALSTGYLNAPDDETVFDVNKDIDDLLPVEVKEQRLSNLLQAVLVLCCLAAMPLLKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIMGFTMLQTLYLLLCFGITWFPIAGVLFPLLIMLLVPVRQYMLPKLFKGAHLQDLDAAEYEEAPAITFTMSFGGEHTETRTAQIVSGEIQDEIVTRSGGEIRRTQIPKVTSSTITSQEDQNPAFSPRASQKACSPHMNELRCIGVNVKGIEITQTPSPGTSCPGSPSS >KZM81074 pep supercontig:ASM162521v1:DCARv2_B5:439967:444029:1 gene:DCAR_031298 transcript:KZM81074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLHNRPIFSPLRSHSQKTADFLCESRRGTHTETAPGAREKALLAPDPALDRFKSYKKSVKRVKKIGDVLTVVVVAGCCYELYVRATTRK >KZM81085 pep supercontig:ASM162521v1:DCARv2_B5:716317:720198:1 gene:DCAR_031309 transcript:KZM81085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRLSSFLLSRSPSLSSTSPFHSQGSYSILTRGINRFGTAAVAEEPIAPPVEINYTKLLINGQFVDSASGKTFPTLDPRTGGVIADIAEGDAEDINRAVSAARKAFDEGPWPKMTPYERSRILLRFADLLEKHNDDVAALEAWDSGKPYEQAANAEIPLVVRLFRYYAGWADKIHGLTVPADGPHHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNSVVLKSAEQTPLTALYAAKLFHEAGLPPGVLNVVSGYGPTAGAALASHMDVDKLAFTGSTETGKAVLGLAAQSNLKPVTLELGGKSPFIVCEDADIDEAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFLEKAKARAMKRIVGDPFKKGVEQGPQIDSEQFEKILGFIRSGNESNATLECGGGRFGSKGYYVQPTIFSNVQDGMLIAQEEIFGPVQSILKFKDVNEVIRRANASKYGLAAGVFTKNIDTANTLMRALRVGTVWINCFDVFDAAIPFGGYKMSGTGREKGIYSLQNYLQVKAVVTPLKNPAWL >KZM81091 pep supercontig:ASM162521v1:DCARv2_B5:772353:772586:1 gene:DCAR_031315 transcript:KZM81091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGEARVMRIIEEAKQAVGIETDAGGRQRIIHRQIRTTTSVSATSIAPAGSVTNAVPYHVYAALVREYDFLRGQNA >KZM81108 pep supercontig:ASM162521v1:DCARv2_B5:1304509:1304808:1 gene:DCAR_031332 transcript:KZM81108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKHPNSTNTNTKCHHNPLSPLFSNRRRNNPPDFLLRPIINKATLTLALFLKYQQLASNLELCCHRQQTTQSLPPTTHTHSAHASFFHLPFSLNTTRGQ >KZM81127 pep supercontig:ASM162521v1:DCARv2_B5:1825807:1831180:1 gene:DCAR_031351 transcript:KZM81127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTAPPNDAVPSLGNVLDRLKSTAPPLFLSPSPELAESARSASKHLFNLLKPYAPKSPFDSLLTDGFDAEQIWQQIDLQTQPLLSSLRRDVKRFERDEGEIRGFFQVEKERENVDVERESEEVERESESEEEDELELSELESEEEEERDGEGEGVEDKFLKIKELEEYMEDDEAREYGLGLLGVDVGEDDEDDIRYEDFFGSQKKAAPKKKSKRDDKFDDTDSADEEMDVMNEDNKKGKTLSTYEKQLEKQRSKIEEMEKMNLEPKTWTMAGEVTAAKRPKNSALEVDLDFEHNVRPAPVITEEVTADIEELIKKRILEGSFDDVEKAPTLPSMAPKEIKDLDENKSKKGLGDIYADEYVQKTGLVSTALSFSDEQKKEASTLFKKLCLKLDALSHFHFTPKPVIEDMKIQTNVPALGMEEIAPLAVSDAAMLAPEEVFSGKGDIKEEAELTQAERKRRRANKKRKFKAEAVKRMGKKPRESTLQDGEGDHSS >KZM81065 pep supercontig:ASM162521v1:DCARv2_B5:119567:119836:1 gene:DCAR_031289 transcript:KZM81065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEATSWTSNNAGRRLRTCPDRRCRFLAWIDPPMCERAKIVIPGLIRRINNLEGSQGKDAPFEAEVENECVGVEGRACSTSLFLVLVL >KZM81115 pep supercontig:ASM162521v1:DCARv2_B5:1450056:1450553:-1 gene:DCAR_031339 transcript:KZM81115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDFDENTPIGDFKGPNVFERAKEEFEAFIDTIHPKKESSGLASGGKNNVTPPKVEVRKEHKEGAERMKSPHKHRRETHGRSDDFDANTPIDDFKGPNVFERAKEEFEALIDTIHHKKESGGPVLSPDKRSDVSPKKKSDIYDCLGPLGKGLEKVCSPGSHKKD >KZM81102 pep supercontig:ASM162521v1:DCARv2_B5:1117234:1117758:1 gene:DCAR_031326 transcript:KZM81102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALIKPPQNLKCPRCDSEDTKFCYFNNNKSSQPRYRCKKCNRFWTQGGKLRDIPSSAAKRRVKRSQDSFTSTISSPPAPLLSSITFVAIDFDRAGDLNLTRPRPNQPRIEFVRTDINSLSITKSRAPPQHAQGQKYYAQPNQNVFQASNGQGIPAIHYMGGATSDPSMSSYGA >KZM81109 pep supercontig:ASM162521v1:DCARv2_B5:1308659:1311393:1 gene:DCAR_031333 transcript:KZM81109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIFKLSEGDRTAYLHQMSSSLGCTYVCLWSYLPQPSNCLVFLDGVYHEEYTDKLAIGGSSSSLSVTSLPSRLFYVYQKSVLSVDDGRVPGIAFKNSIPYLEYKGLELQTLTSTQVQLQFYQEARIKVNVEAQMKNLFPQEFSLQVVPRELTDQPTDQTVPPSLPPPLLPISTNIASTSHNPNPTLQQPFPPLVSSPNTNDAFQETIDTLNQIRNVNFPTIESEDAAITQAILAVISSSTSPSPTSSASSPQPPQRSSAFKTYRRSVLAPGRQITRPRRPNMLKLAVEFIRSSSSLMRGQERSQTGSRSGSNQLQHMIKERKRRERLNQNFEALRSLLPPGSKKDKASVLNSTVEYMNLLISEVRELNRRNQILLSQQHEETNEANNQETIGGTSLPSTNAGDHRLDILIANVAETTSEARVLDLRVRVRGECSISDIVIRVLEFLRQVANVGLLSVEASTQMVGTAAVSDFVWRFKIENEL >KZM81120 pep supercontig:ASM162521v1:DCARv2_B5:1564170:1564924:1 gene:DCAR_031344 transcript:KZM81120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCWILSNITARKETRIEAVIEHKCIEFLVGVVKNHKLADVKKEAMWAILNAIHGANNNQIICLKDSVKSLWDSLDVFNNYPQIVCACLESLVRVKLVEVTCNGEVVNEAEFKNCLGRLQEGQVQLTDDVKGLPKSKRLGRTCNVGKYDNDIVFHLSAN >KZM81063 pep supercontig:ASM162521v1:DCARv2_B5:83814:85157:1 gene:DCAR_031287 transcript:KZM81063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLNVPQFVKHLDCIDCSVDELPIPSNFSSRFCHRVSDSFKLKFRNAYKIRLTFDRDESEFDLNSFELLIFTFDNVKEFDVTCFDGRNVELVFHTYTIRSGALLQAIRPPSFFAVVVHPFHMLEYCHVVVVPQSSSSISYSLVTLAGSSERMSHIVVSRWW >KZM81101 pep supercontig:ASM162521v1:DCARv2_B5:1057510:1063687:-1 gene:DCAR_031325 transcript:KZM81101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRCVSVGECTSSYTIKAIGAPSQEVAAFGVVVPAPVQGPYDRAPSISDPTAAASLSHSINMADSEERPIPFRAAREARPSSCKDGPTAKVGRPKVTITEDVLERRRLSKRRQNARRNIQQGAPPTGILNVSSRGIPPPGQSSSQLDATDGGEASNAEEDLHMDNSAEGSGLEQGSGVDNVAGGQADPGSGETRRQRASINRKKKEFSESSLPLNFSSNTHA >KZM81066 pep supercontig:ASM162521v1:DCARv2_B5:308935:309198:1 gene:DCAR_031290 transcript:KZM81066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEGMELNITFKKLKKMVHLQTLEKMKKNLEQPPPENTLEQVALSTITARIEEIENKLTQKRVEEVAKRRAELNLMNARAKKPRKD >KZM81098 pep supercontig:ASM162521v1:DCARv2_B5:1045343:1047963:-1 gene:DCAR_031322 transcript:KZM81098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNAILTALQRKTDTQRIQLRVGRIWEAINRNNKTVLHTNVEFMDQQGGHILAIIRNNQRTLIMPQLKEQSVYDISNFKIVPGPSTYRSVDMDMAINFFYKTTIQEAEDNNSIPHYKFELQPFHRVKDLVGRVKNLIDVIGMVTTIGRLEKRSNGVEKLDVALTDDRLVAAFNYSLLATVCNPNQKSVSVSGGSRQQRTITTLCSHYWTPGKKILDKASLSSTDATKTFFNIEYEPLNDLKKSLSDSSGSNGARLLPPATVHFVATDEKDVQQIHIKDVLEMKIPSGKDQVRCLCTATITEILYGNGWLYNCCSICARAVHPTEGKYYCNACNDTNFTVSQRYRVVARIKDDTGTTTVTLFNKEAEQLIGVPIQKIITEMGEPSHMDKIPAPVANLIGKLCAFQIKINNYNITHGCEEYTVTRVSECSAAGTKSPNTVDAAQKNKKNRLE >KZM81116 pep supercontig:ASM162521v1:DCARv2_B5:1451890:1454428:1 gene:DCAR_031340 transcript:KZM81116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFTGLDQNSLCSESELEALFAAASDENIAHEVSLKKEAIVVVDDEEVPQPLKGLYEDGPTPFIKKIYGMVGDAETDGIISWSESGMSFVIRDQYKFCVEILPKHFKHANFSSFIRQLNTYVSIFFSFLVILVYNIPTCCPAGCHIVEQWRLRLAVLRSRVRFPGHSGSMWATCSKHEWSSVLVCPPGLVENFKKLSLERWEYANEGFQKGKDHLLKNIKRKKHQPDQQVPQDGKNSQLSLYHENLKKEAELEKLKSDTEKLRTELLIIQKEQESADNYLLSVKERLIRTEHKQQQMFICMARAFKNPLFNEILMQQLREKEALDTAGTSKKQKLIAPQCNKSLVEAIYYAGGSQAKDDFTMIDTEAQKAYFHNEAKNPVVQSQKVNEVLATKPSDIALDNCLLVENLLADDVVSETKAATEQANVHSKVVLELEELITKVSANWEVSMKEMVEQAVCLQSQF >KZM81130 pep supercontig:ASM162521v1:DCARv2_B5:1904056:1904223:-1 gene:DCAR_031354 transcript:KZM81130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRGSTSTPTVALNPMNEPAETMNPSSIDSAASDEQRNENPICQHFSMPYHCFL >KZM81062 pep supercontig:ASM162521v1:DCARv2_B5:57408:60718:1 gene:DCAR_031286 transcript:KZM81062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPGSLPSDPTQPSSIVNSEEFCTRDSVTELTGCKRFIRASDNENESGGSSVVHGHVMDKSYNVRTISDKENNPSFADGVANSEVIGSTAVPRRRGRGPGIEKIFPHMHASDSQNISGHHKLINRAPEQRGRGPSINNLIKSAELMNDSNRVPQAATPKRRCRGPGVDKMYARTMIKLVRKMIFFRLSSYSMHGAHMSPNNTVQEDFDADEENESEEDQILSIRRSARLSMKTTFKFTNTPKSIVNLDAD >KZM81118 pep supercontig:ASM162521v1:DCARv2_B5:1466098:1469450:-1 gene:DCAR_031342 transcript:KZM81118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQGHVLRRKVTKVARTLDAKSGKPEDKPCTVMALLDGGILRRHKSWLKFLLSRDPILVKKIGAATALEARATGIPYVFAPCIALCRDPRWGRRYESYSEYHKIVQAMTEIIPGSQGDILNNSRKGVPFLAGQRKVAACAKHFVGDGETNKGVHENNTIISSLGLFSIHMPAYYNSIIKGVATVMIAYSSWNGDASLVRIMKSRKVLGQHQLLTECVEQINHMFKFKLHLYSIE >KZM81121 pep supercontig:ASM162521v1:DCARv2_B5:1606936:1612477:-1 gene:DCAR_031345 transcript:KZM81121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFEKKLRMEENGAFHFKCKSYFLFGDIRTTLTFEKPDDSKQLSVPLQRDYMTDDGTRLLILFFKGEDEKFEVKRGNGDDELPLSRGIVGVPFESTLILQFVLHSDGSDIMVEHCELIDVTEDETSSKSVRSIYSDNQCAIVAEINWRKNISCSPQLEMVDLTGNFLNGRLPSCLRYDPRVRVLSYAINCLVGEDKCQHPVSFCRNETLAVGIIPHRNKSKQASIHVLAISISAGIVELVVLVAIILLTNEKSTYIKDGKITSDKITTRECINRLPLKMLQDAKLLVSYRDITSKGKINVTCHFYKGHNSSNEARST >KZM81094 pep supercontig:ASM162521v1:DCARv2_B5:831779:832847:1 gene:DCAR_031318 transcript:KZM81094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDEIDMFQRDLRSSADSHALRDNRYKAATSPGVSVFEPRGAIMEGSKILTGHQLLKLNKSFRKPDVQDTVRSEPSSLGQYLRSTEQIPDLIHISAQILEAIKMRPELYAALSSTIALKILQSYVSGSVGPDAPPSSSVDLDLVDRSLKLIEAVDKELQAEVALSSQLPRASDPGGVGPNVTGCGNVELIVNRPYSGEKDPFAKWSNEEYKLPNVGKIKEGENEGESNDKVKGNTGEQKEPTYGKNHYAFKCALVDLVKELLSPTYSKNLIDKESFKITVKKVVDKVISSAKSIDIPWTPENINNYLLVSRPNISRLVQSSGLSFLFA >KZM81060 pep supercontig:ASM162521v1:DCARv2_B5:38531:39760:1 gene:DCAR_031284 transcript:KZM81060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHQNYCSEYESQYYEPFTQQGSTSMHSQPSLRSIPSLTTQTDQQENRVHPIQHHCITTLKFHNSYISSLALCGKFLYTGFSDREIRVWQRDILTSEQSQLDPENIADTAVIAGEGAVKSLVVLADKIFSAHQDHKIRVWQIDNHETNYQKLLQLATLPTLADRATKLLLPKNHIQIRRHKKCTWVHHVDTVSSLALSSDNSLIYSVSWDRTLKIWRASDFKCLESVRDAHDDAINAVALSDDGHVYTGSADKKIKVWKKQHGEKKHFLVATLEKHKSGVNTLALSSDGSVLYSGASDRSIVVWEKDEYGNMEVAGVLKGHTKSVLCLGVTNDILCSGSADATVRVWKDVDRIYSCLAVLEGHGSPVKCLTLASDHSSNHSGNTSSYHLYSCSLDCDIKVWQFFEPNL >KZM81104 pep supercontig:ASM162521v1:DCARv2_B5:1211830:1212168:1 gene:DCAR_031328 transcript:KZM81104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQAEERGRRERNCRWKVGDGGWVGDVLARESEMRAPIRGDVGETAAELVAATLQQPWRRGRKREREKAVGGGRRVVGDVAGEEMNKQNGSRMKTRREKKDRDYGETKGLD >KZM81088 pep supercontig:ASM162521v1:DCARv2_B5:744642:745495:-1 gene:DCAR_031312 transcript:KZM81088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQQMSHNAEIVGMNFIFADALQFENLLSEGETYDVQRIFVRRYPDMQIFICFESDIYIQLNHMTEIFVTEGVDIIPAQVFDFTALSGLMEAATENRYLIDVVGILQQVGPITEFTNRRNQQQSSIHFTITDMHDSAQVVLHNDLAHTFHTEIQNAVRHPIIVIIASCRVHLDRGSLTTRLFSCLYTFAMLKY >KZM81124 pep supercontig:ASM162521v1:DCARv2_B5:1697088:1697462:-1 gene:DCAR_031348 transcript:KZM81124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAIEFYEDRLSHTDYLLRKSHKNLYFKVVEQIKSILKSHKNLHFKVVEQIKSILKSHKNLRLKVMQQIKQELEYAHKNLFLKVKQQLNLKLQGTQEHDSQSEQKPDTVWTRQRSGELNSNTT >KZM81126 pep supercontig:ASM162521v1:DCARv2_B5:1774848:1785795:-1 gene:DCAR_031350 transcript:KZM81126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSESTYSPGRRRSSRLIESRWVFNWPKKPAVFVNLGEDETGNSKHEVDGENKSGKKKEANAGKQTGTIAPVCPAEDTNATERVEDSKKAAMPGEVLGKNDKGKQCVTKRGKAEAQQPAEPHDKPKKTRTYKRYLQKKFTPAIITDTIANLSEAQSKWVRKAGFEHVLRHRNRIFPHRMAYNVVEAFDSEKCALVLQAGTVYITERLAAGSSGVGFDMTMRDLVVPDSMSGGDDVDAPTVFGTEKCGLRNEEEGSDGIGATLDGINDNIEYIIEELQESRNAEEMNEVLDTRNKVDVHNSPSHMGGGSRSSDVPNSYHAIEEAQPADMHRLDVMASAVKTISISGHYYLLMVLNKYTDTTKSHWCETMKTQAMLIRTWYSSTHMQKIKNRV >KZM81082 pep supercontig:ASM162521v1:DCARv2_B5:616321:617274:-1 gene:DCAR_031306 transcript:KZM81082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAKSRMEFVKKEKHRNSTFQKRNANLKKKLIELATLCDIKALVIVYGPEQGTPPALPLEPQVWPEDRDEVHQLIDKYKGQSPEDCKKRTTLLSDFFQERNKKAQQTLAKLRNTNVNSKYPTWDSRFESFKEEDLRKTVNLLEINIGNAKARLEQMKANNIYGSYQEQQQQRKRRLDFDPGNQAPKYLKIEPYQAISDPMRMHSMPIPIPQQRFPFIDHNWNQMMVKFGNEYVGVVPNIVHNANPSYGYDYPTMAGTLNGFSYPNNFARAPLNYYGDGMQPIAQHVMEYHSMTEGSTSHQMLAASHQFYEDRKWQR >KZM81100 pep supercontig:ASM162521v1:DCARv2_B5:1050182:1051265:-1 gene:DCAR_031324 transcript:KZM81100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVYMKHAQFNREPINGKFQLPEPMNMPIMSATPSILSLPLQAADSFLIFASDGLWEHLSNDKAVEIVHNNPRAVDAGKGD >KZM81070 pep supercontig:ASM162521v1:DCARv2_B5:386092:386496:1 gene:DCAR_031294 transcript:KZM81070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDSDGDILVMVSTGSLKIINKRVNELWAMLMGLTCCLYVGKHKVILETEHADVVAEWESWRTFIDPSYYNVIRSLVKRTTDKRLKLDVLVVHESKNQLARYLAKDGALNRTLPVMYFKPFGRVREFWHRDMG >KZM81059 pep supercontig:ASM162521v1:DCARv2_B6:238409:238686:1 gene:DCAR_031355 transcript:KZM81059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETTLVALQDIMLHKILDDAGQKVLLSEFSKIMQLRRGQDSKSMGSNMSAMDG >KZM81048 pep supercontig:ASM162521v1:DCARv2_B7:46003:46828:1 gene:DCAR_031356 transcript:KZM81048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVICSSFYAAKLRRTAKGTYKTEFKLKATGYKHEFSDSSEVAVQVLDSGFLKELSSLFEAEVIDLEAVVWEGICAKNILEQAVHLWSENLAKEQDAHANSPLEDSLAFTREREQDLDMIEVMETHGCGASFG >KZM81051 pep supercontig:ASM162521v1:DCARv2_B7:319088:319249:-1 gene:DCAR_031359 transcript:KZM81051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPKDNIGLVQRNKISVLASSNVIDNLERVSVAVPQGKVKPIKRSGQDGNPV >KZM81054 pep supercontig:ASM162521v1:DCARv2_B7:446573:452691:1 gene:DCAR_031362 transcript:KZM81054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDIDSGESRPLLANSDHLSNNQPVQQVFTSVPSLNDAASLIAETTSYITQCFPDFSADAGSLGSDGLELSTVSSGNIRGSLVRNDVTTSESLPAVSVSSDIATDAPLIHDGLSRNLDQESSENTSLIVQPAPSGNSMFQSLIDRVQRTVRGSADDIGWLKRAPEMPPVVHGTERFMKILDEIRHGVHRLPTSMIYLLVPGLFSNHGPLYFVSTKTSFSKIGLTCHIAKIHSEASVETNAREIKDYIEELYWGSGKRVVLLGHSKGGVDAAAALSMYWSDLKDKVAGLVLAQSPYGGSPIASDILREGQLGDYVNMRKMMELLICNVIKGDMQALEDLTYDKRKSFLRKHHLPREVPVVSFHTEASISPAVLATLSRVAHAELPMLAPLSAGQAATLPVVMPLGAVMAACAQILQMRYGEKSDGLVTCRDAEVPGSVVVRPKRKLDHAWMVYSSLNDDVAEANAAQVCEALLALLVEVGEQKRKEFSKKDE >KZM81052 pep supercontig:ASM162521v1:DCARv2_B7:400760:400984:1 gene:DCAR_031360 transcript:KZM81052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFHFGEEEATNEHATNEHEEEVKRLFAEMDMCLKDIEKEEEEEEAKKSVLKKKRLSLKPFSRKKEQLAKKP >KZM81058 pep supercontig:ASM162521v1:DCARv2_B7:1018531:1018800:1 gene:DCAR_031366 transcript:KZM81058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMSNALIAHDPRISQQDEPSDVFQQTQPPTLKIEEVEDEDDKVIVELEDDDEDVCLYPRGNEKYGQPFHGFYGKEVQKLKVQKEQTI >KZM81055 pep supercontig:ASM162521v1:DCARv2_B7:455185:455541:1 gene:DCAR_031363 transcript:KZM81055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLLVPLAAELWSIFYGLKVAWKIGNLLSVIIESDCKRAIDEVNNIDSDFALADFVMMINNIMSEDWDTCVVIHVPKDCNEVATTLATSELTDADGGLRDIHDAPVFLSSMFAVEMA >KZM81056 pep supercontig:ASM162521v1:DCARv2_B7:640377:642350:-1 gene:DCAR_031364 transcript:KZM81056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIVTVLLRIVRLFRFSVRTSIPEDLVKSLLAPTPIEISRDLEATHHSSSQGHESNPDESFQQGNLITTAHFPTPGFEASSSSRPRIVRRSVSVVRDFPPGCGPFATKPPVPPPMPPPVPSPTYPSGIRSPIPYHLHQAVDRALTREHSPVLAAQLDQIPIGPYLGIPTPSPDIHARVRALTQAYIARARHVEPYASPAARAVHYQHLVDWLVFELGVIGGHD >KZM81050 pep supercontig:ASM162521v1:DCARv2_B7:187005:193626:-1 gene:DCAR_031358 transcript:KZM81050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKSDELQSVDDAPIQEDPLLAILQNPTRTSSQERYVVQCLTEIFSSEKSQNVQLSTSICPTKSLTGIVMISVSTRTYQTSICPTEASIANYEEFDNSYISVELQNDSDEWLALQVERGKKSSVHDDIEKSDTSEYHPTDQEESDELLFYVDRVWIKIETEVVRTIPRFTAWSDKDLRARERKETSENLFGKGRIRSADESTEQTQEVDRNLDEERKREQLIVELENLAFILVESRKQFDDANRQFNKCLKSCIDYNTQEKETEEASQYNSEPLGNPVSEERDVQEEKQAEEERQVEKERTIQEVEEEREVESEKGADGVQKEIEKERPVEKTVSPVQSSKEIEQEKPVENTVSPVQSSMGSEVIRMLDAAEKDYQEKIRAQEMASNVNVVGIATEAVSGLHDERTSDTEMPVAEHKATEEEAVEEQAAPEALDVSSRKAAQLVKKDGKKVKIIFNTSRRMNVVSSTVPPPQKLTIKTTAQPNVQSSGEVLPSFSLGLTQVEKEAELERQRKSEEEAAQVDKGKRIIHAAEVLKSPWKIRLTRISTKINKEEQKLKDWLLTIDPEGYFLYFDTANAILDNSNCISFQPKQLVTAQVVDAFCHILNMNEMYKAEQSPLRLFVPHHVTVYVLRHSNSEEEEQHFKKFVRDFDDVLAAYDHIKFNDVDLIFFSMTVSDHHYLLCFNIKKPSFEVIDSSALQPDFDAKYQQIPQNIRNFLVRYMVLKNHSKVKDIASLVPVRLEMKWRTEHNHIDCGLFVMRHMEHYQGVSKNWDCGLAVEGKVQDQQLDVLRTRYAHQILLHECNKQKHHVEYQIFGEHVKKAELEKQKTEEKKGKGY >KZM81049 pep supercontig:ASM162521v1:DCARv2_B7:180963:181172:1 gene:DCAR_031357 transcript:KZM81049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQVDPALADFYEKICAEGGPVSLPDDLGDSLIYQAHVVQMETMTRASARLRNVQPEVNLDQRYEALK >KZM81053 pep supercontig:ASM162521v1:DCARv2_B7:439522:441012:1 gene:DCAR_031361 transcript:KZM81053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNGNGTAAPKQTSTKRVPTPGKATILATGKSFPSQIIPQEFLVEGFCRDTKCEDLAIKEKLERLCKTTTVKTRYTVMCKEILDQYPELATEGVPTIRQRLEIANPAVVEMAKEASLACIKEWGRPAGDITHIVYVSSSEIRLPGGDLYLSSELGLRSDVGRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPNKDRPYDLVGAALFGDGAAAVIIGTDPIERVESPFMELNYAVQQFLPGTQHVIDGRLSEEGINFKLGRDLPQKIEDNIELFCKKLMAKEGLKNFNDLFWAVHPGGPAILNRLESNLGLKSCKLECSRKALMDFGNVSSNTIFYVIEYMREELKRADGEEWGLALAFGPGITFEGILMRSL >KZM81057 pep supercontig:ASM162521v1:DCARv2_B7:832451:833070:1 gene:DCAR_031365 transcript:KZM81057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGALIGQETESGFVCGAAVGAISGAFFSVEVFESSLVFWQSNESGLGCLLYLMDVLARLLSGRLVHERIGPAMLSVVQSQI >KZM81043 pep supercontig:ASM162521v1:DCARv2_B8:965865:966098:-1 gene:DCAR_031375 transcript:KZM81043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQNTNSTPKQPRRKLYETRNHRQNKSHTKDIDSAETKNYKTQQLISKHRTRENAALMTRLLLGLNSQQKRSCKQK >KZM81040 pep supercontig:ASM162521v1:DCARv2_B8:415591:415803:-1 gene:DCAR_031372 transcript:KZM81040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRMQKFEEWKAFCKTHDYIVCSCKVRPQEGSKPWGNGNLFSLLFLIFGDWGLLLWFVDSGGWALFTVG >KZM81036 pep supercontig:ASM162521v1:DCARv2_B8:58379:59734:-1 gene:DCAR_031368 transcript:KZM81036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKYQNENHPTDFQTYKHKSFYKKQKYMHHNPSSHNSRQPNHHTPSQNTHVPRQINTPYAKPTVTAPKSILVDLSNLGKLDNMLLNLQPGMMICVPQNGVVHNLFLAAKWENMVFYRGQNYFVHLIGEFYANMIVQKGLDDVLKISTVVHNKNMLVDVNTLNRCLKLGEHVPHQPCINIYEKFVFDKKEFELFVGHFCDADVPLGLCEENCAIEYHHFTPLYQQVAIIVRSNLLPKPKNAHYFDFVDLKVMFQLVTNQIEFNINYVILLNMIMAFEVEYLPYGLLLTSLFELYHIAMPRILAEKIEYCDIINLVKPQVPLRNCKPFAVSPVCISPTVMITGNTHASVKNGAEIIKLKGEIEILKEMTTSIVARLDQLEGKNKDDSTVGNVEGIDEKMDRLFSEKMVNEMVDKNDKMAIDEAEKSDKEMLPGMIDLTDDMGFVSVDGPEKA >KZM81039 pep supercontig:ASM162521v1:DCARv2_B8:412682:414103:-1 gene:DCAR_031371 transcript:KZM81039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKLYSPPNSKPLQTLPLLHSIDRKYSNENQNNDFHSNHGKPPKTFYKKQKYMHQNSSQNHSSKHPATSSSIYTSRQSQTQFAKPTVTSPKSVLVDMSNLMKLDRMLLNVQPGMLICVPQRGVVHNLFLAAGWENMGRDDVLKISTVVHNKNMFVDVNTLNRCLKLGYQDPFQHCINIYIAIVIRSNLLPKPKHAQFFDFVDLKVMFQLVTNQVEFNINYVILLNMIMAFKAEYLPYGLLLTSLFELYHLGMPRILAEKIEYCDIINLVKSQVPLKDCSLLNVKSVCIAPEMIITGNKGAVTENSAELVKLKDEVASPKEMNLGILARLDNLENKNKDDSTVGKNEGINEKMYRLLSEEMVNEMVEKSDKMADDEAKKPDMLPGIMDLSDDLGFVAVNGPEKA >KZM81037 pep supercontig:ASM162521v1:DCARv2_B8:360253:360477:1 gene:DCAR_031369 transcript:KZM81037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKREKNKSAELTKLREELSREMEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELDGNEVAGAT >KZM81041 pep supercontig:ASM162521v1:DCARv2_B8:660724:663142:-1 gene:DCAR_031373 transcript:KZM81041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSESHGTSGTNGSKDPGDTEKRRGKRGIVNMLKVKKARTKDIIQKMKDKINSGVKNPILTQLDAWEYARRDADGEVSDPATLQVLEDVSFPEHELTNIGTDDLLARAIPLEYVGRVRGLGWGVIKTSLKTTSTASELSKLKNDVSYLMNEINEMKRKGCNPVVQPGGSSHMDNFDMDNEVVGQHDDGDLVLGEYLPQGKNVCYLYVDPGRKYVGRGILHNDPNDRILHGIPLEAGYVRIQFEVAEKSEYNTQLPRPCDEANLVGEAPGYFLA >KZM81045 pep supercontig:ASM162521v1:DCARv2_B8:1206922:1209249:1 gene:DCAR_031377 transcript:KZM81045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSLGKGPLRTILGAASCDSKLTKSIYASIDISTAVELIMYPEVPLAMRMSSHLLFGIVRIHAQQVESLLRDSNTLLIEIRNAFTSTDLMNLSRATFGSVLPDKFKLDSLDIDTDFSERLFXNMEIDHEISTENNSPNRVSYSPIEFTPSPTGGHALGGDTSSLIDQVEPTNWDSTRVHEKYAATPGSNEITASLKGTPGEGPYNPEIRTSCDGDRSSVTPVIERDHQSINVSLDTILEGKRRKVCARMVFVTLVLKTCSLVDVKQENPYGDVVLTVTPQLSNELFSI >KZM81038 pep supercontig:ASM162521v1:DCARv2_B8:379610:380167:1 gene:DCAR_031370 transcript:KZM81038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARYRKACLGYARIREKIIQEKTEKGENDPIVTRLDAWEYARRKVNDIVDDPVAVQLLKDVVVISDQLAEDKLTNIGTDDLLSRINTIRAFRMSESNWMGGNKDLIKDSIICE >KZM81047 pep supercontig:ASM162521v1:DCARv2_B8:1279278:1280938:-1 gene:DCAR_031379 transcript:KZM81047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRVVSGDGGENMSWAEVRAEYWSRVAGDGAIPVIPQPSTPLVGEIPPYVDFSMTQYEEDERVERIEQIREEREYGGDGHGEADGEGIGAGGAEEKEKKSAKQNERKSAKKNERKSVKQDEKKSAKMLDDSEPGGSQPSQEEVVHDQGKTKNTEKKRKKAVPRKKVTKPQEKEKKSAKQNERKSVKKNERKSVKQDEKKSAKKKEKVEDFEQTNASQTLQLEDEE >KZM81046 pep supercontig:ASM162521v1:DCARv2_B8:1262326:1262610:1 gene:DCAR_031378 transcript:KZM81046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVELTRKDSPAGDLEDVRLLDSYEDEEAELSKVEQGMSRIQVRITGMTCAACSNAVETALISLNGVVSASVALLQNKADVVFHPSVVNVCY >KZM81035 pep supercontig:ASM162521v1:DCARv2_B8:49421:50094:1 gene:DCAR_031367 transcript:KZM81035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQNGDSSAQDVSHIEILESEHSYRASDEDNTQSSEDKEEESDDEVEAVYYNVPNPKVFVSPTGANI >KZM81042 pep supercontig:ASM162521v1:DCARv2_B8:822254:824212:1 gene:DCAR_031374 transcript:KZM81042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLHTSLPRAQSLSENKNILFPQSFYSFFDKLEDCILLLRRAHAGWRRRQIMAFVHFGRHDTSKVQESESFRAVAANIVVGSLTPDSTPSSVQESTPKSCMTSLREHNLPPRTPFADITNTVERSVVHTTKVKGKVRERKTIFENSNSIGKENAKGSTLQSIEAHRTKGGVKDKVRTTNLESNNFAEKGKGKVSNWENAPLKDWIRNLFAEEFSTNKSTDSVLYDEDLEETRFDASYFSEDSDSDMDSADGEHCKFQTVISNLNIYPFCS >KZM81044 pep supercontig:ASM162521v1:DCARv2_B8:1132291:1132873:-1 gene:DCAR_031376 transcript:KZM81044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRYRISVKAEDATGEVQVILGDREVRTLILKRARQLLEEHAGSGDMPQCLKTLAGKDYSVVLNIKEMNISKSFHVYWASNICNGFIRWGEKNRTVDQENTTSTQNQPTTSTNTGQTTTSTYTGQGISDLDLAST >KZM81020 pep supercontig:ASM162521v1:DCARv2_B9:695365:701279:-1 gene:DCAR_031412 transcript:KZM81020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLTLEPSAKQDWKIRVRVYRKWRHIRVNGQTAGINMIFVDENVRRIHARMNSTIMLRLGSLLVEGDVFNIENFIVRRYRAHERNQCFKDDKRIFLTDSTIINLFSGPHEFIPKHVFDCIPLNTVVQHAWQDTYLIDVCGIVTDLEPIHQFVSIRGEEQIFVRFVLAGKNNNIVKATMWNELALFMHMSLANRTQRPLIVIIASCKAIIWQGSPTVVNMQATRIFVNSSHPESVTVRVELFQSMPLKRNIDSTIPIHPDVVGILHSVMPITHVIQRSSYRKDIIRFVIKDNKTRTQSFATYNFEYTIVMDSLANLRPIPKQDWKVRVRVSRFWRRILGNDEINGIGFIVVDENGFRMLGRIKTGLVPRLEHEFEEGRIIDIVNFVVRPYTEHHCYRCFLDDKYMFLTSITTVRPVEEAVPNFPMHIFCCTPLNQIIDYNDHETYLIDVLGFVLNVEPIGRFINKNGVEQTFLKFVLSSNDDSSASVKLWNNFANSFLEHIEGIAGQPMSVMISSCKVIFHQGLKKFVVV >KZM80983 pep supercontig:ASM162521v1:DCARv2_B9:193525:196371:-1 gene:DCAR_031854 transcript:KZM80983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSTLQPGPKVDGKIRVRVSRCWRHVSRSGELMGVSFIVVDHHASRMHGWIRTALTDRFEHQFVEGRVIDIQNFVVRPYRELESNICFRSDKHMMLTGITAIVPVEEVVPNFPMHVFFCNPLNLIQDHGDQERYLIDVVGTVRSAQNLKRYIDKNGTEQSFVRFILANNDDTTVSVTLWNNLANSFMAQTEGFEAYPITVLISSCKVLMHRDLQPTTLTNWRIRVRVSRKWCNTFGGSTKTGVNLIFVDASDSRMHAWISSRVAQFFENKFAEGEVVHIYNFRVRSYRPYVTERCFWNDKYIYITTNTQVVEVQSPCITIPEHVFDSVPLFSLSGFVNRTLHFTDLIGIIHMERPLRHYVDIYNIPQALIKFKVADRRYWCCTIYGNTVTIICDNN >KZM80979 pep supercontig:ASM162521v1:DCARv2_B9:84732:86431:-1 gene:DCAR_031381 transcript:KZM80979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCEIEGNAGQHLTAAAIMGHDGSVWAQSSNFPKLKPDEVTGIMKDFDEPGHLAPTGMHIEGVKYMVIQGEPNAVIRGKKGSGGITIKKTGQALVFGVYEEPVTPGQCNLIVERLGDYLIEQGL >KZM81002 pep supercontig:ASM162521v1:DCARv2_B9:427282:432196:1 gene:DCAR_031394 transcript:KZM81002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYVPSLNLLTPSFVRSAVDVRILSLWMLDNSEESSEQFGLNMKLLDQKENSIEAYINPRLVRKFQPLLHQGEMYSLSNFTVAVYTQHMTQRASTNPYYILLREESEIQKIHVDDRAIPRYAFDFVLFKHIRHIKQDNNILIDIVGLIGFVEPPCVVINEQGHEEKSVEFGITDGIQIFQVILRDNICEQFLDTMSELNDQFSVIILQSCRLLIECGVYTFTNHPATRFATNSDISIVQHLANKLFSLGQSKSDKLYSICYVAELNNVTEKQLQESPVICRLRIKSIDVSQKWYACICTECGVEVEENIGNSHCLHCKSKIIMPDKRYRILAHCFDISGELFICIGHTEIHNIVGKSVFEMLQIQNFHNDVPLFIRNIVNSEMIVEVNIGTWATHNGLLKFDASQILLLDQQSLRHRMAFEKFDPLAAVDPGRYNWRVKVRISRKWESIQKNTGNIKGCNIILVDDQPPRHFVNKNNEEQSYVKFDITDGSHCVKVTLWDGFGHKFYDDYTQFKEDPIILILSSCKANVWEKILSLSNYPATRYFFNYSHHSVNMLRASYKQPGFRTTQVEMNRFEPDPKMTVAEVKNTIPDMDEMKVVCTVTINKITNQEIWFYHICCGCSNEIEKIDGKFRCDHCQKTEPYPDTRFRVCTLASDHTGTIGIILYDREVRRVIGHSVFEIECMQIQIECPSPTSGGDTYIKEQPSVSEGTVTQTSKQRGKNTPDTLRSTNKPKGKKKLVKKVRMVNLANDEDEDNEDIPLGMWNTQTDPE >KZM80987 pep supercontig:ASM162521v1:DCARv2_B9:214422:214919:-1 gene:DCAR_031858 transcript:KZM80987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKLLHNIDWSKMHEIVEPFMNLEYRVFNNFLQHNISIGVKGALCYEACKNLIQGFNPMHHLQILQNISSDDRLSFLAYHVFQAIYDESSVRDSGVILIQELSVNADFRSEFVKNCQTLNGRYRKHNLVWEGPPVLFPQNGVCSSFIGNNGHNLDPYGFGCTYE >KZM81030 pep supercontig:ASM162521v1:DCARv2_B9:803361:803750:1 gene:DCAR_031422 transcript:KZM81030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNPPKLPPRFPLPSSPQSALNSPELPPVSPPLAFPNSRKSGTCPRNAIRFGACTKVLGGLLGVRAGTAPKKPCCRLFGGLVEVESAVCLCTAIKANVLGSNLNIPISLGLLLNVCDIQTPPGFQCS >KZM81000 pep supercontig:ASM162521v1:DCARv2_B9:409486:413341:1 gene:DCAR_031392 transcript:KZM81000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFLMELENEPCSNRLLKVRLARKWLETGEDDSVLGLNLIMNDQYDNRMHCWIPSPIFVEMDKAFVKGGLYAIGNFLITPYTGKYRCFEAGLHIVFTITTVISPLIEPFCSIAEEVFNFTNLKNIPAALEHDSHLIDVVGIIEDVKYPQIVSNRNNNQQIYRDFVITDLVDSVKVRFWDHFALHFDTLYNEATVRPVIITISSCKMNRNNYSGVTTLTNMPATSIHMNGNCPRAATLRHSSKPEGFDDNNADDEYESGRHEALEKSLTNLNIGISKILRKVGELSCSYKEFAENFELEQKYLCDELKMLRNTADQLKKFI >KZM80994 pep supercontig:ASM162521v1:DCARv2_B9:331560:334429:1 gene:DCAR_031386 transcript:KZM80994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISELSGLYLPSYDVSGDEKKNNKYIPIVVGTVLGSVFLAAIFFGLWIIRGRVMRVFKQSIKKPQENISDIEGKVRRFTYSQVLDITKNLHEKLGEGGFGEVYRGSVGDIQVAVKMLSESSDQGSREFQTEVSLLARVHHKNLTSMVGYCNEDTHMGIIYEYMAERNLEEYLSGNSKGISSWVERLQIALGAAQGLTRQRSGLARIPKITKK >KZM81032 pep supercontig:ASM162521v1:DCARv2_B9:825062:833169:-1 gene:DCAR_031424 transcript:KZM81032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTMDDINLIQQAQRHHHLVVRELGEEIDLEIGTGDDDPSAFTHSPLIGVVPPREYSADENDESKQQMLIVSQVTSDDQELCRGQPTKRKKKVVKRWREEWADTYKWAYVDMKEGTARIFCSICREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKVIADKPVLAKDIISYVQFPTNLNSLLCFMRRDRNEALMSKTAGSILEAVLKRDPHEVEFIQSAQEVVRALERVISKNSIYVNTMERLLEPERMLIFRVPWVDDRGETHVNRGYRIHFNQTLGPCRGGLRFHPLMNLSIAKFLAFEQTLKNALSPYRLGGASGGSDFDPKGKSDNEVMRFCQSFMNELFRFLGPDKDLPSEEMGVGSREMNYLYGQYRKLAGHSQGSFTGPRTNWSNTSLRTEATGYGLVFFAQLILADMNKDLKGLRCAVSGAGKIAMHVLEKLIAYGALPITVSDSKGYLVDEDGFDYMKVSFLKDIKAQQRSLRDYSKTYARSKYYDEAKPWAERCDVAFPCASQNEIDTSDAINLVNSGCRILVEGSNMPCTPEAADVLRKGNVLIAPSVAAGTGGVVAGEYELKETNVNWSPEDFESKLQEAMKQTYQRALKTATDFGYLKESPEALVHGALISAFLTVGNSMSEQGCV >KZM81028 pep supercontig:ASM162521v1:DCARv2_B9:789195:795552:-1 gene:DCAR_031420 transcript:KZM81028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAALPSFHIRRLNFSHPLLLNLRTHSLSSSSTALASLDSVSSEPAQSNTSTTANPRNDNVKAKPTSFYPKRGQTLELECESIAYKGKGVCKVADTGFVLMCDRVLPGERFIGRVTRKKDNYAEVKKLKTLSPHRDAVEAPCEYASHCGGCKTQNLLYEAQVRAKELQVRELTVHVGRFSNKELETIMKPIVPCDIQFHYRNKMEFSFGPKKWKPQELLGEGSSNNNDDYALGLHAPGFFDKVLNVDICLLQSEPANRVLAAIQDIWRESQLGLSPYNCRSHAGFLKHLMIRTGRDAETSLPEIMVNFVTASYEPDLLKPLVEKIEAIPEVVSIMNNVNTSVGNTSVGEMEYTLYGKPTIREILRGLTFQISANSFFQTNTRQAEILYKLIEDCACIKGDGSEIVLDLFCGTGTIGLTLARRVKHVYGYEVVPQAISDAGRNAKLNGIDNATFIQGDLNKIGENFGNAFPKPDIVITDPNRPGMHMKLIKFLLKLRAATIIYVSCNPATCARDLDYLCHGVAEKNINGCYKLRSLQPVDMFPHTPHIECVCLLELS >KZM81024 pep supercontig:ASM162521v1:DCARv2_B9:729688:730989:1 gene:DCAR_031416 transcript:KZM81024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYECVTKGIDFWRKVEYCKGKIRRMKIPSSVFEVEEGRYYGTIVIRHWKENSLWQIRIEQLDNRLFMTKGLNKFCKHFNVNHGSILWFEYEGRHKFLVKIGSRNGISYNPKIEKVTVADQMHGAATVRFNPAKRQLERLEQFLSFLPISKFWPVVVEYAGQNIFQLTLFSSDGLEQNPLTPENLGLERILDNAVERHHVSESRDYASFIVFGQTYEKQPICYEIMENSIKRLSIRNKGDPKGFDMGNLNQLSGRLWLNMGFNSYPLSFEYRNGELCFVKGWRT >KZM81029 pep supercontig:ASM162521v1:DCARv2_B9:796153:799694:-1 gene:DCAR_031421 transcript:KZM81029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAALPIFSDSSLSSNQLPEIPKEFLKFAKKAEVFDWMVRIRRKIHENPELGYQEFETSKLIRDELDRLGIQYKYPVAVTGVVGYIGSGEPPFVALRADMDALPIQEMVEWEHKSKIPGKMHACGHDAHVTMLLGAAKILQEHREAIKGTVVLVFQPAEEGGAGAKQVINAGILENVKAIFGLHVAPDLPVSKVYSRPGPIMAGSGFFEAVISGKGGHAAIPQHSIDPILAASNVVVSLQHLISRETDPLDSQVVTIAKFKGGDAFNVIPDSVVIGGTLRTFSKESLMQLKQRIKEVIIGQAAVHRCTATVNFLESEKPFYPPTVNDKGLHQYFQNVAADMIDISSVKEMQPMMGAEDFSFYQEEIPGYYYNLGMKNETKGNLAYLHSPHFQINEDAFPFGAALQASLAARYILENQPESTPLKGEHRDEL >KZM81014 pep supercontig:ASM162521v1:DCARv2_B9:638871:639476:-1 gene:DCAR_031406 transcript:KZM81014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDKMYELINYPEEIQRERFERFLKVCLEIEGEQAEFLLSTQMLFRMQSISHHLELLKKNSTTHFPSKFAYLVFKGMHCPFQWDETVKELSLIIVNPTERNKAPELINLLRDITDDEEIDIFPIYKLCPNARNKQSFLHTGWFPLSRHVWQSLCDKVVTHSPDEVDPFDQFITIDLILQTKCAYCYLQCILYKILYGGHV >KZM80982 pep supercontig:ASM162521v1:DCARv2_B9:191336:192764:1 gene:DCAR_031853 transcript:KZM80982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGILFVTEGTVLWQKLGLQASMDLDSEFPVRFIKPIIPEKYGGLGDRMVLPYQFVEKYGSLVGDQLKMVACEGDGVELKFSQTEGTLVGMKILLNKLSAKDMQFLYFQLHADLKLSVCVIDKDTIVEHEAGNCDGCFEVMIKPSHLKDYDFGVTIPSEFSNVSESWQQAETIFVTHGRLNWNLLVRKRSGRVEILGGWPFLWKKLHLTVGDVCLFIPAELFKTD >KZM81021 pep supercontig:ASM162521v1:DCARv2_B9:716564:717035:1 gene:DCAR_031413 transcript:KZM81021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENVVDEIYNLVIASSDFVTEIEAANEEFISMFKNMEKRQSNLITQVVGINEKYGSVVDLVAKRKKPIIGGISTAFQSLLVSYSINTDRFLDDTESSLEKHSAKTKKAVDNMINNFNETVKVWGEKSDN >KZM80990 pep supercontig:ASM162521v1:DCARv2_B9:302902:303500:1 gene:DCAR_031382 transcript:KZM80990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNVVDEIYNLVIASDAFVTEVETATEEFCSIFQNMEKRQTNLINQAVLINERYGSIADIVEEKKKPKIGEISSSFQSLPLSYSKSTDRLLDDFESSLNRHTDRTNKAVQTLISKFNESVEAWKNKYEELKDQVNILANNKTQHRKKVNQYRSVLYRFIPGIDSSDSDESE >KZM81007 pep supercontig:ASM162521v1:DCARv2_B9:450694:452121:1 gene:DCAR_031399 transcript:KZM81007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSMLQSCSRIDWKVRVRVSRTWKHVSARGDVIGISFIGVDDNASRMEGWIRSALVNLFEPEFVEGRVIDIQNFAVRPYRDYETNKSFRGDNHILLTPITVIFPVEQILPNFPMHVFCCIPLNLIPEHAEQESYLLDVVGIIQSVENFNSYTDRNGIEQSSVRFVLANNDETTISVTFWNNLAISFMRQTEGFEPYPITVIISSCKVIMHRGNPTLTNLNATRFYVNPLLPSPHMLLNGIENFVVG >KZM81010 pep supercontig:ASM162521v1:DCARv2_B9:555201:559317:1 gene:DCAR_031402 transcript:KZM81010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAECGILFALVLTLSLNPVPLVHAQDDNPPGFLSIDCGLAKGSDYKDVTTGLYFKSDANLIDSGESKNLLPSVTSDTVEKYLSNVRSFPQGKKNCYTIKPALGKGNRYLIRASFMYGNYDSLNQFPAFDLNLGADTWSNVVITGNLSVVRKEIMHILSSDYIHICLVKTGTTTPFISALELRPVSSTSSIYKTTSGSLQNLYHVDCDTTSPTTAIRYKDDIYDRRWFPFNWTGTGASTKLDINNVNGYRVPVDVLKTASSPDNASEPFLFSWDTTDASDRFYMYLHFAEVEKLEANQSREFNIYVNGKLWNSELVVPNYLTVTTYYAEAPQTGNTEYIVSLNRTEDSTHPPIINAYEIYSVKDFSTSATEETDVAAILNIKSQYGVTRDSWQGDPCEPEDFIWEGLKCINHSSDSARITTLNLSTSGLTGDIISSIGNLTELETLDLSNNNLSGPVPGFLSQLASLRVLNIKGNNFSGPIPAQLLENKSKGILSLSFDGPGNDTNTNGNDTNTELCGTEPCKKKSNKTIPVVVGSAIGAVLLLAAIVFGTWLLRKRILRDRKTGNQTQVNIGDMEKRNRQFTYSEVLNITGNFQKVLGKGGFGTVYHGYVGDTEVAVKMLSPSSTQGYKEFQTEASLLLSVHHKNLTALVGYCNEDVNMGIIYEYMANRSLDKHLVGNNDGVLSWETRLQIAVDAAQGLEYLHHGCKPAIIHRDVKTSNILLNEQFQAKLADFGLSRAYSDEGGTHVSTVVAGTPGYLDPDYYISSRLTEKSDVFSFGVVLLEMITGQGAILRNAERTHITQWVESSVKNGDVKEVIDPRFRGNYDINCVWKAVEVALACASRISSERPTMNMVVMDLKECLAMEIGSHDADTNNSIGMVSIDLDNSLAPRPR >KZM80984 pep supercontig:ASM162521v1:DCARv2_B9:197602:202583:-1 gene:DCAR_031855 transcript:KZM80984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRQCVPLDSLDESSEGCRIQARVVTIWECNNSERFLQTIGLNLKLLDVEEYCVEAYIPPRTVKKFKSVLEEGQTYEICNFSVSKYSVDFKLRACNKPIYILFREDTKVLAGDKDCQLIPKNIFDFLMLKDVRQCKGYNNRLLDIVGLIGFIEPLSISTNDHGIEEKCIEFGITDGINIFQVFLTDAICDEFLSTMKEFNDESTIIILQSCILQIYDGEYTFTSHPSSRFVVNCQSEIVEHLTNRLFSIADTHSNKGRKVHTISEIISRENLNPEENVYIQGKIVSISENDSWYFLQCNQCGGEVLDNLAKWRCNYCKIKIPMPDKRFHLPSLSVEPSKQAMSIERYDSLSSLDSGTYDWKVKVRISRNWKSVQKATGELRGYNMILVDDQASRKHAFVGEAYAKKFEDSLIQGQIYVIENFNVKPYTEKEKHQCFKDDTHIFFSSYTHTKTVEKDDKLSPENVFGFYDISELGDIANQNVFLIDVIGYVENVENPRHFTNKNNEAQSYVKFDLSDGCNIVKVTLWDSFGNMFYEDYNKFKKDPTILIISSCKVNIWESILSLSNYPATKYFFNYKHHSVNILLARHKEPGFCSTQRTVRIEVPVPKITVAELKHFIPQNEEMNVLCDVTIMKIKDKESWFYSICCGCCQEIEKVDGKYKCEKCNKIEPYPESRFRVCTYAADETGGIGIVLYDREVQRVIGKTVFEIQWEQMQNVTIDQFPSAVMGLENVTCTITLGLKKAQSANKTNIFHAVDIMLNPSTECNSPSSQGDTYIQQQASVTLGSVSQVPKSRSKKTPDTLKSTSKSKSKKKSIKMETDVNLSDDEDTEHIPLSKW >KZM80995 pep supercontig:ASM162521v1:DCARv2_B9:365848:366954:1 gene:DCAR_031387 transcript:KZM80995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPVVVGSVVSVVLLLAAIAFGIWRMRGRVFKKSGKLLQVNRSDLERKNRHFTYSQVVDITRNFQRVLGEGGFGRVYHGYVGNDQVAVKMLSPSSTQGYREFQTEASLLMRIHHKNLTSLVGYCNEGTNMGIIYEYMANQSLDEYLSGKSYGIFSWEIRLQMALDAAQGLEYLHHGCKPAIIHRDVKTSNILLNEQFQAKLADFGLSKAYPAEGGTHVSTVVAGTPGYIDPE >KZM80997 pep supercontig:ASM162521v1:DCARv2_B9:382436:385490:-1 gene:DCAR_031389 transcript:KZM80997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAPTGRRGIRVRHNANRRIQNEDTQQNGIKVFSDVCDDSLPHGPRLSFQHDRDFPVANESLKVPSSTKEPSTQSAVYPLTPLGSPEDVYVEDSFEEKGKEDVASAGIEGEQHKSQHEFQQEIPDKTTLASISIMGLNDHTSLHGKLNDNDQAPEGSQFDLQLNTASWIPREGNSISSHMIHTSDHVISSPEERNREASDFDTESLLMNLGGMKIKSKRGRPRKPKQNSVNKHFKVPRRRKTKGEGLPHIGQFFLNPAHDEAESVYETGLLMGLLPINSKEESLELIRRNLAC >KZM80981 pep supercontig:ASM162521v1:DCARv2_B9:185178:187131:-1 gene:DCAR_031852 transcript:KZM80981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLCKIVTILTFALALVNYTGVAQDANSTTLVPAIISFGDSSLDVGNNDYLSRTVFKSNYPPYGRDFGDQKPTGRFCNGKLVGDITADTLGFTTYPPAYLSPQATGKNLLIGANFASAASGYDDRTAFLRHAISLSQQMKYYNEYQSKLAAVAGKTKAAFIIKEALYLACFGTADFLQNYYVNPKINKVYTPDQYSTYLVGKYTSFIKDLYGSGARRVGVTALPPLGCLPAAKTFFRLNERGCVSRINTDAQGFNTKLISATEQLKKQLPGLKIAVFDIFKTLYDVVLSPKTHGFMDASRGCCGTGAIPESLFCNRKYAAGSCSNASEYVFWDGVHLTETANQIIADSLIFQGISLFG >KZM81001 pep supercontig:ASM162521v1:DCARv2_B9:422334:425200:-1 gene:DCAR_031393 transcript:KZM81001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESARNRPAEVKKGIDFWRKVEHSGGKFRKMRIPSSMFEPREGIHYGYIELKHWKENSVWAVRIQQYDSGLFMKKGLNRFCRHFNVNHGSILWFEYEGGNKFLVKVGGRNGITYNPKLETMATATHAQPGSQMNQSKSFVAYLHSDSIVVPNELVQSWCLEDDRDCRLKMSNSLDFALKFNAMERKIEGVEKILSVVAINKFWPVLIDYTDLNCFTLTLFSDDGFQCDPSTVKESMDSHIEEGRVEDQQICDCRDYATLAVFGQTYARQPVFYEINENSIRRLTNRNKGDRKGFDIGDENLSSGTLWMTMGFRSYPFIFEYRNGEFCFVRGWTNFYNKNKLRIGEILVVQPKEESLMFETCVIQAFPVKREEESMTAMMEGLISSPLTFICGINYYSLHTGKIAIPFAFSVKTGVSVPRDCICVVSDGFSVPVKHSENQRVLLGLRSLFSDNKIEHSDIVVFSYMGNGVFKLRAFKKRGMEILLKTNTQRSEIMTKRKERANDSQVSANNPRSDEPKKAKIMTEEGTSQVGGVESVQPDLQQSSSTNRLKWEIIIKPSHLDRVVHGVSVSTVYKNITKSWKNRDIITVNCTTGPINMEVRRNDGSITIHAGWNKFVEMMKPRKGDRCIFTCNEIEKKYEVQILPADDK >KZM81009 pep supercontig:ASM162521v1:DCARv2_B9:487821:505093:-1 gene:DCAR_031401 transcript:KZM81009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMLSTYCLFLFALVVKFSLDYPLVLVHAQNGFVSIDCGLPQGSDYTVPSTGIHYQSDADYIDGGESRRLASIGNSPETYLKTLRRFPQGQKNCYTIKLSPGRGNKYLIRANFQYGNYDGLNKFPAFDLNLGVDTWSNIVITADNATIVRKEIIHVLSSDYVHVCLIKTGTTIPFISSLELRPLESTNSIYTSDSGSLQSLFHRDCTGTPGAFTRYSDDIFDRIWWTVSWTSTGTSTQSDIENSNAYRVPVNVLRTASGPDNFSEPFEFSWDATSASDQFYVYLHFAEVEKLQPDQSREFNIYLNEQLWYKGPFVPLYLEVNTVLSNSVVTGKTRYTISLKKTENSTLPPIINAYEIYSVKKFSDSGTNETDVSAILNIKSTYEVTKDWQGDPCEPQDFHWEGLNCSYPSSNHSARIISLNLSSSQLTREIIPSIANLTQLRTLDLSNNSLSGQVPEFLSLLTLSVLKLKGNQFTGPLPAQLLENQKNGILSLSYDDSGNEEKKKKYIPAVVGTVLGSVLLAAILFGIWIIRGRKMQDKIVTEASSHIHDTSSQTQAGNSELERKNKNRQFTYSEVLNITRNFQRVLGEGAFGKVYHGYIGDAEVAVKMLSATSTQGQREFETEASLLMSVSHKNVTCLLGYCNESTNRGIIYEYMANRSLDEHLSGTSFDILSWRIRLEIALNVAEGLEYLHHGCRPAIIHRDVKTSNILLNEKFQANLGDFGLSKSHPAEGGTHLVTGIAGTPGYIDPEYYNSNKLTEKSDVFSFGVVLLELITGRPALLTNRTPIAQWAGSIVRNGDVKQVVDPRLRGKYDVNSAWKAVELALACASNRPPMDIVVLELKDCLVIEFGGLDANSNNAIEMFPTDAESSLVPEPRYFIPLLLYCPLVVFSWFHINYRVSDKHQYSNPRPLVTIFFNAFSWFLITYAVIEDIKFEPST >KZM81025 pep supercontig:ASM162521v1:DCARv2_B9:731607:732443:1 gene:DCAR_031417 transcript:KZM81025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFRSGGLEILLKGNREGSENTQKIKDTGSEDAVRNGVEKKARGLSGDVASEHGGVNTMELNRGTTSNGHPDQVRDDVLAEEHSQWEIVVKPSHLDRIVHGVNVSKLYKSITKRWKNRDIITLNCDVGNFELVVKRKNGRITIHDGWTNFVAMVKPKVGDRCVFNCDEVPNHYGVEIIPALKD >KZM81026 pep supercontig:ASM162521v1:DCARv2_B9:779311:780432:-1 gene:DCAR_031418 transcript:KZM81026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFLMELENEPCTDRVIRVRLAWKWLETEDDGSVVGLNLILNEQSMFRSWFAFSTHNYFRCFAVMEQWCSIPEEIFGFFNLKNIPAALEQDSNLIDVVGIIEDVKYPQIISNRKNNQQIYRDFVITDLVESVKVRFWDQFALHFDNLLNEASVRPVIIIISSCKMNRNNYNGVTTLTNMPATIISMNGNCSRVDDLRQRFWEVNGL >KZM80993 pep supercontig:ASM162521v1:DCARv2_B9:322084:322746:-1 gene:DCAR_031385 transcript:KZM80993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSFLENLIETGEAEDDDDLFPTYDQVEEDVNDQSNATSLLNIILSGVVRLNALLPTATILAFTLLHPLITNDGQCTSLTRWIMGCFLALTTASCMLFPITDSFRTATGRLYHGVATMNGIWTICGGHVKPCVTSDFRLRWSDLFYLLLSLIAFLTFAAAHSDVLSCYNVDLPQKMTIYVPLVVGFLICAVYVIFPSRRKGIGYPFMLQNDLSTATTEV >KZM81023 pep supercontig:ASM162521v1:DCARv2_B9:726318:726914:1 gene:DCAR_031415 transcript:KZM81023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRTAKRKRCNEIRDNVVDETHALVLNSANLVNEIQTANEDFAKYYKTMSEKHSELISQAMGINEQYRARVGETSEVRENLPTTYATDVHRYLDDIYTSVQGHSKKYNEACDQMIKDLENNSESLKAKLRDLKEQGDEIQNQKVQLRVQFHDFKKNVNP >KZM81012 pep supercontig:ASM162521v1:DCARv2_B9:633186:634367:-1 gene:DCAR_031404 transcript:KZM81012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLTLLPTAKQDWKIRVRISKKWEQLRPSGQVFGISMIFVDENDLRIHAWMKSSIISRLDDSLVECGVFQIENFIVRPYGANERNRCFTGDKRIFLTEATVVMPCLEPHEFIPKHVFDCIPLNTVREHASQDKCLIDVCGIVKDLQPIQQFVSITGKEQIVVKFVLADNNNNTVRATMWNEHALFMHMSLDFTTQRPLIVIISSCKPHLWEGTPTVTNMQATRIFFNSSHPAAATIRAGYGN >KZM81019 pep supercontig:ASM162521v1:DCARv2_B9:678466:679547:-1 gene:DCAR_031411 transcript:KZM81019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRQYRRLKDLEEHAGSTGEVRVRVSRIWNHYLPNWTVAGINLILVDEFNGRIHAWLNSVFFKKQREHFEEGKTIVIQNFVVRKYKAGLTRKCFSNDKHISLTNSTTVTPTEVPSSIIPHDIFDFVKLDKIWRYGIDHEHLIDVIDIIELVHPIHEFKDIYNHNHCFINFTIVDDSEMAKSFSRTLALQKEDRVVVIISSCLVNIFHGICAMTNMDATRYFINPNHPATLKMLSG >KZM81004 pep supercontig:ASM162521v1:DCARv2_B9:435371:439535:1 gene:DCAR_031396 transcript:KZM81004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLTLEPNARQDWKVRVRVSRKWRHIRLNGHTAGVNMIFVDEYDKRIHAWMNSSIMLRLEPTMVEGDVFDIENFIVRRYRAHERNQCFHDDKRIFLTNSTVVTRCNGPYQFIPRHVFDCVPLSTVGHHATQDTYLIDVCGIVMEVEPIQHFSNTIGEEQFFVRFVLADNNNNTIKAIMWNELALSVHMTMALTSQRPLIAIISSCKALIWQGGIPIVANMQATRIFMNSSHPEAVTLRVVPIMDHPYHRLKDLEVDMNNSGKIRVRVSRIWNHCLPNGTVAGINLILVDEFNGRMHAWLNSAFFKRLREILVEGKTIELQNFVVRKYRHGVTNQYVIGIIELVHPLHEVRDAYDQTQWFTNFTIADDRFIFNYIGLCTMISTAATRYFISSDYPGASSMLSGACN >KZM81015 pep supercontig:ASM162521v1:DCARv2_B9:641313:643122:-1 gene:DCAR_031407 transcript:KZM81015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLANLRAIPKHDWKVRVRVSRCWRRTMGDAQVSDMGFVVVDENGSRMLGWIRTSLMFWFEHEFVEGRVIDIVNFVVRPYTEFHRNICFVDDKYMFLTSITTITPVEAIVPNFPMHVFGCTPLNNIRYYNMQETYLIDVLGFVQNVEAMRRFINKNGVEQCFHKFDLTSDDASFVTVKLWNTLATSFWEMIEGRGEQAMIVLLSSCKVIFHEVSHMAVSHVAVASRGSGATWQWDPPNSAWLRQRQKY >KZM81003 pep supercontig:ASM162521v1:DCARv2_B9:434531:434896:1 gene:DCAR_031395 transcript:KZM81003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQHCLCGSWAVEKTSWTEYNPGRRFLTCVNGRCNFFKWTEPELDPRSKKIINGLVRRFKLKDDEHFAEMIKAKEEYQEFYKEEMNAAKKEARNWKCFAFLLLLYVFRCYFASVGVDDNNA >KZM80991 pep supercontig:ASM162521v1:DCARv2_B9:304576:306971:1 gene:DCAR_031383 transcript:KZM80991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLPTQFCSKYSDVLHESMELKLRNGYILPVQFDVVKCELKGVLWFFKDLELEGGEILLFEYFGRFKFNVYIIGRNGSEINYPDKLHCLQHRSSGIVTLYDAGWRFIIFRPAGSGIFDDVDPPAAFINRCGFALPKRITYVLRNGKKFIGTYKSQTCRFSGFNSMFEILGGHTVLDVRGFLFTYNGTKEVFITAFDSQCNEIVFPGTPLCMDSTGSYPLLGTYFQITVETKHMLDDCFVVDIPKDYKDLFDEWDNFQCIIIYSELTCWRLLIRKRDDYHCATIEDGWRKMRDDLALIVGNISVFECPIQSYDQFKIRVLPPDEGNGHMNTNAISEAHNDLKTNSISSAMTSRRVTFPVSCNIDKIYQFGASKSDTGNRRIENPLDACNMHPYGQSFRKEPTGRCSDGLLTIDYIGMNLNVLLISHKC >KZM80988 pep supercontig:ASM162521v1:DCARv2_B9:222294:222894:1 gene:DCAR_031859 transcript:KZM80988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNIVDEIYNLVIASSEFVTNVETATEDLNSIFDNVQQRQSDLITQAVAINACYGSIADLLAEKKKPSIRETSSSFQSLPNSYSIRTHRFLDDIESSLQKHTKKTKKSVEKMISKFNERVEVWMKMSKDLKEQAKIVARNKVKFKVQVDEFRSVLYGYDPDYESSSSDNSD >KZM80986 pep supercontig:ASM162521v1:DCARv2_B9:204759:205955:1 gene:DCAR_031857 transcript:KZM80986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREDFNGSYDERANECEEKDVRLSSENNLISASQRQLLGNSSREEDGNNLREFLSWGVKIQPSHLDDHCHGVNVSCIYIHITEHWKSGGFITATTDNQNFQLEIKKINGRTTIHSGWKKMVDFLKAKEGDICLFYNLGSPTKFKVKLQST >KZM81006 pep supercontig:ASM162521v1:DCARv2_B9:442723:447663:1 gene:DCAR_031398 transcript:KZM81006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQNIKQLEICPKKDWAIKVTVSRKWRRIPINNQTIGLNLILIDQTDRIHAWMNSTLMHRLEDKFVENYTLLLSNFIVKKYIKSENFKCFKAEHYICLTPFTTVSVLEPVLPGAYPHLFDCVAFSTFPENGRQRKYLIDVVGIVESVKPIYHVMNRSAFRKDFIRFVVRDLHNATAHVVFENGLAHAFNVAMMEANEKPAVVIIASCRIRMLGDVLGFVHNVQGINRFINRKNVEPSFLNLVLSSTEEKRIPTTIAASSMKRAKAREKYPEENMWSRQSSSQTSSETEWLEPQKQKTNARSFTLKVDIAEDKFDKLGEKIEHLSVAIERLIEVIQLSQYSWKDNAED >KZM81033 pep supercontig:ASM162521v1:DCARv2_B9:846145:848385:1 gene:DCAR_031425 transcript:KZM81033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNNIRDKTPLAKVYRRPDNKGNKLVDQVEEDEHNCDNLVDEQDAEQNNDQQSDEDMQEAEEDSAQEDSAEDMEQEDSAQEEDNAQEDEEQDNVPNESEEENDDEQEEDETENQAQVNNAQPKIKITKYKRKKEAAFETHIPRKRIVGTLYPILKFMNKDVKVNWFTRAGFALLLDFELDILPTKIAYNVLQIFDHHSISLKLKDGDINITSEDVYDVLGLPNGGHPIILASPGKYSQRIKDWHAQFTLSDQITTQMIVQVMKNQEVNDNFKLNFLLVMSNVLIGTKGASYVDKQLLQLDDNLDNLKKYNWADFLLGYLVIGMESWNRTTTTFFRGSLIFLTLLYVDRVRYKGINLVDRQFPSYTGWTLEMLRERQEIEVIDGVFGVGSIQPSLKEYLQKIDPSEAPKTKMEPEIRGSIGQRWIELKTITLRERRQHHKNLMNQLSAKVHKIHSITLLQQRQLMEMLNKLNRMYLMIYVKGQRNLWT >KZM81017 pep supercontig:ASM162521v1:DCARv2_B9:647758:648474:-1 gene:DCAR_031409 transcript:KZM81017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLTLQPIAKQDGKIRVRVSRKWSHIRSNGQTVGVSMIFVDHNDLRIHAWMKSMIMLRLDQFLVEVEVFDIENFIVRPYGAQEKNQCFTGDKRIFLTEATVVNPSIRPHEFIPKHVFNCIPLNTVYQHASQGTYLIDVCGIVKDLEPIQHFVSITGKEQIFAKLILADNK >KZM81018 pep supercontig:ASM162521v1:DCARv2_B9:651537:651904:-1 gene:DCAR_031410 transcript:KZM81018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSRKVYKAQEKYPEEHAWSRLSPSPTSSESVDFEPKRKKPKRKTVTVKVDVGEEKFDTLEEKIDKLTGAIERLTDVIQLSQYSWKDNTEFN >KZM81005 pep supercontig:ASM162521v1:DCARv2_B9:440029:441243:1 gene:DCAR_031397 transcript:KZM81005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLTLKAIEKQDWKIRVRVSRKWYHLRQNKKTAGVSMILVDENEIRMHAWMNSRIMLRVDGSILEGDIFDIENFMVKPYGNNERNQCFTGDKRIFFTDTTIVKPSTGPHDFIPKHVFNCIPLNMVGQHASQDTYLIDVCGIVRDLEPIQHFVTITGKEQIFARFVLADNRSPYRYKYASNKDFIQFHPPNYHCFKGWNSELGFHIAAA >KZM81031 pep supercontig:ASM162521v1:DCARv2_B9:816647:823121:1 gene:DCAR_031423 transcript:KZM81031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSSADFEMKENNYDNNLEEEQTYFEIGVVVPKRFGRLGDDKYDCVEVLVDEFNRVGFIVDRVTGMQYEFIKVNKSEAGIALRSESMEISWEPMEPLMRKLESLGIVKEVFPLHDEAKRKQLIRSWALNWRDFTCQPIDEIYSYFGMKIATYFAFLGMYTRWMLFPAALGLTVQFVDFGSFQWLVLPVFFMSTILWAVLFSQFWRRKNAAMLARWKVNYSIRDSRSKFLEMERTSLQSSFEDGNNWTIKPQEKDMFQREEWNGRMRRLRNDVIIITSIICLQLPFELAYAHLYEVIETDIVKFLLTAAYLGVIQYFTKFGGKISVKLIMHEQNENKEYRADSLVYKVFGLYFMQSYIGIFYHALLHRNLVTLRQVLIQRLIVSEVLQNLLENSIPYMKKRKRERGSSTEKTQFISRVEKEYLKPAYCASIGEELEDGVFDDLLEVALQFGMVMMFGCAFPPAFVFAALNNITEIRADALKLLVMLRRPIPRAGATIGAWLNIFQFLIVMSICTNCVLLICLFDREGEWNISPGLAAILIMEHVLLMIKFGFSRIVPEEPDWVKARRMSNASQAQNIYSKQLLKSISGEKRLEQKSE >KZM81027 pep supercontig:ASM162521v1:DCARv2_B9:785336:786457:-1 gene:DCAR_031419 transcript:KZM81027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLDWECYPATSSWSDHEVAEAYSGVKTGDLPGAMILKHIEELHNMTCTTEPRSHQLEYYSNPEKILSQMVQHLAVPSLDSFIVASNPSYPLSQTEAIRISEEPPELPSVKRPYNFVMENTLSGLMATTFKSTAPANTASLESLDYCLLSATNSNTDTSVEDDGINSIFFSDYGCRNLVNKSILTGANRGKISQNFGEKFSTSSRLVSSNPQPQLKKRRVLEKPLSSSNISFQQPSNNTLSGANCLIEEPDSEAMAQMKEMIYRAAAFRPVSFCDEVVERPKRKNVRISSDPQTVAARQRREKISEKMRVLQRLVPGGSKMDTATMLDEAANYLKFLRSQVKELESFGQKLCFGESGTNANHPVTPFCMRKN >KZM81034 pep supercontig:ASM162521v1:DCARv2_B9:893030:900137:-1 gene:DCAR_031426 transcript:KZM81034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLTLISKGGMMYVTQELKDLYHLVEHEFLPLDLASKVQPLLEKNSTVRGKISSASSVPEVQLSLYVPALKKLATMILLQQISKGGMMYVTQEVKDLYHLLEHEFLPLDLASKVQPLLEKNSTVRGKISSASSVPEVQLSQYVPALKKLATLILLQQHEPGHFLVAYLLGVLPKRYRVPTMDDLNQDELARGRVDFLGLLEENTNQSTYCNPSGFSQYEEEKQRIALIETSAPKSDVSVPV >KZM81013 pep supercontig:ASM162521v1:DCARv2_B9:635685:636161:-1 gene:DCAR_031405 transcript:KZM81013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPRQSIPHHLELLKKNSTIDFPSNFAYLVFKGMNCPFQWDDTVKEMALIIANPTLRNRVPELINLLRHITGDEEIDIFPIYKLCPNARNKQSFLHTGWFPFNHHVWQSLCDKVVNKSPDEVDPYDQFITIDHILQTKCGYCSLQCILYKVLYGVHV >KZM80978 pep supercontig:ASM162521v1:DCARv2_B9:19332:20765:1 gene:DCAR_031380 transcript:KZM80978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSQQPMIICSSSGSRQQGSGNALNLYLLRCIEKIKKCGTTGNSSSFDNISSGNNGTNLSPLSIGRRYALVAVTCESEYIGKVTGRTPQSAADQNASNQSIRRSPLCNVDQNPVPGFVLDSTVTGS >KZM80989 pep supercontig:ASM162521v1:DCARv2_B9:223696:224217:1 gene:DCAR_031860 transcript:KZM80989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPFFVPDFFKFMTYDDCSSNELLIPQKFCDMQHNALTGNWILSIRNGYKIHVAYDEQSRKLTGLLDLFTDFQLVDGEILLFEYVDNSNFKVYIVGEDGNEIEFPAIVHCSQTSSPVPGKTVSSVAC >KZM81011 pep supercontig:ASM162521v1:DCARv2_B9:560680:564610:1 gene:DCAR_031403 transcript:KZM81011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKSYLLFELVLAYFLNPIPLVHAQDDPPGFLSIDCGLPEGSDYKETYTGLNYKSDANLIDSGESKSLLPSLISDSYETYLGNVRSFPKGKKNCYTIQPSGGKGSKYLIRATFMYGNYDSLNQFPIFDLNLGPDTWSKVTIEANLSVERKEIIHVLSSDYIHVCLVNKGTGTPFISALELRPLSSTNSMYTIESRSLQTLIHADCDSKVTNTDTRYKGDIYDRTWYHFNVSTNKEVNTSLDINNANDYRVPTNVLRTASIPENATDSLQFEWTTNNASDEFYMYMHFAEVEKLQANQYREFNIYINGKRWNKQLVVPEYLRATYYYPLSPLTGNTEYIVTLNKTASSTLPPIINAFEIYTGVIFSKSGTNETDVAAILNIKSTYKVTRDWQGDPCEPGDFLWDGLKCNYDTSDSARIISLNLSMTGLTGEIVPSIANLTELKTLDLSRNNLSGQVPDFLSQLALSVLFDGQEKGNDTSKKNCEQEPCKKKGNKSNAAIVGAVVGSVLLLAAILIGIWIWRSRRASQVRKLRNQAQVNNGDIEKKNRQYTYSEVLNITRNFQKVLGKGGFGTVYHGYVGDLEVAVKMLSPSSTQGHKEFQAEASLLLNVHHKNLTTLVGYCNEGTNMGIIYEYMANRSLDKHLKDAGNSFGILSWQFRLQIALDAAQGLEYLHHGCKPAIIHRDVKTSNILLNEQFQAKLADFGLSRAYSAEGGTHVSTVVAGTPGYLDPDYYTSNRLTEKSDVFSFGVVLLEMITGRPAILTEDRTHIAQWVDSVVQNGDVKQVVDPRFRGKYDVNSVWKAVELAMTCASRISSRRPTMNKVVMDLNECLAIEIGKHDSDLYSSNGMVSMTDMESALAPRPR >KZM80992 pep supercontig:ASM162521v1:DCARv2_B9:320237:321015:1 gene:DCAR_031384 transcript:KZM80992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGETGNNDYTSALFQGRPVEELKHKLMPRIVQSIMDAVRKVISIGAERIIVPGQFPLGCFPVYLTEFPSNSTTAYNKHKCLIALNDLAEAHNNYLQHAISTLQTQKPNTKIVYGDYYNAFEWLLNNAPHLGLDAESTLKACCGTGGKYNLNSTQRCGSPSVPVCPDPDRHISWDGVHLTQKANRLIATWLVADFLPMIDCKK >KZM80998 pep supercontig:ASM162521v1:DCARv2_B9:395941:396687:1 gene:DCAR_031390 transcript:KZM80998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQISSTPLQKKRKVIASNAPLMSLDIDIVIKICKLLQKDGFLDLFFFIQVWFRFQSPEAVTILLHNLDWSTVHQVVEPFRNLECRVFKQFLKHCLKARVRGALCYFACKKLSRGENPDHHLQILRDLSADDNLAFLAYHIFQTLYHPSTLKENASILHEKLIRHAEFRSDLMNNCTTLNGRHRKYYRFWYGPEDMFPQNGVCSFFVSGKDDHNMDPYALGCSYKEIISTSCPECVIVMVIFKIIRGF >KZM80985 pep supercontig:ASM162521v1:DCARv2_B9:203300:204378:1 gene:DCAR_031856 transcript:KZM80985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTKNMNAKVKRGIDFWRMVEFKKGKYTNLRFPSSIIRSGEGRFCGRIRLMHWKEKSIWYVRIESIDSHLYMTKGLRSFCSHFNVNHGSVLWFEYCRDNCFKVRIGNRNGIINDSTLRKLPSRQSIDIEHYNDIEHKLSFIALLENNRISVPEELCNNLDINKHTTFVLMVCGNVEFPVNLDLDSKTFVVADEFSKRLEIQAFVPMLLEYAGSNIFNLTLFNAEGLSYVLDSREI >KZM80999 pep supercontig:ASM162521v1:DCARv2_B9:404432:404793:1 gene:DCAR_031391 transcript:KZM80999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPQKRISLNVDADDVFQMIDDYVPDRKEAMEEKLSELNIGISRISEQMEELTRCYKEFVANFVLQQKVLREEIQVLRRDAQSFRSDLQDQS >KZM80996 pep supercontig:ASM162521v1:DCARv2_B9:376638:377825:-1 gene:DCAR_031388 transcript:KZM80996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWLPNVHCDVLAAASALGNSVAIGVILREEHGKKVWGAHGPMNHLTEEQAIMAGIQAALVHAKEKKWDLVLIETTNRDIFDLISDQDQFIIPEELLEAFRVFNSLHANHHVLAQAPASRKISLIPDHMNYVAVYLADYGLHHLSEFVEMPGDASVGNLQFLLNQDMGLVFADPGFEMVQHMGLGEVDNAEPPPRPLTRKRKHGVLCEECGSVMGKQPSTRVSPFLDPFMPSRAFKGKNTGYESFAFYDNGVLSQSVIVALESGALLRFSPAFGETELNLEAHVKNGLCVKHILHHACLGTLGLLEYMLEDSFDHVLAGEYGIELMPFDQVEAAMDFGDAVVPVLDESGLGVNALFSVGDAVVPVLDDTGLDVNALLSVGADAVKAVSETRVFNP >KZM81008 pep supercontig:ASM162521v1:DCARv2_B9:453386:458720:1 gene:DCAR_031400 transcript:KZM81008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLVTLLPTAKQDWKIRVRVSRKWAQLRANGQTFGINMILVDENDVRTHAWMKSSIISRLDDSLVEGGVFQIENFSVRRYGENERNQCFTGDKRIFFTESTVVMPCIQPHEFIPQHVFDCIPLNTVRQHSTQDTYLIDVCGIVKDLQPIQQFVSITGKEQIVVKFALSDNNNNTVRATMWNEQALFMHMSLAFTTQRPLIVIISSCKPHLWQGTPTVTNMQATRVFFNSSHQTAANLRAGKKQYEPNSPTLILKNLSSSQLTGEIIPSIANLTQLRTLDLSNNSLSGQVPEFLSLLTLSVLYDDSGDKEKKNKYIPAIVATVLGLLLLAAILFGMWMIRGRVMLAFTKAGKQPEASISDIERIRKDRLFTYSQVEEIADNFQEMLGKGGFGRVYRGSLDGTQVAVKILLSPPTTRSDFKNEVSLLMRIHHKNLTSMVGYCDEEPNMGIIYEYMAGRNLEEYLSGNSIGISNWVERLKIALDIAQVLIIVNMNKGLEYLHHGCKPAIIHRDVKTTNVLLNEQFEAKLADFGLSRAYSDQGGTHVSTIHVAGTPGYIDPDYQYNNKKLTEKSDVFSFGVVLLVMITGLSPKILSGTEEIHISRWVYLNGKNGDVTKISDSRFGGKFDVNSMRNAIELALSCTSSNQSEKSSQRPTMNIVVNDLKVCLAIELGTQDADPNP >KZM81016 pep supercontig:ASM162521v1:DCARv2_B9:643940:645152:-1 gene:DCAR_031408 transcript:KZM81016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSILESCSRMDWKVRVRFSKTWRHVSPRGDLIGVSFIEVDDNASRMHGWIRSALINLFEHEFVEGRLLDIQNFVVRPYHNYEINRCFTADKHMLLTPITAIVPVQQILPNFLMHVFCCIPLNFIPDHGEQESYLIDVVGIIQSVQNFNSYTDRNGIEQSSVKFVLANNDETTISVTFWNKLAISFMGQTEGFEPYPISVIISSCKVIMHRGNPTLTNLNATRFYVNPLRPCPHMLLNGYQDFAVI >KZM81022 pep supercontig:ASM162521v1:DCARv2_B9:718645:723858:-1 gene:DCAR_031414 transcript:KZM81022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFLNSLTSSTNRCSIDVRILSLWQSIKDEVSTGPVGLNMKLLDENGTSIEAYINPRIVGRFHPLLHQSEMYSLFNFSVVLFSHDITHRVSKNSYYILFHEHPEVKALGPNDKAIPKYCFDFVFLKDVRHIKHDNNILIDIVGLIGCVELPSIVINNEGVEEKFVEFGITDGMLCRVVCRMTVKNIDEHEEWYICICSECGLEFEENAGSNKCPHCKVKIVMADKRYRLIAQAFDKSAELYILLGDNAVEKLLGKTVFEIQLAATMAIEKYDSLTSTDPDNYNWKVKVRISRKWESIQKNTTNVKGWNIILVDDQEGEIYVIQNFSVKPYTEKEKHMCFKDDTHIYFSSYTQEFKYPGSDNLIPANVFGFYDISELLPIVNQNTFLIDVVGVVQNVEFPRHFVNKNNEEQSYVKFDLTDGSFKQSGFRTTQMEMARFEPDPNMSVAEIKSLIPETEEGGLTQTSKHLGKSTPDTLKSTNRTKGKKKLLKNVRKVNLAADADEDEEIPLGMWTTQTDPE >KZM80980 pep supercontig:ASM162521v1:DCARv2_B9:180271:182874:1 gene:DCAR_031851 transcript:KZM80980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKQKEDLRPGIVKFGVAAALSLGGILYYFLRDKRVSPLDYSSPYPSDDGDATWSEGEGDELVQEDLCLYKDNLTSDCSPGGRCIRVKDGFLSPDFDEPVNEFDLASMKDSNSPTRYAETIGSDTETQTGKXLLEYYGLKEQESSVMELQNRLKVNSMETKLYTLKIETLQADNKRLEAQVTDHARVVADLEVARSKLKKLKRELKLESEHNKKQILSLQQNIQPKVTKIKRLEEEVEELRKSNCSLQQENSDLGRRLDYAQILANSVLENDEPEALREENNKLKKQNEDLAKEIEQIHENQCNDVEELVYLRWINACLRHELRNYQPAIGETVARDLSKSLSPKSEEKAKQLILKYANKEDLSRRGTHEADLYMDQSTPCQASVLTDSDDSTHDRMIASKSSKKHVFGKLLKLIRGHSQLSSQEDIQTEENVPGRNSSVSSSGVSTPSDFQSYTRASSLSSSKPSLESTSRHTSEISSLPSLDHLKLPYMQRSKAEKTNAGSPVTYTKTGSNSEDVSEAQSQYQLNQELENQKIDLFKYAQVLKRK >KZM80196 pep supercontig:ASM162521v1:DCARv2_C10557042:145:450:-1 gene:DCAR_000055 transcript:KZM80196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMSLQEAPHQDPVVTPEEAPQQQQVVPTTVSDNVGVLAGGVVEEQRLDAGGNTEVDAYGLVPQWFNDAVVSDNVTANYENLGFMTPFGDANNTEWANFF >KZM80195 pep supercontig:ASM162521v1:DCARv2_C10565052:241:522:1 gene:DCAR_000060 transcript:KZM80195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVGNQLPLRAHRRSDGRGWNFSWNEDSLLRQLKDGLAQDVEVIYVGCLGEEIDPSEQEDVARTSLETFKCVPAFVPPDLLSKRVYIKQATR >KZM80194 pep supercontig:ASM162521v1:DCARv2_C10572883:21:557:-1 gene:DCAR_000066 transcript:KZM80194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEEIGWRLPPNCSGSETLDMAKSLVAARHSGERILRCWGGGTGWIIEDAKDKIGKLLEEYEIGGVLSEACRCIRELDMPFFSHEVVKRALVMAIENNNDKMLDLLDECYCEALITTNQLTKGFTRVSDTIADLALDIPGAEERFNNYVGYAQKKGWLLPSYGSSDTDSPLLSAAAC >KZM80193 pep supercontig:ASM162521v1:DCARv2_C10575245:37:412:-1 gene:DCAR_000068 transcript:KZM80193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPECYVVYISPLFKKLCSMWDTFQSIYVYSGNGSWKLDICRRDDYYRSTIEDGWQQLRDGLALEVGDICIFECPVDSLDRFNVRVVKKQ >KZM80192 pep supercontig:ASM162521v1:DCARv2_C10577420:320:646:-1 gene:DCAR_000070 transcript:KZM80192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKEPPVVGMMKPGAGLGAMGAMKGPAAAKEEAIPEKTAFELKLESFDAPAKIKVIKEVRSFTDLGLKEAKELVEKIPAVFKKGVSKEEAEQIIEKMKAIGAKVVME >KZM80191 pep supercontig:ASM162521v1:DCARv2_C10579708:65:223:1 gene:DCAR_000072 transcript:KZM80191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQVKRVALEEKRVRGRERGKKLRRYKMGQPFSSREKEGRCLECMGAAAQL >KZM80190 pep supercontig:ASM162521v1:DCARv2_C10592681:111:485:-1 gene:DCAR_000077 transcript:KZM80190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGKKYSDDNEEEESGIVSSKEFTDMIEELFYLNGVLNIGDSIPWIDFLDLQGYVKRMKILSFKFDRFLEHVLDEHNERRKNEGQDFVAKDMVDVLLQLADDPNLEVKIQRHGVKAFTQVCCV >KZM80189 pep supercontig:ASM162521v1:DCARv2_C10592837:303:605:-1 gene:DCAR_000078 transcript:KZM80189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKDVNATVDEFENFRVKLVELTKVTRNYFANLVTALENNLGESENRGAPEGKSRKIVKNKTEGKSRKIVKNKKKQFSKQKEKRSKGERHKKEIVFIRT >KZM80188 pep supercontig:ASM162521v1:DCARv2_C10594363:142:507:-1 gene:DCAR_000080 transcript:KZM80188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFTEEKDDTRHLEISEQADEPHNRSSELGSQENVLVCARDESEISEMVNMLDSTTRELSNMPEYISSQTSDHNNGGKCKENNLSSSSITLMTSEGTTELSPPPLPKQVFSYSYGAFVTVD >KZM80187 pep supercontig:ASM162521v1:DCARv2_C10594619:30:494:-1 gene:DCAR_000081 transcript:KZM80187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHS-2 description:Chalcone synthase MINTRYMHLTEEFLKENPNICEHMAPSLDARQHVMVEEVPKLGKEAATLAIKEWGQPQSKITHLIFCTTGGVDLPGADYRLSKLMGFSPSVKRFMMYQQGCFAGGMVLRLAKDLAENNKNARVLIVCSELTVHTFRGPSHTYLDNLVGQAVAYI >KZM80186 pep supercontig:ASM162521v1:DCARv2_C10595277:190:570:1 gene:DCAR_000082 transcript:KZM80186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGYNNTSCTNNYTTTNDFSTDFFGHPPLLDNLAIPVQADCLMIPSNEYKPEYSATQMMMMMKQEELCNYSGGSSYGSPSSLDRNIYGLQYHQLTQFSGYDDSAPVRKAFSTGDLEVTILIYANNI >KZM80185 pep supercontig:ASM162521v1:DCARv2_C10598577:30:533:1 gene:DCAR_000083 transcript:KZM80185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGLLKYLPTVKMEQKLVKKLVENFDVGSCSLFGLTITAQHGGEILGFPSKGKLVPKAISDVERDKFKAKYEKLNFKKLTELLKKADLDFTETFMLYAFGHFYCSCTKDVPSQKLYNALSVVPSAREYNWGKFVCESLLEAITIKEEKVKQIAIHGIWILGAAHTF >KZM80184 pep supercontig:ASM162521v1:DCARv2_C10599421:122:442:1 gene:DCAR_000084 transcript:KZM80184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSIRTIFAAHLITSVVLPPGSATPVVLNDSTTVIPHPPSSTTFILAQLTQTNYNTDSTTRTQLLVFIHAAAPPLTIRNLVKKTGTTRLCQIPIHSSPTPSIHLC >KZM80183 pep supercontig:ASM162521v1:DCARv2_C10602859:447:596:-1 gene:DCAR_000088 transcript:KZM80183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVCVWGGGVGHAMFPWFFCQNRANIHRHSHKSPQQTHMYVKKFNLKST >KZM80182 pep supercontig:ASM162521v1:DCARv2_C10605309:124:802:-1 gene:DCAR_000089 transcript:KZM80182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAGVTWEVFDPCTEMTLGVFDKHGSSVKISTSICSKTSLIKYKKHLTNMHAVKKFVHEWSHAGRRILPSCDKRR >KZM80181 pep supercontig:ASM162521v1:DCARv2_C10606055:5:244:1 gene:DCAR_000091 transcript:KZM80181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTGKSSSNPKATGKSGHEARKDRQSGTGVTGSPKKGGHGGKFTWSGDNANLGNDEFIVAVDRNDPNFEDPDVAVAEN >KZM80180 pep supercontig:ASM162521v1:DCARv2_C10607745:257:592:1 gene:DCAR_000092 transcript:KZM80180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTPILFEQPVHKDDWEGLGRVTQIAKEKYGVSVAADENCRGFADVKKIVEENLADIINIKLAKLGVVGAHEIIELAHASGLHLMISGMVETRISMGFASLLAAGLGCFK >KZM80179 pep supercontig:ASM162521v1:DCARv2_C10612367:78:449:1 gene:DCAR_000094 transcript:KZM80179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLCPGKLTSIAAAITSPIRASQKRPNVNYISGLNSFGGLKAQNNVVALGLPVCTEQSFANFAYSLKHSNQRSGGGGALTSTCNAVAEIFRIAAVINGLTLVGVVIGFVLLRIEAAVEEAE >KZM80178 pep supercontig:ASM162521v1:DCARv2_C10612583:98:810:-1 gene:DCAR_000095 transcript:KZM80178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMLYVPTGWKKLCKHKMEARTNHISEFLRRIQTNDSTSPSPTYLAQHPLFDQIVGKKYVRLCPASLSGELCPLSE >KZM80177 pep supercontig:ASM162521v1:DCARv2_C10613729:218:451:-1 gene:DCAR_000096 transcript:KZM80177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQNTNSTPKQPRRKLYETRNHRQNKSHTKDIDSAETKNYKTQQLISKHRTRENAALMTRLLLGLNSQQKRSCKQK >KZM80176 pep supercontig:ASM162521v1:DCARv2_C10618751:105:492:-1 gene:DCAR_000101 transcript:KZM80176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTDSNCLDEDTRGELHKMLVPTVLKEQRSNAEEVSSLLDTRECQLIGKKDNASCSSDSETPGSFNEEVSKVTFV >KZM80175 pep supercontig:ASM162521v1:DCARv2_C10619079:245:733:1 gene:DCAR_000102 transcript:KZM80175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSITKTGELSDVDLQRKFVKVKYDEGVSFRKSYQCHHRVKVHFRVTSKKHTVSQVVIMNMDGELQFVRENYMMTLGLRIKDGI >KZM80174 pep supercontig:ASM162521v1:DCARv2_C10621415:399:854:-1 gene:DCAR_000104 transcript:KZM80174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLTGLMALDERRSEETRYLAEWFLQIKSDKKKLIDAVDPALDEKEENSDGISIVADLAGHCTARDPSHRPEMGHAVNVLGQLVEKWQPYDQETEDYSGIDYSLPLRQMMKEWMESETTDFKNSNLQDSTGSIPNRPAGFADSFTSADAR >KZM80173 pep supercontig:ASM162521v1:DCARv2_C10631919:757:1041:-1 gene:DCAR_000108 transcript:KZM80173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNPSTIHQNRTYWTSKTSDSTAGLQTHYCLSTKTNSASDSETTTTTQQWQTRNSTEQPNSATTKSTTGLNAKQNTYSKTQYKTRDSAKKTTL >KZM80172 pep supercontig:ASM162521v1:DCARv2_C10632531:107:788:1 gene:DCAR_000109 transcript:KZM80172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLDGSPPERLCMPIVDHIQSLGGEVHLNSRVQKISLNKDQTVKSLLLTNGKVIEADAYVIAAPVDIFKLLVPEEWKEIPYFKKLDKLVGVPVINVHIWFDRKLKNTYDHLLFSRWPLLH >KZM80171 pep supercontig:ASM162521v1:DCARv2_C10634471:504:731:-1 gene:DCAR_000112 transcript:KZM80171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKQQPVLHFLVIFESKVLRLAFVLPFYLDRVEPHEHILLFVLALVSFAKQILLHVYLSYILIANIKDVVLKDI >KZM80170 pep supercontig:ASM162521v1:DCARv2_C10635819:430:657:1 gene:DCAR_000114 transcript:KZM80170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTTSPSKQSNKKNKLSPTAIKITNTSLPYIGENHLTVINLQTQPFGSTNKKLPTEINTPRSRSLPLYQWSSAI >KZM80169 pep supercontig:ASM162521v1:DCARv2_C10637364:405:841:1 gene:DCAR_000116 transcript:KZM80169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCSEWFKITLLWNECLAGFKDAAIASGAGEARLKSRSQKQAASVNNKGKLPCEPSTSFRLLDE >KZM80168 pep supercontig:ASM162521v1:DCARv2_C10637956:176:887:1 gene:DCAR_000118 transcript:KZM80168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLRDGANAQYVTGTSFLFSVYGDLLTRNKQVVQCGDKQITCAQVLDFSQKQMDYLLGANPQGRSYMVGFGTNPPKQAHHRGASVPPLAANEVVNCGMSFANWFNPNVPNPNELTGAFVGGPDKNDFFEDLRSSSSYTEPVTYANSLAVGALARLANRSHPI >KZM80167 pep supercontig:ASM162521v1:DCARv2_C10639653:443:619:-1 gene:DCAR_000120 transcript:KZM80167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVATYYAPLNMSIAPLAIAYGQNVWRHRLGESSGNYNTESSSYASIDSAAPSVLFPR >KZM80166 pep supercontig:ASM162521v1:DCARv2_C10639969:480:659:-1 gene:DCAR_000121 transcript:KZM80166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPRRHKTEKTKFINFLSDQQRMPVLYRNQLISASKNLEADTARSNTVRYALRIYIY >KZM80165 pep supercontig:ASM162521v1:DCARv2_C10641593:668:892:-1 gene:DCAR_000125 transcript:KZM80165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPMVVVDVIEEEAVEIEGVKIPVDTSKPNPNQLEYDNLYLDMNGIIHPCFHPEDRVSLFAL >KZM80164 pep supercontig:ASM162521v1:DCARv2_C10642249:138:536:-1 gene:DCAR_000126 transcript:KZM80164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKEYTFDIEVKEENVVAKSKIFYVNDAFQASNSFGADKSSDVMREGLSTSSFAESKIDLTKTEDTPTSEKSVYKKIKIVRKNILVLNF >KZM80163 pep supercontig:ASM162521v1:DCARv2_C10643311:473:1253:1 gene:DCAR_000127 transcript:KZM80163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAETEPPSAGDEANRVARLPRWTRQEILVLIQGKRVAENRVRRGRMSGPAFGSGQAVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWETGREAEATESFWVMRNDLRREKKLPGFFDREVYDILDSSDDNAAAANPNPLPLPPPPAVFDSGRSAAADDGLFSDSDQQHHDHDIVNPPPLALPLPSTSGKQQQHLPQQQASAQGPFLIFIVTSSYFSY >KZM80162 pep supercontig:ASM162521v1:DCARv2_C10643851:379:1041:-1 gene:DCAR_000129 transcript:KZM80162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFENTAGPENHQAVAVRSQSDFSVFYQCSFKGYQDTLYVQKGHQFYRDCDIYGTVDFIFGNAIAVLQNCKIFVRKPMTQQTNVITAQGRTCPDDPTGIVLHECFVTAAPDLKPVQGMFKTFLGRPWKEYSRTVVMKTSLDDLIDPSGWTPWNSSNFYLDTLYYGEFNNTGRGADTLHRVNWPGYHIITNVGEALKFTVGSFLNNIPWLGDTGVPFTPGL >KZM80161 pep supercontig:ASM162521v1:DCARv2_C10645819:71:1011:1 gene:DCAR_000131 transcript:KZM80161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGQLHYGFHEEKLQVRITHMWDTFSFNTYYEAMTCLIMIDVKNDQYWAITEITQRQKLLKFICPGLMYHISNFRVVAAPTAWKPIDTDKLLIFGKQAEIHDCLDDNSIPRYKFTLCTWSTVISRVGNKDNLTDIAGVIMFVGGMETERNINRVNITLLDGRLAKLRVTLWGVKATQFEMNFNLYRRKNVVLIITGLLVTKTQGTFLRFSNLLQVTGERDN >KZM80160 pep supercontig:ASM162521v1:DCARv2_C10645883:878:1272:1 gene:DCAR_000132 transcript:KZM80160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGESNGKLTVKEMIPEIKFTKLFINGLFVQSLSGKTFETIDPRTEEVIAEVSEGSKEDVDLAVKAAREAFDNGPWPRFSGAVSTPSFFLVFS >KZM80159 pep supercontig:ASM162521v1:DCARv2_C10649795:207:539:1 gene:DCAR_000136 transcript:KZM80159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVWVTMEKMVRENDAFSRNDQSSCSWIQKQMFTNSDGQVCALNPSLSFKAVNITTQGQRE >KZM80158 pep supercontig:ASM162521v1:DCARv2_C10656154:783:1301:-1 gene:DCAR_000141 transcript:KZM80158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCCSRIAWFFSLSRIAGLLCWVLCSFLNPLLLNLVEMKIKELMPMDVKSRKLIDWMEKGNRSSDD >KZM80157 pep supercontig:ASM162521v1:DCARv2_C10656690:394:1412:1 gene:DCAR_000143 transcript:KZM80157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESGQAFISGKPRTVVKKFLARAQREGDGAVVRRSIGRPELKYLDPFLMLDEFTVSPPAGFPDHPHRGFETVTYMLQGAFTHRDFKGHIGTIRAGDVQWMTAGRGIVHSEMPVGEGIQTGLQLWINLSSKDKM >KZM80156 pep supercontig:ASM162521v1:DCARv2_C10656970:449:847:1 gene:DCAR_000144 transcript:KZM80156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLGIESVIMGAGELGANQVDENIVDELGNKIKSAVEMWDDRVAQYVTSGSKLSPAEMALLDEQIAAGENELNFKKHNEFLKCETNTESEYNSDFDELDDFMLKGTKDQGMDEDVGDGVADDESSPDMVVS >KZM80155 pep supercontig:ASM162521v1:DCARv2_C10658200:315:1387:-1 gene:DCAR_000145 transcript:KZM80155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFHACFQFCFKCAGSGSGEVHTGLRLSHGGIDRDLNFERCPREVLASLQVINLQWQEGFFWHMR >KZM80154 pep supercontig:ASM162521v1:DCARv2_C10658316:210:1033:-1 gene:DCAR_000146 transcript:KZM80154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTLLAFSFAALLLVGGEAAKFTIVNNCPNTIWPAALTGTGSQPSTTGFELASKASKPIDIPAPWSGRIWARTFCAATCLTGECGKGTGPCSGAGGAPPVTLVEFTLNGDGGKDFYDVSNVDGFNLPVSITPENSPCATTSCAANINEGCPAGQEVKGPDGATVGCKSACAVTNKPEDCCTGEFNNAEKCKPSASSKYFKGKCPQAYSYAYDDKSSTFTCPTGPNYKITFCP >KZM80153 pep supercontig:ASM162521v1:DCARv2_C10659742:577:1257:1 gene:DCAR_000151 transcript:KZM80153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQDISTLSSTDENNHYLSSKKIIKKKLRLFGTEVDLFEHIHCSTDAGHDGNANSSSTRSSSKREQIPRGKGATSDHEVKKFECEYCFKEYGNSQALGGHQNAHKKERMKKKRLLLQARAASIRQYLQPLEFISSFGYHGSAPLFCDASYKVPKITFCDPEPHISFSPYDHNFAPKCYSMPDDEPCQKDFRKFTLPHADQSGAIKPPQFSGPEQNYLSLDLHLGLS >KZM80152 pep supercontig:ASM162521v1:DCARv2_C10664954:331:1377:1 gene:DCAR_000157 transcript:KZM80152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEKSIRDVSMYIRSLVTKGIVEDIWDWEDASPRTDLRDYQFYLPDDPDDPDLVNIRKTFNARAGENSMG >KZM80151 pep supercontig:ASM162521v1:DCARv2_C10666230:47:304:1 gene:DCAR_000159 transcript:KZM80151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYIYLSLLNQTTYTTLLYRRKHLPPNHHLLSHLLVVLHLPPPPHLQFTIVNIPPTSGLQHHLAATSVPCYVFIEAKANQDTTTT >KZM80150 pep supercontig:ASM162521v1:DCARv2_C10666750:96:1369:1 gene:DCAR_000162 transcript:KZM80150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIVALWESKATTFLDLLPKEGNEPVFVVITGLMAKKYSDKMSKELLRQMRVKNTINEAEFNSDENLFFDLFIKANYGNDAPDCEVLDMEKNWINGEPEVLLGREVPIRGMEK >KZM80149 pep supercontig:ASM162521v1:DCARv2_C10669904:538:699:-1 gene:DCAR_000165 transcript:KZM80149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGANPWTEYIDITFLPPQKVCTTQESSYLISHYLEGNRSRLFTLCFTVHGHG >KZM80148 pep supercontig:ASM162521v1:DCARv2_C10671112:316:921:-1 gene:DCAR_000167 transcript:KZM80148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLDHAGQHNNSNAFLASATSDALATELFQQTSNIYGVSLSAPALPRILKEEEDSTHGNMSLMYLNHHQDTSQGHMSATALLQKAATMGSTRSHPQGIFVNSFGLMNSTMSSVFMGDHHNNIARASDRVMMEDKHLHGNSISRNQMGGGMTRDFLGVGSNHYHHNIICTNTNELQAKFSSMSSTTVDLGQQYSCTTTGSH >KZM80147 pep supercontig:ASM162521v1:DCARv2_C10674580:414:884:-1 gene:DCAR_000172 transcript:KZM80147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTPPRSFSSHSTLINSDANLHHPHKLTLSNPSKLNSLIKQSPSLSNRVYRLTQFNICRCNNESFDLSESDGGWESGLKEAVRNAMKKMEMYVTSWKREERECVESEEGEEDWDWNWWRKHFDEVDDQERLLSVLKVCNCVCVCVFVCWILEFRV >KZM80146 pep supercontig:ASM162521v1:DCARv2_C10675942:4:972:-1 gene:DCAR_000175 transcript:KZM80146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQLKKGSSLVDLYDINRGLLKKPPLKKRTKHHNSCGAPKCSTKLVNPERGSKRFLKWEMGKFFVAVLKILFVSAVALMTKRMVLGATVSAFCLFFLEYGGRFLYRLMRGLLICRTKKTSVSFTRTEWDSSEGGFVSGCSSLTVSSEEVCDIPADLDMCFSDFTNSDSNKKLEFEDRGSGEGILVSDQNCNCDGLGTKSRGSRRERVKSKMKKLVPKKIKRSMKKRSAVKRGGTDVLEDDNCEAKEYDTEVDASDDSEDSDVEAKAEKARDVRRFISKYWFLCFIALAGLFQGRSLAVVLTLFWCLIVKLVECTIKHRKLS >KZM80145 pep supercontig:ASM162521v1:DCARv2_C10676900:378:1473:-1 gene:DCAR_000177 transcript:KZM80145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPSQAAQLASNYGKNGFKTLKLKVGKNLKGDIEVLQAIRAAHPDCLFILDANEGYTSTEAIQVLETLHEMKVTPVLFEQPVRRDDWEGLGRVTRIAKEKYGVSVAADESCRVLADVEKIVKGNLADVLNVKFAKHGVIGALKIIELAQAYGLHLMIGGMIETRLSMGFAGHLVAGLGCFKFIDLDGPLHLSEDPVVNGYEVSGPVYKFTNDSGNGGFFDWDDME >KZM80144 pep supercontig:ASM162521v1:DCARv2_C10679688:825:1302:1 gene:DCAR_000182 transcript:KZM80144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLFSLDQVDVGDFLRFKSCVLYTEYENADKPLINIEVVAHVTKPELRSSEVSNKFYFTFTVCTEAKAKSNGFKIRKVVPATEEEARTILERMDAEVMDK >KZM80143 pep supercontig:ASM162521v1:DCARv2_C10680591:473:1718:-1 gene:DCAR_000185 transcript:KZM80143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHEDEDSRILNSNFDLDHVGEVSLTLNSDELSWIKVDSFNKNLVSRRSTTIKFSDAYAVEFIDWGVIHEPVLGNAPGRLLGRASEMFRFTVHCFRRSKTQPSLWKTSIYTFGHKDLGTCHLWVTRINFSLNMEVGRPKSLLVFVHPRSGKGNGCRIWDEVAPIFRLAQVRTKASSVFIFGFPIIYIILGCAMLHFFYSSKDYIPYYGSIIG >KZM80142 pep supercontig:ASM162521v1:DCARv2_C10680733:323:985:-1 gene:DCAR_000186 transcript:KZM80142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHCTVYIERLYSLLVKRKPWYPWSILSEIQAAFVPVSESPSEVPLHGILQMSKFMQAASLRILLIVVA >KZM80141 pep supercontig:ASM162521v1:DCARv2_C10684647:1781:2074:1 gene:DCAR_000190 transcript:KZM80141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKPGPTVYKALLSACQLYGNKEIAIRNATKLKELCPDDDATFVLISNVLATGGYWDDAAGLRNLMYDKGVKKQPAYSWILSNKNDLRSHSRRMYG >KZM80140 pep supercontig:ASM162521v1:DCARv2_C10685479:276:2010:1 gene:DCAR_000193 transcript:KZM80140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPNEKAAVKVLRNGEEHEFTITLRPLGSLVPVHQFDKLPSYFIFAGLVFIPLTQPYLHEYGEDWYNTSPRRLCERALRELPKKAGEQLVILSQI >KZM80139 pep supercontig:ASM162521v1:DCARv2_C10687015:823:1506:-1 gene:DCAR_000195 transcript:KZM80139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACRSLQHIFDKPLPLKKHENSHEESLDDSSSPSSIFSSSSLSPSSFASSSPFSCLSSASSFPKDFDPPKPYFQRRQHFHSDSFVLSVGSLSLCTENLGLESSDDVEITINNNQSNSKKQVVPRNNIIRHKSDELSYYTKHSFRCITEGEPNISRITGEEIPPPISSIGRNGKPRACLKSFRYNGRFVLKKVRNPSQELYLRSYREDGRLKLQFVLSSNDQEIFDE >KZM80138 pep supercontig:ASM162521v1:DCARv2_C10689961:1789:2234:1 gene:DCAR_000200 transcript:KZM80138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKTASESDVSVHSTFASRYVRTSFPRFKMPENSTPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMASINKNYVDMDEYPVTTELQVVLLPPIPHKFHLIFYQSCFMF >KZM80137 pep supercontig:ASM162521v1:DCARv2_C10692027:993:2042:-1 gene:DCAR_000204 transcript:KZM80137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSDPNNSNPPDDPNPSSEIYTYEAPWHVYAINWSVRRDKPYRLAISSLVEHYHNQVQIVHLNDVVGEIKPEPGLTFTHPYPPTKTVFIPDPECQKPDLFATSSDFLRIWEVKGDSDSGRVELKSVLDGNRSSGFCGALTSFDWNEADPGRVGSSSIDTTCTIWDVERECVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDRDHSTIIYESPEPDTPLVRLGWNKQDPRYMATVVMDSTKVVILDIRYPTLPVVELEGHLNNANAIAWAPHSASHICSAGDDMQALIWDISNMGKAVEGGLDPILAYTAAAEIEQLQWSSSQPDWVAIAFSNKLQILRV >KZM80136 pep supercontig:ASM162521v1:DCARv2_C10693533:940:2007:-1 gene:DCAR_000205 transcript:KZM80136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKFTFSFSLSALLTVITRINYGQSVSISGLAGAVSLAGSGGGLWAVEGGNWQMAAGLINRSDVALHMSEEIESISYTRSAYELNSTRGNSYKCDVTVVATPLDELDISFNPVISIPDRKLQHTHATFIRGLWNPAYFGFNYVTDIPELVGTIESSDLPFTCISILKKHSEKDMTYKMFSRQPMTDVLLDQIFSLNKCWTCITKDIFLSIN >KZM80135 pep supercontig:ASM162521v1:DCARv2_C10693737:209:358:1 gene:DCAR_000208 transcript:KZM80135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVRQGMNIGGDALKKTNRIQVSNTKKSLFFYVNLAKVLSTCGIHFIL >KZM80134 pep supercontig:ASM162521v1:DCARv2_C10695111:530:1730:1 gene:DCAR_000212 transcript:KZM80134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMVWLLSTPPHEEQKRVSLLMAAGLLEGASIGPLIDLAIDFDPSILVGAFVGTAVAFACFSAAAMLARRREYLYLGGLLSSGVSILFWLHFASSIFGGSLAMFKFELYFGLLIFVGYMVVDTQDIIEKAHFGDLDYVKHALTLFTDFVAVFVRILIIMLRNASDKEEKKKKRRN >KZM80133 pep supercontig:ASM162521v1:DCARv2_C10696341:1245:2258:1 gene:DCAR_000215 transcript:KZM80133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPLMGKESVASRGQWSPEEDLVLASYVQENANHLPGRTDNDIKNHWNKHLKKIAEITQDNYSDKHRYGSSSNVTTNARHQAPSHTTTLPLVSLGLRSPPSTVATGPLLSPDCMSFKSSCGRNLRAHRSLADPANHDQFRSQAAPVTPTFSYPHEPYVFNCENIAIWLQMWNKQDH >KZM80132 pep supercontig:ASM162521v1:DCARv2_C10700025:685:2526:-1 gene:DCAR_000223 transcript:KZM80132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDQTSGNSSNFSVTKKTPILGLRLYTLILLTIAALIIVFAVIFCYLRSSRRRRAQLRYSSGLLPLVNKEITESKQEDHVKDVEVVNFEKTSAPNLSGEKKGSFASNESSSSRAESVSVAAAEGVNIGWGRWYSLKELETATFGFSVENVIGEGGYGVVYKGVFSDGSVVAVKNLMNNKGQAEREFKVEVEAIGKVRHKNLVGLIGYCAEGSQRLLVYEYVDNGNLEQWLHGDVGPVSPLSWEIRMKIAIGTAKGCVV >KZM80131 pep supercontig:ASM162521v1:DCARv2_C10700931:229:894:1 gene:DCAR_000225 transcript:KZM80131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNSQIIEHIVLFKVKPDTDPSKIASMIDGLNSLTSLDQVVHLSAGALHRTRSSSLSFTHMLHSRYNSKQDLQAYSAHPAHVSVVTECVKPIAEDIMAVDWIGSDLTGPVALPAGSAIRLTFLKLKPELGEKESDQVLGVIGGIKDKFPVIDQITFGKNFSPDRAKGYSIASLAVFPGLSELDGLDSAGAELEKEKARDMLESVLVLDYVIQPQLQSASL >KZM80130 pep supercontig:ASM162521v1:DCARv2_C10701723:1237:1750:1 gene:DCAR_000227 transcript:KZM80130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFEELGGNPYGITLVKREVASVCADIYEWQPNLMNYEMQHSGKVNKPLPPKAHLSCASGQKISSVKFASFGTPLGSCGSFQEGSCHAHKSYDAFERYCIGQNACSVTVAPELFGGDPCPNVMKKLSVEVICS >KZM80129 pep supercontig:ASM162521v1:DCARv2_C10701979:1528:2683:-1 gene:DCAR_000230 transcript:KZM80129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNQKFGNFQISAVDTALSEDHFDRALILSLRLNEDALIKKCIISVFPADIPAVISSIPTKYLQRLIEAFAELLEKCPHLEFILRWCQELCKVHGNSIQQNSRNLLPALKSLQKAITRTHQDLAETCSSNEYLLRYLCSSSS >KZM80128 pep supercontig:ASM162521v1:DCARv2_C10702001:145:528:-1 gene:DCAR_000231 transcript:KZM80128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLTPPSAAPQTLTPLTIAFENLAISDTSIETDMIKTHMHKPDVIRKISREESHRRALIRQLYRTLKADNDPHTTNVVSSKERLKQVEHQLRALKRLGDQEFVKSVRLLCFLAKKDTRRVELRRRD >KZM80127 pep supercontig:ASM162521v1:DCARv2_C10705015:1362:1709:1 gene:DCAR_000242 transcript:KZM80127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPELKVMMDLYKEMTSNTNTSEKCKNVPQTPPLSEEDNERAKSTGGCMKPPEDKSGFSGNISENQKTEAGKLRGTYVVGGSAFGWNFITFLSTKVVYYGRTKEAFRLQNPVSK >KZM80126 pep supercontig:ASM162521v1:DCARv2_C10705025:410:2741:-1 gene:DCAR_000243 transcript:KZM80126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTISQQAFDDTVRENIEDLGMDPTEALQDAVETLTLQGVDLSGIVTCAPGETNPVIQCLDKLKQFKIDDFRIKVEFELEEQLDRLTELCRVEGSGNAAIATRNGALELVCLICSQLPSGCAGQLSALNAMALLLHDLQCTEIFRRSNGPKVVVRILNDGSDNVAVLSSGFAVVAAAATGNEVLKELFMDLKIDELMVKVLKEEKIETISSLYDAIRVLLTADDNRVVASQVFGYARRFAKTGIAKALVRSLRDGIRSTSLVSASIALKAVAVNDEICRSVAESGGIDAILCCIDDSGEQRNQIVAQTLCSLLNKVCL >KZM80125 pep supercontig:ASM162521v1:DCARv2_C10705145:728:2393:-1 gene:DCAR_000244 transcript:KZM80125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALIELCDLISHNPVKFADKLAWICGRCPPPDAFRGQSPRVTRSQLNAVLALALFLSKCPNHQDSRPRLLVVEFLKSITVSFNLSFWPQSFNAVAVGSFYNDFLSYVCKATDMSSELSKDVAGVTGDILISAYNTKDGDIGTIKAFLNALSKNFLPILPSDVDKLVTILMNSYDNTFPNSPRDFPDGSPSQTSPPSSSSSGTNSGIVDTTPKGSGVNGSSSAWKSTVDLLGTAVGSNEGELASSQKLIASFEKESVDNLEKQETAFKLIGHILDKSQIDQKLLEQVRRIAKEQIQSMLAFLKIQKFDSSEPKSLLKVRINTKLSVYQAAAALQIKSLTSIDSDGKSSKRLLHGTLALLIEAAEACLYSVWRKLRVCEELFGAILAGISQIAVTRGGQLLRVLLIRFKPLVLTMCAQADTRGSNHGVMFESVLKTSCEIIEFGWSKDRSPVDTFIMGLATSIRERNDYEEEVCCLLLILKANTIT >KZM80124 pep supercontig:ASM162521v1:DCARv2_C10705327:1874:2026:-1 gene:DCAR_000245 transcript:KZM80124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTTRKRGRPRIEVTQDVLEARRLARQRYNARRTEGKSKACPCPDLFHT >KZM80123 pep supercontig:ASM162521v1:DCARv2_C10705529:1171:2469:-1 gene:DCAR_000246 transcript:KZM80123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQLKYESFLLYFAFQPSIIFLLFLFPLCRTILLKCRFLQGPDTDQAVVQNIRDAIREQREVTVQLINYSKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHMEPLRNRLSERSELQSSKLVKATALNINEAVRELPDANLVRLQRTCGLPIPNLCLRNLTTDTVLAG >KZM80122 pep supercontig:ASM162521v1:DCARv2_C10706943:56:1876:1 gene:DCAR_000250 transcript:KZM80122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIFELTTNSFLLTTEAEAKLDVQRKFERVPKFRKLYPNLDVWSPLIQMYLNLLKHDEDQNIFIASKACVCLLARIVKDDVLEPVINFIEGNFSNSDWQSRKAVAHAYCLILEGPSIEKLLGVVKPTLYILLLLMKDEIDHVKYTTACTLSRMFELLHSPGYSLIPLKNFGFSKRVVVILLESLECAPCISKEICRSICAIARTSAFLLSSDLPNIVKSLVQTAGRRDGDSELRVVAYETLRVVIGYSAEKDFCEIKDLPAVMFELLETAVLKTGSSDDREIQEHLQASDLQASFFGVLQVIIRRMGDVDNMEPVILQVGDKVISLFFGSQCSGRKVQKEEMLVIGALACAAKTEFVKYMPDLYPYVLMGLQNVEEYQACSTSVGVVGQICVALRKEFLPYCSCIMIRLKDLTSSEVKKSVTPIVFLCYGNIAKSIGEHFKNYYQDVVKIMQDASDLHVYSDNSDDMVEYGDRLRQSIFEAFTCILIGLGDSNADLLLPYVPYMLQLIQKHKPRDKGRMRTAVKLLKELAVCSKIKGSLKVHSDILLTELQQSDNEELRQTAAWTQQELGGTH >KZM80121 pep supercontig:ASM162521v1:DCARv2_C10709466:1158:1586:-1 gene:DCAR_000259 transcript:KZM80121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIQGQTEDWQESGRDYAAFQQKLRGDIGFKAVFMPTPGQLPFRATGGTPPVSTPPVPTTQSSKQANQEVKGGTQSSSKGGSQSSSKQGEGKAKKAGKDAQVSTQQSSSSAPSRRSTRLMSQNSFKFSNTEEDPIDIDLAS >KZM80120 pep supercontig:ASM162521v1:DCARv2_C10709466:227:765:1 gene:DCAR_000258 transcript:KZM80120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEISKCSSDGECGSRLCYCNNVVRNRTSWTSKNLGRRFETCAKYKDEGGCHYFMWLDGGLTGPAKDAFCELKQKKEIAEEKVKILEDKLEIAADKLKIADEKVKILEEKLKMLEDNVEKKRLKIFKNVFVVIVVAMLLFVWDKKMAKGGENLYLL >KZM80119 pep supercontig:ASM162521v1:DCARv2_C10711734:1720:3144:1 gene:DCAR_000267 transcript:KZM80119 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MGHVVLDGESSSTTPRVVLFPFMSKGHTIPLLQLARLILARGIDVTIFTTPANLPFVSSRLADTAASIVALPFPENVDGLPLGVESTDKLPSMSLFVTFVTCTKLMKPWFEEALGRLSNVSFVISDGFLGWTLDSANKFGIPRLASYGFNAFSCAVIQSVEASGVIFEAESDDELFQVTDFPWIKLTRNDFDLPIKDRVKEGPGYEFYKESIMATSKSYGVLVNSFYELEPEFLDYLNHKSQPKAWPIGPLCLAEPPKAMATSEKPVYLKWLDDKLEDGRRSVLYVAFGSQAEISKDQLHEIKTGLEKSGVNFLWAVGKNGNEVDHEFETRVKDRGLVVGLRVETCDGTVKGFVKWEGLEKTVKELMEGEMGKVVRKNVELTGDAAAKAVLDQGGSSWKALSELIQGIHAFRKNK >KZM80118 pep supercontig:ASM162521v1:DCARv2_C10712674:604:2101:-1 gene:DCAR_000272 transcript:KZM80118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSDTEFGKLTAVQKSNRDHMLKIFNNLDSNNLVVTYMPSHRPKSGRGLTLDLVYAEDGFNIHTLTPSAHNQTPDEITVQGIRTTNEFNMKHLEPPKVNPELEEELKKYWRSLRKGWSYKRHLKYQADKHLKYNQLQDEDVFEVGLILGKELVSEEGKNFLMRILEKYEYYAGQIYSCRKFSDDLEKEIGGVKVTFRPHPDGLALRIKYLHEIHVLYDKEAKHRILGSRRQSFQQSDVFLLEDLRVRRKTTMFSC >KZM80117 pep supercontig:ASM162521v1:DCARv2_C10715112:219:1073:-1 gene:DCAR_000278 transcript:KZM80117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQGLFSPFLATAPPRMPLPLARNASKVRSTKPVQCITTPVTTIDRDEGSASRRSANYAPSCFWDYNLVKSLSSNYDEKKYVSQVDELKEDIRGLIHAETDVPLARLELLDSVQRLGLNCLFQEDIKQSIDALCKADSGLDDDLHLTALRFRILREHGYSVSQGLAISGCSIPCSGSHMCWK >KZM80116 pep supercontig:ASM162521v1:DCARv2_C10716048:942:1496:-1 gene:DCAR_000282 transcript:KZM80116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEKKDFWGMNMIFIDDSNYRIHAFASVKYCKDIIEEMKEGKIYVLANFKVKDYVGDETSRPVRNKKHIYFTTHTKLEQDLGVGLRIEKHAFDLFYLDEVQKLEIENRFLIDVVGQVQNVRGNIKAKLRVRRY >KZM80115 pep supercontig:ASM162521v1:DCARv2_C10717768:1809:3356:-1 gene:DCAR_000285 transcript:KZM80115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNGKHRWKISFHSKSSSSSSSSFVSQPPVEFLCPISGSLMFEPVIVSSGQTFEGTCVQVCRDLSFVPSLPDGTFPDFSVLIPNSALKSSILTWCKTANSPPPNPPDYLAIQSIVRSRMKHHSDSESDLLRGVGDKPRVLFSHAATEINPRVDHFYSSSSSQDSVIANVTVPATPLLPFATRPSCFSNSDDSSSEFEASVSEESQLITQLKSADVYDQEQVLISLRKKTRINEESRVSLCTPNLLIALKSSISSKYSDVQVNAAATIVNLSLHKPNKVKIVRAGIVPSLIDILKGGLPESQEHAAGALFSLSLEDDNKTAIGVLGALPPLLHALRSDSERTRNDSALALYHLSLIQTNRVKLVKLGAVSTLLTLLKRGELLGRVLLVMCNLALCAEGKSTMLDSNAVECLVQILRTELESESTRENCVAVLYSLSSGSLRFKGLAREVRAAEVLRVVEENGSERGREKAKRMLMMLRERDEEAGEEVDWEGVLEGGLSRYRVGRKVTGPNSTQF >KZM80114 pep supercontig:ASM162521v1:DCARv2_C10718708:329:3321:1 gene:DCAR_000288 transcript:KZM80114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYSPKRAAAEFRHSSSGGARSTPSPMKRDDATSPLAPENIADSRDRRVRVRSFFSFFNLQSLDESRVYAHVCNSKIAVFAIGVLILVGLVSVSSVFNRFSAPYLCKKDGIVLRCPRVKEPTSLWENPYSATTSWKPCSERRNNAIAGIDEIAFTKIDLPSENDTVGYIFIHAEGGLNQQRIAICNAVAVAKILNATLILPVLKQDQIWKDQTLVFFYNINDSRIVILQKHIK >KZM80113 pep supercontig:ASM162521v1:DCARv2_C10719744:260:3264:1 gene:DCAR_000295 transcript:KZM80113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGPGLFSDIGKKAKDVLTRDYISDQKFSVSAASDTGVVLTSTAVKKGGLSAGDVAAQYKYKNTLVDVKLDTESNIATTLTISEIVPSTKTIIGFKLPDYNSGKLEVQYFHRHATFTSAVSSNKEKHVVDLSATLGTPTFAFGAEAGYESALGKLTKYTAGISVTRPDSCASVLLGDKGDSIKASYVHHLDEIKRSAAVAEISRKFSTNENTFTVGGVYAVDHLTVLKAKLNNHGKLFALLQHEVIPKSLLTIASEFDTKALDITPKIGLSLALKP >KZM80112 pep supercontig:ASM162521v1:DCARv2_C10720136:969:2827:-1 gene:DCAR_000296 transcript:KZM80112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKQSESEKSTEKVVATSDESTTATAMSTSLLQTENACNLSNLDQFIENTTPVVQAQRFSKTNMKELRTHGDDFLPYFVLDDLWESLKEWSAYGAGVPLVLSGGDSVVQYYVPYLSAIQLYIDPSMPSMRLR >KZM80111 pep supercontig:ASM162521v1:DCARv2_C10720742:1776:3677:-1 gene:DCAR_000299 transcript:KZM80111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGTDPFAKKDWYDIKAPNVFEHKNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQKDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDSYTLRMFCIGFTKKRANQQKRTCYAQSSQIRQIRRKMVEIMRNQASSCDLKELVAKFIPESIGREIEKATSSIFPLQNVFIRKVKILKAPKFDIGKLMEVHGDYSEDVGVKLERPAEETMVEAETEVVGA >KZM80110 pep supercontig:ASM162521v1:DCARv2_C10721110:2274:3193:1 gene:DCAR_000300 transcript:KZM80110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHLTGEFVNEYNPTTEMVVQPLDFFTNRGQVEFDCWDFSGNIGGDCTIIMFDVTDSVTHEFVPAWQKEIHWLCDDIPTILCGNKVDMNNQQVNVREVENLQYCEISVKSNYNIEKPFLYLARMLAGDPGLQFVEAPTYTPPEV >KZM80109 pep supercontig:ASM162521v1:DCARv2_C10721498:769:3631:1 gene:DCAR_000304 transcript:KZM80109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDVKFHISKCTSETSKEKNLDFKLKVIGGDISSIPGISDAIEETIRDAIADSITWPVRQVIPIIPGDYSYLELKPVGTLEVKLVQAKELTNKDIIGKSDPYAVLFIRPVRSRMKTSKVINNQLNPIWNENFDFIVEDETTQHLTVRVFDDEGVQASELIGCAQFSLKELEPGKVKDVWLKLVKDLQIQRDNKNRGQVQLELLYCPQGTENAVMNPFTRDFRLTKLEKALKSEPDEKEDTDPTSGKRKDVILRGVLSVTVISAEDLPAVDLMGKSDPFVVLIMRKTEQKQKTRVVHNSLDPVWNQTFDFVVEDALHDLLLVEVYDHDTFGKDKMGRVVMTLTRVTLEGELTEAFPIDGTKSGKISLHLKWTSQPIFRD >KZM80108 pep supercontig:ASM162521v1:DCARv2_C10721894:2405:3251:1 gene:DCAR_000305 transcript:KZM80108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHVIKRDGRQEVVNFEKITARLKKLSYGLSINHCDPILVAQKVCAGVYKGVTTSHLDELAAETAAAMTTNHPDYASLAARIVVSNLHKNTKKSFSET >KZM80107 pep supercontig:ASM162521v1:DCARv2_C10722176:1337:3362:-1 gene:DCAR_000306 transcript:KZM80107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDKNRSKTVALQCKLKNIKVLHMVGDPMDTDILKEAITNIQKTIRGDDIPFSIVVLSDREWLLQDPLRADKQSAYTLLLAENICAKLGVKAQNLVAEIVDSQLGKQVIIPIMKIKPSLTYIAAEEVMSLVTTQVAENSDLGVVWKDILNAEGDEIYVKDVALYMKEGENPSFIELSERAQLRREVAIGYLKNNKKVINPISKTEPLFLAMTDSLIVISELEGAQPVLV >KZM80105 pep supercontig:ASM162521v1:DCARv2_C10722422:64:2241:1 gene:DCAR_000307 transcript:KZM80105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNVNSPRDSPRASPSPSGSPRIGFTVPAHKPKRPLTSAEIMRQQMQVSEQSDNRLRKTLMRTLVGQTGRRAETIILPLELLRHLKPSEFKTGGEYHLWQKRQLKLLEAGLLLHPSIPVEKSNKFAMKLNEIITSSEIKPIDTGKNSEQMKTLCNCIAALAWRTSDNESPTDACHWADGYPLNVHIYIALLRTIFDTKDQTMVLDEVDELVELMKKTWSTLGINKLVHNMCFTWVLFEQYILTREVEIDLLAASLAMMSEIAHDVKTVDRETVVHVKMLKRALSSIKRWCEKKLLDYHANFNESRLGVMEILLPLASAVTKISEEVPGYATLVQDDGDIATDLTGNRVDLYIRSSLRNAFAKMLDDGNMSSDIIEVQDVTDALLQIAQGTHELAAKERETYSSILKKWHPVAAGAAAVTLHTCYGTLLKQYLTGTSHLLSNEAIEILHTAGKLEKFLVQMAVEDSAECSDGGKAIVREMVPYEVDAIITRSLKQWIQERLKSVKEALQTAKESEVTALSFHFIWH >KZM80106 pep supercontig:ASM162521v1:DCARv2_C10722422:2465:3656:1 gene:DCAR_000308 transcript:KZM80106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHAKQALDEFLEIPVGIAEDIAHNFTQGLEELFRDYATFVSSCGSKQSYVPTLPPLTRCNRDSKIRLLWKRASPCTVTLQDAVDTDNLEGNNNPRPSTSRGTQRLYIRLNSLHYVLSQLQVLEKTLSLSTTIVASPRNRLKNHSRQLHASNYFDHTRSAITSATQYVSQVAAYRLIFLDSNSVFYGGLYIHDVENSRVRPALRILKQNMTLLSAIVVDRVQPLAMKEVMKASFEAFLMVLLAGGASRVFTRPDHLMIEEDFDHLKKLFTCGEGIVSEDTVEKEARAVQGVIDLMGDSTEKLIGEFTHAACETSGIGGAGVGQKLPMPPTSGKWNREDANTILRVLCHRNDRASNHFLKWTFQLPKRR >KZM80104 pep supercontig:ASM162521v1:DCARv2_C10722596:365:3176:-1 gene:DCAR_000309 transcript:KZM80104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNLNNNNSNKKKSESSNGFLPNSFKLISSCIKTVSSNVRSASASVAGSIAADADDLKKDQVLCACFDRLELGPSVGKTLLLLGYSNGFQVLDFEDASNVTELVSRRDDAVTFLQMQPIPAKGNGREGFMDSHPLLLVVASEETRNSGPVQYGRDVSFRHSNEPHVGAAIQSPTAVRFYSLRSHSYVHVLRFRSAVYMVRCSSKIVAVGLAAQVHY >KZM80103 pep supercontig:ASM162521v1:DCARv2_C10723402:55:2262:-1 gene:DCAR_000310 transcript:KZM80103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENDMAKHVDDRPRFRKIVDLAGFYKFESSEAPKQVKAISKGRVSAKLQNFLLDNLPELEAEKKPEFKLGVKDIKLGARIFRKTNIPCICDKYVLELIRGVHKHFDLLTRFPEPCNLDGDRREPTKRIKKSVPLLKYKLFGCTVEDLKKGRTRYRAATLMDTVSVHYTVTRSKDSKLLLDTNTLGDPVQFRVGRGEVIRALDLGICGNDLNFMS >KZM80102 pep supercontig:ASM162521v1:DCARv2_C10723410:556:3471:1 gene:DCAR_000311 transcript:KZM80102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGKPLSVGKTPTVEKSQPKKTDNIQAGSKEEINKNETLQYWFLLDPELVGAIEKGNSSLLHLASERVQYLKPKIDPDLLNEGLKGKEDALFEILRQQCNNGYWDKAQNLKIRNPSRNANEDDLDERETLRFIKANTHLVHPNTLKALHIKDNDSVRMALNQIHYGSLREMKRGVAAPKTKSKTENKHPTPSTLSMEEQREVADLRQKLLEKKASVRRTLKTQPSSALMDFIRSQKNKIEPTIWQEAMEGDEKAIPFALGQIHHKSLKEQQPHPKTKTEDAHHSFKEALTNPHHIPTAERKKSTRQNGMRRPTPKSNTVYLTGFKDSVQLKDLWILFRKSVTVRDIILPRKTGVSGNRYGFVITESAQQAMVLIEKFHKKNINSNVLHLEFAKRKKSMPNHHFSPNPRAPVRESSPKVGDGKAARVQTPKKRDDNASVKTKEDGFAKNTQNKKVDSINHSNVNTPPKVEQCRQLQLEKDENFIAELGTSLFLETAVNMSVGTVESILAGLGFGDASVRGMSQNTFIAFFPDIQNLDELDLDFMSIGFNSVKRVEWDHTIPSRRIDVEFRGLPLIGWTPKNCDQLVAKWGSILSYYTLIDSDGFYRAPKIYMETSHTSCIDEVVSITIEGKTWEIRIVEVTSYNCTSLQDDEEQTESEEGEVVSPNPYDTVWELEVNEHVGKKEEATDIRGEEMVQPKQDHVVQEEKAHESVEKVEEVPEKGDLPSRLSKNPSMEDNTPNQNEAQSENRSAQKKVLDKSIPEHKEEVYTLECKEKEYTNTEDSRIEQEEGEFFSHEDSSGSLINPVTPPAMLPEDSVQEQFTEQEKNQPSVIIAKEEIKDWNLKWKVRDPSSDDATASHSNVSQRSSVLDEETIEYLDSENNVLLSNIDQMRIKSRRGRPSKGKSRKKENKAFKVPRRRKIKGMKMGLPIIMPKRSPQDEARFVYDSALNMGLLPAVPMEVSLQQIRANLE >KZM80101 pep supercontig:ASM162521v1:DCARv2_C10723802:1261:1635:-1 gene:DCAR_000312 transcript:KZM80101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVNPSSSATSGAGSGSGGSSSGGGGGSSGGGPCGACKFLRRKCVAGCIFAPYFDSEQGSAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVHII >KZM80100 pep supercontig:ASM162521v1:DCARv2_C10725482:2452:3262:1 gene:DCAR_000319 transcript:KZM80100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPSALRNANFVGQLVVEFVTDHLYNVSSPTHTLLTPVELYDPGFMVPSKKYRGVRQRHWGSWVSEIRHPIMKKRVWLGTFDTPEEAAKAYDHAATLMSGRTAKTNFPVAVPGQDSNEANDNSYGNGAIPTTPDRGYEDSGSATKSNRAETIIKEKLKKWSERRVAPSLICLMLDTKNSHIGVWQKRAGAICSHSDWISKFDLHNNPDFTKCQNSSIKRETGDEEDRIALQMIEELLN >KZM80099 pep supercontig:ASM162521v1:DCARv2_C10726272:209:3834:-1 gene:DCAR_000320 transcript:KZM80099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNQSSDDEYSHSDDDYNFNDELHFSEPSSSNKVIRKESLLAAQREDLQKVMDLLFVKEHHARTILIHYRWNVEKVFMVFVEKGNELYAEAGITVKEHDGQSLFQNSSNVTCDICIEEVSAIETTTMDCGHCYCNNCWTEHFIVKINEGQSRRVRCMAEKCNEVCDEGKVKSLVSARDPVLAEKFDCFLLQSYIDDNKKVKWCPSIPHCGNAIRVEDDQYCEVECACGLQFCFNCSAEAHSPCSCLIWELWHKKCMDESETVNWITVNTKPCPHCHKPVEKNGGCNRVRCICGQAFWLVLSC >KZM80098 pep supercontig:ASM162521v1:DCARv2_C10726282:1097:4334:-1 gene:DCAR_000321 transcript:KZM80098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYDDIVIINQAEKEGEPTVITVNCPDKTGLGCDLCRIILFFGLSINRGDVSTDGKWCYLVFWVFGKQNTRWGLLKKRLSECCPSCSSASGISFYRTDLEPPKPSEVFLLKFCCHDRRGLLHDVTSVLCELELVIKKVKVSTTPDGRVMDLFFITDTRELLHTKKRKDDTYDSLRAVLGDSLISCDIEKVGLEVAACSQFPTVLPPALTEDMKLEMLDPQKSGPAPSHNVTITMDNSLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFTTKTKTECEVDLFIMQADGKKIVDPSKQGALCSRLRMELYRPLRLALVSRGPDTELLVANPVELSGKGRPLVFYDITLALKMLDTGIFTAEIARHMIGDREWEVYRVLLDEGDGSSISRNMIEEAVRKMLMGWE >KZM80097 pep supercontig:ASM162521v1:DCARv2_C10726352:757:3991:1 gene:DCAR_000322 transcript:KZM80097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLKTDTIWELFISGPVSGGLYGGISTGVKGPFTPSQWMELEHQALIYKYMTANYPVPSNLLNPIKKAMESARFSSSLGANLRPVEWGAFHLGFPNNADPDLGRCRRTDGKKWRCSREAVADRKYCDRHTKRSRSRKPVEGQTGHNVSGTTNTTTKSPPMSSSTSAALVVPASDTFNNFGLSGNRLQQGTPNPTTSPHPKRRSVNIHEEVKEMEGLPMKRPVNTLNESGFLNIKHIPYQESSTMDFGLQCSDSMLNPFQKAPSLIKGKSYRSSAELDKDKYRSEHSLGQFMGDWMKCQSEPQAIPWPETDAQLDRTRLSISLPVGAADFISSTSSLTNENLVCSPIRLSCNLGSAHAGSEMNTFIKDTNQRHANWGTSAGGPLGEVLHSSNNSASDSQNSISP >KZM80096 pep supercontig:ASM162521v1:DCARv2_C10726390:589:3799:-1 gene:DCAR_000323 transcript:KZM80096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAEDELLDLESDPEDAKLSLKMRRREASDDEDDDEQEDDKESLRRRLDSRVSDRDSVSEGAAAEYDGEESDQLDDDEEVEVIECDVKVGGAELDVDGEELEFSRANEAGEEEKEVIDGGDYVEDGEGGENGGDGRNEKEKKENEPFAVPTAGAFYMHDDRFRESGGPGGGGGGGRHRRNPGARNLWESKDDRKWGHDKFEELTTQETHYGEGRKASRGRNRGRGKIQGQEHGYPRGNRPKTFSNDSLNNTPNNQKIAPKNPNLVPKGVRGRGPRRYQSNWSEVPPQNKQHGKSGERGSYATHAKVSERTLTAESDTIPAQKHVFSSLNSASPPFYPSASSSNETISAQKKDQAVPLHRDQQTSVIDESFPMSESNTMRGKDVTDFIGINKLYIDDQAPTHNGKPLTNSAQSPRSRVQGKVHSQMGKMNHQSTPQNQVHRISNQSQHRNGQQAPSQTRQVSLRISGRQLGQRTQASSSPDSALTANSVEYRETRSPPETSKPNTVLVGKGKNIQGNGRGSFPYGGAQVIGASGNLGNTHGDVNFPGMPTFLPVMQFGGQHPGGIGVPAVGMAFPGYVNNPGSGSSEMTW >KZM80095 pep supercontig:ASM162521v1:DCARv2_C10726508:540:2776:-1 gene:DCAR_000325 transcript:KZM80095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVRFENSLLSSPFPSPNVAALLKIKIISWSQETGFPVSLCVRVADRTFNLHKFPLISKSGYFARTLGESNEIKLPKDFPGGAETFEMISLFIYGSSSLVDPLNVAALRCAAEFLEMTEDYCSGNLCQRFDIYLNQVVLQSWDDTLIVLQKCQTLLPWAEDLLIVSRCIESLAFMSCMEILDPERRRNQPVVTLEALSSRAWSCQKVKDIVRQDLWIKDLIALPFGFFKRIIGSLRRQGMREKYVSPIVLFYADKWILSNKTRQSSDDTSSKDLTVFRGVISLLPTGEKASKLIPVGFYFSLLSKSLQFGRATNKIQAKLSDQIASILHAAYLDDFLFPASGSDLISSSTELATMENIFSTYVSSNMDSDYIHSLNNSVVAELWDAYLKNIATDPKMSSKRIMDLVETVPMSSRQNHDHLYHVLDTFLQVHKDLTQEEKARVCKDLKCQKLSPEVCIQAVQNDLMPLRLVVQALFVQQLNTHEALKECSDSFRYEPHSKEFSGSLSRSQTLAESPSYANGETGSRGLNLFPQQKDSALQRSELSRKDYESTSSRIQSLEQELTALKTRLEMQIISKARNSRSSRSRNLESGQKRNQMRSCTGSVNFSSQWKHASRLLKLFKRLSLFSRRAHRKANSDLGPQAM >KZM80094 pep supercontig:ASM162521v1:DCARv2_C10726574:1747:3057:-1 gene:DCAR_000326 transcript:KZM80094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEHRTRATQKDLGFQHPYFYQRKRNYGSCSDVALHYSMVQPNRSTCDLSAIKLSVSPVFVQAPQEKGIKGFLTDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGDCFSRTIKDEGMVSLWRGNTANVIRYFPTQALNFAFKDYFKSLFNFKKDRDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLVDVYKKTLASDGIAGLYRGFTISCVGIIVYRGLYFGMYDSLKPVLLTGKMQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKGSMDALSQIMKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQVLVLGKKYGSGGA >KZM80093 pep supercontig:ASM162521v1:DCARv2_C10727890:245:1620:1 gene:DCAR_000330 transcript:KZM80093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISLRCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASVAYKRAVLNAIDYLSRFGYTKEQVYILLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKASKLPIGPRLIRNSNIPQCTYDGNLPTTKNPCAST >KZM80092 pep supercontig:ASM162521v1:DCARv2_C10728140:1151:3559:-1 gene:DCAR_000332 transcript:KZM80092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFHLFAFHVALFNYILTELKVAFWPFQLDQDKSLFLRAAEAANCSRRSRNVNESASELASVKAAQHRLERGVWTSVRFGDMRRALSACERLILLNTDPKELRDYSVLLYHSGFYEESLHYLKLYQDAEKSSNSTSDLEKNAVDMLLIRLNLILMEDGWSSPPHIRNFLHNSDPW >KZM80091 pep supercontig:ASM162521v1:DCARv2_C10728150:197:465:-1 gene:DCAR_000333 transcript:KZM80091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSSDFERMLFFEHARKAAESSYNEDPLDVEVGRSFTGVIAI >KZM80090 pep supercontig:ASM162521v1:DCARv2_C10728216:1857:3553:1 gene:DCAR_000334 transcript:KZM80090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMEVPCDIASKDKQSAAQEEGSGTQRSSTSSKMINFELSNGHLNGPHVPVSVDDDDYLEYSFDDMPDIPSNVDEEERMFMEAIIESLKDLEMRQPQSDEQQSSVSNKPSLPSGRDDTTAESPTANTSSPTVNYITSELQSPDSSTSSYQTPVNQESMELVSTGGSARSDNVTSFQTSSETDGTKATVTVVKNPANNIMDGLLRRWDLNFFKSR >KZM80089 pep supercontig:ASM162521v1:DCARv2_C10728338:1818:4118:-1 gene:DCAR_000337 transcript:KZM80089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVDRDNHSKGHNLLNKVENVTTTAGRAMVLHLFKSGSTWLRGSPPNRDSKTLEFSNPSIRQYLRRVLNIIVAPFQQQIRKKQFFIRSALKLWPMIILKF >KZM80088 pep supercontig:ASM162521v1:DCARv2_C10729808:1394:3970:1 gene:DCAR_000349 transcript:KZM80088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRREHVVVTEMVQVGCKWKLKGFFAHRHCRPKKNLLRKNQNQEVTGAEHQRGKSSALGSNLQGIKSAPKNKGEGIDDEINVPKLIQESDDMHKFALEILDSNNELFLKVLKDPNSLLVKRIRNARNLQQAKKETVKLLYENKLVECGNNAISIKSQKPTHHTNKSLLERIKLQYGCPSTDAEVPSTSNSIVLLKPRQTKHERDIFRKHRNLESSNRATGGKKLHLPTVSSFNQRDIEAKKHLSEMLRNAENVEHYTDKQGPRTLKSILSSPLSSPVHEYSTASNQEKGMDASVCPQRIQFSSCSNYEFARDDNSSPLQNSEVAASSTLMKLTGDSPIVRFTKEITEPVYSSDGLSYEAYANDMEMNDTIISEGLDISEAACAEQSSTFFECPIQDFWLENKPLNSSSVVFSSNPWTEREEHPSPNSVLEALFTKDFTGLSSNTNCSAISHTRPHHTDGKEASLVKDHIRNLLQTTELHWEELSKKSHPSIQLLNISSLVEHTLFLDFIKDILLELHQCHFDFYPRVPSIRQNTLASLVLAKNMMEEFMEEIQWYLVPPAMPRTLEDLAIKDTKKDEIWSGRKLETEEVVTQLTDCMLEELIMQTTYVGEK >KZM80087 pep supercontig:ASM162521v1:DCARv2_C10730006:2951:4598:-1 gene:DCAR_000350 transcript:KZM80087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNLVRDDSDYDSSSSSITVPESSRSWMTNLSFGSRRSSISESSFSNSFKPHKANQAAWEAMNRLRAAEKPGGSVGLHNFRLLRRLGSGDLGNVYLCQIRNVSAFGLKFPQCYYAMKVVDREALAIRNKLQRAEMEKEILGLLDHPFLPTLYAEFEASHYSCFVMEFCPGGDLHAARQRQPGKRFSISAAMFYAAETLLALEYLHMMGVVYRDLKPENVLVREDGHIMLSDFDLSLKCDVVPKLIRPKPDQQSVDNRVLKHTTSSVRSCAITIPMPQCVMPMQPVLTCFSKKTKTTTITEQIGVPAVTEYSPELVAEPINARSKSFVGTHEYLAPEVISGQGHGSAVDWWTFGVFLYELLYGVTPFKGETNEKTLINILKQPLGFPRLGFSTSKEYEETVKVQDLISKLLIKNPKKRIGSLKGSVEIKRHEFFKGVNWALIRSVRPPEVPSDVQKIKSRGVPVAVVPKLSKKQREAPYQIPHHFDYF >KZM80086 pep supercontig:ASM162521v1:DCARv2_C10730634:4220:4470:1 gene:DCAR_000351 transcript:KZM80086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVPPLGHMTLLGSEIEDIEALGAAGFDDYEEVFNGVKDSEGENGEDREEDNTV >KZM80085 pep supercontig:ASM162521v1:DCARv2_C10731454:682:2963:1 gene:DCAR_000353 transcript:KZM80085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDIMKSKCKVENMGRVNYGPYMFDRKGILSSVYLDGQPIHKWKMLSVPFQKIPEVKKISPILKDALSDNIKISSHRKLKINLDSISKQPAFYVGSFAVGEVHDSFISFTGWGKGIAFVNGFNIGRYWPTKGPQCNLYVPAPVLHRGQNVVVILELESPNPDLLLSSVDDPDFTCGTKSSKVHQL >KZM80084 pep supercontig:ASM162521v1:DCARv2_C10731478:1101:4693:1 gene:DCAR_000354 transcript:KZM80084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFKDKVSDKLSHLLSSSSSSSTPLEPQATHNKKEGKSLSSIFSSILPSTSLNGFRSNKQHHDIKPIQSQPVRWKSKKFSPEKIPSEKYEECEYKFENERTLTGQYNEKGKGSVMGQALNEEYFVTPAGNEDQSSRRSTSDSDTFEDATDQRFSEKSMPKLTQESLFLSVNLYDFFQSALPNIVKGCEWVLLYSTAKDGISLRTLIRKSADIPGPCLLITGDRQGAVFGGLLEGPLRPTAKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYFYLCLNDLLALGGGGEFALRLDGDLLSGTSGPCDTFGNQCLAHNQEFELKNVEVGLQANIIASFGFDIT >KZM80083 pep supercontig:ASM162521v1:DCARv2_C10732294:1072:4444:-1 gene:DCAR_000358 transcript:KZM80083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHLSLLLLSLFSFYFLSTLSLNPEVDYLYQIKLTFDDPDNVLASWTANDTSPCHWYGVSCDPITKLVTSLDLSDSNLFGEFPMLACKLPHLKSISLYNNSINSTLSEQVGSCGSLEYLNLAQNLLTGMLPAGLSRIGGLKVLDLSGNNFSGDIPASFGEFRSLEAISLVENLLNGVVPGFLGNIWSLKQLNLSYNPFLPGRIPPEFGNLTNLEVLWLTGCNLMGEIPDSLGRLSNLIDLDLAINNLRGAVPSSLTELTRVVQIELYNNSLSGELPRFGWGNMKALRLLDASMNELTGTIPDELCELPLESLNLYENDLQGSVPVGIAKSVNLYELRLFGNRFTGELPRDLGKNSPMLWMDVSNNEFSGELPGSLCEKGVFEELLVISNKFSGEIPVGLAKCRSLTRVRLGYNRFSGEVPEGFWGLPHVYFLELAGNSFSGAIAKTIAGASNLSSLSIFKNNFSGSLPEEIGFLENLKDFAGSNNWFSGSLPASIVNLGQLERLDLHNNVFSGKLPSEIHSWKKMNELNLANNDFSGRIPEEIGSLSGLNYLDLSGNKFSGAIPSGLQNLKLNQFNLSSNRLSGDIPALYAKKMYRSSFLGNPKLCGEIDGLCDGRARAKNIGYLWMIRSIFILAGLVLIVGIAWFYWRYMNFKKVKSAIDKSKWTLMSFHKLGFSEYEILGALDEDNVIGTGASGKVYKVVLSNGDAVAVKKLWGGSKLVDENVDVEKEYAYTLRVNEKSDTYSFGVVILELVTGRLPVDPEFGEKDLVKWVCTTLDQKGIDHVLDPKLDSCFKEEICKLLNVGLLCTSPLPINRPSMRRVVKLLQEIGGFEGQMKSVNKDGKLTPYYYEDASDQGSVA >KZM80081 pep supercontig:ASM162521v1:DCARv2_C10732516:14:709:1 gene:DCAR_000360 transcript:KZM80081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPNCLFRMGGAAMLLSNKRRERSRAKYRLVRVVRTHKGGDDKAFRCVYEEEDAQGKCGINLSKDLMAIAAEALKSNITTIGPLVLPASEQLLFLFTLIGRKIFNPKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMALHRFGNTSSSSLWYEMSYIEAKGRMKKGDRVWQIAFGSGFKCNSAVWKCNRTVKTPADGPWQDCIDRYPVHIPEVVKL >KZM80082 pep supercontig:ASM162521v1:DCARv2_C10732516:1426:2434:-1 gene:DCAR_000361 transcript:KZM80082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSCNGCRALRKGCSDDCSIKPCLQWIKSPDSQAHATVFLAKFYGRAGLLNLVNTGPTHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGNWQLCQDAVEAVLKGSPITKIASETAETNDGPPLKAYDIRHIPKDDNSSGSNQLHRVRNRCRFKKSGAKTQASSGWVRPEDEYTRSPSHESTLSHLSEVAINVEASKNLACADTAEPEPEGSAHFEADGEIELELTLGLSI >KZM80080 pep supercontig:ASM162521v1:DCARv2_C10732534:261:3332:-1 gene:DCAR_000362 transcript:KZM80080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAVVRRLRDSDSAVRVACVAAVSAMASEITKPPFSSLSKPLIDAILHEQDSNLQTGSALCLAAAIEAAPDPEPLQLQKLLPKLLKLSKSDGFRAKAALLSVIGSVVSAGGAQSSGVLKCLIQCLVEFLSSEDWAVRKAAAEALLKLAMTEKCCLLEYKSSCLTSLESRRFDKVKVVRDTMNQAWESWKTISSEEDLLSPQLSRGNCSELNSSPVSEGPRQIEIETHKAKKIIPRNQLPPSDVTLKPIASKTSQSKPKLQFKKTSDHKTEIAEPQQTNLKVVSGDESRIIDTTSPGSVDDGCCRIVKQDAKRVLFRKSHVEKSHKVGSLRSMSRVVPCIENETFEPNGFDEFAYEDSYKNHKEAENLSLIQEQLLHIENQQSSLFALLQRYIGSSQSGMNSLETRVSGLEMALDEISQNIAVSSGNVSDTDSAGNTCCMLPRAEFLSPKFWRKTEGQYSSSRVSFSGRKQLPYVVSDLPNKDADAEKVKQDNSRNQQQNRNNYPRPSRIPRNIIVSGCGELDGGSVENFARY >KZM80079 pep supercontig:ASM162521v1:DCARv2_C10732918:1706:3816:-1 gene:DCAR_000363 transcript:KZM80079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALVVLEWLLMFMLFFDASFSYLITKFARLCGLPIPCLLCSRLDHVIGKEKKGFYWDLICHNHKLEISSRVYCHVHDKLVDVQELCENCLFSCATVNKSNAETYRVLVGKLGTNPPTGIKEILVDSNCRYNFSKTKICSCCQKQWISGGITQNKLHTAPFSPGANASEHNEPLLVNTEHHMDEMKKTIDESAMSCRTSCLKNISCDPLSHVEYSKAEITSDGASEIPHYDDRALIHETDSLIVSETVCCVDNDLSARHLVSPSKPSDLDSDALLVNHGLKEFKPQDVNHKFDLPIPSDLITFDEVPPSNVAKLHKTASDELISVHEVSSSNYTSAPVKVSGEPLMIPEADEHDQENAPESEVNAKVESEPLAETEARLGEISTLTNTGSIMPSNLDLGDAYKLAVGNTRRQLSGKLLEQISMKDSTKLSEDLKMLLSQMSAARSLELPLYDISPRVSGNFDDIRSTDSSLGTGMHLLQKRISLERNESGLSIDGSIVGDIEGESVVDRLKRQVEHDRKLLGALYKELEEERNASAVATNQAMAMITRLQEEKSALHMEALQCLRMMEEQAEYDVEALQKANDLIADKEKEIKDLEAAVELYREKLGNESLVHFVTEPYSDIKTEDLGVENTQLA >KZM80077 pep supercontig:ASM162521v1:DCARv2_C10734080:2085:3534:-1 gene:DCAR_000373 transcript:KZM80077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQFLSRFNATDFLERSRNGRIVFAGDSIGRNQWESLICMLAQGVSNISTIYEEHGIPISKHKGFLSMRFQDYNLTVEYYREPFLVVIGHLPKNAPEGVRGFIKVDKLHWFSTRWTTADVLVFNTGHWWNEDKTVKMGFYFGENESINMTMDITEAFQRSIETWKSWVMRSLDPEASHVFFRSYSPVHYRDGTWNEGGHCDSNTSPETNYSKLEPETSNNLFVSNVIKQIENKRRKAYFLNITYLTELRNDGHPSFHREPGTPVDAPQDCSHWCLPGIPDTWNQIIYAHLLSKGFRTNLK >KZM80078 pep supercontig:ASM162521v1:DCARv2_C10734080:4617:4775:1 gene:DCAR_000374 transcript:KZM80078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIYSHSQLKQLHPEISAFCNKRVNSFSFEINIKSFNSPKITLMKHIDMKL >KZM80076 pep supercontig:ASM162521v1:DCARv2_C10734672:958:1158:-1 gene:DCAR_000376 transcript:KZM80076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVCAAMRCRDGDEEAKRMSPMPGGAVGVGTAFTIFLIILLHIFIINSEPTSIAFLPGPHVRYLCF >KZM80075 pep supercontig:ASM162521v1:DCARv2_C10734814:2749:5185:-1 gene:DCAR_000377 transcript:KZM80075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDVDVSKQIEQMVRFIKQEAQEKANEISVSAEEEFNIEKLQIVEAEKKKIRQEFERKHKQVEVRKKIEYSMQLNASRIKVLQAQDDLVASMKDAASKELLNVGHHSFFHHHNYDRLMKALVVQSLLRLKEPAVLLRCREEDIAVVEDVLSSAVEEYARKTNVHEPEVIIDMVHLPPPPSSHHAHGPSCSGGIVMASRDGKIVIENTLDARLDVVFRKKLPEVYCILTFPLQ >KZM80074 pep supercontig:ASM162521v1:DCARv2_C10735018:4744:5301:-1 gene:DCAR_000379 transcript:KZM80074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHQYRIAPSSATLDVKKNDFQLLLEGRKDDLIPKTNETISPTSPLLDGIKCPSKAGRNDQIITSLLPNEQKPDYGIPEKTPCEVLTSADIDAELRKMICMTPEEAEYVALQAVKEAEAAIAAAEQAAKEAEEAEHEAEAAQAFAEASRLAWKRRKIRW >KZM80073 pep supercontig:ASM162521v1:DCARv2_C10735018:1988:2428:-1 gene:DCAR_000378 transcript:KZM80073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNPRVTSSRRKNRKAHFTAPSSVRRVLMSAPLSTDLRTKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGVNPSKVVITKLRLDKDRKSLLERKAKGRAVADKEKGTKFTTEDIMQSID >KZM80072 pep supercontig:ASM162521v1:DCARv2_C10735236:4928:5215:-1 gene:DCAR_000380 transcript:KZM80072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTETAPETVLIEEYESLRLRCERLERDKAWMEEAMRTVALGASPTLIARLRSLADRLDEEMSTSRVDPRSLEEYQHIMQIVSHEIRQVLDRL >KZM80071 pep supercontig:ASM162521v1:DCARv2_C10735702:2031:3853:-1 gene:DCAR_000385 transcript:KZM80071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKALKSSSNPLKLRKGAWGSDEDALLRKCIEKYGEGKWHLVPRRAGLNRCRKSCRLRWLNYLRPTIKRGDFAADEVDLMMRLHKLLGNRWSLIAGRLPGRTANDVKNFWNTNVQKKLTTSSNHGQTEVVKAQEVVNKNQTSNAGTSAATTHVVVKPLPRTLSKGTSVPCYNPNAIGHKLSPWPGGMVYNKISSSNNNNNSCMAMNKTLSPAAALPDQDGTEWWKNLFAEIGIQGQEEGSLEGHLVASSSGSENLEAERGLIRKMDESFAPATEAGLVGDDGRSCWSDIWDLLNLDYS >KZM80070 pep supercontig:ASM162521v1:DCARv2_C10735912:1829:5375:-1 gene:DCAR_000386 transcript:KZM80070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCYEVYPEGKMSQHFASLKPGDVLEVKGPIEKLKYTPNMKKHIGMIAGGSGITPMLQIIEAILKNPDDNTKVGWISLIYANVSPDDILLKKKLDVLEESHPNLKIFYTVDNPSSDWRGGRGYISKDMALKGLPGPNDDTLVLVCGPPGMVKHISGEKAKDWTQGELTGILKELGYTEDMVYKF >KZM80069 pep supercontig:ASM162521v1:DCARv2_C10736100:819:3454:-1 gene:DCAR_000388 transcript:KZM80069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPELPVCIYAEPPILIPPSSSTPNETLYLSNLDDQKFLRFSIKYLYLFKKSVSIDILKKSLSRILVDYYPLAGRLRKSRQDDEDDDHKLEVECNGEGAVFVEAFMDLCADEFLRFSDKPNRSWRKLLYRVEAQSFLDIAPLVVQVTNLRCGGMILCTAISHCLCDGIGTSQFLHAWAHITTNHTGKLPITPSHSRHVLKPQQINYEITLTNPGFTKNTLFNNDIISQHFRSQPLVPSSLTFTASHVSHLKRQCSPMLKCTTFEIVASHTWRAWVKSLDLSPALHVKLLFSVNIRKRLEPEMPQGYYGNGFVLACAETTVKELVSANLNNAVKLVQRAKACVTDEYARSVVNILEDKTVKTDLSASLVISQWSKLGLEDVDFGQGKPLHMGPITADIYCLFLPVIGDFHAVRVLVSMPESVVNKFEYYMNAFSGWEVNEEADANKRLESQEFT >KZM80068 pep supercontig:ASM162521v1:DCARv2_C10736140:388:4972:-1 gene:DCAR_000389 transcript:KZM80068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIILSAFKVAKLGTGADLPYRMAVHPNGEGLICSMPQSCRWFEWDAVKSDDGHGWGLKSSEKVLNQLEDVGQQLALTFDDEGSVLAAGGEDGKLRVFKWPSMDSIIDESNAHASVKDLSFSPDGKFLASVGSSGPGRIWEIASATAIAALQKVKDERFGFCRFSHSIENHQVLYVTAMQGKGGSIIKWNTTSWKRISSKHITSDSITAFNVSADGKHLVVGTMEGDILVLHSSSMRVHTVVKKAHLGIVTSLMFSHDSRALLSTSFDSSARVTLVADKKERGTSLWIILLIILLAMAMYYTKLVEMLP >KZM80067 pep supercontig:ASM162521v1:DCARv2_C10736248:639:4574:-1 gene:DCAR_000390 transcript:KZM80067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLCCLPILECVYCLACARWVWKKLLYTAGQESEHWGLATATEFESIPRICRFIQAVYEDDLRNPIWAPPGGYGMNPDWVVLKKDYDETDGQVSPYMIYLDHERADIILAVRGLHMAKENDYLVLLDNKLGQKSFDGGYVHNGLLRAAEWVFAAEVETLRELVLKNPNYTLTLAGHSLGAGVVTLLTIIAIKNQEKLGNIRRNQIRCFAYAPARSMSLNLAVRYADVINSIVLQDDFLPRTTTASEVLLKSIFCLPCLLCVMCLKDTCTSEEKRLRDPRRLFAPGRLYHIIVRKPFRWGTIPPIVRTAVPVDGRFEHIAISCNMLSDHSIILIERESQRAIDVMLENDRNMDIPPKQKMERSSSIAKEHSEEHKEALRRAVALDIPQAYSPSAYGTFLGNEQTENFDSPPGETSSLFSKSRKSWSELAGRLFDTDEYGHSVLKP >KZM80066 pep supercontig:ASM162521v1:DCARv2_C10736952:4017:4493:-1 gene:DCAR_000391 transcript:KZM80066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSWSAIAARLPGRTDNEIKNRWHSHLKKRIANNVVEVTEPKIEQIDSTTDTLMTCEYPNDFVAPELPKLEEIYEDDPIPSYASNNIGASSISNNNIAPPAYVSSADPHISFWREPYSLEDVYGIDQCATYVDPEFGMPRAEDWFGEPFYPYYEVLY >KZM80065 pep supercontig:ASM162521v1:DCARv2_C10737182:3942:5163:1 gene:DCAR_000394 transcript:KZM80065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNNTSNNSRGASSFLYGIGGTSSSSSAHQLPLVMNGFHLPNDESSRFHHHPMVKMEAGNHNSVHHRFHYPSAIRSHQENIDQSEGAAAEAIKAKIIAHPQYSSLLQAYMDCQKVGAPAEVMARLAAIRQDFEARQQASVNCGEASKDPELDQFMEAYYDMLVKYREELTRPLQEAMEFMQRIETQLNMLSTSPRQIFNPELAVEVKRCGDGV >KZM80064 pep supercontig:ASM162521v1:DCARv2_C10738860:1156:4937:1 gene:DCAR_000397 transcript:KZM80064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNRVRLLVLVVTVLCSLVAGTHEANRKFADSFLGNGITWNNIEDIDEYTAEQVWRQCREELLEFAKAYEDSDLFILPALNKVGTDVKLLKKRILHKAIADLPRVEDTLLNCLNKELSQSVPSETNSANINWHKLFYDWPLVPRRYLQGNTHTEHHHKHHHHKHEHNERKPTAAPSPKPPSRHNESSAPATPQFASTTLTIKPNRSLPPNPLHDASHGPADITSPESTASVLQISAPSQTKNTQPPLAALKRVQKENISPQSSATKKRISPSASPTKISKSPAPSSPGKSKSPAPAPPSPGKSKSPKYSPPGKGNAPNHSPNKIKPPASSPAKHRSEHLAPSASKPKDKSKKSDDKSAVIAGCSVAGVIVVALLLLCCIKSRREKLGARDGQRDDKPLLNLYSSDLSAGSSRMPNAIGNTDKTGSNSSNNGSNLNIGSSNRGSEGQSLGASGEGKLPLPPGREAPPSPAAHPPPTPPPPPAPKPPASPPQPPPQPAARPPPQPPKPGNLPKPAPPGPRLPPKSAAESSEDKTKLKPFFWDKVLANPDQQMVWHDIKAGSFQCNEDLMESLFGYKAAQEQQKIERKKEHPTPFEVPKYIQIIDPRKAQNLSILLRALNVTTDEVCEAILEGNELPAELIQTLLKMAPTTDEELKLRLFNGDRSQLGPAEKFLKTMVDIPFAFKRLESLLFMCTVQEEVASVKHSFANIEVACNEIRSSRVFLKLLEAVLKTGNRMNVGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVLEIIRTEGIRAARKVRRSQSLTSVKTEDILEDSTKETAEYVRSLGLEVVSNLSSELEHVKKAAFVDGDTLKSTVLKLGQSLKQSKDFLKNEMGSLDEESEFRVTLASFVQQAEDDIIWLLEEEKKISTLVKSTSDYFHGTAGKDEGLRLFVIVRDFLAMVDKVCDGVKKSSANSIKTTKKMTSNVSSTSQASPQTPSPTLPKNLFPSISDHRENNSESSDFSSSDDES >KZM80063 pep supercontig:ASM162521v1:DCARv2_C10739388:3568:5469:-1 gene:DCAR_000400 transcript:KZM80063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFRSFFTRSSKPLPVETIFKLPHPLPTWPPGDGFASGIIDLGGLQVCQVTSFNKIWCTLEGGPDDLGATFYEPSSIPEGFFMLTSYSQSNNKPLFGWVLVAKDVSSDESESSTKILEKPVDYTLVWTSETKKNKLGDNGYIWLPMPPEGYRSVGHVVTTSSEKPSVDKIRCVRADFTEESEIDSWIWGLEKEVDPDGLNLYGSKPAHRGPQAVGVPIGSFLVQNSGEAKLLNCLKNVQPILSAMPNLDQIHALIKCYSPLVYFHPDEEYLPSSLCWFFENGALLYTKGEETTSCRVEHPHGSNLPQGGTNDGVHWLCQPEDENFKKGNLASAESYVNVKPMLGGTFTDIALWIFYPFNGPARLKIGALNVSLGKIGQHEGDWEHVTLRISNFTGELRSVYLSTHAKGMWVSASELEYENGNKPVVYSSLHGHALYPKPGVVLQGTGAIGIRNDTGKSSNVMDTGEKFVIVSGEHLSIVEPPWLNYCREWGARISYDFAAEVEKVKKKLPQRLRGSFESVVKSLPNEMLGEVGPTGPKMKVSWNGDERV >KZM80062 pep supercontig:ASM162521v1:DCARv2_C10740608:2963:6097:1 gene:DCAR_000407 transcript:KZM80062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDMKDNNPASKMINRNWVLKRKRGKHPYSPDTSNGIRTNVVPSEPAENASSELNLKTEITSRQFPKKKKGNDGYFYECVVCDLGGNLLCCDSCPRTYHLQCLDPPLKRIPNGKWQCPKCSVKSDSLDSRNNSDSVSKRARTKLTLKKSDADNGSVDMDKMSLILGSSNLRKKRSSGKGKSSSSQPLQSIGVKLVPVDVISSNKPTHLFSDGSAEGSSSILKVDNDNLPELSPTAALKQTESVSLVEASQASIVDFEKNEGTSEKKSGQYNSVGSPAKEVAPVLDASTRKDRKRKFKFYVGSNQKKPIIGENSCAINILEKQEVEENSASRQTKKLHLKRGFKEKSFSSGASKSQKKHESKENSDGPRTTRSHRKRKDVSLVAAASLFKNDDATKIEVPLKDEVSRVLSVDYAHYAGGIE >KZM80061 pep supercontig:ASM162521v1:DCARv2_C10741452:1766:5508:1 gene:DCAR_000414 transcript:KZM80061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARKPLGRSTILFMVLLVIGSFFGTYTLITLIFENRTPNSLKEGVSVIDPVIEMPQTVKKTEHGKMLFHIALTATDAAYSKWQCRIMYYWYKKQKDLPGSDMGKFTRILHSGKSDNLVNEIPTYVVDPLPTGLDRGYIVLNRPWAFVQWLEQATIEEEYILMAEPDHIFLRPLPNLGYGELPAAFPFFYIKPAENEKILRKFFPVEKGPVTNIDPIGNSPVIIRKDLLEKIAPTWMNVSLRMKDNPESDKTFGWVLEMYAYAVASALHGVQHILRKDFMLQPPWDLETGKRFILHYTYGCDYNMKGELTYGKIGEWRFDKRSYLQGPPPKNLPLPPPGVPESVGTLVKMVNEATANIPNWES >KZM80060 pep supercontig:ASM162521v1:DCARv2_C10742002:2904:6008:1 gene:DCAR_000420 transcript:KZM80060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGHRRPSKWDLEEVSRDYHHSRDPIGPGSERPSNRESQPRWHSREGNYKVARDSLDDTVLWDGESTMSPGLDDWRQQKRKYSYESSRGISRRSRSRSKSPGHRSERPGVNDRFGRIASFDHRNHEPCRISTVPCKFFASGNCRNGKSCRFSHDDQTRDSFSNYKSNDYRQGVDSRANMSPLREGSKWSGSASHFNLPKHCGNKNESLGEQRVMRSSVGGSAPGDNRLMAHEMDIDEATTNNQSNDLGLTKPSSSVMGASKSRGTALHGVDIEGSTTHTEGHLPDLIGTSRKPDVLDTVKSEATSSNQCVMSTEKFSDLTRSLAQLLGDGKQLPELYAALNASNALGFMQSSVADPDVFPASSSSQYDPVNDSMELIKSVISEQPDGIALQSNLPFTGKPSVDGLNGTHCKDVELNDNSHQSSEKHEFGDCENEEQGVVKAEDKKEGQEGSKLSDNERDDKADGGDKADEKKKNSDGKNLRAFKFSLAEFVKELLKPTWKAGKLNKESHKTIVKKVVDKVTSSVQGSQIPQTQEKIDSYLSASKPKLSKLIQAYVDKVQKENPKVA >KZM80059 pep supercontig:ASM162521v1:DCARv2_C10742394:869:5803:-1 gene:DCAR_000426 transcript:KZM80059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSLEKATWSISNRCPIQGLTVGRNFVSSPSKRELRVIACVKTSDSAIVAKSEDSCSQGSVEKTPFRGATFPGGFEALVKEVCDETQIAELKLKIGDFEMHLKRNIESPVAVAPPVAPAPVPTAPKTESTPASSAPSPTKASPVKTNPFTNIPVEKSRKLAALEASGASGYVLVASPTVGSFRKGRTLKGKKQPPSCKEGDLIKEGQVIGFLDQFGTELPVKKLLVMEIPLLLSCHHFMESGIGMCKTVLATSGMGYTNP >KZM80057 pep supercontig:ASM162521v1:DCARv2_C10742538:2657:3503:1 gene:DCAR_000427 transcript:KZM80057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTLLFVASILLSSFALSCNASGTFSALQKSILLTSSPKSGQVLKAGEANITVTWSFNKTYPAGTDSAYKTVKVKLCYAPISQKDRGWRKTKDELKKDRTCQHKIVKRDYKPESDSVTWTVERDIPTATYFVRAYVFNAEEKEVAYGQSTNDGKKTNLFEVEAITGRHVSLDIASACFSVFSIVSLAGFFYLEKRKANASQQK >KZM80058 pep supercontig:ASM162521v1:DCARv2_C10742538:5666:6564:1 gene:DCAR_000428 transcript:KZM80058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTLLFVASILLSSFALSCYASGTFSALQKSILLTSSPKSGQVLKAGEANITVTWSFNKTYPAGTDSAYKTVKVKLCYAPISQKDRGWRKTKDELKKDRTCQHKIVKRDYKPETDSVTWTVERDIPTATYFVRAYVYNAEEKEVAYGQSTNDGKKTNLFEVEAITGRHVSLDIASVCFSVFSIVSLAGFFYLEKRKGAASQQK >KZM80056 pep supercontig:ASM162521v1:DCARv2_C10743436:1336:3294:1 gene:DCAR_000433 transcript:KZM80056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCYPTVSEEYKVAVDKCRRKLRGFIAEKNCAPLMLRLAWHSAGTYDVKTKTGGPFGTMRKKLEQSHAANNGLDIAVRLLEPFKEQFPIISYGDLYQLAGVVAVEITGGPDVPFHPGRPDKEEPPQEGRLPNATLGNDHLRNVFVETMGLCDKDIVTLSGGHTLGRAHKERSGFEGPWTTNPLIFDNSYFKELLTGEKEGLLQLPTDKSLLEDPVFRPLVDKYAADEDAFFADYAESHMKLSELGFAEA >KZM80055 pep supercontig:ASM162521v1:DCARv2_C10743452:5645:7105:1 gene:DCAR_000434 transcript:KZM80055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKFWLKEDDKFFVEGILGTEAFKYLVCLASNDVLSEFSTPAGYLGVEQELCKILEEYSGWNYAIYWQVCSLKSGKSALIWGDGQCSQTNVVLSGDTPFQGDEKKRVLELLQSCFGKTRNDTIVAPLESVSDMDMFYRASMYFSYPFDKPSIPSQSFNSSRSIWVSDMKDTLEHYESRSYLAKMARLETVIFVPLKSGVVEMGSTHSIPQDEVLINKVKSLFAKPHPTRAKLLPKIFGRQISIGGGEPVASTGIPPNIEKDLGFNSYSSKLQIGDSHGKQQHKPSEKKFFPQMIEGNFSILDSQISSSDSLLQVDENKPRKRGRKPVNGREEQLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITDLQSRIVFLESEKGTATDKKIQCIAPKIDLQTTAEHTLVVRVSCPLNSHPVSGIIKMLRENDFEIKDCSVSTSCETNNNEIAHTFSIQTHGGGAEHLKEKLTAAFLK >KZM80054 pep supercontig:ASM162521v1:DCARv2_C10744318:1294:4326:-1 gene:DCAR_000436 transcript:KZM80054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNVIKEIPLNEKEGTNCVGATIENCNINNKENTIYAQSKKVDKKSVGGWGPAFLLLANQGLATLAFFGVAVNLVLFLTRVLGQDTASAANNVSKWTGTVYLCSLIGAFLSDSYWGRYMTSAIFQLIFVLGLVLLSLSSWIFLVKPSGCGDGKKSCMPTSSVGIGLFYLAIYLVAFGYGGHQPSLATFGADQFDEKNPKQKKSKAVFFCYFYFALNVGSLFSNTILVYFEDLGMWTMGFWVSTASAVIALVSYLMGTKCYRYVKPSGNPLPRVAQVFVAAARKRKLKLSDGAELYEVEGSESAIKGSRKILHTDEFKCLDKAAVVEEEDQNSSIVNPWRLCTVTQVEEAKCVIRLLPIWLCTIIYSVVFTQMASLFVEQGEVMKLEFGNFRIPAASISSFDICSVLVCTGIYRQILVPLAGRLSSNPKGLTELQRMGIGLVIGMLAMIAAGITELERLKRVPPGKDSSTLSVFWQIPQYVLVGASEVFMYVGQLEFFSEQAPDGIKSFGSSLCMASISLGNYGSSMLVIMVMEITAKGDNPGWIPEDLNNGHLDRFYFLIAGLVVIDFAIYVYCAKWYKSTDWDGSQSVVPKDGQVEDGAVADV >KZM80053 pep supercontig:ASM162521v1:DCARv2_C10744762:1759:5339:1 gene:DCAR_000439 transcript:KZM80053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLYLSEPSWDHIEDDASVKQRISLLTKLETIILSLLSYGGRSEARLWLCNTLSGMSLINPRNQQEVFVKLLRSKPRKLVLASQLLQMIFEKRPERVGAILAKNCHMLEIFFRGHPDRIIQWFSSFSGSGDLQHRKGAKALSQFAFVNRDLCWEELEWKGKHGQSPAVVATKPHYFLDIDIQQTVNNFLEYVPEFWSSREFAESLEGGEILFMDVKFFVQLFLDFMYKDDLKEMWEVVDEFLMDESFSSLCQHLLIVLEERDLLLFLKLSRKVLKLKREHIETGSSFYWFEMILSKFSISDSMDELLLLNAVMNKGRQLLRLICEEEAHEEKMQIQDIVRQYCRQGKAYGNKPYLVITAMPPQHYFFYKVCSCSDV >KZM80052 pep supercontig:ASM162521v1:DCARv2_C10746510:453:7342:-1 gene:DCAR_000446 transcript:KZM80052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQVGEGTMIGNGVVGILSESTNKWERRVPLTPSHCARLLHGGKGQTGVARIIVQPSTKRIHHDALYEDVGCEVSEDLSDCGLILGIKQPKLEMILPNRAYAFFSHTHKAQKENMPLLDKILAERASLYDYELMVGDHGKRLLAFGKFAGRAGMIELLSGLGQRMYPAAVISVGEEIATKGLPSGICPLVFVFTGSGNVSSGAQEIFKLLPHTFVDPKRLPQLFAEERDVTQSARASKRIFHVYGCVVTAADIVVPRDSNKNFDKVSLSGHLFDTFKINGALDIIEDAGGSFHLVKCQVGQSADAMSYSELEQVM >KZM80050 pep supercontig:ASM162521v1:DCARv2_C10747218:5271:6107:1 gene:DCAR_000454 transcript:KZM80050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHYSVFMNALSTLTPPQLSDLVASISALLQRHNRRLATLLSSPALFSLTLRHLESLSLHHKSLLIAQHLLSSLTHLSHFMHTKTSAPSYSASNIKLRDLDAVLLLLLFCELRQHDPTALEAEPSKWRLVLCNYYMYNTMLTFSSMIVSDTEVLNKFVELLSKFLKFVGVVDCDGSGKEGKEVAAAAAVVVALPSVEVTGGGKECVICKEEMKQGRDVCKMPCTHLFHWMCILPWLRKTNTCPCCRYRLPSDDVSGEIERLWEVLVKMGSGSHSFGY >KZM80051 pep supercontig:ASM162521v1:DCARv2_C10747218:7684:8755:-1 gene:DCAR_000455 transcript:KZM80051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNMFQEIAVVSLLRHFGCPCCWELASALKEAKAKFDSANVKLIAIGVGGPEKAQILAERLPFPMDCLYADPERKAYDVLGLYYGFGRTFFNPASAKVLSRFESLKEAVKNYTIDATPDERSGVLQQGGMFVFKGNKLIYAHKDEGTGDHAPLDEIFDICCRVPVT >KZM80049 pep supercontig:ASM162521v1:DCARv2_C10747218:288:2513:1 gene:DCAR_000453 transcript:KZM80049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADHNNNSTYISSASTFPFLSPNRSMELQHLPRKPKLQVSPTLRELLQFGSDQNHHHNYSDHQVIDLNDDVSTRTSSPFVLAFTNLTYSVKAQKKLTVLPSFLNRDSSEKVLNTKVLLNGISGEAREGEIMAVLGASGSGKSTLIDALADRIAKGSLKGTVTLNGEALESKLNKIISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSVHQPSSRIMKLLDRLIFLSRGNTVFSGPPAALSHYFAQFGNPIPEKEDRIEFTLDLIRELEGTPGGTKRLADFSRAWQTKQSPNSTATTSGVKLSLRDAISASISRGKLVSGATNSTNLGAENLSSSVPRFANPLWIEMVVIAKRSLKNSWRMPELYGMRLGAIVVTGTILATMFWNLDNTPRGVQERVGFFAFAMSTTFYTCAEAMPVFLQERYIFMRETAYNAYRRSSYVLSHSIITIPSLMFLSLVFAVITFWPVGLAGGLSGFMFYFLFIFAAFWAGSSFVTFLSGIVAHVMLGYTVVVAVLAYFLLFSGFFITRDRIPGYWIWFHYLSLVKYPYEGVLQNEFHDQTKCFVRGIQIFDNSPLVSVPDALKLELLKDLSKTLGANITSTTCLTTGLDILKQQGVTDLSKWGSLWIIVTWGFFFRFLFYLSLLLGSKNKRR >KZM80048 pep supercontig:ASM162521v1:DCARv2_C10747470:3895:5089:-1 gene:DCAR_000459 transcript:KZM80048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFQHYLLLSLVIFSYLSIHHTAKCDDEEESLLLGINSYRATLKLPTLTDNDKAECLAEEIAEQFKNTPCSNTTGANTVPGTEPQFSDFPALLNKCKLNVTTTRDGTIMPACVPNLVQDVVLSNYTQSQYAAFLNDTKYTGIGIGSDEDWIVVILTTGTPTGNFATGSSVPDNFAAKLSPIQPMLCIVIGIFYLIGAY >KZM80047 pep supercontig:ASM162521v1:DCARv2_C10747470:782:3170:1 gene:DCAR_000458 transcript:KZM80047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDMESVAEATSGAIGALVSTTILYPLDTCKTKYQAEVTGPNPKYRNLSDVLWEAISKGQVLSLYQGLGTKNLQSFISQFVYFYGYSFFKRLYLEKTGFKSVGTRANLIVAAAAGACTVVITQPLDTASSRMQTCAFGKSKGLWKTLAEGSWKEAFDGLGISLLLTANPSIQYTVFDQLKLRALKGQQTRTQGIESSQQALSAFSAFVLGAVSKCVATCLTYPAIRCKVMVQSAESDDEETDEGKKKPKKTFFGALNTIWKTEGLLGFFKGLDAQILKTVLSSALLLMIKEKITKTTWVLLLAVRRTLFLTRTKLKSS >KZM80046 pep supercontig:ASM162521v1:DCARv2_C10747582:2808:7416:1 gene:DCAR_000460 transcript:KZM80046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLGSEEFDVETGEFDNEREKLIRSSRVVQINNQALLSGLAYCLSSCSMILVNKYVLSSYDFSAGISLMLYQVTLRYINVAMVTVLKNVTNVITAVGEMYLFSKHHDNRVWVALFLMIISAISGGFTDLSFHAIGYTWQIINCFLTASYSLTLRRVMDTAKVVTKSGNLNEFSMVLLNNTLSLPLGLLLIFVFNEVDYLATT >KZM80045 pep supercontig:ASM162521v1:DCARv2_C10747670:3577:6293:1 gene:DCAR_000462 transcript:KZM80045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLHSTFTPAFRYRNPTLTSPKPPRKFSVFAASAAPRREKDPKKRVVITGLGVVSVFGNDVDTYYDKLLAGESGVDFIDRFDASEFPTRFAAQIRGFSSEGYIDSKNDRRLDNCLRYNIVAGKKALENAGLGEDMRSKIDKERAGVLVGSGMGGLTVFCDGVENLITKGYKKISPFFIPYTITNMGSALLAIDLGFMGPNYSIVAACATSNYAFYAAANHIRSGEADLMLAGGAEAAIVPVGLGGFTSCRAMSQRNDDPKAASRPWDVDRDGLVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVTCDAHHMTDPRPDGVGVSMCIQSGLQNAGVSPEEVNYINAHATSTLAGDLAEANALKKVFKNNMSEIKVNATKSMIGHCLGASAGLEGVATVKAIATGWLHPTLNQVNLEPEVDFDTVPNKKQQHEVNVGISNSFGFGGHNSVVVFSAFNA >KZM80044 pep supercontig:ASM162521v1:DCARv2_C10748056:198:6387:-1 gene:DCAR_000465 transcript:KZM80044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRIGALEDVAMERGHDENFFVSVRLRPLNQKEIATDDVSDWECVNDNTIIYKNNDFFVPDRSLRPTAYKFDRVFSPDCSTRQVYMEGAREIALSAVNGINSSILAYGQRSSGKTYTMRGIAEYIISDIYDYIEKHMDREYRLKFSAMEIDNESVRDVLSTDSSPLRLLDDPERGTTVENLTEATLRDWNHAKELLSVCEAQKQKEETSLNGTSSRSHQIIRLTIESSGRDLSGNENPSTIFASLDLVDLAGSERALTHSFSAGTRSKEGSHVNRSLVTLGAVIRKLSKGRNGHVPYKNSKLTHILKTSLGGNARTAIICTMSPARSQAEQSRNTLLFASHAKEVSTKAQVNVIISDKALAKYMQRELARLERELSSPRSSFAESKLSALLRQKDLKIEKLEKEVKNLRLQRDAAKSEVHNLLQQISDERRPSSREGFSRYPHLRIHQSTDDEHLSQDHDRAFVTTRVIDDSSSVSDSQAESPSIRVFRSKDVSNKLLISTSQFSENESTYGMDEIDNKSNDTFEEVLKDDTNSYSEASCFSEDNTESSPFMTFKEVETELQKGALPVSQEYLERVSSLVKANNDHKPPKGVMQDLAGRPFGEASAQNYDANLNPPAGTTEIEQKAYEKTNADISRDAEMKITAEPQHDGNTRESAETKTEAGSNGSSKGVKDVILDSADDDWRLKFKRLQREIVKLWDVCNVSLLHRTHFFLLFRGEPSDCIYMEVELQRLSLLKEKVCRYIQTGKHGQVLTLASSAKALARERQMLCSQLHKQLSEVERESLFMQWGIPLDGKHRRLQLVNRLWTETEDMDHAARSADVVFKVVGPATRDKSFREIFGLNFNNWGSRKKRSLRESLSLIL >KZM80043 pep supercontig:ASM162521v1:DCARv2_C10748096:2872:8403:1 gene:DCAR_000466 transcript:KZM80043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPHQSSSFPSQLLASNFIATKSHRILRSPKFTHPFARTKASWSELAGVLLFSAIPFTAVKAIANSPLGETLQKRLEERKKIEVVNASNFNKLSQQARTDSSWYGEERPRWLGPIPYEYPVYLDGELPGDYGFDVAGLSKDPVALQKFFNFEILHARWAMLAALGALIPELLDLLGAFDFIEPVWWRVGYSKLQGETLDYLGIPGFHLAGSQGVIVIAICQAILMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSRDPLAFEELKVKEIKNGRLAMIAWLGFYAQAAVTGKGPIQNLVEHISDPAHNNLISSLLSK >KZM80042 pep supercontig:ASM162521v1:DCARv2_C10748276:836:8882:1 gene:DCAR_000468 transcript:KZM80042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISNFRYLVAENYLLGSVYSLPTLELISICFNLILCVVFLLSRQISVYINRIRINKEDTDEISGPTRRNVDAEIQSVEIGKWYKASAFCCFYILVVQVLLIGFDCVKLIRGSSGGRGSDWILLLFPAVQGLAWFGQSFWVLYCKIKPTEKLPLLLRVWWAVSFAFCSCTIYADGKALVTEGAKHWNSHVLSNFATTPAISFLSIVAARGVSGIKISRASDLQEPLLEEEEDAGCLKVTPYKDAGIFSLITISWLNPILSLGAKRPLELRDIPLLAPKDRSKTNYKILNSNWEKLKATNPSNQPSLAWAILKSFWKEAACNGIFAGVATIVSYVGPYMISDFVDYLGGIETYPHEGYILAGTFFIAKLLETLITRQWYIGVDILGMHVKSALTAMVYRKGLRISSLAKQSHSSGEIVNYMAVDVQRVGDYCWYLHDIWMLPLQIILALCILYKNVGLASIATLISTIISIVATIPLARIQEDYQDKLMASKDERMRKTSECLRNMRILKLQAWEDRYRLMLEEMRNVEFKWLRKALYSQAFITFIFWSSPIFVSAVTFATSILLGGELTAGRVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRLSGFLLEEELQEDATVSLPRGFTDVAVEIIDGEFCWDPSSSSSSRPTLSDIHLKVEKGMRVAVCGMVGSGKSSFLSCILGEIPKTSGEVRICGSSAYVSQSAWIQSGNIEENILFGSPMDKAKYKSVIKEGRITQAGKYDELLQAGTDFDALVSAHHEAIEALDIPVQSSADSSEFHSLDEEIQLSDKCESIIGNAQISAKEVQEIGTSSDQKLIKEKKKAKRSRKKQLVQEEERERGRISMRVYLSYMTAAYKGLLIPLIILAQTLFQVLQIASNWWMAWANPQTAGGQPKTSNIVLIVVYMALAFGSSCFIFARAVLVATFGLAAGQKLFLKMLTNVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTQVTWQVVLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIIHLFSESISGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCHIPSEAPQVIEDSQPPPSWPEEGTIELNDLKALDKSQLGEIVRLKEQKLESPGKQMILHNYMKEWHTSDSGRKICYLWLWKGISSSLNKQAVSIFRFRNLDMKSQCCGNQEKPTAIDLSALLNLMSPGTSLGVFGDMNLEGRSNGAVMNARHSSSGKSSDSCGINVYINNNVQGVSNSILVGSEVTMGDSGVWLSLKPPKPGKIFPGLIWGLITVFAAFLWFVLLY >KZM80040 pep supercontig:ASM162521v1:DCARv2_C10748340:1:1449:1 gene:DCAR_000469 transcript:KZM80040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFEKKYARSLIVVIGTLILLPLGGSLGLLFYASSIFRTAGASVTLGTTAYAIIQIPVGALGVLSLDRTGRRPLLLVSFIGACLGSVLAGVAFVLQDLNLWEELSGTLVLIGVLVNLLSFSLAVSVPWVIMAEMLPINIKGPAGSLGTFSKMFVAWIVNYIFNFLLEWSPSGMFFIFASFSGLTILFVAKMVPETKGRTLEEIEASMLDKSV >KZM80041 pep supercontig:ASM162521v1:DCARv2_C10748340:3796:9146:1 gene:DCAR_000470 transcript:KZM80041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRENIEQALIKKPIAKNGTEFYGADDIMGSSVTPLLLFSTFVAISASFTFGCATGYSSAAQTGIVADLGLSTAQYSIFGSMLTFGSMFGAIASGKLADLVGRKPTMLLMDIFFIIGWSAIIFAEGAWYLHLGRLSLGFGSGIQSYLTPVYVAEITPKNIRGAFSAAHQILVCVGVSLTFFLGNVIAWQTLAVIGALPCLLHVFGLFFIQESPRWLAKIGKEKQFIDTLQCLRGVNADVTKEAAEIQESIETFSRLSRSRFMEMFEKKYALALTVVVGTLVIVTLGGSMGIVFYASSIFKVAGSPISFGTTAIAIIQVPVSALGVLILDRSGRRPVLMASLIGAGFGSFLVGSAFVLQDLNQLKELTPALVLIGVMINLSSFAMAAAIPWVIMSELLPINIKGSAGSLATFIYMFVSWIVSYAFNFLLEWSPSGTFFIFATFSGLAILFVAKLVPETKGRTLEEIEASILI >KZM80039 pep supercontig:ASM162521v1:DCARv2_C10748492:2749:7169:-1 gene:DCAR_000471 transcript:KZM80039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRNDNIVQKLTHSQAIDTRDALAKSMYSCLFDWLVAQINKSLGVGKHRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLSFANKLKQHLNSNSCFKGERENAFTVCHYAGEVMYDTTGFLEKNRDLLHSDSIQLLSSCVCHLPQAFASNLRTQSEKPVVGPLYKSGGADSQKLSVVSKFKGQLFQLMQRLENTTPHFIRCIKPNNFQSPGLYEQGLVLQQLRCCGVLEVVRISRSGFPTRMTHHKFAQRYGFLLLDTVASQDPLSVSVSILHQFGILAEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILHVQSCFRGYQARRQFREIRRGVTTLQSRMAFKILLLFCLIDAMLFSDIYYF >KZM80038 pep supercontig:ASM162521v1:DCARv2_C10748812:7374:9901:-1 gene:DCAR_000475 transcript:KZM80038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFLQQYNEVELSALGMAIATVVTVAEILKNNGFAVEKKIKTLTVDMRDEPGARPIPKAKIEILLSKTEKFEELMAAEAEEREFAADGEEQN >KZM80037 pep supercontig:ASM162521v1:DCARv2_C10748812:1618:6122:1 gene:DCAR_000474 transcript:KZM80037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKDMQVSKDGVFFSFSHLGFQLSPQDSTDYYPGGLFASIGQSNGLGFAAISPNSGENDLFVKYVTSSPEETRDGIVMNKKKKGGIKFRVKIANTSLRRLVSGAIAGAISRTAVAPLETIRTHLMVGSSGHSSTEVFNNIMQTDGWKGLFRGNLVNVIRVAPSKAIELFAFDTVNKSLSHNPGEQPKIPIPASLVAGACAGVSSTLVTYPLELVKTRLTIQRDVYDGLFDAFVKILQEGGPAELYRGLTPSLIGVIPYAATNYFAYDSLRKAYRKIFKKEKIGNIETLFIGSAAGAISSSATFPLEVARKHMQVGAVSGRQVYKNVLHALASILEREGVQGLYKGLGPSCVKLIPAAGISFMCYEACKKILVEVEADDNDE >KZM80036 pep supercontig:ASM162521v1:DCARv2_C10748946:5444:10102:-1 gene:DCAR_000477 transcript:KZM80036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASGGKRAQNSGLKADAIIEAAWSYIERKSVLPQHPASDRSAQGQLEHFNAGSINQKTSTGGRFVPNYKIVELRDRLIQFMEDYIYPMEKEFYKLSNTSMRWTVHPEEEKLKEIAKQQGLWNLWLPLDSASRARKVLFDGSNDDKVFDQLLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGNKEQMQEWLIPLLEGKIRSGFAMTEPQVASSDATNIECSIERQGDSYIVNGKKWWTSGAMDPRCKILIVMGKTDFNAPKHKQQTMILVDIRSPGVQVIRPLTVLGFDDAPHGHAEVYFENVCVPAKNVLLAEGGGFEIAQGRLGPGRLHHCMRLIGAAERSVELMVLRAHQRKAFGKFIAQHGSFQSDLAKCRVELEKTRLLVLEAADQLDRVGNKKARGILAMAKVAAPNMALMVIDMAMQVHGAAGLSGDTVLSHLYATARTLRIADGPDEVHLGTIAKLELQRAAKL >KZM80035 pep supercontig:ASM162521v1:DCARv2_C10748946:2970:4812:-1 gene:DCAR_000476 transcript:KZM80035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQPCDYKETCAESLSGANSTDPKELMKVGFETGVKHLKEVIDNSATLKNAEKDPRTSDAYGLCKDLLETAIDDLHRSFDKVSKFEVAKMDAYIADLKTWLTGVGTYQESCIDAFQNTSGDAGEKMKKLLKTSSEISSNALAMVSEATSMISQLDIPGLTHKERRLLSTEYPDWVDHPQRRLLQANPKPNAVVAQDGSGQFKTVNEALKTVPLKNTAPFIIQIKAGVYKEYVDVPRHVDNVVFIGEGATQTKITGNKNFIDGVNTYKTATVAVNGDGFIAKDIGFENSAGANKHQAVALRVSADRTIFYRCQLDGYQDTLYTHTYRQFYRECTITGTIDFIFGDAAAVFQSCTMKVRKPMDNQGCMVTAQGRKEKRGTGGIILQNCTISAEPDVMSMNPAPKQYLGRPWKEFSRTIIMQSFIDKNIVPEGWSPWTGNFGQDTCFYAEFQNRGPGSDTSQRVKWKGIQAIKDQDAETFTASKFIQGDTWVKTSDVPYDPAMMKV >KZM80034 pep supercontig:ASM162521v1:DCARv2_C10749708:1312:10408:1 gene:DCAR_000480 transcript:KZM80034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSSVGALVPSVKSDPMVIGGGSEAQAAGEEGGGDEAAMQVAQPSVVGVEAVEMESMEMDKDFLCPICMQIIKDAFLTSCGHSFCYMCIVMHLENKSNCPSCGHYLTTKQIHPNFILNKLMTKTSARQIAKTATPFEQLRQALQQGCEVSVKELDILINMLAEKKRKMEQEEAETNLQIMHDFLLCLKRQKLEELNGIQAELRYIKEDIGVVERQRIDLYRARERYAVKPKMLTEDASTKTAWPSLVDKRGSGIMSSPNVQGQSRMTSGSLQDRRADALALRSSQIFQRKDACSGSDSPHNTQSGVSAARKRRIHAQFNELQECYLQKKRQRDRQAHKQEQRETTAVSREGFSAGLEDFQSVLSSFTRYSRLRVIAELRHGDLFHAANIVSSIEFDRDDELFATAGVSRRIKVFEFNSVVNESADVQCPVVEMSTRSKLSCLSWNKYTKNHLASSDYEGIVTVWDVNTRQSVMEYEEHEKRAWSVDFSKIEPSMLVSGSDDCKVKIWCTKQEASVLNIDMKANICSVKYNPGSSFHVAVGSADHHIHYYDLRNISQPLHVFSGHRKAVSYVKFLSNNELASASTDSTLRLWDVKENLPLRTYRGHTNEKNFVGLSVNSEYLACGSETNEVFAYHKDISKPAAWHRFGADSEEAEDDPGSYFISAVCWKSDSPTMLTANSRGTIKVLVLAA >KZM80033 pep supercontig:ASM162521v1:DCARv2_C10749840:6989:10699:-1 gene:DCAR_000483 transcript:KZM80033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIGASNNDDISAGSFLRRPSRAERAVDDPIREMEGMLVDEYGSNATFQLPGFVTSHVFDEEEEEEEELQITQNSCKERADRSPPERNTPVEEAEKFSITPSDRRHCVLEDVDGELEMEDVSVHQKDEKVLTEDAPLETVPKEQGLNRTFDAGLSSSEQFPFPMGSPPSPPGSPPPTPPLPDSPIPVSLPPPPPSSPSPPPPPPPPLPPQVQSHPTPPAGMLPSVIPHPSVLPPLPVLPQHLHSIQSSAPSSSSNLAYQRQDAQLPQLAGNNPHAAHLDVNSRNEMYPQPHPYTNPQAPQPSQQFQTVPLSQRSFHPAPPPQVPSSHFSYSNPIVQQRPQHPYPQPYKLPSHPDAPRQYHTDDKWRMQANEFSTNNQHGPWMNGVRSSLLPVPSYGHEGYFRPPMDRLPPPIPTSFQQSAVNAIPAGPPIAGHVGPQMMPPRPDLSSLGPWKPT >KZM80370 pep supercontig:ASM162521v1:DCARv2_S1036:130765:131610:-1 gene:DCAR_031715 transcript:KZM80370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAAKRREEVPEKPISKPSSPIKDTTVVHPDVNFHDEPIMPKEEPIDLEDIPIPAFLVQESSKPKKKVKSVAKRMANPPKPPKEPENPDDYLIIANIEEISELELELDDLQEVRGIEATSKLPERLVFSYKNKGDVIWPLHRVLNSEGFSSLTKIYGSMKRTGGFTPPAKQMVLKRILEIRKEWNSDASLQRRLKIPYTGKKIHHEPTPVMEFRDNQGVRRFFRPKDQLKVASLNTLKTLQSKLNRQDSDEEWFYRIFQKQINILEEKLKSRRRRSSRNK >KZM80371 pep supercontig:ASM162521v1:DCARv2_S1036:165760:166209:1 gene:DCAR_031716 transcript:KZM80371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGEARVMRIIEEAKQEVGIETDADGRQRIVHRQIRATASVSATSRAPAGGMIQAVPHHVYAALGRDCDFLRGQNAEIRRLMDVLLQERRVPVEDSEARSRIGAIEHIARQRLAEFPSTSEWDVEARRVTRLICWILSELRAVRGPRK >KZM80369 pep supercontig:ASM162521v1:DCARv2_S1036:46382:49680:-1 gene:DCAR_031714 transcript:KZM80369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSLGKGPLRTILGAASCDSKLTKSIYASIDISTAVELIMYPEVPLAMRMSSHLLFGIVRIHAQQVESLLRDSNTLLIEIRNAFTSTDLMNLSHATFGSVLPDKFKLDSLDIDTDFSESSWDNHLKDTKEITLEDQNLADYSGTLVGATLGAEHCDPSNRQLRAALLSYNDGYPSYQGTEIMREAAFFQYASEMDLFCPDQREDRLEPDWDLMKILEKTEADNLGVELVPAASPLSSQQHQQPISILSEETPEFLDPDSDYGWASPTLQIPSIPQPQQTLPKEMISHQYDINTTILSDKFMKKRIADSSSILRPRKNFRRDPLGRWRQNKRIRKDVVFFEPLLTGLGSDLCEAYRKDFICGKPYLCAPVNVDNMEIDHEISTENNSPNRVWYSPIEFTPSPTGGHALGGDTSSLIDQVEPTNWDSTRVHEKYAATPGSNEITASLKGTPGEGPYNPEIRTSCDGDRSSVTPVIERDHQSINVSLDTILEGKRRKVCARIVFETLVLKTCSLVDVKQENPYGDVVLTVTPKLSNELFSI >KZM80368 pep supercontig:ASM162521v1:DCARv2_S1040:150023:150328:1 gene:DCAR_031719 transcript:KZM80368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDFDNHAPHWLLGRSGRTRKTMLDKMHKREKNRSAELTKVREELSREMEEKMNRKLKNILEKIVQMTSLQIDIEELLADDNTDHGAEAEMDGNEAEGAT >KZM80366 pep supercontig:ASM162521v1:DCARv2_S1040:5708:6157:1 gene:DCAR_031717 transcript:KZM80366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKKKAGDNLEEEVSDHDSVELDFDNHAPNWLLGRSGRTRKTMLDKMQKREKNRSAELTKLREELSREMEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELGGNEDEGAI >KZM80367 pep supercontig:ASM162521v1:DCARv2_S1040:17450:18636:-1 gene:DCAR_031718 transcript:KZM80367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMQKFSNILQIFYDNLATSKPGIFKSCDPYITLLYFLQASTSSGGRGRGRGRGRGGARGRGGARGRGRDNEIVRTDGGDGGRANETGTPMDNGAVDDFDYFLDDDVGKLIESLQRKTSKGDFNKRPVDGGPKLGTVHFVDRCIVEPHYKKTLLAIVRTLWDVDTVDSTGKTREIFLARCAEEFRAYYEYDCDDAIGDVYVKDHIHTNFKSILSKEKKRTDERVKIAKSLGYLRATRRMLNPHYFDDAVWDSINKYWESEKFHKASANGKKNCAKKDMNHKSGAIPFSVRRAFELKSYYMLN >KZM80365 pep supercontig:ASM162521v1:DCARv2_S1048:23256:23771:-1 gene:DCAR_031720 transcript:KZM80365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQDSKSRTYNEAKDSSTSRKSEKRVKKLGQFEDQSECDDCSAEVPGVEKYITPKKSKKRVKKLRLIEYHSERQGDDCNADVPQEYKRSEELNHCGTHLVQDHHLN >KZM80363 pep supercontig:ASM162521v1:DCARv2_S1060:132786:134310:1 gene:DCAR_031726 transcript:KZM80363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNSPMAVDISSDDSCSATDDDECRSSKRPRPNGAIDQPSRELTFEGTVVKRAEMYQEYMKQLPIPINRPSVLPCTTWQGLAKSIKQFYGQPLHYLTNICLKKWDQLRIGSEDEHQPLHTIIHPSKAEISIWCVEEVHRRTTSNHKLSKLWLADPTHNAYIDPNRG >KZM80360 pep supercontig:ASM162521v1:DCARv2_S1060:76483:78218:-1 gene:DCAR_031723 transcript:KZM80360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEPSSCSPTAPQVRFPFRLDQQPREGGYPGFDLSCSNQSTVLRNLQFSRAFVVTDINYTSQSINFKPQLCPLPRIDAFKPRFGTPFQSVLVEEYTFFNCSSIWSFLGRASYEVVDCLGKVNGTAIAFPSKYYDGFLPRTCTNILLKKDIPVGFRWSVPFCGKCEVENMTCGYKDVRTLEIGCDVSSKRGLSTTAKYGIAFGGGLPALFLLVCLAIYASKKTNDHAQIQQQDHVPDIPSATIARLLPRSATGLDKLTIESYQMTVLGESKRLPKPSDSTCAICLSEYKTNDTLRTIPECNHYFHSNCIDEWLQLNATCPLCRNLRE >KZM80359 pep supercontig:ASM162521v1:DCARv2_S1060:72961:73806:-1 gene:DCAR_031722 transcript:KZM80359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCGHKKVETLEIGCSASSKSENTRPDNQANLPELGNCMVKYDKAHYLSSYRMKISEVTFGTGLPALFLLICLAIYTRKKVNDHAQIQQQNHVPDDILTTTIAQQLPVSAMGLDKLTIESYPMTVLGESKRLPKPCDATCAICLSEYKPSETLRTIPECNHYFHSDCIDEWLQLNTTCPVCRNLQESTTPIPSSTSLNT >KZM80358 pep supercontig:ASM162521v1:DCARv2_S1060:70367:71850:-1 gene:DCAR_031721 transcript:KZM80358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFGLFSVTFIVIFSVTHTVLCDTCEPVSCGTGPIVQSPFRLDKQPTQCGYQGFELSCNNRSQVVLNFPYVGDSVVDDIVVDDIDYSSQIIYLKPDFCLGNRARFFNQLDTPFRPSSPVKYTFFNCSPPPNFPIIEPFERLDCLSSVNNTVVSVPTSSLDGFRFQDSPDSCQTITYLIPVAFVWDSPSCGGSQEAGCSVSSNSGLSTTAKYGIIVGVGLPGLFLLACLAIYARKKVVDRAIMQQQHHMRNLPTRIITPEPPRFVLGLDKLTIESYPMTVLGESKRLPKPSDSTCAICLSEYKPNDTLRTVPECNHYFHSHCIDEWLKLNATCPVCRNLPEGSFHRAATPMSSSSSSASLFSN >KZM80362 pep supercontig:ASM162521v1:DCARv2_S1060:83305:87005:-1 gene:DCAR_031725 transcript:KZM80362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTLFPPTLFIIFSITHTVLCAETCNSTSCSPLGPTVRFPFRLSNQPRHCGFPGFDLSCSNQSELMINLNLAGDFQVTDIDYMEQTIYIKPEFCPPNRIGAFNPLDSPFIMGLREEYYFFNCSSSAWFFPGVEVVKCFPGEDGNKTVAAIPRRFFDEFMNQGLGDSCQFINFKISTLVGFVWAAPNSSAKSLSTAAKYGIVVGVGLPGIFLLVCLARFARRKMKERAHIQLRHSVQNLPTTRISLTPPRYVMGLDKATINSYPMTVLGESKRLPKPSDSTCAIYEWLKLNASCPVCRNFPEGSSGRTAADPMSSSSSPTPTGPTVRFPFRLGRQPRQCGYPVDVVDKDCVGNVNGTVVAFPGRFFNGFKDGGLPENCTNILLATDIPVGFRWNAPFCGRCERDNGTCGYKNVETLEVGCSVTSKGGLSAGAKYGITIGAGLPGLFLLVCLSIYARKKINDRALIQQRHHVSDFPTTIALQPPRFAMGLDKLTIDSYPMTVLGESKRLPKPSDSTCAICLSEYQPNDTLRTVPECNHYFHSNCIDEWLKLNATCPICRNFPEGSLDRAAPPLSSSSSSTSLFSS >KZM80361 pep supercontig:ASM162521v1:DCARv2_S1060:81110:82426:-1 gene:DCAR_031724 transcript:KZM80361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKPKFCPLPRIDTFNSFGKPFEYAFEQYTFFNCSSTWFYPGFASFEVVECVTDVNGTAIVVANKDYNGLLPPSCTNILSTRNIPVGVRWSVPFCRNCELQNKTCGYKKVETLEIGCSVSSKSGLSTAAMYGISIGAGLPALFLLICLAIYARKKVNDHAQIQQQNHVPDIPTTTIAQQLPVSVMGLDKLTIESYPITVLGESKRLPKPSDSTCAICLSEYKPNDTLRTIPECNHYFHSDCIDEWLQLNATCPVCRNLQESATPIPSSTSSNT >KZM80364 pep supercontig:ASM162521v1:DCARv2_S1060:135223:139210:-1 gene:DCAR_031727 transcript:KZM80364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFFFGSSGSSKANGNASSQLSRDKPSQSGLKNRVPENQSSASTPTLKKSRTYSSGTIHESGIGQMKFSFFNNRSGSPSRSETSQNLSDNRSTRCRTPTPERISRASWIEDDTIQSSHGPEKRECFNNAVHSIDSSESSSHCSSNASIKMVDRYIDVEQVHACNVLENQSSQRSQVVSGYGGGTRPPRVQYTSPVLGDGVKQKPKSQSFREPRGSDQYFSTRDWVENDFEHESPRKLAKHVIERLSQSHVLPRVRSKSCVSDVPITIEDIYGEPSLESLNIDSDGSHQSKVTRFLERRLSIDNAENAEDIDMELISKSKKAEAVARILTEEFQEHKFLQGGGFTVPELIQIINGLAEDKLKMACEVSAVLNDRVIERASAREELKLARIELDSTTRKLEKEKSDLQWELEKELDRRSSEWSFKLEKFQTEEHRLRDRVRELAEQNVSLQREVSAFREKDIDSKGRITSSEQQLQDQTARMEELGDENQNLQQHIIEIQDKYKAAQEDRNCIQRNYMEREKECKDLHKSVTRMLRTCSEQEKTILGLREGLREEIRKKTFLDNFDSQLGKLQMELVRLTGTEQTLRNEAESYRREADSLRHENINLLNRLKGTGKEDMPNIRLDQELLSRVNCLQNQGPKLLNECMQLCMTLLEHLKEKVGQSADINHRYETFKNGLDGQFIVESDMKLHGFKRRAENLIRSLQNVSSLLQEKGWIDTAEPQSHSLIDQSGQTNDQKSKDELISELKAELLLTSLLRDKLRSQEMDIEQLQAELATAVRGNDILRCEVEHAVDNISSMNHKLKDLELQMIKKDDSISHLRSDLQECTKELTIVKGILPKVSAERDEMWDEVKRYSEKNMLLNSEVAVLKKKVENLDEDILLKEGQIAILKDSMGKHYELLGSPLDQEFLLE >KZM80356 pep supercontig:ASM162521v1:DCARv2_S1063:41939:42840:1 gene:DCAR_031729 transcript:KZM80356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPGLKAILKQILSSPINPEIITAVQKLHSIIIQRDSSQDKHVRRWISQTNTAKAEKGGSLIYLAFDENVKGAVVVGQEAGETIVNESKVTVSETDGSRTEDTVMEPTVNVVQGHIGKTIFDKDFKNHRESDTRSIYFFELKRMIEFLEEDVTVTSEILKLVYEYHDLREKQYRGVYGDWRFHDDSNYLSPIEEEQDDVEPDNKVIDLTAGDEDKHPQQTSQSNASEAQHEQSSTFNYQDQKERLMKRYMQINRVFSCRLTYVPYVLDNPLTFKGINEETHF >KZM80357 pep supercontig:ASM162521v1:DCARv2_S1063:59024:60656:1 gene:DCAR_031730 transcript:KZM80357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYNKSTYEKAWKVAQAPSKNLLIMGFMMWVAGSTMHLFSIDITFSVLWQPISVLQGSFEMTYGCKLCIGPCTTREGFYYDAHYVFEPYKDGRVDLFGPKLLYIALNLPLEHLTSGKSILLVFFQPMHQTGCHLYLLPRNDETIVAV >KZM80355 pep supercontig:ASM162521v1:DCARv2_S1063:24116:25186:-1 gene:DCAR_031728 transcript:KZM80355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLRFGKMLDRLCLSSSYGTSCCICINGYGSSFDGVDDLEKEPLVGTEGDELVRLKDFVEEPKSLALQLKPKIVVLRVSMHCNGCARKVEKHISKMDGVTSYQIDLETKMVVIMGDIVPFEVVESIAKVKNAEFWQSPSVDHKT >KZM80353 pep supercontig:ASM162521v1:DCARv2_S1079:2917:4287:-1 gene:DCAR_031731 transcript:KZM80353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSETVELVSECLIRPSDLPEKAKHPFHLGPFDLAMLSVYYIQKGLLFKKPTVTNDRENSVEVLVQKLKKSLSVTLGHFYPLAGRLVTKKEESPQSYVVFIDCVNSPGARFVQAKAHLTISNILSPTYVPSVVESFFDHNRAINHDGHKVSLLSVQVTELKDGIFIGCSLNHSVVDGTSYWHFFNTLSEVFMKDIRDEGSEISRPPIHERWFPDGYGPVISLPFTHTDQFISRHDAPELKQRIFQFQAAALARLKAKANAKCINKSTTISSLQALAALMWRCMTRVRGLPQDQITGCKLAMNNRARLHPPLSQNYFGNCIQVVRATTTAGNLLINDFEWAALLVHKTVAEQDDKALKNFIADWLQSPSVYQPGQFFDRCSIMIGGSPRFDMFGNEFGLGKAVAIRSGCADKFDGKVSLYPGTEGGGSMDLDIFLPPHFMTALECDEEFLEGLNLSG >KZM80354 pep supercontig:ASM162521v1:DCARv2_S1079:7077:7511:-1 gene:DCAR_031732 transcript:KZM80354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFVDGKGIVEEDGGNTDTGCREGGDSSTDSEDGELRPPPNTRSKGGPVIKG >KZM80350 pep supercontig:ASM162521v1:DCARv2_S1091:4866:5930:-1 gene:DCAR_031733 transcript:KZM80350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYRSARDRSPTPFPREVVDSSSDTDPTEEYMESEDSSIQVLPPPPRSPPVVIDLTAPSPLRAETVDPVDTTLGIEEPVTSVYAALPDLTQVPTLSAPLTTSVPVLTTESQDDHVMAELDRHFHPTLPEITAMITGVPDATLPAYETLTPAEVGATIERVPPPIPTTTDQIPPIHVTAELEMHVPLTIISPMLGSSGPEAPVCDTAPPTPTIPVSAVTTGLGGLFAPFPVTATGQMEDTIATVPAFLFEELRLSYDELYARYHEVFITRDALLRMIATSRPAAPVVEDGLVRRSEVIDVMHQTATSVITGLQSAIAELPSSSAGAVDQGAVAALVELTRCEFLSRVDEMFRPH >KZM80352 pep supercontig:ASM162521v1:DCARv2_S1091:57435:57950:-1 gene:DCAR_031735 transcript:KZM80352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQDSKSRTYNEAKDSSTSRKSEKRVKMLGQFEDQSECDDCSAEVPGVEKYITPKKSKKRVKKLRLIEDHSERQGDDCNADVPQEYKRSEELNHCGTHLVQDHHLN >KZM80351 pep supercontig:ASM162521v1:DCARv2_S1091:8211:8816:1 gene:DCAR_031734 transcript:KZM80351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGGSHHPATFRPPPTHTKPPPFHSSDPDLYIPQAIFEKLGLYLNFRPKIRTPLLFSLFLSPRLSLSLRLFSPSNHISPTFPSSPLLPPRRHRWGWRWFVFSGEQTRTHSLATHTTPTHQQAKRPLFPFATATVVASKPPPGAVADGGRNVLQPPPFTPPIASFSHPTFKPNSNFSDLGLNSKTPKSMFQHKSPNYYEP >KZM80685 pep supercontig:ASM162521v1:DCARv2_S111.1:8111:8535:1 gene:DCAR_031736 transcript:KZM80685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRCDYLKSRYFAWCQLKSKTKNHYDPATNSFDFTDEEWEQHAKGNKIIDTLKTTPLSYPDLCTHLYDGTAAAGLSGWGPSSKRSRAIDLNDDIDNLGTEEVQSNTANPNAE >KZM80687 pep supercontig:ASM162521v1:DCARv2_S111.1:29914:34719:1 gene:DCAR_031738 transcript:KZM80687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYGKEAHNHLKFFPTSGPHSYDVRRKVIDAEREKQGKTRIIDEKFIKLVYNPAVLAILAFNDIVSSQQIIAILLLIYSLFHAYNVDGSCMAFELGCHELLSISGISIKEMKAVVVGRSNIVGLPVSLLLIKMDATVTVVQSRTPDPESHIREADIGIVVTGQTMMLLSLLQ >KZM80692 pep supercontig:ASM162521v1:DCARv2_S111.1:93771:95625:-1 gene:DCAR_031743 transcript:KZM80692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMQLSRSLFCLISLCLLTLHISATSITLYNKCSHPVWPGIQPGAGKPILARGGFKLLPKKSYRLQLPAAWSGRIWGRHGCAFDAHGRGKCATGDCGGVLFCNGLGGTPPATLAEITLGSDQDFYDVSLVDGYNLAISITPYKGSGKCTYAGCVRDLNMMCPVGLQVRSHDKRQVVACKSACFAFNSPRYCCTGSFGSPQSCKPTAYSRIFKTACPRAYSYAYDDPTSIATCTGGSYFLTFCPHH >KZM80691 pep supercontig:ASM162521v1:DCARv2_S111.1:87177:87665:-1 gene:DCAR_031742 transcript:KZM80691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITTSPKNSPLHLTDLADITRVFNRFDANADGKISADELADVILTLNSSTSPSEISGMMDQIDADRDGFISLEEFAGFCAAGGDNVDGELREAFELYDINKNGLISSSELGEILTRIGERCSEEDCVKMIESVDEDGDGFVNFEEFKTMMSRSSSSLSRK >KZM80689 pep supercontig:ASM162521v1:DCARv2_S111.1:70482:83315:1 gene:DCAR_031740 transcript:KZM80689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQSENLEGSTSSRSSKFRDVSSPDRVLQAQSSLNSEHKDKPFSGLSGWLNSVANKRSPSPTPPSSSNVTRKGRAEPSDLANGSSQDVVRRDIESSNSRDPNIVNEEYQIQMALELSAREDPEAVQIEAVKQISLGSFPPDNAPAEVTAYRYWNYNSLSYDDKILDGFYDLYGILIESTSSKMPSLVDLQTTPVSDSITWEAVLVNKASDSKLLRLEQKALELAVKSRSECLDFDGADLVRKLAVLVSDHMGGPVTDPENMLLAWKSLSYKLKETLGSMVLPLGSLTIGLARHRALLFKCRPRLSRRQVESRRPDTLESTSRQVAQLVVLADSVGIPCRIMKGQQYTGSDDVAMNFVRIHGAREYIVDLMADPGTLIPSDTTGSDIEYERSFFSTPLAADSSSTASSGSGITSSYEQMLERQASEVRSRCNVSSAENKLDERSEHVPSTSSILHSKSEEGSKTSSATPGNSGKEMVRETPRRSNFSFPHARSPSWTEGVSSPAAHKMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPDLFTEIYQEQVDMQKAEQNIQSEKDKRIRTSDETLKNKGKPDYIKPRFLPPLPAHSANAKGGHSGQVEDHSGFKEDGERVSLQADVAPKKHVRNVPVAAAAAAAAAAVVASSMVVAAARTSADSNPELPVAAAATATAAAMVATTVAVGNQQENVDAHSPSAATPFFNPLGCAKSDGDADATVYEPRGSGNLLPDATRGNSEGERTSDRSAGNDSTRSDTSLDEVSDCEIPWEDITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQELTGESLEEFKSEVGIMKRVRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRLIHRPNNQLDVRRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKKGTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSYGVILWELCTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDIDPAIADIICKCWQTDPKLRPSFSEIMAALKPLQKPISNSQVPRPPSSASSGQ >KZM80686 pep supercontig:ASM162521v1:DCARv2_S111.1:22214:28770:1 gene:DCAR_031737 transcript:KZM80686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNEMTAVMLTSGASGRINALISLRAWRSFLTLLNAFLLIFLFPFRGRRKSPVTPPVSPEKPGGNKGLPAVRVPTNIVPWKSWSTEVAARRSLAIRRVTQESAALEGESAREFCFFGTPRGETLFTQKWAPVLTEARGLVVLLHGLNEHSGRYSDFAKKLNANGYKVYGMDWIGHGGSDGLHAYVHSLDDAVTDVKSFIGKVLADNPGLPLFCFGHSTGAAILLKAVLDPKIGSRVDGVVVTSPAVGVQPSHPILRVVAPIFSVLLPTYQFGAANKQGTVVSRDPAALLAKYSDPLVYTGSIRVRTGYEILRISAYLQQNLEKLTVPFLVLHGSADAITDPVASQRLYKEASSADKSIKLFPGLLHDLLFEPEREEIMLAIIEWLNKRVQYLRHCIISSKVVSPKTI >KZM80688 pep supercontig:ASM162521v1:DCARv2_S111.1:59965:62885:1 gene:DCAR_031739 transcript:KZM80688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYSDLLPPAVTPPAVQVFDSGVDHAAQFNNNPPYEEMITTAIIALKEKDGSSRQAIDKYLENVYKNLPPNHGTFLTQQLKKMKNEGKLVMNKHSYMLPGVQFVDENVGQVSVGLKRRPGRPPKVQTNGSGGAVNLEAPPASVGVMGDSGLVGGAAPFDPIEAVPNVVPIGEVDAGTVVKRRGRPPKVQAMMVEGEPPVVVEPVVGATPIPVGRRPGRPPKVSYGMVGVVNGGSILGKRGRGRPVKNLGVGSGLVGYVGGAKRGRGRPPKFRGVGLVMGQRPRGRPRKGTVARPRGRPRKSVPVNGVGASFSPVDGMGETGRPSKMAVRRNPRKLSGKPLGRPKKDASAQGPGVQAAIQQSRSLQDLAARVEHFQSRIKQSVGVVKSYLDIEVAPIAVRVLEELEVMASSDLNVELGAPNAENTGVAGAGAAPSSDQGGQESAPVAPLPV >KZM80690 pep supercontig:ASM162521v1:DCARv2_S111.1:85485:86141:-1 gene:DCAR_031741 transcript:KZM80690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMQRKTPGKNQWTLLLPTSHLSHNHNRPLMPPSIPFWLRLFRTLVIVSPVSNNQDYGGVLRVLTDSRLLRFLDGEGRGGGGRAAT >KZM80349 pep supercontig:ASM162521v1:DCARv2_S1158:2167:2577:-1 gene:DCAR_031749 transcript:KZM80349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPVVDGHAVNEPPQVQPPVENGHAANDRVANGAHD >KZM80347 pep supercontig:ASM162521v1:DCARv2_S1209:8327:16929:-1 gene:DCAR_031751 transcript:KZM80347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFPPLPPPGLVAPMVPENALVVLDEMPLAVAAPVDALAMPVVGAALEDAQAVPDVGAALEDAQAVPDVGAVPENAPVAPGPGAMVQFQAVFDNLSDEESVHTNDMYVNAPPGTLTRLRQDFAIMDAGGTIRDHSHTQSESSSSIGVSIDNHPEIIKANRFKLDYVNFLKSKGLLDDFNAYQSSLSNLHARKVDDNGVIRPTLTSVARDFCVRQGILCVGNSNPDVGTSAPDLDRTLPLWMLGAPYGTTRTLSLSLAAQLGFQALADVDDDVMIDNTGIHNSYAHGPNVVVFGLAAPGGAMALPPLPPALEEGEFTPASKGKKKQRSKVQQASPSKRGLGNGDKGSTLPKRSRRCPSGARTARVGSHLLSHLVPLHLLYIPICVLFPICYFMDDFPPLPPPGLVAPVVPENALVVLDEMPLAVAAPVDALAMPVVGAALEDAQAVPDVGAALEDAQAVPDVGAVPENAPVAPGPGAMVQFQAVFDNLSDEESVHTNDMYVNAPPGTLTRLRQDFAIMDAGGTIRDHSHTQSESSSSIGVSIDNHPEIIKANRFKLDYVNFLKSKGLLDDFNAYQSSLSNLHARKVDDNGVIRPTLASVARDFCVRQGILCVGNSNPDVGTSAPVAPKDAKTWSSIVAPFVPQNDSSTPEPSLSYNVDGSANLSPPKSFLLAGRKRWATSCIGYFVGGGFSFKFVKENALKMWKNRGLLNVYFNSKGYYTFEFKTEDEMKSFLGASSMMMGGKRMYLGAWIEGTNFQRNVIPFVSIWVQFSDVPHSYWTAEGIRMLARAVGKPITLDAPTAKLEPMRYARVLVEVSYKSPKPDFIWVPVISDEDGSIVKVKVQVLYSAMPLSCSLCACYGHSLARCTKNPNKVKDPSSDKGSTRTPPAHHGEPKSDTPKSTTRAPPEHTELQQQNGNTQLEELEDVEMIQHMADIITDLARDMAYTQVQHTATDSVQTPVPENAPVALEGILESAPLDAAFADQEQINSPNRFQALADVDDDVMIDNTGIHNSYAHGPNVVVFGLAAPGGAMALPPLPPALEEGEFTPASKGKKKQRSKVQQASPSKRGLGNGDKGSTLPKRSRRLQGDATKASQ >KZM80346 pep supercontig:ASM162521v1:DCARv2_S1209:17:3468:-1 gene:DCAR_031750 transcript:KZM80346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRSSGALQAHPGRARAVRSLGRSSGALQALLGRARGALFGALLGRSSGASRALLAIMQALGGGCRPSLGSGVGRSVARAGRSLGARWGALRALCGRFSGALGYHPGTMRAFYAWPVLSWWHKVITQKLLSWRWAVDSGVLR >KZM80348 pep supercontig:ASM162521v1:DCARv2_S1209:20975:23125:-1 gene:DCAR_031752 transcript:KZM80348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAPLSSSVANDPPPVASPPLNIVPLASSDANVQVLAPVVGDDVQDHSSFDLVAQMPAAVLLGEFDEAASSSDSVAQVTHGVRDESPANARGAPVPEGARVLREDAPVGARVVTAPVSARGVREAETVVDLQARSQAIKHQRTMIDVDQPVFEVVCALPASFSPPSCPQATVSESAKNKRKKGKGKGQNGPFFTESPQVSPPLASPSKRGKNVDADGFTQIENKRSLRSRGKVTNPNVSQ >KZM80345 pep supercontig:ASM162521v1:DCARv2_S1216:38116:38328:-1 gene:DCAR_031753 transcript:KZM80345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNEGVLQAYGVRRLAASLKEGAGQNSTTEPRHSKDPDEDDEYVPEKEPQVESDEDISEMEVPCICLIF >KZM80344 pep supercontig:ASM162521v1:DCARv2_S1218:43934:44287:1 gene:DCAR_031754 transcript:KZM80344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDFDNHAPHWLLGRSGRTRKTMLDKMHKREKNRSAELTKVREELSREMEEKMNRKLKNILEKIVQMTSLQIDIEELLADDNTDHGAERRWMEMKRRELLDSFRSYAVLFFQNPGA >KZM80341 pep supercontig:ASM162521v1:DCARv2_S1246:6588:6926:-1 gene:DCAR_031755 transcript:KZM80341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQAQISINQITAENKFMEERIKRLEEENAKLQHKIKLMDIHQSNDEAVIDLLKKHIEERRELNHFVMDDSNFEPSKIAKRKMIKEAFKAEAESRKFGQAAQVDERIEGKY >KZM80343 pep supercontig:ASM162521v1:DCARv2_S1246:21857:25054:-1 gene:DCAR_031757 transcript:KZM80343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKATEQPVIIILSACKVGKWNGEVDVSHVPATQVYLNYHHHSVLQLRKMLKNPEFAKLALEKETKRPLKIIKLGKEYIEASVFTHVQIVGIEQTTKWFYNACTDCGKETKTGNPCPICESCNRLVPYPDKKYRIHVFAKDSTGDMQLILGDREVRTIVGMRARELVTQVSDVLQFPKQLAEMVNKDYSIIIKIRQMNVEKDFKVYWVSNICRGFVAIPRPSSEDSANIGGQTSQGGRIHAWIPPNNMQPVENHIVEGQIFHVHNFVVGKYQEMQLGRCFEQDIYIQFTNMTQVFMTEGLAFIPHHVFDFTDFSPLRDAADEPRYLIDVVGVLDQAQPITHFRNSRRQHQSFIEFTITDMQRSAKVTFYNNMAETFEQEIQDAVQHPIIVIISSCRPQIVTDAPQLTNMPATRFFINHNHDAVEDLRDALSFAYWRNNP >KZM80342 pep supercontig:ASM162521v1:DCARv2_S1246:20162:21032:-1 gene:DCAR_031756 transcript:KZM80342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNLRPNTGNAWRLKVRLTRMWHQITRNGETVGISLILVDALGGRIHASIPAQYINHLQNILTEGQTYDVHNFVVRQYANLQHGRCFKNDIYIHLNHMTEVMVTGGVDYIPQHVFQFTELDDVVGILEQAGPLTNFTNRNGLPQACVEFIITDMFTSAKAVFYNEMAEEFDQAIQDAVQHPVVVIISSCKAQMLSGN >KZM80340 pep supercontig:ASM162521v1:DCARv2_S1270:7073:8874:-1 gene:DCAR_031758 transcript:KZM80340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENHQNYTNNEDVVLPEAMQEFLNNQNLPPPHIQNVADPVVQEEEAPETQAEEVTESSHQANIPETQTSQMEEEVIVEDAQTSSDDNAQSEGEDSLQDNSTDSEDEVNTPVQTTAADVMVDIDELFTNTYNPLLQSGIPSDSDNLSFSAPDWVQNLLDSNQLSPPITSANEFEIPQVHNQGTTSQTTEAETTLPLSQPFTIPESEGESALSAPHKEIVSETAALSPSQERRIEPETTADMSISSPPQPNTIPMHSEVAHTVEELTVANTLSSMSGIDTVVSDPFQGQVPSQASGGNLDEMPLSTSLSTPLGGTFPDPSKDSSPLEGERQSVSEPFVSGSVPVIEDLSQSLSPSKAVVGNQGASPIQGSHPSSPVSTHPEIPTQDPSKDLLHSSSWQLVSNDSDSSDEETEDEGSRTFIAPSVTSLEEAKKISSAGTSTEAGDSLSERETLTEPVIQKPSAPLSALVLSEMRETPAERTSENPSTQPTIESTIPTVSVTEFEALKFKVQHLEAENLVLREELVEIKSTMEQRLAALEAKLLASQPSREDYSTEGERAAEKAKGKRVLTGGD >KZM80338 pep supercontig:ASM162521v1:DCARv2_S1286:63399:65120:-1 gene:DCAR_031760 transcript:KZM80338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVKERLMHTKKKLMFNNDDEGHVTEEPTSKVAMKHVDARGPVARQIGFQESGGSKETVNAYEQRRNEIVASNKAKMRELGLGQSKSDGRKENREKTPRINEDASESDYVPDNDEHAQLEQSDDDLDNIVTSKVPPVEPIHKDAPLLTAKEKLQNLRNGPGSMASYNELREREKQLLEKQQLEKEIPEGESATQQVISQSESGKKEL >KZM80339 pep supercontig:ASM162521v1:DCARv2_S1286:73432:78381:1 gene:DCAR_031761 transcript:KZM80339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHTRLMRKYKQVPEWWFACILLTNIVATIFVCEYYKAQLQLPWWGVLLACGIAILFTLPIGIITATTNQTSGLNVITE >KZM80337 pep supercontig:ASM162521v1:DCARv2_S1286:31940:34149:1 gene:DCAR_031759 transcript:KZM80337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCIAVASTISVRPKSRQTLAASATPFFNSAALRKSFQSLSVSSRSPRSLVVKASELLLVGHVASDFEAEAVFDQEFINVKLILERKLGWELLISLNSRKLDETTKSLETELIQSRTSQTARSKPVLEKIVTASKAKEAFVFIGINTTSSSKKRHDSVRETWMPTESRRFSNRVS >KZM80336 pep supercontig:ASM162521v1:DCARv2_S1314:2144:2470:1 gene:DCAR_031764 transcript:KZM80336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTVKINEITKENHLIEEAIKTLEEENAKLEHKLKLMEIHQKHDEAVIDVLKKHIEERRAFNHFNMDDSNFEPHKVAERERIREAFEAEAERRKAAKASGPKQDKN >KZM80684 pep supercontig:ASM162521v1:DCARv2_S132:9554:9760:1 gene:DCAR_031767 transcript:KZM80684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARIHANASNMPLHPFWEPKLNILRSSEYSEIHGFPSNIALSNMFDFGFDGSAKPKPSWNLNLKGGR >KZM80683 pep supercontig:ASM162521v1:DCARv2_S132:6564:7155:-1 gene:DCAR_031766 transcript:KZM80683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGSSRALKKAGDNLEEEVSDHDSVELDFDNHAPNWLLGRSGRTRKTVLDKMQKREKNRSAELTKLREELSREMEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELGGNEDEGAI >KZM80682 pep supercontig:ASM162521v1:DCARv2_S132:2892:3830:-1 gene:DCAR_031765 transcript:KZM80682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHLMLRALASPIGPTDWNEALDIIAYGSHEQDNAHWEVVDALPSYGRGIELPGGRYRSLITGNNLVDVVITGDNGTIDSQGSVGGSTSVLIV >KZM80332 pep supercontig:ASM162521v1:DCARv2_S1326:4620:5386:1 gene:DCAR_031768 transcript:KZM80332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRLPNSPSLKSNTNMAITPKFVKFLGESDLDEDEMRLPPLFRAKVSNLFPTAVQLFFRNGYNVWVGYDNDDGVFKGVGKIYRKFGLKKGQTLLFEYVSMFNFKVFIFGADLTEINYPVNPPILNWSHGTEVVKHDGSLKFLYVLSCGQEIVDEVVSTVLLVL >KZM80333 pep supercontig:ASM162521v1:DCARv2_S1326:5577:6845:-1 gene:DCAR_031769 transcript:KZM80333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESSVQLVNDSSTPQTDRRKDKNPVTQKRAVFAPLCTITPDIRLHSSHDKDGNHKRKNIGNTSTPPYVNPQGSDGYNVLGSPAALTANTLPRSNKIHHGSPHKKKLRHPARDMHQKPAFVTPLTNVEARKSKSNSRFQGFAAAEKENVRVPNQSMQKSNKSKKASLRVHDQNSSMNSTRITPLTPSMEGRPFPLDSGVSASRNSSNSESTVMHEKFKGNQFGIPKRVLDFNECSRENPDPDVYNQTEIPEIHGFEDSDSDISADDDIDYVNEDCDENINSGHGSA >KZM80335 pep supercontig:ASM162521v1:DCARv2_S1326:24241:24686:-1 gene:DCAR_031771 transcript:KZM80335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPDGPQETLKTSESESSHSKAFTKRLMGMPDNEITELIEDSRDKEYIDSGDGASEVQSIGSQAVNNFLNFLNTDEEDSNINGSFSAQDGTNKDTDILNMNHVQCNKRRAVFDTAKLQIRRNFNHI >KZM80334 pep supercontig:ASM162521v1:DCARv2_S1326:18993:19943:1 gene:DCAR_031770 transcript:KZM80334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVILREEVGTIGDEVLKANANLVKWMLLSLHGHGNIWVVTRPLNSSQQLKDFLALSFIESAQYDFPITKYVRRVCNAVDGAAESTDILGRIATGFNVSALGGIFGRSCNSIFDIQSINNSCGWAWQFLLVFIHPFPKQCKELVMPVGSAANDTMFGEWPFNIKNLDRKCKDAFGVTPRTHWIMTDFDDHIY >KZM80671 pep supercontig:ASM162521v1:DCARv2_S133.1:43278:43862:1 gene:DCAR_031777 transcript:KZM80671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVPSTTPVPPGLTQEEFQQLQPMIREFHTYTLTPSQCSSLLTQLIHAPPQTVWSVVRRFDQPQIYKHFIKSCTVKPEFTMTVGETRDVNVISGLPAATSTERLDILDDERRVTGFSIIGGEHRLRNYKSVTTVHGVERDGEMWSVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLASVAQAMPREATS >KZM80675 pep supercontig:ASM162521v1:DCARv2_S133.1:93554:95341:-1 gene:DCAR_031781 transcript:KZM80675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKAAATSNLGSSLAVENVQLLASEDFKDIPPRYVRPEFESEEILDDHSIKIPVIDMDKLVTGQLGYDEELQKLHLACKDWGFFQLINHGGIEIIEKMKAVTEQFFSLPLEEKMEWAQPPDDIEGYGHLFVFSEDQKLDWADVFFLYLLPVSLRKMRLWPEKPVSFRSSLDEYSKEIHRISMSLFRLIEINLGVEPGNLSRLFDQDCKQGIRLNYYPPCKHADKVIGLTPHSDAVGLTLLVQVNDIQGLQMKKNGKWLPIIPAPGAIIVNIGDMLEIMSNGEYSSIEHRAVVNYQTERISLATFQMPDLATMLGPLPELVDNNQPKFVKMSIEEFLRLNLRNRLDGKRLLNEMKLKY >KZM80677 pep supercontig:ASM162521v1:DCARv2_S133.1:129512:132926:-1 gene:DCAR_031783 transcript:KZM80677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHYLNIDKPNKAISIFSQLLVNHKPDGFSAVAALTACGRFRDLRNGRAVHGMVIRFELCDEPILGNALIDMYSSNGDALRAKTVFDVMGVRDVVSWTSLLNGFVKCDDMDSARQVFDEMPVRNAVSWTGMIVGFVRGKVSVCGLELFREMVGDGGAFPTEITVVAVLSGCADIGALDFGRTVHGFVSKSVLVRDMAVNNALIDMYSKSGSLESGLEIFHGMGCKDLFTWTTTISGLALHGKGRRAVELFGDMLATGLTPNIVTYVAVLSACGHSGLIEQGKLLFKNMINSNGMKPTIQHYGCMVDLLGRAGCVHEAIELIECMPMRPDAVIWRSLLSACLGKGELNLAEIAAKKIIELEPESDGVYILLWNIYRNANKWDDASKTIKMMRSQKIKKKPGCSWIELNGIVHEFLAEDSLSSIRPDIHISLSENVNHLVLDYYKENCPMVEEIVQRQVQIAVLKDPRMAASLLRLHFHDCFVMGCDASVLLDSFEGMVSEKQAAPNVNSLRGFQVIDEIKYILEEACPCTVSCADVLAIAARDSVALRGGPWWNVYLGRKDSLKASFNGANQFIPTPNSSLETLIANFKVQGLDIGDLVALSGSHTMGKARCVSFRQRIYEDMSEESLEYYRHSDRTRIFRRVLQSICPQSGRDNAIAPLDYKTPTRFDNHYYHNIVEGKGLLQSDNVLVSEDYQGEIRKHVWGYAKDEEYFFQSFANSMIKMGNIDPLTGEQGEVRKSCRIKNDT >KZM80670 pep supercontig:ASM162521v1:DCARv2_S133.1:37149:37659:-1 gene:DCAR_031776 transcript:KZM80670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKLIGGSGFMLLEYCHLFHTLVRWTYTIYFCWTLRPSWGAFKHVKTLLRIFFWLNTVVTALDNDDLEIPMNKFEIVNLPDLHHHASPLGPNPQHQYSTGK >KZM80678 pep supercontig:ASM162521v1:DCARv2_S133.1:135750:136115:-1 gene:DCAR_031784 transcript:KZM80678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSIKNNSTNFRRNIFFTTLNVHHHKRITNKHITLHKPILNHHPRKHRTPPILSNSNSTTPNLPTNLKHKQKRILIRPPPTPYHPHKHLHQLFRRKGPAHFNHLTPPIEDGSILTRILTA >KZM80668 pep supercontig:ASM162521v1:DCARv2_S133.1:14756:22833:1 gene:DCAR_031774 transcript:KZM80668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSLAQTLGDLNTQNFVTLLSKLIGESKYVQNNPPELVPEEDRIVNHVLESLLPLSTTTGGGPLIVNHVTYVSKRGNLIVEYPGTEPDKILSFVGMHMDVVPANPDEWNFDPFSLSTKGDELRGRGTTDCLGHVALVAELMRRLGETKPKLKSTVVAVFIASEENSSIPGVGVDALVKHGLLNKLKQGPLYWIDTADKQPCIGTGGAIPWKLHVTGKLFHSGLPHKAINPLELAMEALKVIQLRFYKDFPAHPKEEVYGFATPSTMKPTQWSYPGGGNNQIPGECTISGDVRLTPFYDISDVMKKLQQYVEELNTNIEKIDVRGPVSKYVLPDENLRGRIEISFEEAYPGVACNLDSRGFHVLCKATEQVVGHVKPYSITGSLPLIRDLQDEGFDVQTSGYGMLLLLLSCQI >KZM80672 pep supercontig:ASM162521v1:DCARv2_S133.1:72230:73252:-1 gene:DCAR_031778 transcript:KZM80672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSLKLVEERHTKTTISNPPPAQPLLLRTKIPLTIFGFPFLSGFTTSDHSNLSLSLRTNFLSGPSLKLSYTPSSHAAASPPPLTLTLKSGVSLSGSPNNSPLIISANFSLTPSAFSNPTPTFSLHFKPQFGDFSIRKCVFSDPKGNGDKGPVGLVSERSRVWKELGLEAGEKEPVFSGVEVMANTKMPVAKRVAVNLRWGVKFPGDFSSQLPYLSVNKIGIERVDEVKVKKLNSEDKSVGDSEMLMGMMSWMKRELESVRRENQEMKCNLQELNSRKSVRSGGGEKSMPVVQSTSGFDQWRNKKSVAEENRKKELKKVEAPVTDVESELQKAIMAASST >KZM80676 pep supercontig:ASM162521v1:DCARv2_S133.1:100460:100729:1 gene:DCAR_031782 transcript:KZM80676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQYREERKGRHWVPTKDETDTEVMYGVGRALREYHFKKDRDTNTSFLRGQITEEQRVALLEDSWAEYDAKVIDIVKSNFDEYAKHP >KZM80681 pep supercontig:ASM162521v1:DCARv2_S133.1:160400:161315:1 gene:DCAR_031787 transcript:KZM80681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCTDDCSIRPCLQWIASPESQAHATVFLAKFYGRAGLMNLINAGPDHLRPAVFRSLLYEACGRIVNPVYGSVGLLWSGKWQLCQNAVEAVLRGDPITQVVAIDAAEANNGPPLKAYDIRHVHKEENNNANDLHRVRNRCKFKRPGKEANASRSHESSLSHQSEAVMNGEGESRETESFVTAETADGSPGLFGAEPQGLGAGEDHKEAESDEIELELTLGFKCGRSV >KZM80667 pep supercontig:ASM162521v1:DCARv2_S133.1:6985:9079:1 gene:DCAR_031773 transcript:KZM80667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPTPPANGSQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMMLMYQYGARSVKCAVCNFVTSAGASSTSTAEQKFSS >KZM80673 pep supercontig:ASM162521v1:DCARv2_S133.1:87944:91158:1 gene:DCAR_031779 transcript:KZM80673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRKKDSRPVTYQAVEQGEDDSIYTKDGTVDYCNNPANKLITGTWKACPYILGNECCERLAFYGISSNLLLYFKNQLNEHSVTASNNRSNWTGTCYATPLLGAYIADAYLGRYWTIASFSIIYAFGMLLLTLSASVPGLKPICSENNVCYATEFQTAVCFIALYLVALGTGGIKPCVSSYGADQFDDADETEKKYKSSFFNWFYFSINIGALVAHSVLVWIQDNVGWGWGFGIPTLAMAIAIVSFFSGSKIYRNQKPVGSPLTRLFQVIVASCRKRGKKIPDDISLLYGGADAELLSTERQKPKHAKYLTFFEKAAVESETDYIEGSIDPWRLCTVTQVEELKSIIRLLPIWATGIMFSAVYNQVGNLFVLQGSAMDIRLGNAGFEIPPASLGIFDTISVIFWVPVYDYFIVSIAKKLTGHRSGLTQLQRMGTGLFISIFAMLSAAVLEVVRLGIVRRHNYYELDHVPMSIFWQVPQYFIVGCAEVFTFIAQLEFFYEQAPDSMRSMSSALALTTTSLGSYLSSLLVTLVTAYSTRNGDPGWIPDNLNYGHLHYFFLLLAALSVVNLVVFILLARGYTYNR >KZM80669 pep supercontig:ASM162521v1:DCARv2_S133.1:35004:36139:1 gene:DCAR_031775 transcript:KZM80669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTAGTVGGAAQLIVGHPFDTIKVKLQSQPVPLPGQPPMFSGAIDAVKKTVAAEGAGGLFKGMGAPLATVAAFNAVLFSVRGQMETLLRSAPGSALTIEQQVVCGAGAGVAVSFLACPTELIKCRLQAQSALADAGASATAVKYGGPVDVAKHVLRSAGMKGLFKGLVPTMAREIPGNATMFGVYEALKQYMAGGQDTSKLGQGSLMLAGGLAGGAFWMSVYPTDVVKSAIQVDDYKNPKYSGSINAFKKILASEGVKGLYKGFGPAMGRSIPANAACFLVYEVTRSSLG >KZM80674 pep supercontig:ASM162521v1:DCARv2_S133.1:91601:92935:-1 gene:DCAR_031780 transcript:KZM80674 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MDSQNGRMCILMIPHLAHGHISPFLELAKHLTKRSFKIYLCSTPINLASIKTRVDENDDIQLVEFHLPSSPSLPPHFHCTNGLPSHLQPLLHQTFENAAPVFMDILKEIRPNLVIYDVIPSWPAELALSLDIPAIHFSTHAASTCSLAIHFYKRVGHDFPFPEVFDSSMDRAPVSQDELKLIRNFVLCFERSCGLVLVKSVGEVEGKYIDLLSDLVEKDVIPVGQLIHDPTGNEDENLENIMEWLDKKERSSVVFVCFGSENYLSAEQVMEMANALETTKCHFIWVLRSPRGEEKGCLLLPEGFAERVRGFGLIVEWAPQTKILGHSSTGAFLSHCGWSSVNESMKFGVPIIAMPLKEGDQPTNAKLALEIGVGMQVRTDSERRYKSTEIGDVIRKVLVEESGENVRKKAKELSLRMKERGEEDLDIAAEKLMQICSKKKETCY >KZM80666 pep supercontig:ASM162521v1:DCARv2_S133.1:2251:2490:1 gene:DCAR_031772 transcript:KZM80666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRKYGPPGHRNLFNHLMKMVVQASRGCQTCVSITHEDGFQSSREVVPGLDAPNKTQIYRFEKRYTKGSKFVVNSMLT >KZM80680 pep supercontig:ASM162521v1:DCARv2_S133.1:155797:156080:1 gene:DCAR_031786 transcript:KZM80680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVESFGHVVDDIGEHIVVAAREENTQTHVYDKDKHESEHSLLDENLDELLDDIKNQM >KZM80679 pep supercontig:ASM162521v1:DCARv2_S133.1:136869:144340:-1 gene:DCAR_031785 transcript:KZM80679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTPPKRRRRAQPRTPNSSSSPSSILASLEPSPTLLPSKKSEFLKLLGVVTIASFVAFSSNYLINFFNNHSTPFCDMDLSLSPSDICIPCPPNGVCHQGKLECTTGYRKLGTLCVEDGDINETAKKLLGSVEAHVCEAYAQNLCEGIGKVWVQEDELWNNIEEMKLMEHYGLDTSVYMLAKGRAFQTVGQLLETRVSSSGVRELKCPDSLVKHYKSASCYIRQWIAAHAIVLVLVCAVVCDILEDTALKSRSVNGEVEPWIVVSWLRDHLLSPRERKNPLLWKKVEELVQEDSRLDRYPKLVKGESKVVWEWQVEGSVSSSGKAKKSEGGISKRSVGSILASNQTPRKQEAGHPLYS >KZM80331 pep supercontig:ASM162521v1:DCARv2_S1351:34025:34219:1 gene:DCAR_031789 transcript:KZM80331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPLQLLQDKDESKYLVSRLSRQPDNYQQLKYPKNSAGPTTGKTVLRIEKVKTRKMSGIFKLG >KZM80330 pep supercontig:ASM162521v1:DCARv2_S1360:9722:12872:1 gene:DCAR_031790 transcript:KZM80330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRKILIKHLGRKAWLKKYIPGIPHGSKYRVYFNTPSGPIERVPAWATYVLPDADGNQAYAVHWEPSPECAYSWKHEHPKAPKALRIYECHVEISGQEPKVASFNDFIEHVLPHVKEAGYNAIQLFGVVEHKDYFTLGYRVTNLYAVSSRYGTPDDFKRLVDEAHGLGLLVFPDIVHSYSAADEMVELSLFDGSNDYYFHTGNCLQISDVDFTAFKSKFSVAPCFLKSYTKLISLSSLRRTF >KZM80327 pep supercontig:ASM162521v1:DCARv2_S1374:323:5293:-1 gene:DCAR_031791 transcript:KZM80327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSISRSPSYHRRRYSRSPVRHSRRSRRDRSPYSSRRQQEEELKQLEEETAKRLEEAIRKKVEEKMGSEEVKVEIERRIEEGRKKAFDDVEVQLKNEKEAALTEARRKEEQARKEREELDKMLEENRRRVEEAQRREALEAQRKEEERHRELELIQRQKEEALRRKKLEEEEEHDNQMRLLGKNKSRPKVIGL >KZM80329 pep supercontig:ASM162521v1:DCARv2_S1374:41827:45099:1 gene:DCAR_031793 transcript:KZM80329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRLFAVSLITFYLAFNLQQTNATVLVESATAKALVQSACSASDSPPACYAVLNPIANNPNLNPTDICSAALKAATNVARVTHNMLNMSSAVASSIARDPSVRQSIVLCSQQYAQVLDFIDKSSNALTQHVDEDKVPEYLSSAIAAVAKCDASAAKVANTAMQITNKNLDCSKLLKNALSIFKVFAEYIENPSHDPKQITAGASGHIGGNLDFNAGGSGGISGLSSPTNSPTSNDDASAGNTANNNNMKFSFAKSGEFKKEFSANGNIQSQPNSPRSTPQKISLQMKFEGLGMVFGKRIKGVFNPDSEKPDSESIAGAPQTEATPSQISTTQKFEGLGLGFGDRFKGLFNPDSGNNADPSLSATSASEPQTTNTPSQISTNLKFEGLGLGFGHRIKGLFHHDTEYTDTTGNSIGASQSGSSADATQSGSSADASQSGSSTDASQSGSSAGAPDSGSASSKISTSTKFEGLGLGFGQHFKGFLHRDHENTDPGSAGEPQSGSIASAPDSGSTTSPISTSTKLEGLGLGFGQRFKGLFHHDSTEGSGAGELPSGTVASAPDTGSTPSQISTSTKFEGLGLGFGQRFKGLLHHDSTEGSGAGELPSGTIASAPDTGSTPSQISTSTKFEGLGLGFGQRFKGLLHPNSEKPEGSGVGEIPTGTIASPPDTGSTPSQISTSMKFEGLGLGFGQRFKGLLHPDSTEGSGTGELPSGTIASAPDTGSTPSQISTSTKFEGLGLGFGQRFKGLLHPDSEKPGGSGAGELPSGTIASPPDTGNTPSQISTSMKFEGLGLGLGQRFKGLLHPDSEKPGGSGAGELPSGTIASPPDTGNTPSQISTSMKFEGLGLGLGQRFKGLLHHDSTEGSGAGELPSGTIASAPDTGSTPSQISTSTKFEGLGLGFGQRFKGLLHPDTEKTDGSVGEPQSGSIAGAPDTGSIPSQISTSTKFEGTGLGFGKRMKGFLHPGFENPDESNTGESQSGSIADAPVSTGLKFSLSGFAKSFTSSKKHKEHKETGSGSPSPAPAKVPSSSGGANTPSAVHSDAHNDASNDGANSYSDDDVTTETTDSPSPSPSGKNSQVKLKYSLSNLIPDT >KZM80328 pep supercontig:ASM162521v1:DCARv2_S1374:21706:27110:-1 gene:DCAR_031792 transcript:KZM80328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGEALIEILESLNDSNNRIKDWNYYFVKPCPSWSHVTCRDEHVIYLSLASNGFSGTLSPSITKLKFLVSLDLEDNNLSGELPDYLGTMPQLQNIKFARNGFNGSIPSSWGQLSRLKHLDLSSNDLTGRIPTPLFSVPVFNFSGTHLACGSSLQEPCVSGSSIPGSTRKSKLKAVITGVTSGAFVLLLLGAIFMYRSYRVRTYKRDVYVDVEGEYECKLSFGQLRRFSWREIQLATDNFNENNIIGQGGFGKVYKGLLLDGTRVAVKRLNDYQSPGGEEAFLREIDLISVAVHKNLLRLIGFYTTSVERILIYPFMRNISVAYQLRDLKPGEKGLDWQTRKRIALGAAQGLEYLHEHCNPKIIHRDLKAANILLDDDFEPVLGDFGLAKLVDTRLTHITTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFSRLEEEEDVLLLDHIKKLLREKRLAYIVDEHMKIDDPTEVETVIQIALLCTQSSPEDRPTMSEVVGMLQGDVMTDRWAEWEQQEEVRNQEFSLLSYQYIWSEETTQDQEAIQLSQAR >KZM80325 pep supercontig:ASM162521v1:DCARv2_S1379:33836:34189:1 gene:DCAR_031796 transcript:KZM80325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSHLHVYHCALLISLFVACLFAFHFPAPSSFSTQFSFVTPKLGRILPCGLVRAPHDARIPRRGGVVAPASSLPRPWLRRAGRLRGCVTLSVATEVCHPDLLGVCVLFPLFALFSC >KZM80323 pep supercontig:ASM162521v1:DCARv2_S1379:18545:20126:-1 gene:DCAR_031794 transcript:KZM80323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDALNEIADMQGLTTREMAPGFTDFKEKSAVWMVNDKHANARGSELALKKNGVLVLKDVEDTAVWESNSTSTGARKAELLNTDILSKQGPTEMHLDVRNNRFIRYGAKNIYPGYLDRKEINLNRDDRQVLAVAHVQWTPLRKSNAS >KZM80326 pep supercontig:ASM162521v1:DCARv2_S1379:36416:36715:-1 gene:DCAR_031797 transcript:KZM80326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPRALFANQQISVSIDAIGSRFQFYSSGFFCGECGTGLDHGVSAVGYGKSSDCTKYWLVKNSWGRSWGDNEYIMMQSDICAKTGLCGIAMDASYPTA >KZM80324 pep supercontig:ASM162521v1:DCARv2_S1379:24069:25244:-1 gene:DCAR_031795 transcript:KZM80324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKRFDADALGVVTVCLVSIFVVFAFFCIVYCVYFRTRVRRQGFVRLGYFNGPWIIRITFILFAIWWCVGEIIRLNLLRSEGRLLNVNLEWQRTVCKGYIVSNLGFAEPCFLLTLVFLLRGSLQGTESETLSQKWNCKTAGYILLYCFPMFVLQLMVIIIGPRLNKGGSYSHQLPRYFTNTVAASMSKNAADVALCTYPLLSTIFLGIFATVLTAYLFWLGSRILYLVINKGLHKRVYTLIISVTSFVPLRVILLGLSVLSKPEHVWFETLSFLAFLSLLCCSGFGVCILVYFPIADSLALRNVQDMEAGSSDDQQDTVSLITNQSPLEEISLGRNSGTSAKRGSISFRTMDQDHQTSGTFVELSLFSASQHSSPPGSPQLMGWPMLHPA >KZM80321 pep supercontig:ASM162521v1:DCARv2_S1388:28080:28400:-1 gene:DCAR_031798 transcript:KZM80321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSPVAAAGSDCSSGGGDIVAGTNGIFSYIACVYVVCVSRVGDRVVTTFEGGDKVTIEQERQEIGDTREKQGRDEGKVKRFAWEAGFCPEIILDPESTETTPVGA >KZM80322 pep supercontig:ASM162521v1:DCARv2_S1388:36553:40776:-1 gene:DCAR_031799 transcript:KZM80322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAGKDSREREAAKKMKSTGEGSRGSKVVKKGKATWEGSRGGGVVKKSKATGEGSRGSEVVKKSMAAGKGSRGSEVVKKSNVGGEGFRGREVGNKGKEIGKGFRGNKMGRGNGGRGSVEEDDDSDSDFEYESENEELDESDEEIDTGDESWDDDDMEGSDDDDMESGDDDSIEGGDDDDNMEGEDEMGNDEATKGRAARSVCYGHYKKPPKKGQPKLGVVEFIDEKTIKQPKYKRTLKAIMRAEWKYETAFERGRKRNAFLDKCVKKFRRYYYYPEDYTVEEGNAVVKEHLKRNLKQNMFIWKRDANEQEAMERAAEEFASQTDPDEPPPSPATCRKRNILISLRARKLNKGKIFMNPNKTVQDVLGPEEAAKWTTLSTPARIPNHAYDMMGRALNEVTDMVQAMDGMNEIPRSRLDDELKKLADGAYPSKDDPVQRLLWDQYIKVAASLACSQFERFKKVIIEDTQEDGTENGHDMEDDEGNENGQNMDDEAGNMDDDGGSMDGHYSDEDGSFNNTQLSP >KZM80320 pep supercontig:ASM162521v1:DCARv2_S1395:23845:24174:1 gene:DCAR_031802 transcript:KZM80320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESQPLPAIAVSLATSLVAAPYLLIRNDYHKKVAPLSKGAYALHDFILLWGLEDFISLPALVSKLKKSFLLSLITKKVRFIAPTYTRGCRRRLLSAGSIPAKQPKPGT >KZM80318 pep supercontig:ASM162521v1:DCARv2_S1395:6051:13682:1 gene:DCAR_031800 transcript:KZM80318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVVCMLDFGWRCYRLQHVRSLTPRAAAAVSRTDRRLTCTGIAFTRFQNEVFIPTKWEDDFIFNENVGYALLEELDNVDSSRACGLPIRSKGMYISRSKHQVPVVYLEAGSTPPAVKDREAPAVNPEPGEKDFKTLRCVSKSYES >KZM80319 pep supercontig:ASM162521v1:DCARv2_S1395:20488:20892:-1 gene:DCAR_031801 transcript:KZM80319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGEKAVNTLLRNEASCLFFFKPPIRSRSPLLTESRLISLPLATQMFQFAKFEKSKERRLATELGYGFPIGDPWITDGISPWPFASESVLPSQCPGIHPMHSFRSCTLCRLASHRLHKRYTEHQPNIDSKKKE >KZM80317 pep supercontig:ASM162521v1:DCARv2_S1424:13641:17832:1 gene:DCAR_031803 transcript:KZM80317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQANISNSFWLILLVDFCNLYMPSEDAKAVLVDEDGQERDTTYLAKHHGLSARWKRFAREHELVRGDILILLVDFCNLYMPSEDAKAVLVDEAGQERDTTYLAKHHGLSARWKRFAREHELVRGDILVFHMITQYIFKVLFFSFRYFVCTC >KZM80315 pep supercontig:ASM162521v1:DCARv2_S1506:20866:21072:-1 gene:DCAR_031804 transcript:KZM80315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKKGGRIRTYGRPTPDLLGWVAGLAPLVASLPETDALRYPALHLVSPTPLLVVPLPIAGSPRSGRP >KZM80316 pep supercontig:ASM162521v1:DCARv2_S1506:21681:22868:1 gene:DCAR_031805 transcript:KZM80316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAPLGARRSRGSREGKRMSPLLHLARDDKERASSIDEQRIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNGIVALHSPPMRKDAAEKNGTLFRSAGCVGSRITSELFTLKFKHVGEKCYPALLLRSNRSPLMLLRRRFFALSSLWTGALVDTGREQAKRVVRNGKKDTTTSPLCWTAGANTVVSDQDQEPIRIWILTCRWFLTVGILPGSWWAHHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHSWTSFLNIVTLPCCVSGTSSIRSGLLAPVHSFATDDTRGIFLWRFFLLMTGISMILFSQMKQQASVRRTYKKEMVMARSTLVHLRHSARAQPRPVMLWKN >KZM80314 pep supercontig:ASM162521v1:DCARv2_S1515:10005:10373:-1 gene:DCAR_031848 transcript:KZM80314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFHFDDIIKVDRLDADGKKYDKVSRIEARSEQLNMQLHLDVHSELCPVRVGDKFRIVLADTLNEDGSAVTSLLPKGKQNSLADKFEYVMHGLRCIRYQMTDLTLMLKKWHVYHLEDFNWC >KZM80313 pep supercontig:ASM162521v1:DCARv2_S1555:33327:36009:1 gene:DCAR_031850 transcript:KZM80313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNSSQNHSSKLPATSSIYTSRQSQTKFAKPTVTSPKSVLVDMSNLGKLDRMLLNVQLGMMIRVPRRGVVHNLFLAAGWENMVFYKGQNYFVHLIGEFYGNIVVRKGMDDVLKISTVVHNKNMLVDVNTLNRCLKLGDQIAIAIRSNLLPKPKHAQFFDFVDLKVMFQLPLPPPLRSASFFVVAAGVAVECPPPAILQPLPLPDTTSDRNHPPTPAAIVFTCQARLLSASTCCC >KZM80312 pep supercontig:ASM162521v1:DCARv2_S1596:801:1214:1 gene:DCAR_031861 transcript:KZM80312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPRDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQGRTVMLLMIVLQTMHMID >KZM80637 pep supercontig:ASM162521v1:DCARv2_S163.1:53309:54398:1 gene:DCAR_031864 transcript:KZM80637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGRKKLRQAGNQIQGVTRTAYKIEREAAGLKDILKELPRREASQFRSEENSLHNRIILIQHISAVDDELMGYIVVLRAVVPMRFTVLQGSFISVTYQGPVTMYYWKYKLIWYNEHEHAYNKIILGASSSKSTDEFNFQSKCLFGSKESF >KZM80644 pep supercontig:ASM162521v1:DCARv2_S163.1:224622:225883:1 gene:DCAR_031871 transcript:KZM80644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLDATVCCLCGDIGFPDKLFRCSKCHNRFQHSYCSNFYRESPEAIAECDWCQSAANTSKHGGSSKKAMASGLVITNRPSYSSGDKSKQQHEDDNKGKSPSPSPRTATRRYKLLKDVMC >KZM80642 pep supercontig:ASM162521v1:DCARv2_S163.1:148157:149407:1 gene:DCAR_031869 transcript:KZM80642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGERVLISESLAEELNRSVDARRNVSVSRIVLPPLRIKEPTSGSSALERSFRRREEEIAKGKGKKKLAEYADEVHHYTDGEEFDSEQAVVILTSDRESVIYHQDEQFKKLIQDARTGSGNRLMNWSDNIKEQIADLCVKINEKHRWSVYICLKTLHTLYVTMECLQRQPSIVMLTLISLMKTKEIPLNQILRENLLHLVDEKAPEVHKNPFTVFYRSKSAENSDKFGTINLKKTSMGVPGPKFILKQIISSPISKERIAAVQKLHAIIVHKEISQDKHVRRWISRANAARKERGGSLNYLAFDENVKEAIVVGQEAVTINEVVVSRTEDIVMEPTVNEAAVTTGGTDNREADLMEVEVTVNIEATVDEGIPAETEATVNQTTSTGA >KZM80645 pep supercontig:ASM162521v1:DCARv2_S163.1:267195:269121:-1 gene:DCAR_031872 transcript:KZM80645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILDDKLIKMREQFVAGVDEDLEDKLHPGGPSDPLGLADDLDQAALLEVKEVKKTCNVCDARILVACLWASGNVFVMLLKAIYGIVLEQHASSNCKVLLVANPANTNALILKEFAPSIPEDNITSLTRLDHNRALGQISEKLNVHVSDVKNVIIWGNHSSTQYPDVNHATVSTDKGTKYVRELVNNDHWLNTEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPKGTWVSMGVYSDGSYGVPPGLIYSFPVTCEKGEWSIVQGLKIDEFSRAKMDATAEELIEEKALAYSCLH >KZM80639 pep supercontig:ASM162521v1:DCARv2_S163.1:76784:76987:1 gene:DCAR_031866 transcript:KZM80639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKVGEEEAVKEKNEVIIQDLMILYLLSEHQDTDENLLLMRLLIRVKLTDLLKKPSMQKLRGRKLL >KZM80641 pep supercontig:ASM162521v1:DCARv2_S163.1:143316:145014:1 gene:DCAR_031868 transcript:KZM80641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSNLKISITASPLIDLDRLEQFWRTAKNKGTNEDSSVEFEIDGKEHILTVANINEAFVNTLADNESYRDLANDATLTRFFRKIGYAGPVLKEDLIDRYPTGEMDRKYLRKEWNMLFDAMVKIFSAKTFGWNAIPSYIRKPTHSMVHGYKVNVDKMIMAQLRSAIIRKVQIYPRFVTMFLNGVSGIVANASNTKKCFVLKKNTHITIINSNPHGDMRLHYTKHMSDQVSNLGSSFQDNPVICCLEDEAGPPIIFEILSSTHITSFFSSLTSRAEDRPNLSDVDLPIQAMEEPPSSGPQEPIAQIVMDTTPLNTEFNQGRIQSENETATTLVTLPSATFPIKVAVTVSVAVKDSAGITAPLVVDSIPAVRVSLAVTSIPAGKVHTAVFTQTDSIVST >KZM80649 pep supercontig:ASM162521v1:DCARv2_S163.1:332309:334210:-1 gene:DCAR_031876 transcript:KZM80649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGESAAQFDISEEEKDKLVGEVIRYVLFKTHQGSGCPIKREELTQLITKNYRQRALPAFIINEARSKLSTIFGYEMTELQRSRLSSANQGRASQQSVADSKSYVITSQLPADVYKEFVEDVNTSHLTGFTFAIISIVHLAGGKITEENLWPHMRRLGLRETEENHPVFGNIKQALETLVQQRYLQKEKVHGPEGNSIVYELAERSLDETVSGQVKEYISQCSSRILIRDSHGSFAAPIQVQQFCWADHTCQSSIP >KZM80651 pep supercontig:ASM162521v1:DCARv2_S163.1:410980:414493:1 gene:DCAR_031878 transcript:KZM80651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSHVDQWGHDVEHLFVLFEHIGEETFFIHIYNDICIELLNVGDKVLKVNDIIIEKDNSRIVELSYTDHDNSQDCYIIQPSRKLMLTQQNEPEQIDELDTMKVGVKRDKRVCRFDRGWNDFIKVAWQLEII >KZM80643 pep supercontig:ASM162521v1:DCARv2_S163.1:149660:150618:1 gene:DCAR_031870 transcript:KZM80643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLIRVIDARVDYDEEDYPEEEEDVQIGESSDDDEDLTVDDEINLPQQTSQDAVTVDISYGLLLMGLETSVLLPCRSELKKLKILSKLHKKLLKLLI >KZM80660 pep supercontig:ASM162521v1:DCARv2_S163.1:574173:577669:-1 gene:DCAR_031887 transcript:KZM80660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGRKGKPAAVIEQDSVFASVPSRAEVEKAISELQSFLDGDVGSSPKSSERNRLIQMLNNDEVTKSPGYRRVQEAYHLLKTDSTVRKVVMSISSDKAVWNAVLSNKAVKDLRGSLKQAQISDEELDWAATILQWIFKIAMSFFTEMMAKLGLLIGEMFQKAKAENLNSEVIKNFDGRLETLLLLSVVILIIVVVARSHEGTPDD >KZM80657 pep supercontig:ASM162521v1:DCARv2_S163.1:558565:562546:1 gene:DCAR_031884 transcript:KZM80657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVSTKTLINFSNSTRVKKIENDDFIIPMHKFELVDMGDLLKIAKSYNNAEQPEFGTDVIGVCEEYVRSRRSTQLMDVEILYSSAVAIWGDLALAAQKEKDKTTETPIIVIMTCTKLKTFRNTMRINTVPSSRIYMNLDIDAVIAMCQRLIDEGYTHSEGNTATTTNKTVQQIVETMTLNELSEKITEEYIKKQTSTEFPEHIRAITGKELRLKLLINEDNVKVNRRLFFAVDAIDAGAPVSAICSVSGTSSTTSSICNSAALKLSEVNDTPSTSKSSSKKVKKEP >KZM80648 pep supercontig:ASM162521v1:DCARv2_S163.1:324440:330451:1 gene:DCAR_031875 transcript:KZM80648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSMSAFLRRRCRMSSLAIIRSFTRSFASQTKSSAAALDDPHHNHVIVQGRGNSRTAILNRPSSLNALDTSMASLYLSLSPSLPPSLPLSPSLLSAASSSQCSKGDTLQKLYESWENNPDVRLVALKGSSRAFCAGGDIVRLSQLVDKGDLEACKDFFWTLYRFIYLLGTYLKPHVALLNGITMGGGAGISVPGTFRVATEKTVFATPETLIGFHPDAGASFYLSHLSGRIGEYLALTGDRLNGAEMMACGLATHYSLHAKLPLIEEHIGNLVTDDPSVVEASLEKYSDLVYPDNMSIIQRTETIDKCFGHDTVEEIIDFMESEAARTTDPWCISTLKRLHDASPLSLKVALRSIREGRFQTLDQCLIREYRMSLQGVSKQITNDFCEGVRAQVVDKDFRPKWDPPSLEHVSEDMVDQYLSPLDKNLGPELELPTSQREAFR >KZM80635 pep supercontig:ASM162521v1:DCARv2_S163.1:20156:22193:-1 gene:DCAR_031862 transcript:KZM80635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFGFDETVGNNMSCMFNRLEASKTVEIIRHLTRKGGEQQGSNCGNNTFRTR >KZM80665 pep supercontig:ASM162521v1:DCARv2_S163.1:685831:688035:1 gene:DCAR_031892 transcript:KZM80665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKWTEDLHSLFVELYATEVIKGNRIGTNLSKEAWITVHKELSAQKEVVCTHKQLKNHWEKMKDEYQTFKKLKFVESGLGWNETTKVIEATEIWWLQKIQENPKFKKFKNRNLSLFMTYYDTLFGDVVATGDRARTANMYSAVNLETGEQFTEEAADDGKEGSGDSEENNVQSPPSLFPSTSLKTGRSSGSKRKRSGAEMICDSFNDLVVAMSSKSTQSTAAQTRAANEDAALTEAYTILNSIEEITQNKALYFYAGQVYLRDKCNRTFFILAKDNAIRMEMLVHAFKAAGY >KZM80650 pep supercontig:ASM162521v1:DCARv2_S163.1:343986:357851:-1 gene:DCAR_031877 transcript:KZM80650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSTRNSTSRLLYTLSNAPPSCPSPITSLLHLRHFAAPVAKVKEDKDPWWKESMDRLRNIGISAHIDSGKTTLTERILFYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYDVPRLAFINKLDRMGADPWKVLSQARSKLRHHSAAVQVPIGLEEELQGLVDLVQMKAYYFTGSSGETITTEQIPGNLEEMVSEKRRELIEAVSEVDDKLAEAFLSDEPISPIELEEAIRRATIARKFIPVFMGSAFKNKGVQPLLDGVLSYLPCPTEVCNYALDQTKNEEKVPLSGTPAGPLVALAFKLEEGRFGQLTYLRIYEGVLKKGDFIVNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGIECASGDTFTDGKVKYTMTSMNVPEPVMSLAVSPVSKDSGGQFSKALSRFQREDPTFRVGLDGESGQTIISGMGELHLDIYVERIKREYKVDATVGKPRVNFRETITQRTEFDYLHKKQTGGQGQYGRVCGYVEPLPPGSSSKFEFENQIVGQAIPSGFIPAIEKGFKEAANSGSLIGHPVENILVALTDGAAHTVDSSELAFKLAAIYAFRQCYAAARPVILEPVMLVELKVPTEFQGTVTGDLNKRKGLIIANDQDGDDSVITAHGKGEFTMEYKEHSIVSNDVQTQLVNAYKTTKGAE >KZM80638 pep supercontig:ASM162521v1:DCARv2_S163.1:56795:58327:1 gene:DCAR_031865 transcript:KZM80638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPTFPSIFKPFTSLTPKPHITKLTTHLHSSLSTSKTTLLSLISNQNRGLTTQNDPKMLSQIIETIDEMAVHGRGAVTTNESLSGTWRLLWTTEKEQLFIIKNAGFFGTETGDVLQVIDVQNKVLNNVITFPPDGVFFVRSSLEVASPQRINFRFTSAVLRGKDWEFPLPPFGQGWFDTVYLDKEIRVAKDIRGDYLVVDRAPYSWTE >KZM80663 pep supercontig:ASM162521v1:DCARv2_S163.1:644868:646792:-1 gene:DCAR_031890 transcript:KZM80663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPDALVQYITSYMSNAKDVACCKCVSKRWKDSMPYLKSLYFPRNIFDNLTAGNTPDGVIMQMVSSVCQLETLVVYCPFTSVGLDSWLSVQGSFLKNLELRMDSLGDQSFTEPPSKLDCLRAASNVESLRLWGVLMIQSPNWDSFVKLRNLEIVGAKLEDPALADLLKACPNLTDLSLIGCEGLRSVSIELPQLQQCKLDFYGFGDCSLSLTSPRLESLEVQGCGWLRVPETKFLRTLCIANNAGRVYMIDFGKFSALESLSIRGVQWCWNAVSKVLQQASEVKDLYMKVEFTGDHEALLPFPEIDFVEFFNNHQKLQKFDIHGAMFAALCQKNSLRHIDSTFVIPCLEEVVVTVRSPLNAEQKMSTLESLLKYGKNLKKMTIKILQMKSNHSSADDFFEEICRSNIEEHFLISGTGI >KZM80656 pep supercontig:ASM162521v1:DCARv2_S163.1:552529:557882:-1 gene:DCAR_031883 transcript:KZM80656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARFKSFQEMKMSHERLENLPRFMISSHGTAQQFSMLKQYVVNWDMLLFTYLATGRFDLIILDHSRTEKMLPIDVVVIESDAEDDPEIIDGGFVGNGHFVTNILDETFSEINYGIQLSDQSRTTRGKATESSRGFYFTYDVYGGILLNGAAMSNGSIFSGIYSKRDQKIYGFMSLINKHDIRPYDKLLFVYSGEGCFKVHIFSASRVDKLFSLNPVEIGSGEHHQYIPLEPGVANATLLLNEAIPHPHMDFTCLMTPSNIDGSSHGPTKTWVSRDNLLLRTNKDEWTVGLVMSNGMPRMLASWNRFVRDNKVEVRDRLVFRKTVEMRIRNCFIVDVL >KZM80646 pep supercontig:ASM162521v1:DCARv2_S163.1:270173:271920:-1 gene:DCAR_031873 transcript:KZM80646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLELAEQIAVVSGCLYLSWRIIKYVWSFLEVEEDPVTVLVTGQIGYALVPMIARGEMLGPNRPVILHLLDIEPAAEALNGVKMELIDAAFPLLKGVVATTNVVEACTDVDIAIMVGGFPRKDGMERKDVMSKNVSIYKAQASALHYTIDGFSQLCGWGIDMRSRLYSGRKCCGVGMSSDGVYSMTGGIRRCGKRWIGSQEGTKNFRPKCL >KZM80653 pep supercontig:ASM162521v1:DCARv2_S163.1:468811:471326:-1 gene:DCAR_031880 transcript:KZM80653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGTYVKLTKDQNSLQEINPGELNQPIDVARVTARKCPECGQPLPQSYEPPADEDWSTGICGCAEDTESCFTGLFCPCVLFGRNVETLQEEISQNGACICHVIFVEGGMALAAITALVSGIDPDTTLLIVEGLLFAWWGCGIYTSMARQSLQRKYHLKDSPCDPCMVHCCMHWCALCQEYREMRSRLGDNHHEGTIVNPPPTQEMNVITKQESANSKQESASSKQEQASSENDAKNRLAIQPA >KZM80661 pep supercontig:ASM162521v1:DCARv2_S163.1:579998:582214:-1 gene:DCAR_031888 transcript:KZM80661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFARFSLACPWMKQQFERLTYSGISGSMLIFNSPKHFVAYYALPRLWVPRYPPFLDGDAGSSPKSSERNRLIQMLNNDEVTKSPGYRRVQEAYHLLKTDSTVRKVVMSISSDKAVWNAVLSNKAVKDLRGSLKQAQISDEELDWAATILQWIFKIAMSFFTEMMAKLGLLIGEMFQKAKAENLNSEVIKNFDGRLETLLLLSVVILIIVVVARSHEGTPDD >KZM80647 pep supercontig:ASM162521v1:DCARv2_S163.1:313988:321703:1 gene:DCAR_031874 transcript:KZM80647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILNRPSVLNALDNSMLVRLQKLYQSWEDNPDIGFVTLRVALLNGITMGGGAGISIPGTFRVATDKTVFATPEALIGYHPDAGASFYLSHLSGYLGEYLALTGDKLNGAEMIACGLATHYSLDAKLPLIEEQLGKLMTDDLSVVESSLEKYGDLVYPNSNSVLLRTETVDRCFSHDTVEEIIDSLEDEATKTNDPWCTATLKRLKEVSPLSLKVSLRSIREGRFQTLDQCLIREYRMTIKGLSKQISTDFCEGVRSRLVDKDFAPKWDPPSLQQVSEDMVDEYFSPLKELGSELELPTAKREAFM >KZM80654 pep supercontig:ASM162521v1:DCARv2_S163.1:480950:482409:1 gene:DCAR_031881 transcript:KZM80654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTPKFVKFLSDSDFDSDEIRIPPLFCTKIADYFPSHVKLLFQDGYHKWVPFSRSRSVFYDMHEVYDHFEIWRRQIIIFEFVKPVEFNVSILVIMGDGVSKFGHIPSCVETCVDEVDVPLAFVNRFGSNIPSSVDLIFNSSIRFVGDFIHKECKLTGLIQLCNMLGLPDLNKYVLLVFTYNGDKSFEINAYDSSMTADLVPSANGTTSGGLVTDNTAHSFEIEVKPFHMLRYAHGVDIPAAFKRLTDMWGMKKVINAYKEDQCWSLEVRKRVGFKQPTILDGWLNFRDGLKLGVGDKLIFKQKGGNNTDFTVEVVKKFV >KZM80636 pep supercontig:ASM162521v1:DCARv2_S163.1:45734:50508:1 gene:DCAR_031863 transcript:KZM80636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSSAESPKNDFKTKLINEEIVWIDYAVQQAQIAQNTVQNALDSAISITRSRFHQIRDTGAAHLSLTADYLEDVKTDYKAYEDLAFGKIKDGLLFAASNPLLTSGVVLASGLIISKKPRRALYYKSMRLFSSEETLFSRAEAKVNRLKESIDSLKKESEALEALIDMTDTS >KZM80655 pep supercontig:ASM162521v1:DCARv2_S163.1:482938:492233:-1 gene:DCAR_031882 transcript:KZM80655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNKYDMLSSLNDCTDEWLIRVKAQAVWRGVNRKTGEFKGLNIVFFDDSYVKMPFNKYDMLSSLNDCTDEWLIRVKAQAVWRGVNRKTDVIGVITAVQDRAMYMKDEVEKSHVLFTITDGKQAEPGFYVLPQEDEKEEVLQTLKINELKKLTEAHIEVSEPMDMSGNHSPLKNTTNNEVPTEIIDTHVQQTKSKVDTPLSGNSTNKTKPRFTDNLDKSQAMDFSGNVTPLKSTRDNEVPTENPDTHVQQTEPKVDTPLATYASGKTRPRLNVSLDKSEEPVVKQPRVHNIKKEKTHVQQTKSKVDTPLSGNSTNKTKPRFTDNLDKSQAMDFSGNVTPLKSTRDNEVPTENPDTHVQQTEPKVDTPLATYASGKTRPRLNVSLDKSEEPVVKQPRVHNIKKEKDKNQRHILRLICNSVFGGFGQGYRRSYYTHYYKCKSYQLSCYQNPDFNKKRISTRTKSLKLLTVEQIKNLEKDSIQDEVVCKAVIKTLEEYSSWKYFVCTSCYGKVDTDNNYYTCGPCKREVVEPNQKAVRTLLEEINLTTTTKDDGIAELLEKLNRKGCTIKVSISQANVEKKDKVFLATDAFSGFDYEETKGGQREIQEKSESFTA >KZM80640 pep supercontig:ASM162521v1:DCARv2_S163.1:91724:91927:1 gene:DCAR_031867 transcript:KZM80640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQAYGPDEFLDELYDTLSWDEYLEFQCFKLTARVERSHVDKEIEKKLSESLELKVSILMRNPTSQ >KZM80662 pep supercontig:ASM162521v1:DCARv2_S163.1:620492:624419:-1 gene:DCAR_031889 transcript:KZM80662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCD7 description:carotenoid cleavage dioxygenase MQATSCHLITPPKFPSPKIPPPHHGAKPLPITGTPRAVSIAAPPSQTQPKKVLSLDTDDTVTAFWDYQFLFISQRSETVDPITLSIVEGAIPSDFPSGTYYLTGPGLFSDDHGSTVHPLDGHGYLRAFQLDGSRGEAKFMARYIKTDAKVEEHDSATDEWRFTHRGPFSVLKGGKKLGNTKVMKNVANTSVLSWGGRLFCLWEGGQPYEIHPATLETIGRFDLIDGCDLLINGGEISDGAAGFWDLAAQLLKPILYGVFNMPPKRLLSHYKIDSKRNRLLMMSCNAEDMLLPQSNFTFYEFDSNLKMLQRKEFNIADHLMIHDWAFTENYYILFGNRIKLDIPGSMTAVCGLSPMISALSLNPNKPTSPIYLLPRFPTESANGERDWKVPIEAPSQMWVLHCGNAFEEKDTKGNVEIQIQASGCSYQWFNFQKMFGYDWKTGKLDPAMMNVKEKEKKLVTHLVQVSLQLDQNGNCRNCGVKPLNRWDKSSDFPVINQNFSGSKNSYVYAATTSGSRQSLQHFPFDTVVKLNTVDESVSTWSVGNRRFIGEPIFVPKGTEEGEGYLLVVEYAVSTQRCYLVILDPNRIGTGNALLAKLEVPRHLNFPLGFHGFWADNKHESRV >KZM80658 pep supercontig:ASM162521v1:DCARv2_S163.1:563521:565200:-1 gene:DCAR_031885 transcript:KZM80658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSPKEAVVFCVPGADDVEKLKPVLADVEKLKPVLADEAVPVDLKDGKAGAAVAVVVVVDAEVAAGVEAAVVLVTVEKRDEAAVPNPTDAGCVTAAVAGEIVLKDVEDTGVANEKLPEDATGVGVEVEVVDAAELPVPFDNENENPVDTDVVEAGVELENPVALEAPKGEVDVTNPGAAAEDEAELELAGNENPNVDEDEELELEPEPAGNENPEDDEAGLNNEGEVDAEVDPVEPGNENAGADWTEKVDDEAAEAVVVEDPNILENELVVFKAEDEAGTPKGVDEEVEPKPVVAPKLGAVFEPNAVVVEVEPKPVGAVTELEPNGELLVLAVEAFVANGVVEEAKPKPVDAVTEFEPNTGVVAAVEAGAPNDVVVAETPNDDVAAPAVVPNNEPPPKGDVVVVLDEPNGVVVVAGVEEPNGVVVVAVADDAVPNKVEAVDPNGEEETAGEEAPKPKEKEGAEEAEAEDPNGEGEDPKREEGWEDEEVGLGFGEESENENGEDDDEEEELVLKLKPAIWVVKLNERASEGF >KZM80659 pep supercontig:ASM162521v1:DCARv2_S163.1:565758:572609:1 gene:DCAR_031886 transcript:KZM80659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTGQVVLRKNASKESVAPNSTSGATSLSLGPASKSSAGTTLTSSSAPQSSSALAVASSSGTTSAPAGAAAPVPKLPSEITGRSVEEIIKEWNAELQTRTGKFRKQATAIGEWDRRILQNRDALLRLETEVANVVETQTNLERQLELIETHQEEVDKALQSMEDEAERIYKDERALLLDDEAASTRDAMYEQAEFIERELEHMTEQIKSVIQAVNANQAGELEATDGMTPLDVVVRILNNQLSSLMWIDEKAEEFSSQIQKLASQGSAADRDLAGQKYWLS >KZM80664 pep supercontig:ASM162521v1:DCARv2_S163.1:655047:655825:-1 gene:DCAR_031891 transcript:KZM80664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSIEANMGDAGFVLSFDLMQGTLIVHGFFMFLFRNENRGEELQNLVRSASIDPNIVFSRQEKLIVRIKPCMLVDVLSSLSDDQKPWISSTGFGSIMGFELNEYPVKHSEFLLSSFEPNHVVLRINEKHFEIAEEDVHEIMGFPLGGIDVGFVENSHLKKGWAEVFGRVKQCCQVTPAELCKFIISNNATDYQFKMNFMALMSNVLIEGSSTPYVNKIFCMM >KZM80652 pep supercontig:ASM162521v1:DCARv2_S163.1:433165:451076:-1 gene:DCAR_031879 transcript:KZM80652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVEMVCPTEDMFSELLDSLVAPYLPTDPAPSLHQHQLIANQMHAVVVLYNYYHRKRHPSLEYLSFTSCCKLAVIFKPKLLAYMKLMCRPDYHTVVDLKGQLSLTEEAIMGACKVSSALLAHEDVPGIGWPIRKVTVLLVDSTRENCLLLFGSITKGIWSAIERDLKSTSEIGKCSGLRPRTEVHTDEDALLQLAFSGINEVAGINNSDLEVLERHTVYSLNVEKTAAHFFIVQSSQSITADHQCHIKDVIESLQGPLVRKSSRGWMLTTVHQYFHLLPYTRILADWFSREQLSKQVRVNVKDTITQCSNSTKNHLKTEVVGKNISHENICVTDAEATDGSHDTAKQEINGCTHHISLPEKVDGDEINKDASSNVKVNHPVKETDAMEIDKDVLPSDEVLVENDNECFNSIDQDKVDVDAIGSFKINHPSMKIDSVEIDNYISPNNINPAVQKSNSVMGITSKQFKVVESLNSRISSNSGDSVASYDRAVDSHQPKSINVEKPTSNLASDEDALQQALRKREKLSMQRSSIQEELALCEKQIQTFIDGDAYDMGVKMQAVKDFCNYVSTKSTTDSQVIPGPGPCQHLEDPITQHAKRKRSSVDILPVEHFCQELDIFCCMNYWMLPTYSVRSSEEGYYADVNVKGAEFSSSEVSNLKTTPAEARASAAAKMMAVLDHVLPKQ >KZM80311 pep supercontig:ASM162521v1:DCARv2_S1681:228:2111:1 gene:DCAR_031893 transcript:KZM80311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATLKRKSVPRFVGMDDKRVDGLSQNAGISRTSRFNQSVHTRLGRSESESGVHGNSSLTSNPLYHSGDSSSIVGDVKMDGNLSTGGGVKDGAQSDEFSKGVNPTGEAASGPGNQAHSSIPIVQGNDSKVPENVKKPWSEVLKPKVAVNRMKFEYHTPEIVDGRVLIKPPLLIDVLGRKAWENCLVGYFFEKRVAYHVMNYVARRKWSNRGLTEVIMNEDNFFFFKFDSEQDLLEILEEGVCMVEGKPLILQRWYPQIVLSKEVPKFIPLWVKIFNIPLQYWNIAGLSRIGSGVGNILLADSMTEQMCREASGRLSFAKLLIEVDARKPLPENLYVHIPFDDGREPVEVCLRVEYPWRPSWCSRCAINGHSVHDCPTLASVREKELLKDKEGSKGELKENVKDDDFTVVQRKGKGKMPEYSGSGQFGKNKRGPVKRPFNYVNRGVVIRDKQVQVGAAVSSPVVLKEVKSHVDSPVKSQNRFEVLDEDNIVQESIMKKLGTEPVIMGAADLGAKQVDENMVDELGNNVKAAVEIWDDRVAEYVTSGSKLSPAELALLDEQIAARENELNFKKHNEFLKCETNTESEYNSEPDDSDIFMMRGIKDQGMDDVLGDGVAEDESCPGMVVS >KZM80310 pep supercontig:ASM162521v1:DCARv2_S1685:8865:9041:-1 gene:DCAR_031894 transcript:KZM80310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRFTGVHHLRPSLGDPRAVQPRFRLYFFSPGLQELLAALSSARSLSLISRLDLIS >KZM80309 pep supercontig:ASM162521v1:DCARv2_S1687:53:596:-1 gene:DCAR_031895 transcript:KZM80309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSSLPLVLFLFLNLLFTGLAVSSSDCDSPPKHSSKHKHKHHDRNSNSPPMSNSNPPKLPPRFPLPLSPRSAPGTPELPPVLGGLLGVRAGTAPKKPCCRLFGGLVEVESAVCLCTAIKANVLGSNLNIPISLGLLLNVCDIQTPPGFQCP >KZM80308 pep supercontig:ASM162521v1:DCARv2_S1688:11099:16275:1 gene:DCAR_031896 transcript:KZM80308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVNVLIKGESEEEDQDQSQDEAYQTEGQTNQENQSQDEEEDESEEEQSEEQENPDQNEENECEDGDQGQVHNAQPKKKFTKFKRNKEIALENGLRKRNAGTLYPGFKYLKKDVQAQKQEGAKHINKQKDEVKIRISPRHLYEMIYFLTPEQKKWVRRTGFGLLLDFQLEMLPAKLAYNVLQIFDHNKVSLKLKDDDIEIQEQDVCDVLGLPYGGLRITFDSDEKYLNRTISWHAQFNTHKDDEQITTQMIVQVMRNQEVNDNFKLNFILVMANVLIGTRGASYIDKQLLKINDNLDNLQKYNWSEYLISYLVVATESWNNTTTTFFRGSLIFLTLFYVDRVRPKAIKLVERQFPSYIGWTEEILKERQAIEVFHGPFGVGSIVPPLRDFIRETEAQESKKNDKNNDDWDNDDTWRQIDELVEKYSTKNKSPSTNIPPEPSTDAAYNSTHTPNDAAYHTPPRQAEPSTHACIDAAHHTTPREAEPSGHAAHNTAPTEEAEPSQAAEPSQEIGGLKDYISSIQH >KZM80307 pep supercontig:ASM162521v1:DCARv2_S1707:1066:1761:-1 gene:DCAR_031897 transcript:KZM80307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKIDTMKSPNEIPEESRKRRLEDLDAGSLRLSTPSCYTNCDAAVFEKPENSTFDSALNIRIAKNISGFRSYTHEEEARERFLGVSTKLKLWIDGSEGNDPWKIKKTLKHSDCDRLYRLTLCKDMVQNHIIKVWEEAGKVDEIEKVVSDEGVAVKVWDYEREREYELKLKKQSSSKSYVVSGAWRKEFVKERRLKKGDIIGLYWCTSKSRFVFSVLARAPPVAVSERGGE >KZM80306 pep supercontig:ASM162521v1:DCARv2_S1709:10834:11106:-1 gene:DCAR_031898 transcript:KZM80306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEDEGIKRVVFGWCRKEEMLGCRVLYVGAYVIAGYGVVVEGGWFEQGGGEVSLELERTTARERIDMWLGLNRRKKARLLFIEIAVRH >KZM80305 pep supercontig:ASM162521v1:DCARv2_S1712:2701:2973:-1 gene:DCAR_031899 transcript:KZM80305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMVAIRFYAFSKLTKNSHSQTRKCTIDYKSRCARVLLNAESVNKLEYMRGFDELKREVNHKSETVYPYNFYYSTPFLLIICSNNMILMF >KZM80304 pep supercontig:ASM162521v1:DCARv2_S1731:9793:10440:1 gene:DCAR_031900 transcript:KZM80304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQCPRQTLGTTDCGYYVCRYMLETIEKRRQGIPEQYFGGAPTAYSQLKMDELRDMWIKFVEEYNLEDEEG >KZM80627 pep supercontig:ASM162521v1:DCARv2_S174.1:29050:30539:1 gene:DCAR_031901 transcript:KZM80627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEQKLLSILPSLKLLSKTISYCSKAIISRTKDAVIETDVNISTVNEDVVTTGETEGREADPMKVEVAANIKPVVFKESILKEIENIVISTVRYAQMRRREAFKYFLEKRAARWTSRMWSRTKHFANDHFKRLYNKTDVTPIQKAEEKNFAVMKRLLLERVIDAKVDYDEKGNVKSYRLFHPVVQGHISQTIFDTKFKNHRGVDTRSIYFFELERLIEILMDNSSVSRQTMEPILNYHTLRKRDFDERYGNWVMHDDSDYLFPEEEEDQIDEFLDDVIDLTADDEVEQPQQTSKGNPSTTQHQYTPPSNQDQREEVMNLYSVLNRDHSMRPYYIPRVLDKPIRVNEDPKLGEKRRETLYNVHGRKFRVNRNEMEFICCNSGRQLWFTLDGARYLGSHALKLGIEEIEDFFVETPEKAALLADLNLLVDQLKREEQKAKEERKAQK >KZM80630 pep supercontig:ASM162521v1:DCARv2_S174.1:173205:177330:1 gene:DCAR_031904 transcript:KZM80630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRIQNVRTNIKSIKNDVEKVITKFDLTDGRYTVPVTLFDDFGVQFAEELEDCKQTEIYIIIAAAKVGLYEGIANLTNYPATRIYINPTHYSIGELKAKMLETIEETVSSPPQEITELKTLTIKDIKAMTPDSTECRVKCQLKVTKVEEQSSWFYAVCTKCPKEISRVDGVFKCEDCNRIIPYPDKRFRICTLCSDNTGSIAVIFLDQEVTRIIEKTVFDIEVDAIQENTEGKFPAVLKTFEKKVYTITLNITENNLKKGSMVYEADEIFDKIESTANFDPSTNTDTQMVEAATVDLKDDDLSTPTTGISSTKTRARVDIEPVAFDPKEDTPAKLNKKDKKKKIRLSLYIPHAINCEKTASKGKMSSRYAALANLKTGVDNYKIKVRVIRKWRGATKTGEEFKNFNILLLDNKDYKKTDKFRVVRRDNQLIFTTDTKIQQIEETAAQIATEIFDFYDLSELKNYMTETTYVIDVVGVIKDHKILLEQITNRHGIQQEQAKFVISDGRTNVNVTFWDKYAQVFVEAIWKKMETPVIIILAGCRVQMWSNAPNVTHVAPTTFYLNLNHHSVNQLRRMLAIPDFSKKVMAMEKKKKAELLTVEAIKSLDKDSVEAEVLAHVTIMHVDDQQKWFFKICTSCDFEVDFVNEFYSCARCQRIVPYPEIRFRLVVIALDATGSLQILLEDREVRSLLGKRARQLIPQEATEEYFPESFKMLATQTFTIKMEIHAANVLKQSNLYWATNICHGFKLEEMDTEMEQQPESQSINTQATTSTAHLPGMSDLNCNSSAVTN >KZM80632 pep supercontig:ASM162521v1:DCARv2_S174.1:214256:215751:1 gene:DCAR_031906 transcript:KZM80632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEQKLLSILLSLKLLSKTISYCSKAIISRTKDAVIETDVNISTVNEDVVTTGETEGREADPMEVEVAANIEPVVFKESILKEIENIVISTVRYAQMRRREAFKYFLEKRAARWTSRMWSRTKHFANDHFKRLYNKTDVPPIQKAEENNFAIIKRLLLERVIDAEVDYDEKGNVKSYRLFHPVVQGHVSQTVFNTKFKNHRGVDTRSIYFFELERLIEILMDNSSVSRQTMEPILNYHTLRKRDFDERYGNWVMHDDSDYLFPEEEEEEDQIDEFPDDVIDLTADDEVEQPQQTSKGNPSTTQHQYTPPSNQDQREEVMNLYSVLNRDHSMRPYYILRVLDKPIRVNEDPKLGEKRRETLYNVHGRKFRVNRNEMEFICCNSGRQLWFTLDGARYLGSHALKLRIEEIEDFFVETPEKAALLADLNLLVDHLKREEQKAKEERKAQK >KZM80629 pep supercontig:ASM162521v1:DCARv2_S174.1:167398:169867:-1 gene:DCAR_031903 transcript:KZM80629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSRSFFNFSDIEVDKLCGTMFSNVYNSGLHVYDLLISSSHLLQKDHTKILNRYACKQLGLLEEMKSVTLFFKNMSWVVNLKWDNGKAYMDRTWYDFARASRLKEGDICAFMLSGPPGKFRVCVYEPDLLTKCNEKGIGHASKVTNWFKIVNDVVLYAGQMEIPRVFMEMNGGVLEETVNLIMGDGRSVAVNFSRSQSYLHGLKTLVDNYSIMLNDVMVFHFLSDSTFAVSLFKATGMEYKLNSSHDAATKKTGGIREEEVIILSDSSGEEGEPDLGMDIDGGIADAQNLELANDGNNEGNEFGDGNPLNMSFRVTLKKSHVDKRDHGAYCPRILYSIYKNWTKTTAIRLISEARTCYVCVLRRGNICRFGKGWSYFTKANEFVGGETIEFHYVGENTFQVDVVA >KZM80634 pep supercontig:ASM162521v1:DCARv2_S174.1:314899:319310:-1 gene:DCAR_031908 transcript:KZM80634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMKKYTSPSGFIYEKNNFASFVDTKAVKEKEFHRMMEFIKVSQLSHAMLATPPIYHEVVEEMWTSAEFNSEDETLTFSLKNNMHTVNSDVMKACFSIPEDTVSSLPSDVQLINLLYAMNYVLPTDALGGLPANHGAWKRKGGTWLSYYSLSQPSSSLLSAIRAVEEAHQQSTQVAQPSKSKSTTPTSGASQKASVVKSKKQKPEGSVIGESEGEGKGENQRSPKNKDGEKSNALPSHSAVSQKIAELNMETSSSLAASSQKDVVIENSPHPGTQLKRGRDTTSSPIKAYGRKKQRSDKVQHTAHTQDSMHVSSSQSQIDVTPINVESQPPSSSQTITHIHDLTISTTQIQSPASSVDVELIHTTLVNSPSLDFLEKPHSEIDHHHFDDLLDQSHQIYSSVTMCSVDLHAKSITTDSTVTASKPISSFSSTDLLHQLNSVCPSTDLLNSSHQLNVSTTHVSTDVPHQLTTAATSINLPLSTAVDHMVAQTLLGLSGVSYGVERQPSELAKGEGVESLAFSSSQEKGEDKSDPLVRVSEGEVSCVVSQGEPLMQEKRENERNAGVNEGFSEQEFQAEYRSILDSVSLDPETFTHGMSSIQAFARMDNQAAERSLNLIHTSSSMLRAKEALTALPANAGDDFHYDDSDEDLNDALEESLGEQPTTSLPFWLSIQSANATAVSMELQRQVSSILQPQAGSSSSSPSISATIASQALDNLKLHKYQSLHFQKEVEHLNSLITSVKTDLTEQIDEKTPAQVKSAISATEKKQVQLEKQVEALEENIHILNSRMEEMLQHQRVQTGLLQHLLLASGISLPSPSTTLAANKKGEKEPLPTPAELVSRIPPPFHTEKEKKRLERLAVLDSIEKRVALLGKKTSATSQSSSAATTVPTSFPTTTTILRVIKPEIVIPSKKEKGEPSIVNEFKAILFPNSCGYSRPGKDSSSIYFPLARPDKNEYKLLGQEIKSYKDSTDVALKSHFAIIYREGQKLFIGTGHPHYSFAKAEEVARDCERKEYESQLSVNQEIEVDERYAIELEEELAAELQSENRLALETSPKKKRVKSRSRMPEAAKRREEVPEKSVPISKPSSPIKDTTVVHPDVNFNDEPIMPKEEPIDLENIPIPAFLVQETPKPKKKGKTVAKRMANPPKPPKEPENPDDYLVIANIEEISELELELNDLQEVRGIEATSKLPERLVFSYKSKGDVTRPLHRVLNSEGFSSLTKIYASMKRTGGFTPPAKQMVLKRILEIRKEWSSDATLQRRLKILYNGKKIHHEPTPIMEFRDSQGGRRFFRPKDQLKVASMNTLKTLQSKLNRQDSDEEWFYRIFQKQIDILEEKLESRRRRSSRNK >KZM80633 pep supercontig:ASM162521v1:DCARv2_S174.1:241244:244208:-1 gene:DCAR_031907 transcript:KZM80633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRYVDISSVLLCFFLIDYVSSQLSPAQNSTMSRLYGLVTSNTSSNPNEWTHVEFAQNPCSWSGVSCSVDNTSVIRLKFAKFGLSDVEILSVPCQLDSLESLDFSNNHFGGIPNEFIDVCGKISGLKTLNFSRNRLVQLPVFKGFLGLEFLDFSFNEINGTFGSQLNDLAGLKGLNLGDNKLSGLLPASLENFSQLKELQLGGNEFQGSIPGEIANCRNLTLIDLGGNRLSGLIPERFGELLELESLILSVNNLSGEIPKSLLKITTLSRFSAHQNNFVGEIPVGMTKHLKILDLSFNKLNGSIPVDLLSPSNLETVDLSDNGMVGSIPADISPRLFRLRLGNNYLSGTIPTSFGKLSNLTYLELENNMLTGQIPPELGLCHSLALLNFGYNNLSGTLQEQLGKLTNLQVLYLQFNNLSGIIPDRISELYSLQRLNLSRNAFSGQIPSSISSLKNLTNLYLQGNQLSGAIPDTIGGLSNLIELQLGNNQLGGVIKSLPKSLQIALNLSKNNFEGDIPKVLSTLGLLEVLDLSNNKFSGKIPEFLVNLGTLTHLLLSNNQLSGVIPMFGTHVTVDTNGNKGLIRSTTPSLPVSKGKRKVSAGVVIATAASVLVVAIIVFVALYIFRKYYRVNNVHSSLGEDIPQPQVVQRKLLTENGIHRSNIEFNSAMNAVANPSNIMVKTRFSTYYKAVMPSGKKYFVKKLNWSDKIFQMGSHEKFGEELKVLGKLNNSNVMSPLAYVLATDNAYLFYEFAENGTLFDFLYNNSDNELEWSSRYSIALGVAQGLAFLHGYTSGPILLLDLSSKSIMLKSSKEPQLGDIELFKVIDPAKSTGSLSTIAGSVGYIPPEYAYTMRVTMAANVYSFGVILLELLSGKPAVSQGMELAKWALSKSSQQDKLDQILDFRLSRTSPAIKSQMLSILKVALTCVSVSPDARPKMKSVLRMLLTAR >KZM80628 pep supercontig:ASM162521v1:DCARv2_S174.1:142179:143119:1 gene:DCAR_031902 transcript:KZM80628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLRMTLLALKRLRSILIRNKLAENEFKLKAYKNSSQVVQDIYEKITKNNKLRIGYEYGRRPGKKTVSDYVGDDTVKPLILRKTAHTKNAVNKAATESFRGTVKRKRKKVTFVTAVKTVEANAAVKGHPTATFECVVNTNDTKSKNRNGKINRGKNDEYPKNAPRKLCNNFGCSHHLTHICRNDAATRINAVNVHGDLHRTPIMDRSMNVCSNIDCMSCKITAMSTVFNLPILSTAKCSHLYDVETLEATKVSFQARY >KZM80631 pep supercontig:ASM162521v1:DCARv2_S174.1:181198:185319:1 gene:DCAR_031905 transcript:KZM80631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMKKYSSPTGFIYEKNNFESFVNTEAVEEKEFHKMMEFIKVSQLSHAMLSTPTVYHEVVEEIWTMAEFNSEHETISFSLKNDVYTVNCDVMNACFKIPENTVATLPIDIQLVNMLNAMNYTLHTSALGKIVRKGLRREWSYLCDAFVKAFSGKISNFDAITSQIFQMLYMFLTNEYFNFGGLMIQEIGEKLGDKNDRHKNIYYVRFLMMLANHVNDKLVITNQEAKLPTFVQEKRVFKNLSRMNLYPSVEVVYFPIIEAGKEKQVFGFPLTLSQPPTSLRSATMAAGNDQQQPSQVAQPSKSKSKRPTLGASQKAPVVKSKKHKPEGSVQGGSEGEAQGEHQENLKNEVGEVSETQPSHSVVSQKATEINMEFSSILTTSSQKDVVIENSPQPGAHLKRGRDTSPINAYGGKKTKGGQSEETAHTSQAKIIDFMPKTSQNQIDVTLVNVESQPPSTLSIPISSIFDLTISQPQTQSPTSSVDVELIHTTIVNSLSLDFMEKPHSEIDHHQIDDLFDLSHQISSSVTVCSVDLHSKSITTDSTVTASLPISSSFLMDILHPSNSVCPSTDVLNNLHQLKASSMNVSTDDTHQLTTTSFSTDISSTTVVDHIIAQTLLGLREGCESVERQLWELAKGELVESLAISSSQEKGEDLSGSLAGTSKGDVSCVVSQGEPLMQEQREIERNACVNEGSSEQEIQAEYRAILDSVPLDPETFTHGMSYIQAFARLDNQAAERSLNLIHTTASMLRAKEAIAALLANAGDDVQYEDDSDEDLHDALENSIGEELAEPSTSLPSWLYMQSANATVNDLSAKIDERLPSKAVSAMSDSEKRHAKLESKLDTVEDRVNVLDARMHEMLQHQRVQTDLLQHLLMASGINVPRPPALDENKKGEKEPLPSPAELVSRIPPPYYTANEIRARSKRDSIKEILDQLLTKQSSSISTATVFPTSFPTTTTIFRRRPDAVVGTSHPHYSFAKAEEVARECDRKEIESQLAMNQQIEVDESWYAIELMEELEAELQDENRITPKSAPKKKRVQAKSKMPEDAKRREEEPVQTSKPSSPIKVTTVVHPDINYHEEPIIPKEEPIDLENIPISAFLVQETTKPKKKGKTMAKKQTNAPKPPKEPENEDDYLVITNIEEISELELDLNDLHEVRGIESTSKLPERLAFSYKSKGDVTWPLHRVFNTEGFSSLTKIYAAMKRTGGFTPPAKQMVLKRILEIRKEACQEG >KZM80303 pep supercontig:ASM162521v1:DCARv2_S1760:3535:5319:-1 gene:DCAR_031909 transcript:KZM80303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVRKDFKDSNKRLSSYLETAQSLEVAQKSCYNKLKEFKEALANLAPVSSQGDGAVNEHIKAELDAYSEKASLSDALCVNGLRSVFGEVYPDSVQIVSIGREVEDLLANPEDKMWSSTFVEPFGATHISNTREAKAFVLLSEEGIAEGIRRVTSVTRALKPLHGKGGGGKGGLAQGQRSHISNVEEAMKDAETYANQKLKEADEI >KZM80302 pep supercontig:ASM162521v1:DCARv2_S1765:9525:11895:-1 gene:DCAR_031910 transcript:KZM80302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPMLGVANLDASVTDSDLYDHFSNVVGYRVIWAEVRKDRRTDQCLGDGHVCCNNHQQAVYTIDALNGTTLKGKTIVVTPSTFTFNEVTVELCVPPRTFNMEIPLRRNFKEFGKILLIKVYEDMFSNTYGSIIFDSLQSAASAANELLRNEFALCNMYYGGKSLVDPQFPLDIVDPSTLFCPPKEQTSEGFNVRVDNLYFFVTDQYLLEQFSPYGKISFCEVMRKPDLSNGSGRVTFSTREEAMEAIAAMEGKDIRGSSIKVTLEEENIPSSQVPLVDELEHGHAVKKPKVDNAVGSSQ >KZM80301 pep supercontig:ASM162521v1:DCARv2_S1798:13058:14030:1 gene:DCAR_031911 transcript:KZM80301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIAGIVVISLLSLALKLVVLGISGLLGKTDILDKGGLSHPKIAGNNKIWSDMTKEQRLVDLTFRTKVLFWSGVVPSSLAVGVLSYVAVRWKEWRQVRRIQQDNSAASNERDAQVVADEETGDIADGELCGQHSFHVDTGR >KZM80300 pep supercontig:ASM162521v1:DCARv2_S1804:12627:14220:1 gene:DCAR_031981 transcript:KZM80300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSMESLNSTGRLNSASCISSHLSRLVLAAVEGDGDREENVPEVPVENDFLLNGELDGEDVH >KZM80299 pep supercontig:ASM162521v1:DCARv2_S1807:12947:13366:1 gene:DCAR_031982 transcript:KZM80299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKKKAGDNLEEEVSDHAPNWLLGRSGRTRKTVLDKMQKREKNRSAELTKLREELSREMEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELGGNEDEGAI >KZM80297 pep supercontig:ASM162521v1:DCARv2_S1813:21746:22755:1 gene:DCAR_031984 transcript:KZM80297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREFVLAPHCDTEIAGQGQVYIKYYGSEECALMDPARDLSPFDHCFERRSANPSDEFQEALKQAMEAIKKKEPKQGTDSS >KZM80296 pep supercontig:ASM162521v1:DCARv2_S1813:5465:5674:1 gene:DCAR_031983 transcript:KZM80296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVQLRGAGDAGVVAANAGGAAGYIGVMRPNNNGEDIMEMKKKMESLEEKLKEREEKL >KZM80298 pep supercontig:ASM162521v1:DCARv2_S1813:27343:27552:1 gene:DCAR_031985 transcript:KZM80298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVQLRGAGDAGVVAANAGGAAGYIGVMRPNNNGEDIMEMKKKMESLEEKLKEREEKL >KZM80295 pep supercontig:ASM162521v1:DCARv2_S1828:20946:21733:-1 gene:DCAR_031986 transcript:KZM80295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSLGKGPLRTILGAASCDSKLTKSIYASIDISTAVELIMNPEVPLAMRMSVIFCLELFEYTPNKWSLLLETPILS >KZM80294 pep supercontig:ASM162521v1:DCARv2_S1840:6157:7180:1 gene:DCAR_031987 transcript:KZM80294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTLISTKLLLSSINLIEVKARSSITLQKLQSCSLGVQRSKSQLEKENLCLYGLPSEKWEVNLPVEEVPPDCQSQL >KZM80293 pep supercontig:ASM162521v1:DCARv2_S1858:1228:1677:-1 gene:DCAR_031988 transcript:KZM80293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTEEEDKGQPGDHLAMHVLENNHHSLHIHSPQVISLSSNNHLERLDLKVKLEKATKQEESVETYAKKAINDAHDAQASGKKAIYKAVASTTNCYKICLDNFVVSLGISGEDKSLEDHVSKLVKAIPFHARAPADMAVEVPGQEGDVG >KZM80618 pep supercontig:ASM162521v1:DCARv2_S190.1:142773:143613:1 gene:DCAR_031992 transcript:KZM80618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYVCRDSSHGDECGFNISREKEQRPRHLPLQCPGNKEGDATNNEKLREAIELLDQEQKRIETEPDVKLEDKIKRLQQASFKANYKKRKSAINVGQEDMLMLTDDNSSRRTYFLYIEYCNKGFQ >KZM80617 pep supercontig:ASM162521v1:DCARv2_S190.1:103625:106058:1 gene:DCAR_031991 transcript:KZM80617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLVYLFLTFLTFFLPTPPQVEASAPSGHLFSKPNCSSTCGNLNIPYPFGTRKGCYLDSLDNSFLITCKGKVPYLGKSGIKVLNILLIDQNIRILRHTTPTCHNKSRNSTDTIASPLRLSNFPVNNTRNKFIVTGCSTFGLISGSTAQNYATGCLSTCGGVDNVMNGSCSGTGCCQTSLPKGVRDFAELGLIFINETASACSSAFVVEEGAYSFSSLDLSTTRRRSYPIMLDWTVGNETCASAKKNKTNYLCVAPGSICIDSVYKPTIGYTCRCPNGFEGNPYLINGCIDIDECKRSNPCDAPAKCQNQHGGVSCVCPPSYKSDGKGGCVKVSHGGPIAHRIAIGISVSLFVLVVGSSWIYRQLANIKHTKQKQKLFMQNGGLTLREELSKDDSLANTVKLFTEEDIRKATNNFDEHGIIGRGGCGTVHKGVISPNNIAVAVKKSKVSEQSQISQFINEMIILSRINHINVVRLIGCCLETQVPLLVYEFMSNGTLSDHIHKKVTGSYLSWECCLRIAAEIAEAIAYLHSAASPPIIHRDIKSTNILLDENFVAKVADFGASKIVPRDHKEIATLVQGTFGYIDPEYFHSGELTEKSDVYSFGVLLAELLTGEHAVSFNRPDEERCLAVYFLASVKHDNGLPSIIHKSFIEDGKNLEQIKQVVVMAARCLKVTGNQRPGMRELAMELERLKTTVAPPWPDQTPARNSNNQISMLSKADSDSSSITEFADLSFEMTRKVGQE >KZM80621 pep supercontig:ASM162521v1:DCARv2_S190.1:178237:178404:-1 gene:DCAR_031995 transcript:KZM80621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVPESRRVLITGVSRGIGKSLALEFARLGHTIIGCSRSEKNLDDLQTELSSDD >KZM80623 pep supercontig:ASM162521v1:DCARv2_S190.1:191235:191930:1 gene:DCAR_031997 transcript:KZM80623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGIYNSPKGERFVGGTQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYVRQKEDPWNSIIAGAATGGFLQMRQGLGAASRSAVFGGVLLALIEGAGIMLNKLMSNVPQNFPPMDEPMPNMPGIPGYNMGQLPGQAPVNIEGMGSGQSATSPQPSSSSSWFGGIFGGEKKQETGQSSGSKTEVLESFDSPNPPSFDYK >KZM80624 pep supercontig:ASM162521v1:DCARv2_S190.1:192522:201542:-1 gene:DCAR_031998 transcript:KZM80624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCT-2 description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase MSALKFSCCPQLNSLEISSKSLNSLPNSKIFLPVSKNCSFVNTHYPKILSLRNGGASSRISCSASSSELSKDYDEFVEKKSVSVILLAGGKGKRMGASMPKQYLPLFGQPIALYSFYTFSLLPEVKEIIVVCDPSYQDIFEDAKENTHVDLKFALPGKERQDSVYSGLQVVDPNSSLLCIHDSARPLVSSSDTKKVLIDGWLTGAAVLGVPAKATIKEANMESFVVKTLDRKTLWEVQTPQVMKPGLLKKGFELVNREGLEVTDDVSIVEHLKHPVYITEGSYTNIKVTTPDDMILAEKILNATSSCDASSSATSFGNEVVPVPKPSSEQEYIVLKTEEVARLHAMLPHLPAKSGKATIVEAAANYIKTLEDEVKKLQTLKQEAVNGTETMKSNLPSAANQEMPRVEMMETFVANQVLLPKINL >KZM80616 pep supercontig:ASM162521v1:DCARv2_S190.1:82475:86063:-1 gene:DCAR_031990 transcript:KZM80616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCCSSGKDIAGARKRVSESSKTGLPDALKNVIPSHTSSGISSSKSVGPWKVGLVNTQLQSKKQVPAKVLPAICLDEKGSQVMLLCRRKFRETKGIIVNTFAELETFAVHSSCRLLQCSIERSTSNIASSWGCNSAREVKDKRKAKVLQEYCFNNNGLLSQEAIRMLDDGKLRCYSEIFNSSEIGLDSVVSACVYAKDVLHNAILGSVANLLPKWGCFKASMSGDSEADGLRRVNQEKLTINLFKATRDGEGLATP >KZM80615 pep supercontig:ASM162521v1:DCARv2_S190.1:24635:24976:1 gene:DCAR_031989 transcript:KZM80615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHISLNPTSSSPSSPPPPPPPPSPPLPPSPPPLLPPPPPPPQLSSTAPAKLCRLKPQLHPVVYVLRYLNCLICRHASSAALFLPRPIPSMLPPFHLHLIRYLHRRYYSQNR >KZM80626 pep supercontig:ASM162521v1:DCARv2_S190.1:209412:214965:-1 gene:DCAR_032000 transcript:KZM80626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMHGGSGKNGGFDPSKLHLKKEFTQIKKASKVLKDPGTSSAWRSPLSSGRALSHVSDAISNVNNHYYHHCRNSGNDNVISRDGISNEGFLKAGDDDDDCSGKGKGKGVFLCNWKARRSEPGKREGKGQGSGYRGSGEASGSDDESEGGDGLSSIFPGESVDDCMSDGRDGGGGALKSGRYVGDRYASMLYKYRNTNSGKRNIVKKRVKTSAHSAALWKRHQQQIVVRKSSKPGSGHVPLNLRRDDLVSLVDRSDTSDYFNSDDLRRYAAESPLLARVKSTNLLGGSRKEESLYSYGTPAMSTSSLQLYGTKNSSTVRSWDATTNSYNDGKNEVDDHFDFVGQQGCGIPCYWSRRSTPKKRGACRSFSPSLSDTFRSKGRSILCGRQSMYNKRSTGFSLSSHKKRVGTDQGLIPLISSSGAGIGGSSLGVSNEELSSNYGELDLEALNRLDRRRWSASCRSKEGLELVAVNGDGESQSTPENLRSLSQKYRPVFFEDLIGQNIVIQSLMNAILRGRIAPIYLFHGHRGTGKKSAARIFSTALNCFANDESKPCGVCRICTDFLSGKCKELTEVDGSNKNGIAKVRHLLKILSADPPSTSTLHKIFVVDQCHLLPAKIWLTFLKFLEEPPPRVVFIFITTDVDSVPRSILSRCQKYLFIKIRDGDIASKLRNIADEEDLDAELDALNLIAVNADGSLHDAETMLDQLSLLGKRITTSLVNELVGVVSDEILLELLESAMSSDTAGTVKRARELMNSGNDPLLLMSQLATLIMDIIAGTYQIVDAKYSDSLIDGRSLNEAELDRLKHALHLLSEAQKHLRVSSERSTWFTATLLQLGSVPSPVPTQTESSRRQSSRTTEDPLSTFNNGISQNLGPATQYTSRRSASPMSLHKATHRNSTSQDDGLDLNSNPALSQFKNGNSLNVSHANIGDETRSNMLDDIWIRCIQSCHSKTLRQLLHNYGKLVLISEVEGSFVAYIAFTDSNMKLRAERFLSSITNSFEVVMRGSNVEVRIVLLPDDDPFINSERQLVLVDPMVKEHETQVPQKLSRASLNDFNVDIPGNLQSTSRSLSTKPEVPAPRIESVNHEQQLETAYLQTAEKVSPGSLNHSKPERNQVLPQVDVYHQNQMDFMDPASLTSQRWEDELKEEFSALKIYDVKEATQISQAGPRFDLYPMSPSLLHNRSYVSDLSRESTGYKSSSGAGRCSGMFCWNNHKHRAKGKINQQTRAPVRKHKPKGGHFLWLDCARSTKIKDKHRKI >KZM80620 pep supercontig:ASM162521v1:DCARv2_S190.1:168397:170900:-1 gene:DCAR_031994 transcript:KZM80620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVPESRRVLITGVSRGLGKSLALEFARLGHTIIGCSRSEKNLDDLQTQLSSDDQKLSNHLFINVDVRSDSSVAELARVVLEKKAVPDIIGTVNQKNRIWEVPEEEFDMVIDTNLKGTANMGLIGDLSVKSGIFRTLVESKQGVIVNMSSRWGRSAAAQVSPYCASKWGVEGLSKSVAKELPSGIAIVALNPGVVHTDMLVSFYGDSACLYQQPQAWAPKAAAIILNLTAADNGASLSV >KZM80625 pep supercontig:ASM162521v1:DCARv2_S190.1:206956:207297:1 gene:DCAR_031999 transcript:KZM80625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGLLTSQTDPPTSIYKRWFIKKTQEKHFELLINRQRWFRTTSSLSNGSFRSNTLSESYQYLSNLFLSNGTLLDQMTKTLLRKRWLFPDEMKIGFMEQEKDFPFLSRKVMWP >KZM80622 pep supercontig:ASM162521v1:DCARv2_S190.1:180794:181366:-1 gene:DCAR_031996 transcript:KZM80622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRSFPITIVVLFAIILMKTDALAPYAGKSLLDMLMLPMEDPFRILEQTPMTMPKGLVESVAMARADWKETSTAHIISLEIPGIKREDVKIEVEENRVLRVSGELKAEEEIEGEKWHRAERTSGKFWRQFRLPGNADLEKVSANLDHGVLKIVVPKLAEEKKKEPRVISIAGDSGSSGESVKTSKAEL >KZM80619 pep supercontig:ASM162521v1:DCARv2_S190.1:149601:164352:1 gene:DCAR_031993 transcript:KZM80619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELEQLSDSMRQASAVLADEDVDENSRRQSTFLNAVALGNTGAGKSAVLNSLIGHPALPTGEGGATRAPICIDLKRDSSLSSKSIVLEIDSKSQQVNASALRRSLQDRLSKISSKSHDEIHLKLCTSTAPPLKLVDLPGVDKGNLDDSLNKYVERNDAILLVIIPAKQAPEISSARALRIAKEVDGESTRTIGVISKIDQAASDQKVLAAVQALLLNQGPSSTTDIPWVALIGQSVSIASAQSGNVGSDDSLETAWRAETESLKSILTGAPQSKLGRLALVETLAHQIRNRMKIRLPNLLSGLQGKSQVVQGELVRLGEQMVTSSEGTKALALELCREFEDRFLQHITTGEVLYSEIFYKLVIIFIKGNGWKVVGCFEGSFPNRIKQLPLDKHFDMNNVKRVVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIATTALEGFKIESKNMVVALVDMERAFVPPQHFIRLLQRRMERQRREDELKYRSSKKAVDAEQSILSRATSPQTGQQQSGGTLKSMKDKSGQSEKDPQEGPVLKTAGPEGEITAGFLLKKSGKASGWSKRWFVLNEKTGKLGYTKKQEERHFRGVITLEDCVIDDASEEEAAPSKSSKDKKASGPDAETPNLVFKITNKVAYKTVLKAQSDVVLKAESMAEKVEWLKKLRNVIGAKGGIVKGEFSVPMRQSHSDGSLDGMSRKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNKLYSSISSQSNAKVEELLMEDKNVKQKRERYQKQSSLLSKLTRKLSVHDNRAAAASTMSDDTSESSAMTSGSSSSDDWRSAFDSAGNARSDSFGNGHSRRYSDPSQNEAGSGSNFSGRRTPNRLPPAPPSSGSAYRY >KZM80613 pep supercontig:ASM162521v1:DCARv2_S196:60703:67757:1 gene:DCAR_032002 transcript:KZM80613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKAWRCHGCVFLTEKVGKELGWKLKLRGRSTALDAYLHHRLINLQGNANAASGMAVHDDCKLRFLDLKAKRTFRFVVFKIEEKQKQVVFEKVGEPASSYDDFAASLPADECRYAVYDLDFVTAEKFQKSKNNLHCMTLESDTFFVTPLRGSVGLMPSQNHTAIELAGMDRPALLSEVCAFLTDLHCNVVNAVIWMHNARAAAVFHVTDDSIGCAIEDPKQLLTIKELLRNVLKGSSDLKTTKMTLSPPGVTRRERRLRQIVFADRDYEITASSRDGKNDEKSSRPRVNIGNCIEKDYTVITMKSKDRPKLLFDTLCTVTDMQYVIFHGVVHTGRLEAYQKKAGDNLEEEVSDHDSVELDFDNHAPNWLLGRSGRTRKTVLDKMQKREKNRSAELTKLREELSREMEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELGGNEDEGAI >KZM80612 pep supercontig:ASM162521v1:DCARv2_S196:19815:20962:-1 gene:DCAR_032001 transcript:KZM80612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVPDDDSAAKKGLVVQKRQIRPRKLQKTMLVSELYGITKSIAKTDGVAGLVARDLLRAAPSGHSPSSQGPLVEEELLSQDQDPSNDMPNLRRTTTFQVVNVVEDLDFGEENNTDIRVRRRRSDGAVGKEVITRLGKLVLNGWHCCSLPCKTI >KZM80614 pep supercontig:ASM162521v1:DCARv2_S196:70466:71404:1 gene:DCAR_032003 transcript:KZM80614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHLMLRALASPIGPTDWNEALDIIAYGSHEQDNAHWEVVDALPSYGRGIELPGGRYRSLITGNNLVDVVITGDNGTIDSQGSVGGSTSVLIV >KZM80611 pep supercontig:ASM162521v1:DCARv2_S197.1:54217:55293:1 gene:DCAR_032004 transcript:KZM80611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREASRLAKISKAKGTSSKSKSGTPIIINSSCSSLLDLINIMRDEYPSLAELGSYGYAADCSPSLAKHALLAGLRFPLHPFIPKLLADVGLNPCQLTPNAWHMIHCFMVQCLSKGLSMFVPLFRKIFQFKNYPAGSTGRVLISHLPDQPHIFNNSSIPNNNPRWKKEFFKLHWEGGYWGTLFRSKFCKVVDGSVDSIHLSDVEKAAYAELVKDNGQSKCWDLLDEFNLRKLGLSRLPQKSMSRMRLYQKETGRMKRHRLAKDPWELPAFLQPDSAEGGSSNHAPGFQVSSL >KZM80290 pep supercontig:ASM162521v1:DCARv2_S1993:22386:24470:-1 gene:DCAR_032006 transcript:KZM80290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKYVSFKDVSKARYDWKVQARVMNLWRGVSTKGEPFTSFNRLLLDNKRCRVHAFVPGSLAAKLEAILEIGQIFLFENFTVKDYKADEKFRPVRKNWQIVLGQETKITSLAENEVAIDKAAFDFYDLADLKDLANQSTYLTDIIGVVHERQIELGDIKNRFGVQQRQIKITVTDGRTMVKVTFWDDFAKLFADALKDNAFEYPLILIVCCGRPQEWQKQINITNVTATSQQCRPHEENTEVLCKVIIDQVMQDTWYKNICTSCYSKFQVVGYEMNCIQCPRAVPYAEKWFEIFCMASDATGTIPIMLDNFSAMKCFGKRAYDVYDKEIEVFPEIIKSLEKRLYTVKILITIHNITGRDKVYTVKDMVAGHNIKTEASDSQDTTPKPVQDSYAEPSSSSYHLDSVSENN >KZM80292 pep supercontig:ASM162521v1:DCARv2_S1993:47267:47521:-1 gene:DCAR_032008 transcript:KZM80292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDELAHAVAEAAASQQSDGRQGDRDDQDADDYDHDADIGGS >KZM80289 pep supercontig:ASM162521v1:DCARv2_S1993:17623:22003:1 gene:DCAR_032005 transcript:KZM80289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEEKSLDFLCLNFGGGFKKGKLKLPLPFYVTYSEHLPSNLVLHGPSNDLWPCTFNKGDPRIHGLEKWMEYYKVKPYNVVRLFYLDGPDFRFEIFTQYAVEMNYTAVISDTASKNFVYEVDKLYSKYLFNGFRNCVGKYTLVIDPSHFVQESYPKFLVNEACEQLGLSKFVPSIVLGFEDHEWTIGLKWANNAVQFGSEWADFAKDAALFVGDALVLQETKYKGFFKAAVFEASVVSDCEPSAVVAVTNQEAKFFKGFTKASLKAGELEIPYVFRMKFAQNLGNVVKLFFGGNHTFKVGYCSSTGSLKGLLELVKHFHLMENYILLFTFKGNSAFFVSVYDSQCLNHLRDVYGNSTISEFEDAVDNGIDEDEIIIISDSSSDSSDSDDSSDSDDSSLSEVQGDDGEPNFDFSVVLKRSHVDQRGHGVYIPPPFWTESRQWQRRTNVGLVVGNVAWPVEILRFGNQARFSGGWNGFVSGNHLAAGNTLLFTYVGGFEFEVVSGYSFWTYLVWSKPVHREGSSVAELSLSSSEQICSQRRRESSIAGLSCERSLYSAMRSMECSGIVAAKFCIRLEYNDTLSNELHPPVAFVERCGFALPMQFQYVLINGKKFAGSYDSENSRFTGLSSMFEILGLGIMSGVHSFVFTYDGMSNILISSFDSELNELVFPGTPLCDDANGYSPLVGKCFCIKVEAKHMFDDCPPVASIFTPEIYDDFMGLSEVWQNFQCINIYSGSCCWRLVARNRDHTNYCAIVDGWQRMRDGLGLEIGDICVFQCPIKSYDQFKIRVLKFDGY >KZM80291 pep supercontig:ASM162521v1:DCARv2_S1993:24880:25729:-1 gene:DCAR_032007 transcript:KZM80291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVALVVVKRLIRWKAGLDAQLNVRGIYHGQTRDDEIQRIIGKEVFDIENDESQVGVDGSTFPPLLKQFEKRDYIVTLTISASNINKSGKVYKAKKLDNPEENLGKNEPVELKSAETVVHTMETESNTVAEPRTSSPATEKSSNRSRGIKNKIPVKCEIMAENPTTKMKKS >KZM80288 pep supercontig:ASM162521v1:DCARv2_S1998:4365:5182:1 gene:DCAR_032009 transcript:KZM80288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVFIVSGSGRSSLTEWLAPCEGLGLAAEHGYFIRWKKTSEWQSSLVVDLEWKATVERIINSYTEVTDGSTIEVKESALVWHRQDADHDFESLQAKELSDHCKHVIANEPAVVRKGKNIVELKPQDASKGLVTEKVIATMVSNGEIPDFILCIGDMYDGTLKIVSSVVVPAVPEIFFCTVEQKPGTAKYFVDDTFEVQKLLQWLANVSSTQPSSAENPPSIP >KZM80287 pep supercontig:ASM162521v1:DCARv2_S2010:43293:54024:-1 gene:DCAR_032012 transcript:KZM80287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTGANDVAARLNSSGFFRTQGLIGGKWVDAYAGKTIEVNNPATGEVIANVACMGTRETNDAISSAYDAFKSWSKFTAAERSKCLRKWYDLIMANKEQLGELITLEQGKPLKEAIGEVSYGASFLEFSAEEAKRVYGDIIPSPLADRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALAAGCTVVIKPSEFTPLTALAAAELAHQAGVPPVRKITFTGSTAVGKKLMAGAAGTVKKVSLELGGNAPCIIFDDADIEVAIKGTLGSKFRNSGQTCVCANRILVQDGIYDKFASALADAVKNLKVGDGFSEGVVQGPLINEAAVQKVETLLQDAVSKGAKVLLGGKRHDLGFTFYEPTIISDINNEMRISREEVFGPVATLLRFKTEDEAISIANDTNAGLAAYFFSSNVQRTWRVAEALEYGIVGVNEGLVSTEVAPFGGVKQSGLGREGSKYGMDEFLEMKYVCMGNMS >KZM80285 pep supercontig:ASM162521v1:DCARv2_S2010:22597:23556:-1 gene:DCAR_032010 transcript:KZM80285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLYAIQRAAETELLTKFPYGGVILRPGFMYGTRRVGGMKLPLGVIGSPLEMVLQYAKPLNQVPLVGPLLVPPINVTAVAKVAVRAATDPVFPPGIIDVHGLLRYGQQKSI >KZM80286 pep supercontig:ASM162521v1:DCARv2_S2010:25110:33162:-1 gene:DCAR_032011 transcript:KZM80286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLIKSSVSLSRICTTSVSRNVRFLSTTSNKVDEPLKVEEAETVNIPPPPSEKLLVLGGNGFVGSHICKEALDRGLSVHSLSRSGKSSVQEAWANKVTWHQGDLLSVNSLKDALNGVTSVISCVGTFGTNSYMYKVNGTANINAIRAAAEQGVKRFAYISAADFGLVNYLLQGYYEGKRAAETELLTKFPYGGVILRPGFMYGTRRVGGMKLPLGVIGSPLEMVLQYAKPLNQVPLVGPLLVPPINVTAVAKVAVRAATDPVFPPGIIDVHGLLRYGQQKSI >KZM80284 pep supercontig:ASM162521v1:DCARv2_S2012:10040:11607:1 gene:DCAR_032013 transcript:KZM80284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEQNTIVYLETGSGKTLIAIMLLHSYAHLLRKPSSFIVVFLVPTAVLVSQQAEVMKMHTDLKPRKYWGVMGVDYWNVVDWKKEHDEFEVLVMTPQILLDAMRHSFIKLETIRILKYDECHHARGKQPYACIMKVFTCSSESVLAGYMAMSTPKLKIYQDVDSLCLLPKKIASEIESLQEKAADSYKSHGSGMFVWEKQDKFGERIITEFSSEVFKVLCGNIPSGTLLVQELSFSNFLRYIYSMSLVNCY >KZM80610 pep supercontig:ASM162521v1:DCARv2_S204:27406:28726:-1 gene:DCAR_032014 transcript:KZM80610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANYPSALKRLWTWAKDALRDGQTVSFELSEEAFGSTKKRAIFISDIHAVCSGGEMAGSVICIYMNFLNDYVQKHKMANLIAFVDPSTIGALGCGNVGQRSRALALRFKDAWKGQYFLMPYNDVAIRFYKEDQKKVVKKKIVWENMAGVPVHAGTKDCGLFVMRYMKEIVQDKDLDFANKWMRRSNLAYTQDNISEIKIEFAKYFMKRYAF >KZM80283 pep supercontig:ASM162521v1:DCARv2_S2104:3809:6341:-1 gene:DCAR_032015 transcript:KZM80283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFPVSANWGHPSAHRHMYTRNDVNRRHLMNSVPNNPAMYHLQPPQPQPHPHFEEPSQPQPLQDQFWIPFQPEPFPEYGVPFQPEPYQDQFGIPFQPEPSQVLGIPAQWVPLYYGAPPQWHPYQPLQPQPHQAPQPQPLEHFEMPQQPQIEMPRDPGEDVLVLNPNVSLFTAKTYIRWTGELEERFSRAVQELGGPFRARPKAILKKMKVQGLEHDHIKSHLQKVRSKARPKPVDQEPAVVQSSSDNAQLPPGEASSDTGLNDCIIFLNATCANSSGDVQSFLEDIEYYGRLLGSPETPSFMQFLEVAQPDHLQQEEGGVAQPDHPQHEEHQEDGVAQPDHPQHQEDGVAQADHPQHQDGVTQPDHPQHQEDGE >KZM80282 pep supercontig:ASM162521v1:DCARv2_S2151:17530:18740:1 gene:DCAR_032025 transcript:KZM80282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNCIYIIFNTSNLSFSFLSSFEQMHKLKKVAQGRVCVAAIAKQKVLALQGPHVASFLLQ >KZM80607 pep supercontig:ASM162521v1:DCARv2_S220.1:47257:60917:1 gene:DCAR_032028 transcript:KZM80607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAEESGDTSSETKDQEHALSKAVDHMASSIESSTDLASKKYNIRDYEQACRDKFSGAVQPQPQVKDDKLLNKQDAQGKPSVIEDASLGSGSLSYAKPFQELTMLAYERKVVVLHELLSACLAVTPQLDKKLNRRRNGYDARHRVALRLLSTWFSIEWIKMEAIETIVACSAMAILKEAEAKQEEAHTPKSKWAKWKRGAGLTGTKMARRTGSIDEFEFKAIGENHNQGRLAVEMMISGFVFEEEDFVRPWEGHTDHSERYALQWESKHLIAVSTAIQDWLKSKLAMTLMQQGAMMTVLSGLLTALVWPAALLTLTDFIDSKWSIAVDRSDKAGILLAEEVLLKGLQGHRPVTLIGFSLGARVIFKCLQILSKSENNAGLVERVVLLGSPIAIKDENWEAVRKTVSGRFVNAYSTNDWTLGVAFRASLLSRGLAGIQPVDIPGIENVDVTEMTEGHSSYLWATQQILEQLELNSYYPAFNAAHMNQNKDLSSA >KZM80605 pep supercontig:ASM162521v1:DCARv2_S220.1:34732:35332:1 gene:DCAR_032026 transcript:KZM80605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSLLPSFACCSAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKV >KZM80609 pep supercontig:ASM162521v1:DCARv2_S220.1:91813:92025:-1 gene:DCAR_032030 transcript:KZM80609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVCRRALAEPFLHMSCNPSNAEKLKTSLDAANQEKDELKRKLDAAEKDKSNLGEYETRADRGLPRLRR >KZM80608 pep supercontig:ASM162521v1:DCARv2_S220.1:85128:87873:1 gene:DCAR_032029 transcript:KZM80608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDELSSNTYNPLLQSGIPSDSDNLSFDAPDWVQNLLDSNQLSPPITSANEFEIPQIHNQGTTSQTIVAETSQPLSQPLHISEREGESALSAPHKEIVSETTALSPSQERRIEPETTADMSISSPPQPNTIPMHRSSAFTGFWGETWMKCPLSTSLSTPLGGTFPDPSKDSSPLEGERQSISEPFISGSVPVTEDLSQSHSPSKAVVGNQGASPIQGSNPSSPVSTHPEIPTQDPTKDSLLSSSWQLVSYDSDSSDEETEDEGSRTFIAPSVTSLEEAKKISSAGTFKDAETSLSERETPTELAIQKPSDPLSVLALSETRETPTERRSENPTAQPTIESTISTVSVTEFEALKFKVQHLEAENLVLREELVEIKSTMEQRLAALEAKLLASQPSREDYSTEGERAAEKARGKRVITGVSEELIDSALRGQFSYSHDEYIPEFVDDRVIRMVGADDDDLEEGEIPDNEVFADELAYHNDIFPPEEYEIANPQDIADVSRDFAEQRRAREKLENQRRIRRERRLANLHKDGDEWDIARAVFDFPEVTQENNDDDVKDIFDSFRNNYKDLHDYHEVLNDIISTVSVAVLPRRGWMVNISFELKKEGHGLKHVSSQFLRDLSLTELFVVRNKVISTGKKQNEIFRDMVEEWITDIGLEIHDKPSVIKYFKDGMIQSVGLTDEALSTYNPRILKNLEAQVREKDLDLSAINRQPPYPLPPLNPEVPENPNAPVVTYNPTSFIFKNKKDSEATAIPLTEIGKLSCKRIVRAVAAVKLSVVKEDKSVLKDLIDLLEIRKAVETVHNTSRVRAHPSRVIMKIEGMELNITFKKLKKMGHIQTLEKMKKNLEQPPPENTLDKWH >KZM80606 pep supercontig:ASM162521v1:DCARv2_S220.1:42189:42509:-1 gene:DCAR_032027 transcript:KZM80606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELRIIRDGITTAPTTAPLRLGDSRRLGLVRLSLVESQPEESSGRVSLRRCQYRRCHRLNQYQRQRLCVWFQFGIGFYAHHLLTFLLYSYFSIGFSLELNFMYT >KZM80281 pep supercontig:ASM162521v1:DCARv2_S2267:11546:11864:-1 gene:DCAR_032036 transcript:KZM80281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSVNVCLRYKIVVLAGDETEALNFVLLDRPASRIVEQTTTKLISDNLQVALASGYPAKIRDMIGKEYTFDVEIKK >KZM80280 pep supercontig:ASM162521v1:DCARv2_S2287:17284:18227:-1 gene:DCAR_032037 transcript:KZM80280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGGILNALATALHGSIRTAPSIHRLRLGLLGYLIPFATLAFVSQCQCRPSRVLSPLVFFPISTHFTAPPEIPSAPTVLQLDALRPIIPDNACILCITAAAGTELADAYSPDTVIASSPGKEVHDPWAFYLHAALLRQAFAHCGKFPTAASRRSLGRVSVPVWLIILSDQLLIIALPFPAVVPLPRAGSYALLTRPPLETPLPVRLACVKHAASVHPEPGSNSP >KZM80278 pep supercontig:ASM162521v1:DCARv2_S2319:38:424:-1 gene:DCAR_032038 transcript:KZM80278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAPLGARRSRGSREGKRMSPLLHLARDDKERASSIDEQRIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNGIVALHSPPXASGTR >KZM80279 pep supercontig:ASM162521v1:DCARv2_S2319:1033:1239:1 gene:DCAR_032039 transcript:KZM80279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKKGGRIRTYGRPTPDLLGWVAGLAPLVASLPETDALRYPALHLVSPTPLLVVPLPIAGSPRSGRP >KZM80277 pep supercontig:ASM162521v1:DCARv2_S2332:2303:2464:-1 gene:DCAR_032040 transcript:KZM80277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTRSGIEVRVTRPNDVEDEVSKGGGANDVVNVESESCGEESNGEDGNRRVQ >KZM80603 pep supercontig:ASM162521v1:DCARv2_S234:44144:44578:1 gene:DCAR_032041 transcript:KZM80603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDYLKKYYQNRPPPTYGITPFEVSCAGQLEWRGMSAKDKAPFRKLAREMRAEGRSFFSF >KZM80604 pep supercontig:ASM162521v1:DCARv2_S234:55154:55801:1 gene:DCAR_032042 transcript:KZM80604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNKTIHDFLGPEEAAEWTTSSIPARIPNHAYDMMGRALNEVTDMVQAMDGINEITRSHLDEELKKLADGAYPNKDDPVQKVLWGQYIKVAASLASSQFERFKKVIIEDTEEDGTENGHDMEDYEGNADGQNIDDEAGDMDDDRANMDGHYSDEDGSFNNTQLSP >KZM80600 pep supercontig:ASM162521v1:DCARv2_S237:35673:38453:1 gene:DCAR_032043 transcript:KZM80600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLLNVRYNYDGTFNKTSYSGGKSIIINRQDVDEFSYTVALENVKDCLNCTEIGGLYVLNGKPQQWKLLKCDSDLLQLVDACESGGDINIYVDCVVDKECKPLEPGVPFLVVRPRKNILKEHLQSKQNKRTFVSSHQLQQQRQSKRIPRSPQLQEVEQNKLPKSPRLQELAKKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHLQEVENNNLPKSPRLEDLQKDLSSNPQWKKDVCPNAVSAMVAKRRLHLSKIDTIESGRVNEYELRKIQNVEENKKKFKELELGNYAANPIKPIVQQSIKEKKDRDDPEYVVENETGDESDDTSEGIKSVQKRKAIPGPRTRSRANDKDLGDKDPVDPIDKGKKVAAATEMRREDVGDTDLQNGSEGEEVEADFG >KZM80602 pep supercontig:ASM162521v1:DCARv2_S237:50818:51027:-1 gene:DCAR_032045 transcript:KZM80602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMLGRSKSDKTGNWIGDESRKVCFHPLFSKVDESMICVPVLARKLAQIQATIISSTCLKLSEKLLVS >KZM80601 pep supercontig:ASM162521v1:DCARv2_S237:41025:43094:-1 gene:DCAR_032044 transcript:KZM80601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVFLRNKRYRAKLGFFLHSPVLASEIYRTLHVSADILRILLNYDLIGFHTFDFARHIFSCCSRMLGLDCESKRGQIGLDYSARTVYIKIMPIGIHLEKVENVLNIPSTSVKVKEFEGKFKGNHVIVGVDDMNLFKAISLKLLASEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRWETYGTANRINQIYGSCGHQLVILIDRPVDQCRALQLWMKLGELCHILLETSVLIIYEYVGCSPSLSGTIGINPWGISFVAEAMRSTISMDDFLRQLRQEQNYSYVQSLDVAYWARSFVHSMERACLDHYNYQCWDFVGILVPHSSAKKNLSSEAETALITLCDDPKNTVFIVSGSGRSSLTEWLAPCEGLGLAAEHGYFIRWKKTSEWQSSLVVDLEWKATVERIINSYTEVTDGSTIEVKESALVWHRQDADHDFESLQAKELSDHCKHVIANEPAVVRKGKNIVELKPQDASKGLVTEKVIATMVSNGEIPDFILCIGDMYDGTLKIVSSVVVPAVPEIFFCTVEQKPSKAKYFVDDTFEVQKLLQWLANVSSTQPSSAENPPSIP >KZM80599 pep supercontig:ASM162521v1:DCARv2_S238.1:92162:94083:1 gene:DCAR_032046 transcript:KZM80599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGQSLIPSVLYWERSLVDYNRNPIVQKNKFWGPCCVHSLGDGDSSNKKRRSFIVQAPREPAKKIDMFSPAYYAACTAGGIFSCGLTHTGVTPLDLVKCNMQINPEKYKNISSGFRVLLREQGFRGLFKGWGPTLLGYSSQGACKFGFYEFFKKYYSDIAGPEYSAKYKTLIYLVGSASAEFIADIALCPFEAVKVRVQTQPGFANGFSDGFPKFVKTQGAAGLYKGLVPLWGRQIPYTMMKFASFEKIVEVLYKYAIPTPKDQCSKSLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAQGATAGDAVKHLGLWGLFTRGLPIRIVMVGTLTGAQWGIYDAFKVFVGLPTTGGAAPPALAKP >KZM80276 pep supercontig:ASM162521v1:DCARv2_S2403:292:525:-1 gene:DCAR_032047 transcript:KZM80276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASNYYNQATISAALIRQDRLSLARRSKFTNMPGNNWSRPQVNQASSSQSQSHQTRNLRNNGRSNNENMIVPTMKI >KZM80275 pep supercontig:ASM162521v1:DCARv2_S2440:11064:11246:1 gene:DCAR_032048 transcript:KZM80275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLKSGLILKKELDEKLEQKFQDMVKKLAESNPGLKINLDESDKSTDSEVDAESLETDQ >KZM80274 pep supercontig:ASM162521v1:DCARv2_S2471:8273:8759:1 gene:DCAR_032049 transcript:KZM80274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKVNLDFIRLKKTTSDDGDEESAAVQDGAAVQGGDVVQDGASAENNDTAESKKTQKAPVHGPNWLLGRSGKSRRTMNKPLHVGQSSTSNSTADVAEMKKTIRDELVAEMQEVIDK >KZM80273 pep supercontig:ASM162521v1:DCARv2_S2475:423:770:-1 gene:DCAR_032050 transcript:KZM80273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCSLCKKPGHKKTTCPTRHDQQRNAQQENDAQAENTYDQNTTVNKQSGTCRPKLPVRRPAAPGVVIKDPIPTPKTTPDLNDVLKKNKDKVSETSCTKRKDKPYWMTNCLRKKKP >KZM80272 pep supercontig:ASM162521v1:DCARv2_S2525:1210:1497:-1 gene:DCAR_032051 transcript:KZM80272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKENGTLFFFDSPARHQWKQASPRLGEEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVVCANKTTKSLCGTSGTQKSWVIIGPMLRAKPIPRV >KZM80595 pep supercontig:ASM162521v1:DCARv2_S255.1:43868:44785:1 gene:DCAR_032052 transcript:KZM80595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLALMANSGDEASSSSSQVPSLVLLDMNVGECKKTIEDISAEMFNLHTSLFATHEEIVRVNSKNETLTADNDLLLLKTASLDSLRSDNDKIKNDLAYAKKIEEFLRTKLAENEFKLKAYKNSSQIVQDISEKGTKNNNLGIGYEYGRRPSKESVSDYVDNDTAKPLVLRKVQKPVFKFVESVFDEEALLIKEELNDEDSVSNSVTVTEKNTVKRPVTVDSIHSQMAHSKHVVNKAETEPYKGTIKRNPKTVTFITVVKTVEAKAAVKGDPTAPFECSVNTDDTMSRNRNGKMNRGKNGEYAKS >KZM80596 pep supercontig:ASM162521v1:DCARv2_S255.1:48522:54356:1 gene:DCAR_032053 transcript:KZM80596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSSSSHKFSLIKELELEKSEFEKEVDLLFEEMNLHLETETERIKKEKLEKEMEEILVYKKQLEDLIEGAKLPRNEVWEKLLQIVWEIFDSRIYDIEITISHTKSVHFMHDSRMPDNKFQRTAKNKGTDEDSSVKFEIDGKEHIQTVAKINKKFGNTLANDESYRDLADDATLTKFFLKIGYDGLVLKEDSTDWYPTGEMDRKYIRKEWNMIFDAMVKIFSAKTSGWNAIPSYIRNLTHSMVHGYKVNVGNMIMAQLRSSIIRKVQIYPRFVTMFLNDVCGIAANASNTRKCFVLKKNTHNSLINSNPHGDMRLHYTRHMIDQVSNLDSPFEDNSVIFSLEDEAGKHTFEKPALEETHIGLRHSEISSPMTIDEPQLTSLTQPPIISEILSSTCPTSLVSSLTPRAEDCPNLSDVDLPFQIMEEPPSSGPQEPIAQSTTTSIAVDSIPTVKTSLVVTSTPAGKAHTAVFTQTDSIVSTQPVVTSMQHPSTVLVEDDSDDDHVLISSFIKATLDTLSKLINAANLPKGENSDRSDERELDDEPVKGVASGTSKGNQGASAQGEQGEATKEDLSKERFGEREASTQGEPGTVEMIIDGERVLASKTLAEELNSSEDARRNVFVSRTIFPPLRMREPTSGSFALERSFKQREEEITKRKGKEKLAEYADEFVKLILDARTGSENILMNWSDNFKEQIADFCVKINEKHIWSVYICLKTIHTLYVTMEVLKQQPAIVLFTLISLMKRKEILVNQILRENLLHLVIEKALEVHMNPFSLFYRTKSAEHSDKYTQMRKREEFKNYIEKRAARWTSRLWSRTKHPANDHFKRLHNKTVETPIQTAEENSFAAMKRLLLCQVIDARVDFDGEVNVISYRLFHPVKQGHVCETVFPIYFFKLERMIEFMMDDPSMSMQTMEPILKYHTLRKREFDKRNGRNWLMPDDSDYPEEEEDVQIDESSDDDDDLTADDEINLSKKTSQGNTSTTELHQYTPPSYQEQREEVINLHRVLNRDRSMKPDHVSRVLDVVSQIFNSDLIIIDLICLDIMVVFN >KZM80598 pep supercontig:ASM162521v1:DCARv2_S255.1:88056:88742:1 gene:DCAR_032055 transcript:KZM80598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIENNNIATIPTDIVIVLLELLLVGGFQDFFNFFIVWSRTQREVVITSLLDKFPLRSLYKYGCRGSPADMLCFDNFFRIAENLGIGDAVLYRRSRAIIYGTGNIDAHFTVLDTLSANNHFLGMVGNFILRSLYKQGNNVVTLQVLIRVVNHPNYQDFIVPAVNHLSDIHSYILFPELVDAVDIEACCPIHSTCVKVFLEEKCPPATNCLFCKIAFMLTVFARKPLVN >KZM80597 pep supercontig:ASM162521v1:DCARv2_S255.1:83880:87110:-1 gene:DCAR_032054 transcript:KZM80597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYSMLSALSQQSDNKKILVRVGRIWEAVNRKNNTLLHTNVILLDEKDDHILAIVRNNQRDAFLPMLKENEVYSISNLKLVPGPKLYRSVDRDLSVNFFYKTKIEEHQDTGSIPRYKFELQPFHRVKDLVGDTKCLIDVIGMVMSYGQLEKRSNDAQKMDVVLMDTSEEKMLVTLWEDKALQFMSSIREVQEKATFVAITGLLAKKFSDRATLSSTDATRSYFNIDYEPLNALNEKLSASIAGGHNSLQAPTARQFVTANENQPKELQIKSILEAKIPVGNNVLHCLCKGTIIDVLNGNGWYYICCPKCARAVRELEGKFYCAACTEEPYPVTQRYRVVIRIEDGTGSTTLTLFNKEAEQIIGAPLNTLLKEIEKEKLAEIPPALKNLIGKKCAFQIKITPYNIEKGCEEYTVTRVSEFTLPPITNDAADSSEGSNKKQRTA >KZM80271 pep supercontig:ASM162521v1:DCARv2_S2587:19713:23700:1 gene:DCAR_032056 transcript:KZM80271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTADRYRFEFSVSAPVAEFSTPSFVTPAPVYSVPEPRVQIEQPVVTTSEIPVHAPGIPMISAPVSQTPTGSLGASAPMSLADWADWAMMSSTMHSEYMALAHQYQSVLDQYVDSVYPSWDTGIVL >KZM80724 pep supercontig:ASM162521v1:DCARv2_S26.1:88551:98327:-1 gene:DCAR_032058 transcript:KZM80724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVEDVGVILNSPESVTRIGRHGVRKQMLNGIEVDVAVAGANEEEENERIRVAIDAYEWHRKLIRQEKLRSRIRLGIEVDVAVAGANEEEENERIRVAIDAYEWHRKLIRQEKLRSRIRLGIEVDVAVAGANEEEENERIRVAIDAYEWHRKLIRQEKLRSRIRLGIEVDVAVAGANEEEENERIRVAIDAYEWHRKLIRQEKLRSRIRLGIEVDVAVAGANEEEENERIRVAIDAYEWHRKLIRQEKLRSRIRLGVGSEG >KZM80723 pep supercontig:ASM162521v1:DCARv2_S26.1:87192:87519:1 gene:DCAR_032057 transcript:KZM80723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSPAPSPGPVRMEGLMDCEGACTERCKETKRPNLCKRACGSCCLKCNSVPPGTSGNYDACPCYFNLKTHNQIRKCP >KZM80270 pep supercontig:ASM162521v1:DCARv2_S2638:110:550:1 gene:DCAR_032059 transcript:KZM80270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTEEEDNGQPGDHVAMHVLENNHHSLHIHSPQVISLSSNNHLERRDLKEKLEKATKQAESVDEAYAKKAINAQASGKKAIYEAVASTTNCFKICIENFVVSLGINGEDKSLEDHVTKLVKAIPFDARAPADMAVEVPGQEGDVG >KZM80594 pep supercontig:ASM162521v1:DCARv2_S264.1:92706:96379:1 gene:DCAR_032060 transcript:KZM80594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGTSGGGGGKGRGSKGSRGRGTSSGGRGAGGRGNDSAEGSGCGAGGRRNSSAEGRDRGAGNEGADNDEENSDDSGSEGQESRIVVRNTYGRAKRSCSVGDYGKELAEKNNGKISELEFISHVYRLDDPKTVKLKEDIEKALASQPTEPEDEEMADPPPSPNTLKMNQRRKALSLIIQVRPPKKGKAILFPRNSVTEVLGAYEAAKVSAEVHRMVRSLEMSEVPRSFLNEQMHRLADEAFPDHDDPMQQELWSQYMRLATAFVVDALKMNDKVILEILLS >KZM80269 pep supercontig:ASM162521v1:DCARv2_S2658:29296:30005:1 gene:DCAR_032061 transcript:KZM80269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGRLAFIILDWKKDTGKAPAEDDGNNEKEGNFDEDWSTKSDEDGETRPPPNVRSKGGPVIKG >KZM80268 pep supercontig:ASM162521v1:DCARv2_S2709:9384:11318:-1 gene:DCAR_032062 transcript:KZM80268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRKTLPGPRTRSRANAPTSMNTKVKHSFAPKLIKPTCSKLLKQHCNVESGSAAAYVALRECQKQNLEVDPRIEDVGESNLQDAHDDEEVEKEDNTARRHSYVDPHTLGRKTLAELKDKLSVL >KZM80267 pep supercontig:ASM162521v1:DCARv2_S2717:4437:4809:1 gene:DCAR_032063 transcript:KZM80267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVRFLVLMITSLVLLLHNSCALVVHHQGRKLKGNSPMASQENLAPAKMVCRMHLYPDIVICDCCIHSRYNVCHHKCLQGLIHRDS >KZM80266 pep supercontig:ASM162521v1:DCARv2_S2857:12367:16295:1 gene:DCAR_032065 transcript:KZM80266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTFTHAGVDFVPNNYTAILDTAEAPRDYHPMQQFLAQSAIATALTAPARLSGSQIISFWRTGQHSTYQSLTLLGDANLRTMMTDLGYYDSLDKLGQLKRPGLRKEWSFFFDCITRAFQKKSTNWDAIPMDMLQIGYSLIYSTNFDYGRLVIRNIGERMHENRQVVYFSRFCQLLFNASVGEVAFDEFNAFEASWTQEGVEFLLRLHNQSLPEEIYQLGCNTHGHATNWISMLNTGKN >KZM80592 pep supercontig:ASM162521v1:DCARv2_S288:311191:312425:-1 gene:DCAR_032068 transcript:KZM80592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLHQNPGGALDAAAVVLLTLFTPSSRASSSFLPSDEQKAALWGPLANQGWKACVDSATGPSIKWSCRQEDNKYGVDLKALLSNTRSYYFSCNNYGGQTPISCSILQRAFCWLLSTLYRLLSIV >KZM80590 pep supercontig:ASM162521v1:DCARv2_S288:114545:117461:-1 gene:DCAR_032066 transcript:KZM80590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMDKEWILKDRDSLDYEIGVEKFLIYAEQNCKNPKKVPCPCSKCACKGTGFFYLINHGIEIELIQKALEESRNFFCLPLEEKMKLAWKEHRGYTPLCSERLDASLRYQGDLKETIYIGPIEDDKSYLNQWPSREILPSWRFTMELYYEKVRAAGRRLISLIALALNLEEDFFEKDGPVDPFLRLLHYPGVLDEKVLGSSAHSDYGMMTLLVTDGVPGLQVCREKAKKPQVWEDVPHISGAFVVNIGDMMERWTNCLYRYYLLERWNNFKYLNEIIKMQKVKLRNAY >KZM80593 pep supercontig:ASM162521v1:DCARv2_S288:326670:326858:-1 gene:DCAR_032069 transcript:KZM80593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIKKQMFAAGFEYKLNNHVAYLEIGEDHKDFHNIMGFLKQSKVAYAMTAAPTPIMKLTR >KZM80591 pep supercontig:ASM162521v1:DCARv2_S288:226384:230699:1 gene:DCAR_032067 transcript:KZM80591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVRKNSKKIRGNVYEILKNSERIAKYAFEYAYLNNRRMVTAVHKANIKKLADGLFLESCREVASKYPAVEEVFFSRSQLIHCDLNSGRKRKDFDNKHNDKNFGHPSAGKRTRVVWTVDPHQKFVKAVNYMGFDKVGPKKILELMNVPWLTRENVASHLQKYQLYLSRLQKENDLKPSHGGIKQSTDATSRDQAGNLGFKNSINITHNNAANTNFLIYSKMCML >KZM80588 pep supercontig:ASM162521v1:DCARv2_S289:144361:146430:-1 gene:DCAR_032073 transcript:KZM80588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVFLRNKRYRAKLGFFLHSPVLASEIYRTLHVSADILRILLNYDLIGFHTFDFARHIFSCCSRMLGLDCESKRGQIGLDYSARTVYIKILPIGIHLEKVENVLNIPSTSVKVKEFEGKFKGNHVIVGVDDMNLFKAISLKLLASEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRWETYGTANRINQIYGSCGHQLVILIDRPVDQYFVGILVPHSSTKKNLSSEAETALITLCDDPKNTVFIVSGSGRSSLTEWLAPCEGLGLAAEHGYFIRWKKTSEWQSSLVVDLEWKATVERIINSYTEVTDGSTIEVKESALVWHRQDADHDFESLQAKELSDHCKHVIANEPAVVRKGKNIVELKPQDASKGLVTEKVIATMVSNGEIPDFILCIGDMYDGTLKIVSSVVVPAVPEIFFCTVEQKPSKAKYFVDDTFEVQKLLQWLANVSSTQPSSAENPPSIP >KZM80589 pep supercontig:ASM162521v1:DCARv2_S289:199046:200212:-1 gene:DCAR_032074 transcript:KZM80589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSCMNFANLAFGDLNRTNPCIKYVDSDGTSSTTVRIIIFSNMLPLHAQKDGRTEGFSFIYDESPLWQLKYGFSPDTMVFYVGSLNADIDVNVQEEVVERLMDDFNCVHTFLANELFSEFYHDFCKHHLWPIFHYMLPMCGKHGDQFDQGLWQAYISANKILANKVLEVANPEINYILIHDYHLMAVLVFLRNKRYRAKLGFFLQSPFLASAEIYRTLPVNADILRILLNCDLIGFHTYDFACHLLSCCSRMLGLDYESKRGQIGLDYSGRTVYIKILPLGIHLGKVENVLNIPSTSMKVKEIEGKFKGKHVIVGVDDMNLFKAISLKLLAFEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRRETYGTANRINQINKCANYL >KZM80585 pep supercontig:ASM162521v1:DCARv2_S289:30378:36953:1 gene:DCAR_032070 transcript:KZM80585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLVNVRYNYDGTFNKTSYSGGKSIIINCQDVDEFSYTVALENVKDCLNCTEIGGLYVLNGKPLQWKLLKCDSDLLQLVDACESGGDINIYVDCVVDKECKPLEPGVPFLVVQPRKNILKEQTEQAYFCFFTPTTATRQSKRIPRSPQLQEVEQNKLPKSPRLQELAKKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHLQEVENNNLPKSPRLEDLQKDLSSNPQWKKDVCPNAVSAMVAKRRLHLSKIDTIEWGRVNEYELRKIQNVEENKKKFKELGLGNYAPNPIKPIVQLSIKEKKDRDDPQYVVENETGDESDDTSEIIFYTYYGIKSVQKRKAIPGPRTRSRANDKDLGDKDPVDPIDKGKKVAAASTKSAKLIKPTCSKLLKQGDNSAPSAEMRREDVGDTDLQNGSEGEEVEADCIGSAFNVKGASTVHSGLAEGVDQHNFRSGVISILTCEVPAVHTNAGMHTCEHCKEDRVILEESIHERPWEKARSMYNDELEAGYKSVSLLDGIVG >KZM80586 pep supercontig:ASM162521v1:DCARv2_S289:72435:74900:-1 gene:DCAR_032071 transcript:KZM80586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSCMNFANLAFGDLNRTNPCIKYVDSDGTSSTTVTIIILSNMLPLHAQKDGKTECFSFIYDESPLWQLKDGFSPDTMVFYVGSLNADIDVNVQEEVVERLMDDFNCVHTFLANELFSEFYHDFCKHHLWPIFHYMLPMCGKHGDQFDQGLWQAYISANKILANKVLEVANPEINYILIHDYHLMAVLVFLRNKRYRAKLGFFLQSPFLASAEIYRTLPVSADILRILLNCDLIGFHTFDFACHLLSCCSRMLGLDYESKRGQIGLDYSGRTVYIKILPLGIHLGKVENVLNIPSTSMKVKEIEGKFKGKHVIVGVDDMNLFKAISLKLLAFEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRRETYGTANRINQINKWKKTSEWQSSLVVDLEWKVAVERIMNLYAEATDGSTIEAKDLPDHLKHVIANEPAVVAKGKNIVEVKQQDASKGLVTEKVIATMVGNGEIPDFILCIGDDRLDEDMYDGTLKIVSSVVVPAVPEIFFCTVEQKPSKAKYFVDDTFEVQKLLQWLANVSSTQPSSAENPPSIP >KZM80587 pep supercontig:ASM162521v1:DCARv2_S289:138912:141767:1 gene:DCAR_032072 transcript:KZM80587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLLNVRYNYDGTFNKTSYSGGKSIIINRQDVDEFSYTVALENVKDCLNCTEIGGLYVLNGKPQQWKLLKCDSDLLQLVDACESGGDINIYVDCVVDKECKPLEPGVPFLVEKKDRDDPEYVVENETGDESDDTSEGIKSVQKRKAIPGPRTRSRANDKDLGDKDPVDPIDKGKKVAAATEMRREDVGDTDLQNGSEGEEVEADFGRG >KZM80265 pep supercontig:ASM162521v1:DCARv2_S3018:2751:3536:1 gene:DCAR_032078 transcript:KZM80265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEPAQRSKFPRVEESTEATDQEQVRVSENLPKPPEPDVVPGNLYRTTDLASGSRKDKCIIQYKRTYKNKSKVKPIQEETPHDQGTDILEGGPSTRLRPRKLNQPPKDPEHVSHKVVAKDELKTSSNRGKSASGIQASAGSSSKKAEAKYSCEVCMMNFGSKKELGLHEKNICSVKGCGKKFASHKYLIHHQKVHREDRPLQCTWEGCTKTFKWAWARTEHIRVHTGDRPYTCAETGCGKTFRFVSDFSRHRRKTGHSG >KZM80264 pep supercontig:ASM162521v1:DCARv2_S3029:157:345:-1 gene:DCAR_032079 transcript:KZM80264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGSLRVGDRYNRPRSPNPNPISIPGPIPIGDYISIPIPGFSIPIPGPIGIRGYPRGFGDF >KZM80584 pep supercontig:ASM162521v1:DCARv2_S307:71135:74903:-1 gene:DCAR_032080 transcript:KZM80584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRDGIKSMARSLLVYKPIQIHINQPKLVQNHIPPGLLVVGGGSGMENGQQAKDLHKHFQMPEWKVNCLLAQYGLPVSACAITESEIEKYPVVNPDSPTVDKFVIQEESVTQIREKEDVEGPSSKGSVHQFDDDD >KZM80263 pep supercontig:ASM162521v1:DCARv2_S3125:1414:1686:1 gene:DCAR_032081 transcript:KZM80263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMVAIRFYAFSKLTKNSHSQTRKCTIDYKSRCARVLLNAESVNKLEYMRGFDELKREVNHKSETVYPYNFYYSTPFLLIICSNNMILMF >KZM80580 pep supercontig:ASM162521v1:DCARv2_S315:59590:61077:-1 gene:DCAR_032087 transcript:KZM80580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSDYSFLKLPKTLEDLQILRDHLESYTNDYTIQVLVGYCMVYIFMQTFMIPGTVFMSLLAGSLFGVYKGVALVVFTATAGASSCYFLSKLIGRPLVFFLWPDKLNFFQSQVAKRRNGLLNYMLFLRVTPTLPNTFINVASPIVDVPFHIFFFATSVGLIPAAFVTVRAGIALGDLHSMGDLYDFQSMATLFLIGIVSVTPTLMSNKDKT >KZM80582 pep supercontig:ASM162521v1:DCARv2_S315:207811:208422:-1 gene:DCAR_032089 transcript:KZM80582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAGNSEVTTNTRPPAPKKSPAVGLVAPSNPVSKAGNKGAKDPKQHHILSFFKKV >KZM80575 pep supercontig:ASM162521v1:DCARv2_S315:31995:32153:1 gene:DCAR_032082 transcript:KZM80575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILDTTLAINIYACSQGKARDNRANRTKHQKKQVEYHCNDLVKIDFRDPNL >KZM80583 pep supercontig:ASM162521v1:DCARv2_S315:214236:214457:1 gene:DCAR_032090 transcript:KZM80583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYYSTTACCSVMMTHDHSYGWGQTCVVLVAGLPQLKKNGFGPISGRVQTYPGLLLPSPLKHRTRGKASRSI >KZM80581 pep supercontig:ASM162521v1:DCARv2_S315:65553:82689:-1 gene:DCAR_032088 transcript:KZM80581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPTRPCDVGSNHRYRTHDVPFLWEEFFFSCELGHVRDAGVTVKNVEVKTGDIIVNLNDKMFLKNKSSSDTSSHADEANIDSTTTKIPKKKQSPDVAIMKMTSLFPEKVSFNLPKLDIKFEHGGCNFMAKNNISGIQLKSTKSRYIEDAGESTRIDIQLGFREIHVIREDDVSVVEIPKLDIISSINVPLQPTSPIRVGVDVNLGDIRCNLVMSRLKPLMQLKTSKKKNTVIREETSKTPPIVENSQSNQSKPIMWTCTLSGPEMTIVIYNLNGLPVYRGCCQSSHILANNKSNTGTCAHMELGEINLHMADEYQEFLKESLIGVETNRGLLMHIAKISLELGGKSMDQDGPKSIMVLSVDLTGMGIYLTLKRIEPLISILLSFKSSTKSKQPSSNKPVQSGGHSSKPSGKGIRIIKFNMDRCFVIFCSDVSVDNTFVADPKRVNYGSQGGIVTISESADGTTRTAKIMSTSSDEEDLTVNYSVSLEIIRFSLSVNKDKQSVQIDLEKAQSLYQEHMRGNLLGTKVALLDMLNVKFVRRSGGLKEIDICSLFSATDITVRWEPDMHIALFELMLRMKLLVQNQTIQEHDDSGVVQGSEIEHKKETSTDVQSDKQKKKKESVFAIDVQMLSIYAEAGDGVDCMVQVQSIFSENASIGVLLEGLLLKFNDARIFSSSRMQVSRVPVAKTGTPKKQSEASTTWDWVVQALDVHVCLPFRLELRAIDDAVEEMLRALKLITAAKTNMLFPKKKESVKPKKPSSSKFGCVRLCIRKLSIDIEEEPLQGWLDEHYHLMKTEARELAVRLNFFDEVISGGSQSPGTDNSSNPISDEKMNFKGEDIDLQDTSAILKMRHEIYKNSFNSYYKACQKLVISEGSGACKVGFQSGFKPSTCRTSLFSISATDLDVSLTKIQGGETGMIEQIQKLDPVSRKYNIPFSRMYGCNILLRTASLSVQIRNYTYPLLSATSGRCEGRLVLAQQATCFQAQVTQDVYVGRWRKVCMLRSASGTTPPMKTYLDLPLHFQKGQVSYGVGYEPSFTDLSYAFTVALRRANLSVRNPNPPVQPPKKEKSLPWWDEMRNYVHGKTSLSFSETSWNILATTDPYEKSEKLQLVTDLMEIHQTDGRINVSSKGFKVMMSSLESLLNNRGLKPPTGTPTCFLEAPAFSLEVTMDWDCESGEPLNHFLFALPNEGVAREFIYDPFRSISLSLRWNLSLQPCLPSSQNQSRSSTLGENNSVDGAASDSPNKAKNASVDSPTITAGSHDFSWLLKFWNLNYLPPQKLRYFSRWPRFGVPRIPRSGNLSLDRVITEFMFRIDSSPTCLRHLPLDEDDPAKGLTFKMSKLKFELCFGRGRQKFTFDCKRDLLEIVYLGLDLQTLKVYINREDCPTVAKLLKLTRKSSQSATVVKGSSERHRDDGFFLSSDNFTVRKQSQKADPERLLSWQEAGKRNSEKTSVRSKSEKGSESDEHTLSDPSDDDGDNVVIADNCQRIFVYGLKLLWSLENRDAIWSCFGGNAKAFQPPKPSPSRQYAQRKLVENKEETKAEMPEHNDSNPPSDSQDGSSISLKPVEEPTGSHASSSESATADKKPLDTVVKDLVSKNESLDDSEEESRHFMVNVVEPQFNLHSEDANGRFLLAAASGRVLARSFHSVVQLGSEMIEQAIESNNVQKVESKPEMTWNRMEFSVMLEHVQAHVAPTDVDPGAGIQWLPKIRGSSPKVKRTGALLERVFMPCDMYFRPPRGNLFQDDEDVEEEADAVVPDGVEEVELARVNLEQKERMHKLLLDDIKKLSLCNNGTVEKDLEKDGNFWMITGGTAALVQRLNKELVDAKKSRKEASASLRVTLKEAAQIRLLEKEKNKSPSYAMRISMQMTKVVWGMLVDGKTFAEAEINDMIYDYDRDYKDIGVSRFTTKFFVVRNCLPNAKSDMVLSAWNPPSEWGKKVMLGVDARQGAPKDGDSPIELFQAEIYPLKIYLAETMYKMIWGYLFPEEKQDSQRRQEVWKVSTAAGSRRHKKDADISKSGASAAPSNSANNQPSASSESSQASKFLSSKSNPATGSNRELRRTSSFDRSWEETVAESVANELVLQAHSSSLSSSKTAHLSVDQDEPSRSKLKESKPSKSGRPSQDDKKVGKPQDEKKPSPRKLKEFRNIHISQVELLISYEGSRFSVSDLRLLMDEFRREEFTGTWGRLFSRIKKHIVWSVLKSFTGMQATIEMIENSPKWALMKGKKFKDKSQSQREVIAASVAENDPSLSDVEGLSTGNPEQLAWPRRPTDGAGDGFVTSIRGLFGTQRRKAKAFVLRTMRGEADSKQQGEWSDNDAEFSPYARQLTINKTKKLIRRHTKKLRSKGQKGMPFQKTDAPSSPAGEISPVGSDSSSGSSSFGDFYE >KZM80576 pep supercontig:ASM162521v1:DCARv2_S315:35123:37626:1 gene:DCAR_032083 transcript:KZM80576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSEVDAESADLECELDNVQGMVDALSSVRWKRHQDAVIELSEHGIVLVVEESSCVQAKVYLQKELFVRYEYSAQARVRFGVSLGLFVDSLNTFSRPAHSSTIEIRYPGPDMQLLLRSVDSLDACIYAEIRTRIPETISWDYNFEPAGSTPLSFTVKSAALKEAIDDLEWPGSSIQVSLQPAPPVVTFRGEGHGDLQIDFMYYANTDLLTAFHCDREESHRYKYKFLRATTSNIPSSVIKENRGSKLTIGRGGMLKVQHLVSVAKQSNSHSHADSAGYLQPSRIAYIEFFVKPEVDDDNAND >KZM80577 pep supercontig:ASM162521v1:DCARv2_S315:38139:45106:-1 gene:DCAR_032084 transcript:KZM80577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLCGQYGKIEVYVDSSLVDEELEGGYVGENERECEGGDEGDDEVGDEELAEIFRQYLLIAMESIYGENLVISDQQRGLRCFQIYVHIESLKDITISTQLNSSSHVQITSDDTPEFSYSFKVQYLPPIVLTCLFPRSYPSHLPPYYTMSVQWLDSSKISSLCCMLDSIWNEQPGQEIIYQWVEWLQSSSLPYLGFDEEVILGPYDIIHIGDKRAISASVSPDVDIPSLKSYNDEQCHVNFVKNFHECHICYSEYPGVDFIRLSCHHFFCWRCMETYVSITAKEGTKTKVLCPEEKCEGVLPSGLLKRLLGDKEFQRWETLIMQKTLASMSDVVYCPRCETTCFADEDNDAQCSKCFYSFCSLCSEKRHVGTSCMSPEMKLLVLQERQNSTQLGGERKRREKEMINEILSVKEILRDAKQCPSCKMAISRTEGCNKMVCNNCGNYFCYRCNQKIDGYDHFKGECELFPQEMIQTWERANARMAEGQILAGLFGAQGHPCPLCGQVNAKVGNNNHIFCWACRNHYCYLCKKIVKRGSNHYGPKGCKQHTEG >KZM80579 pep supercontig:ASM162521v1:DCARv2_S315:54825:58491:1 gene:DCAR_032086 transcript:KZM80579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLFDLTSGNSPSFGRGGKKLSRVATVAGVLSELDDEGANSVGSDAPSSGTSKLDDTLALDPSAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVSEAMDSALIVSESEKQLRHDKHYRYVSTHDVPYWTRSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPNFRKLSVDHIVSAYKRTKNRAILLDYDGTMMMQRSICESPNAEALGMLNNLCRDPKNVVFIVSGKDKKTLTEWFSSCGKLGLAAEHGYFLRPNADVEWETCVTLPDLYWKQIAEPVMKLYTETTDGSTIEARESALVWNYQFADPDFGSCQAKELLDHLESVLANEPVSVKSGLNIVEVKPQGVSKGLVAERLLETMQEKGMLPDFVLCVGDDRSDEDMFEVIMSAMAGPSLSPVAEVFACTVGQKPSKAKYYLEDTTEILKMLQGLATASEQTTRNVPQISHRAIIDRE >KZM80578 pep supercontig:ASM162521v1:DCARv2_S315:48943:49389:-1 gene:DCAR_032085 transcript:KZM80578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSTRTQPHKNQSSSSSTFHSKPTKKSHSDRPKSKCVPRNKPDSVAKSCPEVGSCQEQKVEEDCAACDQQAVKEFEGGLGVKMVDDSDEFGSEKRSGGEDEIVTRLEELLLGSTEVELSREIWSVNDQLQQDEVMFVSVMCVCCICL >KZM80572 pep supercontig:ASM162521v1:DCARv2_S316:44948:45466:-1 gene:DCAR_032091 transcript:KZM80572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGASLDGQFPLDKTHTRARARVRASVRRCAGALGALGAFGPTGDGRRAPGRRCSGAPCGRLGAPRPRGCSLGLSKGALQALCCARAQGARWALVGRSSGARGALVGAPFGRSSGAPAGRLLGRSSGAFRRARGALVGALIERSSGARGALVLGALRALFRRSLGASGYHL >KZM80574 pep supercontig:ASM162521v1:DCARv2_S316:75474:83031:1 gene:DCAR_032095 transcript:KZM80574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFSFTVNVNVSDPIHANVFPKRQRARGLLSDPSSAKHRRHPRTPELAAPPGGREDFKFSSGLFQAPRRMSKHEPSDPHQRTLRPGLRLRFRSDRSALLLIGAWNLPRRPGIGRALKRHPFSGLVDSADERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRNAHTRTLLRRSRSVGGAPHRGIPPISFLAPYGFNHPLTRTHVRLLGPCFKTGRMGNPQACARSTQMPRHAKRRVLPTTIDATASPQAYLQPGLWPPHQSAQVHTPSRSADRLDTVPHPTGAHRRSPSASLPTISSTL >KZM80573 pep supercontig:ASM162521v1:DCARv2_S316:46165:46377:-1 gene:DCAR_032092 transcript:KZM80573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRSSGALQAHPGRARAVRSLGRSSGALQALLGRARGALFGALLGRSSGASRALLAIMQALVFRAGAE >KZM80262 pep supercontig:ASM162521v1:DCARv2_S3165:22233:22406:1 gene:DCAR_032096 transcript:KZM80262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRVDVARVCSGEGSRAAKPPPLVSSGFRGRRKPQEKGETRGGRFVRSACVLVGK >KZM80569 pep supercontig:ASM162521v1:DCARv2_S318:235518:235943:1 gene:DCAR_032099 transcript:KZM80569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLDECDAILDNMCDCYRMSVEKTRWYGDAIGRRYRECPEGVCGFHKWVDPPLCTRGQEALRELQRRHEVSYEDDCRRRDALLAWYDRRLEDERKKFTESLAGLSLLCDVVKNLVLEASDPVEPVEPAPSLYPPMEEWE >KZM80567 pep supercontig:ASM162521v1:DCARv2_S318:197005:198688:-1 gene:DCAR_032097 transcript:KZM80567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMQMRKRAIQESSKAPKLTKYEEERLKRLASNRERMQAAGFKSCLANKAIFNQGFNVSERNVEQESECSDYELEPNKEQDSDEAQIFIQKENQCVKGLSRGKISGNNGMKNGAIQSSTMSEFVKLRSRETEATTVQQNEAEQPKKKLQKATTCQVPEGKEKKLSGLQKKPQTVLPGSMALYLQMRKKQQLQKNIAGKKEQFCLKIPVDQNATQQDFEQDQAHGFHTDQRSPLLQMDPNLDLIADHRNDDLVTAESEIPQNRETSTLTKASTIKKTRGPTVLAEVHNRKFEDRPPIILNEFGQPIGPTKEACRELSRFLGTVAKDSILAPLNYCEWSKFPSKLKERIWDYVLEKYMIPQEGLDWVMTTVNTLWRVHKSRVKKQHYYAFDSDDERWKNQPETMSDQQFLDLLQYWNMEEIEDESMTNRSNRLMHDDRLPPPPKHTT >KZM80568 pep supercontig:ASM162521v1:DCARv2_S318:233346:233921:-1 gene:DCAR_032098 transcript:KZM80568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQSSNVIAKRVWKIVKIVLYMLKKSITKSKLLVDLQMMMKRGKLAGKAISNLMIYNHYAAFTCRSNNDLSFISPQEYEFSCSNTPFYASYFTKRKSHHHQNSIDDINLARKVFEMLNNHEKPEASPLALPGFGQTPMVRQLRITDSPFPIKEEDDPRVDKAAEDFIKKFYKELKQQKGTPSPYNIWSR >KZM80571 pep supercontig:ASM162521v1:DCARv2_S318:365493:366140:-1 gene:DCAR_032101 transcript:KZM80571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYYNITKLKIVPPSRARKDPVMFQQPRDKCDVSKALDLIITTARGMSELCMHDMPPIFHDSVLLTFVRGYDELMLETFGPTYERPDFKNVLPERKARRTAEASSEPFESNYFRDYEDYQEQQTQFDYAASGPFDSEQFDVGPSAPQYDVGVSQSQLEQPFGEECYRPMLFVDPTV >KZM80570 pep supercontig:ASM162521v1:DCARv2_S318:237630:239251:1 gene:DCAR_032100 transcript:KZM80570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVKGPRSRSLRGLGQANIRTVVSLLNEDGSWGDSPHFQVPEVARLDKEDYFRSYDGYYRDHGILPPVFDEKELAVTFGEYDGLRYPSSFNAREHGDKISRAFQIGGAYSWRVARPEERLYSRPADGSIAIPLSHFKAGLRPRMHRFFIALFRQEFRCSPAQFSPNAIRLILWFIAACHQLGRQPTFKSFFSIFFVKSSKREPFYELVQYARTHRLGAAVGGYHPVDTPRCMKNWWNEFIMLRGGEWSYMPGMTTEVVVTYKIPAALQTQEVLEWLRRLVHVFGAALPISEFYHVSNLKKLTLYSPRAMEGEDFTWDVNDESWNGFMSSYAPVPDHLRKKKKKCVVEESNDDVDSDDLSEGVDYMDDVVEGVSGTAVVDDWDDDMPLAKAGRARRLLLKSSARDPDATGSVPISDGSALNIPGFLNYLRA >KZM80261 pep supercontig:ASM162521v1:DCARv2_S3234:19551:22611:-1 gene:DCAR_032104 transcript:KZM80261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTLKLLSFFFSLTLHIFKILYGQSSLVSDYSCFPGWWCQENCLSASVRDMELERALKNFLYDQLDISKEVQEQGSIATAANATSNFGKNVTKGSTVTVLGSNATFFSRCNR >KZM80260 pep supercontig:ASM162521v1:DCARv2_S3529:6941:7486:1 gene:DCAR_032105 transcript:KZM80260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINAHLVDYMPYGQMLTSVFGLFHLPLPTSLETKNNSYISVTHVRTQIPLDKCETQVATHSKFIASLQEEDVFLADNGSFMKMFEEQKLEMKRIKDENIAIINRVTYLEGIAATLGGARQMDIDIGNLYQVQMSGEGTFIDDIDMFHEIEAVGVGIGGQSSEGFTDLGTGSFMAAMEEALQ >KZM80566 pep supercontig:ASM162521v1:DCARv2_S358:147244:147797:1 gene:DCAR_032107 transcript:KZM80566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIDGSREILKDPAGMELLTNDVKVFICALGNCFEIEEWGPIEWCVMSDHFARQGKSPFEHHVVSLSVFHNLPPLLLACRIRTRIDNIFCQAISCYCATKCVT >KZM80565 pep supercontig:ASM162521v1:DCARv2_S358:25319:58101:1 gene:DCAR_032106 transcript:KZM80565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKHRLIGAAKTILTGDSNNIDVNLSDIAITATLKPHQVEGVSWLIRRYHLGVNVILGDEMGLGKTLQAISFLSYLKVCQKIPGPFLVLCPLSVTEGWVSETANFAPKLRLLSYVGDKDHRRSLRRNIYNNHVKGEGDVKSLPFDVLLTTYDIALMDQDFLSQFPWYYAVIDEAQRLKNPSSVLYNVLRDHFVMPRRLLMTGTPIQNNLTELWALMHFCMPSIFGTREQFLSTFKEDGDSAYGQDAEKVKEQFKVLRYILGALMLRRTKYMLMESGSLVLPPLTEITLMAPLAVLQKKVYISILRKELPRLVTIASGTSSHQSLQNIVMQLRKACSHPYLFPGIEPEPYVEGEHLVQASGKLLILDQLLQKLHDSGHRVLLFAQMTHTLDILQDFLELRKYSYERLDGSIRAEERFAAIRKFSKVAVKRDPCFEAQDDKNNAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLCINLVTAQTVEEVIMRRADRKLQLSHNVVGGNVMDSEGKENMGGEVGDLRAVIFGLQKFDLTEMSGENSDQPSKMEMDAAVEKVLAFRDGLKSDNDDKKLEIDPRGISELHDIMPSSVTFDLGLEEASYLSWVQKFNNQSQVTNDPVMELESRRRLPEQKHHKVEAARKKAEEKKLAKWEALGYSSLAVKDHISHVDMDITSDSGSVNFVYGDCTQPSKVCPSEPTIIFSCVDDSGNWGRGGLFNALAKLSDSVPKAYERASEFDDLHLGDLHLLEITDDISESKHNCTPQWVAQWVALAVVQSYSARRKIPRSDISMSDLEQCLLKASSTAAQRSASIHMPRIGYQDASDRSEWYAVERMLRKHAALYGVKIYVYYFKHSA >KZM80259 pep supercontig:ASM162521v1:DCARv2_S3584:1090:3211:1 gene:DCAR_032108 transcript:KZM80259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVNPIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFETYTLPKLYLKMQYCVSCAIHSKVVRVRSRTDRRNREPPKRFTRPRDDLPKPGQAPRAPGAPAPPRV >KZM80258 pep supercontig:ASM162521v1:DCARv2_S3585:10081:11644:-1 gene:DCAR_032109 transcript:KZM80258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDLPECFTALSSMANMYLQNNQFTGSIDVLSNLPLHNLNIGNNHFRGWIPERLKNINIQREGNSWSSGPAPPPLPRTPPSSSSNRNRKQNDNKNSSDGGGSKKSGIGGGGVAGIVISILVVGAVVAFFVVKKRSKRSSADVEKADSQPFTSYASQEVQESHLRQS >KZM80564 pep supercontig:ASM162521v1:DCARv2_S361:8618:8923:-1 gene:DCAR_032110 transcript:KZM80564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDFDNHAPHWLLGRSGRTRKTMLDKMHKREKNRSAELTKVREELSREMEEKMNRKLKNILEKIVQMTSLQIDIEELLADDNTDHGAEAEMDGNEAEGAT >KZM80257 pep supercontig:ASM162521v1:DCARv2_S3636:644:2713:1 gene:DCAR_032111 transcript:KZM80257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVFLRNKRYRAKLGFFLHSPVLASEIYRTLHVSADILRILLNYDLIEFHTFNFARHIFSCCSRMLGLDCESKREQIGLDYSARTVYIKIMPIEIHLEKVETVLNIPSTSVKVKEFEGKFKGNHVIVGVDDMNLFKAISLKLLASEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRWETYGTANRINQIYGSCGHQLVILIDRPVDQCRALQLWMKLGELCHILLETSVLIIYEYVGCSPSLSGTIGINPWGISFVAEAMRSTISMDDFLRQLRQEQNYSYVQSLDVAYWARSFVHSMERACLDHYNYQCWDFVGILVPHSSAKKNLSSEAETALITLCDDPKNTVFIVSGSGRSSLTEWLAPCEGLGLAAEHGYFIRWKKTSEWQSSLVVDLEWKATVERIINSYTEVTDGSTIEVKESALVWHRQDADHDFESLQAKELSDHCKHVIANEPAVVRKGKNIVELKPQDASKGLVTEKVIATMVSNGEIPDFILCIGDMYDGTLKIVSSVVVPAVPEIFFCTVEQKPSKAKYFVDDTFEVQKLLQWLANVSSTQPSSAENPPSIP >KZM80256 pep supercontig:ASM162521v1:DCARv2_S3672:19738:20478:1 gene:DCAR_032114 transcript:KZM80256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEISFEKGPIQPIFHRKAGRDKGGLGSKYEKFDQSIGSRPDDPSSLCAPKTGALQERMDKLDSVQLVKNDRGDNVLIYFMSDGTVFRVLEADLYAKHWEELRYVSHIFQIKNKSCQHISNLLKDQIRRKMGITGNKNAGPFIPKYLNHKGQLVEMKKNSAKIETIGGIRTLAFNEESDKAYNIRLDRDLKKNKIYDLRAAIYQTGVSDPELREIKRQMITVLEEAERELLREYLKTANGIYAAKE >KZM80255 pep supercontig:ASM162521v1:DCARv2_S3672:5457:7618:1 gene:DCAR_032113 transcript:KZM80255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAFIFAGVEFVTNNHAAILNTADAPRDYHPMQQFLAQSAIATALTAPARLSGSQIINFWRTGKYDNGGEDGSPSIVFSYEGEEYFVTPATVRTAFNLPELDTAYITNGDANLRTMMTDLGYSESLEKLGQLKRPGLRREWSFFFDCITRAFQKKSTNWDAIPMDMLQIGYSLIYSTNFDFGRLVLRNIGERMHENRKVIYFSRFCQLLFNATVGEVDFDVDDEIKPFRLHKRVFKDLISKDEKYPIQRPLLIPAQVRARMDMPPVHQQPQPQQPQPPVSPTIPKQPRTSASKSKRAAKSDAAPSTAKRTRNSVATQVLKENSEVQTNTDEPVNSEAHLNSEAPNSDIPVNTEAATLQKQKRRRLVAAYDYDDLEPAHAVNSEPPPITASVPAQASPQKPARFKRRAQKPARAKVPITEITDFTVEEDQTPSTPVAEHSQALMVLPIQAIPITSPTASTSSSEVDEEIKCDEPITTEAGAHMSNRPIPQSPMKIPEDAIVHDTAPENYRSDVVDESDKVAMEALQSLAQTGEEPIKSQSEAQEKSAQDTVEKVADTVPDADKANSDADTEDDDSSDTDKDENDGVPLTQQKWESTSQYNARLQTLTTDSEPLPRDLQVDPPNEVWDKLWLSHQHSLEPAKAEDFLSMAENKISNSDVLSSLKGTILYLKTFHPAHAQTSKSIDEAGVCT >KZM80562 pep supercontig:ASM162521v1:DCARv2_S369:26934:27155:1 gene:DCAR_032115 transcript:KZM80562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNVQEQFKSSENKQAHTRNFMKNPSLVADPTHKAIPRAIQRTRKTHKANPAIQRSSVELRLQQTKRFRFRA >KZM80563 pep supercontig:ASM162521v1:DCARv2_S369:81709:83942:-1 gene:DCAR_032116 transcript:KZM80563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSCMNFANLAFGDLNRTNPCIKYVDSDGTSSTTVRIIILSNMLPLHAQKDGKTEGFSFIYDESPLWQLKDGFSPDTMVFYVGSLNADIDVNVQEEVVERLMDDFNCVHTFLANELFSEFYHDFCKHHLWPIFHYMLPMCGKHDSALGIHLGKVENVLNIPSTSMKVKEIEGKFKRKHVIVGVDDMNLFKAISLKLLAFEQLLRKYENLRDIVVLVQIINPERSSGEDIEENTVFIVSGIGISSLTEWLAPCEGLGLATEHGYFIRWKKTSEWQSSLVVDLEWKVAVERIMNLYAEATDGSTIEAKDLPDHLKHVIANEPAVVAKGKNIVEVKPQDASKGLVTEKVIATMVGNGEIPDFILCIGDDRLDEDMYDGTLKIVSSVVVPAVPEIFFCTVEQKPSKAKYFVDDTFEVQKLLQWLANVSSTQPSSAENPPSIP >KZM80254 pep supercontig:ASM162521v1:DCARv2_S3702:2723:3130:1 gene:DCAR_032117 transcript:KZM80254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDLQHQHVAAQAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQWRTVMLLMIVLQTMHMID >KZM80253 pep supercontig:ASM162521v1:DCARv2_S3764:12:251:1 gene:DCAR_032118 transcript:KZM80253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATSRPAAPVAEDGLVRRNEVIDVMHQTATSVITGLQSAIAELPSSSAGAVDQGAVAALVELTRCEFLSRVDEMFRPH >KZM80251 pep supercontig:ASM162521v1:DCARv2_S3773:14141:17230:1 gene:DCAR_032119 transcript:KZM80251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLHALSSVGRQCSLFETDILDAPRFSNYLHKPGRVQMAGLQFIATRRHEKCQSCYTSNRESIQPVSAASESQATVIPAASAEVASAQSVSAPAWSINDLAAQLKFKNYKQDEVYEQMATKLEIEIRMTIEDEMASNPLNFFELIDNIERLGLGYRFEKDISTALTKYVSLEGAPEYHNSLHSTALRFRLLREHGYKVSQGETFLDEARSFARKGLEYVMQKTESKLLAKLIGHTLALPWYRRTLRFAARPYIDVYSRMEDSNELLLQLAKLDFNIVQSALQQDLVQWGDLCQALLQETKWNHEKSIPELDDYVENGWRSSSGVVILAHAFPLRSQNITKEALDILAKDHHLLKWSSMVFRLCNDLASFTRESKSGETANSVTSYMYHNGVSEDVAREHIKNLIDDAWKQMNEARVSLEPQFSTSFTEAAINLARLSHSAYGSGDDHRIPDKKAKKQIFSLLMEPFPVTLG >KZM80252 pep supercontig:ASM162521v1:DCARv2_S3773:18609:21931:-1 gene:DCAR_032120 transcript:KZM80252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFCDLEVDVNGEEVFMVDKNIISCYSGRIKRLLGKSKGGTGNLKVIFHDFPGGGEGFELTTRFCYSKGKIEINPLNVSLLHCIAIFMEMSESFSGVQNLFEQTDKSFEDIKYWTWSELLAALKQCKELLPAVTSSGLLHRCVDSLVGRLALAGEASPCPSTSSPDSSGLRLSTDTRSTESLRNNFRATWWFEDLVSLNPPLVKMLTKSMVWRKFDHGVIVRFLLYYQKSRFVTAGVDDKCKIIETVVEMLYSLDHSAVSCKSLFGILRVALNLNIKKCCRTILENMIGLQLDQATLDNLLVPSPVAMDHIYDVNLVLRFTRSFLGTGFCRVPLIRVQKVANLMDSYIAEVSPDPSLKPSKFCSLVRAIPDLARESFDELSIEEKKRICCMLNYEKLSAECCKHLAHNSNFPSSASVEALASHQKKFKSLLEDTNQPKPSIDSPYSSVATENKGKTEESCEQIVLYAGRLDISDENEKLRANLQGMQCRVLELEKVCKKMQNQMAKMLKSRLSGQSNARSLPRLCS >KZM80250 pep supercontig:ASM162521v1:DCARv2_S3821:5729:6043:-1 gene:DCAR_032121 transcript:KZM80250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKCIYPKFGICRGYYRCTTQKCPVKKHVERSFEDPSIVITTYEGSHNHHLPASLRANLSIGSPSFLSPLNYPIHECYEDTNGTTRSNDYLQHSLTLGPLQHF >KZM80249 pep supercontig:ASM162521v1:DCARv2_S3891:571:2650:1 gene:DCAR_032122 transcript:KZM80249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQYQTLDKLKAVVDEYKIKVRVIRLWRGSTRTDQNSKQQLEHHFVMQGQRIHAFVPTKCAEEIYSQITVGRVFSIKQFMVQKYSQTEKFRVVRNESQLIFSKDTIIQEQADDGVTIPQEAFDFYDHSQLIELSNQTTYLEGIIKDYDQIRELKNKHGQDQKKTKLVITDGSSNVNVTFWDKFGESFDKSMKNPLDKPFIIILSGCKVGKWNGEVDLSNSYVTKVYLNYKHHSVVHLRKLLANEEFAKKALGKNNVKTIHKINVDELKKLGKNAIEGLFMLHVTIKSIDPTFGLFYNACTSCEKETKMENPCPICESCNRYVPYPDQKFRFHVIAEDMTGKVQVVLGDTEARTIIGKRCLHLADEPTTSTYNAGGLSDIDLASN >KZM80248 pep supercontig:ASM162521v1:DCARv2_S3917:269:5616:-1 gene:DCAR_032123 transcript:KZM80248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHYSTEKLLEMIALHLDATYADRNIWKEFASCFLKLSPSEEDRISTCNDKTLQGQSKNFNRKPVRVADSVMGKEWKLRCRWWMTRHFSKMILVSEFEADDVQLLTYKAASACHLYGQDFEYKASLFEHFDSNNHIRLSTCFEDALNKDPQCTHSLTRLLWLHEQGHYSTEKLLEMIALHLDATYADRNIWKEFASCFLKLSPSEEDRISTCNDKTLQGQSKNFNRKPVRVADSVMGKEWKLRCRWWMTRHFSKMILVSEFEADDVQLLTYKAASACHLYGQDFECKVRRGDYLSARTALHFAAVNGHVRCLRLVVADFVPSCPYGSISGQANGGDASNH >KZM80245 pep supercontig:ASM162521v1:DCARv2_S3928.1:7623:10715:1 gene:DCAR_032125 transcript:KZM80245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKTKGLEEGYLPEELKKVLSLVAGEWEDVIDFNLLKVIHLSGAMTNEVYRITWPTTTDDVERNVLLRIYGEGVDVFFDRDEEIQTFDCMSKHGHGPRLLGQFPEGRVEEFIHAKTLSASDLRDPETSALIAAKLREFHTLNMPGSKDVILWDRMRNWLTKARKLCSDECAKEFHLDTLADEIDIVEKELSQSPQGVVFCHNDLQYGNIMIDEKTRSITIIDYEYASYNPAAYDLANHFCEMAADYHSETPHILDYNVYPGLEERERFVLSYLSSTGNEPSETEVKKLVNDVEKYTLANHLFWGIWGIISAYVNTIDFDYIDYARQRFEQYWLRKPQLLPLATKAISTDVDDGSADFTP >KZM80247 pep supercontig:ASM162521v1:DCARv2_S3928.1:21214:21867:-1 gene:DCAR_032127 transcript:KZM80247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLHFLTELITGQEVLSTVAASFPTFGAILSSPHDEHLSRYLDSPKKLDRSNCLQIEGASEPSFLMRSNRIRKPLSKTEVVGLSWAEPPLDLLAQCTLDRPNSFKSFKAYKYFRTFNPFKSSMTDDARVHSDAAYISNAGLELLVKRKRRSRYVRILSKQMVGLFITIWVRRSLRRGIQNVNVSTVGVGAMGYIGNKVSSVAPPSFYLCHNYTLY >KZM80244 pep supercontig:ASM162521v1:DCARv2_S3928.1:1660:4473:1 gene:DCAR_032124 transcript:KZM80244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEQGESHGNKWRGLVKCDANDVALTPISFLERSAKVFRDRTSIVYGSVSYTWEHTYVRCLKLASALTQLGISHQDVVATLMPNVPAMNELHFAVPMAGAVLCTLNTRLDSNMISVILRHSEAKILFVDQELLNVAEGALNLLEKPPTLVLFREQDDSSSLNDKLPHYMAPRTVVFEDLPRTSTGKVQKFVLRDKAKVMGSLS >KZM80246 pep supercontig:ASM162521v1:DCARv2_S3928.1:11750:13311:-1 gene:DCAR_032126 transcript:KZM80246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTQQLISRRDWSELVEYDQLIQEFGEGKAFCGWSEGTLNFPPTYKYELNSEKYYGEDPKFGRRTPAWCDRIVSHGKGFKLMSYRRTDLRLSDHRPVSASYMVEVEVFSPKRLQKALLFTNAEIEHDEFVASMGLAGGISRPG >KZM80561 pep supercontig:ASM162521v1:DCARv2_S396.1:295599:300553:1 gene:DCAR_032129 transcript:KZM80561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSKRRSWQDVPAELTESILRRLGAIDLLLSARKVCKMWRRICSDPNLYRVVEFWYTGDPDEVINYIVQYIARKVVELSCGQLALFSITYFADDRHLNYVLRRSSQLRCLHLASCKKITAERLLKVVYNLPKLDELHLYCIDISKHVVRAIGHCCPQLKTFRLNYHGNKEQCIGFDKNALAIAENMPGLCHLQLFGNTITRNGLLAILKNCPHLESLDIRQCFQAGNLEPALIRCLSHRMKYLRLPYDSTEDSALNDIYALYSNGDDDYYYYDDDDRYILSDIEIVPDDYGYHSDGYDYYNDDYDYNENSGGSDIDSDLC >KZM80560 pep supercontig:ASM162521v1:DCARv2_S396.1:130144:131075:-1 gene:DCAR_032128 transcript:KZM80560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVAGGMEVVEGFVGVAVGIYKEKEGWWLADAGNTGGWGEEAETEMESIVGYHVIRPAEEQSRSERCGTSLSHLLFSPKSSPLLTPQQISSRIRITIASTRNKTWPLDVAQELKAYNRDGVYQEHGDSLSIG >KZM80559 pep supercontig:ASM162521v1:DCARv2_S398:115126:118363:-1 gene:DCAR_032132 transcript:KZM80559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFLNNHNVPPPPVQPVAAEVVAEEVPEQQAEPEPEPVIQMDIPEAQNAQVEEEEVIVEDAVEDSSEHEVQYEEGEDSLQDTTDSEDEVEVPAQSTEAETNQDEMLDIDELFSNTYNPVLQSEFEALKFKVQHLEVENLVLREELVEIKTTMEQRLAALEAKLLASQLSREDYSTEGERAVEKARGKRVITGVSEELIDSALRGQSSYDHAEYVPEFVDTDRVIRMVGADDDLEEGEIPQNEVFADELAYHNDIFPPEEYEIANPQDIADVSRDHAEQRRAREKLENQRRIRRERRLANLNKDGEEWDAARAMFDFPKVNQENDDAEVKDIFDSFRNNYKDLHDYHEIISTGRKHNEVFRDMVEEWINDIGVEIHDKPSVIKYFKDGMIQSIGLTDEGLSTYSPRILKYLEAQVREKCSRTSKGRLTAELLYAYRLNFAAKKDFEATAIPLEDIGKFSSKRIARAVGAVKWSVVKEDKCVLKKLIDLLEIRKAVKTVHNTSRVRAHPSRVIMKIEGIELNITFKKLKKMVHLKTLEKMKKNLDQPPPENTLEQVALSTIIARIEEIENKLTQKREEDAAKRRAEQNLINSKAKKPRNN >KZM80558 pep supercontig:ASM162521v1:DCARv2_S398:43550:45087:-1 gene:DCAR_032131 transcript:KZM80558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKVLDGEETEEDVDDVLTKVLGNQHRGRIIEERDAFWAKEMEKLKEALCGKDIRLDGSPLINSQQGSCSMGGPADLRKDLDLNEGPRKKLKLTRDCDDEVEEEDHAGGKTTQLGEEMVKENNQEVEKSVAVVVEQLEVLEELVVVEKLEVRGLKNDSERKLSVGSPTNFVAYGIVDTISDVLHGKPLEKENARVSITHVIQGTAKIPFPIGDEIMIVEQACGTFIAWPRDLILDEDNGGLVNPNAKVHTKNAGKKSKRVNKPDAMVEMAADSPPILKRLLDWGKDALDNGRTISFPLCEEAFGSNKKKVLYLLDVQALCCGGEISGSIICMYIHVLENYLEKDRMTDMVKFVDPGIIGAIGCGSVAERARSLSARFRNAKKGQYFILPYHHV >KZM80557 pep supercontig:ASM162521v1:DCARv2_S400.1:2474:2743:-1 gene:DCAR_032133 transcript:KZM80557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDPTPLAQLLSVPIILSDRLRHAAAAANSFKSECSEVDKQAERITLMLRSAARYATTTASLYDTPVRRIVAEVDKNLSKALALVHK >KZM80549 pep supercontig:ASM162521v1:DCARv2_S401.1:15102:15290:-1 gene:DCAR_032135 transcript:KZM80549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGVRGGYVPPTVAVGXVRSERRLCTANCGGGVWGGDLLLWVVINLLIVVGNGVWAGEERR >KZM80553 pep supercontig:ASM162521v1:DCARv2_S401.1:46207:49012:-1 gene:DCAR_032139 transcript:KZM80553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGELTVLQFTPSPTSLSAKVHPLVIFNICDCFVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESLDQVALDIDYHHNMLASHQKVNPKEVIVGWFSTGFGVSGGSALIHEFYSREATNPVHLTVDTGFTNGDATIKGFVSVNLSLGDHQLASQFQEIPLDLRMVEAERIGFDVLKKTAVDKLPNDLEGMEDSMKKLLALIDDVYKYVDDVVEGRLAPDNNIGRFISDTVASIPKLSSSAFEKLVNDSIQDQLVLLYLSSITRTQLSLAEKLNTAAQIL >KZM80551 pep supercontig:ASM162521v1:DCARv2_S401.1:25673:31793:-1 gene:DCAR_032137 transcript:KZM80551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKTWVLELKALKCSSPMRSTTHSPAMFTTTTTNINIYNAHQQAADYSPLGTIQTLSLPPVSTPALAMDSLSSALFKLQSNPPPFHSGIIRLQVPIEEQIEAIDWLRAQKQEDGLLPRCFFSGRSHKNNNTPPDFLINNHINGNDRSNLNGNHYDYHQHSSDDDDDRDDSVVSVAGLGCAVFFRHVSPFSFHHWRSIKRFLSKKCPLIRAYGAIRFDATAHISSEWKAFGSFYFMVPQVEFDELEGSSMLATTIAWDNALFWTFGMAISQLQDTMRQISSAIVRLSKEVPNTLIVSNNHVPSKSYWDLSVNRALQMISRNNSSLIKVVLARSSRVLTNPDIDPLIWLACLQVEGENSYQFCLQPPDAPAFIGNTPEQLFHRDSLSICSEALAGTRARGDSEALDLQIEFDLLSSRKDHREFTIVRECIRRKLEASAVCENVSIEPNKAIRKLRRVQHLYAHLTGRLRSEDDEYYILSSLHPSPAVCGFPTEEARLLITETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKGHGALFYAGTGIVEGSNSSQEWEELELKTSQVGQLCSSMHVFTCSLYNLEDKCKLKHLNKYISF >KZM80556 pep supercontig:ASM162521v1:DCARv2_S401.1:64577:66485:1 gene:DCAR_032142 transcript:KZM80556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRAGQTRRDAAMDAMRRIGFKDAMTRKVLNELLKTYGRDNWAFIETDCYKVLLDAIIEALEQERLKMVDANENSTEFNHNLPATECPENIGQEIMELEVASIQNVSEQVKQDQENELGEPDEEEMNKTEEEMNQDVMNDGHNANVDFDLNELPPDDADNPSS >KZM80555 pep supercontig:ASM162521v1:DCARv2_S401.1:62044:64169:-1 gene:DCAR_032141 transcript:KZM80555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKASKADKKIAYDAKLCQLLDEYTQILVAAADNVGSKQLQNIRKGLRGDSVVLMGKNTMMKRSVRIHAEKTGNEAFLNIIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGTVFSPEVLDMTEDDLVAKFAVGVSMVTSLSLALSYPTLAAAPHMFINAYKNVLAIALETEYSFPQADKVKEYLADPSKFVAAAAPAATADSGSAPAAAAAEEKKEEPAEESDDDMGFSLFD >KZM80550 pep supercontig:ASM162521v1:DCARv2_S401.1:17260:20359:-1 gene:DCAR_032136 transcript:KZM80550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRTTLSDTVFECVIPYITDPKDRESISLVCRKWYDLDALTRKHVTIAFCYTSTPAQLLRRFRHIESIKLKGKPRAAMFNLIPEDWGGYVTPWVVEFSRSFVALNSLHFRRMIVRDEDLELIAKSRARTLQVLRLDKCSGFSTLGLLHITSSCRNLRTLYLEESTIIENGGEWLHQLALHNKVLETLNFYMTELAEVSHHDLELLAKNCSSLVSVKISDCEILNLAGFFEAASALEEFGGGSFNDQPEMYKYMPIPPKICMLGLTYLGKHELPHVFPFASRIKKVDLLYAFLDTEDHCLLIQRCPNLEFLETRNVIGDRGLGVLANFCKKLRRLRIERGADEQEMEDVEGIVSHTGLISLAEGCPELEYLAVYVTDITNGSLECMGRHLKNLCDFRLVLLEQQENIADLPLDNGVRALLRGCHKLKRFALYLRPGGLTDRGLGFVGQYSQNVRWMLLGYVGESDAGILALSKGCPNLQRLEMRGCCFSESALATAVLQLASLRYLWVQGYRRSQTDSGLLAMARPFWNIELIPARRDIHADAYGEAVETEQPSHILAYYSLAGQRTDFPDSVIPLNPISSICS >KZM80554 pep supercontig:ASM162521v1:DCARv2_S401.1:51475:53332:-1 gene:DCAR_032140 transcript:KZM80554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFNERQPIGTAAQSQDKDYKEPPPSPLFEASELSSWSFYRAGIAEFIATFLFLYITVLTVMGVSKAPNKCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLGRKLTVTRAVYYMVMQCLGAICGAGVVKGFEGKRVFTDKGGGANVVAHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVFNKDVAWHDHWIFWVGPFIGAALAALYHVVVIRAIPFKSK >KZM80548 pep supercontig:ASM162521v1:DCARv2_S401.1:9395:10505:1 gene:DCAR_032134 transcript:KZM80548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLYPASHTFNSNWSMQEFQSTRTNWTTEDNKKFESCLALYDKETPDRWIKVALMIPGKTVYDVIEQYRKLVADISDIEAGLFPLPPGDPQDSSFTMELAGIPELNEFRKRPLACRSSDQEKKKGVPWTEDEHRRFLMGLQKHGKGDWRNIARNFVITKTSTQVASHAQKYYLRQLSEGKEKRRPSIHDITTTHLTDTTPSDDYKFPADDKSTFIPQPEKPISMPQKVLDWNKTDDELLMMFNSMHNNTLTAYKHETGDLGAGFSPLNPGFQFRAGRHQIW >KZM80552 pep supercontig:ASM162521v1:DCARv2_S401.1:33783:44392:-1 gene:DCAR_032138 transcript:KZM80552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQLLTYPLQTVNTRQQTDRDQKRKDQHSSTLDQMYQVVKQEGWTRLYGGLTPSLVGTAASQGVYYYFYQIFRSKAEITALERRKRGLGDGTVGMFSSLMVAALSGCVNVLLTNPIWVVVTRMQTHRKKSQQTHSISSDDQVIVEVEAPPFGTNLAIQELYEESGLWGFWKGVLPTLIMVSNPSLQFMLYETLLKKLKQKRALSKNANKGIFLLGALAKLGATVVTYPLLVVKARLQAKQVIDGDKKRQYKGTADAIMKMIRYEGITGCYRGMSTKIVQSVLAAAVLFMIKEELVNGARWLLTKDAMNRARSKAS >KZM80243 pep supercontig:ASM162521v1:DCARv2_S4097:25212:26624:-1 gene:DCAR_032144 transcript:KZM80243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKTRANIKGHTHISKKRALVDEDDLEEIVAPVDVESGDEQSGVLRSGLEKSGKKRVKSEEKGEGSDFASRKVILGKPLSGKAFHSCGVVKLFSALGFESFLVDLPKICYPFHVREFYGNLTEIESGQVVSFVNDTKITLSPLFLNAILKTPPSPVSIFTKRGFKDVEGLSRKEQFTLITGVECATDITYPSTTSILPLAHALFKVSIENVSPRMGTRSNLSAQDMVIVSMLLSGKQFDMADLILKTMMNVVDGKSSTGLPYGLLLTRVFEWFGVNFDGAESIQAKEFLDLKCLAQSNLKLEKDGTLSTVEVLLSPPPLVPSQSAGVDLGISAKEILDYMEELRANHKQLVDGQKKLSEQMEELSNQFQFWKDIVFGGKTGNSPEKCSSGSFVYELRRRMFGSAGSSDVKFAFTSEDDATDSPRPRTALDALKEAAGTVTLDAAGNVNVAEALAAVAAKEKADKDDEEV >KZM80242 pep supercontig:ASM162521v1:DCARv2_S4097:22596:24152:-1 gene:DCAR_032143 transcript:KZM80242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKGLKSILSPSLYHFFFKSAPEPRVSSIFFNRYTFFAIATIGARVAMRMKTRANIKGHTHISKKRALVDEDDLEEIVAPVDVESGDEQSGVLRSGLEKSGKKRVKSEEKGEGSDFASRKVILGKPLSGKAFHSCGVVKLFSALGFESFLVDLPKICYPFHVREFYGNLTEIESGQVVSFVNDTKITLSPLFLNAILKTPPSPVSIFTKRGFKDVEGLSRKEQFTLITGVECATDITYPSTTSILPLAHALFKVSIENVSPRMGTRSNLSAQDMVIVSMLLSGKQFDMADLILKTMMNVVDGKSSTGLPYGLLLTRVFEWFGVNFDGAESIQAKEFLDLKCLAQSNLKLEKDGTLSTVEVLLSPPPLVPSQSAGVDLGISAKEILDYMEELRANHKQLVDGQKKLSEQMEELSNQFQFWKDIVFGGKTGNSPEKCSSGSFVYELRRRMFGSAGSSDVKFAFTSEDDATDSPRPRTALDALKEAAGTVTLDAAGNVNVAEALAAVAAKEKADKDDEEV >KZM80240 pep supercontig:ASM162521v1:DCARv2_S4123:1628:2038:1 gene:DCAR_032145 transcript:KZM80240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHDAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPVVDGHAVNEPPQVQPPVENGHAANDRVANGAHD >KZM80241 pep supercontig:ASM162521v1:DCARv2_S4123:5572:5892:1 gene:DCAR_032146 transcript:KZM80241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHDAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGELVAAVIYRRMDQLLMATL >KZM80239 pep supercontig:ASM162521v1:DCARv2_S4146:276:524:1 gene:DCAR_032147 transcript:KZM80239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMRYVNREVEGASHRSKDMINITEPLQMIRMDFYGSANVMSANKARYLFAMIIDCSRFFRVVHMCSKDKMSQMKVDQDEP >KZM80238 pep supercontig:ASM162521v1:DCARv2_S4182:1186:8303:-1 gene:DCAR_032148 transcript:KZM80238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWWRKSELPLLVLYAVVFYVYVIRRSLNLSHDYFHQARGLVPGWIPNQFNDVSDAQWRNFRGNLPILTFVLGIFTMVANMLRAHYNLKAKGMSIVWLVISWIYLAYLHGALHHVSFCASFELDTPQKNFSLQKVAWYGFRWVMSLFLMELMTHFCYYNAFALSGLWKNLSPVDVFIIGYGVSAYTLSRYLILGRVNVLLY >KZM80237 pep supercontig:ASM162521v1:DCARv2_S4187:225:509:-1 gene:DCAR_032149 transcript:KZM80237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDWFDKDEFQKFYEDLTSEKEKECSLSDTTTDKKKDGEGSSIQKIENVNNDMLQTTPSYDLFRSNDALPPLVSYTPESSQEEQKITQCKESN >KZM80236 pep supercontig:ASM162521v1:DCARv2_S4206:1470:1808:-1 gene:DCAR_032150 transcript:KZM80236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFSFTVNVDVSDPIHANVFPKRQRARGLLSDPSSAKHRRHPRTPELAAPPGGREDFKFSSGLFQAPRRMSKHEPSGLGRAPHRSVFGQNTIKSTPGTPHEYLWEHNHQVS >KZM80543 pep supercontig:ASM162521v1:DCARv2_S426.1:251652:253474:-1 gene:DCAR_032160 transcript:KZM80543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIRLSLLDEIGKRKIAEDALVEQFCQELVVTRFVSEALGQGLARAETEIAAEEIFKAKDQEISRLRDRLQYYEAVNHEMSQRNQEVIEVARKQRKKKKTLQKWLWCGLGLSITIGASVVAYSCLQQTSNIEPSLTSSDSVYATGISSSESA >KZM80542 pep supercontig:ASM162521v1:DCARv2_S426.1:239077:243565:-1 gene:DCAR_032159 transcript:KZM80542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICLQLPFELDYARLYEIIDSDIVKLGEGVTSALCSLKIHDFDHLQHMQRNQQNSSPSAQFLANIDLSGISAGSSNIKKSCVTRGADSGKIRFQLPSSTVLPTPPIDTTEKRNIEPPPSVFLSVNHRPLNSVAGHLFYFSFHLSGTSSPLKLSLIFGSSISSGPFGYSGYVRFWDVRSGKVFWDRLGVAVLLEYSNCLGLVCIVISSGLAILMFFLSVSTSMNEIVNKLQEKNHICGMTGDCVNDPPFLKKAGIGITVVDAPAAQ >KZM80539 pep supercontig:ASM162521v1:DCARv2_S426.1:204972:207235:-1 gene:DCAR_032156 transcript:KZM80539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWYDLVMDLVHKFVIYMKNAEALGDELKSSAKHVYADEGEFSFVKVVRRGRHITDEFVLPESFVDRVGFTIPSCLEYVVKGGEVFVGSYTRERSAISGMANFCKFLGNPHLNCFSLLVFTFDGCSRFEITAFADSMIETEIYHIVPPSFDPLVQSTAFHILPNETDMLPFMSCVLLFEK >KZM80544 pep supercontig:ASM162521v1:DCARv2_S426.1:256670:257182:-1 gene:DCAR_032161 transcript:KZM80544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTSIALESLIEPRFRDSYKDSAKKTVKSVKDDDDKEVREEVKKPSRNRVNISPALYITPEPTPIVYSSSESVSPSPYVANRKGRGGLRRRSEVVVRKEEERVVEEVVVEDEKVKKEEEMREAVEESEEFVDTRCDTLSVGSSSDVRELGSVSGWNPVEFYDADDGEL >KZM80536 pep supercontig:ASM162521v1:DCARv2_S426.1:85169:85378:1 gene:DCAR_032153 transcript:KZM80536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGEGEIRCVEAGCNRGGLQNWCMPCLGLGYAAEVARGWVVEAEYGGSSPASCRRRKAERKYRWGCSR >KZM80537 pep supercontig:ASM162521v1:DCARv2_S426.1:169230:174208:1 gene:DCAR_032154 transcript:KZM80537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSIINISSSIIHQTSLQEFSRKPTSIFPFSSQKLTHFSSLKASFSRLKQSNRCGCSSFVASAIATPNSSVLSEEAFRGLGRYEKDDDLNVSESEYESEEYESDVGFVASDVSSDELAITKLGLPEKLVQTLAARGITELFPIQRAVLVPALEGKDLIARAKTGTGKTLAFGIPIIKRLTEDDQERGSQRRSRLPRALVLAPTRELAKQVENEIKESAPYLNTVCVYGGVSYTQQQGALSRGVDVVVGTPGRIIDLIERNTLKLGEVEFLVLDEADQMLAVGFEEAVEMILEKIPVKRQSMLFSATMPSWVKQLSRKYLNSPMTIDLVGNQDEKLAEGIKLYALASTSTSKRAILSDLVTVYAKGGKTIIFTQTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFNVLVATDVASRGLDIPNVDLIIHYELPNDAETFVHRSGRTGRAGKEGSAILMYTNNEKRKVRFIERDVGCKFELISPPAVEEVLESSAKQVIVTLGGVHPASVEFFTPTAQKLIDEQGTGALAAAIAQLSGFSRPPSSRSLISYEQGKVTLQLTRDPAYARGFLSAGSVIGFLSSIYTTAADEIGKIQMIADERVQGAVFDLPEEVAKELLEKQIPPGNTITKITKLPALQDDGPSNDNYGRYSNRGGGMRGGSRGGRGYGSSRGRSGGRFSDGDERRGGGWGAGRDGARGGSWGAGRDGARGSGGSSWSRTSRSSGSDWLISDRRSSRSPSYGDSDRSFGGACFTCGQSGHRASECPKKLGF >KZM80541 pep supercontig:ASM162521v1:DCARv2_S426.1:237383:237943:-1 gene:DCAR_032158 transcript:KZM80541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAENLLPDIVAVKRTNRAYELHKEVSRGMLLPIAKAREKEEFASMLHKYWQVLDILVKLEKERGFILYEIVELQAKEEPIGAKVTNSEASGLMIKNDAGKLDQEKKYKSEEIVRLQTVNKAIDTKIIKFVDEAKMLQKDAAAQHYKVTSLEALETVYKVNVLNSMDKLAIMELKWKDKVASLDY >KZM80545 pep supercontig:ASM162521v1:DCARv2_S426.1:259579:260217:-1 gene:DCAR_032162 transcript:KZM80545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGPSTKTDVAVAFLASLEEPKLTSLADAVKNLPIEILPPGMASLYAPNPGQKKSTGAIWGSLQAANKPLLLEGSNSTQATAPQAAESGTSPAAEESDDPPTSELGAPTTSESDAPPSLESDANPVSELGVSPEPESGVPPEPESGAPTTPESGAPTTSETGVSESVQVPTPETDAHSTSESGSNPPPESTNGATGPTSESSAAVALDTSE >KZM80547 pep supercontig:ASM162521v1:DCARv2_S426.1:355308:358459:1 gene:DCAR_032164 transcript:KZM80547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGETQVDERELGETRRRRWKVTIGRRRSGARQPEWQSGAAAGCCCCSGGDSHGVEREREGGGCSGEGLPEEVCGGGSVAAREGCRESLTENDSDGSSFERNPRVPPLPLYSSSVSVDVNPVLSDPEEDPEEDPVAVGIETDAEGRQRIIHHQIRTTASVSATSRAPAGSVTQAVPYHVYAALGREYDFLHRQNVEIRRLMDILLQERRVPVEDGEARSRIGAIEHIARQGLAEFPSTSEWDVEARRVTRLIYWIMSELRAVRGSRN >KZM80546 pep supercontig:ASM162521v1:DCARv2_S426.1:283276:285532:-1 gene:DCAR_032163 transcript:KZM80546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCEILWVVRLASFQHAPSIPPFLMLPKQSKVGSESSSVPKDMGSRKVNEVVVGGRAVAVAVTRFPGEQKRPVGPLVVVGVRDGVLSLIDRYMSIYAISLCHPGIRCRCLAAYGDVVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRDIGNETVGLNLNNFMSLTVKKEDIVEAVDGVVKFGKDFLDLIDAADATAQGEIAREALKRLAAAG >KZM80540 pep supercontig:ASM162521v1:DCARv2_S426.1:208571:210126:-1 gene:DCAR_032157 transcript:KZM80540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFFLIVHSSVSVLSFNKYWGKVVGVKKLYEDFGLEGGELLVFEYSGDGKDCCEVDYPTVVRSMPNTQLRKVCLLKGGLRFVKFFSEVDPVNDVMVAPASFTERCVSTMPLKFFVRYVLPNGKKIAGYYDDFTRKLSGLQPMSHLLGDSHLNSINMLLGCFRIKVQPFMLLKYCHGVDIPTEYKELWHLWSKTDYITVYSGTAKWILQIRHRRDWQRTTIHAGWISFWEDMGLSVGDICIFECPTDSFSHFAVRVQKALE >KZM80535 pep supercontig:ASM162521v1:DCARv2_S426.1:43769:44283:-1 gene:DCAR_032152 transcript:KZM80535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIKKTHTVSDAGLLPQTWSTNINSGNKNFQCTLKIYMNQALHCPQCPRPHWTNKIQHPAPELGQITGYLRTELLNRKLVNGIWTFLTALKIIKDTKCTFEVMLAPSSYLNTTGKLIVDGIVQCTKMTTKYPA >KZM80538 pep supercontig:ASM162521v1:DCARv2_S426.1:200383:204402:1 gene:DCAR_032155 transcript:KZM80538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLKDETVIIIIAGVKVSEWKEVVYLSNFPATRFYLNLNHPATNDMKLRRLQPDFYSIEIDDEIEVHDSTAMKVADIRKLDESYAQKKVVCEVTVKKFDEKMNWYSPFCIQCDQDLLLVDGIYKCCKRIYPYPDKTFRLYSLSSDESGTIPIVWPDDEISRLTGKTIYDVECEDLESFEKKKYRVTILLTEENVKSGSKVYNATNISNPVEITDSHEPTDKGQEPVGNTPLSKILSKTSVQHKAQTISITKDTSPPTVASTTRTRSRMVDGTMENVKTGRTDWKIQVRVLRKWIVVTRNGVVFKGFNLLLLDSKNCRMHATVPGSISERMDHALKIVFIQKNIFDLYEYSELKKRADQVMYLTDVIGVLEKKEKANYYKNKDEVDTIDIRFKITDGRKKINVTFWGSFAEEFGNALDEVKEEIIIVIIASAKVKAWQDQIDITNYSPTQFYINCEHHSVTTMRKMFKVTVIAADDTGGLEIILNDREVRALINKMVEELESKDDVFPQILTTIQGKDYTFKIHVTKDNIEKKNQLFVATNIMLGWNFNVQPVVQEEIEQNTQSKIPEPSGSSYHLDDLSQLNCQTK >KZM80233 pep supercontig:ASM162521v1:DCARv2_S4261:2895:3221:1 gene:DCAR_032165 transcript:KZM80233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMMVSMRENLQNVRKSPRVADESMFGDNANGAGLPILAGNNGRTRHGWKGFSVICSIVRAPLVLFSCLSHPHISSVDGVWVSGEFGRISEMNHLMVNDSMRYAILM >KZM80234 pep supercontig:ASM162521v1:DCARv2_S4261:5673:10036:-1 gene:DCAR_032166 transcript:KZM80234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTFSIARSMKLGRCSKRKKKTLLSPTRKKRRASVQPIKKGDKVDLSTLLFTKQRDYLLRGDKQRVQAKQLAGKVIVLCFMNLVHEHYYWMQETTCLVDIYNELQPKGCLEVIFVGAPDAALCSAQEVCSTPQEQRFEERYSLMPWLTIPFSDLKTRKHLETRFGGIAGFSDRYPVSFVIDPEGVVLQDNGGFYFQEYGAPGYPFSDEKINIINSEDDAAIQHPSITTLLASPERNYVISNSGDQVLVSDLEDKVVALYFYEELPIGESPDADDLTPKIEMVYRQLAKNENFEIVLIYAHDTVYTYDCTTEASFRKTFSKMPWLALPFKDPNCKRLQRIFDHPLSLKDLGPDPTLVIIGPHGNFIEEYGVDILLNFGIAAYPFTRKSAAKLEAEKAKRVKLEMFWRDPNTFFRQKDGPDVRFSQLAGKRTIILFGDGWFHNYVDFFRVLKARYMQMKNTIEEFEVIHISREVQGSAYKQRVAAKQCLKHPPFSQDSPWLTHPAFAYESNERKLMLSILRYGRGLLAFDKDGRLVTGTTSPSIEREDADFPFHSGFYLEGSSEACSLPIYFLLVFDFQPKDPENLYVALAALSGNYVPGVVNTRTLSKLLKSKCWFVGSSEMDAVEGAYEFNKSWQTDLRIGHHDCRDYTNGLVQYLTGEENVLERLGKSGWR >KZM80235 pep supercontig:ASM162521v1:DCARv2_S4261:14659:18658:1 gene:DCAR_032167 transcript:KZM80235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEEEKAEKSGGDVRFHLGPPYTLKEQLEKDKDDESLRRWKEQLLGAVDVNNVGESAEPEVKIISLSILSPGRPAIVLPIPEDGKPKGLWFTLKEGSSYSLRFTFQVSNNIVVGFRYTNNVWKTGFKESAEPEVKIISLSILSPGRPAIVLPIPEDGKPKGLWFTLKEGSSYSLRFTFQVSNNIVVGFRYTNNVWKTGFKVDSEKLMLGTFSPQPKPYTIDVPEETTPSGYFARGQYSAISKWPLIIDDSV >KZM80232 pep supercontig:ASM162521v1:DCARv2_S4287:16105:22862:-1 gene:DCAR_032170 transcript:KZM80232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWNLSLCINDQLRGDYLRVKAASADSLNHELLVPNTDDNSVDDGENLIISYPKPPRYKNRYLNFVHMGTLFNNAAESFFKSEICRRLFVTALLLVMSRVGYFIHLPGFDRKLMPEDYLRFVSGSVDELGDFAPELKLSFFQLGISPQIVASIVMQVLCHFVPSLVKLRKEGLDGQKKIKSYIWWISLCFGTVEGLILACYSLPYSIYAETSRLLTVMQYADILLAVSSLLHRQGSSLIICVGILSGYKETLHKLLTQVSGSTLSWWPYALAVLVIFTVMTMYAVVVTEGYRKIKLQYYGFKLASSSRQGSPITEVEPYIPFNINPSGMQPILTTTYLLADPSILAGLLGSPVWEYIKQMLNPETSTGAGPWVYYTIYSFFVFVFNIFDIANMPKEVSDYLNKMGARIPKIKPGKATIEYLTKVQAFTSFWGGLLLATLATTSSILDHYLRSNNLGFSISFTSVLIIVNSTISETLYKRTDTDPHHRDITKGEEESKSCMLRNYVCTFGDRCRCIRIHLVPYPTAGGYERMRPRS >KZM80534 pep supercontig:ASM162521v1:DCARv2_S431:12284:13189:-1 gene:DCAR_032180 transcript:KZM80534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHQSDSSDTLTHVSDSLSPSEEALSESWFRSWSTPSDNEMLDIIDRLPLDGPSYANGFLIDAPVVEEEDFNLDPMEEEIEPREWVASGEMEALDCPSYANGFFIDAPVVEEEDFNLYPMEEEIERERRWSEACGWFASGEMEAKARRCPPPYFIHIRLLGADALDRDRRFVLRPWDGGELVNVERIAEISNLRPRETRFQKDQFRIAYVKGFVHNLQTDNLDDKPNPFLLAKFRLYDGSESILVSLGDDTKDHPMITTGEVREGSVLVLYQATCFISMDETPHHQLSIGYSNILGIFN >KZM80533 pep supercontig:ASM162521v1:DCARv2_S431:9831:11533:1 gene:DCAR_032179 transcript:KZM80533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYRVPKQRFRAENWMAVWFAEIAQWRRFCDRPDIALCDDTLYTTEDAYMEWYLEVSRRGIGKPKPVPQPDYASRELFDNYEAFQLIEASMDLVRQLDARLPESFVPDFRQLAPKFVDRYNRFMRTVKGPGFTPPVFEHLDRADMSGTGLAGVAEQDIIPMTQPSQHIPDQPASSSRPDKMALVSLRKGKWKMNKPLSSAKQDTITTDWGWRDGVVKLDGGVKNALYELKPLNLLTLAPGSWIDDRIIYAYMMLLRDREEVVASLFERKPTYFFMDPFFIPLAKTKNWKNPESYVRLHNFYCDYGSGEVGPTINKVDFIFVPTCVEENHWILFVFSVKTWGVVMFDPLYDDPSYPEEEEIVTEMLPELIRFCERSQHVFPDGLAQISALMRRPKQTNYTDCSIFVMKYMDYMLQGFHLQSMTWTASDVETFRYRIAKEIHRGKARMIPGFLMKQRLDNASRAQLK >KZM80231 pep supercontig:ASM162521v1:DCARv2_S4334:3509:3718:-1 gene:DCAR_032181 transcript:KZM80231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMLGRSKSDKTGNWIGDESRKVCFHPLFSKVDESMICVPVLARKLAQIQATIISSTCLKLSEKLLVS >KZM80532 pep supercontig:ASM162521v1:DCARv2_S434:7495:7802:-1 gene:DCAR_032182 transcript:KZM80532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEVMEDMRLMTGSRPKRVSDKRPEKVKQTLETLFPGTQLHTIRPDDYDVPKGS >KZM80230 pep supercontig:ASM162521v1:DCARv2_S4353:21244:21465:1 gene:DCAR_032183 transcript:KZM80230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASSDLLGVGYRWITTERRLFYPKLEGIRAYLFRVLNRELHGLDPYKYRVCRHLAKGLGSFSRVLHSKERHV >KZM80228 pep supercontig:ASM162521v1:DCARv2_S4358:10138:10974:-1 gene:DCAR_032185 transcript:KZM80228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEYYNMMMIKGKRSKRQRQLLAASSSALALTMAASSSSTSSSDQQFNNNICVMNCDDDKNTTQVEQDTANCLILLAQGGQSPQNLPTTTTAVKDSSMYQCKTCDRSFPSFQALGGHRASHKRPKPGSTTDPALINHHESSSSMFNHDTNNTYTTNTTLSLQISPSRPDSCSVKISNTTKSSSKVHECSICGAEFTSGQALGGHMRRHRSSLLPRASPNHESDNEPKKPAAANVFSLDLNLPAPEDMDQPIESKFPFAMNEQVIVFSPSALVDCHL >KZM80229 pep supercontig:ASM162521v1:DCARv2_S4358:16723:20704:-1 gene:DCAR_032186 transcript:KZM80229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKIIKRGQQKVSKSDGNRHPGSVSTPDVVVNHASRGGVQPNSEPQQTAGGSVAAATAPPLPGNIETLPMFKDVPAGERQGLFLRKVQVCYFQFEFADMMKMVREKEIKRQSLVELIDFVQAGSIKITESNQEEMVRMISVNIFRSLPPAAHENTGSENLEPEEDEPFLEPSWPHLQLVYELLLRYIVSGDTDTKIAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKGINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKTVGFYHQQLAYCITQFVEKDYKLAETVIRGLLKYWPVTNCQKEILFLNELEEVLEATQAAEFQRCMVLLFKQLRRCLTSPNFQVAERTLFLWNNEHIVALISQNRNVILPIIFEALERNIKSHWNQAINGLTVNVRKLFLEMDAELFEECEKQFAEKEARAAELEEMREQTWQRLAAAADQGV >KZM80227 pep supercontig:ASM162521v1:DCARv2_S4358:4011:6363:1 gene:DCAR_032184 transcript:KZM80227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTRRRNAVVREQQDPNSTAPPHLRRVDVALGDGDAEALRQALDNLLGSIDDPIENGDTALHLACVYGHLTCVQVTFNSLPSFSSAILLLITGNFRLFVQILIERGASLEAKDEDGEIPLHGASAAGYADIVQLLLNKGSEMNIVKRMLDSVDEEGDTPLHHAAKAEHVNVILLLLANGASPTTTNFHGQIPSELADPGIINF >KZM80531 pep supercontig:ASM162521v1:DCARv2_S436:46110:47852:-1 gene:DCAR_032187 transcript:KZM80531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSSILTTSSQKDVVIENSPQPGAHLKRGRDTSPINAYGGKKTKGGQFEETAHTSQAKIIDFMPKTSQNQIDVTLVNVESQPPSTLSIPISSIFDLTISQPQTQSPTSSVDVELIHTTIVNSLSLDFVEKPHSEIDHHQIDDLFDLSHQISSSVTVCSVDLHSKSITTDSTVTASLPISSSFLMDILHPSNSVCPSTDVLNNLHQLKASSMNVSTDDTHQLTTTSFSTDISSTTVVDHIIAQTLLGLREGCESVERQLWELAKGELVESLAISSSQEKREDLSGSFVGTSKGEVSCVVSQGEPLMQEQREIERNACVNEGSSEQEIQAEYRAILDSVPLDPETFTHGMSYIQAFARLDNQAAERSLNLIHTTASMLRAKEAIAALLANAGDDVQYEDDSDEDLHDALENSIGEELAEPSTSLPSWLYMQSANATANDLSAKIDERLPSKAVSTMSDSEKRHAKLESKLDTVEDRVNVLDARMHEMLQHQRVQTDLLQHLLMASGINVPRPPALDENKK >KZM80226 pep supercontig:ASM162521v1:DCARv2_S4397:674:1171:-1 gene:DCAR_032188 transcript:KZM80226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRGRTGYKPQSHNKTEVLQWLKTLSLAPEFHPTLEEFKDPIAYIYQIEKEASVHGICKIIPPVSSPSMKTSFLQLNNSLMACSASPKGEFTTRVQQAGFCQGKGHPVIKSVTESGKSYTVSEFEAKAKSFERNYFEKSSIDKGALSPLEIGSLYWKDYSYMA >KZM80530 pep supercontig:ASM162521v1:DCARv2_S449:53030:54637:-1 gene:DCAR_032190 transcript:KZM80530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIALMARKGKGKGKAKETTKGKGKGKAKETTSTRKGKGKSSTLAIRDEPTDSDEGGENPNEEEVPRQRRQMSEEEIANFAKTALEASDPAADPSTRVQWNSMIGGEMVHIVGSMVEDILLKMERKVEEEKERRLAAEKDYTDPEELSEEERGGPEAGADAGANASAASGADASAATGAGASAAADGAASDSDVTLD >KZM80529 pep supercontig:ASM162521v1:DCARv2_S449:19013:19987:1 gene:DCAR_032189 transcript:KZM80529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFCSKKRLKIHRQMKWYVDLIGEPEEFLQLEKLENDELTGVGASIQHLDIDED >KZM80528 pep supercontig:ASM162521v1:DCARv2_S452:56819:58473:-1 gene:DCAR_032191 transcript:KZM80528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVFLRNKRYRAKLGFFLHSPVLASEIYRTLHVSADILRILLNYDLIGFHTFDFARHIFSCCSRMLGLDCESKRGQIGLDYSARTVYIKILPIGIHLEKVENVLNIPSTSVKVKEFEGKFKGNHVIVGVDDMNLFKAISLKLLASEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRWETYGTANRINQIYGSCGHQLVILIDRPVDQCRALQLWMKLGELCHILLETSVLIIYEYVGCSPSLSGTIGINPWGISFIAEAMRSTISMDDFLRQLRHEQNYSYVQSLDVAYWARSFIHSMERACLDHYNYQCWDFVGILVPHSSAKKNLSSEAETALITLCDDPKNTVFIVSGSGRSSLTEWLAPCEGLGLAAEHGYFIRWKKTSEWQSSLVVDLEWKATVERIINSYTEVTDGSTIEVKESALVWHRQDADHDFESLQARNCRIIVNTSLLTNLQ >KZM80527 pep supercontig:ASM162521v1:DCARv2_S458:67646:69440:-1 gene:DCAR_032196 transcript:KZM80527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQYDLGMIGWLVCTVILGGLATVYGFLKKANKNYWSSSSSCFSLGEIVWTIRSVFKNLTHVVDSCSCCSHGVNSDCVDFQFSSWEERIISAKKRERKVGYFLKNIGSNKYTLAVIGKQSIVGHMSYDVYGSFARNHASHLPPRQDLKWNTKREVVDWLSTLITVPNTEVVQQVQYAKEGNSFFKVLIGEFKDSLNVPATYMQQYNSIKNGAMRLRNGENEWEVEVDGRVIKSGWNKFVKDHKLCEGDFLVFTALGEMFFNVAIFGQNGRIKEYPWFHAFNPDGRVVYA >KZM80526 pep supercontig:ASM162521v1:DCARv2_S458:47800:50202:-1 gene:DCAR_032195 transcript:KZM80526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMKCSSCPAHQKQSLLHFKASILTIFNSSNSSSETSFFSLDSWDSTSDCCNWERVICSPRTREITALYLDSLLLMPSDQPIVVDSRILDPVFRIRSLMFLDVSLNNIQGEISGEGLANLSKLVHLDMRQNGLVGSIPRQVFHLRFLRFLDLSSNSLKGGLSGEVGRLGNLRTCKLDENFLGGDIPAEIGNLTKLQQFSVRQNQFSGGIPDSILDLKELEVLDLRSNQLVMQIPREIGSLSNISTLALSKNFFTGVIPPSIRNLSKLETLRLEDNRFSGDIPAWLFDMESLKNLFLGGNQMIWNNNVKIVPKCLLSQLSLKSCKIAGEIPEWISTQKNLDFLELSDNELTGRFPLWLSEMEVGSILLSDNRLTGSIPSRLFQSLSLSILALSRNNFSGELPENIGEANEIMILMLSGNNFSGPIPKSIADIYRLLLLDLSGNKFSGNTFPIFDPDGLLAYVDLSSNELSGDIPVSFCTETKILALGNNKFSGKLPRNFTNMNQLEHLDLHDNNITGEYPEFLSQMSSLQVLNLRNNSLHGSLPSKSFSNQSNLRILDLSNNNLGGSIPSELGNLMGMIETPSTSSLTTDMFTFSIEFKDLIVNWKKAIRGLSSRRLDIYSLLDLSNNKFSGNIPSSLGNLKGLKLLSISNNRLSGNIPQSFGDLESVETLDLSNNNISGVIPQSFTRLKQLSVLDVSNNKLSGRIPQGGQMDLMNVLNYFANNSGLCGVQIGVKCPDDQLTPEADEEGDDSEKEPWFLWKGALIGFSLGFVFSVLMAFLGGYFVLPPPPKPKYHSVKYRL >KZM80523 pep supercontig:ASM162521v1:DCARv2_S458:6138:20070:1 gene:DCAR_032192 transcript:KZM80523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRVFHTLSCSSSSSHPLRHLFHLASSSKFTTLPLCSPRFLLARSPRPSITVASAVRNRRSSTSATPSSTSDRDAIRSIRLKKVEELRATGFEPYAYKWDRTHSANQLQELYRHLENGQESNDEKDHVSIAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKDRLASQFDQLKALVDIGDILGASGSIKRTEKGELSVILNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADTFRKRAKVVSEVRKTVESLGFIEVETPVLQGAAGGAEARPFITHHNSLGRDLYLRIATELHLKRMLVGGFERVHEIGRIFRNEGISTRHNPEFTTIEIYEAYSDYESMMNMAEEIVTRCALAVQGKLIIDYQGVEICLERPWRRETMHNLVKEATGIDFTEFGSDLEKAKDVTLSSLNIGSNNQEANSVRACQSIGHVLNEVFETVVEPNLIQPTFVLDYPIEISPLAKPHRRHAGLTERFELFICGRELGNAFSELTDPLDQRGRLEEQVRQHNEKRAAAATVSEVSSEEGKKSDDDSYDVNLDDDFLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ >KZM80525 pep supercontig:ASM162521v1:DCARv2_S458:44477:45253:1 gene:DCAR_032194 transcript:KZM80525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIFMRVLFCKIHCPSFICFCKPSAAAHLYTSGPLKLDNTPHVLPLGAEPLTTAAATDDNTNSADQDKSCVDDDKLQKESEVLVLRSCIRRNKEAASEPTHDVEKKSVQWVDNIGKDLADIKEFESRKKPVLCFLVKSSPKVEIPLLTDLYAMQSISI >KZM80524 pep supercontig:ASM162521v1:DCARv2_S458:22297:25222:1 gene:DCAR_032193 transcript:KZM80524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRRRVENPVVDVSISDDESFSFVFHKAAAELTKLYSLAVDGHVAFDAGARHAYTGVYEWIQLQLQNGMLLTAADICSYLQDKLNQLDSDVLNAQQLSEVEQSANEMVPENDMNNAHQLPEPLANETESGASDDTNTNPSASQVQEMEIN >KZM80225 pep supercontig:ASM162521v1:DCARv2_S4642:340:713:1 gene:DCAR_032197 transcript:KZM80225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQICVCQVEENGYYIRVVSNKGHGVAASLYKALDSLSTFILHSSNLATQNDTFLFTFNLNVRELVESDTNAPNMKLWVAAAFLNQGFDLKQPL >KZM80224 pep supercontig:ASM162521v1:DCARv2_S4657:111:269:-1 gene:DCAR_032198 transcript:KZM80224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDPGAEAELGGNEDEGAI >KZM80519 pep supercontig:ASM162521v1:DCARv2_S466:75158:75878:1 gene:DCAR_032199 transcript:KZM80519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDYCTTSSAEFVSLDEQTLTNILKVALELKGDGKLTTSDDLPAVFGCLNKNSLKRPYL >KZM80521 pep supercontig:ASM162521v1:DCARv2_S466:154303:155537:1 gene:DCAR_032201 transcript:KZM80521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPHVVMRPRPNLVAAKKQPKRQFTTAHQLLLQQQQKNKKAKLLGSDQKWPQGTRKSPRSAKIILPPPPPLTEYERQRAIRVQMNYEFLSALKLPTLAAGIVKKDKRRKSNEKAQDGSENYDPEHDSLSDGSVTPPKDKKKTNNKKLLMGRRPTTGSRATTRATSAANPANQDTRKKEDTCPPVPVISPDPIADLPQSDDGKGSMASFWAMWKRQKEEAEKEKAIKEKAQQIIEKEIAAASASASKKGVE >KZM80520 pep supercontig:ASM162521v1:DCARv2_S466:128319:128791:1 gene:DCAR_032200 transcript:KZM80520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTEIRSASPEQQGNSKKTFEKGTTSKSGRDKTIHENNPQTLLLHIMHATNNDVPDERLESLKNSFKKMNDQANLLIN >KZM80522 pep supercontig:ASM162521v1:DCARv2_S466:170467:175515:1 gene:DCAR_032202 transcript:KZM80522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLENRPDDIPLETLKMLLDYWNDESIQKKVPGECEPCDAKIFIDTRKRDAKREYKISTEKIEKKIETITKRLSTGDAASDELGAKHGLNWLKGRCVKPANMSNSNAPTETYVKDLTTKIKEGFAAELEEKVKKVESEFQDKVKQVEAGVDQKVQQNLAFVFKKLAQANPDIKIDIQEVCTTVGSDNDDGTPMTRGYNDSMRGPGISAKSTVDEYDWDTIEKNTFAGKEWNTTGMHQNQNTSIVKEWNKPDKVCFLYE >KZM80518 pep supercontig:ASM162521v1:DCARv2_S472:135433:141719:1 gene:DCAR_032214 transcript:KZM80518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKMIVYIWDMDETLILLKSLINGTYAKCFNGLKDVQRGTEIGRTWENFILDISDQSFFYEEIEDYNQPFLDALKHYDDGRDLSDYNFSMDEFRPLPDDVNRRKLAYRHRLVAQKYKKGLRSILGHNKTISWENLYEETDLFTDKWHSSARDCLEQCAGTSSQHVNLLVTSGTLIPSLVKCLLFQLDDLISYENVYSSWEVGKLQCFTWIKERFSGQDIQFCVIGDGWEECEAAQSLKWPFVQVDPQPGSPHRFPGLNVSTLGHYLAVIYDQTKKETDETASHNSSNNL >KZM80511 pep supercontig:ASM162521v1:DCARv2_S472:71535:72055:1 gene:DCAR_032207 transcript:KZM80511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAICISLMPAVFKIYDPPILRSTHNEERKLLMHWSKREFFPIILIIRSTEFKPSRDYFSPRHQNHIAGQLTPLMRFMLGGSAKLQR >KZM80517 pep supercontig:ASM162521v1:DCARv2_S472:132827:133117:-1 gene:DCAR_032213 transcript:KZM80517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALSRRRHNLPKPLPTLLPHASHLRRLFSTDSTPFTVETSTSFTYSNCEPPLRYVETSAAELMTFFNDMAKMRRMEIVVYSLYKSKLSYFCRWVK >KZM80508 pep supercontig:ASM162521v1:DCARv2_S472:32632:39275:1 gene:DCAR_032204 transcript:KZM80508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILRVSGDGPPCKGLHEEGKDARNGVCGEGQRLSEEGHNDDVNKKCIFQVQTVSLRKQPQGSGSVVRWERFLPVKTLSVLLVENDDSTRHLIAALLRNCSYQVTAVAHGVEAWKALEDPSNHIDLVLAEVVTPYLSGIGLLSKILSHKSFRSIPLIMMSSNDSMDVVFKCLSKGAVDFLVKPIRKNELKHLWQHVWRYCNTSGFSGNESGVLIQKPSSGSENVGHAGNKNGSSGEDDNWNTDLNVNGSDNGSDTQISWSKRVVEVDSQQPSMRHEQIGDAPHTTCVVGAYSRSEPRSENWVPGTATWDTSEAGDKLVAMGKYLEMGIAKNQDLQAEEPNRKMQKTGMGKSKVSDTESKKDHEKFERRVAKFHTEAENVDLSKEYSDSVVVINNSTDPQMESATSEGDAKRKTSKDTTTDYIKEPLCLELDLNSLRERKARPENSDPKQKVLRHSDLSAFSRYDTAKAPAGDVGSCSPPENSSRQNLQSNMDGTHNQCSNGSSNNDMGSTTDNNMFPKPAAFSEKKLPDAPKSAHLCSAFQSVINLNNQSSLPELQDKNEHPTVQASFTEPQVQVQHRHHYHHYHHHHHHVHNGKQTQQLPDQDNLSMRNTAAPASHFAPSKVLNSPAAYYGSNNGHNDSNIVRNVEGMNLLNNNKVTQKCGPDDGSGNDVDQHNLTQREAALQKFRQKRKDRCFEKKVRYQSRKKLAEQRPRVKGQFIRQAEKNDNQNS >KZM80514 pep supercontig:ASM162521v1:DCARv2_S472:115797:117353:-1 gene:DCAR_032210 transcript:KZM80514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLRFGRQFQHIENLAFEMIHNRVELDNITYSTIITCAKRCNLYDKAIEWFERMYKTGLMPDEVTYSAVLDVYGKLRRAEEVVSLYERGRASGWKPDTVAFAVLGKLFAQAGDYDGLRYVFQEMKAVEVEPDLFLYNTLFEALIKAKKPGLAKGLFGSMISSGIKPNEKTLTALVRIFGKARWAKDALGFWEKLRSNKWAVNNLLYNTLLNMCADLGLEEEAEGLFEDMKKSEKCKPDSWSYTAMLNIYGSAGYADKAMDLFDEMSELEVELNIMGCTCLIQCLGKARRIDDLVEVFRVSIDRDIKPDDRLSGCLLSVVSFCEGEDLNKVLSCLQEANSKLVDFIRLLENGTIDFNTLSTEFREMLNETSVDSQRPFCNCLIDFCRNRNLLERSHDLLHIGLACNLYPGLHTKTPEEWRLNVRSLSVGAAHTALEDWMSTVIKLDQHHDTLPELFSASTGAGTHKYSQGLANEFASHVNKLDAPFRRSSEEPGFFVASREDLVSWVQSRVQTASGTA >KZM80507 pep supercontig:ASM162521v1:DCARv2_S472:21704:27772:-1 gene:DCAR_032203 transcript:KZM80507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEDEIRSQGAPLLSDIDMDKLLKDNFVTWLRNKDKTDQPGADKGKAIDIGDGADVDQNGRDDESTDSEDCDMSHPYIVVPRGGPVSKGXIYLFDWVILVEVFVRVVKDVEQDLVWKKFECHKMVGIKPNTAGYFI >KZM80512 pep supercontig:ASM162521v1:DCARv2_S472:95775:96317:1 gene:DCAR_032208 transcript:KZM80512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEIWKCKSHPSSINRTGICPTCLRNRLVDLCPNCASLLPCLTCPPPHSSSCHIASSDSLRNLIDNEPSIKRSTSLVIPILRTSSRFSSDHKSPASARRAKSIFLSLFRGKKGENEEAKTNKVSDDYAEMMRRSRSVGVKMPVEAARGRGWSFPSPIKAFRQTKTSRVVQERSPMHNG >KZM80510 pep supercontig:ASM162521v1:DCARv2_S472:55804:57940:1 gene:DCAR_032206 transcript:KZM80510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEALCSNQVFNLILYDPTPFNNDDVNNNYCPNSSSFPTCPNFLAENPNDYLAASPPKTDNVTAVPIAGAPGRKKRRRRSSRVCKNKEEAESQRMTHIAVERNRRKLMNEHLAVLRSLMPESYVERGDQASVVGGAITFVKELEHLLQTLEAKKIEQSQGELCGSASELMNNPNPFSQFFAFPQYTFCSQMPNKYSRSKSSGGGGGGGGEAEIEVTLIESHANIRVLCQRRARQLSKLLSGFHSVYLTLLHLNVTTLDPLVLYSLSAKVEEGCQLKSADDIAMAVHQMLRMIEEDANLSLTQLTNP >KZM80515 pep supercontig:ASM162521v1:DCARv2_S472:119242:120069:-1 gene:DCAR_032211 transcript:KZM80515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYITNSMIHCWKSKPYSFFDWNFGKKNIQEKPQQHKYHDHVDLPFSPSLLKKTFLNGRELKCCYKATVDGFSAASFHDCSDFQGPCVIIGYTNKNVKFGAFNPEGYRSTDDYYDSFDPFLFYWDNNEESEPIVLPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQARSRLGLSYAKRADGKESLFGDDPKAVLDEVLVFCNPQIASLY >KZM80509 pep supercontig:ASM162521v1:DCARv2_S472:50021:51181:1 gene:DCAR_032205 transcript:KZM80509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEESQCLHKVSQSLRTTAQIPGALDAPAFHHDSSYLFSVEQSALYELEFCPYSSTYHWVMPPDCRPIGDQGYPVWFRPTGDRGYPVWCRPIEDRGPLDQAHSKRRTINRPLQITGTKG >KZM80516 pep supercontig:ASM162521v1:DCARv2_S472:120747:123444:-1 gene:DCAR_032212 transcript:KZM80516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLFKGIGSNAMWAVMTVVVVLEFTAGATLYKGLNRGLGTLVAGSLAFLMEFIATEYGKIFGAIFISVAVFVIGSAATYMRFLPDIKKNYEYGVVIFLLTFNLITVSSYRVDNVLKIAYDRFYTIAIGCGICLVMSLLLFPNWSGEELHNSSVSKLEGLAMSIEACVSQYFKDHELEPDISKEESEDHDPIYMGYKAVLDSKSYDATLAKHASWEPRHSRHCYRYPWQQYVKLGAVLRRFGYTVVALHGCLETEIQTPRSVRALFRDPCTQLAGEVSKALTELADSIRKRRQCSPDVISDNLHKALNDLNTALKSQPRLFVGSETNQTSNMLALAAVTARQSVDNHLPSANTESSTLHDWKLSKRVSKKTKEARKVLRPTLSKNAITSLEFSEALPFAAFASLLVETVARLDIVIDEVEELGTKANFKEFYADDEITVTCERFKVETASDLKNNLPEQAAE >KZM80513 pep supercontig:ASM162521v1:DCARv2_S472:102229:107376:-1 gene:DCAR_032209 transcript:KZM80513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDANSTDKNLIFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPDQLKMMSFGGNNRAQVFFKQHGWNDGGKIEAKYTSRAAELYKQLLSKEVAKSSKEEAGLPVSPVASQSVQAVNGIPDKVEESPKESSPLKHETLDIPASPKVRASHSVLTSTVKKPLGSKKTGKTGGLGARKLTSKPSESLYDQKPEEVPVQVSTSTNSNPTAASSYASRFEYTDNVPAADTDSAGTNVTGHVAPPKSPGFFLDFGMDSFQKKDSSSLKPQIQETDEARKKFSNAKSISSSQFFGDQNKDADSQVSLQKFSGSAAISSADLFGEDADSAADLTASDLINKISFQAHQDISSLKNIAGETGKKLSSLASSFMTDFQDRSF >KZM80504 pep supercontig:ASM162521v1:DCARv2_S474.1:51379:54444:1 gene:DCAR_001216 transcript:KZM80504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNYALCSSNQAPCSSNQAQISLANNELFIRSVYNVVTRYQSQGSSIEKMKAFGSIGRRDVAKVEKKIGQNCSATISSVILSNAPDADAVARTAYYSSNIDVPRSSPMDMQSSPMLMLELKILT >KZM80505 pep supercontig:ASM162521v1:DCARv2_S474.1:60377:60616:-1 gene:DCAR_001217 transcript:KZM80505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYSYKSGEILYHLLNIPQSASAFLFTAIFSTLITVGGTRTTDQVNQWLTISMIGISITLKLEIQLLFGNSVAASISW >KZM80506 pep supercontig:ASM162521v1:DCARv2_S474.1:141458:141996:-1 gene:DCAR_001218 transcript:KZM80506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVHPGAAKWQNTAMPSFKELSIIFGKDRATGNMAENLEDVVEELNTEAADELSLQEDLQRSTHSDESTSKKRKKGNVESLLEAVYAASDRIANQFEASTKLLIAAEEDMMQKKKQLNDELSKIPNLTVLQKLQVAKKIAKDEDLMILFFAAPAEEKIVFVNGILDNQI >KZM80223 pep supercontig:ASM162521v1:DCARv2_S4776:316:537:1 gene:DCAR_032215 transcript:KZM80223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNLQEQFKSSENKQAHTRNFMKNPSLVADPTHKAIQRAIQRTRKTHKANPAIQRSSVELRLQQTKRFRFRA >KZM80222 pep supercontig:ASM162521v1:DCARv2_S4799:378:938:-1 gene:DCAR_032216 transcript:KZM80222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLDKAFELFCGLRKKDVVAYTAMIMGCGINGRDSDAIELFEEMIHSKLSPNLVTFTGLLTAFSHVGLVEEGYHCFNSVKNYGLVPSADHYSLMVDLLGRAGKLVEARDLIKSMPMQPHAGVWGSLLSACNLHNNVELGEIAAKHCFELEPDTTSYRSLLANIYFSAGRWDDAKRLQTAIHDTAM >KZM80720 pep supercontig:ASM162521v1:DCARv2_S48:91087:91434:-1 gene:DCAR_032220 transcript:KZM80720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARASPEKVESRHHRWWRRAGAEKPRRERTTGVKEEKRRGERERREERKRKEGVTEFGQKSRWRPSFSKIAWGLSRSGFDELKEGGFVRLEGRRKMIGRRPDGGCRRQPWMEAW >KZM80721 pep supercontig:ASM162521v1:DCARv2_S48:266876:267139:-1 gene:DCAR_032221 transcript:KZM80721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEALALVCQNKELFLFVRRELVRGPPHLEFKLYEGYELCQNREVEGVSHKSKDMINITEPLQMIRMDFYGSVNVMSTTNSDIFLR >KZM80719 pep supercontig:ASM162521v1:DCARv2_S48:89573:89737:1 gene:DCAR_032219 transcript:KZM80719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNISTIEPIKVIISKQGKIILIYIINGCFRTKRNNLITQNSDFRSELLVRNNE >KZM80717 pep supercontig:ASM162521v1:DCARv2_S48:22535:30512:1 gene:DCAR_032217 transcript:KZM80717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFRNRWRERADSRNLFRYVLELRMNGLAFLCLKELQELRMNELAFVLPDSGAEHIVSNFSNRESLMCLLSHTPMVIEFLLNESEKYIDGVVQLHERNRKLLRCLLSWVQAGCLSEISAPSLPAHPLLSFVFNSLQHWLKSNAKGPATDAFGHILASLHKNADAESITLASAVNNADA >KZM80722 pep supercontig:ASM162521v1:DCARv2_S48:415776:416285:1 gene:DCAR_032222 transcript:KZM80722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEHGNRSDTNTDYPFPLLCFLKLHTYTRVQVLIDICGVDHPSRKRRFEVVYNLLSTRYNSRIRVQTSADEVTRISPVVSLFSSAGRWEREVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPLSGYVEVRYDDPEKRVGSEPIEMTQEFRYFDSASPWEQRSDV >KZM80718 pep supercontig:ASM162521v1:DCARv2_S48:31950:32258:-1 gene:DCAR_032218 transcript:KZM80718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLNTPIIENFLKYVSTLCKQLKDDTFAFMLPSRLAMPHKCHQQRQDEAIDYLSDFLVANRNKRYILAPYIQE >KZM80503 pep supercontig:ASM162521v1:DCARv2_S493:85588:88084:1 gene:DCAR_032223 transcript:KZM80503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIRRLDEHESKENTRRRWKEQGRNCLLLGISGPDFSQTGWNKADEQYCPPKQREIKYHI >KZM80221 pep supercontig:ASM162521v1:DCARv2_S5038:1025:1339:1 gene:DCAR_032224 transcript:KZM80221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSDGSVRICHRCFSVTVWGVRYHVLSLPDEVVEEMDFETHLEVQFLTMNCYLHEERLREEAEARRLAAIRRREWIIRFAGMMSSILHKQEEEEKKAEEESSS >KZM80479 pep supercontig:ASM162521v1:DCARv2_S511:84776:88090:-1 gene:DCAR_032227 transcript:KZM80479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKARVQYKSPDYKAPMQYKSSSIDYKPSPIVALATSPDDSRVAAGHEDGSLEIWLVSPGAVGWHCQLTIYGSPNSRVSSLVWCHSNGYSLGRLFSSSIDGSVSEWDLFELRQRSESDNDIDSVVTTDGSIVEDANVAMACDDGCVRICTVSDELIYHKSLPRVSGRTLSVTWSQDADKIFSGSSDGLIRCWDFKTVREVFRITVGLGELGSGPELCIWSLLSLRSGTLVSADSNGRVQFWDSQMGTLLQAHSRHKGDVNALSAGPSHNMVFSAGSDGQVILYKLSRDVSGSTDKKSSVRVIEKWVYVGYSRAHTHDVRALTVAVPISREDTFPDEKVKKEKSDSVPKNEKVYRKRKDRKKVKKEKSDSVPKNEKVYRKRKKDRVKKPHDFSFRKWGHIGVPMLISAGDDTKLFAYAANEFRV >KZM80477 pep supercontig:ASM162521v1:DCARv2_S511:12871:13184:1 gene:DCAR_032225 transcript:KZM80477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRNNIPVGAPTEPSNDAKPPFLDITEDSPKTNNAGMEESRTAILPPQAAAGTIPKQRRTPSYRSLVMTMRTLMTLCI >KZM80478 pep supercontig:ASM162521v1:DCARv2_S511:67705:69965:-1 gene:DCAR_032226 transcript:KZM80478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVNVESLILICTYTPHCKDIDDEILSEPPLTRMFTSPDGQWLAAVNCFGDIYIFNLEIGRQHWFISRLDGASVTAGGFTPRNSNILVISTSSNQVYAIDVDAKQLGEWSTRHKFTLPRSCPKFCGEVIGLTFPPSLNASSVIVYSPRAMCEINFETPVIVKDLDAPVRKLPSSPSKKELKRKLKGCDFEKKVEKKTKHNGKEDFEITPFDEPVLFAGLLSKGSLFVMEKPWSRVITKFDAPPVHRHIYGT >KZM80482 pep supercontig:ASM162521v1:DCARv2_S511:242848:246464:1 gene:DCAR_032230 transcript:KZM80482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSSFTSTDLGANPKVQQQKKIVIQNEHGENLVGLLHETGSLEIVVMCHGFRSNKEFNVLVNLAAALEKEGISVFRFDFAGNGESEGSFEYGNYRREAEDLRAVVHHFSGMNHLVTAVLGHSKGGNVVLLYASKYHDVHTVVNLSGRFNLQNGLQTRLGKDFLERIKKDGFIDGKTKPGEANYRITEASLMDRLNTNMKEACVQIDKDCRVLTVHGSADEVVPVEDALSFGNTIPNHKIHIVEGADHCYTSHQDELAPVVLPFIKDGLQKGKNA >KZM80494 pep supercontig:ASM162521v1:DCARv2_S511:463980:464711:-1 gene:DCAR_032242 transcript:KZM80494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSWVWPLKGFIKVNVHAFTLDHPMPNGNDSGIEIVLRNRKGTIIKMYSGTIMDLTKHGNELWAMLIELKGAFLENEHMVELESDNKDAIKEWEEWKWYSDPNHENVIQQLNQRKSDPNLTLVGEFEAMSEDEYEDWLWEGEEVEEGNNVEVMDVSDDDTEEMNMLLDGVGQPGSGIATEEVTVLLVHNPC >KZM80500 pep supercontig:ASM162521v1:DCARv2_S511:517411:518831:1 gene:DCAR_032248 transcript:KZM80500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGEYMNNTPHPTAGSNEEEAWLWAQIKAEARRDAEAEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDMFLNTFSNDAALRAATVADLRAARFRDPACVSFAHCLLNYKGFLACQAHRVAHKLWTQCRQPLALALQSRISDVFAVDIHPAAKIGKGILFDHATGVVVGETAVIGNNVSILHHVTLGGTGKAGGDRHPKIGDGVLIGAGATILGNVKIGEGAKIGAGSVVLIDVPPQTTAVGNPARLVGGKDTPSKHEDVPGESMDHTSFISEWSDYII >KZM80495 pep supercontig:ASM162521v1:DCARv2_S511:468868:470059:1 gene:DCAR_032243 transcript:KZM80495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRELPDTNYNKINVHCMMSTQPLPNGNVNGGGVVAQDDTGGKAWIAMGPMFGLTEEQAVMAGIQAADLDAPAGHGLYGRDILYHAVNGTLKEFLAQKWLSAKLLSKHFIVMTVDEVLKAMGLASGQY >KZM80487 pep supercontig:ASM162521v1:DCARv2_S511:306347:315108:1 gene:DCAR_032235 transcript:KZM80487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKPISSPVPVVWYPTLAVFMLAIGLIVTASFFIYEATSSKRNRSLAKELTTGAVASVFLGFGSLFLLLASGVYV >KZM80483 pep supercontig:ASM162521v1:DCARv2_S511:251955:254944:1 gene:DCAR_032231 transcript:KZM80483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQTKTYRKSGNKAKVTAGRPVQDSHHTTETSKDNYTLADLRREHEQQQNMDENEENSDEENDAHITENEDEDKSEVEFSSDEETDANIRENEEEDSEEISEELNQQPPPAKRKFTKWKRPKNDKDQYAKAKLPNVKFTKKKNAKTDKLFYVDRVRPGSIKLVERRFPSYKGWSEEALKLRQSIEIFDGAFGLGTVLKPLRELFSQDTQPIHSPHQWQQQDNDYTDPCEDNSHEHVGSQEWSPCTKSTNQYQQNQDAIPDINMEDVQDTQTQGCEQGNNDTLNQADGTGDQDDRAWQTWTPWEKSKHFQFKTIRTNDVPEVHMEDVEQEYERDTSKRNNQTGDWLQNLQDSAQDLIELKMLFDADLKSALAKDPENPEIHMIQNLVNDVFGNNQAAFPQQNTHQNSTQQDPKKPIPSEIDDDFELNSQDIKQLDLIEFLHSAKKDINVNHLFVTDEIEDVIPNFSLGIDEDIYGNNKQAVNLGSDEQLDVSKDDDHVFTPKPAMREKSQRALKLSRYGKSPYVDRVVNINSKLTNQEFGL >KZM80491 pep supercontig:ASM162521v1:DCARv2_S511:345911:354432:-1 gene:DCAR_032239 transcript:KZM80491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWCYENTITSITFNLPFQPRLSASAYHHPLHTSAINNQMTTPFYAAVQPLKVLGGRKPQEKLYDDVDASVSYDNKAIIINGRRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGKYNFEGRFDLVRFVKIAQQAGLYVNLRIGPYVCAEWNFGGFPAWLKYVPGMAFRTDNEPFKVAMQGFTEKIVSMMKSEKLFEPQGGPIIMSQIENEYGPVEWEIGAPGKSYTKWFASMALGLDTGVPWIMCKQEDAPDPIIDTCNGFYCEGFTPNKPTKPKMWTEIWTGWYTKFGGPVPYRPAEDLAFSVARFVQNNGSFFNYYMYHGGTNFGRDSAGLFIATSYDYDAPLDEYGLKREPKWGHLRDLHKAIKLCEPALVSAYPTVWSLGKNSEAHEFRSKAGDCAAFLANYDTSSSAKVTYFNKQYDLPPWSISILPDCKTAIFNTAKVSSLGSHMKMLPVSTVDFPWQSYNEEAPNSDDSDTLEMMGLYEQLNITRDSSDYLWYLTDVNIASDEGFLKNGDDPVLNIVSSGHSMQVFVNGQPSGIAYGRLENPKATFSGNVKLKAGINKISVLSAAVGLPNVGVHYEKMETGILGPVTLKGLNEGTRDLAKQTWSYKVGLKGETLSLHTLGIGSSVRWLAGSLVVQKRPLSWYKATFNAPEGNDPLALDMSSMGKGQMWVNGESIGRHWPANIASGASCGACNYAGTYNEKKCLSNCGEPSQKWYHLPRSWLKPTGNQLVIFEEWGGDPSGISLVRRTP >KZM80480 pep supercontig:ASM162521v1:DCARv2_S511:133737:134582:-1 gene:DCAR_032228 transcript:KZM80480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSLRPSQLRGHYVPQLAELILYLRLVGVLFRLELGGFDLIGDLSSTPKENISYDITVLCICLEP >KZM80499 pep supercontig:ASM162521v1:DCARv2_S511:510778:510972:-1 gene:DCAR_032247 transcript:KZM80499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSINDDIMISNLIYNLSRDDEFSHLHDSEIETNYEDIDDDGDSDSTNTDNDEEEEEYMPTAP >KZM80501 pep supercontig:ASM162521v1:DCARv2_S511:521529:527440:-1 gene:DCAR_032249 transcript:KZM80501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENRILDILDSQIKNEGGMEEMIAFANIAYRCLNPNGRKRPSMKQVVAELERDNEQSFLLDTPVLELPFSLNLLRKKWELSRSTSKSLTCCSVCNIISNAYTNYAVVMNNGLAPITLTTPGGMMAGIEYKGVKNLLETEFPPGGLEGSNFTVIAEDENRVELSFTRAWNPSYQNSPPLNIDKRYVMLRGSPGFYTYATVERLEGMPGTTITQTRDTFKLSRKLSSRGRNWGIQVNAPIAFETSNDEDNAGNKVYGVWEEDEFDPGAAPPFKLSDVREAIPKHCFVKDAWRSMSYVVRDILVVFGLAATAAYFNNWFLWPIYWFAQGTMFWALFVLGHDCGHGSFSNNSKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPVPKRIFNLMDDTGKRFRFTLPFPLLAYPFYLWIRSPGKKGSHYDPNSDLFVPSERKDVITSTVCWTAMASLLVGLCFVVGPIPMLKLYGVPYWIFVMWLDFVTYLHHHGHEGKLPWYRGKEWTYLRGGLTTLDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLIEATEAARPVLGKYYREVEKSGPIPFHLLGILIRSLKQDHYVSDTGDVVYYQADPKLSEILK >KZM80497 pep supercontig:ASM162521v1:DCARv2_S511:478090:482793:-1 gene:DCAR_032245 transcript:KZM80497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMREQSKVRDLNVEERQLLVAIKASGEIPRTAVVWALTHVVQPGDCLKLLVIIPVLSSSKKIWGFRKFGSDCTSSHWRSLSGTVPYQKDDIVDSCSDMMLQLRDVYDIDKIKLKIKVVCGSPSGVVAAEAKKAQTHWVVLDKKLKTEAKLCMEQLESNLVVMKKSQAKVLRLNLVGSPKMETDISSASDSSAEHGVSDHSLWKATRVPNVTPTSSPEHSSCKITGAGKLPISNLNLITSPFVTSDFNWDLNKERFLYTDRYQSPDDSDSDTESEDFSSPSTSSSSQQWMRDGLSSSGELSKYLVKKSQLSSGRYLNPVSEGLRGKFSELDRDHEIKVLTDRHNQDSSKNLRTTISCTRNTLAEPPPLCSVCQHKAPVFGKPPQWFTYAELEHATDGFSEANFLAEGGFGSVHRGVLPDGQVIAVKQHKPASSQGDHEFCSEVEVLSCAQHRNVVMLIGLCVEDRKRLLVYEYICNRSLDHHLYVNLDALEWSARRKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPEGDMGVETRVIGTFGYLAPEYTQTGEITEKADVYSFGVILVELITGRKAMDINRPRGQQCLTEWARPLLEKNTITELIDPRLRSGYIEQEISCMVHCASLCIRKDPHLRPRMSQVGPCH >KZM80498 pep supercontig:ASM162521v1:DCARv2_S511:510109:510339:-1 gene:DCAR_032246 transcript:KZM80498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQAYWTFPLAYNWEMYGTLIADENLRKKRKKRGQRGQSQCIRTRMDNSQSVKTCGRCGQEGHTRRSLRCPQQGQ >KZM80490 pep supercontig:ASM162521v1:DCARv2_S511:337949:343149:1 gene:DCAR_032238 transcript:KZM80490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIQEITNLFAALALNLKASENEEIDNSIHLSISKLNQSINLSYHSRTRVLDTALSLMCFTSPQVFDSMIEYSVKTVVSVLSSSINCKAIRCGEEAVLLIGCLISSHDVVELVETCGDILGKLKARGVSEELPGIICESLLYAVVKVAVSASSFRHVKELRPVLDVRPGAGRTLDLSKLLCYVPKEMTVKKGEIPLRLMFWYLDPLVLKNDVTQILQESIRRPFICLFTELCQRIEWRSTILSLAFSPLMFIETRSLLHNWFLLTGLASLLEFQIKLVSLVLDIISRPMRWGILADVSSMLPFSHAYFPHSLQFLKILTGPLTSGGLLQLVHNISNSQFRAERCSPNQAATKSSLVDHKSMWAIAMNFPDWFHISSVVLFLNKSSGDSFGSTFISGAAFAQDTEEAEPLCSDAARYISWILNPIGKSHQDVLAQNLVKMAGNFTSKQYGLAKTTNPKKLKRPKIYHGVHGKEYDCQIIQLWINDFRDMYSKHIYNTVNSSIEGKSAPCAKLGLDMLFRRISLGILIGCSDNVNEEGWEILLHYAATGIILQLTNTQDTGLRPKQKSQGMEVSVAWNENSDLKEVEKGAILVFYLTDVVENMSVPLTDSEESESDFICRVKSKATKYLLKCVNRLLNLNVHEDYALMLQDLHKRLIRWSYQGKAVFQGSKDVDDTIETISKILSSL >KZM80488 pep supercontig:ASM162521v1:DCARv2_S511:322133:327943:-1 gene:DCAR_032236 transcript:KZM80488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRGGHLIQVRRSSRIRQQSFPKRTDTTPIDLVDSDNENEMSTGPTQQSNDRVRVYPLSNNRVKITAGRPTSSGESEEEDQDQSQDEAYQTEGQTNQENQSQDEEEDESEEEQSEEQENPDQNEENECEDGDQGQVHNAQPKKKFTKFKRNKEIALENGQRKRKAGTLYPGFKYLKKDVQXQEGAKHINKEKDEVKIRISPRHLYELIYFLTPEQKIWVRRTGFGLLLDFQLEMLPAKLAYNVLQIFDHNKVSLKLKDDDIEIQEQDVCDVLGLPYGGLRITFDSDEKYLDRTISWHAQFNTHKDDEQITTQMIVQVMRNQEVNDNFKLNFILVMANVLIGTRGASYIDKQLLKINDNLDNLQKYNWSEYLISYLVVATESWNNTTTTFFRGSLIFLTLFYVDRVRPKAIKLVERQFPSYIGWTEEILKERQAIEVFHGPFGVGSIVPPLRDFIRETEAQESKKNDKNNDDWDNDDTWRQIDELVEKYSTKNKSPSTNIPPEPSTDAAYNSTHTPNDAAYHTPPRQAEPSTHACIDAAHHTTPREAEPSGHAAHNTAPTEEAEPSQAAEPSQEDIVEILRRKAQDLLAAKFEFDDELKKSKEKFPDNEDIKSIEEMINENLNIRKDDDFVLQNYFNVEMTKKTLAHVDLIGAFKCL >KZM80496 pep supercontig:ASM162521v1:DCARv2_S511:474885:476614:-1 gene:DCAR_032244 transcript:KZM80496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNNIITAVNFIALMCSIPIITAGIWLSSKQDNQCIHWLRFPVIFIGILFLLVALSGFVGAYWNKPGLLSFFLFSMAFLIVFLLVLLILAFTVARPSGQFSVPGRRYKEYRLTGFSKWLRDHVTDDENWHGIRACLANSKVCPKLSQEYDSAYQFFNAHLTPIESGCCKPPTMCGYQYVNPTMWINPVNSVADADCSIWNNDPSQLCYSCDSCKAGLLGNLRKEWRKANVILIVAVVVLICVYLIACSAFKNAQITNLSQHK >KZM80481 pep supercontig:ASM162521v1:DCARv2_S511:239417:240676:1 gene:DCAR_032229 transcript:KZM80481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNFGAKKLYLARAKGKFDKATSPNRYMGRMGGYSEKPKSNPSYYKSPPVITDFKEHTLKTPPTENDHSHLKEPIHHPFIIEETNEITQQDTNFELGYEINIPPSEEMIKVAQTSVFLRTAKNETVQSVTMIAEGLGARNLQIRGITGTTFIAYFTNKEDLECLDRDFLEIGFMEVRDVTVEELLPARKTWVEVRGLPLMGWTEINFKTILEDLESILQYIKIYDEEGFYQHPKFFMETSRMEEIKVHKNIILKGKKWRVRILEVQGDNVQVNDILSPTSSDFAPSQNPPNRKQFNNSPITPITIDKDQPETVLDVNTSDKQAVNKQAHVAANHDNGSNHNNGGIVAEPGRNVIVLEDSEQSREDDNWEKQNRRMRNLRHNQLNIKVILHWYKNIIVTAYIVLRITITNSHRRLLHAK >KZM80486 pep supercontig:ASM162521v1:DCARv2_S511:287823:291306:-1 gene:DCAR_032234 transcript:KZM80486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPQPVKVNYCGVCGLPAEYCEFGPDFEKCKPWLIRNAPDVYPDLIKDAKDADDVTEQLQGTSVSDKASGPGSSKPEEVKRLPGGKIKKKEKPEVIIEKVTRNRRKSITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISFDVVDFITETWPDVPENAIYFIEDGKKVAAA >KZM80485 pep supercontig:ASM162521v1:DCARv2_S511:268215:270982:-1 gene:DCAR_032233 transcript:KZM80485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVKPWRTNHSTSLPYELFTLDISTRLRSDPNSIKLSSSDFGNMVKNIPCAVLFPTHVTDIIDLVKFSYNSSRPFTIAARGNGHSVRGQAMADEGVVVDMRSLERFGGGNGIRVSWDSGLGFYADVGGEELWIDVLKATVEHGLAPVSWTDYLYLSVGGTLSNGGVSGQTFLHGPQISNVHELDVVTGKGEFLTCSRHLNPELFYAVLGGLGQFGIITRARIVLDKAPKRVKWIRMLYQDFSSFTRDQEHLISNNVLDYVEGSLIMHQSSSNNWRSSFFSNTDQSEIASLLSKHGILYCLEVVKYYDDANINTVEQELQLLLKHLKFNPGFVFKKDASFIDFLNRVRAGELKLQSLGQWDVPHPWLNLFIPKSRIMDFHAGVFINIIQAQNKSTGPILVYPTSRKKWDDRMSAVTPEEDTFYCVGLLHSGGVDDWEALDAQNKEILEFCEKSEIVLKQYLPHYNTRKEWMNHFGEKWSSFQDHKYKYDPKMILAPGQRIFH >KZM80493 pep supercontig:ASM162521v1:DCARv2_S511:426580:427783:1 gene:DCAR_032241 transcript:KZM80493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTYQNYSAHYSPNLQERVLFGSPDRSPVLSTRDFFSSITDHGQDYCGSFYQDKVNDDFGSNGGSCEHVENERSNNGLKFSIWKKEDDHEKSNSTTKFQVKWISSEMRLMQKMKNTDPTNDENLPRISTTTTTSLKLEDQKQPCSDPMETDNTSNMTTSSNNMISNMNHPIRVCSDCNTTKTPLWRSGPQGPKSLCNACGIRQRKARRAMAAAAAANGTSTRAETKPPVLIKKSKTLQKDKTKKSSILKSASSSVVSKFKKQGKMAATSSHQDKNKLCLEDFLLSLSKNLAFYNVFPQDEKEAAILLMALSFGHAHG >KZM80502 pep supercontig:ASM162521v1:DCARv2_S511:549796:550038:1 gene:DCAR_032250 transcript:KZM80502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNIGAKSFSIKAPLLRIKIKYKKKHLSCSLLSRPSPESPPSAPLFSFTHLSRTYYSSSSLISLAPTALLSPISCPFLKP >KZM80484 pep supercontig:ASM162521v1:DCARv2_S511:255132:255788:1 gene:DCAR_032232 transcript:KZM80484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLKINSNVATSVIDIWTFILNDGEKYRSDESPLRLFCTIGSVLPSLVDHLKLATTYPIFAINMTEMLTRINRQAIETMHMH >KZM80489 pep supercontig:ASM162521v1:DCARv2_S511:330184:330720:1 gene:DCAR_032237 transcript:KZM80489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTTGIERGISSPEGAGEQDTFVRRRPKTLAKQEEDNGDLMAWLNMDVYTDIELSKLLETEFVAPPPFKVKFSCTPFSSPEIFQASASYIIINSNSGESCGSSFSDADSSVMASIDLGCLCFNMRRSGVVEHGGACDKVARENVSEGDGQMKGGECGFDFDDDMLASFLGEDFVGSG >KZM80492 pep supercontig:ASM162521v1:DCARv2_S511:414766:414942:1 gene:DCAR_032240 transcript:KZM80492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQQKSQQLPDGGYQSLSSFQKSRVDTTYFIEDPALSRAQGLHAYLIEGPALSQAQG >KZM80219 pep supercontig:ASM162521v1:DCARv2_S5145:630:1014:-1 gene:DCAR_032251 transcript:KZM80219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSELKERHTAATQTVNSLRQQLNQKRLLLLDTDVAGYARAQNKVPVTFTPTDLVCCRTLQGHSGKFRL >KZM80220 pep supercontig:ASM162521v1:DCARv2_S5145:1682:5378:-1 gene:DCAR_032252 transcript:KZM80220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASPSSKPNNNGQLESEQEDESSSVKFGNREAVEEVRKLTDVGAMTRLLHECIAYQRALDLDLDNILSHRSDLDKHLSLLHKSSDVLDFVKSDSHLMLSNVRSTCVLADQVSGKVRELDLAQSRVNHTLLRIDAIVERANCLDGVRKALVSEDFESAAQFVQTFLQIDDKYKDPGGSDQRDQLLAAKKQLQTIIRKRLSAAIDQRDHPVILRFIKLFSPLGLEHEGLQDYVSYLKKVISMRSRLEFEQLLQLMEQQISQTPPPVVNFVACLTNLFKDIVLAIEENDEILRSLCGEDGIVYAIIELQEECDSRGSLILKKYMEYRKLARLTSQINSYKSDLLPVGGVEGPDPREIEMFLEEILTLTQLGEDYTEYMISKIRGLSSVDPELGPRATKTFRSGNFSKVAQDITGYYVILEGFFMVENVRKAIKIDEHVLDSLTTSMVDDVFYVLQSCCRRSISTSNMNSVIPVLSNAVSLLGNEYKEALQQKIGEPYLGGKLFLGGVGVHKIGTEVATSLNNLDVSSEYALKLRHEIEEQCLEVFSAPADRERVKSCLSELGEMSNSFKKALNDKMEQLVASVTPRIRPVLDSVGTISYELSEAEYADNEVNDPWVQSLLHDVETNIAWLQPLMTANNYDLFVHLVIDFIVKRLEVIMMQKRFSQLGGLQLDRDARALVSHFNGMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLSLRIDFRPEAIAALKL >KZM80218 pep supercontig:ASM162521v1:DCARv2_S5219:4996:5172:1 gene:DCAR_032254 transcript:KZM80218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNNRKNIIRQRKKHTRPTRLAQHGKNNRSPTQPYIKKYINPHYHQTMPFKVQTSSL >KZM80217 pep supercontig:ASM162521v1:DCARv2_S5219:2654:4496:1 gene:DCAR_032253 transcript:KZM80217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRNWLDLPDDVTTNILHRLSVVEILENVQKVCTAWRKICKDPAMWRVIDMENLQGLANPRALEKMCMNVIDRSQGQLVDLSIEHFPTDDLIEFLAQGERSSQLRRLQISYGYGSLHKSWNDLFRKAPMLEELALTFTTISEETVAEISRCCPMLKSFTYNNHGWKHSIGMDAADDFVIAVAKGMPQLLHLQLTGNEMSNKGLQAILDGCPNLQSLDLRGCSSIKLYDSCGKLCKERIKNLRLPRDSMIGHKVAPYDSEDEYEDYLWDGYVGLYDDLLDDVGGGFDDDDGGFGPFGGFADGVADYYHLL >KZM80216 pep supercontig:ASM162521v1:DCARv2_S5238:5724:6326:1 gene:DCAR_032256 transcript:KZM80216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEELRKQVIDLQGQIRKWKGQKASWDEMKKELERERDDVRKELHKAASEKRDLEVKLEKTVKKAEDEKVYAKRAIEEAALKAFSEKRHLEEKLEMAVKQAEDVKAAAKKAIYEAVASTKKCYKSGLSNFVAYLATGEGRSLGDYVNELIEEIPHDNRAPVDAAVDMAGLKGDRAIKDEPRDYHLAGFAQNVALQGNYS >KZM80215 pep supercontig:ASM162521v1:DCARv2_S5238:20:622:1 gene:DCAR_032255 transcript:KZM80215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEELRKQVIDLQGQIRKWKGQKASWDEMKKELERERDDVRKELHKAASEKRDPEVKLEKTVKKAEDEKVYAKRAIEEAALKAFSEKRHLEEKLEMAVKQAEDVKAAAKKAIYEAVASTKKCYKIGLSNFVAYLATGEGRSLGDYVNELIEEIPHDNRAPVDAAVDMAGLKGDRAIKDEPRDYHLAGFAQNVALQGNYS >KZM80214 pep supercontig:ASM162521v1:DCARv2_S5250:335:706:1 gene:DCAR_032257 transcript:KZM80214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLFRKIFQFKNYLTGFTGWVLISHRPDQPHIFNNSSIPDNNPRWKKEFFKLHWEGGDWGTLFRSKFCKVVMGVSTPSTYRMSRRQPMLNWSKTMANPNAGISLMSSILESLVFQGLVMKV >KZM80213 pep supercontig:ASM162521v1:DCARv2_S5285:584:778:-1 gene:DCAR_032258 transcript:KZM80213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAERPENGGLVNESAVVVVLYVGGGTGVEAVVVKTGENRGRGFEWYGNGDDSRVRCRRLSVGA >KZM80472 pep supercontig:ASM162521v1:DCARv2_S535:177004:181295:1 gene:DCAR_032259 transcript:KZM80472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKAFRPTNDKIVKIQLHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDTESRGKPTEAIRGGSVKQVSFYDDDVHFWQLSRNRSAAAEAPTAVTNVTSAFSSPAPSTKGRHFIVICCESKAIFLDLVTMRGRDVPKQDLDNKSLLWQVFSIFTLSSVCCIMEFLSRSVVGDGPLVAFGGSDGVIRVLSMITWKLARRYTGGHKKSISCLMTFMASTGEALLVSGGSDGLLVLWNADYGQDSRELVPKLSLKYTAKYGQ >KZM80473 pep supercontig:ASM162521v1:DCARv2_S535:190069:193507:1 gene:DCAR_032260 transcript:KZM80473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKQSKVGSESSSVPKDMDSRKVNEVAVGGGGVAVAVTRFPGEQKRPVGPLVVVGVRDGVLWLIDRYMSTHAISLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDIGNETVGLNLNDIMSLTVKKEDIVEAVDGVVKFGKEFLDLIDAADATAQGEIAREALKRLAAAGSVKGALRGHELRGLALRLANHGELTRLGGLVNNLIAVGAGREAAFAAALLGDNALMEKAWQDTGMLAEAVLHAHAHGRPSLRSLVQAWNKVLQKEMEHGPSTKTDAAAAFLASLEEPKLTSLADAAKKLPIEILPPGMASLYAPNPGQKKSTGAIQGSLQAANKPLLLEGSNSTPATAPQAAESGTSPAAEESGAPTSESGAPPTSESDAPPSSESGATPASESGVSPEPESGVPPEPESGAPTTPESGAPTTSETGVSESVQVPTPETDAHSTSESGSNPPPESTNGATGPTSESSAAVALDTSERCSDNPSYASPVSMSSIVPAETPQTSSNPVPTEAPNQLPEVSKPSVRPELPMNFFT >KZM80474 pep supercontig:ASM162521v1:DCARv2_S535:198572:199074:1 gene:DCAR_032261 transcript:KZM80474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTSIALESLIEPRFRDSYKDSAKKTVKSMKDDDDKEVREEEPTPIVYSSSESVSPSPYVANRKGRGGLRRRSEVVVRKEEERVVEEDEKVKKEKEMREVAEESEEFVDTRCDTLSVGSSSDVKELGSVLGWNPVEFYDADDGEL >KZM80476 pep supercontig:ASM162521v1:DCARv2_S535:224282:225266:-1 gene:DCAR_032263 transcript:KZM80476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFKQTARKTTSGSGIAYRLMMEQMLFILIPGDFGIVDLDFYGSWGAGRDGAQGSGGSSWSRTSRSSGNDWLINDRRSSRSPSYGDSDRSFGGACFTCGQFGHRASECPKKLGYRYFITIISVSYIVIRLKMIKRSKKLIKLMLDNIRDCDAASLFSASVLRPPNIQQSNYIAYGFNVILLC >KZM80475 pep supercontig:ASM162521v1:DCARv2_S535:200679:202442:1 gene:DCAR_032262 transcript:KZM80475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATRLSLLDEIGKRKAEDVFRLMYSQWQRVADLLLAQSGLRFPAPSNCMQFEIGPVEQFCQELVVTRFVSEALGQGLARAETEIAAEEIFKAKDQEISRLRDRLQYCEAVNHEMSQRNQEVIEVARKQRKKKKTLQKWLWCGLGLSITIGASVVAYSCLQQTSKYRAFADFK >KZM80716 pep supercontig:ASM162521v1:DCARv2_S54.1:48404:48797:-1 gene:DCAR_032264 transcript:KZM80716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYQEKATTQALVCRDTRAEEELVVVSFRGTEVFDADSWPSDIDLSWLYELRCGMGKVHGGFLKALGLQKSLGFPEEIEQVDDRPRDYKGFIHPVNQESET >KZM80212 pep supercontig:ASM162521v1:DCARv2_S5503:1618:1785:-1 gene:DCAR_032265 transcript:KZM80212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVYRVIVINQQKVIVSLDRTLNDTLLQAVKGDIIGIIMIQIQAESLCKDKDY >KZM80462 pep supercontig:ASM162521v1:DCARv2_S551.1:40356:41525:-1 gene:DCAR_032267 transcript:KZM80462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYSLKHCIQSPPQWHKLSSCWKNTPRHNEVLRLGNIARALFRRAPKDIPVNGYTIPEGWIILIAISALHLDNDQFNNALEFNPGRWKDIQTSVVAKSFMPFGVGIKQCAGVEYSRVVLATFLHVLITKYK >KZM80466 pep supercontig:ASM162521v1:DCARv2_S551.1:89983:90450:1 gene:DCAR_032271 transcript:KZM80466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDIEVLSKQDIKPSVPTPQHLKKYSISFLDHIAPRVFIPLIFYYTAIDKDKNNLKFDHLKKSLSEALTKFYPLASRFIDNAHVYCNDDGAHYVEARVDCNLKDIIRDPIPNELIKLLPLEVDDAAVDVNLAVQVNFFECGGIAIRRCDLAQAS >KZM80463 pep supercontig:ASM162521v1:DCARv2_S551.1:55612:55875:1 gene:DCAR_032268 transcript:KZM80463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGLKVGNAEDDMENMDLLWEDFNDKTICRSCGVLAEPDMASRRMTGLGCSGAFKMVKLKAEGGKKTSAGVLIKLVKKLLLHNNQT >KZM80465 pep supercontig:ASM162521v1:DCARv2_S551.1:78656:80083:1 gene:DCAR_032270 transcript:KZM80465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPPGSCGWPVIGESIKFVLSGPQRFISERRRKYSNDVFQTSLFGQRMAVFCGAQGNKFVFTKLLTSWWPVSVRKVLVFPEFADASVKEVSAVMHGFVREILKPEALKQYIPVMDAMARDHVESEWDGNEVVKVLPLTKKYTFDLAFNTFMSVVDDEHLARLFKQFTLMTTGLFSVPIDLPGMAFNKGIKGGEVVRGELLKIISKRRKEMMESKEGSQLSTDFLARLLLVQDENGKYMSEKEISNNIIGLLVAGYETTSTAVTFALKYLAELPHIYDEVHKELMEIAQSKGEGELLTWEDIQKMRYTWNVVCESLRLTPPAHGGFREAVNDVSFAGFTIPKGWKASWTVHSTHKDPECFPDPEKFDPSRFEGKGPAPYTFVPFGGGPRMCPGKEYARLEILVFIYNIVTRYKLEKSNPREKMIFHFAPVPNEGLPLRIIPHTI >KZM80467 pep supercontig:ASM162521v1:DCARv2_S551.1:93367:94988:1 gene:DCAR_032272 transcript:KZM80467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLVMSFYLYILLLIVPLLVLLVILKPKSGYSGKKLPPGSTGWPVVGETMRFVLSGPQRFIRERRRKYSNDVFRTSLFGQKMAVFCGAQGNKFVYTKLFTPWWPESVSRVMFFPEYTDTPVNEVSAVMHSFVHEILKPDALKQYVRVMDAMAREHIESEWDGKGVVKVHPLAKKYTFDLAFRLFINVVDVEHVTRLFNHFRLITTGLFSVPINLPGTAFSKGVKGGKMIREELLKIISNRRKEMMERRENTPSSTDFLSRLLLVKDENGKYLSDKEISNNIIGLLVASYETTSTAVTFMLKYLAELPHIYDEVYKELMEISQSKKEGELLMWDDIQKMRYTWNVVCESLRLTPPGHGGFREAVSDVTFAGFTIPKGWKASWTVHTTHKDPECFPDPEKFDPSRFEGKGPAPYTFVPFGGGPRMCPGKEYARLEILVFIYNIVTRYKLERINPKEKIIFHVIPVPTEGLPLRIIPHSI >KZM80464 pep supercontig:ASM162521v1:DCARv2_S551.1:57144:58804:1 gene:DCAR_032269 transcript:KZM80464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPPGSCGWPVVGESFRYVSLGTQHFISEKRKKYSTDVFKTSIFGQKMAVFCGAQGNKFVFTKLITSWWPVTVKKILFSPEFSHTPLDETSAAMHRFVHEILKPEALKNYVPVMDAMAREHVESDWDGNEVVKVHPLSKKYTFELAYRLFVKEIDVEQVTRIFKHFALVTSGMFSVPINLPGTAYSRGVKGGKLIREELVKIIKKWRQEMMEKNETYDNDINNANKLTSPKRLK >KZM80468 pep supercontig:ASM162521v1:DCARv2_S551.1:159587:160888:1 gene:DCAR_032273 transcript:KZM80468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDIEVVSKQNIRPSVPTPEHLKKYTLSFLDQLAPGVFIPLLFYYPADDQAENRLKSDHLKKSLSEALSKFYPLAGRFVSDNGHVDCNDEGAQYVEAQVNCKLNDVIRDPIPNELAKLLPLELDDATVDLNFAVQVNFFKCGGLAVGAVISHKVADALSFVNFMTSWAAIARGEQDVPSPIFGLAKLFPPLDMSGFEDRTGVLKENIISKRFLFDASKILALKNKCAEDNKNKSPEDRTQPTRFEALSAFIWNRYMAATGKNPGAPENTNIMFLPVNLRTRFDPPLPKHYFGNLYRMAILTPSMQNGEKENYGDIISQAREAIKSVDTSYVEKLKKGEDHLMCMKQMAQKFDKGEMLTMSFTSLSRFGVYEADFGWGKPVWVSSARLTFSNVVTFFDNKEGDGIEAWIILKEDEMAKFEADQELVSFVSSTV >KZM80469 pep supercontig:ASM162521v1:DCARv2_S551.1:161378:163193:-1 gene:DCAR_032274 transcript:KZM80469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEVESCVPPGFRFHPTEEELVGYYLRRKINSLKIDLDVIVEIDLYRIEPWDIQGKCKLGYEEQNEWYFFSHKDRKYPTGRRTNRATTEGFWKATGRDKAVLSKESIIGMRKTLVFYKGRAPTGIKTDWIMHEYRLQSSEHGPPQASSSTFFECVHRSRREEGWVVCRAFKKPTPNQRHQENDQWNNAHYFRNYDMLNPMHAALLNQSTNYHLVPFDNNLDRKEISNNTHHFNHQLTDLPQLDSPSTVSTGLDPDQDKIGNYVNNYNEMINVDNFYMPKVIEAPDALFSFPNMPLIPCDEELDSQNHMNEFLGCYPDL >KZM80470 pep supercontig:ASM162521v1:DCARv2_S551.1:174751:175095:1 gene:DCAR_032275 transcript:KZM80470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVMISLTIYIMARKRKATDKDTDKGKGKSVGNSTNKKKAKGKGKGKGKGKTGLRDEPTDSETESEHNTREAEAEAEEEPVRRVVRMPRSHSCGIFGAKIPTRPRRINISDGQ >KZM80471 pep supercontig:ASM162521v1:DCARv2_S551.1:184271:188298:-1 gene:DCAR_032276 transcript:KZM80471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVGVETKIVGLVEVGALMMWDTLMALRNILAIARVLWPDGCVGVKDIDRKSPRFWDLCIEEFLRYYTWDPRFATQEEARTSVRNHMRNNLRRTLSDDKRRADKTIADHGGTYQDYRPKYVKPGVWSRLCEYWCSDAFKKKSIAGKAARKKVKAPHTSGARSFDRRRRDYMDKYGGKLDYVVVYKDCHTLKDKNRKGQWISEEAKEIINRYIKICEAEGIDSKDTNIQTWIEAVGGARKNTIPGLPRVRAYDVLGPDSKSSRPRKGEGSSGRSSMARIQDDLFMRVVDETLTRARAHPEEYTLTPEEIQVLASNVIEGYSDLPHDHPVMIEIRTSIIRVAIEVLNNLYKTHGPGKAKGKGIVEEDGGNTDTGCRVGGGTLVLTLKMVNSAHHLILDLKVVL >KZM80461 pep supercontig:ASM162521v1:DCARv2_S551.1:9534:12736:-1 gene:DCAR_032266 transcript:KZM80461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFYGPEGNKMLFTKLVRSWWPLFVRKPLYFPDFDEGASMDEITAVFLSSINNILSPEALKEYILLMDHLAREHVESEWAGKEVLDVLRMSRKYTFGLSCRFFKNVVDDELVRKLAKYFDPVADGIYCVPFDLPDTAYNRAIKAGKLLREELMKLVTERKNAMLMENPSNNKSFTVKKTFDTSHPRPL >KZM80460 pep supercontig:ASM162521v1:DCARv2_S553.1:32008:32930:1 gene:DCAR_032277 transcript:KZM80460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQLDNASVPGENCSTRKRGRPAVVVTEEVIQRRRLSRQRVNAARPKREGPSKRRGRPKGAVNRGLSNTRVNPCQGNGQTTQETGYA >KZM80211 pep supercontig:ASM162521v1:DCARv2_S5534:1716:1979:1 gene:DCAR_032278 transcript:KZM80211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNNNGEYVEMKKKMESLEEKLKEKDEDFEGLQDSYQALLVKERNNNDQLQDARKKLINVSCLLVVLHFNLDFIVNESEFFHVLLE >KZM80210 pep supercontig:ASM162521v1:DCARv2_S5582:13307:13501:-1 gene:DCAR_032279 transcript:KZM80210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAERPENGGLVNESAVVVVLYVGGGTGVEAVVVKTGENRGRGFEWYGNGDDSRVRCRRLSVGA >KZM80458 pep supercontig:ASM162521v1:DCARv2_S564:23677:25365:1 gene:DCAR_032280 transcript:KZM80458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESRSRGKVGSSNEQGSMKKNACMSVWSEEDDVSLMQGMIDYEEQKQASPYDDLGKFLDFVKPRLHFDVQRKQLTDKLWKLRNKFVNSSYNLSKAHHAKLFELAKLIQSWGHGENVGLDCGKGENVGSGDDGNGGKEKKSKVGRNKQRGELVVDGGLNGEKGNVGVDGDVCVNKVKKKKFVNEDGQIVKENEGVSGVVKSGKKSKVGRKKQNEELVADDGQIGEKDNVGMDDDGHVNMGMEVVKQKRKFANEDGQIVKDNEGISGVVKSGKKSKVGRKKQNEELVANDGQIGEKDNVGMDDDVHVNMGMEVVKQKKKFVNEDGQIVEENEGISGVVKSGKKSKVGRKKQNEELVADDGQIGEKDNMGINDVVHVNMGMEVVKQKKKFVNEDGQIVKETEGSPGVVKSGKKSKVDRKKQKEELVANDGQIGEKDNVGMDDDVHVNTGMKVFKQKRKFVNGDGQEIDGISGVVKGRKSSKDLEELTCMYPQLIKGLKLETLSRMPQNLKDNCVVDTINFMGKEKANELEEKWTDLRVMELGLQAKKLDLAKTHINLIFEAARR >KZM80459 pep supercontig:ASM162521v1:DCARv2_S564:28136:33801:-1 gene:DCAR_032281 transcript:KZM80459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKAESDENRDLGYQQSPASVDQSDDSPTETAVYSTIRADSFWYRRTYSEISAFSEFTDDNSYSDSPSPLCWPALKSPKQPVLRRLGMQQNKLGMDDTLENQEPVDKELIMMKERFSKLLLGEDMSGSGKGVCTTVTLSNAITNLYASVYGQHLKLEPLHSEKELMWKREMNCLLSVCDYIVEFIPASQNLKDETVVEIMTNTPRSDININLPALRKLDAMLIEVLESFTNTEFWYAEQGSISGNSVRSGSFRRIIPQPQRKEGKCFKMESKAESDENRDLGYQQSPASVDQSDDSPTETAVYSTIRADSFWYRRTYSEISAFSEFTDDNSYSDSPSPLCWPALKSPKQPVLRRLGMQQNKLGMDDTLENQEPVDKELIMMKERFSKLLLGEDMSGSGKGVCTTVTLSNAITNLYASVYGQHLKLEPLHSEKELMWKREMNCLLSVCDYIVEFIPASQNLKDETVVEIMTNTPRSDININLPALRKLDAMLIEVLESFTNTEFWYAEQGSISGNSVRSGSFRRIIPQPQRKEGKWWLPVPCVVCPLILKAEMAINSSILAEMDIPNTYMASLPKSGKESVGDTIYRYLSQCPTNKFSPDYLLNYLNISSEHEALELADRVEASMYTWRRKACLNPSKSSWNMVKDLISDIDRNDKNTVLAERAEIVLFILKQRYPELSQTTLDTTKIEYNKDVGQAILEGYSRVLEGLAFNIIARVEDVLFIDKSTKKDFMEPR >KZM80209 pep supercontig:ASM162521v1:DCARv2_S5649:4519:7057:-1 gene:DCAR_032282 transcript:KZM80209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRFITHQSFFDAVRSGDLKSVEDWLENDGSDSDFPSVSNSVMELRNDKGESCLYVAAESNQEQVFSYLLKYCDVDVVKIKSSKTGLDAFHVAAKHGHLGIVKQLLGMWPELCQSCDSTNTSPLYSAAEKNHIKVVNAILDADPSSIRILRKNGKTALHNAVRYGRICVVKALLDRDPGIVPIKDKKGQTALHMAVKGTDTSVIEELLLADHSILNERDKKGNTAVHIATRKCRPQIVSLLLRFTSLNVNAINNHQETAMDLAEKLPYGGPAFEIREALTEAGAKYARQVGQLDEAMELKRTVSDIKHDVHSQLVQNEKTQRRVSGIAKELKKIHQEAVQNTINSVTVVAVLFASIAFLAIFNLPGQYVMADPETGKANIAKNAAFQAFCLLNATSLFISLSVVVVQITLIPWDTRAQRQIVSVVNKLMWAACMSTCGAFLSIAFVVVGKGSSWMAITITALGVPILLGTLVSLCYFVFRQHFGTFGYDSQRRIRRASGSKSFSWSAYSANISDEDDESDHNKIYAL >KZM80457 pep supercontig:ASM162521v1:DCARv2_S569:51026:51208:-1 gene:DCAR_032284 transcript:KZM80457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTIEDTLRVGAMDFKGNWDKVEECKPQGPELLRTITSTMNFTRLLRTNVNRLSRMLKG >KZM80208 pep supercontig:ASM162521v1:DCARv2_S5714:38948:39142:1 gene:DCAR_032285 transcript:KZM80208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTQFLRNKGFSEEMLLKDLAKEGLGKEPMERDEFGLPSFSAKKVDSVPALQVLDSSPNPLCG >KZM80207 pep supercontig:ASM162521v1:DCARv2_S5731:1205:1366:1 gene:DCAR_032286 transcript:KZM80207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTGRGRHSVLRIFKGRQGRTGHRATCGALPAAGPYLRLSRFQGGQAVKQKR >KZM80206 pep supercontig:ASM162521v1:DCARv2_S5738:1705:3225:1 gene:DCAR_032287 transcript:KZM80206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTISTIKDNPEEKIQDFAPAMAQKWPGNFMKIAVSEMKKQRGLAIPLIAMNLVWFLKLAITTAFLGRLGELQLAGGALGFTFANVTGFSVLNGLSNAMEPICGQAYGAKNFRLLQRDIALTARRYLVYLVPDLVITSFLCPLKAYLSSQNRTVPVMLSSAVAVALHVPINILLMKAKGLEGVAMAVWISDLIVVVLLTVYILRLEIKNGGRWEGGGWFEQGSDDWIRLLKLCGPCCLTTCLEWWCYELLVLLAGWLPNARQAVSVLAIVLNFDYLIYSVMLSLATSASIRVSNELGANQPGLAYQSACVSIAVSSITGCLGAAVMVASRGIWGPLFSNNKAIVSSVKKMIMLMALIEVVNFPLAVSGGIARGTARPWMGMYANLGGFYLLALPLSWALAFKFHLGLGGLLAGFFVGMVGCLIILLVFVARIDWVEEAGNAQLRASHLEDDQEPVSKDGKQSLQTPIDAVI >KZM80205 pep supercontig:ASM162521v1:DCARv2_S5768:148:795:-1 gene:DCAR_032289 transcript:KZM80205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGAGKSMLFMLPAWAEQGGTTVVVVPLIALRGDMTRRCRKLGISCAEWESRRPPDAAAIVLVTPESAVGEEFATFVNRLRATRQLDRIVIDECHIVLNRRYDFRKEMQRLGKLAAAETQMVMLTATLPPSEEDELFRRMYVEREQVELFRAATARTNVAYRVIRVGKEAKRKEVEEMVTNMVRQKLRKHKKGKVVVYSNSVAKVKELAQQLGC >KZM80456 pep supercontig:ASM162521v1:DCARv2_S578:34231:35553:1 gene:DCAR_032290 transcript:KZM80456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFQDPESAKRACADPSPIIDGRRANWNLSALGRPPPAQPVDGNSIYASKSMVEAWQSKFTEKLTGFNAFWYSHHLFVIVYALLIVHRIKLYLTHEWYNKTDDEYLASLQADREKELKAREEAEIM >KZM80204 pep supercontig:ASM162521v1:DCARv2_S5837:2295:3548:1 gene:DCAR_032293 transcript:KZM80204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTSSQNHNSKLHMHKHITSAPNNQVSRHTHTPNAKPTVNAPKSVLVDPSNLSKLDNMLLNVQPGMMVYVPQNGVVHNMFLSAKWENMIFYRGQNYFVHLIGEFYGNMVVQKGIDDVLKISTVVHNKNMLVDINTLNRCLKLGDQVPFQPCINIYEKFVFDKKEFELLVSYFCDADVPVNLCDKNCAIEFHHFTPLYQQLAIIIRSNLLPKPKNTQFFDYVDLKVMFQLVTNQIEFNINYVILINMIMAFEVEYMPYGLLLTSLFELYHIAMPRVLAERIEYCNISTLVKHQVSLNDCKPLDVTPVCITPDVMIIGSKQGTNKAKDELDKIKEEVNNLKEINLFIMARLDQLENKSKEDSTVGKEEGIDDKIDRLFNEEMVTEMAGNGNSEKVELPSLNDLANDLGFVAVEEPEKA >KZM80203 pep supercontig:ASM162521v1:DCARv2_S5838:2780:3115:1 gene:DCAR_032294 transcript:KZM80203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRDSDGCPIDHDGARLEDGVFSSGAPFAVRGDVLHEILSGDLMGVQLITMVLGLKMGFSARVVHLLSGEMFFTKSSRELVKMFMLCILRLTHLVVFILTSMLVARVVSL >KZM80202 pep supercontig:ASM162521v1:DCARv2_S5874:2213:2401:1 gene:DCAR_032295 transcript:KZM80202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSHSGITGFDNDPSAGSPTETLLRLLLPLNDKVQWTSFDVASSKPPTSPQSEHFTGPFNR >KZM80201 pep supercontig:ASM162521v1:DCARv2_S5915:5505:5687:-1 gene:DCAR_032296 transcript:KZM80201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRSTSGVNDAGGLKAMDDAGSGAFAGGSDEIPAYGGKHDLRLSHMLALIVFIWHSY >KZM80200 pep supercontig:ASM162521v1:DCARv2_S5920:77:250:1 gene:DCAR_032297 transcript:KZM80200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRLFEEVEYALSHNTPKKDFSDHHVPCFTSNNKSKMIKGRTILITIYLKRSGAKE >KZM80453 pep supercontig:ASM162521v1:DCARv2_S594:41678:43546:-1 gene:DCAR_032299 transcript:KZM80453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPSFHFRSNRAPFVRKKGGPHDHTIAGLAVYLKYAHTPDLKACQKQVF >KZM80452 pep supercontig:ASM162521v1:DCARv2_S594:33767:34105:-1 gene:DCAR_032298 transcript:KZM80452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGFPATAIHGDRPQRSETQAVVSLLAFLHWLETRTLLGYAEAEQKLGCMFSLHYPYVSH >KZM80454 pep supercontig:ASM162521v1:DCARv2_S594:101708:104616:-1 gene:DCAR_032300 transcript:KZM80454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGHVDAGKTKLLDCIRGTNVQENEAGGITQQIGATYFLAEKLKDRTRELKPDAKLKVPGLLIIDTLGHESFINLHSRGSGLCDIAILVVDVMDGVKPQTVESLTLLKMWKTSFIVASNKAKNQQCKDEIEFNWRLTEIIGNFKEQGLNSDLYYKIKNNDKGEAISIVPRSAMRLLEVKVNDDDKTTIDVVLVNGMLHEDRDCELELEKPLDFKEAEIVKPGDEVKELGDAANLENDTVFRPIIGVCKKKTAKQEGNSCKEKDIVIVEDNALTVHETMLKVDLTTYVEKHEFCFDPVLEQVVDEVID >KZM80455 pep supercontig:ASM162521v1:DCARv2_S594:107404:107724:-1 gene:DCAR_032301 transcript:KZM80455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITIHYHNNTVTIDGNTYGIFDNPGELWQEMSYDYRIPIMFMQVDQHLEEERLEAEKVAAIRRQEWLIRFARMMAMKLQQQKDGEDKTGKGKDEPSNDQAGPSGI >KZM80710 pep supercontig:ASM162521v1:DCARv2_S60.1:111355:111621:-1 gene:DCAR_032306 transcript:KZM80710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDLIPPSSYSKDMMKKKRSKKTDRLKQSKLDARKKQWVSQDIFFISKNVMVYDF >KZM80707 pep supercontig:ASM162521v1:DCARv2_S60.1:42209:43568:1 gene:DCAR_032303 transcript:KZM80707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTKELLHVLAVDDSLVDRKVIERLLKISSCKVTAVESGSSALQYLGLDGEKSSSVEFDRVNLIITDYSMPGMSGYQLLKKLKTSSALRKIPVVIMSSENIMSRIDRCLEQGAEDFLVKPVKLSDVERLKDYMLKGQGEDDKVKAAYKRKLQEDDPSSQSLSKRPPLVN >KZM80715 pep supercontig:ASM162521v1:DCARv2_S60.1:452366:452692:1 gene:DCAR_032311 transcript:KZM80715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQTEARINQITEENKLLEETIKNLEEENAKLQHKIKLMEIQQNHNESVIDVLKKHIEERRAFNHFIMDDSNFEPSKTAERERIREAFAAEAERRKAAKTSQAERKE >KZM80708 pep supercontig:ASM162521v1:DCARv2_S60.1:100075:102259:1 gene:DCAR_032304 transcript:KZM80708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADKVSSSPLSHVEIIAATTACTLLVAGIIFYVVFRRAIAREREKNKSASSFRREVDVEHMNCIQGVRTLEKIVVDANGKEVVYMQKVEGGQLRCFSTIWFNPLDEAEKRENKLQISEPLQDKHMELPGSGLSTLQQNSQPERETPPLLTPPPPPPPPPLMKAISRAPPPPPPPRILAKRDPSEPPPRQSPGALVSPLKPPTAPRFQVHNKGRAEALTQGSSTTKSGIHKKMKPLHWEKVNADVDHSLVWKEINDGSLRFDDEVIEALFGYHAANNNLLEPKNLLSSSGSSISTPPAQIFILDPRKSQNTAIVLKSLAISSKEIIDALLEGCGLSADTLEKLTKISPTPEDQAKILKFNGNSTQLAYAESFLYQILTNVPTAFIRFNAMLFRSNYDPDILHLKDSLQTLEYGCKELRAGGVFYKLLEAILKAGNRMNAGTERGNAQGFNLNALRKLSYLKSSDGVTTLLHFVVEQVARAEGKHVAIKRDHGSESSSTRDSEDNVQDSCCPIDLEDTYKDHLMLGLPVLSDLGNKFSNVKKAATIDPDTFINGCSSLTLRVAEIKKAVTQCGNYEKGDFEKEMKGFIEKCEEELNVVREEETRIMHLVLRTTKFYQAGISKDKGANPLQIFIFVKEFLDMVDQTCVDIIRKQRKKSVRAVESSPPLSPSTSTRAAVMFQSLQQDFQAEKSGSTSSSGSEDDF >KZM80714 pep supercontig:ASM162521v1:DCARv2_S60.1:302317:302652:1 gene:DCAR_032310 transcript:KZM80714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRYLYHGKNGAYLQVRIIFKGSIYYDPFALKVHMNDQVFNPDEFPDELADTLSWGDFLDLQFFKLNARLEERRREEEIERRRIEALTLRENIISLSDFMSKIYHRRELM >KZM80709 pep supercontig:ASM162521v1:DCARv2_S60.1:106639:107692:-1 gene:DCAR_032305 transcript:KZM80709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKKRSKKTARLKQSKLDARRKQWVSQVKNHGPKGVDDEAVGVLEMTGENRETEGLVHNCSDFNLSSNCQVELGAGSNCSGTNFSGSSRSTSCTSYSGYLSDGEEDGGFDDWEALADALAASADKQEEDDHQLKSPVDCTNVTQLDPVPELVNQSGTSVVPKPNPGNRRAWRPDDAFRPRCLPNLVQQESFPTKPNRICGHEALVWVCEDVISVPTPCPICCEDMDMTDSSFLPCSCGFQLCLFCHKRIVEDDGPCPGCRKPYESDAVKGEEVQIEVEVV >KZM80711 pep supercontig:ASM162521v1:DCARv2_S60.1:129823:131136:1 gene:DCAR_032307 transcript:KZM80711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTESIKLYKQMVGNQVKADGYTFCYVVTASVKAVALREGMQVHGRALICGWCSNVVVETNLLNLYLVVGELDRARRMFDEMFERNVVTWNSLVSGYVRWGEIDKAFEVFREMPEKNVVSWTSMIVGFVKDGKCKRALSLFYDMWRACVKYDQVTLVAVLSACAELGDLKLGKWIHSYHIVHGDLDVKKDEDLVPLHNALVHMYASCGFVDESFKVFKAMPRRSTVSWTSMIMGFAKQGRGEEAIDVFRWMQRFGDDDVRPDGITLLAVLCACSHSGLVVEGRYFFQSIQMWRIQPMIEHYGCMVDILSRAGHLDEAHRLIMSMPMKPNDAVWGALLGGCKIHKNAELASLVAEKLIRALDHDEAAGYLVLLSNVYATTKKWQDVVDVRRMMVEDKVKKTAGRSLIQLNEIIHEFMTSEQKNQDAHMVYRLLSDVTK >KZM80706 pep supercontig:ASM162521v1:DCARv2_S60.1:30520:30687:1 gene:DCAR_032302 transcript:KZM80706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSWPEKSWIRAPVCGDHVLTVLSVDEVPRRAPLSENLTAEMARLWVVRVCGRV >KZM80713 pep supercontig:ASM162521v1:DCARv2_S60.1:301488:301970:1 gene:DCAR_032309 transcript:KZM80713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVQEAEIFLNNGSSRFNEYVKTLLSKLESIQARDALKSEEKMSIWADPVVEREENEKANQEKNEVVIQLLMTYYTLMKHQDTNNIINSDVSNTDETNTDEADTYEAAKEAINAKAEGEEITLKFS >KZM80712 pep supercontig:ASM162521v1:DCARv2_S60.1:132770:174566:1 gene:DCAR_032308 transcript:KZM80712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLMYSITGTAYGISFSDVEVVTSKQMAMDLDKQYNDLAKNYLHSNDTGFEIGFGFHQSVIKLENIVHSKVENLHKPIVKWFNFEDSRITNASSDEGAFLVASRELKFQFCKRTQSSISVRERHPFSTGYIKRELKLLNLLDFTSKRKVRDEDGKIWLLCKGAGSRSPRGYKYSVVLKILAKSDRRLFYRKPPDRLLEITDKVYVFDCCLSRNVMEEDEYKVYLGGIVAELQDYYEDDASYMVFNFKQGDNTSLVSSFLSSYGMTIMEYPQHFEGTPLLPLETIHKILHFCQSWLSLTGHHVLLMHCEYGGWPLLAFMLAALLLYRKQYDSEHKTLEMIYNQAPRELLYLFSPLNPHPSHLRYLQYMSHTTLGLHWALVDTPLALDCIIFRLLPLFDGGKGCRPVVRVYGHVPSSASTNKSSKLLFSTLKTRENARLYRQEECQLVKLDFHIRVQGDVILECVHLAEDLIREEMMFRVMFHTAFIRLNVLMLERDQIDVLWDVKVHFSKDFFAKVLFSDADVPPSIINTQVPSTLETESESASPDEFFEVEELFSDIVDAHDVKGDVLDTRLIKDNSPNEVAWKEDLEPYAFQDCASEDGNHKQDDLLNSDIFHELKPLFLDNISGELYITRHDNDTPCSLETEISDVSGMSEIEKEQPREPDHLERFRNKNLQKKSDVDASKQERESDHLFTKGTFRSKSVTDSPNSFKTNSQGSQNSSLRQAKADKLSPSNKGPYANSLHVSHLPSRHNSAPPILVHAKDTQFKGDSHTLFCMISKMTCIDNIHRKNTSCPPVLAVSNHITYEPLARPPHSSCPSIPLTHDVERPPIPTLGSSSQCPTPSVLVAQPHTSTFMVSSQPLETSFHKFCEPGSRPGDYGPQPPPPPHKTVVNELGPPPPPPNLIISTFPPESGQGPSSPGPAPPPLPKVVPRSIPPLGARFRRMYGRKHLGPRKNSMKPLRSSTQSPTPSVPTVPQPQPHVPTFIVSSQPLEPPLPKHGETRSPPHVSPPPPPNPPSRVDGNGPPPCPAPPPPDGGLGPPPPPPPPGVGPAPAPPSPPPGVGPAPPPPPPPPGASPAPPLPPSAGPPLPPPPGSGLAPPPPGPAPPPPPSVGAGPRPPPPSGTGPGSQNNGPSAPASKGLQRWKHLGPRKTNLKPLHWSKVTRALHGSVWEELQKSEDPQNEREFDVSEIETLFSAALPKPKNSKKSDGHNSATKMETVQLIEHRRAYNTEIMLTKIKMGLHDMTDAILTMDDTILDADQVEILIKFCPTKEEIELLNNYTGDKERLGRCEQISDFKNNLNVVIVVCEEVRNSRKLKEIMSKILFVGNTLNQGTARGSAVGFKLDSLLKLTETRSTNNKMTLMHYLCKVFADKLPHLLDFYEDLISLETASKIQLKVLAEEMQAINKGLERVKQELDASKSDGPVSEVFVKTLEEFVQGAEREVASVQNLYTVAGSNADALALYFNEDPTRFPFEQVTTTLLNFVRMFRKAHDENRKQDELEKKRKQKEVEMEKARGTNKTKGPVK >KZM80449 pep supercontig:ASM162521v1:DCARv2_S600:6237:8593:1 gene:DCAR_032312 transcript:KZM80449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVFLSNKRCRDKLGFFLHSPVLASEIYRTLHVSANILRILLNYDLIGFHTFDFARHIFSCCSRMLGLDCESKRGQIGLDYSARTVYIKILPIGIHLEKVENVLNIPSTSVKVKEFEGKFKGNHVIVGVDDMNLFKAISLKLLASEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRWETYGTANRINQIYGSCGHQLLLRKYENLRDIVVLVQIINPERSSGEDIEEVRWETYGTANRINQIYGSCGHQLVILIDRPVDQCRALQLWMKLGELCHILLETSVLIIYEYVGCSPSLRGTIGINPWGISFVAEAMRSTISMDDFLRQLRQEQNYSYVQSLDVAYWARSFVHSMERACLDHYNYQCWDFVGILVPHSSAKKNLSSEAETALITLCDDPKNTVFIVSGSGRSSLTEWLAPCEGLGLAAEHGYFIRWKKTSEWQSSLVVDLEWKATVERIINSYTEVTDGSTIEVKESALVWHRQDADHDFESLQAKELSDHCKHVIANEPAVVRKGKNIVELKPQDASKGLVTEKVIATMVSNGEIPDFILCIGDMYDGTLKIVSSVVVPAVPEIFFCTVEQKPSKAKYFVDDTFEVQKLLQWLANVSSTQPSSAENPPSIP >KZM80450 pep supercontig:ASM162521v1:DCARv2_S600:99824:100235:1 gene:DCAR_032313 transcript:KZM80450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMLGRSKSDKTGNWIGDESRKVCFHPLFSKVDESMICVPVLARKLAQIQATIISSTCLKLSEKLLSIWKCGVSSRHHLILLRGVLMVIFRTADVEGFGQVDILLA >KZM80451 pep supercontig:ASM162521v1:DCARv2_S600:104069:105940:1 gene:DCAR_032314 transcript:KZM80451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLDCESKRGQIGLDYSARTVYIKILPIGIHLEKVENVLNIPSTSVKVKEFEGKFKGNHVIVGVDDMNLFKAISLKLLASEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRWETYGTANRINQIYGSCGHQLVILIDRPVDQYFVGILVPHSSTKKNLSSEAETALITLCDDPKNTVFIVSGSGRSSLTEWLAPCEGLGLAAEHGYFIRWKKTSEWQSSLVVDLEWKATVERIINSYTEVTDGSTIEVKESALVWHRQDADHDFESLQAKELSDHCKHVIANEPAVVRKGKNIVELKPQDASKGLVTEKVIATMVSNGEIPDFILCIGDMYDGTLKIVSSVVVPAVPEIFFCTVEQKPSKAKYFVDDTFEVQKLLQWLANVSSTQPSSAENPPSIP >KZM80199 pep supercontig:ASM162521v1:DCARv2_S6028:211:1357:1 gene:DCAR_032315 transcript:KZM80199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDGAPARLPVAHMVCNQMPPVGDKPSLMTFEEVETVFHEFGHALQHLLTKEDEGLVAGVRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGESLPEDIYSKLLAARTFRAGSYSLRQVSETSPFLF >KZM80198 pep supercontig:ASM162521v1:DCARv2_S6055:55:1041:1 gene:DCAR_032316 transcript:KZM80198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSDLLPPPVRERFVTTEGKSVPQLTIDSILDIKVQPGQEVCLFQIKVTQYNTSHGCEEYTITRVLTDATSEATKARPATEADASKVGGHSDAEPSKRTAHPTTATEVQASTNGNKRPKTG >KZM80446 pep supercontig:ASM162521v1:DCARv2_S611:32979:38343:-1 gene:DCAR_032318 transcript:KZM80446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSISTYQTSICPTEAAIANDEEFDNSYLSVELQNDSDEWLALQVERGKKSSVHDDMEKSDTSEYQPTDQEESEALQTDDELGKAESSTGAKQRKEKGKAKAKERQKGKKRQDEDEDEDDEDDEEDIPKASSARDFSNRTRTRNSPSKFCEVLNQLSAEQIQWITATGFHELLNFSIKELPHRLGCQLLKSGLPKGTLPIELETDEDSQAEKEKPFRAQFGKSHVRTSDLVSEIKKGTADDHFKTNFLVLMGNTLIQTVSNGLVDQRLVRFKGDINRCSVYNWCSYLLQSLKNCKKEWAKDPATKYFCGPLVFLILFYVDRVWIKIETEVVRTIPRFTAWSDKDLRARERKETSENLFGKGRIRSADESTEQTQEVDRNLDEERKREQMIVELENLAFILVESRKQFDAANRQFNKCLKSCIDYNTVNNNEEFLTRVEAAQVFVCSEADQFENQSRQQEKETDGASQYNFEPLGNPVSEEREVQAEKQAEEERQVEKERNIQEVEEEREVESEKGADGVQKEIEKERPVEKTVSPVQSSKEIEQEKPVEKTVSPVQSSKEIEQEKPVENTVSPVQSSMGSEVIRMLDAAEKDYQEKIRAQEMASNVNVVGIATEAVSGLHDERTSDTEMPVAEHGADEEEAVEKQAAPEALDVSSRKAAQLVKKDGKKVKIIFNTSRRMNVVSSTVPPPQKLTIKTTAQPNVQSSGEVLPSFSLGLTQVEKEAELERQRKSEEEAAQVDKGKRIIHAAEVLKSPWKIRLTRISTKINKEEQKLKDWLLTIDPEGYFLYFDTANAILDNSNCISFQPKQLVTAQVVDAFCHILNMNEMYKAEQSPLRLFVPHHVTVYVLRHSNSEEEEQHFKKFVRDFDDVLAAYDHIKFNDVDLIFFSMTVSDHHYLLCFNIKKPSFEVIDSSALQPDFDAKYQQIPQNIRNFLVRYMVLKNHSKAKDIASLVPVRLEMKWRTEHNHIDCGLFVMRHMEHYQGVSKNWDCGLAVEGKVQDQQLDVLRTRYAHQILLHECNKQKHHVEYQIFEEHMKKAELEKQKAKEKKEKDTKAPAKRQRRA >KZM80445 pep supercontig:ASM162521v1:DCARv2_S611:16023:18011:1 gene:DCAR_032317 transcript:KZM80445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQVSSILQPQTGNSSSSSSISATVASQALDNLKLHKYQSLHFQEEIEHLNSLITSVKTDLTKQIDEKLPAQVKSEISATEQRQLQLEKQVEALEGNVYILNSRMEEMLQHQRVQTGLLQHLLLASGVSLPSPSTTLAANKKGEKESLPTPAELVSRIPPPFHTEREKQKLARIAELDSIAKRVAQLGKKSSVNSPSSSAATTAQISFPTTTTILRVITPEIVIPSKKEKGEPSFLNEFKAILFPNNCGYSRPGKDSSSIYFPLARPDKSEYKLLGQEIKSYKDSTDVALKAHFAIIYREGQKLFIGTGHPHYSFAKAEEVARECERKEYESQLSLNQEIEVDERYAIELEEELAAELQTEKRLPLESSPKKKRVKSKTKMPEAAKRREEVPEKPISKPSSPIKDTTVVHPDVNFHDEPIMPKEEPIGLEDIPIPAFLVQETSKPKKKVKSVAKRMANPPKPPKEPENPDDYLIIANIEEISELELELDDLQEVRGIEATSKLPERLVFSYKSKGDVIWPLHRVLNSEGFSSLTKIYGSMKRTGGFTPPAKQMVLKRIFEIRKEWSLDASLQRRLKIPYTGKKIHHEPTPIMEFRDNQGVRRFFRPKDQLKVASLNTLKTLQSKLNRQDSDEEWFYRIFQKQINILEEKLKSRRRRSSRNK >KZM80447 pep supercontig:ASM162521v1:DCARv2_S611:146042:146281:1 gene:DCAR_032319 transcript:KZM80447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVRPEVEVEAEVKGLWSWVGFYGDINNGGGHGGGGYGRGGRHGGGDSDDGGGGGGLVGEYGVGDGVLIEISDIYFRI >KZM80448 pep supercontig:ASM162521v1:DCARv2_S611:146702:147094:1 gene:DCAR_032320 transcript:KZM80448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSDGGEAGGDGGGGGGGVGGGFAGDRNNEGGHGGGNYGGGERHGGGGGGGDDESDDEAELKEVKVEVEAVKVVGLVKMRLLEKGGGGGGDNGGDGGSGLKEVEAEAEVEMRLLEKLVVFALGFSDISA >KZM80444 pep supercontig:ASM162521v1:DCARv2_S613:7055:7626:1 gene:DCAR_032321 transcript:KZM80444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRVKRVSRVVNSAVGSFSNSSSCSLTLTKGRLTCFFRPVDLQKSNDVGGDFMKWGSLSYIWRSSFASGYEPLIQKPLGEIIDIRKAVLQSPEDLADFWDDGVFYRCYFN >KZM80197 pep supercontig:ASM162521v1:DCARv2_S6156:69:320:-1 gene:DCAR_032322 transcript:KZM80197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCKTSASTRDFPPSTIANLLRFRALGAYSYPTTMCGRYSPLSGMDSDLEAFSHYPADGSFAALPGQTAAKTNYLNQRFLSY >KZM80441 pep supercontig:ASM162521v1:DCARv2_S627:129824:130158:-1 gene:DCAR_032324 transcript:KZM80441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLGDDHPIDSVRKLAQLARACTLENPQLQPSMRSIVVALMTLSSSTRDWDVGSFFENHDIVNLMFGI >KZM80443 pep supercontig:ASM162521v1:DCARv2_S627:211621:212014:1 gene:DCAR_032326 transcript:KZM80443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYQEKETTQAFVCRDTRAEEELVVESFRGTEVFDADSWPSDIDLSWLYELRCGMGKVHGGFLKALGLQKSLGFPEEIEQVDDRPRDYKGFIHPVNQESET >KZM80440 pep supercontig:ASM162521v1:DCARv2_S627:92996:93846:-1 gene:DCAR_032323 transcript:KZM80440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIPSRKWTAAEEQDSNVTPVLAVIYAGGFGLVPGSESHGPLESLQIVDMVAVKTFIVLNTSIAPEK >KZM80442 pep supercontig:ASM162521v1:DCARv2_S627:168313:168471:-1 gene:DCAR_032325 transcript:KZM80442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVIVIARYTGVNAIAGLHTPDTFTNQLQTSFSEPRLLILTDPRIDHHVS >KZM80436 pep supercontig:ASM162521v1:DCARv2_S629.1:182978:183187:1 gene:DCAR_032335 transcript:KZM80436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNRSGIEVRVTQPNDVEDEVSNRGGANDVVDVESETCGEESNGGDGNRATGDIILGLNVPRFTLPRW >KZM80434 pep supercontig:ASM162521v1:DCARv2_S629.1:163864:164433:1 gene:DCAR_032333 transcript:KZM80434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHFSLKKNNYLSIRGNNPTRCKRLCKWIRYLNEHSYVKYALTANTLLNKRLLEHVHTTCKVATEGENTGFKFKIGEDEIRISEDDLNEFLELPREDLIDEPTLEELLEFFTDIHATLENGKIPRRFYKNHLPKEWNIFFTIISYVFSPKTGGFHGLNSMVQKIGVAVVHDLKINLGRMLMDKFWPTN >KZM80432 pep supercontig:ASM162521v1:DCARv2_S629.1:136784:137720:-1 gene:DCAR_032331 transcript:KZM80432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRKMITKKTGAISKVICLDLPALDEDYDQDTMIFLQAQRIFEVKTICKHQLMTLKDSPLIEELEARVEILVALANIKAAEEAKRKKAYDEQFENFSEYGAKLRQENCLLVIRHEIVCKDLTQNLGEIVGLKLKACLNTTINKPTHCDYVAYMA >KZM80433 pep supercontig:ASM162521v1:DCARv2_S629.1:140667:140942:-1 gene:DCAR_032332 transcript:KZM80433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWRRQVFGGDPSLGGDRDHFRRRQEPWMNLRGGQGCLGGGNDNLGGDQDSIHFLKETIVLIFLRGDLSQPMRRQHHLGGDKNLSRRRKKP >KZM80429 pep supercontig:ASM162521v1:DCARv2_S629.1:127457:128002:-1 gene:DCAR_032328 transcript:KZM80429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNPLVHLPQELIEAPQLPELIQYPFAGTLLLTNDQHLEALHAFLHHGPAEGSHIQPLNFTKYTFDELRLCFGTLDCDLCPFYFYLHWPSHPEKQNKDMSQKRLEPLVARSYWKRFGAEMTVDNNFDYYGSKIYTYRLFESFDEEKDVGAVEDYRFNYICLNPAYYYHDAIPTTEKVRVC >KZM80430 pep supercontig:ASM162521v1:DCARv2_S629.1:129827:130954:-1 gene:DCAR_032329 transcript:KZM80430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFSSYPHHTFHGQHPFAGTILLTNDQHLEALHAILNHGPAEGSHIQPLKFTKYTFEELRLCFGTLDGDFRPLYFYFHWPGHPEKLNKDMSQKRLEPLVARSYWKRSESIDEGKDVWAVEDYRFDYICLNPAYYYHDAIPTAEKNLVWVIT >KZM80439 pep supercontig:ASM162521v1:DCARv2_S629.1:253984:254271:-1 gene:DCAR_032338 transcript:KZM80439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSCCPKLITEKSAFEKEIDSLLERAHGRLEKETERYQKEKAGIERILIQAHEDNMNAIVNNVKEVAWRRLRAAYPKLFEKMTALEKKYEEEE >KZM80438 pep supercontig:ASM162521v1:DCARv2_S629.1:242780:248693:1 gene:DCAR_032337 transcript:KZM80438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKSGIKIWLFVEHQFGETSYSMYLIEHSLKEEQEIDSDGFEVDSISPIKTIKRSDQCFITQCRMFRLASRFYFLKVRAQTYLYSNTTPVSDELKLRVQVFDIDYPELGFRNMASLNAPQETLCVFSVKGLVYVLGSRLRCFDFPGGASGIFERYVYVGSNNHGLYLVFDLDVQRWTSFPPSSPFSTRFRYGSLYVDGSLYYLTGIGTWKVGTEFDTNIIDYNDDDNEVMGTFMDFEQDNWFRDIDFWQWRDIFHLGGYFFFYVVTCQLIDVDNKKLDQPYCRGVWIKVFKDMVPDLPTTEEQNQPGDGSDEDLIYHLLDLHDELLFSHA >KZM80431 pep supercontig:ASM162521v1:DCARv2_S629.1:131388:131981:-1 gene:DCAR_032330 transcript:KZM80431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFSSYPHHTFHGQHPFAGTILLTNDQHLEALHAILNHGPAEGSHIQPLKFTKYTFEELRLCFGTLDGDFRPLYFYFHWPGHPEKLNKDMSQKRLEPLVARSYWKRSESIDEGKDVWAVEDYRFDYICLNPAYYYHDAIPTAEKMMINVLLCVHGCIVPLQLLRHISRCYLLE >KZM80435 pep supercontig:ASM162521v1:DCARv2_S629.1:174569:182104:-1 gene:DCAR_032334 transcript:KZM80435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLRRKMCSSLKTASFHLPSPSHAFLSSTVNPECSGLRNPDWVKALRTTSFWFSRFSSAPITDDTKFEAKESLVSAEDWNRWLKVFDENDQQARLVSDLKSQYTCAAEKEDYETAVRLQVEITAASQNDGAARLMSNLNKAIEEEGYEDAAFLRDQTGAGLLGWWAGVSQDHKNPYGQIIHISPEHGRFVAKSYSPWQLATAKDGTPLFEIYVSTGKEAMHKEQATYLKHEAVPEEFRGVADKLYLDFRLSHLTPYANQDMWAETLRKFAVALGKDATKQTFLDMFEHSRVKGKYEGYEGKHGGYEGKHGGCEGKHGSYEERYGGYEGKHGAYDGKHAGYEGKYEGYFQFGKGSKNIGEERTVIHHKFAVGSIVQKAKGNLKNDFLRVPARLDRKDYFSFSLGVEENKDQKVSSDYRLEDDHTWDHDILRYVNCVGRGMVYNKTGCDKLQLFALSQAENQQPLLSGLTTFNRIIIPTSSDPLNGLYIGSNGYLVTEVIQIRRQFGQWPGGDGIDDLSRLEPCNYVEVVKLTGDPNVPAGQVAFRAKVAEKYKLPLWSLLEKEYGAVARYKGEGRLTGFQESKWVDVEIFILGEECRKEGFAIGFLYPTQDYCFLKLFKQLRLESFGGPP >KZM80437 pep supercontig:ASM162521v1:DCARv2_S629.1:200942:208784:-1 gene:DCAR_032336 transcript:KZM80437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLCLQFPPTKPISFCTNPSISLRNPSYLKSTLPLLSNYGCFSSSSLRCRNDHEAEEGQEEEDENEWEKLMKHCDHIDQQAKLLPKMKAQYDHAMDIGDYENAKAVEEERYEDAAFLRDNTGAGLVGWWAGFSDGDPYGHIIHVTADHGRFIAKSYSPWQLATAKDGTPLFEIYASTCKEGKFKEQVIYLMHMFKEDSGYTYQARIMNLVVCLSHLEPDFSQDMWIETLWRYSRASGMNYTIEEFREIYIDYEKSMTKDGKARELAKLSEEEKEKAVINHVFILGNSFEKAPEKLNDFLRLPAKLDRKACFSFSLTVNINNEHAYGEYFPYRNLDLIIHDYVDCIGRGXHDYVDCIGRGIFKEENELHDSLWPFVLSHARNEQPRLYGTTNFNRIEVPATSDPLNGLYIGSNGFLATEVVQLRRKFGHWHEDGGNDDVSELEKCDYVEVLKLTGDPNVPAGQVAFRAKVGKKYKLSPWPVLEKTHGAVARYMGRGRLTGFQNSEWVDAELIILGGVYREDGFTFGILYYAPEYYFLKLFQQLTLPGS >KZM80703 pep supercontig:ASM162521v1:DCARv2_S63:66854:67524:-1 gene:DCAR_032339 transcript:KZM80703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYVSSNSSIEQGAKQPVMLSKTFSTAGNALLAAGSYNLPVASLPNKVARKQCELIGNQVNSEEGHLKRRHYDGQKEPEKVKRKALTRVDSINSIPCVELEPPTDTCRMLDDQEKINVMQENRKLHAQ >KZM80704 pep supercontig:ASM162521v1:DCARv2_S63:70870:81039:-1 gene:DCAR_032340 transcript:KZM80704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRDFADQNTGGVGVKAVKLEVDPLGRSLDFAVHNNSVLDHIKQSKTLPRQDSKEDIISSTLSSGQSGTSALDNEKSPFDDTSLCSTSPTSYAPLCRQFWKANKYDERVAQKSNLPTIAELIDNAIDEIQNGATFVNVDKTLNPRNRASALLIQGIYIIEHYRSSENFDVLFGLQFLTRGSIFVLDDGGGMTPEAMRRCLSFGFSNKKSMSAIGQCIQVEMAVILVGLTFSLSDGNGFKTSTMRLGADVIVFSRHRNRTSTQSIGLLSYTFLTQTGHDRIVVPMVDYELNISTGTVDYLHSQSKETYMCNLSILLQWSPYSTEEELLKQFDDIGNHGTKVIIYNLWFNDESRLELDFETDSEDIRLAGEAKNTEKGVMRLTLSEQHLANRLRYSLRAYLSVLYLRLPANFCMLLRGKAVEYHNIASDLKYPECILYKPHSGRCVEGEVTTTIGFLKEAPHVNVHGFNVYHKNRLILPFWRIVSFTDSRGRGVVGVLEANFIEPSHNKQDFEKTNVFQKLEVRLKEMTWEYW >KZM80705 pep supercontig:ASM162521v1:DCARv2_S63:144203:144652:-1 gene:DCAR_032341 transcript:KZM80705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPDGTLASVAQCIEQLRQSSSSAQEKEFSLKQLLELIDTHENAFSVVGSHSQAVPMLVSLLRSGSLGVKIQAATILWSLCKENELRVKVLLGGCIPPLFGLLRSTSAEAQIAASKMIYAVSQGGAKDHVGSKIFSMKERAQANMDNL >KZM80428 pep supercontig:ASM162521v1:DCARv2_S651:15242:15879:-1 gene:DCAR_032342 transcript:KZM80428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLWNLIVRTFLDMTVCLRVRNSRKRLDQGNLRKSLREKGRKRKWHSILKGQEHVQEMQLLRLRSTDQSLTQKAPPPQTQNAHVFGPDNLPPPPPLTADLPPNLPLREKPPRKVRINQGIGTMEAFLEMRKSQKESSCENRNGVEGTGQTANLTTEDNDLETPAGS >KZM80426 pep supercontig:ASM162521v1:DCARv2_S653.1:53778:54383:-1 gene:DCAR_032345 transcript:KZM80426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHESCATLAFQLNYFTCLQWIISLYVLMFIEGVYTYNFKLKSSHHDMFSSSRIWLLPQMDDDYYSLRYTDPKEYFAGVRREWAFRLEESNQLRNDLIGLGAKLPVRDSLGVYPARNFNGSWGDYRRLVIEAVGLIREENNRMLLRRCRFYMLKLVKDSAAASGREMTFEEECQLLQNPNYLSDEPMSDEEATDDDDSE >KZM80424 pep supercontig:ASM162521v1:DCARv2_S653.1:26897:32357:-1 gene:DCAR_032343 transcript:KZM80424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEVAVGIVKSIFGNASNSKSNFVSSTTNILNGDSTLSLSSESLDRNSNMDTDKFLIRAWFQLKKLKIQVHLLWATHPERVLALKIELAEALRAKKTSSLHIQRREEPLKGLKRFRLFDSKKPGSNPAPNFCRLYRNFLVQSPSAAIGFWTLGAVRHYHKYRGPPLALKDYSKFARFMKHVKEYKKICIVGLGIVTIFTIGSWETIPYSKRKHFVLIPPSKDTSFGNFISRTREEIFVLPQDHPDSVRVRSISNKILRALQSDLKIKEMTGLEYSSRNITSDVDEKEAAVPWWRRTKFSTRHLEGLDWEVVVVDRYLNNAYVLPGGKIVVYKYLLKSCKSDDQVAAVIDHEVSTKLSLFLLLLLLFN >KZM80427 pep supercontig:ASM162521v1:DCARv2_S653.1:69507:77599:1 gene:DCAR_032346 transcript:KZM80427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICDVWKDPRSATTSVILYILNYVVNHVKCTSVIHRFDTLRLQPLGDIYQGEHFVNSLKDEVNIIKKLRCKCNFHAPKSVPKLQEAGSLIIKRIRKSDVSTNLVDKEVLGNFTTEAPLENKEKYIGILLCTCDLKLTWLRIHYVNS >KZM80425 pep supercontig:ASM162521v1:DCARv2_S653.1:32761:32994:-1 gene:DCAR_032344 transcript:KZM80425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSVESFKLQDQIKEVTHKLSEQILRTEEFKNLSVSLKELKDKAEAECLSAYEKKGPEGPSFAVQESLRIAFIKE >KZM80423 pep supercontig:ASM162521v1:DCARv2_S686:888:2084:-1 gene:DCAR_032347 transcript:KZM80423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLMSSKSHGAAEKSVSNIYSNQSTNPDTTRPSTYTTTSGTLSNTNGGGSSVTSSSAGQQSQSQFSMAASCGTGTSSMGEILATLNLKVYSFSDLKNATKSFKKDTVLGVGGFGTVYKGWVDENTLEPCKAGSGIMVAVKKLNHESVQGFQEWQGVLLLNYCHGTNG >KZM80418 pep supercontig:ASM162521v1:DCARv2_S691:72966:73595:1 gene:DCAR_032348 transcript:KZM80418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFKKDQDGMFHVKSMNSKNSYSLKNGNLGACSTYGYATPCEECFKSEGIRANATKDPFVCNKKLKVTCDVPAVDNIEVLKTPPGFLREVSQEGLLKNGSSLYHT >KZM80421 pep supercontig:ASM162521v1:DCARv2_S691:146735:153576:1 gene:DCAR_032351 transcript:KZM80421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPGYDRIGWVNFLYKKKEGRITFQGACENGPLNFIDQTTRKIGRPKVTISEDVVERRRLSKWRQNARRTGQQGVDNSCDTPSSRMSEVHRVRKLCIREDGKQQVRIVGFQEFGVLHVNTVMDLTERGNSTRSTGTTGANEESSQSHDKYASYCHSIVQGYKYQVIIGVGFYDHAILRLAIKKLLREWNQSLLVLLASMYRCASVDDSNLPRKSMEGAEISKSLCALNECIRALDSDQGHIPFRGSKLAEVLRDSFFSDPHTVMIFCIFPNSGSCERTLNTLRYADRVRSLCMGTSRFRKDTLSSSLNIRSSTALPLSSVSTGSGALSKLKWSLLNHSHMNVLLMDEYKTAQHHRPFQIKIQGSARESPDHTVDDYFDDYEETYEQNEQFQTRNASEXYSMEGAEISKSLCALNECIRALDSDQGHIPFRGRSCERTLNTLRYADRVRSLCMGTSRFRKDTLSSSLNIRSSTALPLSSVSTGSGALSKLKWSLLNHSHMNVLLMDEYKTAQHHRPFQIKIQGSARESPDHTVDDYFDDYEETYEQNEQFQTRNASETILGNRQYLRLRTDIQTKKEENDRVNAHR >KZM80422 pep supercontig:ASM162521v1:DCARv2_S691:181019:181192:-1 gene:DCAR_032352 transcript:KZM80422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYPFDDWKMGIYVDEGAMSSDIRNVEYGSTNTGNDIDMRDGGGREMEQKRCIGNW >KZM80419 pep supercontig:ASM162521v1:DCARv2_S691:89895:90383:1 gene:DCAR_032349 transcript:KZM80419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAESESLRPVLGPAGNTARSVTESRKPVTKQPTRMDKKSLTLSEISEVRGKKSPTLSSDSKLMPSIPRKQRGGDKVFRPSLSMNASCSSDASTDSCQSRASTGRIVRRSVPNLRRQSAPRIGGGEGGNVDALEAVESSFDGSLMKKRCAWVTPNICVLII >KZM80420 pep supercontig:ASM162521v1:DCARv2_S691:119904:122964:-1 gene:DCAR_032350 transcript:KZM80420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAFNAVPLMKAFITRFQSYQVPLIKTLPRYLSPRHRRYIHRNSWNRVLLLRLQDHQDVRSVHGFLAIQCCFRFLLVLLIDIGSLSPGQDPFPSGMDGVSYAHIRYTSIITVAYYAAFLKRDFVGRIEPTLKFLSSSPGQMSICRENENVVGRREKVAETEPVYLQIVLDVVQAFKKYLIFDLCPSRKSLDFLLTTWAKANGLQSCYSMWQEYETVGLPCNVPSLLKMYQALLALGDHKSARKLLRKILIFDPHVCCVIDSSQRQPMYAGQPTLRIKILQTQTRHAETKISELKLPAREKSWYIQSRLSRAIYKLRSRAGQGSLGP >KZM80417 pep supercontig:ASM162521v1:DCARv2_S704:538:744:1 gene:DCAR_032353 transcript:KZM80417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAYTGVKLMGDLNLKPIFAAAKKKYPPAEVELKAMEFSSLLEEKLRDPNWYPFKVITFGEDSKVSIL >KZM80702 pep supercontig:ASM162521v1:DCARv2_S71:205272:205982:1 gene:DCAR_032354 transcript:KZM80702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPRNNLHLPTLFPSSVSIDLTPSIRRLKLLRRWLPSTASRLHLAQPTAIPTPTHHIYNPCQINNTLFCYFTTPMNSPNSHAKSRLPLLYTSGYHGAACPTTTHPTPSFTPRPCVQTKTTRLEYIHTIKHQAPAVSNSSPTVKAITHNTILEHQPPQSKPHPPSTIGGLPPPLPTYTLAISILSPLLCYAAKPLLLNSGHRELPSTPDLIYYPRFGNPNLSLSILLESILIFSA >KZM80701 pep supercontig:ASM162521v1:DCARv2_S72.1:9189:12815:-1 gene:DCAR_032355 transcript:KZM80701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKLRLLKLNNVQLSGGYTDFPKKLKWLTWHNFSLRALPNGFPLSSLVAIDMQSSKLQRLVQGNMLLGSLKFLNLSHSHDLVKSPNFAEFNALEQLILEDCVSLIEIDESIGMAEGLVLLDIKDCKLLKRLPKNIYKLKCLETLIISGCSNIRMLDAEMKNMESLKDFHADGLDFGNSSYRNQKSESWLDFFQGLVSKPRRDPEPGNPIRFLPDCFKGLERLKELHVREYSQLQALEDLPNIQNFLVALDCSVLEKITFDAPGTVLKGFAIPNGCGNLLEMQSRFKIVPIGDIDSQFINNCGIYDVEVKKRMQGIFQNQPSGRAFSIFYPGSSVPAWFTSRQKASSVSFVVSHSKLRYLNTRIVYNSYSGSRPNCLLIINNRTKDRVIMYDPACYGIPEGDEDMTWLSHWDLGSHEVGAGDEDGCPLPSVAGSSNQTRKVSKPFSTKKGLAAACNHCVAGSPLSFNLIDKANVHRPNLGKFSSENRQSVNHVSDNVHRPTLGKTSSENKDCHADSQDRRMKAGTACPLGESEHAPIFKRKKSRLQLPEDLNYITRSILSDDQPQVSSVVIPTHLDDGESSFIGDDVLYDDFLEIEGKPSYL >KZM80416 pep supercontig:ASM162521v1:DCARv2_S724:12095:12548:1 gene:DCAR_032356 transcript:KZM80416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFEILTQANPTVTMTYITVFGPSLADCLKDASTPVRLAAERCALHAFQLSKGTDNIQTSQKFITGLDARRISKFPEHR >KZM80415 pep supercontig:ASM162521v1:DCARv2_S730:39260:41229:-1 gene:DCAR_032358 transcript:KZM80415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPSPKEKKDSWVEHNTSGILQYTDPISHGFHTPPVPTFNSRQPLSNIRNLGGGLNASPSSVVGNSHGFRTPNVHTLNSRQPLSNITNLGGVLTGSPTSESHGFHTPPLATLNTIQPLSNITNLEVPCSHIFKNLFGATNTPRTSSIVRSGAFRSTTSSNNTSCSARNFTPDMLNAESNGIDSTPLPMYPSRQPPSNITNVSGTRTTNRVHKAATRSTTTSTNNPESAPNKKTGCTLKIKTEYIAPECNDISQN >KZM80414 pep supercontig:ASM162521v1:DCARv2_S730:12660:13405:-1 gene:DCAR_032357 transcript:KZM80414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRYRWADEDGPTISDLFWRKCADRTKDNLSKERTKALQNASNEFPGQGDLHMHKFNPWWCSADIWAQMCAQWTEPEFVHKSNTASGNRCGGAEKAKGTYKGGSISQGQHMANKESQSQGTINWLDVYVATREGIPAAQEVAKNYRTLVAERYHK >KZM80413 pep supercontig:ASM162521v1:DCARv2_S738:138551:139945:-1 gene:DCAR_032360 transcript:KZM80413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTVHQLCLSMLYMLHSVYCLYLLHSIIAADRANGCEKQVKTRKGGKSKEKVVEETGTTLPTEPSQRPEVENPTPAAEGPGGSQGGVFNKQPPRDKVLNTPLGIQPQKFSSTNQGTTTSRQNIEERRRAMQGKLRENPVWKI >KZM80412 pep supercontig:ASM162521v1:DCARv2_S738:137599:138027:1 gene:DCAR_032359 transcript:KZM80412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLEECDAILDLACDCNQMSGVRTRWYGPNAGRRFRECRDEECGFHKWVDEPPTERTLEIIEELKERDSKHLEQARRRRDRLAAWYEARLAAEKEKHQNTLAGLLFLCDVVKEITLETQVPEEPVPVYNGDSEDSDVHSW >KZM80411 pep supercontig:ASM162521v1:DCARv2_S742:81446:83972:1 gene:DCAR_032361 transcript:KZM80411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVVNSSISCKLEFIKFPFLSTKAPVKTPICPREDGCSDSSANCICNARGNPLFFPDTTTALRASEIHVVAVLKGAMTNNKDAVLKDHKLWQNVSLGKDHFE >KZM80410 pep supercontig:ASM162521v1:DCARv2_S768:6276:6794:-1 gene:DCAR_032362 transcript:KZM80410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSNSGFALSFSAALYYTCSFATILLQRLCIRTPGTRDANTQQLRLRLVFLGSPILHPYTTRVALPPSFCNASASERPAPVMPTPSNSGFALSFSAALYYTCSFATILLQRLCSTKPGTRDANTQQQPKDRRKGC >KZM80696 pep supercontig:ASM162521v1:DCARv2_S80:31788:32469:1 gene:DCAR_032363 transcript:KZM80696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDVAIKDAVLGRWNGGMVMMALLLVAWFCSWYSVLDLLLGWFEISTLAAGFEYSPYAYWALEWSLECCYMASISQSRGWLGLAMATYTRFSVGTVGYDVEHLPCSWDAHLDASFLGCLGERSPYLLPGQLWVACGLVGRRCWKVVLGCDSTLGELCLMLISVCLGERSTLPASCPGCQQ >KZM80700 pep supercontig:ASM162521v1:DCARv2_S80:339681:340096:-1 gene:DCAR_032367 transcript:KZM80700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKLDENTVRLLFRSKPMFLKRVVDNLSDVQRQWVVETGFKKVLLFNINEYPQPLKYTWLAEGGVMFEDSYNSEYTDVWRSQFKEYKGPHRISIYVMLWNHRNWLT >KZM80699 pep supercontig:ASM162521v1:DCARv2_S80:282157:285205:1 gene:DCAR_032366 transcript:KZM80699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKLITIVLHYDGIFRRSGFSPGKTLPVAKVPTEEFSYSVLMEYVKDYLHLTEIGGVYTRDGNSWRLLTKDKELLELVDRCENDGEMDLYVDTVVDKGVEPSVQMQPHVIVRPRKSIITGIIGPTKEGVKRTFVTTHQLQQQQEKKKKANKKAPEPPRRILSPRQCKKQAAGTPEKKEPVVNKNGSVRRKLNLHEGTDVEVPAQTTPTKNPYEERRNKQVLENREKFKELGLDKFLPNPNPPAVKINKEKDKVQEESDEYILENESEEEDSEDSSKSPKKKKIAPGPRTRSRANDANLCEKDPLHATRKKASKKVPAKEGVESSTAPKLLNPTCSKLLKQCGDIQSGSIAAYVALRERQKQNLELDPRIEDAGESSLPNEVEEGEPDPNLQSPSNAKVYFRSRKRNPKVTYKSNTEVIQKRLDSIDEVLKKGNDANELLPSGEHGAEWLVGRKGDMPSSENNPTTPQPSVADLKQITQELEAKFNRKLQGNMAWMLKKLAEANPGMKIDIGDFCAAESSDHDENGTPFGSGTQVTPLASGTQATPFPSGTQGEGSERNTI >KZM80697 pep supercontig:ASM162521v1:DCARv2_S80:63926:65503:-1 gene:DCAR_032364 transcript:KZM80697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITFENVQYFVDTPKKMREKGYQQRFSITGSFQPAVLTALMGVMQLLSDVHMYFVVAHKSDSESRAHKQSKFQSRGIEELSLRRLHRYVIWNFVMSIVYKWITSDYTDLPPQPGVSEAATNETGAHKQ >KZM80698 pep supercontig:ASM162521v1:DCARv2_S80:215400:219568:-1 gene:DCAR_032365 transcript:KZM80698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLNRVIHGNNTANFLAALFFAKHVKSIASNSVAVEQHEQIEVITALMKSFWPVSGTGNEKIAFLLLVAALLLGAQEVTDAGWTSLC >KZM80408 pep supercontig:ASM162521v1:DCARv2_S808:82745:86947:1 gene:DCAR_032369 transcript:KZM80408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINLCAVCPSGINTSTALLFHYHVMSIVIPVLLMQLWAHDIKVDAQFETEAQKGIKIRSNYCLKVVNIITRLKLHLARVGGVPNEAVIVQKNLHLDEKAFKQFREKLKAQEEQYRKIQETTNHQHNEKVQEVEEKNYELEILGDQPEVKEKELLSKDDEMDRLRERAKEVSPHIESSESDTTVHDTEPQISESSCSSDSDEDVGEQNEVDSPESVCFTSPDGVKFWTPICEDNELLNDQIFEVFFFLTTYVLFLSELMDY >KZM80409 pep supercontig:ASM162521v1:DCARv2_S808:100581:101799:-1 gene:DCAR_032370 transcript:KZM80409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDDNMEVVLKMVNEVHNNHYDIQDFDMFLFPIFTGAHHFIVCYNIKKPCLEIIDNRVQTISIEETYGELLPRLENQKSVLHKLRVIYAHRMLTWSENKKRHIVLNNLVKFSMSKLHQK >KZM80407 pep supercontig:ASM162521v1:DCARv2_S808:43952:45614:-1 gene:DCAR_032368 transcript:KZM80407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGQNSLIAGGQSSHQQTKTSAQDEDVPNETKIVQKILHLAGKAFKDFKEKLEAHEEQYRKIQVTNNLQLNEKKGLQEKESHSSSLSKLSELVRRAEASKFAEGTRKVVEEVSHCIYRIMSNTLGGVQQMVSVLPTREVTQLVLDRELAELDTATFPDPCQELLRTHYIRADR >KZM80406 pep supercontig:ASM162521v1:DCARv2_S818:15218:16930:1 gene:DCAR_032371 transcript:KZM80406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAGEEFASQTDPDEPPPSPATCRKRNILISLRARKLNKGKIFMNPNKTVQDVLGPEEAAKWTTLSTPARIPNHAYDMMGRALNEVTDMVQTMDGMNEIPRSRLDDELKKLADGAYPSKDDPVQRLLWDQYIKVAASLACSQFERFKKVIIEDTQEDGTENGHDMEDDEGNENGQNMDDEAGDMDDDGGNMDGHYSDEDGSFNNTQLSP >KZM80404 pep supercontig:ASM162521v1:DCARv2_S833:47622:49691:-1 gene:DCAR_032372 transcript:KZM80404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVFLRNKRYRAKLGSFLHSPVLASEIYRTLHVSADILGILLNYDLIGFHTFDFARHIFSCCSIMLGLDCESKRGQIGLDYSARTVYIKILPIGIHLEKVENVLNIPSTSVKVKEFEGKFKGNHVIVGVDDMNLFKAISLKLLASEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRWETYGTANRINQIYGSCGHQLVILIDRPVDQYFVGILVPHSSAKKNLSSEAETALITLCDDPKNTVFIVSGSGRSSLTEWLAPCEGLGLAAEHGYFIRWKKTSEWQSSLVVDLEWKATVERIINSYTEVTDGSTIEVKESALVWHRQDADHDFESLQAKELSDHCKHVIANEPAVVRKGKNIVELKPQEASKGLVTEKVIATMVSNGEIPDFILCIGDMYDGTLKIVSSVVVPAVPEIFFCTVEQKPSKAKYFVDDTFEVQKLLQWLANFSSTQPSSAENPPSIP >KZM80405 pep supercontig:ASM162521v1:DCARv2_S833:91711:92877:-1 gene:DCAR_032373 transcript:KZM80405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSCMNFSNLAFGDLNRTNPCIKYVDSDGTSSTTVRIIILSNMLPLHAQKDGKTEGFSFIYDESPLWQLKDGFSPDTMVFYVGSLNADIDVNVQEEVVERLMDDFNCVHTFLANELFSEFYHDFCKHHLWPIFHYMLPMCGKHGDQFDQGLWQAYISANKILANKVLEVANPEINYILIHDYHLMAVLVFLRNKRYRAKLGFFLQSPFLASAEIYRTLPVSADILRILLNCDLIGFHTFDFACHLLSCCSRMLGLDYESKRGQIGLDYSGRTVYIKILPLGIHLGKVENVLNIPSTSMKVKEIEGKFKGKHVIVGVDDMNLFKAISLKLLAFEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRRETYGTANWINQINKCANYL >KZM80402 pep supercontig:ASM162521v1:DCARv2_S840:9966:11773:1 gene:DCAR_032374 transcript:KZM80402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTDVDTSTKTLSGVVYPANSYGAVVLGGTFDRLHDGHRLFLKSAAQLARDRIVVGVCDGPMLTKKQYSDLIEPIGKRMQTIKDFIKSIKPELVVQVEPITDPYGPSIVDKNLDAIVVSKETLPGGLSVNKKRAEKNLLELKVEVVDLISDKFGGDKLSSTTLRRLDAEKAKKPPPE >KZM80403 pep supercontig:ASM162521v1:DCARv2_S840:23577:23813:1 gene:DCAR_032375 transcript:KZM80403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETYPPNYTSEGSLIGSGGLITSPSSTSSLTLPKSEIKEVDKPLAGKKVLVVGDGEVLQKIANAALSALGASFELSS >KZM80401 pep supercontig:ASM162521v1:DCARv2_S851:16526:20480:1 gene:DCAR_032376 transcript:KZM80401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADTVHIRLYYEGEFGRTAYTGGDRMLVPNVSVDEFSYTVLMEFIKDYLHFLEIGGVYISKGIQGGWKLVKDDNDVLGHVFNCIADDEVEFYIDNVVDKEVEPLPQMQPHVTKRQFVTTHQLQQHQNKFKRKSPHYCPNKKTAASPGMKTRNTEETRKVTGSARRKLDLQKDLGDDEDSEGNSISDEELPPQPTVPVPVSDYEQVRIARMNENQKRMEELGVKKLAAVMKPCASNKRKGKDKVQEGGDDYNPEDESEDTSVETTEDKRSKKAKKNASAPGPRTRSRGNATVVIGDGLTSAADGNDNEPIRSTTTVEKEAPEKDVTSSLRVNRIRPTCSKKLKNSTEFEAAGSLSAYLALRERQKQGLPDIIEHDVPEANKEDIGPETSGAEAAPRKPRGRSKLEKIHGRTADRRPVITLNERGQPVSSDGKVVAELSIFLGTVVKDNVSLTHINWRVVPDQLKNKMWEYTRERYIIPDEGKKWVNTTLNDSWRVYKSRVKKKYYSRFESDKERLENKPEDIPLEDFKQLLNYWADEEVQSIAEDNAARRNSFTETHTLGPQSLAQVRDKLKKKDPNQGEPSDAQVYLETRERKTGRDYKTTKIVDKKIKRIKRMMNQGRDPNLVVQRGKSKGRGWLLGRNGSRPGTSSSTADPTTQDPYVLELTNQIRQNLETELEDKVNRKVQENMAMLLKKLGEANPGMNLDVGNFCATISSEADGNGTPITDGATS >KZM80399 pep supercontig:ASM162521v1:DCARv2_S870:25526:26257:1 gene:DCAR_032378 transcript:KZM80399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDFKFPPVVFPSGGNPSPGSQRRPATAPFQSPRSSNPGIPFLSFDVGAAATSFPPPQFSSSGSIPGGFEDEPPLLEELGINTKQIWNKTMSILNPFRVKPDLHEDSDLSGPFLFLMAFGLFQLLAGKLHFGIILGWVTVSALFLYVVFNMLAGRNGNLDLYRCLSLIGYCMLPIVILSALSLFVPQGGGVILGVTGVFVIWSTRVCTRLLVELASCGDEHRGLIAYACFLIYVLFSLLVVF >KZM80400 pep supercontig:ASM162521v1:DCARv2_S870:28754:29845:1 gene:DCAR_032379 transcript:KZM80400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNENCVGDVRPSISQGGFLKTTTQRADEGIGPTRRALSVINLNGARYAPYVATKRDALREKNAVSSTNVLQNSKELCCTASGQAASTIGSGTKPSMSILNPFRVKPDLHEDSDLSGPFLFLMAFGLFQLLAGKLHFGIILGWVTVSALFLYVVFNMLAGRNGNLDLYRCLSLIGYCMLPIVILSALSLFVPQGGGVILGVTGVFVIWSTRVCTRLLVELASCEDEHRGLIAYACFLIYVLFSLLVVF >KZM80398 pep supercontig:ASM162521v1:DCARv2_S870:21749:22539:1 gene:DCAR_032377 transcript:KZM80398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQVKVKAHLQRLEEDARQFWLRFEFEALEDPVRKRVIESVGGKVDWVNSEYTSTTWSCIKKVNCSSEIEWIWRSNVWSLFYNSSAEIAQKVMSNQEVAAKHMPRRLVLRKSDDDISCIVVWFQ >KZM80397 pep supercontig:ASM162521v1:DCARv2_S873:50573:54206:1 gene:DCAR_032380 transcript:KZM80397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHLGRFVFTSSGPREYVAEKTRKQYEERGEVLPLQPNSSVVAANKSPIKNATVTTGVADEDQPLEDNTLEVSLSKALGEVVKEENNVMTDVPDDDSAAKKGLVVQKRQIRPRKLQKTMLVSELYGITKSIAKTDGVAGLVARDLLRAAPSGHSPSSQGPLVEEELLSQDQDPSNDMPNLRRTTTFQVVNVVEDLDFGEENNTDIRVRRRRSDGAVGKEVITRLGKLVLNGWHCCSLPCKTI >KZM80396 pep supercontig:ASM162521v1:DCARv2_S879:84558:86992:1 gene:DCAR_032384 transcript:KZM80396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNNPRSVTGLQVKGLMLKPMKIREGRELKKMSSVLKSSPKKTVKPVVQRRPMTRSKTTSEGVRVEEPDHADTLSSPAPPIEKWSKVANGELVPPPPPLPTNLQHLKHIKKISVNEGVGCMAAYNKLQESIKRREEEEKEREIELMNNAKACENQEGTNVKKAGDNLEEEVSDHDSVELDFDNHAPNWLLGRSGRTRKTMLDKMQKREKNRSEELIKLREELSREMEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELDGNEAEGAT >KZM80395 pep supercontig:ASM162521v1:DCARv2_S879:77232:77784:-1 gene:DCAR_032383 transcript:KZM80395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMRFGFHPKFGSFVIEIQADQSVGEAIKILSDANILSEPVKVTDEVKTIDWRERYLGILVYSSIVFWVLEGAEFAAVVLATGSATAAGVGACGAGALGALEVGATSLVAAAGITVAAVGAVVVSGVAADKGISIRVGCKILTK >KZM80393 pep supercontig:ASM162521v1:DCARv2_S879:11015:11284:1 gene:DCAR_032381 transcript:KZM80393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLVRISSLFLLVILINHIVLPTNARVISGTTSASELEACPPSDEIATSLMGEEPAPSFTEVEVTSKSNVVDSQVNTDEIAACPPKN >KZM80394 pep supercontig:ASM162521v1:DCARv2_S879:27058:31058:-1 gene:DCAR_032382 transcript:KZM80394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRRRRHPRPPSPSSSSSSSSTSLKEFREQVYYTVFPEGRPYRDLVGPPPSPPYSNSSFIVPPNRPSRPQPCVIHPHPLFPGVFVAADHDHDESCNKVLYTRNLVPGVQSRDQDDVVFSVQDEDGQTVEYREWDPFKSRLASAILSGIQNMWIKPGSRVLILYSRDDQQFGITISHISDIVGPQGMVYVVETETSNHDTLLNMADKRGNIVPIVYCMSPDPMRYRMLINMVDVMFAAPDRPEEVHMAYLNSQYFLKTGGHYMLYVQGHTMESTNRGDGLFSSMSKVVQVQYQRMEQVTLEPFDREHVYVSGVYRTMEIAEIDESCLVSSKFWTSQDSNSGAGRPLSLYEKQKNLPVFMLFESSFGYVLFRAHDVYKVERNYVATEKYIKSFDKSYEFVASHTFESTDEALMYLTADYNDTLPEKLMEFLVLHLPPPMEGDEHCLSLALFNPLMEDKLAMDAKIACSASPFHIDIIRGVRMNLDKFFKNMKPGDLEKAQLDLARICSRQKLNSPDKRKLLKKRGHFQARAPTRENPKRKARADAKTE >KZM80392 pep supercontig:ASM162521v1:DCARv2_S880:65728:66033:-1 gene:DCAR_032386 transcript:KZM80392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDFDNHAPHWLLGRSGRTRKTMLDKMHKREKNRSAELTKVREELSREMEEKMNRKLKNILEKIVQMTSLQIDIEELLADDNTDHGAEAEMDGNEAEGAT >KZM80391 pep supercontig:ASM162521v1:DCARv2_S880:13430:14368:-1 gene:DCAR_032385 transcript:KZM80391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHLMLRALASPIGPTDWNEALDIIAYGSHEQDNAHWEVVDALPSYGRGIELPGGRYRSLITGNNLVDVVITGDNGTIDSQGSVGGSTSVLIV >KZM80390 pep supercontig:ASM162521v1:DCARv2_S882:91631:92486:-1 gene:DCAR_032387 transcript:KZM80390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNSYSQGNKEPVEFKGKDIKRSAELEQNKIDGRWRYWLEQRVDSRLAKTEDLQNLVSVFSMRDKNEDNEPGVKQMRSRNVHKQSHNAEHEMPTRRSIHHRKQHSTGDAWIFY >KZM80389 pep supercontig:ASM162521v1:DCARv2_S891:152363:157825:-1 gene:DCAR_032392 transcript:KZM80389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGGEGREGDWECSGCANRNYAFRSYCNRCKQPRILVDHKTPPDSKWLPRIGDWICSGCTNNNYASREKCKKCGQPKEVAAVPAVAISAASLPTHPHYFARVPGLHQLHQQKINMGYFGNGAPQHSLPLTSGWPLNGGNNYGVQAAVQSISPWPLGGIPNNGIAYPNQANQLMVQKGWRNGDWICKCGFHNYSSRLQCKKCDASVPPAIGTKRLASEEIVQDSENKRLNAGHTFGLPQAYPSFEQNGSSNIHQITGAYPPYPGGSSSVNVQLPQLVTPPALLGKGARQWREGDWMCTNCNNHNYASRAECNRCKTQRELPPQPVNVDVSVA >KZM80386 pep supercontig:ASM162521v1:DCARv2_S891:127186:127536:1 gene:DCAR_032389 transcript:KZM80386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDEGLLFSPLKRGFVFSHLKSLGKEKRVGVSIFYLNPYFCPHRLIIGKRDVLLELLLGMGIGSLRVGDRYNRPRSPNPNPISIPGPIPIGDYFSIPIPGLIGIRGYPRGFGDF >KZM80387 pep supercontig:ASM162521v1:DCARv2_S891:136750:137046:1 gene:DCAR_032390 transcript:KZM80387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAFSLNYWYLPHQALTEISFELSIRTAILYKILSPNQDPANKSEKQSHHQGEKTIKLSPSRENNLKIQVENRNKSKMKQMKMKKLRFDSRNHKIDD >KZM80388 pep supercontig:ASM162521v1:DCARv2_S891:144446:144724:-1 gene:DCAR_032391 transcript:KZM80388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPQKFWFGVDRKDEGADEHEKEVRRLFAEMDQCLKESEEEEAKEAEEEYDRMFEEEGHTREELHSIFQNFMKELKELEEEEEDDDDEDED >KZM80385 pep supercontig:ASM162521v1:DCARv2_S891:110556:111692:-1 gene:DCAR_032388 transcript:KZM80385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQQNNVHDQDGNNNNNMKAPSFLCRQTSTRWTPTSDQIRILKELYYNNGIRSPSADQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTTSTANDHFMNISSAPTAAQPPSSFHRNINTLNPGHWRSTEDHSILYNTPYPPSYITHHSPGMIPSSSHAPPFAAVGHHMASYGYGSMAMEQSFRECSISPKDEDSSGISNNICPYPFLEMINSTLDEEEDEDGGDESREMATLPLFPMHGEEEYNFTSSSRGHFYGGWYPSDSFAGSSARASLELSLNSGGTS >KZM80384 pep supercontig:ASM162521v1:DCARv2_S894:6678:8820:-1 gene:DCAR_032393 transcript:KZM80384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSMPDRNMHSWNIMISTHVKNCLYTDGLCIFSAFLQVGQRPDHYTFTPLFKACAQLGDKTLGLGLHSWVIKFGFVNYVVVGSALLEFYSKCKQAEDAKRVFGDLRFKDSVAWNSMISGLVRLGCNVDAVVCFRDMLKEGIVMDRMAIPSILSACGREGDVMKGKEIHGQVMKSVTFCDDVTIVNSLIDMYSRCGFLYNSENVFKNMVNANLVSWTTMISCYGVHGKGKESLVLFEEMKFRGFEPNSVTITTILASCSHSGLVDQGKKIFDSLGLVYNIEPCVEHYACMIDLLGRSGCVEEAFELVKNMKLAPTASTWGALLAACMTWRNVEIGEIAAHHLFKLEPKNTSNYIALCSIYESLVDTKESSQGEELRVKGMEVLERGLESFNQAEVGAGLQVFYNLGELRGTVEGLVGKYKNVGVKSIANALDMKAISSGGGMYGGGPGGIQRSGTPQIGGGSKAKEALWQRMNGCMDQLHSIVVAVWHLQRVLLKKRDPFTHVLLLDEVMQVWFM >KZM80694 pep supercontig:ASM162521v1:DCARv2_S90:40419:40712:1 gene:DCAR_032394 transcript:KZM80694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSLAGVGAATIAALLTAGDRRLMVVFEQSARLKGEGGRQMAASGQRRHCREAGLELRYGEADDSMGD >KZM80695 pep supercontig:ASM162521v1:DCARv2_S90:97139:100436:1 gene:DCAR_032395 transcript:KZM80695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSLGKGPLRTILGAASCDSKLTKSIYASIDISTVVELIMYPEVPLAMRMSSHLLFGIVRIHAQQVESLLRDSNTLLIEIRNAFTSTDLMNLSHATFGSVLPDKFKLDSLDIDTDFSESSWDNHLKDTKEITLEDQNLADYSGTLVGATLGAEHCDPSNRQLRAALLSYNDGYPSYQGTEIMREAAFFQYASEMDLFCPDQREDRLEPDWDLMKILEKTEADNLGVELVPAASPLSSQQHQQPISILSEETPEFLDPDSDYGWASPTLQIPSIPQPQQTLPKEMISHQYDINTTILSDKFMKKRIADSSSILRPRKNFRRDPLGRWRQNKRIRKDVVFFEPLLTGLGSDLCEAYRKDFICGKPYLCAPVNVDNMEIDHEISTENNSPNRVWYSPIEFTPSPTGGHALGGDTSSLIDQVEPTNWDSTRVHEKYAATPGSNEITASLKGTPGEGPYNPEIRTSCDGELSFLAEDDNNSQGPQQTTPGYSSWKSEGGQLSARTRSVARFLKDRSSVTPVIERDHQSINVSLDTILEGKRRKVCARMVFETLVLKTCSLVDVKQENPYGDVVLTVTPKLSKELFSF >KZM80382 pep supercontig:ASM162521v1:DCARv2_S915:28607:37964:-1 gene:DCAR_032396 transcript:KZM80382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRMRLTARKRVPLSHPQIFRFNLPAQESLTKSESDGSSVERSPQVPPLPSRSSSASVDVNPVLAEPEEDPEEDPVVGSVQEVVANPALVRSVGKTVEAVKTGRVPISQMEPGEARVMRIIEEAKQEVGIETDADGRQRIVHRQIRATASVSATSRAPAGGMIQAVPHHVYAALGRDCDFLRGQNAEIRRLMDVLLQERRVPVEDSEARSRIGAIEHIARQRLAEFPSTSEWDVEARRVTRLICWILSELRAEFVIPEMGQTASSSGDEEFYTIEVLEQLEDQSLSLFAKKFGNMRFRKHPSYKYKPTVNRFQKGVRKHQGTSGSQSFKSKSYLAEGKSWDDTDSDEEEQIGNVALMANAGSSSPPPAGSFQVDPTCPKLFMQLGLERDDAIKRMKAANLKIDTLVLEIHAYKMNEMKVLKPKIEQLTMDLGLQCAKVKVLEKGEIALRLQLDEEKVKCKAFKDASMIVKELNDKQEIKRTVGIGFDYNKSVGKASNITPFKKSAEERGIPFVLKDSLKPLFKTSEAEPLLETPVVIRYELKQEDLKMKESNEMRDEILTNLKPIKVKGNVRLPKAGLGVNSEKTKFNKPNDFVNRFQNPESPCVETPMIGTPPGFATDDELSESVDGR >KZM80383 pep supercontig:ASM162521v1:DCARv2_S915:39706:39969:-1 gene:DCAR_032397 transcript:KZM80383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCGSGLRYWWWRSGGRRGSVGGGWDKRRWVAVVAGLDRKRRGLGGRRRWQGDDGFVGGTELEFEWSGLHKRGRVEGGDSQQRCRG >KZM80693 pep supercontig:ASM162521v1:DCARv2_S94:3503:8182:-1 gene:DCAR_032417 transcript:KZM80693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDVYRVAGSTSKKVLPKGTKCVNLEKENMEKKYVNSKDVASLGLTSGVRKAPQPFYQPTNDCLVCKDPICASPHLDYCRCDDPDECN >KZM80381 pep supercontig:ASM162521v1:DCARv2_S945:38690:39239:1 gene:DCAR_032418 transcript:KZM80381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRYRWEDENSATISTLFWQKCAARTKANLSKERAKVLYNANIDYPIKEGELYMHKYNPWWCSADIWTQMCEKWTEEDWLKRSSTASSNRFAGADSGQKAKGTYKGGSISQLEHITNKMYGSGPYRC >KZM80377 pep supercontig:ASM162521v1:DCARv2_S950.1:14992:15210:1 gene:DCAR_032419 transcript:KZM80377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDEMELFDQALDEGWGAPNGPGHVAQFMNSAGFHGMQVGVAQSEMEIHDLIYEDELAEEEEGDDPVVMMG >KZM80378 pep supercontig:ASM162521v1:DCARv2_S950.1:51538:51857:1 gene:DCAR_032420 transcript:KZM80378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNHSASNSVTQPIYTENVEKSLCNLNGHLATLREQMVELTQLTATLNKMVELLGDDLKAVMMEQSQLV >KZM80380 pep supercontig:ASM162521v1:DCARv2_S950.1:65998:66471:-1 gene:DCAR_032422 transcript:KZM80380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGGSLVTGIRHIVRLKEMLQKWQTATIGPKGSLNNPSHDQSHLGIPPAISKRLRGCSVYVESDDESCHSPEPPHDVPKGFLAVYVGRELRRFIIPTSYLSDPLFKVLLEKVEEEFGFDHSGGLTIPCEIETFKYLLKCMENHQKGNGGHDNVGN >KZM80379 pep supercontig:ASM162521v1:DCARv2_S950.1:59391:62194:1 gene:DCAR_032421 transcript:KZM80379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNMLWKMRREMKVMTLQRTRSRANDKDLGDKDPVDPIDKGKKVAAASTKSAKLIKPTCSKLLKQGDNSAPSGTIAAYMALRERQKQNLEAEMRREDVGDTDLQNGSEGEEVEAGNMILAEDNKARHNMYVETHTLGCKSLAELRTTLKEDDPNQRSPSEAKIFVESRKRKPGHEYKLSPKAIKKKIESVEKITKEGNDAAELVPKKKKNRPDWLVGRQGKTAASTETPVDPVTQSTVEELHTKIAQLESEMEAKVNTKVQHNMAWLLKKLGEANPGLKVDITHDFCATVSSDGDEFGTPITPGTASGTAPGTTRGTTDSLI >KZM80376 pep supercontig:ASM162521v1:DCARv2_S951:43062:44957:1 gene:DCAR_032424 transcript:KZM80376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVFLRNKRYRAKLGFFLHSPVLASEIYRTLHVSADILRILLNYDLIGFHTFDFARHIFSCCSRMLGLDCESKRGQIGLDYSARTVYIKILPIGIHLEKVENVLNIPSTSVKVKEFEGKFKGNHVIVGVDDMNLFKAISLKLLASEQLLRKYENLRDIVVLVQIINPERSSGEDIEEVRWETYGTANRINQIYGSCGHQLVILIDRPVDQYFVGILVPHSSTKKNLSSEAETALITLCDDPKNTVFIVSGSGRSSLTEWLAPCEGLGLAAEHGYFIRWKKTSEWQSSLVVDLEWKATVERIINSYTEVTDGSTIEVKESALVWHRQDADHDFESLQAKELSDHCKHVIANEPAVVRKGKNIVELKPQDASKGLVTEKVIATMVSNGEIPDFILCIGDMYDGTLKIV >KZM80375 pep supercontig:ASM162521v1:DCARv2_S951:14486:15958:-1 gene:DCAR_032423 transcript:KZM80375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVCTREIIDFSKYILEAYRNIAVGLSETYGIDYSVS >KZM80372 pep supercontig:ASM162521v1:DCARv2_S976:68061:70261:1 gene:DCAR_032425 transcript:KZM80372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEGESSSKNRSTARPGPDVFLIVCRCFSVITALAAILCIVVNVISAVRSFKHGSDIFNGISRCCGVLIAVFVVIAETECRFLSMISKVLVYWPCRGILQILVAVMTRAYPESSTEGNDLILLQNVGSYVLLACGVVYVVLGLFCIGHLKRALQKKDVSAGRETKDLERQEELEAPLVADAVA >KZM80374 pep supercontig:ASM162521v1:DCARv2_S976:84521:84718:-1 gene:DCAR_032427 transcript:KZM80374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLYMDSKIAFLRCEEKNVDRGAALKACNGPVPTAHERPHEHVPEDTAAMSSENVKKKVNEVD >KZM80373 pep supercontig:ASM162521v1:DCARv2_S976:76873:78543:1 gene:DCAR_032426 transcript:KZM80373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNYNHNSVPESLRWLGIAEKLLTNNDLIGSKTFATRARESDPTLHQPDQILAIIDTLIAAETQVSVNNLDWYAILQLARRTHDSELIATQYHRLAVLLNPNSNKFALSEHAYKLVTEAWLVLSNPSRKFHFDNEIGNLGVISRNGGRASRDGNTQHNFMPMQEQFEQVNRDGGGSSNRGFNFMQMPQSEPLQFLQPFGQQQLFPQQQVTQGLQQEVHWSNLQQEAQQQTWLQPPFHWSQGAQTTATGVPILQPQARVQQQFGMAQMQEAWTQQQQQQPPQSRERVGRSVQFDLGRNGGDGRENVVNVEELPNFWTVCAYCYTMHEYAGVYAECSIRCPNCKKAFHAVKIDAPPVEEAGEDGYYRCWGYFPIGISAAYLEERKKGVSSWTPISTMFGVPKGGNIIKPKKGRNSGPWVYIDDDEEEDIFDGISSAEESDEDWSRASKNKKGRNFTRKVSTTKKVNQPQGSSSQMAQESNMGNLHSAGLAQEGSNVGVPNAGIPSVPNGSEPSVPAAEANDKAGGEANDKAAGESSKKRVSTQQSNQVELERMWRGWI >KZN08607 pep chromosome:ASM162521v1:1:11923855:11924377:-1 gene:DCAR_001137 transcript:KZN08607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQEGGSSSASMNPEQKYCMCGKRARMNTSWTYNNPGRRFFTCARPKEATGCHFFEWVDDDFSGRAMAVITHLNHRRLYLEEKLKLVEENLSENDEKRKILQCQVEELTEGRIVMQAENQMLKKQMYFCVCVVVAMVALVLMLK >KZN12081 pep chromosome:ASM162521v1:1:50840507:50843656:1 gene:DCAR_004737 transcript:KZN12081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTEGDMVAVGEMLIQPQRREVVVPRDPGLQGLDQDHRSSSSDDSDGGDGMDIKKASNGNGHHVMKAEAENFGKSVYFDEGSGFWKCRHCVWTYGMGNRRRFNLSDFRDSADELSLHKEKNGVAESDVKQKISDPIQGYSEDNEILPETSHEPEIKPEIPSAKVKSKHVKLENLIEESDDDEDVIELEFEREIKRRDTHTMHCPNCNAVITKVVLRKKRTKKGLSATPPQPEPVDLLGCLSCCSVFVPSGNCFSWIRLLANGGEDSEVPQQTNILQSGSTGNDGNMVIDKEGDCFSLFRIFGNKPEKKSTQKPPEQSSYDSALAVGNPITNQGDGHAQDKDQNLEGIPNVVQPVPNGSAMVNQNVVRPVPNGSSMVNQNGGASVNQNGDGMSTSNHKIGTHPGYPKPPLSTSPADCTIDVGEHVASVPQGNGTSVGEEPQSLPGIIQESRGEIPTRLTGTGDSKSLEIVKSIVYGGLMEFITSLSIVTSAAASGATMLNLLTIGLANLIGGLIIIGHNLWDLKSDQCEGASNKYQEQLGKKENFLLHATFAVLSFLVFGLIPPATYGYAFEQMNDQNLTLGAVAIASLLCIIILASCKAYIMKSEKLSMYIKTIMYYVCIALMVSGISYEAGDLINKLMENYGWFEKTSAFPLSLPGASSRINRVPWTSY >KZN11534 pep chromosome:ASM162521v1:1:45526437:45529581:1 gene:DCAR_004190 transcript:KZN11534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMYFLRIKLQCKLSRKGSNSEKKMNSNADTEKGGKRVDSSTPEKPILVTVGATSHPFDQHISITTPNTTTETTESKLGCKRNSFKRSSSWTIAPRRILFFFATLSSMGTILLIYLTLSMKNGGRNAHSMG >KZN08196 pep chromosome:ASM162521v1:1:3813544:3815061:-1 gene:DCAR_001261 transcript:KZN08196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENNNFVLGRNKSGNGSEKAVTYDAHGSISAKGKRKVMEDTLTVNLGFLRWESRKYDYFGVYDGHGGSEVATACRDHLHHLVVKEVEKQPGKMIDWEKVMVTSFLRMDEQVIAERVDGLTGSTAIVVVVGEEVLVVANCGDSRAVLSHSNIVVPLSFDHKPDRPDELKRIEDSGGVVVNWNGERGQGVLATSRSIGDEHLKPHVIAQADVTVKARSDLDEFIILASDGLWDFISNEEACKVVRFCLERQTQKSTIKKSSVITDENETKSRAAVAAQSLKRIAMKRGSEDNISVIVIDLNKLGKTSA >KZN10456 pep chromosome:ASM162521v1:1:35850065:35850322:1 gene:DCAR_003112 transcript:KZN10456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSNTGDANKANSEKTKKIEEGLPIETSPYMQYKDLEDYKQKGYGTQGHLEPKPGHGAANSTDAPTDSVSSHAPLPSTDKSAV >KZN11891 pep chromosome:ASM162521v1:1:49142029:49147928:-1 gene:DCAR_004547 transcript:KZN11891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLLKTLFLNSSLSPSPPLLSFSPPHRRLLISFSTTTSKITSKTKKKSKKTKQNQKPTTKNINDAIKDDVKSKRLADLAAVKRRTRSDKEFDEEAVLKFGDSGSHIPVMLGEVLEVFGCVQLRSFVDCTLGAAGHSSAIIQAHSEMQLYVGMDVDPVAHEKARARIDDILHAKSCSSALIPKTHIAVENFRNVRSVLSEVDKNIKVSGIDGILMDLGMSSMQVNNSKRGFSVLNNGPLDMRMNPKASLKAEDILNSWPDTELGRILREYGEESNWRSLQNKIVKARLSGGLHSTGQLVDLIRNSTFNAKGGRQGWIKTATRVFQALRIAVNDELRTLEESLHTCFNCLAPGGRLAVISFHSLEDRIVKQTFLNIFSTSSDINQAIAEGGSCSNDKDAIKLNRAIDEQEAWVRQMIKGKNAIILTKRPITPSEDEEKLNVRSRSAKLRVIQKTTYTYTITLVYGATQPVMLIPVEVGRRRAAIAPYVDIFRIGDT >KZN10714 pep chromosome:ASM162521v1:1:38372493:38372696:1 gene:DCAR_003370 transcript:KZN10714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNNSELYLKNCKIMQENERLRKQAAELKQENRALFTELKHRLAASISAGDKAAVDCNSKKTETKK >KZN11313 pep chromosome:ASM162521v1:1:43637606:43639452:1 gene:DCAR_003969 transcript:KZN11313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDNINDSKYDATSNDDVTAGDSDGDNRYCDAADEFPFYDCTDFDEINRSIAPESSVSESNLIKRSESDPDLLKPKLRRRRTFSRSSRSDDKESGDDSAVSSLFDDARLAVSAKKFRFLRNNNSNKDDSDGGKLGPRNDEFQLRRNVDDSSEVIKADSVVTNDNSVVPSVSNNERTEDSNRVDSQVAVVDNRSSGVLFMLACFVISAIGFQISRRCKDFVIRRLLKLFGGIYGRVSPMIFQWVKEQKYVWKLGFRFGWGMLWSFYVCIVLVGIFVSAFVISGFLVSVFVEEPVHIKHPLNFDYTKPSPVALVPVLSCPDDSCGVNCREKIEIGKLGGSRVIPPKHKMEVIVSLTLPESDYNRNLGIFQVRVDLLSAEGFTLSSLRQHSMLHFRSEPVRLLLTFFKIAPLLAGLYSETQVMGIRFRGFTEKNDPTSCIKVIMEQRAEFKSGAGIPEVYDASLSLQSELPLLRRLIWYWKKTIFIWLSMTVFTTELLLALLCCSPLILPRRTRRGNISNIGAPQNASPVQF >KZN08937 pep chromosome:ASM162521v1:1:17871113:17874818:-1 gene:DCAR_001593 transcript:KZN08937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTSDLSREVFADSSSLNNPKKPKRNKYAFICAILASMTSILLGYDTGVLSGAAIYIKKDLRFTDVQIEVIVGIINIFSLLGSAVAGRTSDWIGRRYTMVLAGVIFFLGAILMGFATNFAFLMVGRFVAGLGVGYAMMIAPVYTAEVAPASSRGFLTSFPEVFINAGVLLGYVSNFAFAKCPLWLGWRLMLGIGALPSVALAVFVLYMPESPRWLVMKGRLGEAREVLEKTSTSKEEAHQRLSDIKEAAGIDKDCNADVVEVPKRKKDEAVWKELILHPTPAVRHAAITGIGIHFFQQACGIDAVVLYSPRIFEKAGIKSDDKKLLATIAVGICKTVFILISTFQLDKIGRRPLMLTSMGGMVISLLVLAGSLTVINRSDHTIGWAVGLAIFAVYAFVSIFSSGMGPIAWVYSSEVFPLRLRAQGCSIGVAVNRGMSGIIGMTFLSMYKAMTIGGAFLLFAVIAAIGWVFMYTLFPETQGRNLEEIELLFGSYFNWRKTLRDLKAKEAAEAKNHEVVV >KZN09311 pep chromosome:ASM162521v1:1:22832512:22833362:1 gene:DCAR_001967 transcript:KZN09311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEESTGQYIAMSYRDQQANSEYARSGTTSQRRRGRGASMNNLIDSLPFTPDHGSFPPHLQCSQQI >KZN11556 pep chromosome:ASM162521v1:1:45706806:45707117:1 gene:DCAR_004212 transcript:KZN11556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSILTRRSNAYTKLEIEDPEDTRHRRAQFLIYKVLQQAADSSPRRRKRSWLRIRMCRLKIRIGKRLKKLRKGFVLSIGAAKGGAHKQAISHMKTWKRLFGLV >KZN12054 pep chromosome:ASM162521v1:1:50645266:50654195:-1 gene:DCAR_004710 transcript:KZN12054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQQRNSGGSSPESVVPARDAAVPATEVAVKSGSSSGSELDSKEAMASSLSNGGGSNSSGNASRSFPRVNTLPVEFLNSDKFMPPPSQLKLERSKTERQKHHNIRAEDAAQIFDDKLPIKEKLSLLKRIATVKDDGTVEFEVPGDVAHQALNVPPESVYNEVDDDPLDSADLQDIPPLQIVILIVGTRGDVQPFIAIGKRLQYYGHRVRLATHANFKDFVLTAGLEFYPLGGDPVVLAGYMVKNKGFLPSGPSEIPVQRKELKEIINSLLPACTEPDVDTGIPFKADAIIANPPTYGHTHVAEALKIPIHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGTQGSDSAIPYGYIWSPHLVPKPKDIEYLICFALFKYILDKPVQEPAKMTKIIVDALEITGQRGIINKGWGGLGELAEPKDNVYPLDNVPHDWLFLQCAAVVHHGGAGTTAAGLKAACPTTIVPFFGDQMFWGERVHARGVGPTPIPVDDFTLPKLVDAIKYMLEPKVRENAIELARAMENEDGVAGAVRAFFKQLPNKNKKNTPEREREREPAPSKFFLSKCFGCA >KZN08768 pep chromosome:ASM162521v1:1:15126633:15126998:1 gene:DCAR_001424 transcript:KZN08768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAHYESKGLTTQQASLRVIEDLQKALFRKLVVENTTRKAVNAGDTTAAGVSSGRKLDVINSRLMNLDMKVDTKPGYPQALAIGVASGVMVNGIGAVVPHLASSFANLWNAVRNFSSGGGN >KZN10509 pep chromosome:ASM162521v1:1:36434801:36441802:-1 gene:DCAR_003165 transcript:KZN10509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSTVLGFSGFGVGVSAGLVIGYYLFIFFQPTDVKDPQIRPLVEQDTKSLQRLLPEIPHWVKNPDYDRVDWLNKFLEYMWPYLDKAICKTAKNIAKPIIAEQIPKYKIESVEFEALTLGSLPPTFHGMKVYVTDEKELIMEPAFKWAGNPNVTVAIKAYGLKATVQVVDLQVFASPRITLKPLVPSIPCFANIYVSLMEKPHVDFGLKLLGADLMSIPGVYRFVQELIKDQVANMYLWPRTLEVPVMDPAKAMKRPVGILHVKVLRAMKLKKKDLMGASDPYVKLKLTEDKLPSKKTVVKHKNLNPEWNQEFHMVVKDPESQAIEFTVYDWEQVGKHDKMGMNVVSLKELSPDEPKELTLDLLKNMNPNDPINEKSRGQLVVELTYKPFKEEDMPKDFDESGEVEKAPEGTPSGGGMLVVIVHEAQDVEGKHHTNPFARILFRGEERKTKHVKKNRDPRWEEEFSFVLEEPPINDRLHVEVLSSSSRIGILHPRESLGYIDISLADVVHNKRINEKFHLIDSKNGRIQIELQWRTS >KZN09303 pep chromosome:ASM162521v1:1:22771965:22774916:-1 gene:DCAR_001959 transcript:KZN09303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEANSGGGGGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIILPLNGISFFLDLFNYYVDSDQHDACSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSTGDEGWVAFRNILGEIHEASRLFLMSKQESSEPSERLVGLSDDVGVGFISGHSSQAVSASELNAEKSIDLRSADETGNMGTSKVIRVDQKRFFFDLGNNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEMVGHFVEITKDRIEGLTGANVRTVDPPQR >KZN11233 pep chromosome:ASM162521v1:1:42872016:42878999:1 gene:DCAR_003889 transcript:KZN11233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTFKILAPVIKWVMKLFGLIPKLVEIEPGTVLNIWLPSETSKTTTPHTNKPAVVFLHCFAANGILTWLFQAISLSNTYSVYVFDLIFFGDSTTDKPDRTATFQAECVAKALHKLGVEQCTIVGLSYGGMVGFEMARNYPDLVESLVASGTVMELTESITAASLAKLGADSWSELLMPDTVDGVKKALTVGTHELPWIPNIFFRHFLEAMFDNRKERNELLKALVVKDNDAALPIYTQRIHLIWGDDDKIFNLKVADNIKQPDEASVVSTLSACIALKDLELGRKIHDYICNEIGFTMIIGNALLDMYAKCGCLDEARRIFDAMPRKNVICWTSMVSGYVNCGQLDEAKDLFERSPIKDIVLWTAMINGFVQFNRVDEAMLLFREMQYNGVKPDKFTVVALLTGCAQVGALEQGKWIHTYMDENRIAIDAVAGTALIDMYAKCGCIDKSLEIFYGMKEKDTASWTAIICSLAVHGRTSKALELFTTMKQSGFVPDDITFVGVLTACSHGGLVKEGRQYFHSMMNIYQIEPKTEHYGCMMDLLGRAGLLDEAEELMKKIPDGNKDAVIPLYSSLLSACRIYGDVDMGERIAERLVDLRSGDSSVHTLLANIYASANRWDDVTEVRRNMRALGVRKEPGCSSIEVDGKVHEFLVGDASHPAMEGILSMLNCIARPLSGIEENKEEKEYLESLIL >KZN11066 pep chromosome:ASM162521v1:1:41427095:41430926:-1 gene:DCAR_003722 transcript:KZN11066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLPMIMLRWFLEFLFCHAVYEEEDDLVSDETPVTGFWSGFIWLAVMTVVISVLSEYVVGTTELRWFLEFLFCHAVYEEEDDLVSDETPVTGFWSGFIWLAVMTVVISVLSEYVVGTTEEASASWGLSVSFIIIILLPIVRNATEHAGAVIFAFNNKLDIT >KZN08150 pep chromosome:ASM162521v1:1:3175518:3176028:-1 gene:DCAR_000819 transcript:KZN08150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSRLLVCAFFVILSVFCLQTEAAYSRIPVLYDTGAYSADDIGYCDSKCPSLKCCVCARIPHICAVCCDENADSATVNKHD >KZN11077 pep chromosome:ASM162521v1:1:41537953:41538108:1 gene:DCAR_003733 transcript:KZN11077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICTYNEIIFVPEQLSSDPQFIPPQHIFPTVSACLFLNPKVLDAYPFKKK >KZN09620 pep chromosome:ASM162521v1:1:27710949:27714532:1 gene:DCAR_002276 transcript:KZN09620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMATSNPPHIFPLIPKTTTTHFPPLSFSLTTLFLLLSSAVSVAGNNPEAAALYSWIHSGPSPTPPPFFSTWNILDQNPCRWDYITCTSSNHVSEIVIQSVPLKFTSLPSNISSFEFLTKLVISDANVSGAIPPELGDCSALTFLDLSSNALVGSIPATIGKLQNLEDLVLNSNQLTGKIPFQLSNCTSLRNLLVFDNRLSGNIPLELGRLVGLEALRAGGNKDVTGELPEELGNCGNLTVLGLADTRIFGSIPRSYGNLKKLQTLSIYTTMLSGEIPAELGNCTELVNLYLYENSLSGSIPVELGNLRKLEKILLWQNNLIGLVPEEIGNCKKLNMIDFSLNSLSGVIPLSFGGLSELEELMLSNNNISGSIPSSLANASSLVQLQLDTNQISGLIPPEIGKLRNLEVFFAWENQLEGSIPMSLGFCSGLQALDLSHNSLTGTLPSGLFQLQNLTKLLLISNDISGSIPKEIGNATSLVRLRLGDNRITGEIPREIGGLKSLNFLDFSGNHITGSVPDDIESCTELQMVDLSNNALGGHLPNSLSSLSGLQVLDVSGNQFVGPIPASFGRLVSLNKLVLSKNLLSGSIPSSIGLCSSLQLLDLSSNELVGNIPMELGKIEALEIALNLSCNRLSGPIPSQFYALNKLSILDLSHNKLEGNLSPLSGLENLVSLNISFNNFTGFLPDNKLFRQLSSTDLAGNQELCLSGRDSCFSSNVDGKGMGENKNHVRTSKTIKVAIALLVTLTIAMVVMGAFAIIRARRSISGDDDSEMGDSWPWQFTPFQKLNFSVEQILRCLVDSNVIGKGCSGVVYRAAVNSGETIAVKKLWPSTVGGNNGCNEEKCVVRDSFSAEVKTLGSYGYIAPEYGYMLKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRQKRGGAEVLAPGLLSRSESDIEEMMQVLGIALLCVNATPDERPNMKDVAAMLKEIKHEREDYAKVDALLKGSPATNAKENKNCQGLPASSSTKAMRSLYHTSNNTSFSASSLLYSSSSNGRMGFK >KZN11528 pep chromosome:ASM162521v1:1:45490835:45493701:-1 gene:DCAR_004184 transcript:KZN11528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSICSTLLSTPAAQNTAYTSCSGEAKYSPGSSFEANLKLLLNFLSSNATKNNGFYNATAGQGSTEVAYGLSHCRSDFSSDICQTCVSEATKDILTQCPKFKQAVIWYEECFVRYSNRSIVAINNETLGWHFGNPSSVKTDAGFKQVLDSTLSDLVTRASNVTLSHSKFNIRFATESVKYGAQTLYGLAQCTPDLSGNDCGHCLRKAIRFLPQCCDRKQGGRVVLYSCHFRYEVYPFFNIKDSAPSPPSTLSSLQKHKGKQRSSQKLFILIALLCGILANGKEIAVKRLSKSSNQGVAEFKNEVVLVAKLQHRNLVRLLRYCFEGEEKILIYEYIPNKSLDYVLFDPEKQVLLDWPRRYNIIEGIAKGLHYLHEESRIRIIHRDLKPGNILLDADMNAKVSDFGMSRIFGMDQNHGKTSRIVGTYGYMSPEYAMHGDYSVRSDVFSFGVLVLEIISGIRNSSFYHSTHADDLLCYAWRLWRDGTPLEFVDPGLSYSYSRDEAIRCIYIALLCVQEDVNDRPSMDAVIHMLNSHLVTMTMPQQPPFLQNSRRCSLIKGLESDSSQKFATFCSVDEASITGIYPR >KZN09256 pep chromosome:ASM162521v1:1:22166060:22177225:1 gene:DCAR_001912 transcript:KZN09256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQIFSRLLSYSAARKSASAAAASALQAPTYKTAAPTAWPHRFAGSSAFARYASSDASLRNEINDEGFKGHDMLAPFTAGWQTSDLNPLVIAKSEGSYVYDTSGKKYLDSLAGLWCTSLGGSEPRLIDAAAKQLSTLPFYHSFWNRTTKPSLDLAKELLDMFTASKMAKVFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIAREKSYHGSTLIAASLSGICNSKTSYNMKVLIGETEEDFSTRLANNLEELIIKEGPETVAAFIAEPVMGAGGVIPPPATYFEKIQAVVKKYDILFIADEVSTYFLERNIVDRVKSLSPKFQDGVKAFSDSPIIGEIRGTGLIIGTEFADNKSPTNPFPPEWGVGAYFGAQCEKHGMLVRVAGDNIMMCPPFIITPEELDELISTYGKALKATEERVKELKDQKK >KZN07865 pep chromosome:ASM162521v1:1:262893:268868:1 gene:DCAR_000534 transcript:KZN07865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQKKKSKNKRITLKRKSKVIKKVTEHHKKKAKQAQRQLGIDRKKLKENDNNGDLEKAASVVSGDWSVKEQDLNALQAHRARTVDVVRKERANKRKFELLEDVEPDRGFGNEESSTAKSLGMVKIRDNSDRAFYKELAKVIEASDVILEVLDARDPIGTRCADVEKMVLRAGYEKHLVLLLNKIDLVPREAVEKWLKYLREELPTVAFKCSTQEQRSNLGWKSAPKASKAAKVAAKPGNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVVGLPNVGKSSLINSLRRCHVVNVGATPGLTRSMQEVQLDKNVKLLDCPGVVMARSGESDASIALRNCKRIEKLEDPAGPVKEILNLCPAETLVSIYKIPSFNSADEFLQNVATARGKLKKGGIIDVDAAARIVLHDWNEGKIPYYTMPPTRDAGVPSEAKIISELGKEFNIDEVYGTESFIGSLKSADDFQPAEMLASATITSDEKMSEDVMQGNKVVDQSHDNDDECMAEEDSPDVKMAKTASGRQNVKLYATTDVLNTKLRKAEKRRMKKAKKLSAMEAKMESDDIDDFAEDAAMNDVDEDQSIVTSSKNRFEIPVELDK >KZN09238 pep chromosome:ASM162521v1:1:21952377:21956252:-1 gene:DCAR_001894 transcript:KZN09238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCAETLEWTAYGQCGHREVCSTCVARLRFICDDQNCCICKSNLDTVFVTKAQGDYTSMIRDFSVFPSEPKEGKAGSYWYHEGTHAFFDDPDQYKTVKAMCKLSCIICDKVHQQGNGNTKRYGNFKNIDQLKDHLSCHHKLVMCNLCLEGRKIFICEQKLYTKEQLNQHINTGDSEVDGSEAERGGFMGHPMCEFCRNPFYGENELYTHMSTEHYTCHICQRQHPGHFEYYRNYDELEIHFRGDHMLCEDEGCLAKKFIVFATESEMKRHNAMEHGGRMSRSKRNAVLQIPTSFRYERNTEQDRHRRGRSFHPDHFDIELSRAVQASLEPTNANIHHASSSGFEVLSSIGAAAENDSKFRPLELLSSSREFEPSSSHHHQQGRNARNVPLEESSFPPLPVSTKNNQQNLRSKGKGSGKNTMAARLRRHNNVAVLHSAQAGTRVNLHPTASSSSGSKSRLTTISGHKSSFASSSSSLGKPASESILVRHDNAIPGNRTLADYVSVSAGSDRSSSTVSGSRKVNHSASEPNLLAKGSYSSNADFPPVSLTKTKDSAASNQLLVKVEETKAANKSLVQRIRAGLLFDEDRYTIFKIISGEYRQGVINTGEYVAYVHQFGLSHLLSELAKLCPDAQKQKELLEASKINLVSSSSSETSADMVNRQLKEHKTSRKGKEKLVGVETSINPGVADNLISSAKVLHSGHRPPEEGIEILEKDGYRSMKGKSKVSVADGVTNSGSSIMVPVENTLLNDYTSSSSSKKIGEGNKPRKKTSKFHRVRLGEDSPAALLGLGHSESTPDLSQQKPSQSNERSRKLAVGGVWQNGGGKKLVAILR >KZN07863 pep chromosome:ASM162521v1:1:251860:253163:1 gene:DCAR_000532 transcript:KZN07863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHKVSLNVYDLSQGMARQFSMTLLGKPIEGIWHTGVVVYGNEYYFSGGLEHSPAGATPYGTPLRVIDLGITHVPKDVFEMYLQEISPQYTAESYNLLKHNCNNFSNEVAQFLVGATIPDYILNLPNEVMNSPMSPLILPMIERLEQTMKVGAVPQAPEFKEQILFPDKETTSTKVGHDPLGINKKEGESKSSGTTKDNNNPGQAKAPGLGDARGKLQEEIASEFAAIMASGTLGASEAAVLATRRVMEKYGSTKSTQS >KZN08651 pep chromosome:ASM162521v1:1:13031559:13034517:1 gene:DCAR_001181 transcript:KZN08651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANTGGQFGDTTCTKVFVGGLAWETQKETMKMYFEQFGDILEAVVITDKTTGRSKGYGFVTFREAEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGGGKSFRVMSGFQATGFQSGMGTAFASPTTYPHYAIQQGIPYNLYGYISLWLLTVSDNGQTAEMRTISNLNTAHSCLKLNMHYSPYSADYTYPTNYYSVYGGAAHAQYPLYGAGGTGGMITGAAAAAAAFYPYLNFGEGTTGGTPAGGYTGGQSYGVQYPHHNLYQYSALNSAAAAYPQHYGAPMSLATTPGMQSGMTMALPAPVLNR >KZN11260 pep chromosome:ASM162521v1:1:43169852:43177222:1 gene:DCAR_003916 transcript:KZN11260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIALDLEELRQLQSVAKRPRIVSLINSEISNLEKLSKDAITKETPKIPAPIAATKHVVTDPALKYVTLASFSWDQDDDKVKIYVFLEGVDQQKIESDFKPQSIDIKFHDVQGKNYRYALPKLNKKIEPEKCKLLVKPTRVVITLYKASRGTWTDLHYKEEKIKPGLDKEKDPMAGIMDLMKNMYEEGDEEMKRTIGKAWTDARSGKTMDPMKNFS >KZN12019 pep chromosome:ASM162521v1:1:50337496:50340131:1 gene:DCAR_004675 transcript:KZN12019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWSMSSDHCAWEGVSCDHKTGNVIGLDLSCSQLEGAILPNSTLSQLSHLQFINLXSSTFHKTTFLSPINSLETLVSLQKNLTHLRVLNLQGVNISSVLPVNISSSLRVLNLGQTYGLHGVVPQEVFHLPNLEVLDLAYNDDLRATLPKVKWGSSATLQHLHLSSINIIGGLPDSIAFLESLGSLQLYMCNLSGLIPRSITHLGQLTYLGLSINNLHDPIPTSLANLTNLRLLALSNNKFIGSLPQWFFHHPSLEKLYLGLNGFTGQLYEFDPSKSQLRTFICENNQLSGPIPQSFSQLLNLTELDFSSNNFSGVLDFNYMFSRLRYLDSLDLSHNSLSVRNASLDTLPPKLRKLGLSSCKIKDFAHFSKDAKTSLFWVDLSNNDIDGEIPLWMGSVYYYLNVSHNRLIGGIEQLPWNNIIYLDLHSNMLNGSLPAFICDSSNLEILNLSNNNLSGVLPDCSKKLTSIRVFDLRMNKIQGNIPATLSNFRSLETINLNGNNLQGRIPSSFAEFEYLKLLDLGSNQINDTFPQCLEGLPKLQVLVLRSNRFHGIINTSSKVERPFLNLRIIDISSNEFSGPLPAIYFKSFKAMKNGEVNKMERSYMGDNTYYSDSTCLVIKGVKIKLVKILTIFTTIDVSRNNFEGEIAESIGNLVALRFLNLSHNHLTGVIPSSVGKLSMLESLDLSFNRLEGEIPQQIAGIYSLGMLNLSCNQLRGHIPKGSQFNTFENNSYVGNFGLCGHPLSKECKNDTMTQEEEVEEQEKDEDGDDDYFFSGFTWKAVVIGYGCGVLPAFIIGYSMLVARKPKWFAGIIARELGLKIRRMEIKMR >KZN07873 pep chromosome:ASM162521v1:1:337171:341336:-1 gene:DCAR_000542 transcript:KZN07873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGNNLSNRSAFRRDTRGDRKRKFPDARSHQMQNVRPSACSEQRERVEDSRSDGAKTSEFAYFKKLKREAARGELRSRDREKMQFTDVESNHYGREKRVKLQPMFNEPKSSVPIGTKRSGDQPCLTPPVRAPKSSEINNMVETSDKDIILPLLNEKVTIPSNHNHWPSFSRSYPNNTGVQHCDKGIFGLKRQRLLQWVSGTLHKEIDELSSEGFSLVSMLLNRLFPEDNKENRDWEPKYSQADISARDQSPWIPNDDDNTQHSRGHYGMLKYHLMESEDAAYEDYSFTQNLYESCRDVVLPDLETGGGDSPLTSYPVKSYSSCINMKPYHKIDAPITENFFPESNSARNQLPLLPRDNIHPMGHWRKHTNHLEFENAGYQDYGFTRYMSESHCDVILPELDNVNADFPSTTYPIKTYFPCTYVKSDPYRDASFTKSLFQESNPCFQLQLENYGSIALANHPKNFDTCTDTNDSLIEREPHALLLGWDHSSEKDEQDLSISFYNRNVNLLAHSGDSDHWQSHHTEDENALSSSSLVSSYFLNCNSLAPPSSTNFRSTSNSGRYFEYEDCLYAKLDHFPVPFSCDLDSLKYLYGPSEDFSMNNHSGFRSDVLSSQDHFWNLNKVICENSFHDQESLFFSTRLDRELGEKHLTVSDYSTENQLSLLHAYQNPQHVGPSACFVEEDKECFLYEPSCTSDYPDSLNFQDLSALNIERARDTRVSLQRHRTSCGFPILESYHTEADEDNECEFE >KZN08627 pep chromosome:ASM162521v1:1:12569521:12570899:1 gene:DCAR_001157 transcript:KZN08627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISFLLALSLLLISSQLCVAAVHSDVPLVKGLSWNFHHSSCPNVETIVRKHLKKVFKEDVGQAAGLLRLHFHDCFVQGCDGSVLLDGSASGPSEQQAPPNLSLRSKAFEIIDDLRKLVHDKCGRVVSCADITAIAARDSVHLSGGPDYEVPLGRRDGLNFATTEATLANLPAPSSNADTILTSLATKNLDATDVVALSGGHTIGISHCSSFTERLYPSEDPTMDEDFLKDLKYNCPPNSNNTTPQDVRTPNLFDNNYYVDLIFRQGLFTSDQDLYTNKKTREIVKSFALDQELFFEKFVHAMTKMGQLSVLAGNQGEIRADCSFRNSDNPSYLKSNVADFDESKSEL >KZN07931 pep chromosome:ASM162521v1:1:740827:745329:1 gene:DCAR_000600 transcript:KZN07931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSAKWRSDKSKIKGVFKLQFHATQVSWSGGDTLMISLVPADGGKPTSVLEKAKIRDGSCYWEKPVYETMKFTQDLKTGKINERSYHVIFSTVMIERIQDQREFEEGETLKDNPKDLSLRAHLSNSDTDGDINTTISEEERLSGSEVTMSSSETSSEHNTTRVLGMTNVNTTKDGKSFLSSLAQSPLAWRSKPDASAEVYDERLGSQSEWSGGSLPDASTDDSLNSPREALLGERPQDTSEILIEKLKAELAVSARQAELSELELQTLRKSIVKESKRAQDLSREVSSLKEERDSFKEECEKAKLRNKLEYKGGDPRALLEELRQELIHEKDLNANLRIQLHKTQESNSELILAVQDLDEMLEEKNKEILGLSNRSATTENAQSIWETNSRSSVDLDEEKNSLKKLVMEHTDARDAYMQEKKIIDLCGEVEIFKRERDDLEMQMEQLALDYEILKQENHNISSQLKQSQLQDQLKMQDECSECATSYSALTGYKTMIESLEIELKKQSEAFSDSLLTASNLENHVRSLEEELEKQARGFEADLENLTRSRVEQEQRAIRAEENLRKTRWQNAKTAVRLQEEFKRLSEQMDSTFLANEKLATKAFTEAEKLRLEKNCLEEKLRQAKEEAKSVTEHHEAKLLEISMQLESKLNQIEKMQAEVEYKSVEFKNQRKHAEETQRTLSQEIQSLQSEIIRLERVDDVSSKQTEENETLRAELEQMKAAVKDTELLLEKEATERKDLESMAALLKMEAQSLLKDLNIMRSVKDEAKSMSENLQSELEALKTQYNELKHSTAAEESQKEKLQKQVIQLKGELKKKEDALSFVEKKIKDGNGRTPVSEMAKTRNNKSVPIPRGSKETVNLKEKIRLLEGQIKLKEAALEMSSKTFLEKEQDLQRKIEDLEKSLEILNQKSASSCYYVCQKSMENIGNLNSAASEEAKTVAAVAQDLNTTKCTSEETGFSPGLAERNNDISSDNELKDPGTDSRDNANLDKLLNEMELLKERNRSMECDLRDMQQRYSAISLKFAEVEGERQQLVMTLRNFKNPNKSWSLFT >KZN08370 pep chromosome:ASM162521v1:1:5735316:5735744:1 gene:DCAR_000916 transcript:KZN08370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRGKKIKMSASCAAHISQLTKDLGFKSEDETLQWLLDRAEGRGTATPSANAAGGASSETPKVPEKKKAEPICQDAVPKTSGSAPVGPTPVFIPGKGFWMVPDEGGKPQQVWPVPLVLTRGIGVRMQGPYAPGSSEQNQKP >KZN09366 pep chromosome:ASM162521v1:1:24259330:24259659:1 gene:DCAR_002022 transcript:KZN09366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTHNSNTEECGSCESGWTMYILSLDTNYNDGDISDDSVVSDASSGLSHEEQTSKDRSEGNKLDDSANLCSKPKILEKKISQDSDVIVKDEGFVQQSEFAAAHVKEGL >KZN09171 pep chromosome:ASM162521v1:1:21224266:21224463:1 gene:DCAR_001827 transcript:KZN09171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSQPKAKNQNLEILKLGFLKLVIFKEQRYNLYTALEGVSNYLTKILIWTAKRGFKVVLRQVSR >KZN08178 pep chromosome:ASM162521v1:1:3561916:3582993:1 gene:DCAR_001243 transcript:KZN08178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCNGVQLAPTFIVEVSVLLCDPHDFLDVTCNDVLACKPQLSRITIIRTINFRDVMLTKVCDIKIMTLERNQPNSPSIACRIGGLYFRDCFCYNLLFAYQLSFLRPELVVLGSKDVIFYCTKHLAYPVILNCIINLGTSNDNILSGGRKGASFNWNSNMLESSDMRHLEILASRCPGFASKSPPDVYCANGGDLNLTIDILSQLEVMQTSEADRVSKKRRFDSLDYNPPPAPVEKMDVDIPSLPGFTPRMYQRKIFKVATKRNTIAHLDTGAGKTLIAVMLIKEVAKSVKLSGEKRVILFLAPTVALVHQQYKFIKDNTELEVDEYYGAKGVDFWDAGSWEKEIDQNDEFYHNSEDKPKVFGMTASPVIKKDDSLYSIFFVQFDGSLLKLQASLSDNFRDTDEKQKLLRSRMSNIHQKILYCINDLGLMCAFEAVKILTENSPEVNTDCEFYMESSLQYKYYLEEVLSLVEESLPQGHESLLDEGHDYAKTVSTCFISPKLYELLQIFQSFGEAKEVLCLVFVEQIITAKAIERVFKKINYLSHLSASYLTGTNNSVDALTPKLQKEILDSFRSGKVNLLFTTDVVEEGIDVPNCSTVIRFDLPTTVRSYVQSRGRARQDNSQYLMMLERYYSSRPSFQILSSENFYQCVMTLPPNAAFKSVIGPFCGSTHLSKQLVCLDACKKLHELGALNDQLLPINEQPEKSDSIVKNKASSAGTTKRKELHGTTCIQALSGTWGEMIDNMEFFAYKIDFVCDDPDVHYSSFILLVESRLADDVGNFEVDLHLIDRVVKSSVSSCGQVPLDAEQVRKSKCFQEFLFNGIFGKLIISQISKKGRQILLQNNVSLWKPSYMYLLLPLESAKVPSQETWKIDWAVIETYGSAIEFFKLNAWLSAEQSGSVTGGLKNNDLIGSDVNCVGNIQFANGILPVTDIKDMVVLSVHTGKVYSVIEVLLDLSADSTFDGYSDEAPINYASFTDYFQKQYGMSLLRPEQPLLLLKQSHKAHNLLIDYKEAGFLSKKRKETSSKKVDRKPYDLVRMPPELLVFVDVGLSVLKSVYLLPSLVHRLESLMLASQLREEIKSDVGNVHISSSLILEALTTTKCGESFSMERLELLGDSVLKYAISCYLFLKYPNKHEGQLSDCRINAIRNSTLHKLGTDKKIQGYIRDNPFDPGRWTAPGQQSIRLFPCEHGVDTADVPLDSKFVSEDTKVMIGKCCDRGHRWMSSKTISDCVEALIGAYYVGGGLVASIQLMKWLGMDVEVQHSLLYDAMTNASLNLYSPKAIEIGIVESKLGYQFLVKGLLLEAITHASGEQATGRGYCYQRLEFLGDSVLDLLITWYLYQMHKDIDPGELTDLRAASVNNENFAAATVRKNLHFHLQHSSGLLQNQITEFARLVSGSYSNNKASPPAKCPKALGDLLESIAGAILIDSKLNLEEVWRIFKPILSPIVTPDKLELPPLRELNELCDSLGLFVKDNCLTKGAAVFAELSVQLNDTRLFREGWGQSRKAAKAQAALQLLKDLEDRGISRRKQNVDSIVASSSPNSAIPICNEACTESLTNLSSPKRHKAYDGRTTTQTPSTNGSKNNMCVLESIRMTKGGPRNALYDVCKKQQWPLPKFTTTEKKSRYPMEIGEGSEMRQGFSSFVSQITLTIPNYDVIVVSGHQRADKKSSYDSACLLMLSELEQRGFLSIAKS >KZN11813 pep chromosome:ASM162521v1:1:48316600:48321010:1 gene:DCAR_004469 transcript:KZN11813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFWYSGSDLISRKICSPLYNSFSANGVHSFELQSARKIWTSGPLCMGRRSSKIAGRKGAQDAKKAKLYSRIGKEVISAVKKGGPSLVSNKALADLLEKFKELDVPKEIFERNVKKASEKGQEAYIEKVYEVYGYGGAGIIVEVLTDKVNRSVALVREVVRDCGGKMADPGSIMFKFRRARVVNIKAKNADKDQLLAVALDAGADDVIEPPIDEEDNEEDLSERYYKIVTSTENYTAILSKLREEGVNFEPDNGSELLPLTTVETEKRADTYCHTGLLHNQFIVEALVILRGIAKMEKVDDEAEDLNKDLMAKLLELDDVEAVYTDQK >KZN08480 pep chromosome:ASM162521v1:1:7531728:7534120:1 gene:DCAR_001026 transcript:KZN08480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQQQINGLQQQLMMVEEQLRIFEPDPLRFTSMDELESCEKNLSKALKRVSERKKYLLSNHLSTYDPSTLQQINSAVKLMFEAQNGTNSFGNNAMNCWQEMNGNNNGGVSGHNHENILVSPDTTCMPLSNSPPGVYESTSTNNGETQSVGVHHINNPGEEECLQQWQHQSSHDFLNALLPPHDSFSLVKDELLPNSMLTPLIQQQPIDEASPSVQLPSSEESANYEHKLKLPKIN >KZN08164 pep chromosome:ASM162521v1:1:3396758:3396967:-1 gene:DCAR_001229 transcript:KZN08164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFWSAVSAHFFGVVLAGFLVNLLVNLGLKYDVLTGIALFIILTFMWIILYPAFHPVADKKLLVLFLNI >KZN08344 pep chromosome:ASM162521v1:1:5467564:5473690:1 gene:DCAR_000890 transcript:KZN08344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKMGFTITTPKFFNAPFRKPFIYASSSPCSTRAHQTIHFIRRNHLPIRRVILLSPKATTDQPGQVQEDEVQDSNVLPYCSLEKKKKSMGEMEQEFLQALQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGNPILTDEEFDKLKLQLKMDGSDIVVEGPRCSLRSRKVYSDLYVDYLKMFLLNVPAAVVALGLFFFLDDLTGFEITYLLELPEPYSFIFTWFAALPLILYLSQTFTNFIIKDFLILKGPCPNCGTENTSFFGTILTISNGGSSNTIKCTNCQTALVYDSKTRLITLPEGT >KZN10110 pep chromosome:ASM162521v1:1:32690846:32692603:-1 gene:DCAR_002766 transcript:KZN10110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKFILFVVLNLCFVSSQSTSTSINCPLDFTILSHLSAASRNSTDVISQCKLILQGIRLVQSDYLRHSNSFLVSTAFTESCWQSLQSVFNEYPHSFNIRSTCGFQAQFITQGCNNITTRGQYEAKNSHLTLNNVVKSCKKTLQNRNFCAECSLATSGLGPSDSHEALPSDVKGEKVYYESDCVGYRWMYAAAFGNSYGPADRHTANCLLALTFDSPKSNKKHIKTITFVFLAFGFLILVMACVGFWLMWRYKLNMYKRQKKIFNSSPDLDMIGGDATLIKFTFSEVQKATNNFSLLNIIGRGKYGNVFKGVLPDGTEVAVKRFKNCSAAGDTSFAHEVEVIASVLHVNLVALRGYCIAITKYEGHQRIILFDLMKNGSLDDHLFGSTEKKLSWPIRQKIALGTARGLAYLHHGAQPCIIHRDVKASNILLDDDFEPKVADFGLAKFALEGVSHLSTHAAGTMGYVAPEYALLGQLTERSDVYSFGIVLLELLSGKKALFEHQDDQYTLLADWAWSLVRKGRPLDVVEEGIAELGPVEIMENYVFLAVICCHPQLYARPTMDQIVKILDSLSPVPVIPERPVSVN >KZN09087 pep chromosome:ASM162521v1:1:20087897:20088347:1 gene:DCAR_001743 transcript:KZN09087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPPVGNPPPQGYPPQDQAKDAYPPQGYPPQGYPPQGYPQPGYPPQGYPQGGYPPQGYPQQYPPPQYAPPPTHQQQQQNSSSGCLEGWYFSRFFTLLY >KZN11531 pep chromosome:ASM162521v1:1:45507907:45511210:1 gene:DCAR_004187 transcript:KZN11531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLRKINPYNIISRNRHFFFATTLNCSTLPTRQPSFSGSVIPVLEENARKDDEVFEKWQNGGGIFHKSACIDPTAHVELGAYIHARCHICANVHIGSGAIIGPDVTIGQSTTIGYNAALTNCTIGHSCVIHNGVCIGQDGFGFYLDEHGNMMKKPQTLKARIGNHVEIGANSCIDRGSWRDTTVGDSSKIDNLVQIGHNVVIGKNCMLCGQVGIAGSVTVGDYVTFGGRVAVRDHVSIVSKVRLAANSCVTKDINVPGDYGGFPAVPIHEWRRQLATHRRNSK >KZN09636 pep chromosome:ASM162521v1:1:28021299:28021544:-1 gene:DCAR_002292 transcript:KZN09636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNSHKQKKSFSLFSMFKTKKRARRVEDVDGVSDDLVNAYRVYPSDEDRGRWIADRRIDTKASAYIATVQSNWNRSEVSN >KZN08405 pep chromosome:ASM162521v1:1:6292528:6294822:1 gene:DCAR_000951 transcript:KZN08405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFLIAAGSSGQTLDQLFSFLKTKNTDDFNSLYSDIIDREFLLGRSLFVFGQWCLARRLTLMPSLKESPFKSKHIKLVTVFHKSQSWGSSIVTFVPITPSPGTATSYQIYAADLQATSNIGAEVKIECRFQATSPRTNEQITFSVNRTTNKHGIYKLELAAIDGIECARENAIGNLCRASLIRSSNHACNVPGYKSTTDEITIKSQAANLCIYSLNVMNFRPSKTDIALCGN >KZN09445 pep chromosome:ASM162521v1:1:25332887:25337295:-1 gene:DCAR_002101 transcript:KZN09445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRASPFLLVLVTLGFFFATYNLITVVIHHKTGVSVGLELVDPVTGLTREEMATSANPSARYHVALTATDAAYSQWQCRIMYYWYKKYKDMPGSGMGGFTRVLHSGKPDKLMEEIPTFVVDPLPPGIDRGYIVLNRPWAFVQWLEKGIIEEDYVLMAEPDHVFVNPLPNLAYGGNPAAFPFFYIKPTENEKIMRKYYPAEKGPVSNIDPIGNSPVIIKKSMLEEIAPTWMNVSLRMKDDPEADKSFGWVLEMYAYAVASALHGVKHTLRADFMIQPPWDREIKNSFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSHLLGPPPKNLPLPPKGVPESVVRLVKCVNEASANIPGWD >KZN10945 pep chromosome:ASM162521v1:1:40503674:40507067:-1 gene:DCAR_003601 transcript:KZN10945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERIKEEAMEIITAFSSSSLPRLVVFDLDYTLWPFYCECRSKREMPKLYPQAKGVLLALKEKGIDMAIASRSPTADIANTFLDKLDIKSMFVAQEIYSSWTHKTEHFQKINRLTGVPFKSMLFFDDEHRNIEAVSKMGVTSILVDNGVDLRAFRQGLAEFSQNSGSSARDKQK >KZN10617 pep chromosome:ASM162521v1:1:37621875:37642528:1 gene:DCAR_003273 transcript:KZN10617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEESSIPMHTDEPSNTLNNDNGDDAMSDPKNGSESDSESDSDDEAVKLQIQTLESELYANPSNYDAHVQYISALRKEGEIEKLRAAREAMNELFPLTPAMWQEWIKDEISMTSGSDTFTAVEKLYERGLADYLSVSLWCDYIKFVQDYDPFVREGSPTGISKLRNLFERALTAAGLHITEGFKIWEAYRKFELALLDTMDKTDIESRGIQVQRIRNIFHRQLSIPHTALEVTLLAYKSWEAEQGTSIDLNNSSLDGIAANTSSAYQKALEMLNTRVHLEDLVSKQESTDLERLQEYMAYLKFEQTSGDPARVQILYERAIAEFSISADLWLNYTEYLDRTLKAAKIVKDVYYRATRNCPWIKELWVRYMLILERLQASEDDISAVFEKSLNCTFSTLDEYLDVFLTRIDGLRRRISIGGPNVEYALIRDTFQDICNSWIRFEREFGTLQDLDHAVQKVTPRLAELQLFRLQQESKYVTASTDQTDTSSKVKEKKRKPVPGVNSEESPAKRQKGTTQSQKKSQNVDKNQLKIADVGDKKEHSEAQVSNPERENDVQTKASSITKSRHYNDQCTAFISNLNLKAGLVQVTSEDLRSFFSDINGVGDVRLLKDKFTGKSRGLAYVDFSSNENLVAALKKNKRTLLGKKVSIARSDPKKGKKEGVTEHGNSGSKESTQISEGNKGPHSDQGFRGKEVQLKGKNTFAAPRNVRPLGYTSNINTKPDVVGEVADEQPKSNDEFRKMLLKK >KZN11127 pep chromosome:ASM162521v1:1:41967330:41973842:1 gene:DCAR_003783 transcript:KZN11127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARLDLRVHPSGSTPSEESALDLERNYCNHQYIPSRSSSPLQAFATGGQLSETSAAYFSWPTSSRLNDAAEDRANYFGNLQKGVLPETLGRLPTGQQATTLLEVMTIRAFHSKILRHFSLGTAIGFRIRQGFLTDIPAILVFVARKVHKKWLNHIQCLPTALEGPGGVWCDVDVVEFSYFGAPAATPKEQLYTELVDGLRGSDPYIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFTEEFSISNVSTSVRGVGEIGDVNTIDLQSSINSVIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLTSQNGEKPRPVGIIWGGTANRGRLKLKVGEPPENWTSGVDLGRLLDLLELDLITTNEGLQEALWQQRNVSAAGIGSTVGESSPPESKQVKQKMEESYEPLGLKIHIPMEGESHREITPPLLREEFHIESGGEAVPNVEHQFIPSFTGKFLLHQDNKQKNPELENLAELSNRCEEISVSLNLGEPEPKRRKHCDSLLVNTDLN >KZN10973 pep chromosome:ASM162521v1:1:40757263:40766878:1 gene:DCAR_003629 transcript:KZN10973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLYPRLIQDVQLVIKELENVTILWEELWLSTLQDLHTDVIRRINLLKEEAARITENTTLSHAEKNKINAAKYSAMMAPIFVALERRLASTSRKPETPHEMWFHQEYKEQIKMAISNFKTPPATASALGDVWRPFDSIAASLASYQRKSVVTLGDVAPQLAQLSSSDVPMPGLEKQITLSDPERELTTAIQGTVTIASFLEHITILPTKTRPKKLVILGSDGQTYPYLLKGREDLRLDARIMQLLQAINGFLHSSSATCDRFFQIRYYSVTPISGRAGLIQWVDNVTSIYSVFKSWQNRVQLAQLSSLAGTTKNSVPPPVPRPSDMFYGKIIPALKEKGIRRVISRRDWPHEVKRKVFLDLMKETPKQLLHQELWCASEGFKAFSSKLKRYALSLSAMSMIGHILGLGDRHLDNILMDFSSGDVVHIDYNVCFDKGHRLKIAEIVPFRLTQTLEAALGLTGVEGTFRANCEAVLGVLKNNKDILLMLLEVFVWDPLVEWTRGDFHDDAAIVGEERKGMELAVSLSLFASRVQEIRVPLQEHHDLLVASFPAIESALMRFTNILNEYEIISTLFTRADKEKSNLVHDETSAKSVVAEATSNLERSRATYEMQTREFAQAKALVAEKAQEATSWIEQHGKVIDAIRSSSIPEIKASINLAGKEESLSLTSAVLVAGVPLTIVPEPTQVQCHEIDREVSQLMSELDRGLSSAVNGIQTYSLALQRLLPLNYLSTSQVHKWAHVLHVLVNNTISSDILSLSRRQAAELNAKVRAEGFDSVESSYDDLCQKVEKYGEGIQKLEDERLGLVNSIGSETESKAKDRLLSTFISYMQSADVENEDPPPSTSFGLSKHEKTLESRLEGKIEEKEKIITVLNIAVSSLYNDVKHRVVDILKHNADGSQTDTVNIFSKYEEQVEKCVLLAGFVDELHQYIIKSNLPILNANLDGSNYSFHRNWASLFSASLISCKGLVEKMLDMVPDAIRAVISYSSEVMDSFGSLSQIRGSIDTALEDLIQVEIERASLVELVQNYFLKVGLITEKQLALEEASLKGRDHLSWEEAEELASQEEACRSQLEKLHHSWNQKDMRNASLLKREASIKSALFSAEHHFQSLFSSEQDREPQISKVKTLLLALVQPFFDLESVDKALSSFAGPVSSQPSDMSGLENVANSGCPMSECIWKFSGILSNHSFFIWKVTVIDCFLNSCIHDAASSADYNLGFDQLVNIVQKKLESQLQGHLNQYLRQRVSPVLLTRLDTESELLKQIAVSAKDITFDDLKKEFGAVKKVKLMLEEYCNAHETVRAARSAASIMNKQVNELRESLLKTTFDIVQMEWIYDVTFNPLCNYRLISHKFLASEDNLLPVILNLSRPKLLENIQSSVAKLVRSLEGLQACEKNSSTAERQLERAMGWACGGSNSSSAGNSSVRNPGIPPEFHDHLIRRGQLLLAAQEKGSEMIKVCMSVLEFEASRDGIFQSSGEPYTFQSGADARIWQQIYLYALTKLDVTYHSLMCAEQELQLAQSNMETASSNLSSASNELSIISAKAKLAADDLQITLVAMKDCAYEASLVLSAFARANALLLPLESVLSKDVTAMTDAMARERETKKEISPIHGQAIYQSYYSRIKEACQAYKPLVPSLTSSVKGLHSMLTRLARAASLHAGNLHKALEGLGESQEIRSQDVNFSRPDVEESDPDFGNKDVNIVSKPDREYDEDIVDITGLSVQEKGWMSPPESIYSSSLELDELSAEADEKNVGDLDLTERLSNGHDIRETNNVMSSFSLTEYSSGETIKSESLVKSIGKDVLDPQQLETLVSPSHFMGSEVEVKVVERPLSDQDKVEGDINQAPLPNMDVTRITRGKNAYAMSVLRRVEMKLDGQDIANNREISIADQVDHLIKQATSVDNLCNMYEGWTPWI >KZN11284 pep chromosome:ASM162521v1:1:43406857:43410951:-1 gene:DCAR_003940 transcript:KZN11284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMATIILLCCTLLSTLRNSMAVDTIRAHQAIRDGETITSSGGEFELGFFSPGRSTYRYLGIWYKKISSGTVVWVANRNTPIMNTLGMARVDDKGITLKTDDGIIWSSNMSLSMKNPVAQLLDSGNLVLRDDDHDTDDNFIWQSFDYPGDNLLPGMKLGFNLDLYPNPNPSGQQRDLVGVKLPDTRHSWYDMKTNLAECGRLCLQNCSCTAYANADIRRGGSGCILWFNDLIDMMGYTEDGQDIYVKMPASKLVDSQRPKLIVLVPVVLLVVAVALAFLYVSRRRRQKAEESEPPREFLTKSLDFPETQKDPFQESLSKQNPENFDLSETGGVDDIENLMQLLGFDDGDQESVGLDLNSVCDDGFFGNVVKVKGPKCKKEVERLEGWIKYYMDRGEKNEPFILGHLLLAKAALVSDGVEGFEFPSTVDEFLQNDPPQS >KZN10459 pep chromosome:ASM162521v1:1:35884000:35892193:1 gene:DCAR_003115 transcript:KZN10459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSMVERATSDLLIGPDWAMNIEICDICNRDPVQAKDVVRGIKKRINSRNPRVQLLALTLLETIIKNCGDIVHMHVAEKDLLHEMVKIVRKKPDFHVKEKILVLIDTWQEVFGGARARYPQYYAAYQELLRMGAVFPQRSENAPVFTPPQTQPLSSYPQNLRTSEPGQEAAETSADSEFPTLSLTEIQNARGIMDVLSEMLSAIGPENREGLKQEVIVDLVEQCRTYKRRVVHLVNSTSDESLLCQGLALNDDLQRLLVKHEDLASGDVTENTKPELTRAIVPVDAPLIDTGDTKQNNGGSTSSAVAGKQSLQSTPASNGTPSISANANTKMVDLLSGDDDYSLALVPVGEPQPTVPVSQQNALALVDMFPQSNASTPQTYPSTPQLQQPQNFQSSQSSIYPNGSIPSNAPPQYGQSLYAQDRNPVWNGQIPQQQQQQQQQQQPPSPVYGSLPPPPWEAPPEADNTPPSVNSYQPPMQSSSAGVAHSQPMPGNVNSQVYQPMGNNQGTGMYAPPINGGNLSGFNNQNMMPNQMAGFYPQQMQGGQSMAMHPQQMQYGQMGYMQPQPMYNQMPGYGQPTGYGYGYGYGQQQNNQFLEQKMSGLSVRDNGGLSSSTYQSASSYVPSGKPSKPEDKLFGDLVDITKFKPAKTM >KZN08792 pep chromosome:ASM162521v1:1:15582935:15583543:-1 gene:DCAR_001448 transcript:KZN08792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNYSLNFLFFFIALIIFNLPSLHATTTTSLSPLPPLNQEIDAVIEALLCESNYEALLCESNFTIWAHLLNVSKTQLVLPVNATMFAPTDAAISHLAELNPHLIPYHVTPNHHLLFSRLLNLEPLSLLPTLVPDKTILITSTLPWSYKVDKAIITQPNIYISSRLVVHGIDHVLDLHPQRSSMPPLLRAGNQAARISPSPA >KZN10918 pep chromosome:ASM162521v1:1:40275032:40278057:-1 gene:DCAR_003574 transcript:KZN10918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKPAHHNHSLEISMQPALGSSKLFDDDGRLKRTGTIWTASAHIITAVIGSGVLSLAWATAQLGWIAGPAVLLLFSFVTYYTSCLLASCYRSGDPDTGKRNYTYMEAVEANLGGFKVKLCGAIQYINLFGVAIGYTIAASISMTAIKRSDCFHESDAKDPCHISSTPYMIAFGAMEILFSQIPDFDQISWLSIVAAIMSFTYSSIGLALGISKVAETGEFRGSLTGISIGAVTQTQKIWRSFQALGAIAFAYSYSLILIEIQDTVKSPPSESKTMKKATLLSVAVTTVFYMLCGCFGYAAFGDLAPGNLLTGFGFYNPFWLLDIANIAIVVHLVGAYQVYCQPLFAFVEKHAARVFPQSNFINKDYDIPLPGGFRPYKLNLFRLVWRTIFVIITTVISMLMPFFNDVVGILGAFGFWPLTVYFPVEMYIVQKKIPKWSSRWICLQILSVACLIISIAAAAGSFAGVVTDLKVYRPFQSIY >KZN11234 pep chromosome:ASM162521v1:1:42882693:42883631:-1 gene:DCAR_003890 transcript:KZN11234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYMPFNSRNLDVNILVLKPKIVLVDELVDALKHFSIWTETFGCVHSSILQSIHGNMIVWYGAWMKRSDNDKRSLNSAIMSMLTNISSMAVLMDYSFFETYAGESKTGSASARFSTGDTVSFSIIAHTADENISQLDLSYTILATFKSSFLSMDGVTSGVCLKKYQNSKNAVADEFILNFFVWKSLQSCYSYILKSDIKDVIVPYLKGLSLDFKYDIFRVIYVSGDNLMDFQYSPSPPHQMLQTKKRQERSRP >KZN11642 pep chromosome:ASM162521v1:1:46625038:46625319:1 gene:DCAR_004298 transcript:KZN11642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKIVFSVMLLLSLVMASTLVSAARQLNETPKFGDQNANAKSLEDKKFFLPFPSPLPQLPPFPFPFTQTLPGLPPMSLPALPNFFTPPPRD >KZN08105 pep chromosome:ASM162521v1:1:2781100:2782068:1 gene:DCAR_000774 transcript:KZN08105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKSKVKRGPWSPHEDEILRNYIRNHGTGLKRCGKSCRLRWLNYLRPDIKHGSFTPEEDNIIFTLYSNLGSRWSVIASKLPGRTDNDVKNHWNTKLKKKLQATTDSYFTKINQTPPGSTSAPSTTATIITPSMESNYTLEFSTAIQDHGFLPEMPSLVNAVNYTQTGNYTAQDYSSIPDSSIVLDKNTCDFVMDFGLGFAYDQMMSTGISNNNQEQMSFEEALINYPTSSYANSAVCHNASSQFYGNY >KZN11038 pep chromosome:ASM162521v1:1:41237250:41237773:-1 gene:DCAR_003694 transcript:KZN11038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKVVIAALFVSLFVLHLVHATDMIVEEHAQQGAYYHLGRDCVRGLVEHVAHAVTASRQERPATWTLVLVMPI >KZN10782 pep chromosome:ASM162521v1:1:38913988:38919451:-1 gene:DCAR_003438 transcript:KZN10782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKTRTSSFSRNFSAVPSSRTPGLKNGPNGTVFVSSGIPDLDKILGGGFPLGSLVMVMEDSDAPHHMLLLRNFMSQGLVHNQPLLYASPAKEPRSFLGTLPSPSTSKDDKTRDHDVGQDWDMLSFIRSLKSIIRASNAVAVLSFPASLLSSSFSKRWQHLADTLLSVRAIQDEDKELAKLLTGYQDMIGLLNVHKVARFNTQYNQEYTNDSEFRKNIEKIPSVLNSTGASENTFFNFSIGNETETVNVIALCRGDLILDTTRCQSCLSAAYEELVDKCTKEKESIIWKDECMLRYSDRNIFNIMTTEPSDTDNNDGNATAKNFGKNLRCLLDKLQAAAAAGTSEMKIATGNISVPQGTTFGLVQCTPDFSAQECKDCLGEAFGKLPDCCINKIGGRVVMPSCNVRYETGSAPFYFRTAAQIRGTGYILNLYLI >KZN09886 pep chromosome:ASM162521v1:1:30491480:30493074:-1 gene:DCAR_002542 transcript:KZN09886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQSPITSELHSEIRKQKQFMEYIFSVPVLFCIIILFMLSYLFYQYKKASALMSHPPSLTSPTIITRASSFAISVFEARLEDFKDMLPTIVFDEELKAKNTVCCICLGEFEIKEELIQVPSCKHIFHSDCICNWLISSDTCPLCRRSIDDIDNTELVLPPPQRSPAVLQPAAGTSIQLAAS >KZN09272 pep chromosome:ASM162521v1:1:22399926:22416413:-1 gene:DCAR_001928 transcript:KZN09272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLADRYPLSIVDVVEEEAREDENGVLIPVDSCKPNPNNMEFDNLYLDMNGIIHPCFHPEGKTAPATYDDVFKSIFDYIDHLFSLVRPRQLLYMAIDGVAPRAKMNQQRSRRFRASKDAAEAEAEEERLRNEFEAEGANLTPKQKFETSDTNVITPGTQFMAVLSVALQYYIQSRLNHNPGWQYTKVILSDSNVPGEGEHKIMSYIRLQRNQPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVITLPGQQEKCFLCSQVGHLAADCRGAPNKYLLDSIPIHKKKYQFLNIWTLREYLQYDLQISNHPSEIDFERLIDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMFVYRREFTAMGGYLTDAGEVLLDRVEHFIQAVAVYEDQIFQKRARLQKAQENNEEMRNKARKEVKDVTQAVAVDKVKLGEPGYKERYYCEKFELSDMNQIDEIKRDVLSHQWRYATVSHTFFDTGPARCASHSRIFMNSLQSSLRMDHQLCSGCTNLMNIEVTAQIMCNVDAGFALCGRFVLGLPVLLPGCLLVAMNKHCVVLENDILLDMGFLNKHSRTGVGSNICFRPSHPSSNLIEKACWFYPYHYAPFASDLKDLADLEILFFPGEPFKPFDQLMGTLPAASSGALPEKFRNLMTDPSSPIHEFYPSDFAIDMNGKRFAWQGIAKLPFIDEKKLIAETRKLEDTLTEEEHMRNRVMYDLLYVNPYHALSPYIVSYYNFVNQMPVNQRVPWPIDPNASGGMNGFMFLCERNGFRIVVTSPVRGLPDINDNHVLNVSFLNPVPHQHLPFPPKGVAMPGRILKSFDIKPLPVLWHDDNSGRRQQNRDRPQVPGSISGPQLGEAAHRLLKNSLNIKSSPTSSGYSGQMLHRNHPNNNATYRPRAVGPLGYDPRFSKDPVYYHTPEGIVRSPKPSYASNGYQGNKQNFKAQERFSYQEQYLNIGNGMSNLTIDGGARSQQNAVMPMRMPNPGQSPNVRQQFMQNVGPPPSPPSRWIARSTVGTTSMKQDKQIKQVYQIKSRTSQNMSDSGLQQ >KZN08529 pep chromosome:ASM162521v1:1:9773314:9774245:-1 gene:DCAR_001059 transcript:KZN08529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFVAMDEQSVQQLTIKAVLELQIPAQMRCLCKAEVVEILNGDGWYYECCATCARAVQKKGRKNILPRLPGGQKKVSHRVVARVKDESGTTTFTIFNKEAELLIGVPLEKIFSELAPINTMEGTIKDIPTPIKNMMGKVCVFQIKVTEYNITRGCEEYTVTRVSECSSPVASKTIGEEGSHKDKRMKTA >KZN11503 pep chromosome:ASM162521v1:1:45251683:45252805:-1 gene:DCAR_004159 transcript:KZN11503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFKKCPWTPEEDMQLVTYIRRYGIWNWSQMPKHAARLPGRTDNEIKNRWHSHLKKRIANNVVEVTEPKIEQIDSTTDTLMTCEYPNDFVAPELPKLEEIYEDDPIPSYASNNIGASSISNNNIAPPAYVSSADPHISFWREPYSLEDVYGIDQCATYVDPEFGMPRAEDWFGEPFYPYYEVLY >KZN09482 pep chromosome:ASM162521v1:1:25815878:25817938:-1 gene:DCAR_002138 transcript:KZN09482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLKSYSKVDESSDEGWFARRRAMRRRVCAISLSCVVLVVVVVSAVVGTHLSKSGKSDGNDGNRDQPQGVASIKAACGLTLYPDSCYRSLAPLLNSSRGEIVQPEVLFKASLAVAIGEITKAAKYFGENGGLKGVFGGNQSEVAAAVENCRELLDLATDHLNLSVLSDKLTSSDAVEDILTWLSAAGTYQETCIDGFANTSLRLNVLQYLKDSNEFTSNSLAIIKQVSNAAKSLNLRRKLLTATDGTDMPHWLSFKDRKLLQSSKSYPIKYDVVVAKDGTGQYKTIGAALKAVPDKSKKRFTIFVKKGVYYENVRVEKAKWNVMMIGDGMDKTVVSGSLNVVDGTPTFSTATFAAFGQGFVARDMGFRNTAGPIKHQAVALMSNSDRSVFYRCRFDAFQDTLYAHANRQFYRECDIYGTVDFIFGNSAVIFQNCSILPRMPMNGQQNTITAQGKIDPNQNTGISIQNCKIYPLGNLGSVRTFLGRPWKNYSTTIVMNTMIESLIDPKGWLPWTGTSAPDTIFYAEYQNYGPGSSTKYRVKWKGLRSITWSQASKFTVDSFIYGSQWIKDAGVAYKPGL >KZN08017 pep chromosome:ASM162521v1:1:1466569:1468206:-1 gene:DCAR_000686 transcript:KZN08017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVTERELEDEFRAFGVIRSVWVARRPPGYAFIDFDDQRDAKDAIREIDGKNGWRVELSHNSRGGSGGGGSRGGGGGRGEGRGRSGGSDLKCYECGEAGHFARECRSRGGGGGGGGGRRRSRSRSPPRYRRSPSYGRRSYSPRARSPRRRSLTPRGRSFSRSPPPYRAREEVPYTNG >KZN09175 pep chromosome:ASM162521v1:1:21261956:21265778:1 gene:DCAR_001831 transcript:KZN09175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGPSSFRSMMHNGKHSLLPPKSPFPSIPPVYVDHFPCPATGAKRMTKPRDVSSNHQRTSSESVLIEDQPSWLDELLSEPETPVARGHRRSSSDSFAYVDAINAANMDHVAHDEYRSRNMSSIHSWKSQDLNHHITSLHAEANSFGKHNNRAWNSPSNVMAHAAHPSGLLSAKDNFVPRHSVPSGASDEADWFPNKAIEKLDSAESGLNDAKALSERKDSSYAKTSAPETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAKGSEVSAELEFLNQQNLILSMENKALKQRLENLTQEQLIKYLEHEVLEREIGRLRMLYQQQQQPPQQPPSFGHRRAKSRELDSQFATLSLKPKVSSSGTDTISGPLHS >KZN09739 pep chromosome:ASM162521v1:1:28927265:28927948:1 gene:DCAR_002395 transcript:KZN09739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSETLQTNATTIEPNSSPRISFSSDFLDNNFISSINISPVEKEHENKREKTFEFLSTDSQTMLSADELFSEGKLLPYRPMHHEIKKITLKSDDGSKAKAKAEDSNKESRGSWFVDDDPSPRPPTCTVLWRELLRLKKHRPSTLSPSSSSSSSSSSSLVDSQGTNKEEKSGNKEKHVKKTKKGLERTRSATMRIRPMINVPICTQRSNSALPPLFSFKKGKLEKLK >KZN09830 pep chromosome:ASM162521v1:1:29739436:29740537:1 gene:DCAR_002486 transcript:KZN09830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFERPPLTTGSRKVNKHDLWDQPGFASTLPQLSGSWTDHFDAKLPQLPLHGRPLKPKKIITKEEVSEEGEKLSQEGNKRSRKNKYRGIRQRPWGKWASEIRDPQKGVRVWLGTFSTPEEAARAYDEAAKRIRGDKARLNFPDDHPPEPVPLPPLAKKQCTPKAITEINSPNFSSPLMGMEFSTTFQPPNYCASHGADELELKDDHQVSNMESSLLGWEAEIMSQIGVTESDVYRWMMMDNLDQIANHLMQEFE >KZN09584 pep chromosome:ASM162521v1:1:27385759:27391579:1 gene:DCAR_002240 transcript:KZN09584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIRNLKIKTSTCKRIVKELDSYKKEVEREAAKTASMKDAGADPYDIKQQENVLAESRMMIPDCHKRLEASLADLKGILAELEESNQKDGPEIDEAKSTIADLEKGEMSNSYGDFNAKIDYVFKIVLIGDSAVGKSQLLARFARNEFSVDSKATIGVEFQTKTLILDHKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQTFDHIAGWLEELRGHADKNIMIMLIGNKCDLASLRAVPTEDAQEFAERENLFFMETSALEAINVESAFQTVLKEIYRIISKKALTANDIDYRAMSLKGTQIVIPGQGSDSSGNSGGGCCV >KZN07962 pep chromosome:ASM162521v1:1:1032388:1037506:1 gene:DCAR_000631 transcript:KZN07962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHPEASQQEEQPGDPSPELFDPKTMRKSKPGFKRLFLTISVLLSFLSGVPFFLKSIEIYRSPIPFTEMDTLSNSIDSHPLIFSCRFHVVFVDFDSREVSVDKLAFSVLENMRKLSSSENVCGSCGNNYSVSVTIESGGDCKESGDVEAAKLWKCGRIKEGNLEEMLKDDERFDEYLNEVLGGDEEGSGKVYRVVVVSKDEEIRAVVGKNRHAWIVGRVSEVDEAVEMVAEVFVKFFVNGGTRNGSIHSEFMPVGADGRIVLSFNLLNADPRDWVYDWNFQEIDEILLAPIIRTLAPLANISTESQVNSNEWHLDTSIAAGGRSNILQFVMWGGVVVWNPPRCARDSGGSHLRKKISPQDLEKVFEVFLGQLRQIFGLNSGSLYHDASTTFTLLSTGKGFTEWEMDFLSRQHTCYNLLQCGTTLGSLSRLVQSLPRMIIKDEIGKQVKFSLEAAKVARSNASYGISDASAVSSREARILAEDAFFHPSMMSVSYYSFEHCFAVYSVRPSRPNTFYYVL >KZN09278 pep chromosome:ASM162521v1:1:22490445:22508900:-1 gene:DCAR_001934 transcript:KZN09278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKKNSDVSAHAATFKKLRRKFSSSSAQDLRYYETEKDNEGVSSPRGIIEACMSSMNKGSESDDNSTSNPEASASNSKMHTRWRKFVNVWKVSPIKKLPLIPPKQLSKKSSSASENVAFDLSNFNSPWKNFSLQELQNATCNFSPDNLIGKGGYAEVFKGSLTDGQLVAVKKLNKGTSEEQIVGFLSEIGTIAHVDHPNTAKMVGYGVEGGTYLILELSPLGSLGSLLHGKKERLDWGARHKIIVGTADGLLYLHENCQRRIIHRDIKADNILLTENFEPQAKPLLESSAIEELVDPSLGSLYNQEEMDCVLLTASVLWAGFDRLELGASVVKSLLLLGYSNGFQVLDVEDASNVTELVSRRDDAVTFLQMQPIPAKCDDREGFMDSLPLLLVVASEETSCSGPMQNGRDSSVRDKYEPRVGTVIHTPTAVRFYSLRSHSYVHVLRFRSAVYMVRCSSKIVAVGLAAQIYCFDALTLESKFSVLTYHVPRLGGQALSGVNIGYGPMAVGPRWLAYASNNPLLPNTGRLSPQSLSPSPGVSPSTSPSNGNLMARYAMESSKQLATGIINLGDMGIKTFSKYCHELLPDGSSSPVSSIASGKVGRTTAHLSDVDTAGTVVIKDFVSRAVVSQFRAHTCPIAALCFDPSGTLLVTASVKGNNINIFRIVPTYLQNGSGSHSYDWSSSHVHLYKLHRGITSAVIQDICFSPSSHWIAIVSSNHTGHIFVLSPFGGETGLQMQNSNLNGPTLLPLRSVPWWSTSSYMLKQSYSPPPPPLITLSVVSRIKNSTWLSTVRNAASSAAGKLPPPPGVVSAIFHSSVGNLQPTNLTVNALEHLMVYTPSGNVVQYELLHSVGGDQGESFLRNGTSSLEQVQDEELKVKASPVQWWDVCRRADWPEREEFIQGNVLGRQDSAETVICPYEYEDDGTKEKDTVKHHDQYHWYLSKAEVQIRSGRTPVWQKSKVYSYAMSSVSVEGGRPNLYSSGGEIEIEKLHAREIEIRRKDLLPVSDHFHQLHHKWANNRGINVRYSPSSSLSDEGNKYSDDEQALIPSSVDNLITGIRSSATDLSKQEYHATMNSYPPFRPIDNENKGVKGSNFLPTQNSIGRAATSLSPEPSPSMISAFEECYVNNYSSISSKPSPSGGNISEEVLSSSSVVTGDVSNTSSKCTDSTMNLPEELGHEDIHYFQEGYFESTSLDKFNQFNKHTTEVDRSTSHCNKADFEEDADSDDILGGVFAFSKEGV >KZN10322 pep chromosome:ASM162521v1:1:34558546:34561082:1 gene:DCAR_002978 transcript:KZN10322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSSSGSSSWLTAVKRAFRSPSSKDSPEKRSTRSRKDEQPSPQYPQPSDDDKKREKRRWLFRKPSNVIDNNALVPQTPANGLVEDRHHAIAVAVATAAAAEAAVASAHAAMEVARLARPSTVPQHYNNYDYAAILIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLQCMQALVRVQARVLDQRMRQSNDGSRKSAFSDTASLWESRYLQDISDRKSMSRDGSSIADDWDDRPHTVEEVKAMLQNRKDAALKRERTLSQAFSQQMRRSGRNSSIGSEADIGETNQRRDRLTNGKQWDSRGRSSTDQRDQIKTVEIDTSQPYSYLAPNYSRANQNQYYLQQQRPSSPLHRAQINLQHQSPVTPSPSRTRPPLQVRSASPRFVREERSYQASQTPSLRSNYYYNGGLQPTRGSTSGAGGVPIPNYMAATESAKARIRSQSAPRQRPSTPERERASSVAKKRLSYPVPDPYNIGARYSGGYGHSLKSPSFKSISGVNFGMEQQSNYSSCCTESLGGEISPSSTTDLRRWLR >KZN11358 pep chromosome:ASM162521v1:1:43941896:43943095:-1 gene:DCAR_004014 transcript:KZN11358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQIPLESEEECDIFQGEWIRTGQNESLYSSTSCAWIPEWKNCFMHGRKDTEFVNWRWKPHACELPRFIATKFFEIARNRTMMFIGDSVARNQMESLLCILSEEEVPTEVEKEDDEAGNWTLYFSSNNFTITFIRSIFLVKSEEIMINGSSSGSFNIHLDKVDEKWAERLPSTDYTVISSAHWFFRKNYLYEKGHLIGCVHCSEPNVTNLGLGFAIGKAIRSALEFIHSCENCHSLMTILRTFSPTHFENGAWNGGGYCNKTSPVSNTEIDFGGFEWELRNAQKAEIEKAQKKVSYSSADKKFRALDVTTAMLLRPDGHPGSHWGNQWNKGINDCLHWCLPGPIDVWNDWLIALMKMEARASLHL >KZN11947 pep chromosome:ASM162521v1:1:49598101:49610652:-1 gene:DCAR_004603 transcript:KZN11947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGEDHGEIFLDESDIIQEFTVDEEDLPDADEDAGSDEEVFDEADDSMHIFTGHTGEIYIVACSPMDPTLVATGGGDDKGFIWKIGQGDWAFEIQGHKDSVSSLAFSIDGQLLASGSLDGIIQVWETATNNLKCTLEGPGGSIEWVRWHPRGNLIMAGSEDSTVWLWNADKNAWLNTFSGHRSSVTCGEFTPDGKLICTGSDDATLRIWNPKDGKNIHVVEGYGYHTDGLTCMAISSDSTLALTGSKDHLVHIVNITTGKVVSSLNAHTDSIECVGFAARPKRSNHCTASSSSISCTSNGSGGGLVANEPPLEVSAVRVRRGRPPKRRHDEKDEKKTILSWIIDCGTVKENAEVSCVDAGHLTLKVGKITKAGIICDCCNQVLSAEKFQYHAAGVVDRPYERIIVPDTNRALICCMFIAWHLPSEIARHKDNLIEGFGNYTDTFDDACMICADGGELICCDNEKCHSTNHYRCMDMEDVPDTWFCPCCVCKFCGNPAREKECLSTCFQCEKKYHHECHQDKNPIHINMNSTFIRSDHTVTFCEQSCKEIYDKLKKMVGVSYKLDDSYTWTLIRRMDKGDNAAGPMDYYTRTECYSKLAIVLSLMKQSFEPITDRHTKIDVIQSIVYNCGSNYTRLNFTRFYTVVLEKDGEIISAASLRIHGTKLAEMPFIATNAIHRRKGMCRKLMSAIEAVLHSLNVGYLIIPSVRRKAKTWEEGYNFSRLTGEMKKHIMYYNTLTFHDSIRLQKAITPPDYVRVAREALANVRSQSVILPSAAREAGINAESGSVILALPATNAGTNAGSPSVILPSAAREAGTNGGIRSFIIPSATREAGTSSGSQSVIIPSAATTREAGTNAGRPVRLFGIDLI >KZN10719 pep chromosome:ASM162521v1:1:38423974:38430084:1 gene:DCAR_003375 transcript:KZN10719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELPFPPAAAAANANVMAGWMANAAASSSVQAAVVTASTLPILPNQVSSLKRPITPPTTLGMLEYQTADHEQLMKRLRSAQSVEEVLPEVTYPTAPAARQQASWSLDDLPRTVAFTMHQGSSITSMDFHPSHRTLLLVGCGNGDITLWEVAMREKLISKPFKIWDISTCSMAFQASVSKESPISVSRVTWSPDGNYLGAAFAKHLVHVYAYSGPNELNHHLEIDAHAGGVNDLAFANPNKQLCIITCGDDKLIKVWDMTGKKLFNFEGHESPVYSVCPHQKENIQFIFSTAIDGKIKAWLYDNIGSRVDYDAPGRWCTTMLYSADGSRLFSCGTSKDGDSFLVEWNESEGAIKRSYSGFRKKSVGIVQFDTTQNHFLAVGEDSQIKFWDMDNTNMLTSTDADGGLPTLPRLRFNKEGNLLAVTTENSGIKILANASGLRLLRSVEAPPFEALRSAPEPAAVKASGSSAIPNVNPVNCKVERSSPVRPAAVLGICTIF >KZN10585 pep chromosome:ASM162521v1:1:37246081:37246359:1 gene:DCAR_003241 transcript:KZN10585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEERGLYLIHILVTTANHVAAGSIENANISLEQISHLSSPDGDTMQRIAAHFTEALADRMLKRWPGLHKALNSTKITLVSEERLGHYVLL >KZN09454 pep chromosome:ASM162521v1:1:25402977:25404501:1 gene:DCAR_002110 transcript:KZN09454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKYYCDYCDKEFQDTAYARKRHLQSIQHQRAKSLWYQNYPSALPFPSPPLCNRFLSTGSCPYGDSCKYLHPKQNLQSANTSVSNFTGNFQSLDTNQQAAGASSQGNILRDGLGVSLGNLPPSLKPPPEGGYPSLPFVDWG >KZN10090 pep chromosome:ASM162521v1:1:32551595:32552065:-1 gene:DCAR_002746 transcript:KZN10090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRVCKFKLAIFSLFLVMNPKIECLPRRQTPGPPLAPIRPTPLCDAQIAVASSACSMMPFSTIPPPTPLSLDSPSPSPESPPPSHRHRHRHRHPGHRQTPVEHECCHWVRAIDSVCVCNLLVYLPVFLSKPAHRYTVITDPSCNVAYTCPGRLIG >KZN11553 pep chromosome:ASM162521v1:1:45677370:45687009:1 gene:DCAR_004209 transcript:KZN11553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQEKQMHSQFSSPLPNRTSSIFKDISNFKTPKSTFSKTLTQPSPKHFFTASKQTPKTTTTLRRPRPSLAPPSHSKLAASRRLKAFEIEQSKSARKAQIAKENSLKSLTSSLSAWLNFLFENPRACGCDIARLTGQDEGSEVAASRNGKRDSVENGEVVFDRMWRGPKRVKDEVWCNRDGGETTLFSHSMFSELRESLKEVCSFDDLKDRMGVYLSLGSCKEIFDVMTRVTKNIDSGRIKMKSNCSIVTDVGMKQRAMRVLMCYNPIWLRIGLYIIFGGNTLLPNGDVSSEHEISFLKMVIEKQFFSHAGLAEFYVYNKLVAGLYRPGYFEKLGGIILKRFLLLVLILDRAKCQSSLPINHGIDGLDGGSPLLFTLQSTVKSSQQMIQDFLTSDVMHGEGNLLAHLVIVGFKVFYVQSPLSEYDFRVTNLFEDLQDGVRLCRAVQLLQHDSSILTKLVFSSDTRKKNLTNCGIAFQHLKQVGVALYDEDGTVVVGEDIVNGDKELTLSLLWNVFVHLQAPLLINKFLLSEEIHKIRGVGVEQSNTCTPMDMLLHWIQAICENYNCKVDNFASIVDGRAMWCLMDYYFHSDNHLPCSFKISKDMHGEDNGTVAEASLMSTANYTDAVHNFLMSQKLTTLLGKFPEVSDLLEHNGVCNDRSVIILLVFLSFQLVVKRNTDQLNFHKLLGYNSASAGRKHHNSGRSTQSEAIEKVEYHDTTHNFKAIMAWWREMAESNEKCNLRPASPTVECFSAARPITKTPSEIPSISCIEHEGIGLQEKAATSIQLSWKSFVSRNNFRRQHSAAIIIQRYYRGWVLRRGFLNQKHAAITIQSMLRCIKCCRDFQCYKIATKSAIIIQSVLRGWIARRQASRRRYRILMIQGHWRAWLAQKKISNSRKVAATQIQRCVRGWIARKRLVGSSSLYKTASYGYISKIGRHDFQSRELKIVLHSILKLQLWWRHNLLAKARINSVVTIQAHVRGWIVRQEATRKRQCIVLIQSCLRGWLGRKKFFCSHRTDAAVKIQSLVRGWIFRKRLLRDSLCKTVPETYTSETKSCFRSPETKKVVRCVLKIQKWWKGILLLRARKKSILIVQAYVRGWLVRQETTRKRRCTVIIQSHWKGYLARKDSKGRLLDLRLRMQKSAANVDNSMRILNRLIAALSELLTMKSVSGILHTCETLDMTTRYSQKCCEELVAAGAIDTLLKLISSVSRSIPDQEVLRHSLSTLRNLARYPHLTEVLINTRGAVKTILWEFLRNKEEGYFIASELLEKICLNGRGIESLLQQPVLLKRLRNLVDDLQRRADNEKRNPRSLAGREHNQRRLKVATELLRLIASG >KZN11572 pep chromosome:ASM162521v1:1:45829357:45831002:-1 gene:DCAR_004228 transcript:KZN11572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIIMFVFKTPLRKLVIMGLDRVKMGQGPIVVKTVGATIFIVMLSSVSNVVSIQKRRIQDGEYVNPTDQVLSARSLLEASLMGFSLFLALMIDRLHYYIRELRIRRKGMEVIKKQNRVFEDVKAGEERKALEDEAVMLREKFKKLQSELEAKTKEAKNAESNAIAMRKQSEGFLLEYDRLLEDNQNLRNQLQSADRRLSHSGIKKVM >KZN09679 pep chromosome:ASM162521v1:1:28382220:28384888:-1 gene:DCAR_002335 transcript:KZN09679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSFFGRVLFVSVFMLSAWQEYQDYGVDGGAAAKSLGPKFDTVTKHFTTQTGLQVPDFEIKFAVAAAIGLKTLGGIFFILGSNIGALLLLLHQAIIPTIVYDFYNYDIDTTEFAQLFVKFTQNLALAGALLFFIGMKNSLPRRTLKKKSVVKAKTG >KZN11917 pep chromosome:ASM162521v1:1:49392040:49393315:-1 gene:DCAR_004573 transcript:KZN11917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRKCYLDAVLVPLAFLVSVSYHAWLWHRVRSQPLSTVVGTNARGRRLWVAAMMKDNDKKNILAVQTLRNTIMGSTLMATTSILLCAGLAAVVSSTYSIKKPLNDTVYGGHGEFMIALKYVSLLLIFLASFMCHSLSIRFINQVNFLINTPQDDAMARVVTPAYISELLEKGCVLNTVGNRVFYSALPVLLWIFGPVLVFMCSVSLVPVLYNLDVVFVKEKGKGGEFVCV >KZN08870 pep chromosome:ASM162521v1:1:16952108:16969825:-1 gene:DCAR_001526 transcript:KZN08870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSRFLSLGFRTTAFRSFCSHHTNPPALSKRPGPLAQYKALVDQGKLQHDPYQETIASELEDLVKKLENYDKEMEEYHVSLSKWEEARETERRRILVEEAEVKQEGGAMASVKKSRSRIFENLMSWGKAQKMEPGVGKWVSYLNRERKLDSLSGRRPNLPAAPKGLYIYGDVGSGKTMLMDMFYSATEGIVKHRRRFHFHEAMLEINEHMHKIWKKQMEEKSLQSSIASWIRNLPVDSNVKEWVAEEERYKQEVHIQNILPAVADKFLVNRQGDRRGASILCFDEIQTVDVFAIVALSGILSRLLSTGTVLVATSNRAPRDLNQDGMQRGIFLKLLAKLEEQCKNVLIGSEIDYRRLIAQRSIDQVHYFWPLDSSAVKNFESMWGKTTSQHGGKIISSTISVMFGRTLDVPECCNGVARFSFEYLCGRPVGAADYIAIARNYHTIFISGVPVMSMQIRDKARRFITLVDELYNHHCCLFCTAAASIDDLFQGTEEGTLFDLESFQFETETEGAKLRRDVLAEGNVSAGGNPTGIVSMLSGQEEMFAFRRAVSRLIEMQTPFYLDGVHYLHPYFQGQQNLSENSNATILQTPQSS >KZN11382 pep chromosome:ASM162521v1:1:44135256:44135753:1 gene:DCAR_004038 transcript:KZN11382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVISSSVSAATFFSSVCLTLSSVMGAVLTTNSSILESDFIYGDTRPATLSVKYTCLLVCFLLAFSCFIQSSRCFIHATFLITMPNSNITAKHVETTVIRAVVMVVLLHYLDTNTTPLHQHAKRPAKHPMLG >KZN08527 pep chromosome:ASM162521v1:1:9755657:9760732:-1 gene:DCAR_001057 transcript:KZN08527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRASVISIIYILSISSSWVAADEFVRDGKVLELDDSNFDKAISTFDYIFVDFYAPWCGHCKHLAPQLDKAASALFESKDSIVIAKVNADKYTRLASKYEIDGFPTLKIFMHGTPTEYRGPRQAKLIVQYLKKFVAPDVSVLDSDSSISRFVEAAGTPFPIFIGFGLNESVISDLAVKYKKKAWFSVAKDFSEDIMVLYDFDKEPALVVLHPNYNEQAIFYGPFEDKFLEDFIKQSLFPLVLPINQDSLKTLRDDERKIVVTIVEDETTEKSKELFKVLKAAASANRDLVFGYVGVKQWEDFTESFEVYKKTVLPKMIVWXNNXLYKFLEDFIKQSLFPLVLPINQDSLKTLRDDERKIVVTIVEDETTEKSKELFKVLKAAASANRDLVFGYVGVKQWEDFTESFEVYKKTVLPKMIVWDGDEQYFTVIGSDSISDEDQRSQVTRFIEAYRAGSVIQKQISGPSLMGFIKSLIGIRSVYILVFVIAMIMLILTIGKEEPLRVGTREQLNAVSEAERRELHSSADKED >KZN11818 pep chromosome:ASM162521v1:1:48380722:48383119:-1 gene:DCAR_004474 transcript:KZN11818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSELGRKCQVETRRWIWLIAVLFAMVVMAQYVELPYGNIVSYVLPDRRPDALIDGGSLAANLSNYPNKVDNWTIFGDLNYTSTTSENEKTETAQISGEKSLTQESNLTSGSDSALNKTSLISKPEENIAPTPENRVPGDSSKSPSLPSPLMSPAGNNLTKNNPVLSNNPQIASVNNDTLDSLNKTDGLGTPQSNKSPPVKKKPKGSQAAVLSISDMKDLLHENRASSYSMEPLWSSAVDSKVLEAKLQIVNAPIIKDDPSLYAPIYRNVSMFRRSYEIMEQTLKVYVYKEGKRPIFHNPQPVLTGIYASEGWFMKLLEGNKQYVTNNPDEAQLFYLPFSSRMLEETLYVRDSHSHANLIKYLEDYLDLVIAKYPFWNRTGGADHFLVACHDWAPAETRKRLNNCTKALCNADIKEGFRLGKDVSLPETIVHSSKTLLRDIGGKTPRQRTTLAFFAGQMHGYLRPMLLQQWQDKDPGIKVFAKLPKSKNNKNYVQYMKSSKFCICPRGYEVNSPRVVEAIFFECVPVIISDNFVPPFFEILDWESFAVIIQEKDLPNLKNILLSISDRRYQVMQHRVKRVQQHFLWHPKPVKYDIFHMILHSVWYNRVFQVKSS >KZN08575 pep chromosome:ASM162521v1:1:10860856:10861077:-1 gene:DCAR_001105 transcript:KZN08575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIILLRFLVKHTKIPLETAAKNYDRDELRLEGSDVGSEESDFADELDAECTGSESENVSEDLENSDDELNDY >KZN09756 pep chromosome:ASM162521v1:1:29069581:29071907:1 gene:DCAR_002412 transcript:KZN09756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLDEAYRGRINTLLRAMSVAKGVRNDDVPCQIEEGLYLGSIGAANNKSALRSLNITHVLTVASLKPPYPNEFKYKIVDVQDQPDVSISRYFDDCFGFIDEAREMGGKILVHCFAGISRSVTIIVAYLIKTHKLSCSEALEHVKSKRSVASPNPGFLLQLQKFEKSLRGIYGVLVIRNFISF >KZN09653 pep chromosome:ASM162521v1:1:28159234:28160046:-1 gene:DCAR_002309 transcript:KZN09653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSNSAMKSLCVLSLLLLVSVAVARNVRHVDAEEKTFVGGGKGGGFGGGAGGGAGGGGGLGGGSGGGLGGGGGGLGGGAGGGGGLGGGHGLGGGGGKGGGFGGGAGGGAGGGGGLGGGAGGGGGKGGGFGGGAGGGAGGGSGGGFGGGAGGGAGGGSGGGFGGGAGGGAGGGVGGGSGGGFGGGAGGGAGGGVGGGSGGGFGGGAGGGGGAGGGVGGGSGGGFGGGAGGGAGGGAGGGAGGGFGGGAGGGAGGGFGGGAGGGGGFGDKH >KZN11935 pep chromosome:ASM162521v1:1:49508850:49514039:-1 gene:DCAR_004591 transcript:KZN11935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQGPCCHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLSNYTPLHSRGEPDELEYRVRMKAMSLKHKEAKVLKRKQNHGVDVVSRVAPDTASQKGVRDALHYNQGYLKTLDEDTSNRSSSGSAISPSGSCVHLGSADASDLTGATQSIVWDSTVPSRKRTCVGRAKPSSVEKLTKDLYTILQEQQSYFSGSTEEDVLLECDTPVVSVEIGHGSVLIRHPNSVARDEESEASSFSVYNKPHPANEACSEFITLRNHTLNRFNNFSSAEIEGKKQPGHEKEHELYKRKDQQENLETVGNHKSPIFSIELNDVLNYEEFRRHITNHELQELLNLLPSTDILGLPDSLKLMFESPQFKENVSAFQKLIADGVFDLSSAEVNNECNSTLTRLLLHTLTKSCWVEQYNILKDTNCGSTVGGSSDAREHAAVASAQSLEIKRSRVGQPQNIPGGKKAVKTNYGLKAPMDKEATFFSPKTRLVQPPDNTSLMPDCFQFGDESSDHDLLLNIPSHSSFPQAELLLPTQGFGAQASTSSSIYHNHVLH >KZN08600 pep chromosome:ASM162521v1:1:11450854:11456363:-1 gene:DCAR_001130 transcript:KZN08600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNSGNKQRASASMSEAKRRRSSRLICSPWLNKGTKRPPVHVDLDTEQRCSVVDKKARHRKDSSVEELEITFTRNINWGSGLGSLRKPESKDSKLTNVNVGDGSDDDDFVTPLEKFGSISTVNEGGTSNSRRKTKGNSNNQAIVVEANTTAQQRTTRSMKKNAKRNDRKKTENVLGTKNQYAAKGEAVGCKEAVINEKPPEKKVRSYENYIQRKFRPAIMREVLISLSEEQVAWVKRTGFGGILDVRMEKYPHRMGYNVVAAFNAEECMLSMKAGNIKITEDIVHNIIGLPKGNERVIISKDKGAYDFWGLQFPGTLTCKVNGEFHPFGSNDVLITALETPEHSGRVRVFGGFISPKSYFNLPRQRRITKDELLARDKQRSEELQKTKEELIGEIAKLRAMIAARAKLVFEDAQKEIMAENEIMDIDPPPPSNKMLSQKCELAVDNIENKVAFGVIFKDGTRFSNKIHGVDMMPGCVWVSVDGCINPKALLPIPVPGEMETLIQAIGSHVAWPDEFIIYQDSEPSQWASKSRKCCTRDEVDEVRYEALDGIQECV >KZN12132 pep chromosome:ASM162521v1:1:51298068:51300276:1 gene:DCAR_004788 transcript:KZN12132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNQFHSLLEIGTERSEWSISVRVQALWKSINRTTNEFRGYNMVLTDVQMNVTFFNLFGESFEKALKAMAEAEVVIVIACAKVNKYEGELYLTNYPATRFYLNPKHYSLIEFENSITRKKAEEQLLSKMFTIAEIKNLTDTHIQKDVRCSVKVKKVEEQYNWYENCCPGCGEEVNKVEGRFRCTTECKRNIPWPDKRFRLTTVCSDASGILAIIFPDDEIQRIIGKEVFDIENDESQVGADGSTFPPLLKQFEKRDYIVTITISALNINKTCKVYKAKKLDNPEENLGENEPAELKSAETVDHTMETVSETVAEPRTSSPPTEKSSNRPRGIKNKIPVKCGILAETPNTKMKKS >KZN09058 pep chromosome:ASM162521v1:1:19636711:19638153:1 gene:DCAR_001714 transcript:KZN09058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSFKGVLELRTMRNKKMYDNLHFLAILEEFEDECSENHCFLFSLKTTRLRNVQFSGGWPENYIKIMLKQLLTCLSKLEESEEGSSVGKLDVDQISVDAEKKLVKIVVGMTSYRPFGSLSFQITGPPEVKMYDDPHHFAILEEFEDECLKNHYFLFSLKTTRLRNVQFSGGWPKNYIKIVLKQLLICLSELEESEEGSSVGKLDVDQISADAEKKLVKIAMGMTSYMPFGSPSFQITGRPEVEELRCGYQAKSYTWMLCKMGTCQRFSKDFGSFVGLCFQDFKKRFIPRQALKHGFLKDATTEKEMINSLIKEGRVGRSE >KZN11065 pep chromosome:ASM162521v1:1:41412285:41416399:-1 gene:DCAR_003721 transcript:KZN11065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNWLFPRDHDVWSSYMFPVEPLVNPNLFTSISSFVDNSRYFCVSGSLALQDAFNCMSKFTGAVLLWFASGSRSSINFNLPGDHGVSNRGRCRPCTQVNRFTGHSLSGFGHSFRLQGESCIPLIFEKISNFSVRQLHKHAEQLQTFPMISLAAALIPPFNNESQNVVCVPHENDDVLMQKCVEQGPCDFEHRGCDLCLSTLNTRHAVEPVTGIEFPAILENTSDGETNSNWLSEVLVGTGSRTMKIIKIKSLKLYAFGFYIHPFDVCEKLGRKYCSIPASELHKHRSFYQDLLREDISMTIKLVVSVNGIKISTVRSAFEKSLRARLMKTNPASDFDCVRKFGSLFAEDIPIRAGTTILFKRTADGYLITEIEGYQIGAVQSKDLCRAFFDMYIGDVPACEQTKEEIGRNVASMMRRC >KZN07840 pep chromosome:ASM162521v1:1:80155:83136:-1 gene:DCAR_000509 transcript:KZN07840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENTYKMLLNGLHFAQWKRDNISDIIEASGIENQEVNMRLKPEFLAVPCLRKSMYHCLYRCNVSSIGIEALAKSCRALEEVNLSYCPLITDTGIRALSQNCHQLRAVRISSCRNITGVGFHGCPRTFVYLEADSCKLETEGITEIVSGGGLEYLNISNLNWAVPGNGLTIVGAGFAKRLKLLDFRLCRTVTDESIIAISRGCPLLQEWNLALCHEVKRPGWESIGLSCHKLERLHVKRCRCLCDRGLQALREGCRNLLILYVTRCRQLSDPAIMIFKCLRGDVKIIEEEVMCIAPEWAFSG >KZN10155 pep chromosome:ASM162521v1:1:33095825:33098454:-1 gene:DCAR_002811 transcript:KZN10155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKKLEYKGDKALKELDKLTRKADEVQENLLREILTRNGETEYLNKYLFGSKDISEFKKSVPVITYKAIRPYIQRIANGEASSLITAHPITEMLCSSGTSAGEPKLMPSIEEDLDRRTFLYNLIMPIMNQYITGLDEGKAMFLYFVKAEMSTPCGLPAPTVLTSYYKSKHFKFRSRDPYTDFTSPDEAILCNDSNQSMYCQLLAGLVHRNQVLRLGAVFATAFLLAISFLKRKWMNICNDIRDGQVDPTEVTDPKCRTAMSAILLRPDPRLADEIEAICSRDSWKGIICQLWPKAKYIEAVVTGSMAQYIPSLQYYSNEKLPLVCPMYASSECYFGVNLKPLCSPDKVSFTLLPNMGYFEFIPLGVNGTLVLDVDEDEEVPQSKLIGLVDVKLGCYYELVVTTFSGLNRYRIGDVLQVTGFHNKAPQFRFICRRNVVLSIDNDKTNEEDLHKSITVAKKLLEPYNALLVEYTSYAETSSVPGHYVIYWEIIQCSSALSSSLVKNKTMNEILTNQLDLNVLEDCCIAVEEELDYNYRRCRANDKSIGPLEIRVVKPGTFESLMDFFINQGGSINQYKTPRCIKSSAALKLLDSNVKARFFSPRDPTWTP >KZN10122 pep chromosome:ASM162521v1:1:32787315:32787743:1 gene:DCAR_002778 transcript:KZN10122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNRGGTERGGVRRNEERRQGWGGGSLFCSCSGELWDVNTECIYTGGRGLGFGAQIQRGRGKDKWREREDKWSQTYTKFSWWCNGTLESTQRSAVINPVLVTHFVCIYSAGEMLKIMYFLIKLMKLAILKGIGLFICFFKG >KZN11302 pep chromosome:ASM162521v1:1:43545035:43549292:-1 gene:DCAR_003958 transcript:KZN11302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVLFVPLLLLLPLLAFSADTKVYIVYFGEHSGEKALHEIEEDHHSYLFSVKKTEEEARASLLYSYKNIFNGFAASLTPEEAAKLSEKEEVVLVIESQPDKYSLQTTRSWEFSGVEETKAWSFVKNKGDNLLLKSKYGKDVIIGILDSGVWPESKSFSDEAMGPIPESWKGICQTGDNFTTKHCNRKIIGARYYLKGYQKFYGTLNRTLDYQSPRDKDGHGSHTASTAAGRVVPKVSALGGFAYGTASGGAPLARLAIYKVCWPIPKKGKEEGNTCTEIDMLAAMDDAVADGVHVISLSIGTQKPVAYDQDALAIGALHAAKKNIVVSCSAGNSGPSPATLSNPAPWVITVGASSLDRSFIAPVILGNGMKVEGQTVTPYKLKRKMYPLVHAAQVLDHSFDPKNSTAMQCLPGSLSPQKARGKIVFCLRGNGTRIGKGLEVKRVGAAGYILGNSPANGAELAVDCHVLPATAVTSEDAIKISKYINSTKNPTAYIVRAVTKLYSKPAPYMAAFTSRGPNVISPDILKPDITAPGLNILAAWTEGNSPTKLESDTRVVKYNILSGTSMSCPHVAGVAALLRAAHPSWSNAAIRSAIMTSAGLLNNEGRLITDASQITLADPFQYGSGHFRPELAADPGLVYDATHKDYLLFLCASGLKVVDPVYKCPKNPPATYNLNYPSLAIPNLNGTKIVKRTVTNVGGSKGVYFVRVNPPIGYSVKVFPPVLVFSHVGQQKSFTITVKANKKKAEKSGDYSFGWYTWTDGFHIVRSPMAVSSA >KZN08649 pep chromosome:ASM162521v1:1:12962602:12965118:-1 gene:DCAR_001179 transcript:KZN08649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAIDLAKSVDGQIVNAAVIVNPASNQVVARSCDQVFSQNNPSTRNSAGEGYLEPCKLTSSSISNGLQTYTNSSSNSSFNEQKRTYGGVSCLHPWQWAEQQSQASCFRHPFHHAAMVAIDNSAARDRYLFPASEHIVDKCCQAEVMDSPSTVSPSKKQKTETKVVFPMNMSSGKPKYKMPFLAI >KZN08559 pep chromosome:ASM162521v1:1:10495765:10496835:1 gene:DCAR_001089 transcript:KZN08559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCSNAEVLARFKVNLMWESFKILNLMNLVRSIITVAEECAGRKLASLKVLNSYRVNEDSTYKYFEVISVDAAHTTIRNDPRINWICNPIHKPLRLTNVMFA >KZN11490 pep chromosome:ASM162521v1:1:45162255:45162640:-1 gene:DCAR_004146 transcript:KZN11490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLNNNKAALLVLVLSIFLIITIAESRPVFHVVATKAKAVPACDTVLGVTKGDTCFDIAKSFELTTPEFDAINPNINCAALFVGQWVCVAGTA >KZN09500 pep chromosome:ASM162521v1:1:26063521:26068046:1 gene:DCAR_002156 transcript:KZN09500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFIFFTVLSLFLVLDSHHVRAAPRALLVKSLPGFVGSFPSNHYAGYVTLKGESELNFYYYFVTSEGNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAGKGGLPTLHLNPYSWSKVSNIIYLDSPVGVGFSYSNDTSSYVTGDKKTAEDTHNFLLKWFEQYPEFVNNPFYIAGESYAGVYVPTLASNVVQGIKKGVKPVIQFQGYMVGNGVTDHQIDGNALVPFAHGMGLISDYMFEQAKTYCKDNFYDPSTRNCSKAIQNIDAAIDGLNIYDILEPCYHSPSNMDKAKDSSIPVSFQQLGQTSRPLTVRKRIYGRAWPFRAPVRDGLVPLWPQIMAESNAVGVPCINDAVATTWLNNDAVRKAIHASPKAGPWELCTGQIFYDHDAGSMIPYHKNLTSQGYRALIYSGDHDMCVPFTGSKAWTSSLGYKVVDGWRPWISKGQVAGYTEGYANNFTFLTVKGSGHTVPEYKPQEALDFYSRWLAGKRV >KZN09469 pep chromosome:ASM162521v1:1:25605848:25606498:-1 gene:DCAR_002125 transcript:KZN09469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFQIVFHIFPYTTFRVLSFGTQWRAFMKKHECILTSLYFRFCCCTPILIYFDNLRVYLTLYLLKITDHNLLLSIPWETNELNEDIYVDSYKYTSPDGEEYWIPKCDKKSKPYVNQMFPDVEAVFEFYTEYGRLCGLVVRKSSAKYKGGVMTHKYVECSSAGRFEGKTIKRRRTNTRKCECEAKIILKNCPTGYYIGTFLHDNYIFIHQDFEVLH >KZN07858 pep chromosome:ASM162521v1:1:205222:210452:-1 gene:DCAR_000527 transcript:KZN07858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDVGEEERARRSDFEISEDEKKRIRIRSLKKKAMNASHKISHTLRRRSSRVAHCRYASISIDDYRDEKEEKSVNAFRQLLIEKDLLPPRHDDYHTILRFLKARKFDFDKTIHMWAEMLNWRRENRVDSIIQDFVYDEHEDVQRYYPHGFHGVDRGGRPVYIERLGKVEPSKLMSVTTVDRFLKYHVQGFEKTFAEKFPACSVAARRHIDSTTTILDVLGMNWMSFGKVAHDLVMRMQKIDGENYPEVLGNKFQKQLFEVVEPSQLPVSLGGTCLCPNEGGCLRSEKGPWNDPELMKLVHIEEAMFLGKFSSSSDVDELEAKSTLREHQSSEIVSADSGSEARFPASGIMQPSIHSEETRDSTCVHDLVEKSSRMSIHVNPTTTLISHVGQERVLKKCITSIVDHICKFLVCIYFILLGMGKYIVKKDEEKCESESELSLENQSHQIPQAKEEDHLDPCWNRLKNLESLVTELVNKPTSMPPEKDDILLESLNRIKSIEYDLQKTKKALLATASKQMELAESMESLRENNINGFRTK >KZN08532 pep chromosome:ASM162521v1:1:9833747:9834322:-1 gene:DCAR_001062 transcript:KZN08532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSPEQKNSTKPISEPGNVWEKIAPTYSRASQCAFSRLDFDSKQLQNAKRGCIQGMCTAKLMFWTRTNSFLFEYPFHVQGPIHKTFNTVRHLFTWNFNICDRWRGFGMHTIYFWKLSSCVYPDPASMPTLIWFKLCVRILALAALLTNFILLFVFRRGAIARWVFHRLSKHNWNSYWRTFKFRQQSKLK >KZN09126 pep chromosome:ASM162521v1:1:20448508:20448948:1 gene:DCAR_001782 transcript:KZN09126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEIKRVLESLYGFEVEKVQTLNMDGKKKKRGGILIAKPDYKKAYVTLRNPLSISPDLFPIRLIEEDKKNLNKQSKSSFVEGDEAKKKSHWLEGNGKPEVRGGRWRGRDSGSHPGGGRDRGAIQGGAGSRGQVKFPWSSMKSSSG >KZN08280 pep chromosome:ASM162521v1:1:4925697:4926059:-1 gene:DCAR_000826 transcript:KZN08280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATIFSVMLLLIFFTSSAFLSAARQLTDTPKVNGDQTANPENFKGESGSTKLAKTATTLGDKKFLIPIPIPIPIPIPIPRDLIPGLPKTPTLPGPGELPTPGAANLPTLAPPLGPGN >KZN08687 pep chromosome:ASM162521v1:1:13485478:13489075:-1 gene:DCAR_001343 transcript:KZN08687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPTNQKHHRREPISRRHISWCCSFSDPTRSPENPKPIQKQQPISSYPNSPKPPSLRNRILSPGRVSPVGPIAQQPETHVMPVELEVPRLPVEPVSVCKGGEGSFGVFDVRLNLKGKNGGSVVLELNSDVLSSSSSVFADLIANYRSGCDGSSGNFCRIEVPEVENLSVFQMTIELMFEEDMVKRLMKIGVYRTIDILEVSAGIAFAKGISSCLEYLEAVPWSEDEEEKLKTFYSKFKLNDSCSRDILARLYPLDSAVSQQTLANQLVWSITTCIDANSRNELKSLVKGLLCKSSVYEKDYPDVNKEDMYAVCRSCIDALVSLFKEASGSDQCGISGKKGKGKTLIECISIQVENINWLLEILLDWQIAEDFVSIWANQGELLSMHAQTSPMIRYELSRVSAMLFIVMGTRKLQCASEARLGLLHAWFGPMLLDFGWLQRCKKGLDIKALEEAMGHVLLTLPLKHQYTLFMEWFRCFSRHGTECPNISKAFQIWWRRSFLRGSESSAVESR >KZN11868 pep chromosome:ASM162521v1:1:48910494:48913351:1 gene:DCAR_004524 transcript:KZN11868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHGWLLVISVLVIVTVSFASSKLQGVSQHNNVAASPHSICSGDFSFTKGYRCQDYDVITEDGYILKLWRFREGRTKESQWEGRKKQKQPVFIQHGVLMNGQCWFVVSHADQSLPLVLVEHGYDVWVSNSRGTTFSQRHISSNNLSLPANYWDFTWSEMASYDLPTFLNFVYLETGQKVHYIGHSQGTTMMFAAFSEWKVQERVKSATMVAPVVYLNHMPFGLVDVLAKAYIGEIAGSFGIPDLNIMTEPIGTIVRALCNVPGVDCFGWIVSLFTGMNCCMNASTVELWFGSQPQPTPIKNLVHWAQGVRKQVFGKYDYGDPATNLEHYGVPEPPPYNILKMPKDFPLFLIYGGGDLLAIRKDVHILLNKLGPDRNIRELYIDNYAHLDFLEAVNAKDLVYPDIISFMRGIR >KZN08074 pep chromosome:ASM162521v1:1:2042875:2048647:1 gene:DCAR_000743 transcript:KZN08074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLGKGEVSDVKSQLRQLAGSRAPGTDDSKRDLFKKVLSYMTVGIDVSSVFSDMVMCSATSDIVLKKMCYLYVGNYAKYNPDLALLTINFLQRDCKDEDPMIRGLALRSLCTLRVPNLVEYLVGPLGSGLKDKSGYVRMVASIGVVKLYHISASTCVDADFPAMLKHLMLHDQDAQVVANCLFSLQEIWTLEANTSEEASSEREALLSKPIFSMIMSRIKDFNEWAQCIILEMVSKYVPPDSNEIFDIMNLLEDRLQHANGAIVLATIKLFLQLTLDMTDVHQQVYERIKAPLLTQVSLGSPEQSFAVLSHLHLLVMRAPMLFSTAYKHFYCHYNEPFYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPMARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDHVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKAKAALIWMLGEYAQDMQDAPYVLESLVEKWEDECSAEVRLHLLTSVMKCFFRRPPETQQVLRAVLAAGIADFHQDVHDRALFYYRLLQHNVSVAEGVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYKKPSYMFTDKEYRGPFAFSEEFGNMSIGVESEETAASSQRVEASDKELLLNTSEKEDKVLSNNGPAYSAPAYDGYLDTAASQVQSDLVSLEPPLPSHPPKTSSGIDLLSLGLSIKPTPAPTAPTLKLNAKPVLDPNTFQQKWRQLQVSVSQEISISPQGVAALANLQSLLRHMQGHSIQCIASGGQSPNYKFFFFAQKSEESSLFLVECIINSLTCKAQLKIKADDESSSQAFSTVFQSALSSYGTS >KZN09751 pep chromosome:ASM162521v1:1:29055077:29055367:-1 gene:DCAR_002407 transcript:KZN09751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDRRSCTYLVILRHVMDKRTIVILYFCNGSPVLQRGPKGLKITGPEASCPEPESDSFQKTGGFRYSYTITGKVGNMAGFYQLVQRWNKEMIWIQ >KZN10348 pep chromosome:ASM162521v1:1:34819601:34822541:1 gene:DCAR_003004 transcript:KZN10348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVAAAALLVLVVVHGPIFVFVDARQGLVFPPISAPQPFISSVSPSLNAFSPVAEMARAEHHRGSHNKTIISVIVASTALGLIILSVICLRIYRKRNTPKSQKDNSHSSAPFMGKQSSSKMSSTRVVTFMDYKLLETATNNFRESDILGVGGFGCVYKGLLDNNVYAAVKRLNGESPDSIREFQTEVDLLSKIRHPNIISLLGYSIHGDTRLIVYELMENGSLETQLHGPSHGSALTWYCRIKIALDTARGLEYLHEHCKPQVIHRDLKSSNILLDSNYNSKLSDFGLAVTQGTNKGNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRRPVEKLAPSQCQSIVTWAMPQLTDRSKLPHIVDPLIRDTMDLKHLYQVAAVAVLCIQPEPSYRPLITDVLHSLVPLVPVELGGTLRITQDKPAVSVQPTES >KZN11869 pep chromosome:ASM162521v1:1:48914575:48917271:1 gene:DCAR_004525 transcript:KZN11869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTILYIIVAVKASSIHGESLGLYHQNARAVPPSICSGDLSFTKGYRCEDYEVITKDGYILRLWRFREGRTKESRREGTKQPVFLQHGVFLDFWDFSYTEMGIYDLPASLNLVYAETGQKAHFIGHSQGTTSMFAAFSQWKVEERLKSAVMLAPVVYLSHMPFGVTYVYCHAYIGEIVQSFGISECNLMTPPLDTMLRAICSIVPLTCLEIIAQFSGVRRTVFAHYDYGNPATNMEHYGVPEPPAFDLQKIPRHLPLYIIYGGKDLLAVPQDVFHLLNELRSHRNLRELYVDNYAHFDFIEGMNTKEIVYDDVVSFIEGIP >KZN07933 pep chromosome:ASM162521v1:1:758181:761859:1 gene:DCAR_000602 transcript:KZN07933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVPLQFLWVGLGILLAACDAFPEHEVDALTAFKEAIYDDPLLALSSWNALDSNPCNWSGISCSMTTDHVIKLNLSGSSLKGFLAPEICRLSSLQELVLHGNKFIGIIPKEIGLLKDLRVLDLGANQLSGPIPPEIGNLSSIIKINLQSNGLSGRLPSELGNLKDLEELRLDRNKLQGTVPGNNGSRVASNMHGMYVSNGNPAGLCRFSQLKVADFSYNFFVGSVPKCLEYLPNSSFQGNCLLDRDPKQRPTHQCGSSYPPPPPPPKSQPGVLSKHRPSEDKSNHHDDSKPSWVLAVEIVTGVLFGSLFLVALAMGLRRCKNQTSIVLPWKKSTTDRDHMTMYLETGLLTDVAKYSRQELEVACEDFSNIIGSSSDSIVYKGIIKSGPEIAVISLCIKEEHWTGYLELYFQKEVADLARLDHENAGKLLGYCRESSPFTRMLVFEYASNGTLYEHLHYGEGCQLSWTRRMNIVIGIAKGLKYLHTEIEPPFTISELNSSSVYLTDTFSPKLVDFESWKTIISRSEKNSGAISCEGAVCVLPSSLDSRHLDVQGNIYAFGVLLLELISGRPPFCKNKGCLVDWAKEYLDQPEVMSKVVDPELKHFRDDDLKVICEVASLCIKPNPLVQLTMQELCTVLESRIDTSVSSEIKASSLAWAELALSP >KZN09263 pep chromosome:ASM162521v1:1:22248073:22248882:-1 gene:DCAR_001919 transcript:KZN09263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDAQVTDRLDPGGCVTIIHNDNVSVEPLVSKLLPAVQFLKKKRVAKRVKRKCFLSHLVKGLEDGNLPGEECFIGKDRFDIFPDNVADKQRGESRTCSADKHRGESRIFSAHKHREGRSTCSRVETASDTLSVSNIIKKYFKPHEEVTSDSEINSGTSRMVSHQLKATTYDNCSNITINMKPHWMEATPRRVTVPPYCTRSRSLISGNKSKRTKPGSNIVQAFSKLGILASKQNTNTSVCRVRQKKLALEKSTSVVKNLVFEISDNSD >KZN09317 pep chromosome:ASM162521v1:1:23113398:23113652:-1 gene:DCAR_001973 transcript:KZN09317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKIKELEIKTKKSSSIEFSIVKPEPWSDDGSYADIRFSVTMDGNNLLLYLINHKGDLDSMSDEVYEALGKLMEPGKLVLDAL >KZN10301 pep chromosome:ASM162521v1:1:34343788:34345911:1 gene:DCAR_002957 transcript:KZN10301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNGLSRQLVGDLYLITSSPLANLLQQALESKSLRHTQRVHARIIKTHFRSEIFIQNRLIDIYGKLSCLDDAHKLFVRMPQKNTFSWNSILCALLHSGCVDEAYKLFTSMPYPDQCSWNSLVSGFAQHQRFHESIECVIKMHSAGFALNEYTYGSALSACANLRNVQVGSQIHACILKSPCAFYVFMGSALIDMYSKCGFVDCSRKVFDGMPERNVVSWNSLITCYDQNGPAFEALNIFVSMMNCGVKPDEVTLASVVSACACLSIIREEALELFCQLKRESVYPTHYTFGNLLTACANLADLKVGRQAHTHVLKHGFCFQSGPESNIFVGNSLIDMYMKCGSVEDGIQVFYNMEERDRVSWNALIIGYAQNGHGLEAIQMFKEMTLLGVKADHVTMIGVLCACSHAGLVDEGRQYFYSMNKKYGVEPIKDHYTCMVDILGRAGCLVEANNLIGSMPMLPDSVVWGSLLAACKAYRDIELGKFAAEKLLELDPHNSAPYVLLSNMYAELGKWKDVIRVRTLMRKRGVTKQPGCSWIEIESQLHTFMVKDKRHPKKKEIYQLLSLLTKMMRMSGYIPDTGDPEADDEEDQPDLSEDLYFTVPALQSCSTV >KZN11093 pep chromosome:ASM162521v1:1:41667166:41667357:-1 gene:DCAR_003749 transcript:KZN11093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFFTTYLPFALTPIIKTITIINNKFLDMIMEVKKGNGVFKSDKNERSGSEQLCEFSRCSEN >KZN11748 pep chromosome:ASM162521v1:1:47822568:47823538:1 gene:DCAR_004404 transcript:KZN11748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALVRVQARVRARRVRMSMEGQAVHDMLNERRSKAELLKDAEEGWCDRRGTIQEVKAKIKMRQDGAFKRERALAYSHAQKRKSIQSSNSQTSLLMASPKNSLGWSWLERWMSAKPWENRLMNKVESDPLEVTPPPKAYINDNIKASISKSSEPCSLKIRKNNITTRISAKPSITEQSTRSSSSPSSEFHCKSSASPSFCTSTTLSRNKNMASNRTGDNSVSKPRYMNPTKAATAKQRYMSPTAVVSRG >KZN08143 pep chromosome:ASM162521v1:1:3146557:3150267:1 gene:DCAR_000812 transcript:KZN08143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKENLCLYGFSDERWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINDLPSIYEVVTDVAKKQTKEKSAVSNHSSNKPKPNPKRITEYQDKLPTGSLLKDDDEDEDGLEEEEDEHGDALCGACGENYGQDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KZN08661 pep chromosome:ASM162521v1:1:13208000:13209598:-1 gene:DCAR_001191 transcript:KZN08661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKGTEYTADEVEEDLNSSTDSDTEELCESSKQSKFNKYKSGEVTVDPLKEALPRLRRRNSETYRAQYITAKEVRVHACTWNVGGELPPDDLDIEEWLNVREPADIYVIGQVLN >KZN10863 pep chromosome:ASM162521v1:1:39634902:39635278:-1 gene:DCAR_003519 transcript:KZN10863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGECKQERKVLVNACKSLLARRGPSSYCCQRLRAAHVECVCPVITPKLAALIDVNYAVRVIQSCGRQVPRHFKCGSITTP >KZN11480 pep chromosome:ASM162521v1:1:45067876:45077351:-1 gene:DCAR_004136 transcript:KZN11480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEEAERLTPFQLQFDKPIASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGKCITSLCWRPDGKAVAVGLEDGTISLHDVENGKLLRSMKTHIAAVVCLNWQEDADKKKDTSGSNLIYEDRTSRFFPPPPRKPRMPGVVSGDTGFSDDGEDSLRELYNSSHQRFNILCSGDKDGIICFSIFGIIPIGKLNIHDVSICFPQMGDHVSLQLLNASICRVALSTDLCHLIVMCSGELFHGVVGSHDQRMAEPDLVGFHCLLLDTSIFWKRKNELHQVAQQASNVEDLTEVIRSSVSVMCKQWLDAMHTFHEKFDSLSTLIVDHGLDSTPQEEFLSLLGGARTSPPVHQFLVNSLGEAGLKRVAKTVCSTGKELQLIVLDHLQPAAEIICFRMGELRGLSKWRARYQSIGLDTTLFDKATEKAGTLLIQVERFMSVLSSAVQQFSNFFNWLLKSVKILMSEPSDQLPPFSSELVIVFLNFLYDQDPIKQLLDLSDADQSIEVDLEKLERVKELTQFGGFSDCEYLRRSLAKEFQQMESCFKEAFQMPFATISRKILCKDIFPLFPHASLTGLASSVIPASISYYMETSHAISTYQTHEQRVIDYISFIIPDESFSAVPKCIGIIRGFMHDGNNEETSYSSPETVLLCVPDNYHCVDLSLYKENQIVLLLNETSTTPDSPENACIMIVEASNLPFVPIDRSPTSSTWKLRELKDSMVYLQLENEKVRSIPHSIVAPLAVSASRGVACIFAARKRALVYILEEDEDEISDAE >KZN08419 pep chromosome:ASM162521v1:1:6520783:6524364:1 gene:DCAR_000965 transcript:KZN08419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLGPCHHQLISNPHLSFRTKTPALTVKAAVKKSPKRLKYSSPSFTKPLSTLCRSFQDIDTYTTGFPRGGGQGLSNIFRAVKQCLPGPYTFILTASKSLPKSCTRYGTTTSKLLSRKNVGVRIPDDAVCQAILEKMSAPLLSTSVRSPKENEWIIDPVVIADVYGPEGLDFVVDAGVRMAEPSTVVDMTASYPRIIRQGKGPKQHWMVADEDPEDETEIA >KZN09041 pep chromosome:ASM162521v1:1:19383260:19391469:1 gene:DCAR_001697 transcript:KZN09041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSVFDASAVRTEFDNSGINTHFIPLIWKYLLHNPNCEWEDIPSLPSAAYTLLRSKFKTLSSTLHSATDSSDQVTTKMLIKLQNGAFVEAVIMRYDSSLGTLGGKPRPGGLRSTLCISSQVGCKMGCTFCATGTMGFKSNLSSGEIIEQLVHASRISKIRNIVFMGMGEPLNNYTALTEAIQVMTTSPFQLSPRKITVSTVGIIHAIKKFHGDMPNVNLAVSLHAPVQDIRCQIMPAARAFPLHKLMDALQEYQKNSQQKIFIEYIMLDGINDEEQHAHQLGKLLETFQVVINLIPFNPIGSSSDFGTSSEQKVAIFQKILRGTYEIRTTVRKQMGQDISGACGQLVVNMPNKRSGMNAAPLADIEDLHH >KZN09169 pep chromosome:ASM162521v1:1:21208057:21213015:-1 gene:DCAR_001825 transcript:KZN09169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSHSQSSNLSLGLQTHRSDDSISFQIDSNRRDRSKSVPSVPLQLMEPTTEEESGNDEGEGGADVEEFRILGHSMCLKRRRDSESSSSSSVSRRCVEQPSLEARRKAVRAWGNQPLCVADPDVFGIMEKEKERQYKGIELIASENFVCQAVMEALGSHLTNKYSEGMPGARYYGGNEYIDEIENLCKDRALLAFGLDSETWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGRKVSGASIFFESLPYKVNPQTGLVDYDKLEERALDFRPKILICGGSSYPREWDYVRFRQIADKCGSVLMCDMAQISGLIAAKECVSPFEYCDIVTSTTHKSLRGPRGGIIFYRKGSKPKKRGLLLNQGDGSDKYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALAAALLKKNCRLVTGGTDNHLLLWDLRNLGLTGKSFEKVCEICHITLNKIAIFDDNGMITPGGVRIGTPAMTSRGCLESDFEMMADFLFRAAQIGTLVQRQKTSLKGLQSNKDIVELRTRVENFATQFALPGLDV >KZN10210 pep chromosome:ASM162521v1:1:33593163:33593612:-1 gene:DCAR_002866 transcript:KZN10210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSTRVYNGLRGYWRRRGYERISGSGRRRNIPAVELGSGGSTRRKRFWKVKINRKIKIKCFFAAPKKFFSGLRDGYVRMMMRFANSRAVGVSGYGSGFGGQACFATRPIKEYDEKMIVELYKSILMAQNQLVQRDATRFGSEIILRR >KZN08149 pep chromosome:ASM162521v1:1:3173708:3175375:1 gene:DCAR_000818 transcript:KZN08149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGSPAHQKKTSLFSLWRSPVAAATGSPKAISSSSSPNHSSSCCRGLNNCEILNATVVSQSHQLSPRHKQQLEELEIELEKQKELRGLYKMRMQRTQDYLRYCLEIAQEKGFLNSILNNCDDIEEDTIKPSIPPPPLSNHSDLSTVVDQAMSNGWYIETREIELQEKIGQGSTAEIYRGIWRGLDVAVKCISPEFFESNENGISYFAQEVETLSRQRHRFVLHLMGACLDPPNHGWIVTEFMTTTLKEWMHGSGKRKKERTVDLPPLPERLKRALEISQALQYLHEHKPVIIHRDLKPSNIFLDDAFHVRVADFGHARFLTDGTYVYMAPEVIRCEPYNEKCDVYSFGVILNELITGDHPYIETDYGPSRIALEVADGNLRPAIAEHQNDQLLEELNELIQISWDEHPANRPSFPTVTRRLRAIQESLTNYEL >KZN09412 pep chromosome:ASM162521v1:1:24745173:24751447:1 gene:DCAR_002068 transcript:KZN09412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGQVVIGPPGSGKTTYCNGMSQFLPLIGRKVAVINLDPANDSLPYDCAVNIEDLIKLSDVMNEHSLGPNGDHYLLFDFPGQVELFFLHDNAKSVIMKLIKKLNLRLAAVHLIDSHLCTDPGKYVSALLLSLSTMLHLELPHINVLSKMDLIESYGKLGFNLDFYTDVEDLSYLQNLLAQDPRSAKYRKLTKALCDVIEDFSLVNFSTLDIQDKESVGNLVKQIDKCNGYIFAGIDASAAEFSKIALFTVAAVQEKYVKDDDNFDVDD >KZN08540 pep chromosome:ASM162521v1:1:10110586:10111269:1 gene:DCAR_001070 transcript:KZN08540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERGFGGAQGAEAAGNASRRDPPWYGFSEHRKLMMDGKAAAPFLYGQGGFVSEGVSGFCSPVVGGDGLDSRGGRELLYVGGGMDSKGGGE >KZN11193 pep chromosome:ASM162521v1:1:42448371:42450566:1 gene:DCAR_003849 transcript:KZN11193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNIITTPPWPTTPTHPITAALRRISPPLKTPNPFRVQTSTQPNSSNLSLSNPNNTNISNNNNPQDQTLILLLRQRKTEEAWLAYSNLTKLPNPTCLSRLISQLSYQNTPSSFARAQSIITRLRDAQQLHRLDANSLGLLAVSAAKSGQILYATSIIKSMLKSGYLPHVKAWSAVVSRLASSGDDGPAEAVRLFQSVVKRVRRFSDPDVVVDSKPDTAAFNAVLNACANLGLSKRFLELFDEMQEFKCEPDVLSYNIMIKLCARVNRKDLLVFVLERILDKGIPLCMTTLHSLVAAYVGFGDLEIAEELVQAMREGRLDICRVLRECSDSEFVRKTENHVFAKLLPNSVSSNDYEPPMLKKAYKPDSRIYTTLMKGYMKQGRVLDTMRMLEAMRQQEDSGSHPDHVTYTTVISAFVKVGAMDRARQVLAEMSRIGVPANRITYNILLKGYCEQLQIEEAKELIRVMADGDAGLEPDVVSYNTLIDGCILIDDGAGALTYFNEMRARGIAPSKVSYTTLMKAFALSGQPKLANKVFDEMLNDPRVKVDLVAWNMLVEGYCRLGLLEEAKRIVERMKENGVHPNVATYGSLANCIALARKPGEALLLWNEVKERCGMEDGEYLKASDVPRLQPDEGLLDTLADICVRAAFFKKALEIVACMEEYGIPPNKTKYSRIYVEMHSRMFTSKHASRARQDRRKERKKAAEAFKFWLGLPNSYYGSEWRLEAADGDD >KZN10260 pep chromosome:ASM162521v1:1:33972945:33975793:-1 gene:DCAR_002916 transcript:KZN10260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KZN10274 pep chromosome:ASM162521v1:1:34095109:34103176:-1 gene:DCAR_002930 transcript:KZN10274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVIRTLSYLGMETGAMHDSSFIREGDDECELQLAATERLPAYRQTSISLFDEENLVNTSTVNQETSKMTNLDVPKLGVPERRALIDKLLEKIEEDNHKLLLKQRRRLERVGLEFPQVEVRYQNLCIEAECEVVHGKPLPTLWSSLKRVIAAATDVIWRNSHVYKIKILKEVDGIIRPSRREKQAGIISEPEIDSYMKALATEGLKETLQTDYILKVVSTKDQGQYWDNMDEPYNYISAQDFKRKFRDFYVGKNLYNEFSHPTDKIEIQKSPLSFNTGSVKNLELFKACMAREWLLMKRNSFVHVFKSVQLVVTAIITMTVFIRTRTTLDDIHANFYMSSLFYALVRLVTNGVSEVSMTYSRLPVFYKQRDFYFYPAWTYAIPALILKIPFSFLDAFLWTVLTYFAIGYTPEPERFLCQLLLLFVLHQVSISSFRLLATMLRNPAFAVSCSLFSMLVMFLFCGFIIPQSSLPAWLKWGFWLSPITYAEISISTNEFLAPRWKKVFSANVTIGQAVLTQHGLNYGAKFYWISIGALVGFWIVLNIGFTFALTWLRSPGRSRTVISQESISKQKKGCNMRTLSQKNMWTHVSCSQAPEEVKQRGMVLPFEPVTITFQNVQYYVNMPTQMKEHGSEQKRLQLLQDITGSFRPGVLTALMGVTGAGKTTLLDVLSGRKTSGDIEGEIKIGGYPKVQDTYAQISGYCEQTDMHSPMVTVKESLAFSARLRLSSQLSESNKNEFLEEVLHMIELDDIKDALVGFPGVNGISYEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRVVRNIANAGRTIVCTIHQPSINIFEAFDELLLMKRGGEIIYSGTLGLHSSDLIEYFEGIPGVAKLQENYNPATWMLEITSASTEVQLGLDFGHIYKRSHLYGKTVELVKELSSPVKGLGQIKNSTCLSQNEWEQFKACLWKQHLSYWRSPKYNLVRLTFITMVSLLLGGLLWQKGTDINDEQDLLNILGTIYIFVLFLGIANCSSVQSFIATERAVVWRERFAGMYSSLAHSLAQMVIEIPYLFLQAFIFVIITYPAIGFYCCFSEADISWKKLVCGEGGSNT >KZN08167 pep chromosome:ASM162521v1:1:3414408:3419576:-1 gene:DCAR_001232 transcript:KZN08167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSTKDDSSHQDTAKCVSTHLVSNCLVVLPLTVPQFIHNPFVSPSSVSKQPFCAVKKLKIQSFVEETTAHVLDSFRDSVVCAANRCGKFLEMIASQNPFFAILPGDSVAGLVAANGILNFLNIYNTLLVCRLVLTWFPNSPPVIVNPLSTLCDPYLNVFRGLIPPLGGTLDLSPILAFLVLNAFTSAATALPAELPSEGASPRAASSRSELFHLTSSQEKWAKSLTMLKKMRYNAAAPSIRFFIKMHEASCRTHIPQNITFVTFFISLKSPENQVQKIVVRMEYDGGATRWRHRRSSTEKLVLISLTFLAILSPLIIDRREAIEPEPEEEPIDISSLGPVLLVVLIIAVAISCYLDKSLTRFDPYWIYRVGGSSSGIVIVLMVLALVLKCKASPADVQ >KZN08166 pep chromosome:ASM162521v1:1:3403899:3413492:1 gene:DCAR_001231 transcript:KZN08166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDPAPDLQPPEIFQQNEVGVRELDYLNQQQDAVLGFQREQDYREPEVRNEELARIVEDDIQWLEDRKRARRQFALRFARPSGEDWDGESSASNPNVPSTARNMKQSDHPLIRWKPLENDDNIPRRFVPSLLDLSLTVLASNADMILSLKGVPDTLKKRLCNLVCDSRKMNARVLDLFIRDSPEEIRVKDASWITGDQFKKSFGSFNFKNLKVFQFDLCGRCISDEVIAATLARPLSSLPGLGIISLRGACHLSDEALKVLVTLAPGLCSINLGECSLLTHIGINYIADVLGNCLRELMIDYCYRIDAKDLVSALKKFKYLEVLSVAGIPNLCDGILTDIITASGRNIRDLDLTDCERLTDSSLKIIGQTCSDLRALNIVNLQNLTDVGLSYLANGCQSIRSLKLGRNKFSLMHGSDEAIAKFIEIAGRLLEELSLNHVSQVGPLTALSLAKFSQKLLSLDLSWCRKVTDEELGLIVDSCWSLKLLKLFGCTQITNVFLHGHSNSLVQIIGLDMTSLMERAGTLKPEAIHLRFSPLPVPCGSENLDQQDV >KZN11802 pep chromosome:ASM162521v1:1:48204235:48209600:-1 gene:DCAR_004458 transcript:KZN11802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNNRAVNSHMMKVETGDYGYVLEDVPHLTDYIDQLPTYPNPLRSNPAYSVVKQYFVNVDDTVPQKVVVHKDSPRGIHFRRAGPRQKVYFSPDEVHACIVTCGGLCPGLNTVIREIVCALYHMYGITRVSGIEGGYRGFYSRNTIPLTPKIVNDIHKRGGTVLGTSRGGHDKMKIVDSIQDRGINQVYIIGGDGTQKGAAVIFEEVRRRGLKVAIAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRYSGFIAMYATLASRDVDLCLIPESPFYLEGEGGLFEYVEKRLKEDGHMVIVIAEGAGQDLLDKEQSLGNAQDASGNKLLADNGLWISQKIKDHFARNKLQLTLKYIDPTYMIRAIPSNASDNVYCTLLAQSCVHGAMAGYTGYTSGLVNGRQTYIPFNRINEQRNHVVITDRMWARLLSSTNQPSFLCKIAIAKAKEEDFPPTQLLDGENCNDEKISNEDDK >KZN07932 pep chromosome:ASM162521v1:1:745937:746828:-1 gene:DCAR_000601 transcript:KZN07932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVYPCKPSSSACTASISSANMYTIWMKSLVVNGNGYTVYDSNGKVVYRIDNYDIKCRNEVYLMDLHGNVLCTISRKKLLRFGVWDGYKGCGPKVKNEKPWFEVTNCCNFLKKDSEYHVTIGSDKNLSTNFYKIGEVANKKSEFKIINGQGLIVAEVKQKLSACGVTLGQDVLSLRVEPSVDQSFIMALVAVYGLLNNRM >KZN11140 pep chromosome:ASM162521v1:1:42063710:42065044:-1 gene:DCAR_003796 transcript:KZN11140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPYKHLRFLGLNVNSAAFKCLVLLVAAFVFTTVMLPSFSGFGGIAPHKSAIVHGLLMGSDSGIKKRRFIEVPQIVWGLNNQKIAFARACLTARMMNRTLLMPSLSASLFYKEVDLLKPISFDKVFKFEKFNSLCDGFVQLGRYSELSNRSDVAVLEKGTGRKWTNERDLDQLRQFSNDSYDAYETIKVVGKNPFLWHDHWPVSDYARVFECLVLVDEISNEADRVVSRIREIGRRFSSEVESLQNGGSTESSVEPVSYIAVHMRIEKDWMIHCKKQEQRLHLSEICSSKQEIMEKVDRIVGIKRPIVVYLAVADSLLEDTSILEGWKEGLLPFEKKKLGVEEIYKKHSYLIQSAIDYEVCLRANVFVGNSFSTFSSLVVLERTQKMIRIGTSTPCSSNARWPSYAYNIRTDSRSKGPQRWMTNMSDTSLLAISYGSNHTSC >KZN12065 pep chromosome:ASM162521v1:1:50720522:50722330:-1 gene:DCAR_004721 transcript:KZN12065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRDKFMRTYLPFSDPTRTFTTMCLLKLGTVVERANKIIKQAKLPTRPPANMTAEMFKSAMAVRMKLKIEMHGSTLAITISLCRNCQISLIRSFSQWQLDKKVADGVLRLVLLKGPLGNCVFTGDYDRKALDETLHAFCKS >KZN09610 pep chromosome:ASM162521v1:1:27609308:27609959:1 gene:DCAR_002266 transcript:KZN09610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARGTSQIQRSWNPEEAPNVKGYGLDDGKQKLDALNYYSCNNSRNAIIANRGVHSGGSGRVEMAQVIDQDHDNTMENYVANIRAQFKVVPRRFGLGAMEVPPEILESGHLSSGLQTLYHEPAGATEDALNQENAGDTAIAMYDLGNPVNMDSRSFGEGTSANQVLDDLPSEEEVNNMIQDLEAYDLFDWIN >KZN08825 pep chromosome:ASM162521v1:1:15880394:15882266:1 gene:DCAR_001481 transcript:KZN08825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINAPDTTSDRNHPPPQPPSSSPVRPVFFLPRPIAIIPPPQPPSSSPIRPVFFLPRLAAVDFMRSDLKQSSMMINASHRSSDLLLIFVFAGGFGLRKASLSLPPSVLFNST >KZN11928 pep chromosome:ASM162521v1:1:49466358:49469480:-1 gene:DCAR_004584 transcript:KZN11928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQAVLLNTSTLHFTHKPRPSIHTKKPNPSVSILQPHNTHHFKAKFLSSCAVATDISGGVSVESDKSGDQDVVIDVNEGLGSVREVVEYDWTQEWYPLYLTKNIPDDSPLGLTVFDKQVVLYKDGNGELQCYEDRCPHRLAKLSEGQLVDGRLECLYHGWQFEGEGKCVKIPQLPTDAKIPRSACVKTYEVRDSQGVVWVWMSRTIPPNPEKIPWFENFARPGFQDFSTTHELPYDHSILLENLMDPAHVPISHDRTDWSAKREDAQALRFKVTERTDRGFAGWWGKDKEESLLNFLRFEAPCNLQNNREIVDEKTGEKNYFSGLFLCRPSGQGKSMLIVRFGTTKRSPVAKLFPEWYFHQNASKVFEQDMGFLSSQNEILMKAKVPTKELYINLKSSDTWVAEYRKWMDKVGHGMPYHFGHNTISLPKEPAVVEHAPAGLVASISASLPAKGGIGSMHAPNLANRYFRHVIHCKECSGVVKAFETWKKAFAAIALVSTTLAILATGRQWKALLIVSTALCLSGYYFCTSAISLNTTNFIRTHRRL >KZN09514 pep chromosome:ASM162521v1:1:26565005:26565178:-1 gene:DCAR_002170 transcript:KZN09514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHPSSLAIKLPPPFLKPYTPITYQILENPSKQYQNLVGAVKGSCMMHKLKMAWLR >KZN09458 pep chromosome:ASM162521v1:1:25421917:25423562:1 gene:DCAR_002114 transcript:KZN09458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSCLARITAGVAVGGAVGGAVGAVYGTYEAVRYKVPGLLKIRHIGQTTLGSAAVFGLFLGAGSLIHCGKSY >KZN09324 pep chromosome:ASM162521v1:1:23235172:23237490:-1 gene:DCAR_001980 transcript:KZN09324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSATKFIRLCSSQSFLFTPTSYYPSKYSHPYLSSCYISRFYSDFDPPKSHLFRPRHLIDLPFCHNYSELGCLLQKQPFSSSGGNDESKSDSDLGDCVVKNEPFSSAGGNDESNCDLDVGGDVELEGTGETSEGFENVANRDPVEIYKELKDALKGYKQTREEWDTLTEIFNCFSRSGWASNQALAVYIGSAFFPTAVHKFRGFFLKRCQGDVVKHLVSLGPGNEAERFLFPIFVEYCLEEFSGEIKRFKGMIEYADLTKPHTWFPFARAMRRKIIYHCGPTNSGKTYNALQKFMEANTGIYCSPLRLLAMEVFDKVNAAGVYCSLLTGQEKKKVPFSNHIACTVEMASTEQLYEVAVIDEIQMMADSHRGYAWTRAFLGLKADEIHLCGDPSVLNIVRKICSQTGDELVEQRYERFKKLVVEAKTLLGDLRNVRSGDCVVAFSRREIFEVKLAIEKYTKHRCCVIYGALPPETRRMQANLFNDQDNEYDILVASDAVGMGLNLNIRRVVFYTLSKYNGDKIVPVAASQVKQIAGRAGRRGSRYPDGLTTTLHLDDLDYLISCLQKPFDVVTKVGLFPFFEQVELFAGQLPKITFPKLLEKFSESCRMDGSYFLCNHTGIKKVANMLERVQGISLEDRFNFCFAPVNIRDPKAMYHLLRYASAFAKNLPVGIAMGMPKCSARNDSELLDLETKHQVLSMYLWLSNHFNEETFPYVKKAQDMATDIADLLGESLLNASWKPESRNAGKSKPQQEKKEGYERQRSIIISKEK >KZN09859 pep chromosome:ASM162521v1:1:30078060:30080028:1 gene:DCAR_002515 transcript:KZN09859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSVLIFIFFSFNLNTELLEAKGPSLSYGFYKDSCPQVENIIRAALSSIHLMDPTSAPSLLRLLFHDCQVQGCDASILLDSTNGGTPEIISSKNFGVRKLEHINYIKSMVEAACPLKVSCADILVLAAREAVALSLGPRIPVPLGRRDSAVAPSSKAADTLLPPVSINVDGMLQLFAGKGMTVEEAVAIMGAHSLGVTHCVNILDRLARVDKNMSPGFEVSLRLSCPFGSLTPNTSFVLNDPTTLMFDNHYYWSTLRGRGVLKIDADLATDPRTLPFVQRFAANEGEFFRAFSSAFVKLSSYGVLTGKQGMIRRSCNRIR >KZN08046 pep chromosome:ASM162521v1:1:1718831:1720198:1 gene:DCAR_000715 transcript:KZN08046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFHRQNSFPKMRGAKVVKPKDAMNTTTLNADYVKPEFISQTPPYPQDAVIEIKYSTCKNHDLNSQAPKFRVHNLCAGRLEQPNKVIHIIDPCLNFASREELNTMMLHAAQLAGASRTCTQRRRCAKAVRWRVAHRYATPAVRGYARVECGAAGIIDDDLKYTALDERDLKVIWYGDGKRNVKEFWAKRFLKHGSFSTSLNIDGEVMVDYASKIYEFGETVVTGNAEEYSLGEFLEEKGFDVGKYHQVEDLHLVLKEYFEVDVYPTFVAQGTHPFLHEIGVLYSKDSGEKIDHPMAKLVPGGSFLMRRI >KZN11095 pep chromosome:ASM162521v1:1:41673566:41683209:1 gene:DCAR_003751 transcript:KZN11095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVVFQQCLISSLHYQQPNHQFVKQNCPPSRTVRTTQGYGGATRASAAALEATTPASSSPASFPLLNPPAEPQDSPASQLELADPDFYRIGYVRNVRAYGVEFREGPDGFGVYASKDVEPLRRARVIMEIPLEVMLTISQKLPWMFFPDIIPLGHPIFDIINSTNPETDWDLRLACLLLYAFDRDDNFWQLYGDFLPSVEETTSLLLATEEDLSELQDQNLASKMREQRKRALEFWEKNWHSGVPLKIRRLAPDSERFIWAVSMAQSRCINLQVRIGALVQNANMFIPYADMLNHSFHPNCFFHWRFKDRMLEVMINAGQRIRKGDEMTINYMSRQNDILMQSYGFSSPVNPWDVIPFSGNAQIHLDSFLSVFNISGLPQEYYHNRRLSNDGDSFVDGAVIAAARTLPTWSDGDIPPIPSAERKAVKELQDECQQMLAEFPTTSVQDQEILDSMLEARKTHEVVVKTGQMSVGVLKKALAQSALAGLTEARARIFGHVLNPTGQRSPHKLLRKKLIGEKVASWYPYDIKRDDPLIMAREQQELMSEFAEPNKLHL >KZN11614 pep chromosome:ASM162521v1:1:46313916:46316925:-1 gene:DCAR_004270 transcript:KZN11614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCISQLAAKFAFFPPSPATYQVKKRDDGKLVAVSTTSSLPLPYSDDGSLDVLLLDTKRGNKIVAFYLRNPYARLTVLYSHGNAADLGQLYDLFVQLKANLGVNLIGYDYSGYGASSGKPSEHNTYADIEAVYECLETQYGVSQEDIILYGQSVGSGPTLHLAARLPRLRGVVLHSAILSGLRVLCHVKFTLCFDIYRNINKIRKVKSPVLVIHGTEDDVVSWLHGDGLWKMSRDPYEPLWIKGGGHCNLELYPDYIRHLCRFVQDMENMNTQIRLKKIRQTLRLPKGPKATTAKSSLKCCCGIELRWPNCLRCSAPSCLPCSKPSCSHCSKSKCLECPTPSCCFKSASCSCFKCLSCSCFSCLSCSCFKCFSGSQSQGCQPRCPSCLKPGVTKCSCGVRCSCWCISLPCC >KZN09961 pep chromosome:ASM162521v1:1:31199460:31200773:-1 gene:DCAR_002617 transcript:KZN09961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLDRQRKHIRNHTKEKLPCGLVATLPVLLLAALALWWCSSSIVNSSRQARLASDAVSTLNSTVDGKVQLDTPNETFYDDPKLNYSIGSPMENWDEKRRTWMKHNPSYAAADKVLVLTGSQPSPCHNPIGDFFLLRLFKNKVDYCRIHGYDIFYNNAFLHPKMDSYWAKTPIIRAAMMAHPEVEWIWWVDSDAVITDMDFKLPFDKYKNYNLIVDGWPGMIYEERSWYGLNAGVLLFRNCQWSMDFMDVWASMGPGYAEYEKWGKILKSTFKDKQFAIADDQSAMVYMLLQQKEKWADKVYIENEYCFQCYWAGIVSKFENVSKKYADLEKDASLLRRRHAEVLTESYSELRELYLKKAGYGKETGRRPFITHFTGCSPCSGNHNPIYAGDSCKDGMEKALNFVDNQVLRVFGYEHRSLMSSSVVPWQSDVAGMRD >KZN09963 pep chromosome:ASM162521v1:1:31205835:31208590:1 gene:DCAR_002619 transcript:KZN09963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIDIEGILKELPNDGRVPKTKIVCTLGPSSRSVPMIEKLLRAGMNVARFNFSHGTHEYHQETLDNLKIGMQNTQIMCAVMLDTKGPEIRTGFLKDGKPVQLKEGREITISTDYSIKGDEETISMSYKKLPVDIKPGNTILCADGTITLTVLRCDPAAGTVRCRCENTSMLGERKNVNLPGVVVDLPTLTEKDKEDILQWGVPNKIDMIALSFVRKGSDLVNVRKVLGHHAKNIQLMSKVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKTMARICIEAESSLDYSTIYKEMIKATPVPMSPLESLASTAVRAANKAQAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILNAALNSATKKGLCVQGDAVVVLHRVGSASVIKICIVK >KZN09688 pep chromosome:ASM162521v1:1:28499535:28504345:1 gene:DCAR_002344 transcript:KZN09688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQESIVESINNNSKAGWKASINDRFLNYTVSQFKHLLGVKPTPPGDLQSIPVKIHSERLKLPSHFDARTAWPKCSSIGNILVYFISWYNKYIVNQGHCGSCWAFAAVESLSDRFCIQFDMNISLSVNDLLSCCGILCGFGCNGGYPIAAWRYFKRSGVVTEECDPYFDQTGCSHPGCEPGYPTPKCKRQCVGGNVLWKKSKHFSVSAYKVHHDPSNIMTEVYKNGPVEVSFTVYEDFAYYKSGVYKHITGAQMGGHAVKLIGWGTTDEGEDYWLLANQWNRSWGDVSFFVLDYVKFIAGILQINGIKQLY >KZN10329 pep chromosome:ASM162521v1:1:34630384:34630674:-1 gene:DCAR_002985 transcript:KZN10329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKAKKDQIPRGCLAVKVGQTPQEQERFVVPVVYFNHPLFMQLLKEAEKEYGFHHKGPITIPCKIQQFRNVKGIIDRELHHHHSHVVGCFRVGKM >KZN11143 pep chromosome:ASM162521v1:1:42098504:42101102:-1 gene:DCAR_003799 transcript:KZN11143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEEPAFLNVPSLAIREKHDIINLQRSISLTEYSAASEGAISFLPPCYSSPCSPLRLFNYSQLSDLDPSLVPPPPKHDKSPTAVTCQCTASVLTKDGQILCIAVLNGVMAYTGSDSNVIRVWKLPEFKEVGQLKSKAKMVVAIHVSDDRVFAAYGDCKIRVWSRRHCGDVTRHVRLATIPKSRSYVRSFSGNDKWMKHMNPISSLHISASDDIIYSASLDKTVKVWRISDLKCTETIQAHSAPINAIAVADNGVLYTASDDATVKVWHRNFGSENSSPHALIVTLHANSSPVKTLTLNDKAGILYGGCTDGCIHYWLKGWFSGQLQYGGMLQGHTHAILCLTSFGKFVVSGSADSTVRIWVRQQDGEHNCVALLKGHRGPIRSLVAFAGRVMGDGDEDGCVVCTGSMDGVVKLWQVKCSSKQGLIWELDASY >KZN08381 pep chromosome:ASM162521v1:1:5899779:5908295:-1 gene:DCAR_000927 transcript:KZN08381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNYKSLTLPMAANEMTRDLRLVLLIAVLLTGAPCTTAGEPSGKYSKLSGIIIPGFASTQLRAWSILDCPYTPLDYNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDNPECKSRADTGLSAITELDPGYITGPLSSIWKEWVTWCIEFGVEANAVIAAPYDWRLSPAKLEERDLYFHKLKLTFETALKTRGGPSLVFAHSLGNNVFRYFLEWLKLEIAPKRYTRWLDEHIHSYFAVGSPFLGSAQTIESTLSGLTFGLPVSEGTARLMSSSFGSSLWMLPHSKYCKTDNMYWKYISGRSKKDHQVYYCDKEEFRTKYSGWPTNVVNIEIPSGSDGNLSSNECRLPTLLSFSARDVSNGNFFKAIKDYDPDSERALYQLNKSYHEDPVMNPLTPWERPPLKNVFCIYGVDLRTEVGYYYAPSGKPYPDNWMKTDVIYEIEGSLYSRSGNLVDGIPGTSSGDETVPYNSLSWCKTWLGPEVNITRAPQLEHDGSDVQVQLNVEHIHEDIVSNMTRSPRVKYITFYEDSESLPGKRTAVWEIDKANHRNIVRSPIMMREIWLEMWHDAHADKNKNFVTKSMRGPLRDDDCYWDYGKARCAWSEHCEYRYIFGDVHLGQSCRLKNSSADNLYFYI >KZN11008 pep chromosome:ASM162521v1:1:41054981:41055560:-1 gene:DCAR_003664 transcript:KZN11008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDYSFTSHHAWTDHISDAIHRPPNMDTASLTKAIDDPVLIKAARETPLNALFSS >KZN09555 pep chromosome:ASM162521v1:1:27060160:27063042:1 gene:DCAR_002211 transcript:KZN09555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIGKRFQGKVVIVTASTQGIGFAIAQRLGLEGASLVISSRKQKNVDEAVEKLKARGIEVLGMVCHVSDAQQRKNLVDKTVQKYGKIDVVVSNAAANPSTATILESKESVLDKLWEVNVKTAILLLQDASPHLTKGSSVIFISSIGGYNPASSMAMYGVTKTALLGLTKNWPLILELIALHLVSYQTHFADFITKNETMRKTIEGSTLLNRLGTTDDMAAATAFLASDDASYITGETLVVAGGVPSRL >KZN10529 pep chromosome:ASM162521v1:1:36648684:36649343:-1 gene:DCAR_003185 transcript:KZN10529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKLQELRLAEQVFDQMDVRMRGNNIEPNASTFVSVLSSCSIAGLDEQGWKYFHLMKTEYGNDPQIEHYGSMLDLLGRKGDLDKAKKFIHEMPLASTARIWGSLLAAGRHHI >KZN08881 pep chromosome:ASM162521v1:1:17184279:17184645:-1 gene:DCAR_001537 transcript:KZN08881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEQRQSPAVTAPAIPSCRKKKSEEATFLEDVKEHIDEFIHASMDEHASCFKKTIHKMFGMSKIVAERNSRTEEVESSLPLQTTTVD >KZN10054 pep chromosome:ASM162521v1:1:32187072:32196483:1 gene:DCAR_002710 transcript:KZN10054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAKRLGIRTVAVYSDADKHSLHVKSADEAIHIGPAAARLSYLKADSIIDAARRTGAQAIHPGYGFLSESSAFAQLCEDEGFTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGEQQDIELMKSEAEKIGYPILIKPTHGGGGKGMRIVTNPTEFVDSFLGAQREAAASFGVNTILLEKYITKPRHIEVQVFGDKHGNVVHLNERDCSVQRRHQKIIEEAPAPNIMRDFRSELGEAAVSAAKAVGYFNAGTVEFIVDTITGKFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRIANGEHLPMSQSEVPLLGHAFEARIYAENVPKGFLPATGVLHHYRPVTESSTVRVETGVKEGDDVSMHYDPMIAKLVVWAENRAAALVKLRDCLFKFQVAGLPTNIDFILKLANHEAFKNGDVETHFIENFRDDLFVDPNHMKLAKEAYDAAKTSAILVAACFCEKEHVSIRKSPPGGLSIWYSNPPFRVHHRATRTFELEWENDIFDKDSEILTLSIIYQHNGKYLIKTGDSSCPAQEIRVEHLGNDDFRTEVDGACINISLGAYFKDQNEHIHIWHGSHHHHFRRKIHRVLLDDDTTQWKPISGLVSHERGAVVAPMAGLVVKVLVENGMRVEEGQPILVLEAMKMEHIVKSQTAGLVSGLQVNVGQQVSDGFVLFSVKDE >KZN10158 pep chromosome:ASM162521v1:1:33115230:33120735:-1 gene:DCAR_002814 transcript:KZN10158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSMGKRKTPLFKNPKIPFSLFLILADALLVALIITYVPYTKIDWDAYMSQVSGFLGGERDYSKLEGDTGPLVYPAGFLYVYSAIQFLTGAQVYPAQVLFGFLYIANLGIILLVYLKTEAVPWWALSLLCLSKRVHSIFVLRLFNDCIAMILFNASLLSLLYQKWHLGLIIFSGAVSVKMNVLLYAPPLLLLMFKAMNIYGVISALAGAAIVQILLGLPFIVSNPISYFSRAFNLGRVFIHFWSVNFKFVPEHIFVSKIFALFLLVAHLSLLAVFANYKWSKYEGGILSFIHSRMVQMKLNSAVSSSFPPKKVNEESLAFKVLRNEHIVTTMFVGNFIGILCARSLHYQFYSWYFYTIPYLLWKTSFPTFLRIILFVGVEFCWNVYPSNTFSSFLLLCIHLLILWGLWRAPPEYPYADDKSSPVEKRK >KZN08506 pep chromosome:ASM162521v1:1:9261644:9262924:-1 gene:DCAR_031713 transcript:KZN08506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNSSQNHNSKLHMHKHITSAPNNHVSRQPHTQNAKPTVNAPKSVLVDPSDLSKLDNMLLNVEPGMIIYVPQNGVVHNMFLYAKGKNMIFYRGQNYFVHLIGEFYGNMVVQKGIDDVLKISTVVHNKKMFVNVNTLNRCLKLGENVPFQLCINIYEKFVFDKKEFESLVGYFCDSDVPLDLCDKNCAIELHHFTLLYQQLAIIIRSNMLPKPKNTQFFDFVDLKVMFQLATNQVEFNINYVILINMIMAFEVEYMPYGLLLTSLFELYHIAMPRVLAERIEYCDISSLVKHQVSLSDCKPLTVTPVCITPDVIFIGSKQGDNRANAEFDKLKEEVNNLKEINLFIMARLDQLENKSKEDSTVGNVEGINEKMDRLFNEDMVNEMAEKSDKLVVDDTGKTEAVVLPSLNDLANDLGFVDVDGPEKA >KZN08656 pep chromosome:ASM162521v1:1:13108196:13110702:-1 gene:DCAR_001186 transcript:KZN08656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTHCSRTSAGSSGADDTSRCIGRSTEVTSVLISNHFLKGSIRLPLAFRNSYPKQNMGNSWILTVDGVSDYNVRYNPINGRIEQTEFVMDDLNIKWFNPIILTLDSIHRYKMMVFSPSGIEVTNQSRSKGMKTHKSDNLSFEEKLCINNRAIALSNLTYVKDGQKPVFIHIRSSHLSEEVVELVNGLFQDLGTVLYERFKAGTMCNRLILELGQMQFDLSVVYLDSILMVVNGWKKFLNLADVKEGEILAVKYVPKHMKLMAVPFLVSLTPGIKVEINLRCALPTGSSIIVHFDPISNNIYGFQDFFTQIEICVEDVTVVRISEGSRMEVQVFRKNGIGLDCAGNTCHTLHDDMCAEFSDFEAQVPDCTQVRGTSNVQGDIGPTRSHRGPEIVQTADRAVEPHSSTYSRSATETGNTFEVLLKPSHLDERSHGAYVSRELASFYKKWGKHPTATVSTVAGTWRIRMNIDKKKCRFGKGWNEFVSGAKLKLGEKLKFSLVEPEEKKFTVEIED >KZN10517 pep chromosome:ASM162521v1:1:36551865:36553227:1 gene:DCAR_003173 transcript:KZN10517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESKMIMLKSSDNENFEVEEAVAVESQTIKHMIEDDCANTTIPLPNVSSKILAKVIEYCKKHVESPKTDEVNKTVEDELKTFDAEFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >KZN07941 pep chromosome:ASM162521v1:1:830215:833935:-1 gene:DCAR_000610 transcript:KZN07941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKEGNVMVLGQWFYRPEEAEKKGGGNWNSSDTRELFYSFHRDEVAAESVMHKCVVHFIPLNKQIPKRKEHPGFIVQRVYDTEQRKLFKLTDKDYEDSKQHEIDLLVQKTFSRLGDVPDIETEDGEANQEDQLRNKRLLRRKNMLPLDVSRVDEDPAKSGMSSQLQKAETPGSCNSNGSEYYKILANFKVLTGESQRDKWLEKLLEGIQSICTPPASSQADGKEKAETEGNNVPSEESGSAPEENSKNGKPTFLWPDAAVPAIAALEKASHETFSGVFEKYNQKMRQLSFNLKNNAQLSRRLLAGELEPIKILKMSSVELKEGLTTEEIASKEPEESAHIQMTDARCKRCMEKKVGLTEIIQAGHGDRYRLECHACGNDWWTSRDEASTLTIDGSNSRNVGTAPLATAKFEDVEKLVSPREHKKSATDLLKKTTEAKMPVLDNQRSFNKSRSEDNVAATTAES >KZN07998 pep chromosome:ASM162521v1:1:1311203:1313040:1 gene:DCAR_000667 transcript:KZN07998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRKCYLDAVLVPLALLVSVSYHVWLWHRVRTQPLSTVVGTNARGRRLWVFAMIKDNDKKNILAVQTLRNTIMGSTLMATTSILLCSGLAAVISSTYSVKKPLNDTIYGGHGEFMVALKYVSLLVFFLFSFMCHSLSIRFINQVNFLINTPQDDAMASVVTPEYISELLEKGFTLNMVGNRLFYSALPVLLWIFGPVLVFLCSVCLVPVLYNLDVVFVKEKGKGGDCLCV >KZN09966 pep chromosome:ASM162521v1:1:31232007:31233679:1 gene:DCAR_002622 transcript:KZN09966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASSPNPPLLANYAQLVCRSAEALGDVDHKAVVVMEECELPLIDLGGLRNGNEAEKAACVAAICKASSEWGFFQVVNHGISLDLLRLMRREQKKLFESSFEKKSTCGLLNNSYRWGNQTATNPKQLSWSEAFHVPLIKISEEACYGEGVMEEYAGEMQRLAKKLASVLVSNMGEGKEVDKTCDESTCFLRLNRYPVCPYAPEVYGLVPHTDSDFLTILHQDEVGGLQLMKDSKWVAVKPNQDALIVNIGDLFQAWSNDIYKSVEHKVMVNSKVERYSIAYFLCPSGDSVISSYKEPAVYKKFTFGEYRSQIQQDVKKTGQKVGLPRFLNWNHQTP >KZN11147 pep chromosome:ASM162521v1:1:42132352:42139968:1 gene:DCAR_003803 transcript:KZN11147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQSKSNRKKGKVKWSKLYTFSCLKPNFDDQQLLGQPGFSRVVFCNKPELHKAKPYKYPNNYISTTKYNVVSFLPKALFEQFRRVANLYFLLAAILSATSLAPFTPTSMIAPLVFVVGLSMIKEAVEDWSRFLQDMKVNSRKVKVHAGNGIFVERAWRTLLVGDVVKVTKDEYFPSDLLLLSSSYEDGVCYVETMNLDGETNLKVKRCLEATLNLDEDSGLRTFSATIRCEDPNTNLYTFVGNLEFEKDSSPLSPDQVLLRDSKLRNTDYIYGVVIFSGPDTKVVRNSTRSPSKRSRIERKMDHVIYVLFSILVLISLVTSIGSAIFTDHDMVNAWYLRLKVEESTDPSFDPKDKESKDPSFDPTEPLVSCFYQFVRALILYGYLIPISLYVSIEIVKVLQAMLINKDLQMYDKLSCKSVEARTSNLNEELGQVEIILSDKTGTLTCNQMEFRKCSIEGLSYGGDTTEIDLAASRRMNIEIERYRFSLDGSDSTSRSLEMFEISAENSSTDKVTLANDQGMENFGAGNLGYSVSGKEANIKGFNFRDSRLLRKLWIHRSEISNMMMFFRVMALCHTGIPIEDSQTGKLKYEAESPEEVCFLIAAQEFGFQFCRRTQSKLIIKEPNPSGVEVEREYKLLNLLEFNSSRKRMSVIVRDEDGHIFLFCKGADNIIFDRLADGGRTYQQATTMHLSNYAEDGLRTMLFAYKQIDVTEYENWNLQFMKAKATIGFEREELIEAASEIIEKNLYLLGAVAVDDKLQDGVPECIDKLAQAGMKIWLLTGDKKETAVNIGFACSLLRHDMQQIRISLSKEAESTNQVKAMKEDILQQIESSYQLTIKEENREHPFAMVIDGKALQIALANDMRQKFLRLAVSCAAVICCRVSPKQKALITRSVKEYTGKTTLAIGDGANDVGMIQEADIGIGISGMEGMQAVMASDFSLPQFRFLERLLIVHGHWCYKRISKMILYFVYKNVAFGLTLFYYEIYTSFSGQVLYDDWYLVMFNVLLTSLPVIALGVLEQDVSADTCLQFPALYQQGQRNMYFSWKRIIGWITNGIFASLAIFMLNIYILSPTAFLKDGNVADSAHIGAIMYTCVIWTVNCQIALIVTNFTWIQHFFIWGSILSWYILIFLYGVLPPKYSYRQFQLFVEAIAPAPIYWTATLLIVVVALLPYFIHIVIQRSFYPMDDHVIQEMIYCMTDVTDSPAWLREQENSKKKTHIGFSARCIELKDSPKEKTIRLQLGEEFNTSSLKDGDIEFSLYGNDRAGKKGLVVYGVLFAPVED >KZN10169 pep chromosome:ASM162521v1:1:33248179:33252297:1 gene:DCAR_002825 transcript:KZN10169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSNSLNSCSICLDLVADSKERSVAKLQCGHEFHLDCIGSAFNMKGAMQCPNCRKIEGGRWLYANGSSRSLPDFGVDDWTFSEEPFEYSFPEMPFGVHWCPFSRLTGVHSSFEEVDPPSTTYHELQGNNAIFAEHIAASTLAHSHVAYARPIPRAISNASENVDDHTLSHRRNAQSGHNEIYNSNVFPPMNVQYQSWGHHSPPISARNHRNGVDQASVPPTLRSARVEADAITRSGPFARPFLHGHGSFPRARSPFVPSLNHPGNITRAHDRIQVSHVSHHSQGNPTNLISPIVPAVRRFSGPRGLPLMMPALPHADHQGGFYIFPPGLSGQNLHEAENRDHLSRFPVVLLDRDQGWGSMRHASGGIDSSNRSGNFWRQWS >KZN12126 pep chromosome:ASM162521v1:1:51237268:51237681:-1 gene:DCAR_004782 transcript:KZN12126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSGITTSSGSLHNTDLYQQQQQLQRKMSNRESARRSRVRKQKHLDDLMAQLAQLRKANNQLVMMMNVTAQRLLHVEAENSVLRAQMAQLDARLDSLNEIIGFLDAEKEGFALDEEFCYNAVNDSADLFHELYVC >KZN10941 pep chromosome:ASM162521v1:1:40486299:40487186:-1 gene:DCAR_003597 transcript:KZN10941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCISKFNSNKKSHQQQTESIVQDKLVISQAPCSLCTPPPLPETPKPPYHSPLSPTSSTSASSFSSFYCATSTNSTSSSNASLSSSSSFSSSIICPKERPIFSNDYLRSCVKENPQVIKGKTDRLFDQNPKPNKTKLRNNFTIQGKPIVATPRQSSNKAKKRSRANSPNLTRQKSFRKEPPERGSTPSRIIRSPSPTRRFNGENYNYKATLLNQTPIYKRQPCDLKENLRFNYNAGGKESISRITSSPKNVSSKYVGVNRMNEEYSSHQVGEELPADHEDINNPHIALDCFIFL >KZN09329 pep chromosome:ASM162521v1:1:23295026:23298263:-1 gene:DCAR_001985 transcript:KZN09329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRIWTRCIILIICMLSGSLGGYVGINIGTDISNLPSASDVVDIIKANQITHVRLFDADAHMLTALSDTGIEVMVSVTNEEVLGIGESASVAAAWINKNVAAYLPSTNITAISVGSEVLTSIPNAAPVLVPAMNYLHKALVASNLNYQIKVSAPQSMDLIPKPFPPSTATFNSSWNSTIFQILQFLKNTNSYFMLNAYPYHGYIINDGIFPIDYALFRPMTSVKQIVDPNTLYHYDSMFDAMVDATYNSIAAFNTSTIPVVVTESGWPWFGGADEPDATVDNAETYNNNLIHRVLNDSGPPSQPAIPINAYIYELFNEDKRSGPVSKRNWGAFFPNGSSVYPLSLSTSDNNIGNASVGFCVARQGADSNKLQSGVNWACGQGQANCTAIQSGQPCYLPNTLQNHASYAYNDYYQKMKSVGGTCDFDGTAMTTKNDPSYGSCIFTGR >KZN11086 pep chromosome:ASM162521v1:1:41614221:41618753:-1 gene:DCAR_003742 transcript:KZN11086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNSLVHVSSTCPAVISSNLASRNGVVSLLPNLNLKLRKRFSVIRAVSDDADVTQQPSSGSEPKNPLSVVLDIPKTIWRQTLKPLSDFGFGRRSIWEGGVGLFLVSNLVLFVLSLAWLRGFQLRSKFRKYSAVFEFSQACGICNGTPVRIRGVTVGNVIQVNPSLKSIEAVVEVEDEKVIIPRNSLIEVNQSGLLMETMIDITPRNPIPTPAVGPLHAECEKEGLIVCDRQKIKGIQGVSLDALVGIFTRLGRDVEEIGVANTYELAVRAAAIIEEARPLLTQIKAMAEDVQPLLSEIRESGLLTEVERLTKSLTQATEDLRSVHSSIMTPETSELIQKSIYTLIFTLKNIEVRS >KZN09429 pep chromosome:ASM162521v1:1:24906879:24913051:1 gene:DCAR_002085 transcript:KZN09429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADQAELTTDQVLKRDIPWETYTTTKMISGTGLQLLRRYDNKPSSEKAQLLDSIAKEFSSETLYHSIILPLLAVIACREGLARLSCADGPAYVRLFVTILRDIFKEETVEYVLALVDEMLSGNPKRAKLFHEKSVASEDAYEPFLRLLQNGNWFIQEKSCKILSLVVSSRPKSEDAVNTNVDDVLRGLVEWICAQLRQPSHPTRGIPVAINCLTILLKEPVVRSSFVKADGVKLLVPLIVPASTQQSMQLLYETCLCVWLLSYYEPAIEYLATSRSLPRLIDVLKSSKKEKVIRVIVLTLKNLLNKGTIGAQLVDLGLPQVVQSLKAQALSDEDLLEALNQLEDGLKDNIKKLSSFEKYKQEVLLGHLDWSPMHKDSIFWRDNITYFEENDFQILRVLITVLDTSADPRALAVACFDLSQFIQYHPAGRVIVTDLKAKDRVMKLMNHENAEVTKNALLCIQRLFLGAKYASFLQV >KZN10153 pep chromosome:ASM162521v1:1:33059754:33059906:-1 gene:DCAR_002809 transcript:KZN10153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNLRSAYCKILLQAAALSHILKIAYYCAEAQRFILVARTKEVLGTHRT >KZN08188 pep chromosome:ASM162521v1:1:3739101:3740272:-1 gene:DCAR_001253 transcript:KZN08188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLAPTGYRSASVTVLSDYLCAAGITFEIDTYVKFSSATMVLKQLDLLLAAYNKTVPVSRFETPTPANMNHQQLSQSTHGSSTNTLSSAHFLAQFWNHTCYTMHMIPKPDIERLGHSKLSLIT >KZN10378 pep chromosome:ASM162521v1:1:35095663:35098842:1 gene:DCAR_003034 transcript:KZN10378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFERNTEQGSVWVTLKRSSDKSKAQLNKLISAEEAIEHKCLVRASDGKKNISTLVGAKDHQRFQASYATILKARMTALKKRERKDKRKSLEVDKKQGGGSKKGPSKKT >KZN09373 pep chromosome:ASM162521v1:1:24376439:24384162:1 gene:DCAR_002029 transcript:KZN09373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPEVAIQSVADDFNKQSLGEAKLKLEDLNWDHSFVRELPGDPRTDIIPREVLHACYTKVSPSVEVENPQLVAWSESVADLLDLDPGEFERPDIAHKFSGASPLAGGMPYAQCYGGHQFGMWAGQLGDGRAITLGEVLNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMKSLGIPTTRALCLVTTGKNVSRDMFYDGNPKDEPGAVVCRVAQSFLRFGSFQLHASRGKEDLDIVRTLADYTIRHHFPHIENMSKSESLSFSTGEEDNAVVDLTSNKYAAWTVEVAERTASLVASWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFASTISAAKLINDKEANYAMERYGTKFMDEYQAIMTKKLGLPKYNKQLISKLLNNMAVDKVDYTNFFRILSNIKADVNIPEEDLLVPLKAVLLDIGKERKEAWTSWVQTYIQELVESGISDEERKTSMNSINPKYVLRNYLCQSAIDVAESGDFGEVRRLLKVMEKPFDEQPGMEKYARLPPAWAYRPGVCMLSCSS >KZN09480 pep chromosome:ASM162521v1:1:25725797:25735256:1 gene:DCAR_002136 transcript:KZN09480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNHLGRGVKRDGSGLIVSTCARILLVDHNPVALVHNASLLQDQLFDVTTANSAARALKYLESRNGLYHIVMADFHIADMNIYEFIDRIRDQCFRGMPIIMVAEDDPMDLGNEALQKGASYFIRKPLTPMVVSNLLKHIVPKTWGIPDVTSTITKNKGFTWSYQGNDKQKKIQNTQYGVTSIEAGPSKTTNMRNIEHGNLQSSPNLQKQSCHFTLDGIQEILNGLNSNIALTATTANLTMDTGGVQVARRNGEFQMAGGQMTPSNVYMTGDMAGGINGNTVNLGNMSSRSDQVAAGGNQIILEGEQVTLKNCYTSSALQVQNEKASGHSGVKMYCNSNPGYVNIGEGTSSLHSPIEEELTLDDIADIRGELTKAAIVSPKLQNPIYLSHSYRVSIYIANDLQQELPSPPVQQLKEQAEVEEWPQKSLNEKQQEYQDLLIRCIAQPLGFSKGRPVAACIIYKCLRHWRSFEVERASIFDRIIQTIGQAIETQDDNKTLAYWLSNASTLLFLLQRTLKASAAAGMTPQHRRSPSTLFGRMTRSFRGIPLGSDLSSVNVWALASTPTFSHGYSGGRDHSVSAAQLRYYGQNNIRRSPLSCGINYLADMATQGKLMLWGA >KZN10491 pep chromosome:ASM162521v1:1:36235614:36235961:1 gene:DCAR_003147 transcript:KZN10491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQLNSIFNKCKSFSRLLGRSSSHNYSSKSNSFSRDDEIVFVGQTRKPYAISSKDLNHPLLNAVIEKESSLSCNGSVWVKCEVVLFDHLLWMLDDVESEVASDTLQELVEFYAM >KZN09526 pep chromosome:ASM162521v1:1:26655977:26660728:1 gene:DCAR_002182 transcript:KZN09526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFLTSTHRAKWIFPPQELKEKYKAANQRARQMLEKYGATRVEVDIDGSLSYPERQNEAKAEKHSRAKPLKTEEEHLIRAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLQWSVMEHHPKDIMLTCIYTACKAEENHVSAEELGKGIEQSHQMILNNEMIVLQSLGFDLIVYAPYRSLEGFVNDMEDYIRATDDQRQTLMALLETAKIEADKTMLTEAPLLFAPGQLALAALRRSNQVHGVLDFERYLRSILSRQQPVHTISELTTALNNIDSVVSKLATPTASDMKHIDRKLKSCRDPSSHDKSKKRKHRSKESPSQLHNMA >KZN09945 pep chromosome:ASM162521v1:1:31036113:31038768:-1 gene:DCAR_002601 transcript:KZN09945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTIKKDYSLLYHGAADSKDANTACIVSGVIQNQKPPRSSLKKHLSSLKSASNSTPKQAESKCTWVPKASSSRRGVSQKNINLISTDAALEDLEKPLSEPFIESNLSSSSPSYSTATPHPPEYPLISVNPASSFGLSKSTNRQTKAKCIQLRKDASTHGLASEDRKYVIKMNTILENSENPDSSPTFSPKSPPIFKRTQKNKTKHILVKKRALPLYIVPKHIKKLIKRDIVPIVLRKPLSPLTYKDYFATLLYAEDYYFEKWDGFEMLDVSMRNVSLELHKAVMHRRKGKSKSLSRSELSDDEDVRTLVQFEIDSIPGKRPFLLSKDFAYIRQSGTEDTPFKGIIYRVEKSKNLFVEFGVEFYDQHYSGCKYDVKFSLNRVCLKRTHNAIEAASDVLFKNFLFPDCIPSSKNISLEELWPFHLTLKEDQLRAVHRIVMHQGPSPYLLEGPLSVTRAKKHTRDRVIIAEKLTSTGTVIQEAVLQLWKASSVNRILICTNSNSACDALMSSLQKEIPVSDIFRSNAAFRELDEVPDDILPHCPYEEKDELFSCPQLAELEKFRLILSTFLSTSRLHNEGLKPGHFSHIFLVDASSAIEPETLVPLANLANEETVIVVSGKPQNCSRWVRSSIARYNGLQRSYFERLRNSELYVTLNPEVISELA >KZN09093 pep chromosome:ASM162521v1:1:20165948:20171466:-1 gene:DCAR_001749 transcript:KZN09093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVAASIISKSGKALVSRHFVDMSRIRIEGLLAAFPKLVGTGKQHTYIETENVRYVYQPIESLFLLVVTNKQSNILEDLETLRLLSKLVPEYAYSLDEEGIGKTAFESIFAFDEAISMGHKENVTVTQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIEKNRGEKGGFMSLQSMGSGSFGSDLNISSSGSGFGSGAGFGLTTDVETFSSKSKAGRPPSSATAPPKGLGMKLGKSQRANQFLESLKAEGEVILEDVRPSAGPAKAAVVPLTDPVTLIVEEKLNVTLKRDGGVSNFDVQGTLSLQILNQDDGLIQVQIEAAGNQGVVFKTHPNINKELFNNENVLGLKDPQRPFPTGQPGDGVSLLKWRMQTVDEAVVPLTINCWPSVSGNETYVSIEYEATSTFDLQNVVVSVPLPALREAPNVRQIDGEWRYDSRNSILEWSILLIDNSNRRFFSLFPNFCTLYSC >KZN10904 pep chromosome:ASM162521v1:1:40172027:40174198:-1 gene:DCAR_003560 transcript:KZN10904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQRVMVLLYLVALSETFQQCFSFSNDQLVKPENVAYHKGSSIILPVSGNVYPKGYYHATVNIGNPPRSYFLDIDTGSDVTWLQCDAPCIKYFPAPHPLYKPSKDIVKCNDPFCALFHWPNSVPSKSPEEQCDYEVVYADHGSSLGVLIRDVFPLKMTNDNTAFPRLAFGCGYNQEVANGVPPPCTDGVLGLGNGKSTILAQLRKLGLMQNVFGHCFSAQGGGYLFIGDNILPSSEIVWAPMSRKFSKDHYSLGPSELRFDGQATGVKGIPVIFDSGSTYTYFGYRAYRALLYMLKKNLNQYQFEDANDDKTLPVCWKSTKAFNSIHDVKNLFKPLILSFPNSKNVQLQLSPEAYLIVSDRGNVCLGILNGADVGLEGTIIIGDISMQDKSVIYDNEKQQIGWVSANCDRLQMPS >KZN08205 pep chromosome:ASM162521v1:1:3917004:3918192:-1 gene:DCAR_001270 transcript:KZN08205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYRKKYKRSAAKRSLSIFEVAMEKQHDSRLEIQPVLDDVWNVDDRSTGFELL >KZN08956 pep chromosome:ASM162521v1:1:18129293:18131879:-1 gene:DCAR_001612 transcript:KZN08956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDSVTPRSTITFQALDEFLHKRVSKLQQRGDDDSCEHHTARHAFSRIYRGPSIQTILDWKSKGISNTTSIQSDTKKRGYGPGVNKLISSPQQENEPPKEIPQVYTLSSILNFCFDGPRSDLLLIVLTTFTPFGYSGVQALLGSADG >KZN11926 pep chromosome:ASM162521v1:1:49460009:49460320:-1 gene:DCAR_004582 transcript:KZN11926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGIIRQNEASEQQSSRKKVLVHLPTGEVVSNYSSLRRMLMELGWEIYYGDIELLQFHKASSIDLISLPKEFHKFNSVYMYDIVVKNPNVFLVKDC >KZN08516 pep chromosome:ASM162521v1:1:9590128:9590647:-1 gene:DCAR_001046 transcript:KZN08516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARPLVILNKVLLVGTKTSTYIGKPVVPNAAVHAVVEEQGLNDKVIVFKYKKKKNYRRNIGHRQPNTRIRIMGITGYEDSPAVTLP >KZN10014 pep chromosome:ASM162521v1:1:31717759:31720045:-1 gene:DCAR_002670 transcript:KZN10014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYHDLLLPYVKKVRQIKGTSLYGSRALFFLTPIGTLKPVAIELVRSPMNGKPQWKKAYSPGFDATSVWLGSSLKLIYWHMTLVFISLLVTECFIP >KZN08487 pep chromosome:ASM162521v1:1:7952816:7959339:-1 gene:DCAR_001033 transcript:KZN08487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKAQREQEAAQEAAQEAARVLGDAEEDVNTHDGTIETTPADIDKLSDDHGDQYFRNHWMLIVLRNPSNDLKGGGECPTMLLLDSLKGSGSGNIDGFIRGLLNSFYKYANRDYSPRSINSIPLLMPEMSKTWFSTEDVEELHSGNNMQRSGRKYPKRQRVDNKKTEDVALYMFLDTFIGRKSFSERNKPRFLPWNNYCLNKPEQILIQRSFLVDGDLRMPNIDYITLQSCRIKDNVDDDAIGGATQNRDMEETPMGGDVCSTMNSADGDLDWDLIPKSKNGNVAEETRQEAHDHPMTRIIPICPKSIVLGRIKEIFSDNALNRGTPQG >KZN10076 pep chromosome:ASM162521v1:1:32395239:32396155:-1 gene:DCAR_002732 transcript:KZN10076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLALEVETLASDMCLGLGLQDLGKRSPRNPRVLSLLSSYLEKSVQSNEKLMENTQIKDAVTIFHGSKAPALGIQQYINRIFKYSCCSPSCFVVAQVYVDRFVQRTNVPLTSLNVHRLLITSVMVAAKFIDDAFFNNAYYAKVGGVSTAEINKLEMRFLFALDFRLNVSLQTFGTYCSQLEKEVSAVPPIERPIRICGIKDCWQNNEDSVCSQTIAR >KZN10792 pep chromosome:ASM162521v1:1:39026371:39037216:-1 gene:DCAR_003448 transcript:KZN10792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRRGVGGGVVAGLFVLLLPVLFPTLFAPFSHASPSIFSEYNTPRTRHSRLIKSAVQRQTSDKQQSELWSPLPNQGWKPCIESTSTSAEILPENTLGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWKDSSSFMDIFDVDHFIDALKGDISIVKELPEDFSWSTREYYATAIRATRIKTAPVHASANWYLDSVVPVLESYGIAAIAPFSHRLDFDNMPKDIQHLRCKVNFQALRFVSHIRSLGDALVSRLRYAPGQNEAIDSKFLRGIADAKENKAAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRNQGRCPLTPEEIGLLLAAMGFDNNTRLYLASHKVYGGEARISTLRELFPLMEDKKSLASGEERANIKGKASLLAAVDYYVGMQSDIFVSASPGNMHNAMVGHRTYLNMKTIRPNMALLGQLFLNKSISWTDFQDAVMEGHKNRQGQLKTRKPGQSIYTYPAPDFLRTGAVNSASGSAYAEFGNTKVIVSVFGPRESKKAMKYSDTGRLNCNVSYTTFATPTRGQGSDGKEISAKLHKALEGAIILDTFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMLYDLISAVSVSCLGKSLVIDPVLEEESYQEAGLMITCMASRSEITQLAISGEWSTPKVHEAMELCLNACSKLGEIMRACLKESSSASKE >KZN10591 pep chromosome:ASM162521v1:1:37281873:37288354:1 gene:DCAR_003247 transcript:KZN10591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLSTNHKLCGYLCTILQTDPPIAPNLISTTCEIFAEGSETGFICENGTVLSTINGETEYAVGEGSNTASSSRKKWSGIGLVNGSISVVNQLYALVSHKCLSIVSKVVEVVEVVGKAVRVVVLVDVYLPIQLWSGWQFPRSATVAAALCRHLSCNWEARRSLLQLGNTDDQRSDNIWNISDCHVLGCKLQCVAPGTSKKKLFELHDIFMSLPSLSMKGGSDLSRVYPADDTSDSGIWDVSDDVLINIFATLGPIELLRVSATCRHLRSLAASIMPCMKLKLFPHQHAAIEWMMQREKDSEVLPHPLYLDLVTEDGFTFYVNVVSGEIVANIKPVFRDFRGGMFCDEPGLGKTITALSLILKAQGTLALPPDGAEVIWCTHNGKQGCGYYEISADKLASGQALPTTRVVGQTPRRGQFHLDDSQEKLVHSAKRTRVMGSAKIVAESANKLSPHEEAGSPQAACVAHCSSKQSRSKKNLLDAFDEESDYNTGTARRSSRKRRHPSNWPFYSSLEKDSSSDVSSYRRKRGNKITNDSDNKQTWIQCDACRKWRKLTELDAAKTTTAWFCSMNSDTFHQNCLVPEEIWDARQKLTSLPGFHTKGKSGGEEENISFFITVLREHSALIDSVTKKALTWLAKLSADKLLEMETVGLLQPVMQPQAARRGNIHQFHRVFKAFGLIKREKEGTTKWYYPRTVSNMDFDVAALRIALCEPWDSIMLYLSKATLIVVPSNLVDHWNTQIQKHVRPGQLRVYIWTDHKKPLAHNLAWDYDIVLTTFNRLSAEWNPHKRSVLMQVHWLRVIFDEGHTLGSSLNLTNKLQMSISLTATSRWLLTGTPTPNTPNSQLSNLQPMLKFLREEVYGQNQKLWENGILRPFEAGMEEGRLRLLHMLQRCMISARKADLKSIPPCIKKVTFVDFTEEHGRSYNELVVTVRRNILLADWNDPSHVESLLNPKQWKFRSTTIKNVRLSCCVAGHIKVSDAGGDIQETMDLLVENGMDPSSEEYAFIRYNILHGGNCMRCNEWCRLPVITPCRHLLCLECVALNSERCTYPGCDNFYEMQSPEILTRPENPNPKWPDDWHPDWQSTSSSKVNYLVHRLKELLGGNQNISCPINGGVDLKQVSETTSCSEPSNESNVAPSEKIIIFSQFLEHIHVIALQLTIAGIKFASMYSPMHSSNKMKSLTTFQHDPDCIALLMDGSAALGLDLSFVTHVFLMEPIWDKSMEEQVISRAHRMGATRPIQVETLAMNGTIEEQMLNFLQDADECRKLLKEGTGKHDRESSRTRRSLHDFAESNYLTQLSFVRTVPRLEGLQLAAQ >KZN12064 pep chromosome:ASM162521v1:1:50715309:50718580:-1 gene:DCAR_004720 transcript:KZN12064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSGPTTPFPPPINRRPESQPAKNMEALKLKERENKERKVMVNNKIASQKAISIILRREATKAVIEKKRGPTNSKKLLPRTVLEALHERITALRWESALKVFELLQEQLWYRPNSGIYVKLIVMLGKCKQPEKAHSLFQAMVDEGCPINVESYTALLSAYSRSGYLNEAFYILEEMKNTSDCQPDVFTYSILIKSCLQVYAFDKVQYLLSDMTSQGVKPNTITYNTLIDSYGKAKKFTQMESILVEMLHQQEVEPDVWTMNSTLRAFGNSGQIEMMEKCYEKFQCAGIQPSIKTFNILLDSYGKTGNYEKMSAVMEFMEKYHFSWSLVTYNVVIDAFGRAGDLKQMEFLFRLMQSEKIKPNCVTLCSLVRAYGQAGKAEKLTGVLRYTENSDVTLDTVFFNCLIDAYGMMGCVAEMKNALEIMERKGCKPDKITYRTMIKAYSMSNMTSQVKEFKAVLASLGSTSP >KZN08535 pep chromosome:ASM162521v1:1:10066281:10068593:1 gene:DCAR_001065 transcript:KZN08535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGRRAERRRPTGRFSKTSPITASIRRNIEKQRARNEQWKEVRYIKLEETQKIQEEVEKYKDLMEEEILRAIRLNSNNIIRYAYNRLERIKRLVDQETLNKVEEGDEAAVEAALEKLMECSWEGPIVKFADTNLQRRLEEGESIHRNFILQSERWVDENVIKMVKEGCNEGLRMASNQTHYKSLRNMESGKSYQEALTGRNPIQKKEEAQEDGWTTVRRKKKPSKTTSRHVNTIFVSNIPIQATAKELWQFFNKKRQVKDIILPKKRDKLNRRYGFVKVRQEQDVNDIMQGLKYEVFYSQPLVLQPARERGLPVHSEPNKPINKANQRGREYNKVEPPFSPAPKGGPQGDPKPARKEEKKGGQKVEEEIIKISPCKDIESIANKSMVCFSDFPLNGDILQEVLVELGYVGIIVKEVSCYKFIFSFNSKKSRDDFNFENLSDWVSHPRPMENEDYRIARKIVVEIRGLPCNTWKEENLKKIVKNTGTWGWWLNNPMYHSSLENPLVTVYTESLSRVSKVVRVQMEGISRQIMINELENSVHYQEERNTERESCKHREGKEKVSREGKERMSKTDPNPKTYGVDDQREEEANVFEEQGTKIVKEGNGRLMQTKLYRKIEEKPTLEVSNSVDAESDKEDLGREREDSVFKSEEEDNIDPSINTGDLFVLDTNIQNSICNVLKKINIDRTKKKRRQGCSTNPFDIGRCKLSRYNRRNGNNQPKPTPRTLVKKKDLEQEALEIVQMAEDMGLQLKTSKEDAIKKIKEQLSCSQI >KZN10990 pep chromosome:ASM162521v1:1:40888119:40895875:1 gene:DCAR_003646 transcript:KZN10990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKTLNIFQNPNFKQLHKPLFCKQSFHLKTSVPVISLRNKVVTACISPGQEILVSQGFEVLSKNDVNLDSISLVNEEKQEPTRINQAETQGFGENESIWKQMVEIVKFSGPATGLWLCGPLMSLIDTVVIGQSSSVELAALGPGTVLCDYMSYLFMFLSVATSNFVATALAKKEKDEVRHQISILLFLGLACGVFMFFFTRILGERALTAFTGAKNVDIISAANTYVIAAFMMVDALNKKGYNGYLLSIPSFNDLKHIYSIAAPVFVTMISKVTFYSILAYSATSLGIQTIAAHQVMIQIFCMCAVWAEPLSQTAQSFMPELIYGANRNLSRARMLLKSLFIIGALSGTILGSVGTSVPLLFPKAFSPDAEVIMEMHKVLIPFFIALCVTPCTSSLEGTLLAGRDVNYISLSMGVILVVATILLMVLSGRGTGLSGCWWALAAFQWCRFSSALKRLTSPSGILYADDLMPRESLKHEIAQC >KZN09541 pep chromosome:ASM162521v1:1:26806843:26817300:-1 gene:DCAR_002197 transcript:KZN09541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSRFFHKRPPDGLLEFLERVYVFDSCFSTEVLPEGIYQLYLHEIVNELHEEFPESSFLAINFREGEKRSSFAEILCGYDVTVMDYPRQYEGCPLLPMSLIHHFLRVCENWLSRGSHQNVVLLHCERGGWPLLALILASLSVFRKLHGGERKTLELVYREAPKGLLQLLSPLNPFPSQLRYLQYVSRRNISPDWPPAERAISLDCLILRGIPRFDNQNGCRPVIRIFGRNLLSKGGLSTQMLFTMPKKNRSLRYYCQKESDVIKIDIQCLVQGDVVLECVHLNLDPDREVMMFRVMFNTAFIRSNILMLTSENLDILWDSKSRFPKGFRAEVLFGDIESIPPPEAPTSILNGEEKGGLPMEAFSRVQELFTGADWVDNSDDALWLFKQLSVLNNVNDLSMLRSRLGGYSSPLDSEEENNASSTADSLDFLDSEKASSLTYTNSVMNFQDEPSSQDSASDEASDSRPKILNDVVSAFPPPGNGIPLDTNSAFGNSAQSVSDQQSSVLSEKDSSTPPPPVSNDVAPSTNAYHSPSTAVPISHNLSNGSTPHLTNFSAGGCAPISSSTGPPPVPPPPQPIPFSSSKGTPPTPPTPPPQPFSSTRGPPPPPPPPPPPFPISATTTFKSSSVPDSNCISPSESSKGKGPPPPPPPPPPLHPHSISSEGPLLPPPPPPPPPPPPLPASSKQPLPKVPVPPPPPPPPLPSLSVATASSKPPPPPPLPGQKISAAARPMPPPPPPPPGQKPSAAARPMPPPPPPPPGQKTSAAARPMPPPPPPGPLRQGTTVPPPQAPKPPSAPPLPGRGAAAVPPPPTGIKASDAPPPPPLTSGKGRTSLGPVRGRTTTGSSIPPKKASLKPLHWVKVTRAVQGSLWADTPKQENQTRAPEIDISELENLFSAVSVSDSNGKGARRASKISKPEKVQLVDLRRAYNCEIMLTKIKIPLPDMINAILAMDSNVLDIDQVENLIKFCPTKEEMDTLKSYTGEKEMLGKCEQFFMELMKVPRVESKLRVFAFKINFSGQVDDLKRNLLTIKNAASEVKESGKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKLPELLDYDKDLGHLEAASKIQLKNLAEEMQAVSKGLEKVELELTAAQNDGPVSAGFQKVLKSFLDSAEAEVRSLISLYSDVGRNADSLSQYFGEDPARCPFEQVTQILFVFTKMFNKARDENAQQADAEKKKLEKEALKEQTAANASAKKDNTDSDTPKDIKAMIRDQYLSAKQRETRNNGTGLT >KZN11721 pep chromosome:ASM162521v1:1:47440688:47447255:-1 gene:DCAR_004377 transcript:KZN11721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVFIWVGSKRRKDQFLVMPLFVLVLVFSCFALASSNSDQGATLLEIKKSFRDVDNILYDWRDSPSSDYCGWRGVTCDNVTLNVVALNLSSLNLDGEISTAIGDLTSLISIDMRGNLISGQIPDEIGDCVALQNLDLSFNELYGDIPFSISKLKQLENLVLKNNNLIGPIPSTLSQIPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLVGSLSPDICQLTSLWYFDVRNNSLTGTIPLSIGNCTAFQVLDLSYNKLTGEIPFNIGFLQVATLSLQGNQLTGNIPSVIGLMQALAVLDLSCNMLSGPIPSILGNLTFTEKLELNDNQLSGHIPPALGQLTDLFDLNVANNHLEGPIPDNLSSCTNLNSFNVFGNKLNGTIPSAFERLESMTYLNLSSNNLKGPIPIELSRIGNLDTLDLSHNNLSGPIPKELSQLQNIFSLKLENNNLTGDVMSLVNCLSLTNLNVSYNNLAGDISQGKNFSGFSPDSFLGNPQICGYWLSSPCHASRPPGRVTISKAAILGIALGALVVLLMILVAACMPHYPAPSLDGSLIKPVKYSAPKLVVLHMNMALHKYEDIMRMTESLSEKYMIGYGASSTVYKCVLKNCRPVAVKKLYTHYPQYLKEFETELETVGSIKHRNLVSLQGYSLSPLGNLLFYDYMENGSLWDILSKTADNTVMETVDPEISNTCSDLGDVKKVFQLALLCSKKQPSDRPTMHEVVRVLNSLLPSTSPKKGSTPALAPAPSAKVPCYMDEYANLKTPHLVNCSSMSTSDAQLFLKFGEVISHNSG >KZN11007 pep chromosome:ASM162521v1:1:41042787:41045525:-1 gene:DCAR_003663 transcript:KZN11007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSGASSNTALQERHQFSIPLGHNTMNHLSVSGVGDASNAYGPPKGYRKFDSGISSSTMSNNISYYKPDMVGSVSNISQLSGRGSVLKNDKGTNSVQVVKNPLVQNFENSNYALCINRGYFSSKDPVSTLSSKTTLSKCRGNLNNLSNFHHICDGSFAYIGSLHDYFTGTASHIQPQGSNLGECRDKGERSSMPSSLCRQEKFNYVQYPEALRKTSRVTDTISKQECNHQFDSTVLSSNKRLDICSTSTKSLMPMSRKNYGSFPMLNNYQPAFGPLEEVQLSGANSSHIDKDTVSLKLSSTDAFASAGKGNRRKRVMPEIPDLNIALADLPDSEDVMENSDPSINRTKCSEKTSVHAVQPGSTSRGKKRCKTSSSGSILKSPSAQGMEESEDASGLISFGTKGLNLGDSHPREILNRSFRKFREGGLNKSGLTFSGKEVIMLDSDDGPASVTISRSSSKELIKVEKNIASDKNSKSQSAQGMEKTEDACGSNLFGTKSINLGESNPHEIASRSFRKLGEGGLNKSELTYAGKEIIVLDSDDDADDGPASVTFTRSSSKELMEVEKNIASDKTDKSPSAQRTAKTEEVTCLWPQNWPLYTSTVRLTGQENPEPSVVHNPHISHVASGSSREREVPRAVATDVIKSVSNKKQIEIQNKVQNNML >KZN10813 pep chromosome:ASM162521v1:1:39249463:39257192:1 gene:DCAR_003469 transcript:KZN10813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDVVAKTFRALVESADRKFARVRDVPVYGRAPNNHYFHKVFKSYMRLWKYQQENRSKLVNSGLQRWEIGEIASRIGQLYFNQYMRTSEARFLLESYIFYEAILNRRYFEGLVKDRGLRFKELRFYARFLLVSVILNRWEMVKVLAERFKALVDDSRANFRDTNFKEWKQVVQEIVRFMKAEVTFMNNRPLRYCATFDSFPASLPCVARFHANKVLRFRDALLTSYHRNEVKYAELTLDAFRMLQCLEWEPSGSFYQKIPAQPLHHGALADQSGTSGLIDINLLADMTDPTLPPNPRKAVLYRPTVTQLIAVLATVVDELPPDSVMLIYLSASGKAGNSGMSHVENSGGSRNSSNLNAVSRTSYKKDIMSPKYYANGNGDSGHHSEKCLWLGPSKNGGSDNLYPADIIPFTRRPLFLIIDSDNSHAFKAERGEPAALILSPQRPTFKDKSGSDVAKNGSQFTFFLTAPLQAFCQMVGCVSSNYSEDAYSDADGIISTVFSEWEVILCTSSGLDITWAQVLSDPFLRRIILRFIFCRAVLALFCPQEDHEQHVPVCLPELPDSLAPDSGVVKAAIIRLANLLDVSKLF >KZN10088 pep chromosome:ASM162521v1:1:32540811:32541752:1 gene:DCAR_002744 transcript:KZN10088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQSMLMGKQAHPSLHIRATILDLPLRNSWTTSKDKVVVVMGATGTGKSRLSVDLAMRFNGEIINSDKMQVYEGLDIVTNKISEEEASGVPHHLIGIVPSHADFTCTDFCNTVSGKMDDIVARKRLPIIAGGSNNYIKALIDNEAYGFRSKYECCYLWVDVSMSVLYESLAKRVDQMVDRGMIEEARQFFKPNADYSKGVLRSIGGPEFVEYFRLEASVDEETRVRLLKEALDEVKINTCRLARRQVEKILALKYEKGWNIHRLDATKVYQKHGKKCGEAWEELVLRPSMEIISQFLSSSHGLCNSMELHLN >KZN11741 pep chromosome:ASM162521v1:1:47763225:47766577:1 gene:DCAR_004397 transcript:KZN11741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPLPPEDSSVSASANNVVAVAIAKSKSSQNAARWAINNLLTRNSDSPALLLIHVKKQNDSSDIDSTDTNANGESYENETSKLIKTFSTYCTRKGFKQQAVVLEDNDVSKSLVQYITEKRINNIVLGVSSTGDVAKMVTESAPEFCSVYVVANDKLQSVRPATLDVENLSSPETTPPEVSVEHYKQDNDVRDDSCESPHDDKDFPEPSGAIVVAQDVTSNKLSENDEDATQPLSIKDSDAELKRLKHELKQTISMYKSACREAARAKRMVKEIHQWKQKEAPKYEQVRLAHEAALVLAKVEKVKCRAATEAAEKAKRLADIEAQRRLYAELKVKKDAAAKKRALNVLSKNDVRYRKYTLEEIEAATDKFSDSLMIGEGGYGPVYKGKLDHTAVAIKVLRSGGGAAAQGKRQYQQEIEVLSSMRHPNMVLLLGACPKYGCLVYEYMNYGSLEDRLFRKGNTPTIPWRVRFKIAAEIATGLLFLHNAKPEPLVHRDLKPANILLDRNYTCKISDVGLSRLVPAPVADNVSQYRMTAAAGTFCYIDPEYQQTGRLGVKSDVYSFGIMLLQIITARPPMGLTHQVEKAITAGAFKSILDPSVPDWPVEEALSFAKLALKCAELKKKDRPNLGSDVLPELNRLREFGKRKSSQGPVLNDMQVGEALITQ >KZN12037 pep chromosome:ASM162521v1:1:50470359:50472659:-1 gene:DCAR_004693 transcript:KZN12037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKETVIFAILLLSVCSFDVHGLKTYIVQLHPQGATSSLFASKLHRHLSFLEKAVSAKETPSSRLLYSYHSAIEGFAAQLLESEVVALRKLHDVIAIRPDRRYEVQTTYSYKFLGLSLAKDGAWMESSFGGGTIIGLLDTGVWPESPSFSDHGMPPVPKKWKGLCQEGEKFSSSNCNRKIIGARYFSRGHRVASAASSLDSVAEYKSARDSHGHGTHTASTAGGSAVPMANVLGNGAGEARGMAPGAHIAVYKVCWFSGCYSSDILAAMDFAIRDGVDVLSLSLGGFPVPLYEDNIAIGSFRAMEHGISVICAAGNNGPMLNSVANEAPWIATVGASTLDRRFPAIVRLGNGKLLYGESMYPGKNNSSAEEQFELVYLADSQSGGDYCMKNSLRRAKVQGKMVVCDRGINGRAEKGQVVKEAGGAAMILANTEINYEEDSVDVHVLPATLIGFTESVQLKKYISSTRKPRAKIIFGGTSIGKSRAPAVAQFSSRGPSFMDPSILKPDMIAPGVNIIAAWPQNLGPAGIPEDSRRVNFTVMSGTSMACPHVSGLTALIHSAHPNWTPAAIKSALMTTAYITDHSGRQIMDGDQPAGLFAIGAGHVNPTKAISPGLIYDISPNDYVTHLCTLKYTSSDIFLITHRNVSCIDIMHKNKAFSLNYPSITVVFRPRTKSKMIKRRLTNVGSPNSTYSVEVKPPEGIKVRVRPQRLIFRHMNQSLSYRVWLISRNRTASRRLSNSHGYLSWFSSHNSHARIRSPISVTWDK >KZN10127 pep chromosome:ASM162521v1:1:32849210:32850053:1 gene:DCAR_002783 transcript:KZN10127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQMSDPLTALMHAVQVMNLLKTLILKALREREETTIAGYSPMSYCSFDRHTDEEVDSQHEMNTSFEWRGPQTDHNAHKDYGAVSCPNGPYNASSESESEVGSFGEIEESSLKPMINIENTKNSVRTFKGHLKISSPEIGSTFSTASGASIFDRRIIRSSGLSISDEEDSGLSSTEAEPSKLQICQ >KZN09742 pep chromosome:ASM162521v1:1:28955379:28960058:-1 gene:DCAR_002398 transcript:KZN09742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKKRDDSEEHPQSHHQQLENEPSCVNGSNSDEKNDKKKKKKKKHKEDEKSTQIKEKPTVSIALPGSIIHNAQSLELATRLAGQIARAATIFRIEEIVVFDNKVDSGDSSFVLPDSSDENETGSAFLIRILMYLETPQYLRRTLCPWHNSLRFAGLLPPLDAPHHLRKHEWGTYREGVILKERAAESRQSLADVGLNKNVVIDQVLEPGTRVTVAMGTNRHLDDKPRQIVSSSKPREEAGAYWGYKVRYAANISSVFQSCPYQGGYDHLIGTSEHGLFFKSSELTLPPFRHLLIAFGGLAGLEECFEEDKNMKGKKPSDVFHSYLNVCPNQGSRTIRTEEAVFISLQYFQEPIDRALQNI >KZN08363 pep chromosome:ASM162521v1:1:5666478:5666948:-1 gene:DCAR_000909 transcript:KZN08363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSERQSAPRKEKNVKGQGRGRRIRVSAPCAARIFQLKEDLGLKSEGETIQWLLEHGEESITRAKGGTPEITETDKEAELVDDDGGSRTGGQAPVGPRPVFIPGRGYWIVPEEGGGPQQVWPVPMALTHGICTTMQGTCAAEFSKHNQSTFS >KZN11144 pep chromosome:ASM162521v1:1:42110005:42111191:-1 gene:DCAR_003800 transcript:KZN11144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGGNSSTNVVLREYRKGNWTVQETMVLIEAKKMDEERRMKRIQGESSSNEGVRNLNKPAELRWKWVEDYCWRNGCLRSQNQCNDKWDNLMRDFKKVRDYERRMSDSKGENFEFSYWKMDKILRKEKHLPSNLLPQIYEALVDVVEKKGQRFYGSGGGGTSSTNPNMVTNVLGQVVHLERPIVCSTMVQPVVPLLPHMQHHISSLPLPLPPPQQLPQPSPLSPPLPESYPCPQPLTIVDSQTSGQSNSSAKRRRRGEETSNAAAAAAASNSNHEVGSAISRSASLIAGAIQANEEREERRHRELLNLHEKRLQIEESKAEINRQGISGMVDAINNLAHSVLALAANKKPPNS >KZN08173 pep chromosome:ASM162521v1:1:3460614:3461783:1 gene:DCAR_001238 transcript:KZN08173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGFEKIIDISLGAEEFPDWIIQSSVEWSAEDHISDGDASSSVNLQPNLAHSYLGMILCFDNHAFYSVMTTASNIFESHIDSSGIVIVPRSIFTVTDTDHTITFASRARRHWIHLLYKNEDNSITLNVADEENTPSDLEFIVLVFYYNFGFGDEDDGVLIIDDQDEDGGMLERVTEDDTQSGFLSVATNPVKNIISFLQVAYSTDYVYKTRDLAERNYRLDAYGKTMISHSFKEAVKWLDANKNAEVHEYEYKRSSSLKKSAIDSVFLVVKELRLMKFNKLHRLKLFTVS >KZN08497 pep chromosome:ASM162521v1:1:8891050:8891355:1 gene:DCAR_001043 transcript:KZN08497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSQRRQRGKGKTELRTSGVESNGREGWCMRVCCRGGDTPPEPRRLTSEVACFQDGEGVCTFDGWRSKEVAAWVYRQRCRHHCSKWKISGVVKGGGREVG >KZN09603 pep chromosome:ASM162521v1:1:27505921:27506397:-1 gene:DCAR_002259 transcript:KZN09603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYKFSNLNTQDPQEILRIKLLENLYREGDKQPVPAAAENFDFHKELKERVEQRKSMLAMEPEIRKRCQMPVEEFLKQIRLAMYERKLYIDSIMLRQDKDSHSGKTCVSGSSTPHYADDAYASVVDELMKTDFLKADADDLADFVDGPQKTLDEGEN >KZN09730 pep chromosome:ASM162521v1:1:28836367:28844184:-1 gene:DCAR_002386 transcript:KZN09730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLHSNISCSSQLLNTRVRVLQTPLLLNHHCFSTTRNYHNKLTSMDAHKPLNHASSSPVLLENNNASSTTGECRVGEVKRVTKETNVAVRINLDGSGVADSCTGIPFLDHMLDQLASHGLFDVHVKATGDLHIDDHHTNEDVALAIGTALLQALGDRKGINRFGDFSAPLDEALIHVALDLSGRPHLSCDLRIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLSGKNSHHIIEATFKAFARALRQATEYDPRRRGTVPSSKGVLSRS >KZN07961 pep chromosome:ASM162521v1:1:1024934:1025116:1 gene:DCAR_000630 transcript:KZN07961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVSPATGAEQIGSRRSLPKRGQIKSRIATNALHSIVYVLSRAASNHHQSTGKVIRRGD >KZN11161 pep chromosome:ASM162521v1:1:42203394:42203873:1 gene:DCAR_003817 transcript:KZN11161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLILYFLILFTTSITSSSARLADPTPTTWPEQFHSTIIWQSENKTQLDTLELWYDWTNGVSMSIVQRQLGKLLYGPEWSNGTSFRFTVDSSKECSVLQGGMVRPDWFRGAKYVGQVYMDDFLCNAWIVDGFMMYYEEVAYKRPVACRAVHEPSRTEF >KZN08226 pep chromosome:ASM162521v1:1:4123273:4123521:-1 gene:DCAR_001291 transcript:KZN08226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQGDDVSSITYGFDESEFHFSQFQGLEMDHSSPSNLENPSHEFEGSSCNGHDRGSSEYPQQMMLSFHNRRKGAPQRSPLA >KZN07843 pep chromosome:ASM162521v1:1:94905:96832:1 gene:DCAR_000512 transcript:KZN07843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTMKAIQYLAYGGGPPALNVVIYIQFSLHVLILYMLMYNSCIQEPKKKGHLHSLRKYEHVEISIPTPTKHEVLLKLEAASINPVDWKMQKGELRPFLPFHFPNIPLTDVAGEVVETGAGVKNFKAGDKVVAMLSIFGRGGGLAEYAVANKNLTVFRPPEVSAAEGAGLPIAGLTAHQALTYSAGIKLDASGPLANILITAASGGVGLYALQLAKLGNTHVTATCGARNMDLVKTLGADEVLDYKTADGAALKSPSGLKYDVVIHCAAGIPWSTFEPQLSSKGKVIDVTPGPATMWRFFRGKITFSRKQIVPLLLIPKGKNLAYLVKLVKEGKLKTVVDSRHPLNKAEDAWAKSIDGHATGKIIVEP >KZN08193 pep chromosome:ASM162521v1:1:3793492:3793856:1 gene:DCAR_001258 transcript:KZN08193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATATMIGALLGLSTQIYSNALRKLPLMRHPWEHVLGMGLGVVAINQLVKWEVKLDQDLDKLLEKSKAANERRYFGNS >KZN08636 pep chromosome:ASM162521v1:1:12787584:12788166:1 gene:DCAR_001166 transcript:KZN08636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVNFVALINYVYLCFLVAGGELIPASGTIIESYDNTIIVLTSANLLRRHTFGKLGENVLADDLKVYAHSFDGHSYTVGEVCAHDFHYNLVVLKFISKTPSLPHLQPAKFVKLAHEDDDLVDTI >KZN09443 pep chromosome:ASM162521v1:1:25289120:25293059:-1 gene:DCAR_002099 transcript:KZN09443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASASPRTDTSADGDIDDKTPATLRRLAQNREAARKSRLRKKAYVQQLESSRMQLTQLEQDLQRARQQGKYISSTGDQSQSLSGNGALAFDAEYARWLEDHTRRANELRGALLMVKLEPLTEHQMIAITNLQQSAQQAEDALSQGMEALQQSLADTLAGSLAQSNSAGNVASYMGQMAMAMGKLGTLENFICQADNLRKQTFQQLHRILTTRQSARAVIAINDYFSRLRALSSLWLARPRE >KZN09241 pep chromosome:ASM162521v1:1:21984837:21987035:1 gene:DCAR_001897 transcript:KZN09241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKG >KZN08986 pep chromosome:ASM162521v1:1:18667701:18668243:-1 gene:DCAR_001642 transcript:KZN08986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKNPFWPLRSVWGNRGLSIARDIAIGGDLKVLLQVVSSLWLISIIGGLLDFLTLIYVGILLSLSVPVLYEKRQDQIDDKLSVANSIVQAQYWKVDEMVLSKISFPRNKEKKTQ >KZN11594 pep chromosome:ASM162521v1:1:46071992:46073612:-1 gene:DCAR_004250 transcript:KZN11594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKSRILIVGGTGYLGKRLVKASLEQGHETYVLHRPEIGVDIEKIQLLLSFKAQGARLVSGSFDNHESLVEAVKLVDVVICAISGVHIRSHQILLQLKLVEAMIEAGNVKKFYPSEFGTDPATMENCIEPGRITFDDKMVVRKAIENAGIPYTYISANCFAGYFVGGLYQPGQILPSRDSVLLLGDGNQKVICVDEDDIATYTIKSVDDPRALNKSLYIRPPQNILSQNEVIQLWEKHIGKKLKKSSISKDEFLAMKKEQDYAEQVGLTHYYHVCYEGCLANFEVADDVEMSKLYPEVKYTTVEDYLKRYI >KZN10431 pep chromosome:ASM162521v1:1:35651605:35653508:-1 gene:DCAR_003087 transcript:KZN10431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCFSKYDNTEESEDESEFSGGNIHIITSNDVWEQKLAEAKKDNKIVIVNFSASWCDPCRNVAPYYSELSEKHPSLMFLSIDVDDLNEFSSQWDIKATPTFFFLKNGQQYDKLVGANRAELDKKIASALVQ >KZN12072 pep chromosome:ASM162521v1:1:50781498:50784727:1 gene:DCAR_004728 transcript:KZN12072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCQSKSFSHHHALQFGAPGNLPLSFLAPGRLMRVISVERDRAASDIWFHEYKHDSGLRPRGIGLKYNLLFSTLKPRTERKAAGVPDCEGAATTKAPWNTPELFDLSAFEGETIRVWEDGTKRIGRRSFSILRACMLPLHKWNGTVSYYQIWHWRNNFIRKGKSLVKPFTRLINGNPTKEHDLVTKNDLEHLLHLLDVKGWDRPWQYLMDRSTSNMAYQAWHHEPELVVHRAELEGMNSENQRLRDMVDEVTNSYNNLQKHLVTFMRQQQQKVDNNEVEADVVGDIIIRVSNL >KZN08805 pep chromosome:ASM162521v1:1:15687864:15688118:-1 gene:DCAR_001461 transcript:KZN08805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSLAAFLALDGAQNYKQMVIMTEPFGRVFELWSLDMGLGSVELRYIAIHEADLNAEVVIEADEVPEVADEQAVEEDKVQVEV >KZN08589 pep chromosome:ASM162521v1:1:11238903:11242090:1 gene:DCAR_001119 transcript:KZN08589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNNIKCQSSHEDTTPGPGDGANTNPYLDIYGPEDVQGLVTWVLAEGFMPSWIFIKNKPLIPKVVMLYVPGLDAGLYLSQSKVLHSFKEYCGVPRAVSALSCVADGMQTIDALLTCKVKRKRDTAVPVNKPVQSFNQGTCNSGLENLSSGALPKDIPFPLSYYTLTEKELEDNGYCNSQPDFVSTLPSPSGVPTHEVLALDCEMVRLHTKIFTWILDRVHCSSIF >KZN08863 pep chromosome:ASM162521v1:1:16822999:16833762:-1 gene:DCAR_001519 transcript:KZN08863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVFERMTRMRFRCRITGVGPPLFRQAFPMSSMLPDCHHNKIICLIPASTKRCLSTVSTSTSSIEKDDNFVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFLLRIEDTDLERSTRESEEAVLKDLSWLGLHWDEGPDIGGNYGPYRQSERNALYKQYAEKLLNSGHVYRCFCSNKELEKMKEIAKLKQLPPVYTGKWASASDEEVQEEIRKGTPFTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVVMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFQMPYFAHVSLILAPDKSKLSKRHGATSVGQFKEMGYLPNAMVNYLALLGWGDGTENEFYTLEQLVDKFTIERVNKHGAVFDSTKFRWMNGQYLKLLAPQELAKLLGHHWKRTGILMESEGLFVEEAVELLKDKVDLISDSENALTNFLSYPLFETLSSSEAKPVLGDSLSEFANSLLAAYDSGELFDALNQGKPGWQNWVKSFGKSVKRKGKSLFMPLRVLLTGKLHGPDIGASVVLLHTLGSGDIVASEIGFVTLEERIKLLKEVEWKSFNNNQTVLEPAIISQ >KZN09375 pep chromosome:ASM162521v1:1:24393370:24395751:1 gene:DCAR_002031 transcript:KZN09375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFILKYSAFAQDSGIISVGSSLTAGDNANSWHSPSGEFALGFKKVGENDQFLLSIWYDKIPDKTMVWFVNDGTTVPAGSKVQLTADRGLVLSDSRAKDLWSSSSISGTAANAVLNDTGNFVILGSDSTKVWDSFSNPSDTLLPTQTLDNGGVLYSKRSESNFSRGRFQLRLLQDGNLVLNLRDIPSNNAYDAYYTSGTYDRSNASNSGVQVRFNQTGNMYIVRRNGGIFNLNTEAAQSSGSYYRATLEFDGVFVLYSHPKVFTGSPNWTAVWSQPENICFNLQTEKGSGACGFNSICSRVDDSGRPTCECPKSYSLIDPADKYGSCKPSFLESCENEGPSSKEELYDFWELSDTDWPTSDYEQLTPATQPDCRKYCLNDCLCAVAIFRESSCWKKKLPLSNGRKSISKPEVAGTAFIKYRKGDLPPAACPTERKKDQGMLTTAGSVLLGSSVFLNVILVAAVFLGYFCVYSKKTKEVQSGDNSVISNMRSFTYKELELATNGFKEELGRGAFAIVYKGVVQMSSSNILVAVKKLDRVVQEGDKEFKTEVNVIAQTHQKNLVRLLGYCDEGENRLLIYEYMVNGTLASFIFGDVKPSWRERKIIGLGIAKGLAYLHDECSKQIIHCDIKPQNILLDEYYNARISDFGLAKLLMMDQSRTNTGIRGTKGYVAPEWFRNTPITVKVDVYSFGVLLLEIICCRRSVDDSENGEVAILTDWVWDCFEEGKLEKIVENKDDMLDDWEKLERFVMVGIWCIQEDPSLRPSMKQVILMLEGVIDVAKPPCPSPFSVATS >KZN10030 pep chromosome:ASM162521v1:1:31851422:31851604:1 gene:DCAR_002686 transcript:KZN10030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTRMRDGDGVDNTKRWTQYSKAVEPHVLVVLAKLHPYKNIQLTWAHFNIRPIKSSPIL >KZN10011 pep chromosome:ASM162521v1:1:31692644:31698486:1 gene:DCAR_002667 transcript:KZN10011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNADNKERRDEVLVSMCDQRARMLQDQFSVSVNHVHALAVLVSTFHYYKNPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVDSEREQFEKLHGWTIRTMEREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKFKLGSNPSLAQRIEATAGYIGGAFDVESLVENLLGQLAGNQAIVVNVYDITNSSDPLIMYGHENQDSDISLERVSMLNFGDPYRKHQMTCRYLKKAPTPWTAITTAFLFFVIGLLVGYMTYGGAIHIVKVEDDFHEMQLLKVRAEAADVAKSQFLATLSHEIRTPMNGILGMLALLLDTDLSSTQRDYGQTAQACGKALITLINEVLDRAKIEAGKLELEAVPFDIRSILDDVLSLFSEKSRHKGIELAVFVSDKVPEMVMGDPGRFRQVITNLVGNSVKFTEQGHVLVQVHLANHAETLMKTKADSFINGDSEGVVLSGGNRFSTLSGREVAEDMHSWKTFKNLILDEESQYLDSQRASTADEAFQNVKLMVSVEDTGIGIPLGAQERVFTPFMQADSSTSRNYGGTGIGLSISKCLVELMGGRINFISHPQIGSTFSFTASFRRCQKNANGDMIKPHSADLPVAFKGLKAILVDEKKIRATITRYQLKRLGILVEVVNNIRTVLSNSGSKNGSVGSNSDWQPDMILIEKDSWLSGENDCFDIRLLEWKQHGHMVNLPKIILLATNITSTEFEKAKAAGFADTVIMKPLRATMVAACLQQVMGTEKKAQHERDMINASAFLRSLLCGKKILVVDDIRVNRRVAAGALKKFGASVECAESGKDALALLQLPHNFDACFMDIQMPEMDGFEATRLIRMLESKANEQINGGNDVEGTAKGGKWHLPILAMTADVIHATYEECLKCGMDGYVSKPFDEKSLYQAVAKFFEPRSTADS >KZN10747 pep chromosome:ASM162521v1:1:38606502:38611423:1 gene:DCAR_003403 transcript:KZN10747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEEENEVNDFLGWAAKLGITDFPPNLNNPSVNSCCLGHSLCVSHFPDAGGRGLAAVRDLTKGELILRVPEEALFTTQSVVLKDHTFSVALQQYQSLSSTQILTIALLNEISKGKSSLWFPYLKHLPRSYDILASFNQFETQSLQVDDAMWAAEKAIGKAKSEWKEAISLMNDLKIKNKLQSLRAWLWASGTISSRTLHIPWDEAGCLCPVGDLFNYSAPGEELGECDDLRAQGKASCDMSTSSGHVKDEPVGEHCDNSVRLTDGGYEKDIGSYCFYAKKSYRKGEQVLLSYGTYTNLELLEHYGFILNRNPNDKAFIPLEPEMYNCCSWPQNSLFIHQNGKPSFALLSTVRLWATPPNQRKSIGHLASSGSQISKENELLTMEWIAKKCHFILKNYGTTIKEDNLLLGTIDDMCVSKLNTEFEKMPSMIKCEIQGFLDVVGVPVGEIGGNVDLYRRSKNSVDRWKLAVEWRVRYKKILVDCISYCIGRIDEVSS >KZN08032 pep chromosome:ASM162521v1:1:1587344:1589411:1 gene:DCAR_000701 transcript:KZN08032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTTPFSGGGDDFPRRDERVPQWGQQETKDLIGIRAGLERDSKVLKRNKSLWEAVSGKMKERGFVRSPDQCKCKWENLVVRYKGKETSDINTGRQCPFFEELHAFFTARANNAQLEPGAGAAKKRVKRNSRDCSSEDLSVDEDEDENDEVRRSSNPRKKNVKREKQLSRSAQEKQSPRQLSAMNTGSNTTSNLTSIQDMLREFIQQQQRIDMEWRESIEKRAYERLLFEQEWRQSMEKLERERILIEQTWREKEEQRRMRDESRAEKRDALLTALLNKLIQEDRS >KZN11595 pep chromosome:ASM162521v1:1:46080448:46081842:1 gene:DCAR_004251 transcript:KZN11595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGTGYIGHRIVKASLAQGHETYVLQRPDIGLDVDKLQMLLSHKRQGARLVEGSFSDHQSLVDAVKKVDVVICTMSGAHNRTFNITLQLKLVEAIKEAGNIKRFLPSEFGMDPARMGHALEPGRITFDEKTVVRKAIEEANIPHTYVSANCFAAYFAGNLSQMGTLFPPKEKVTVYGDGNAKVVYVEEDDVATYTIKTIDDPRMLNKTLYIRPPKNILSQMQLIEMWESLSGKKLEKLKISDKDFLASMKGLEYGEQVGVGHFYHIYYEGCLTNFEIGEEGGEASELYPDVEYTTMESYLKLFL >KZN11938 pep chromosome:ASM162521v1:1:49530427:49534307:1 gene:DCAR_004594 transcript:KZN11938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPPGILLVRRFRGRDWSVDTYRYVVLLVTFIAYTSYHASRKPSSIVKSVLHSDNLGGRGRNAYPWPVGEVFSKRELGGLEGNVGEGKGWAPFDGEDGTSKLGEIDVAFLACYSIGMYVAGHLGDTLDLRLFLTGGMVGSGVFVGLFGIGYFWNVHVFWFYLVMQMVAGLFQATGWPSVVAVIGNWFGKRKRGLIMGIWNAHTSVGNISGSLLAASVLEYGWGWSFIVPGAFIFIGGIIVYLFLAAYPEDVGFPFLNGSGENNAAIPADVEALQNESKPKGTKEDNTALQSASGSRRSIKLSEACMIPGVIPFALCLFFSKLVAYTFLYWLPFYLSCTAIGGEYMSVKSAGNLSTLFDVGGIVGGILAGYISDKLKARATTAATFMYAAIPSMILYHIYGNASTTMNILLMMTTGLFVNGPYALITTAVSADLGTHSSVRAIGGEYMSVKSAGNLSTLFDVGGIVGGILAGYISDKLKARATTAATFMYAAIPSMILYHIYGNASTTMNILLMMTTGLFVNGPYALITTAVSADLGTHSSVRGDSRALATVTAIIDGTGSLGAALGPLLTGFLSTKGWHFVFGMLSLGALIAGLLLSRLVIAEIAERAKKPAVSGQHYPGGTLPYCIF >KZN10527 pep chromosome:ASM162521v1:1:36638001:36641264:1 gene:DCAR_003183 transcript:KZN10527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSVRPNRAIFCRDSPHFRRPHLPARRLKNTHLDKLFSRATALSLSHRSLVGVLLASSRADDSAPSEMSVENALKLLGVDEGASFDDILRAKKSILAGCKDDDQDSIAKVEAAYDMLLMQSFSQRRAGKVINNSIRYADVKPVAAPRLGSAPKWLEATMKNSPVSIESPSTTDLGIQAGVYGALMALTYANGASTSSTGLYSGADVSGLVVASSFAASLYFMTKKNVKLGKATLITIGGLAAGAVVGSAVENWLQVDIVPFLGVHSPATVMYGGKPSSHMGGLLN >KZN09418 pep chromosome:ASM162521v1:1:24812069:24815787:1 gene:DCAR_002074 transcript:KZN09418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSASKSRKQVEADPYNIPQSTLCPEPWWHNSGYNPLPPTMMQSNVSETSSVEQSADGKSKSDGGQNEDDENTTKDSQTNAPMHSGLDGNFGQEHQSQHVTSSTSLKNDASLTQPPQLELVGHSIVHPHLVDMHQTRMPLHLDMAQEPVYVNAKQYHGILRRRQSRAKAELEKKLIKDRKPYLHESRHQHAIRRARASGGRFAKKSDVDNSKQSNEAKGNGKNSAPAASAQSFSSSGSEPLPSDSNMNYYQEARGQTNAQTYVNDGGMYRNQDGATSLVQQWGNIRSDQAQQRATAMH >KZN12071 pep chromosome:ASM162521v1:1:50774588:50777694:-1 gene:DCAR_004727 transcript:KZN12071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSDREAGAAKVSVKDRLNIDDPGGRRRVSGKRQRQDNDKWEHDLYDRNDPQVSSSRVGATDLRLKLQKRSIQNATQTGNGSVQGGIRDLREKLSGTVYSQPVEAARAKPRAVMEGTNSVRKSAVIEASVPEKKRVNSTASKKKAEQMLESVDSFLFSLGLEKYSKKFNAEEVDMTALLHMNDEDLKAMSIPMGPRKKILLALESKV >KZN08434 pep chromosome:ASM162521v1:1:6731245:6737430:1 gene:DCAR_000980 transcript:KZN08434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIEASNQYVRDLTHHMTNYLVEISGRSDLKNVSQQPDIILGVTCLLERLRGASSASEPRTQKAVFEMGFSVMNPIIILLEVYSNESTVIYLLIKFVVDWVDGQIIYLEAQETAIVINFCMRFLQLYSSYNIGKVDFSSDSIEAHGTRISQVVYTGLQIVNPLITLDMLKYPKLCHDYFGLLSHLLEVYPEMLLELNAESFSHIRETLKFGLRHQDAEVVDMCLRALNALASYHFKETAAGKVGFGSHAAGYKDPNGKMQEGRTRDTFCQRGSALVLVHFPYSGCTDLVSSAADALLPLILCEHVLYQRLGNELIERQANPVLKSRLGNALHSLTSSNNLSFSLDRPNYQKFRKNLHNFLVEVRGFLRTM >KZN09887 pep chromosome:ASM162521v1:1:30495183:30496015:-1 gene:DCAR_002543 transcript:KZN09887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAQPQLYVQSEEHNQYLAVIYSVPIMFCIILFMFCYLFVKQRAPASSLPPPTSTQSSSLSISVRGAFLKEDIKEKLPTIVFDEELKARDSLCCVCLGEFELKEELIQVPSCKHIFHGDCIGNWLSSCATCPLCRCSVDVVDNINIVLPPPQRSAAVRLPAATSIRLASPANLAY >KZN09365 pep chromosome:ASM162521v1:1:24247262:24247585:1 gene:DCAR_002021 transcript:KZN09365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDMKQAAANTFATTPKSGWNSPIPWLFGGLALMLGLILVALLILIFSYRRRNPPPSTAEIKMVKSSATVVQPDFVPVVVIMAGEDKPTYVATPISSNDCNLCNEV >KZN08155 pep chromosome:ASM162521v1:1:3275789:3279382:1 gene:DCAR_001220 transcript:KZN08155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDEIPLLSAIDLRGTIEHNSPLAATDFGGMQNTKPLAFIHPAGSDDISKIIRHAGRFSSMTVAARGNGHSINGQAMSNNGLVVNMKTIKKICVKRINFRGNATYVVDVGGGALWEEVLKRCVLEHGLAPRSWTDYLGLTVGGTLSNGGVSGQAFRHGPQTSNVIEMEVVTGNGEVVICSENQSSDVFYSVLGGLGQFGVITRARVLLQPAPELVRWIRLVYSNFDEYTNDAEFLVSRSESDESFDYVEGFVFTNNDDPVNGWQSVPLNSDQIFDPTLVPCYAGPVLYCLEVALHYSKSDEPSAVDMVVERLVGRLSFVEGLRYERELSYMEFLLRVKRDEQQARANGIWDAPHPWLNLFVSKTDIAAFNHLIFNQILSHGIGGPMLVYPLLRSKWDNRTSAVLPEGEVFYLVALLRFTLPYPKGPPVEELISQNDEIINRCIRNGFDFKLYFPHYNSEEGWKQHFGNQWSRFVERKAKYDPKAILAPGQKIFRRNRRES >KZN10079 pep chromosome:ASM162521v1:1:32408893:32411893:-1 gene:DCAR_002735 transcript:KZN10079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKGKAAAAAAQHEVITQMPQNTSGATEKEIERSESKAHKTPASLSIRKKCLKSETVKPRRSSRLQSLFQPAKDVEVDTCVEHINLVESEMDDGTDIDEAEKELEPFAEEAEAIPEGKSLEDRVDELLGDVEVNSGDFSSKGSSSLNYKGLYIYSQKKVDALTKENIQLQNQLQIAQLKIEAYDNMKDDVLDKLKDAIMVTNMSKATDAVVNLCNKVLDRFSVPSAADEPINTNVTRSPSRDERPRRGKKQDTIKN >KZN10339 pep chromosome:ASM162521v1:1:34697976:34701135:-1 gene:DCAR_002995 transcript:KZN10339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSCPKTVDEVIEEIMRIHRSLPARPGLEEVEAAKILIRNVENEQRSRIDFISKQVKGSQVPDELFKILQQMQRKWVDFQSKEDSREALKLLDLDNAHHVFDELIQRASVCVEGGTKSGFEGVVSDSFEPLSYDYRESSEPLSYDYRAAGVRASSILSEKEGVGGSSKLFTRDDTYLNMSKATVHGDGIGGGMRSGDIVTPMIVDSTLKSVTSTGKDGDKLSLIKLASLIEASSRKGTLDLNLRGKLMDKIEWLPDSIGKLSSLTTLDLSENRILALPATIGGLSSLRKLDLHSNKISELPDTIGDLLSLVSLDLRGNQLASLPSTFGRLVRLEELDLSANHLHVLPESIGSLVSLRILDVETNNIEEIPHTIAHCSSLKELRADYNRLKALPEAVGRITSLEVLSLRYNNVSRLPTTMASLASLRELDLSFNELESVPESLCLATTLVKLNISNNFADLHHLPMSIGNLELLEELNMSNNQIRVLPDSFSMLSKLRVLNVEGNPLEVPPRSVTDMGAEAVVQYMAELIAKRNVKSLPVKQKKSWASMCFLSASNKRKRNASGSGVDAIT >KZN11742 pep chromosome:ASM162521v1:1:47768076:47768762:1 gene:DCAR_004398 transcript:KZN11742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFYLVFLFMHGIFEMVNFSTARSQDHIFKAPPVSSSLLCYSNCATCPFICSPPPPAPPAMPSKSPRHLPLPPISLSPPEPYNFDQPPPLPHINLSPPESNYFDQPPPLPRITFSPPEPYYYDQPPPLPPINFSPPEPYIFDQPAPLLPAFPPPPPPYAAAWSNNPYAVSPPVPPNYVAGQGPPGTGQQRSFTFPYYYYNISQGCCISFHFAFLFHFIVCLAMFLAV >KZN09006 pep chromosome:ASM162521v1:1:18982611:18983068:-1 gene:DCAR_001662 transcript:KZN09006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILFGALLVFALLLATCLIEPTMAQDSLNCGGKCKGRCSKAGVSDRCMKYCGICCQKCKCVPSGTYGNKHQCPCYRDMVSSKGKPKCP >KZN09785 pep chromosome:ASM162521v1:1:29346144:29346558:-1 gene:DCAR_002441 transcript:KZN09785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAELMLVIRIIAYIIVILLPKSEHAWYESVDCLPDIGRPGRYNYPPGSYAPPFGPSYEHHHQRLPDHLVGPGPAPSRYGPPPPYVPGPLAGPLDEDPFMFDPPGPPRY >KZN09019 pep chromosome:ASM162521v1:1:19098951:19101290:-1 gene:DCAR_001675 transcript:KZN09019 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MENTVDLKEAVPHIVCMPFPGQGHITPMLTLAKLLHNRGFYITFVHTDFSYRRSLRSLSPVGHSATFRFETIPDGLPPPESPDDFPHVIQLCVSTSIHSLSPFRDLVTRLNNSPDVPPVTCIISDATMSFTLDVSQELGIPNIFFWTVNAFTLMCYLHFSRIRNLASQLRQEAVAGTDVKNGHLDHKIDWLPGMGVVRLRDTSSMIWEPALPDCFVEYCMNEISRTYKASAVILNTFDALESEIVNQISAIINRDMYCIGPIHSLLNSVNSDENTKSIKFNLWKEDSGCVEWLDSKEAGSVVYVNFGSTTVMSPQHLEEFAWGLANSKQNFLWIIRLDLVVGDSPALPLEFVSQTKGRGLLASWCDQQQVLSHVSIGGFLTHCGWNSTLESLSAGVPMICWPFFADQLCVRHCVCKLWRVGIEVDEDVQRDGVEKAVRELMEEDNGKEMKNRALEWKGKSETAILSSCSGSSNLDLDRLVNEVILSHGKRS >KZN10660 pep chromosome:ASM162521v1:1:38011467:38012624:1 gene:DCAR_003316 transcript:KZN10660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTFPATKRHACLVLSLIFPAISAVNGQVGATPGTPDPYPYAKFSPSMAIIIAVLVTALFFMGFFSIYIRRCSQSSEGSVRATLSMRSRRAAAARGLDATAIDALPTLAYSEVKGLHVGKGALECAVCLNEFEDEETLRLLPKCDHVFHLECIDMWLASHTTCPVCRADLVPVAGEPVEQPRESPVSGLVHEGDHVVESDQPRGEVHVTIVPDENQEKPTSYLLEMPKPDVMKRAGSVKVNRPPRSGSVRSKLAEFGNLFPRSHSTGHSLVQQGENVDRYTLRLPEELRNTIIKRSLERTGSCAASSSHDEGSNKGGRSYKRMDSVEGKSDRWTFSRMPSFLSRALSTRSKVVAGDGEASASSEPGSVKNDKTGSKDNDPAKLPV >KZN07972 pep chromosome:ASM162521v1:1:1120411:1124608:1 gene:DCAR_000641 transcript:KZN07972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASAAPSKKRWLPLEANPDIMNQFLWGLGVREDEVGCYDVYGFDEELLEMVPKPVLAVLFLYPLTSQSEQERVQQDSVTKDQIKGVYFMRQTVGNACGTVGLLHAVGNITSEIHLLEDSYLDKFYKSTASMDPMERALFLENDREMEVAHTVAASAGETEALDNVDTHFICFTCVDGQLYELDGRRAGPISHGATTPSSLLRDAGKVIQGMIAKNPSSMNFNLIAISKRVEGA >KZN08648 pep chromosome:ASM162521v1:1:12955092:12955427:1 gene:DCAR_001178 transcript:KZN08648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSQLSDANCSRLEEEETKELKLQVEYCLQKELVAMKDEINEKTKDAIDNAVERLTAVIERVASQNED >KZN08748 pep chromosome:ASM162521v1:1:14782505:14782936:1 gene:DCAR_001404 transcript:KZN08748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKFVTILLLATFTCSIAYSGATRELPKPDEQQYFNLELVAAQDEASNSTNGTLANCWNAIAEIKSCGNEITAYFNNGTIDIGVPCCQAIKMITLHCWPSMLSVLGITPDQCNILVGYCDASAAAAPAPSSSIPVINKIIIH >KZN11449 pep chromosome:ASM162521v1:1:44753661:44754216:1 gene:DCAR_004105 transcript:KZN11449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRDHDIYSAQFPMIIANYLSCSRARPGTGLYFIFLTITHKFFATSSYKGGCEIRLYSSHPSSTPHSPYQSGTVEPRQSVSQSGKRRKTTLPFITEDGTDEDETEPHVHPPQKMKDAHKRRPASSLLVMINEMPPAETRDSIPSVYEIPL >KZN09868 pep chromosome:ASM162521v1:1:30162412:30164087:-1 gene:DCAR_002524 transcript:KZN09868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKGERNSEEIVPMMMNNSSDDQEDEMMMEEDLRRGPWTIEEDFALMNYISQNGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAGGNTGACSAAAPGESSLAIATGDSSFTTANPTCYNTNNISNSGFSSQVMVPQTNGMMYNSYEGMIQSSMNNSSSFTPANSGTTGSSDSFGRSQVSPVSDMTDCYNYPPVNPKPNQDYYFQDQLGFTDTLVSPMGYYNSNAGFQCMEPNTSNPWMDGGDLSDNLWSVEDMCLLSQQFNNNNI >KZN11333 pep chromosome:ASM162521v1:1:43749377:43749748:-1 gene:DCAR_003989 transcript:KZN11333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISNDSPPRVQRVSSSVSNRLLIKFSDLSESGFDYSQSGLWSPPVKRNVFLSSPGIIMSEQEMLDKLHNVMEARQKKRYRVCFNAFWCSPKRSYWNH >KZN09101 pep chromosome:ASM162521v1:1:20271539:20271718:-1 gene:DCAR_001757 transcript:KZN09101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQYQPKDKQASLWEKCVDDSDDDNISLDIVMANPLGDGPTVKDEEIFTQGTGIHEEE >KZN08842 pep chromosome:ASM162521v1:1:16439059:16441711:-1 gene:DCAR_001498 transcript:KZN08842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQLLSKLPKKSLKSESVDTPRSEAGSVGQSSGNTSAGRSNLVKRTSSAVFPAGLMSGIEPLLPFKDVPSSEKMNLFISKLSLCCVVFDFIDPTKNTNEKDLKRVTLIELLDFVSSGSQRYTEPAILAMCKMCSVNLFRVFPPNYRSNTQNGENDDDEPTFDPAWTHLQLVYDIFLKFVTSSSVEAKVAKKYINHSFILKLLDLFNSEDPRERDCLKAIMHRIYGKFMVHRPFIRKNISHIFYRFVNEADRCNGIAELLEIFGSVITGFALPLKEEHKIFLWRALIPLHKPKSLGAYFQQLSYCVTQFIEKEPKLASTVINGLLKYWPITNSQKEVMFLGEIEEILETINMAEFQKIMSPLFWRIGYCINSYHFQVAERALFLWNNDQIMNLIAHNRHVILPIIFPALENNAQSHWNHSVLNLTLNVRKMFSEMDDALFLNCHSQFKEEQSKLKVETEKRKEVWKRIKNAASQQPISGNTAVLVSP >KZN11987 pep chromosome:ASM162521v1:1:49889480:49890679:1 gene:DCAR_004643 transcript:KZN11987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESSSVQSIHVFTVKDAKGNDIELSKYKGKVLLIVNVASQCGLTTSNYTELAELYQKYKDQGLEILAFPCNQFNGQEPGTDEEITNFVCTRFKAEYPIFSKSDIKKVLGVA >KZN10641 pep chromosome:ASM162521v1:1:37840322:37841738:1 gene:DCAR_003297 transcript:KZN10641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSNEGVTGTLHFKQQADGPTHVTGNISGLKPGLHGFHVHALGDTTNGCNSTGPHFNPKGTEHGAPDDEIRHAGDLGNLKVGHDGNVDIKITDKQIPLTGPNSILGRALVVHRDEDDLGRGGHELSKSTGNAGDRIACGKY >KZN11605 pep chromosome:ASM162521v1:1:46200383:46200631:-1 gene:DCAR_004261 transcript:KZN11605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTCTAVPEVLNRWFWKHIFHQRTINMQSLQVNRTKRDPYRVPDHLSTTDLANLFSMEQIQISSTQIDNICHQSGTPEGP >KZN09847 pep chromosome:ASM162521v1:1:29929090:29934123:-1 gene:DCAR_002503 transcript:KZN09847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATACNRNGDNNSIAAQDHEDHERAFFDSADWALCKQGAGETPKTTGAIETLQPKMQAE >KZN11747 pep chromosome:ASM162521v1:1:47820681:47820879:1 gene:DCAR_004403 transcript:KZN11747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWVKTIIGLKKPEKDAQPTLCVALKLHLYIN >KZN11532 pep chromosome:ASM162521v1:1:45512828:45514328:1 gene:DCAR_004188 transcript:KZN11532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKEVLRAGTGPKPVPGQTVEVHCTGFGKNNDLSQKFWSTKDPGQTPFSFKIGKGQVIKGWDEGVLGMQVGEVAKLKCTPDYAYGSGGFPAWGIQPNSMLVFEIEVLKAT >KZN11592 pep chromosome:ASM162521v1:1:46053685:46055095:-1 gene:DCAR_004248 transcript:KZN11592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSLVSVAVAGDGIRSPFTAVQWQELEQQALVYKYLMAGLPVPPELVVTIQRSLEALSARFFQHSPLGYCSYYGKKFDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQSTSHSMPIAMQHITTGCSGSGGIFQSSKSGILQNLPPYPTVKSETLCYGSNLSKLQMEQPPPCGIADKEYRFIT >KZN11388 pep chromosome:ASM162521v1:1:44206645:44217482:1 gene:DCAR_004044 transcript:KZN11388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSDGESNKNSGQVEGFKKPKRQMKTPFQLETLERTYAAEMYPSEAIRAELSVRLGLTDRQLQMWFCHRRLKDKKEGSGSGKKTPAAAVGNKGMISSSRDIVKMEEQGSDGGFGSMSRSRSGSGSGPGSGPGSDSSQYDDDLPLVRRSYVMSPQEAMMQRVIACVEGQLGEPLREDGPVLGMEFDEVPPGAFGEPISAAAVITEPWGRSGNFYEGKMVERSKPKKIKAADRSFSEPAEPSSRPDSYGRSPSHFYILPADVSNVKRSPLMQGSGQLSREYGAQVPSSSYSSLNHKVVQEQFSSPPNNNESILKTEDVYQIGQKRKSDEVRTGKDVAHEKRIRKELEKQDLLRRKREELMRKEMERQERERKKEEERMMREKMRMEEKYQREEKREIERREKFLQRELLKAERMRQKEELRREREAAKQKAAMEKAALRRVAKESLELIEDERLELMELAASSKGLPSIISLDYDTLQNLESFRDSLCAFPPKDVQLKKPFSIQPWIDSEDNIGNLLMVWRFCVTFSDVLGLWPFTLDEFIQALHDYLNVFLVHFLNLTSQHVFSASLHQDSRLLAEIHIALLNLIIKDIEEGARTPSGGAGTNQYTVANPEGGHPHIVEGAFLWGFDIRSWQKHLNPLTWPEILRQFALSAGFGPQLRKESTEPKHMHDNEVKSCEDIVSMLRNGSAVENAVAVMQEKGSSLQRRSRHRLTPGTVKFAAYHVLCLEGGKGQTVLELAEKIQKSGLRDLSTSKTPDASISVALSRDPVLFERIAPSTYCVRPAFRKDPANAEEILAEAREKIQKFSNGLLAEETADDVEKDDDSESDVAEGPEFDELSTLSNVNKDGGLYEVGSLSGNAVDISCNAVSSDLQNDLDVPGNPMDKIYNSGKDVASSDPAQGVAEIDESKSGEEWVQGLSEGEYCVLCVEDRLSALVAIISVVNEGNIIRAVLEDRLDAANALRKQMWAEAQLDKRRLKDENLSKFHDPCLTDAADGSYSPSGVPENKNIEVALDTAIKEEPFVGLDNIRKEHNNLCGTNYERNLVVENTSMNQNTPTVQHNGSTSERSRLQLKSFIGHRAEEMHVYRSLPLGQDRRHNRYWQFVASASRHDPGSGRIFVELRDGGWRMIDSEEAFNSLLVSLDTRGIRESHLHIMLQHSQTSFKENLRRNLQLFDIVGNAKNSTENQVTEMDYCSGYLAGHRSPRSAACDSRTALSESSISFRIDVGKNEIEKLNAYKRYQVLKSWVWKECINSMNLNARTSRRKGYMPLLGICDFCFDTFLFEDNRCPSCQRTFSITGEGLNYSASLIQCEEQWQVNPSKPIALDSSFPQIRLIKALLSFIEVSIPPEAFQSSWTCDRRSTWGKKLQNASSVGDLLQILTQLEGVIKQDYLSSNYETTAELLGFCTLSGKAAKESDPELVPQLPWIPQTTAAVALRLLELDSSIFYTPLQKAAETNSVTEAGNFTKLSSRSTFLKDVRKAESTQVPQSGSGKQVIRGRGGARPRGKSQKKVPGSRSGSGKQSIKVKKPLTQILMQPGENMLVQRHKHGQVQEQGQNHKRGPRTVRRRRESRTEDMVLGNFGGHSSFVIKETPRNFNEREWISEEMRSMQNEHPDNNTTEESESDDNADTTGYREQRWEPKYNVAAHPSTWDPVEMSDEDAYDAVNDFVEDDDNMEQDIDMNYGSVRNNLDDDVDMNDGLVGNNDEESFETSGEDYSD >KZN11168 pep chromosome:ASM162521v1:1:42250360:42250740:-1 gene:DCAR_003824 transcript:KZN11168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKISAEGDVYSYGILLLEMFSGQRPTSILMENANDLHDYVKKALPHGVMKITDPRIVIQREDGGSHGGDIVAKSGISSKMEVCLASIFEVGILCSVEIPKERIDIGIAVKLLRVARDKLLKHGQ >KZN11237 pep chromosome:ASM162521v1:1:42910605:42912902:-1 gene:DCAR_003893 transcript:KZN11237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKIFSRKSTKNTCRSSFHREIKPLKPEDFDDVFGGPPRHVFPGRTSEYFYDDMFHNPVRHSVDLNGRELPKFIISTSRGCGSEVFRGGDTTSVLNSEEFSPPTSDDDVYSPFDASKLRPMMVPQTWNASSKMMHGVQQRPQDIPDFPFSRPSSVGYQFTDNDPQTGSTSKSDDEDDDDENEISSSFVFGSNYSYREGSDEGVRVDEAIAWAKESYLSHSSSAETTEMPDGGADGSESVLHIHEREMKILEENIKLWSSGKERNIELLLSTLQDEAWDAYISQDVIVSSRG >KZN11130 pep chromosome:ASM162521v1:1:41983941:41989855:1 gene:DCAR_003786 transcript:KZN11130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCLISSTVPPLSFCTKPRSISPLNHPKCYCPVQSELRKKFLPLRSFESTRTFCTRAVLSEVPNESKYSKIGASSTGSIPSKHLLHVVETAAKTGSEVVMEAVNKPRNITYKGLTDLVTDTDKMSEAAILEVVRKNFSDHLILGEEGGLIGDSSSDFLWCIDPLDGTTNFAHGYPSFAVSVGVLYKGKPAAASVVEFVGGPMCWNTRTFSAVAGGGAYCNGQKIHVSKTDKVERSLLVTGFGYEHDDAWATNINLFKEFTDVSRGVRRLGAAAVDMCHVALGIVESYWEYRLKPWDMAAGVLIVEEAGGTVSCMDGGKFSVFDRSVLVSNGVLHEKLLERIGPATEDLKTKGIDFSLWFKPDNYYTEL >KZN08462 pep chromosome:ASM162521v1:1:7233241:7234010:1 gene:DCAR_001008 transcript:KZN08462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTEEQNRVVNKVKKLEAEKEANKGFGGSKRGGFIKKIFPASFSVEESKANRADFSMS >KZN08395 pep chromosome:ASM162521v1:1:6096438:6096986:-1 gene:DCAR_000941 transcript:KZN08395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLGSSTCKQQPSDYDRVKEVKEFDNTKCGVKGLLDSGLVKIPRIFIHSPENLQNPISTTDISASQLEMPVIDLQGLESCCRRAEVVNHICKASMTWGFFQMVNHGITKTVMENMIQGIKQFHEQANEVKMGWYSRDPKQKVRYYSNGDLHVSKAANWRDSIACSFEDGLLDSDALPLVCR >KZN09271 pep chromosome:ASM162521v1:1:22358664:22390492:-1 gene:DCAR_001927 transcript:KZN09271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMAVLESDLRALSGEARRRYPAIKDGAEHAILKLRSLSSPDEIAHNEDILRIFLMACEVKTVKLSVIALSCMQKLLSHDAVAPSALKEILDTLKYHGEMLDESVQLKTLQTILIIFQSRLQPDTEEHMALALGICLRLLEYNRSSDSVRNTAAATFRQAVALIFDHVVSAEALPTGKYGSGGYISRSNSVTSDVNRNINHLESLEQEFISGGSPIMKKTLTKSGNLGLLLLEDLTALAAGGSASWLRITSIQRTFALDILEFILSNYVVVFRTLLPYEQLEGEVGEPYFRRLVLRSVAHIIRHYSNSLVTESEVFLSMLVKVISLDMPLWHRILVLEILRGFCVEARTLKILFQNFDMNPKNTNVVESMVKALARVVSNVQFQETCDESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAIEGLLGVVFTIATLTDEAVDVGELDSPSDCDPHVKSTGKAAVLCMSMVDSTWFTILDALSLVLTKSQGEAIILEMLKAYQAFTQACGMLGAIEPLNSFLASLCKFTINISNETEKRSVLLSPGSKRLETSAEQRDSVVLTSKNVQALRTLFNIAHRLYNVLGPSWVLVLETLAALDRAIHSPHATTQEVPTSGVKLTRESSSQYSDFNILSSLNSQKAVTRVLFIIKLFESSGMMHVSAVKSLLSALRQLSYQCMQGTLGGPGQASSQKIGSINFSVERMISILVSNLHRVEPLWDDVVAHFLELSNSTNQHLRNLALQALDQSICAVLGSDEFQNNGSAGLRVPSRKIITMPSELGSLECSVISPLRVLYFSTQNPDVRSGSLKILLHVLERHGEKLYFSWNSILEMLRLKVGGIYGRNMWSIHHQANAPTELKFAIRSLRVIMNDGLSTIPADCLQVCIDVTGAYSAQKTELNISLTAIGILWTSTDFIAKDLLYQPIEDIVLQDVHVNTQLINNKKEDIVDHDKLLFSVFSLLHNLGADERPEVRNSAVRTLFQTLGSHGQKLSKSMWEDCLWNYVFPTLDRASHMAATSSKDEWHGKELGTRGGKAVHMLIHHSRNTAQKQWDETIVLVLGGISRLLRSFFPLLRVLRNFWSGWQSLLHVVKNSILCGSKEVALAAINCLQSTILSHSPKGNLPLPYLQSVLEVYDSVLQKSANYSGDAAIKAKQEIIHGLGEIYVQAQELFDGGMYTQLLVVIDSAITEANVANQNFEAEFGHVPPMQRTVLEILPLLSPPKHLSPMWSLFLQNFLQYLPRSESLLDEGEDAEKGNTTSQRPDSKKIPLVSNGTASVPTNRLHDSSRSSVSTASGIVDSSHHLFAEKLIPRLVDLFLQAPAGEKYSIYPDIIQSLRRCMTTRRDNPDGALWRSAVEGFNRILIDDVSNLPSCGPDLATHRPARIRMWKEVADVYEIFLVGYCGRALASESLSAASLKADELTEMNILDILGDKLLKSELDAPHNILQRLVNTLDRCASRTCSLPVDLVERIPLHCGSFNYEATEWNATSSEVSKISVMILMMRCEYIVKRFLADEIDLGERHLPQARVEETTFVLQELARLVIHTDTSSVFPLHPCLKEGLLEKNNGRRQHLFVLFPSFCELVVSR >KZN11560 pep chromosome:ASM162521v1:1:45748696:45749043:1 gene:DCAR_004216 transcript:KZN11560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCCRGEASSSMVFPHFHSAEKESLLDNKTSSSASYDDYDKQHREVKIKITKEKLEELLGTADVHGMSVDQVVARLLSASADHHYYDPDGDLDLDSHHHRSWRPALQTIPEVN >KZN09495 pep chromosome:ASM162521v1:1:26021411:26025149:1 gene:DCAR_002151 transcript:KZN09495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGAQKFSGIRLVKSSRWQSSGFSANALGTRMNLFERFARVIKSYANAIVSAFEDPEKILEQAVTEMNDDLIKMRQATAQTLASQKQLENKYKTAQQASEDWYRRAQLALEKGNEDLAREALKRRKTLADNATAMKTQLDQQKGVVDNLLLESKIQEAKSKKDTLKARAQSAKTATKLNDMLGNVNTSSALSAFEKMEEKVMAMESQADALNQLTTDDLEGKFALLETSSVDDDLASLKEELSGSTKKGQLPPGRTTAASNSGFPFRETEIENELNELRRKAADY >KZN09664 pep chromosome:ASM162521v1:1:28248642:28250237:-1 gene:DCAR_002320 transcript:KZN09664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDDYPKPGTLPPGVAAAVNGVAFVGTIAGQLFFGWLGDKMGRKRVYGMTLMIMVICSIGSGLSFSKNPKAVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIASVFAMQGFGILAGGVFAIIVSASFKASFPAPAYEDNALGSTVDQADYVWRIILMFGAIPAAMTYYWRMKMPETARYTALVAKNAQQAASDMAKVLHVEIEVEQDKVEKTKDFGLFSREFLRRHGVHLLGTTSTWFLLDIAFYSSNLFQKDIFTAVGWLPHAETMNAIEEVYKIARAQTLIALCSTVPGYWFTVAFIDRIGRFKIQLMGFFFMTVFMFALAIPYDHWTHRKNRIGFVVMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAACGKAGAMVGAFGFLYAAQNQDKSKTDKGYPPGIGVKNSLIVLGVVNFLGMMFTFLVPEANGKSLEEMSQENVEEEEMESRPEDSRAVLV >KZN10887 pep chromosome:ASM162521v1:1:39943183:39945122:-1 gene:DCAR_003543 transcript:KZN10887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FLS-1 description:Flavonol synthase MGEIKRIQDVASEASACDTIPAEFVRPEDERPGLTTYKGDLPQVPVIDFSIKDEEKLVAQIYDVSCKWGFFQIVNHEIPDDVVSRLKEVGTTFFELPQEEKELYAKPPGAKSLEGYGTKLQKEVNGIKGWVDHLFHILSPPSAVNYKFWPKNPASYREANEEYTKYMHKVEERLLRYLSRGIGLEENELRTAVGGDDLIYLLKINYYPPCPRPDLALGVPAHTDMSVVTILVPNDVQGLQVFHEGNWIDAQYIPNALVIHIGDQLEILSNGKYKAVLHRTTVNKEKTRLSWPVFMEPPPDMEVGPHPELVTNENPAKYKTKKYGDYVYCKFNKIPQ >KZN11221 pep chromosome:ASM162521v1:1:42780279:42791928:-1 gene:DCAR_003877 transcript:KZN11221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTRAVKYLRALILLPTQDLALQELQSSVDILVAAPGRLMDHINNTKGFTLEHLHYLLSWADMMPGKPNRFFKIILTNVGSHTKLQLPREFLRIFSKNLKDSIMLNVPGGLEWPVDLERQKAKVWLQNGWPKFADCYSISFGYLLVFEYMGDSKFQVFIFDPSSLEIDYPLASNDKPDAVKSAQVKRRLIDIDESETSDNSSSSDDSSSSDYAVRYCKKKKAKSTSSEESANLGLEKAPTEVQKDRATAVANAFKSKNPFFVHAMKASHIVGGGWPNVYIPKTFKDAYKKWQSNEKLILDVEGNSWIVSCNLNLNCRQCRISRGWTIFARENSLVVGDVCVFELINRSSKRFKVFISRAAKETNDEGNKRLARVRSEAERARVLESVEAYKPNRPFFTVKVHRCYLYGGSMTVPMDFINKYITRGSCSIDLQLPDGKVWSVKCYIRDKCAKFSAGWKNFSIENKLAAGDICGFELVNKSLLKVAIFRVG >KZN09351 pep chromosome:ASM162521v1:1:23957006:23957299:-1 gene:DCAR_002007 transcript:KZN09351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKFSIPKKSGVTTQMHYSFFPGSPRWGSRNDELTFAFLPENQLGDSVKGVFVTVFDRWAKMTLFTFTQTTSYTKTDIKIGFYSRDHGDGEPFDGV >KZN07908 pep chromosome:ASM162521v1:1:605270:605794:1 gene:DCAR_000577 transcript:KZN07908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGTRIRDLDARLGTHETKLKEMNEGLTQTRTEVTQQLTDMNTQMDKRMGGIESRMDRLDSNFLELKQLILGLQVPPNTPTVSTVSEPIPMQGSTTHQEVNHPSSSSLFFHATTPNTVAHTQFTIPPFMGPFPRSQTPFTITQTPPHFVNSTLSTTNPISYTTDSTRSNLQQV >KZN08037 pep chromosome:ASM162521v1:1:1618161:1621412:-1 gene:DCAR_000706 transcript:KZN08037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSRKWMILVATIWIQAFTGTNFDFSAYSSEFKSVLGVSQVQLNYLAVASDLGKAVGWSSGLALMYVPLWVVLFVSAFMGFFGYGIQWLVITNVITLPYFVVFMLCLLAGCSICWFNTVCFVLCIKNFPANRPLAISLTVSFNGVSAALYNLAAKSFDSSSSDLYLLLNAFIPLLTSIAALIPILRQPPVNHLPTDAVKRDRLIFLLLNFLAVITGLYLLVLHPGDPSSARILFCGAIILLILPLAIPGVVYARDWFHRTIYSSIRVDSTSFILVDHDDLQLHKEFMSQENSPVINVVSYTGSDDAYPNRIIEEVTKSREGFFVRIIEGDRLVMLGEDHKANKLIRRIDFWLYYFAYFFGGTIGLVYSNNLGQISQSLGLSSTTSTLITLYSAFSFFGRLLSAAPDILRMTGWLALALLPTPVAFFLLAGTGSEMALQVGTALIGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLLYGLLSALVYDANATEDIQMLPANSLVCMGRQCYFWTFVWWGCVSVFGLASSALLFARTRSVYDQFEKNRRSSEL >KZN08019 pep chromosome:ASM162521v1:1:1479858:1492530:-1 gene:DCAR_000688 transcript:KZN08019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSNSNKSRTDMLAAGRKKLQQYRKKKDGKGSKKGGKSEQDAKTEAAASAVDLADAKPPVSGGEESGSVNLADVKPQVSGDEGSGSEYARERVNPPSLHSMENSVAADIGESSSGSIPVPIVSETGEVKASLTGVVKLQLDGAEADEGGQRSNVCNEKGGDSSVQNKAENSADIDIDTVNKSSLEILEMASSEKKPHHVSVSTSVDSFAPSDLIDDSGKGDDAIEVKPIHGVDQVPDVGAMQEAEHASSENTDRSRAVEFQGDVTADIAGPDVSSYLHNETDISGSDSSFIEIEKQKAGENSDSCKPSPFEADGAAVTAEVMTEDMMVGSLNGQISKASLLSCTGPDDDSASKMISLADGSLVSLSQLAGVVHRLDEDEFSFLLAARKHASKEISSVGVQGSWFSDVLEPLQEQLYLTSFAKDLYQIIHEDIDHQHYQMVNDHSAATGLLNEVQVKNESLGLELQKCLSEIQLLNSEKGDLQNEFGFLKAEFVETSTRSDELQGKLAMSQKELGNALRDLADCRNLVATLQTENETMSRHLTLVTEERNKYADENAITIGNIDLITNEKLKLEEEKDSYLNEYKKLSTELADNKALAESLQLEKDSLKGILVSLTEEHDFSIHETEKLSTELIEYKVIAETLQQEISNLNNSLALIVEERKELIEEKDRALYDREKASADLAGCRSLMAVKQLELDEAINKQKEAALHLEQLTEENLLLTSTINIYKAKIEEYKMKKSSQSGDDQVIYPMIPQMEQASVDDGGDDSNIKSSLIPVQDRSLSYDSNAELSPLAQPNLDGYDESYGFVSVRGQLVQAGKVLHKLEKAIEEMHSQLDSLRRSSTKVGAPAVSKLIQAFESKSQTDDHEAEGIPLAEEQSHSDLYMLTKEQTGYLRTLLNEISVAAENAGELVKVEKKGKIVADSALKELKVQYDTLLGESYELGEAKIELSVLYEIIRQHVCNTEAKKDELLLSYDTLRQQNAIAKAENSELRNRLSSYESRINEMLGQLDELHQNSLEMNSSNYNQMEILHKEVSDKTLILEKKWDSVVSWLLNAVEKMDKHIQSSPSNHLTDTSDENDIGDRFVASVEGATRMIDNLKEKLDSAHSEFDLTCSSYRDLTVKFDNLHGQHELATGFLHKIYASLGELVSDSYGYVEKSEADVEVEKLVDPLNSIIEHLRKLLEERLLLKSLINEINSDLIDKTNKVEELNRRCFDGDAIMKLVKEVEEMVNLEGLEINLEDPASFVESLTYLLVQRYEEANGIAISCKEKLGVTELKFSELHAQVDHIIFTFVHCDNESVIYKESLKNAMEDLVMLRSQMLKKVTELEQSEQRVSSLREKLSIAVAKGKGLIVQRDGLKQSLAEKSGEFERCLQELQLKDAQLLEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLHRIEEILEDLELPEHFHARDIIEKIDWLASAVAGNSLAPADWDQKSGAGEGPHMDAWKEEMPTHSNPENELTKKHDDLQSKFYALAEQNEMLEQSLMERNNLVQRWEEILDKINMPSQLRSMEPEDRIEWLGGALSEAVHRCESLQQKVDNVETLCGSLSTDLEESRKRTSSLEAALHSVTNEKEHLSTSLEILSRDNNIVSQKADMFEVEREKLQNEVAVLKDKLEILQVIEQRDHHVDCEIRRLQDLLSDVLQDYDSGGQNLGATSIEYLEQLLRKLVLKYTDLSAQEVVPVVTVDKHTSGIGSATLGEKTESTEDQQVATLSKQLEEVTGDLERVKEDIAIYAEKNRTLINELERLEAERGELRELLKQEEQKTASVREKLNMAVRKGKSVVQQRDSMKQTIDELTVNAERLRSELSTREVALSEYEQKLMNYRQMKEGAESKSLIMENQLAEAEHDRQDKIHTLSTICRALDEIDIDLGHNSVDPVNKIVQIGKICYGLQAATKSSEHDANKSKRAAELLLAELNEVQERNDGLQEELAKITNEVSKLSMEKNLAEAAKHDAQLQVENLYALLLEKGNNQISEFAALKPCVYQLRSSFSDFINLLGNAFSKDLELVHNLAATSKSYLKSSDNANLVSLGTGLGSAGIGQIVSGNEATSAHEESLIISSFGEREQDKFNNNTQGEIREFIEYNLQELIVEISGVKAKLYKHTSLLQEEAKVLSESVESFHKEMTSQHELCESVKREMFRLESIEKQKDAEMMVLRSDVSMLYEACKSSSAELKNWKAQQVAKGLVLQGQGFNYDLTTAGTEGELIGQTISTSGGSVSATADELLLTVKEIVSAQNKNVELSQMELKAEIANLQTELQEKNIQQDKVCFELVNQIKEAESTAMQYSKELQSANDHINDIERRIDVLERDRSSLQKTVEEEHNRFQQKVEELKYREAAYIDMQERIRSLTDAVASKEQEAEAIMQALDEVEAQMEVLKNKNEELETVLKQKNLDLVNAEASLGKTSKKLSATVRKFDELHHLSEDLLSEIEKLQSQLHDRDAEVSFLRQEVTRSTNDAIAASQMSKNRNTDEMQDLLSWLDSVVSHVLVHNRSSFDKEINPDHEYKERLQKQIMAIITDLEEQRAVMQSKDNLLRLERNKVEELMRKGESLEKDLREKESELTITRDVGNSGQGASEIVEAESVTNKWQLPGSSSVSQVRSLRKSNNDQVAISVDMEPDSSRDLENEDDDKAHGFKSLTTSKVVPRFTRPVTDLVDGLWVSCDRALMRQPALRLGVIIYWFILHALVATFAV >KZN10955 pep chromosome:ASM162521v1:1:40617662:40619733:-1 gene:DCAR_003611 transcript:KZN10955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSILSSPLGPPVCEKDAKALQFIEEMTRNADEVQEQVLSEILSRNAKTEYLQRFHLDGATDRQTFKSKIPMVTYEDLQPDIQRIANGDVSPIMSSHPISEFLTSSGTSAGERKLMPTIKEELDRRQQLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETKTPGGLLARPVLTSYYNSDHFKNRPYDPYNIMTSPTEAILCVDSFQSMYSQMLCGLYERHQVLRLGAVFASGLLRAIRFLQLNWPELANDIRTGRLSEKITNPEIRTCMTRILRPDPELAEFISKECCEQNWEGIVTKIWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLNPMCKPSQVSYTIMPNMAYFEFLPHDPNSNPNSSRDLPRKLVDLVDVQVGKEYELVITTYAGLNRYRVGDILRVTGFHNSAPQFHFVRRKNALLSIDSDKTDEAELQTAVENASNLLKEFNTSVVEYTSYADTKTIPGHYVIYWELLVKDSANTPSHEVLNKCCLAMEECLNSVYRQCRVADNSVGPLEIRVVKNGTFEELMDYAISRGASINQYKAPRCVSFTPIMELLDSRVVSSHFSQSLPHWTPERRR >KZN09174 pep chromosome:ASM162521v1:1:21254340:21258528:1 gene:DCAR_001830 transcript:KZN09174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGGGLSSIRRMIIRRKAKKPAAGIAESSGAVQSPTTNSLSAMVAAGPKSKKKGSGARLWMRMDKLGQSEVLECDKSMIVKRVGVPTRDLRVLLGPVFSHSSNILAREKAIIVNLEFIKAIVTAEEILLLDPLRKEVVPFVDQLRLQFSQRSPRRIDGAGQLAIHDTEHLTQPGQWLTVSEAVEGFQAELPFEFQILEIALELVCTSLETSVADLEGVAYPVLDELARNVSTKNLERVRSLKSNLTRLLARVQKQSEALIGSMASNNITAAAFNLRRLSSVRSGSIVTSNYSNDNDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAIAVETLVAGMFGMNIDCQLYHIHGIFEAFVGVLTVVCVMIFFVVLGYARWKKLLGS >KZN08731 pep chromosome:ASM162521v1:1:14612724:14613065:1 gene:DCAR_001387 transcript:KZN08731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCGGLVIGCSFDHRVADAYSINKFLVAWADMTRSNLSGNISMALVYSPDYCHSLLHPRNPGHPNGVIDNFYMLVKATSSNLPQEPPLFHLQSRIYRIKGNLISHLEENIFQS >KZN07995 pep chromosome:ASM162521v1:1:1286739:1290320:-1 gene:DCAR_000664 transcript:KZN07995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVIDRHDFHGFDSDLKVIMGSHLWSIVQNTQSRDAPGTIPVTVKKIIEASQSGDEKSNFLVAGVDVANVSVVGMITSKTERVTDVNFTLDDGTGRIDCIRWVNEGYDTKEMSEIEEGMYARVNGRLKSFQGKKQIAAFSVRPVTNFDEVTCHFIECIHFHLKNSHLQQADASTQFENGQGLSTPTQKGTGASNAYQAPVSNPLSGLLNGDGLKGFDQMVLDYLQRPSSFANEKGMHRDVLHKELKIPVEKLMYSLSLNVFFLPVSFNALPLMESIRTLEDEGLIYSTIDEFHYKATTSG >KZN09178 pep chromosome:ASM162521v1:1:21319069:21335137:1 gene:DCAR_001834 transcript:KZN09178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCGAQHVFGDDMLPNNTKLSFPCRYNSTRPKAKILSRVLSAASKGKEVLPQIKDTTGSQDTTELVKIVAAPQPPVKNLNLAWSPRVPDASEATKKSRSVKEPAILASGPLAAQELRQKLVSEPDDCYIYAIQILRRLCNLLRFTQEIDRLNRCVSSLPAHVKNHGISEKLYEAMFEKCEEFNSMSDDEKQEFRMKKDVMDRIKFGSSNNLSSTQVRFWRDYLKLFLHPDFNSPHKPAGFSDTLLEVSTKQREVMKNLLRGVSKSLEVEESYFYNMADMDRGMDFFAANIYPPCPQPELAVGLSPHTDFGLLIMLAANGVGGLQIQRNGRWYDVDVRPNHLMVNLGDHMEIMTNGKYKSAVHRAMVNSRTTRISAVTVYGPEVNKLVIPAPEFVDDNNPPAYRGMKYGDYFIADQTSTTKGVSSLDMVRI >KZN09890 pep chromosome:ASM162521v1:1:30512709:30513884:1 gene:DCAR_002546 transcript:KZN09890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLLQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCREIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYQNDHGAMVPIRVHTILISTQHDETVTNDEIAADLKEHVIKPVVPENYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILKIVKETFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKA >KZN11575 pep chromosome:ASM162521v1:1:45886604:45888310:1 gene:DCAR_004231 transcript:KZN11575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSSQNYIVSATRIRPYVRSKNPRLRWSEELHKSFVLAVERLGGEDRATPKMILQLMDVKGVTISHVKSHLQMYRSMKHEQMIHAAEVEARRQAAPGTNYFNYSDASDKKVANSMAENFLTPPAWINMYEANWNESCASEPAFHFNGESVRGFCGNGKEKMLLDTVAGDGGCSASSSNSRGSDMSVTTRDADDISLELTLGFN >KZN08454 pep chromosome:ASM162521v1:1:7002976:7003709:1 gene:DCAR_001000 transcript:KZN08454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASMDELRHPNDSEQLQNTVRSMSIHPEVHISRDKNRGEESQNLVRSASIDPNIVFSRFVVILDFSESCL >KZN08668 pep chromosome:ASM162521v1:1:13281987:13295567:1 gene:DCAR_001198 transcript:KZN08668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGKSKKRGAPAPPAKTPPQKQHATTEIEEDDMLDEDVFLDETLLHEEEELLLRDMDEREAIALRLAKWKRPTLSPAYLSQSTNILFQQLEIDYVIGESHKELLPNSSGPAAIIRIFGVTREGHSVCCNVHGFEPYFYISCPPGMGPDDISNFHRILEGRMRESNRNSNVPKFVRRIEMVQKKSIMYYQQQSLQPFLKIVVALPTMVASCRGILDRGIQIDGLGMKSFVTYESNILFALRFMIDCNIVGGNWIEVLTGKYKKTAKNLSYCQLEFDCLHVPEGEYSKMAPFRILSFDIECAGRKGLFPEPTHDPVIQVANLVTLQGEDQPFIRNVMTLKSCSPIVGVDVMSFDTEKEVLLAWRDLIREVDPDIIIGYNICKFDLPYLIQRAEALGIAEFPILGRVRNSRVRVKDATFSSRQYGTRESKEVTIEGRVQFDLFQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNPETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQRNLVIPNAKQAGSEQGTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLALPPESIHKTPSGDTFVKSNLQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATIGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLNGYEHNVEVIYGDTDSVMVLFGVTNVEAAMDLGREAAEYISRTFTKPIKLEFEKVYYPYLLISKKRYAGLLWTNPNKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDIPSAVQYVKNTISDLLKNRMDLSLLVITKGLTKTGENYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIVKAAKGAKAYERSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLQGDHTRSISISTPSSGGIMKFAKKKLSCIGCKALISNSDSTICAHCKGREAELYCKTVSTVSELEKLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKIQLDRWNF >KZN09250 pep chromosome:ASM162521v1:1:22084771:22086532:-1 gene:DCAR_001906 transcript:KZN09250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLALRSSPLTIQSPFHPRKPTRTWRGGAPACIRRRSWTCCSANSQPLTSPRPAVILPGLGNNTSDYQQLVLTLQDYGVPTVVANVARIDWLRNAAGLLDPNYWSGTLQPRPVLDWYLNRVDEAISVAKELAQGRQLSLIGHSAGGWLARVYMEEYGTSNISLLLTLGSPLLPPPKGISGVIDQTRGLLSYVENNCAKAVYTPELRYVCVAGRYIQGARFFGNEDTNSVSTIPVPVQQPASEVMIENGTSLTATSSGTTFRARFVGQGYKQVCGQADVWGDGVVPEVSAHLEGALNISLDGVYHSPVGSDDESRPWYGSPSVVDKWINHLLH >KZN10410 pep chromosome:ASM162521v1:1:35432427:35438080:-1 gene:DCAR_003066 transcript:KZN10410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAESDSSAPVISRTPLANYHQDLSGAFSAASSETVEGTGNNYGLASKTFLYTLVRSQDFGSDFVTDEKNTQKEPPVHAIVMPAKWNSLAKILAEGNLCIVSNFYNRQATRRLRPVSTPKFVLLRGSSGFYTYAIYEHLGSEEWRGFSIGESRITFKLRKDKFEYMAVDDNRQRWMPLPDDHLPRRSQPVASGEANADIPGTFKSITKSVKKGRVDAAVALLDEMRSNSCNADIVLFNICIDSFGKVGKVDMAWIFFHEMRTHGLSPDDVTYISMIGVLCKANRLDEAVNMYEQMELNRKVPCAYAYNTMIMEYGLAGKV >KZN08198 pep chromosome:ASM162521v1:1:3837993:3845346:1 gene:DCAR_001263 transcript:KZN08198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRTKHPAAVRSFLQVAVTEEVASPLRVVQIEGLAILKIIKHCKEFSPSLVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIKRCVCIIYDPSKSNQGVLALKALKLSDSFMELYRNNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEADTPVAQCDYDRLQLSTNPFMERNVEFLIECMDDLSMEQQKFQYYYRNLSRQQAQQQSWLQKRRAENMSRKAAGEEPLPEEDPSNPIFKPIPEPPRLDSFLITNQISNYCNQINGTKFQQAVFDESFARGLGDLF >KZN08852 pep chromosome:ASM162521v1:1:16611742:16618886:-1 gene:DCAR_001508 transcript:KZN08852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGQNLPADVTQLIDQLERHCLAPDGSLAREEMATERLRYLEAMAVYCEANAMVEDYQQALSVASLGSTRDIQSLYPQLGLKSSPQVYETLEHRLTVAEAAQRLRLPMISKDGEVNEEEIEKWSAMSRSSLDSSNTSVTITSSSNSTNYTNLSAIGPVGPSGSVFSSNATDTGQPEVGGVPDRFLGITPSYLWRTQLQQEPLSNDMTEYQMPLLREIESRLKAKCDKLADAFIDDIDSSSGNSSARLPERVKLIIEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHKYDELQKTWLCKRCETMSAKLRVLEHILLLDTYTNESIPALHKIRKYLVEATEEASLSYNKAVTRLREYLGVDPHFDTIARQYHDIVQKLENMQWTIHQVEMDLKRLPST >KZN08657 pep chromosome:ASM162521v1:1:13112720:13114714:-1 gene:DCAR_001187 transcript:KZN08657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSKLLQESRKLPDQFVQAAGSDIPCNVILQIPNGREVHVHYKKQMQCLTSLYPLYTEFGVEEDLLLIFTYKGMGVFRVEVIDSEHAEIEYRINFRIARSPLIFQDKTWGKRWKFMSYANGSIFDDGSLLIPHAFMGRFRAVIPGSIKIRLVNGEHFDCQFDRKDRKLSGLLPIVEKKYIENWDILIFTYRGNGEFDLSLYDNSRMEKLLQINVVDIDSDSDNDSVIEDAAHVAHSGTCEANKPSLDSRREGVYTVCCRCLERWDCTEWEKS >KZN11764 pep chromosome:ASM162521v1:1:47929074:47929391:1 gene:DCAR_004420 transcript:KZN11764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAPPMTSDHTDLKASESEDAFKSQHLESAAKLIIEFGSPLQLQQLIRVENRDQFDQYLCAVDEVQQSIKSGTASSYETHGIRAIKTLHTISRNIGMLNPCNRF >KZN11117 pep chromosome:ASM162521v1:1:41907117:41908067:-1 gene:DCAR_003773 transcript:KZN11117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAPEELQFLTVSDILKESTSIPKLSPRTFYLITLTLIFPLSFAILAHSLFTHPLLSQIQDPYGSHSSEWTKLLVFQFFYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSNTLSAIPGVFKRLFITYLWVSLTMVVYNVIFLCFLVLLIVAIDTQNPVLFVFSVIVVFVLFLVVHVYITALWHLASVVSVLEPIYGFAAMKKSYELLKGKIGLAFFMVFGYLVVCAAINGVFGSVVVHGWEYGVFTRVVVGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHEGIDKTALHDHLGGYLGEYVPLKSNIQMENLDV >KZN08239 pep chromosome:ASM162521v1:1:4208700:4210031:1 gene:DCAR_001304 transcript:KZN08239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEILSTELIKPSTPTPPSLQHYSISMVDELSPVMNVPTILYYPAGLHGGISRCMHLKTSLSKVLTRFYPFAGRYMKESYMVDCSDQGAEFVEARVDVGLDDIICQGRNLKAELLNSLLPRPIGAGGEVSDPVFAVQVSTFGCGGCAIGVLSSHRIADIATTSTLVMEWAVDAKILLGGDDERCVPVPVSPNWNSASLFPGQKMSGLALGLPRAKENIADHGIVTKKFLFSNSAISKIREKAMLDESDEGLPTRVQSVCGLIGKAIIEIHVANREKPRGFLVIQAVNMRERTDPPIPKHQCGNPYLVPPARSVAGDEGVEFGGLVDLLTRSVKRDVDTCKMLLSGGDGGEFIAQGFNESIKSHTDPGISCVCFFTDWCKFPFYEADFGWGKPVWVSSVNVPLRNSVCLLSDKSGEGIEAWVNLHIDDMPKFEQDSSILEFTS >KZN10718 pep chromosome:ASM162521v1:1:38408074:38411054:-1 gene:DCAR_003374 transcript:KZN10718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLSDMFNGLTKSMSIKKMKRLGNSGGREAVEAMAEEAKRNELMLKSSGTVSVDGSNNFTSVFSKRGKKGVNQDCSIVWEQYGRQEDMLFCGIFDGHGPWGHFVSKLVRDSLPLSLLCNWQDALVETAVDLNLDIETEKTLQRFSLWEQSYLKACAFVDQQLGQHRKIDSFYSGTTSLTVVRQGNLIVVANVGDSRAVLATTSDDGSTTAIQLTTDFKPNLPHEAERILQCKGRIFCLDDEPGFHRVWLPCEESPGLAMSRAFGDFCIKDFGVISVPEVTKRQITNRDQFIVLATDGVWDVISNEEAVAIVSSTPDRAKAAKRLVDSAASAWKHKRRGIAMDDISAICLFFHNSQLPHQIHPVTVPK >KZN10128 pep chromosome:ASM162521v1:1:32852393:32852890:-1 gene:DCAR_002784 transcript:KZN10128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRNWSWHNVVDVSPFLLYESTGDSEVNSEMNLENLEMKQYEADDAMSCCSDSYDSTAFGKCYGDRDNECVQSSKKKSKKKEEYYARCLKKKGKKKEQDYGYNPNYRDCNDDDDEDDGAINQVWSSCGKMGYVVSRQQKKNGKSNVMEPKEENDKLFWDTCLGS >KZN08929 pep chromosome:ASM162521v1:1:17819266:17820490:1 gene:DCAR_001585 transcript:KZN08929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTYLRFHKIFGSEIKFELLFARPMFICQVEGKRRKPSDDQQVVHSQQISKQRAKKSKLHVAEDLRESSLISDHQVYDDLISDDGESSFIADHQVYDDFLGDDGIVMFS >KZN09392 pep chromosome:ASM162521v1:1:24509307:24511558:-1 gene:DCAR_002048 transcript:KZN09392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQNNSGLTRYRSAPSSYFADMMNGGLFGGEEVADQFLNPRAGSPDSDRFFSRFMSSCGGEDSNLVSVCDERVGGFVNEPVQAQFMAPMKCEPDVAHAHPQQMGSMGVNSLNQMKMAGGSNLNLIRQSSSPAGLFAQVNIDNAGYAVMRGMGNSGPASSTHADSSFSSGSRLNNQMDFPPSTPSSSSVIPHAFKVEGKSFGTGRPEVGNYGEGRINDGGYLTGGSRDTSWDDSALLSDDFLAGLAGNDRNAFSNINSSRNQTSEGGSRPSSALAHHLSLPTSSAELSAMEHLLQFPDSVPLKIRAKRGCATHPRSIAERVRRTKISERMRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQVKTLSDVRANCTCSSKQKT >KZN09791 pep chromosome:ASM162521v1:1:29402187:29402384:-1 gene:DCAR_002447 transcript:KZN09791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYIYIYIYIYINSPFNMVVYIENAIFKDIDDEIILQCYQNMQNRRIQLSSIPSSKKDSKDIST >KZN08326 pep chromosome:ASM162521v1:1:5298789:5299327:-1 gene:DCAR_000872 transcript:KZN08326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPLGSSMTSAKRQSALVVDDDPVTRIRIITRGLLNYFGFEVSTLNDGIEVVNMYEAGKGHFDLIITDMEMPVMNGIEATKKLRSLGVGCKIIGVSSCDDETSRRHFMEAGLDHLFYKPLNVTKLQSCLENN >KZN09042 pep chromosome:ASM162521v1:1:19393227:19396439:-1 gene:DCAR_001698 transcript:KZN09042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRREHGRDSDSRRHRSRFDREPSPKRTRRDDESVTERSSSKLKVGAEEFQDHDRKHPRRLQDAVPLDAPLAPDAKEEGEFVGKDADKKTDANRDVKRHPTNRDDAQQSKSYFQHDERGSARHVGRSHSRKDDSERGWFRDSKDQRSGRTDRTAVDNNLQKDEKSHARGDDSRAWRHDRFFERESEGKPPAKKRPAFTEQKVPVESKSAEKKVSETLKKSQTDYLNPGGERREDRGQEFRRTNRSEKPPVWNGNSNRSSGDTYRSNQQRGYFSTRERFAGGDTYRGRENFNRRPGNYPGGARVEKWKHDLYDETNKSPTSKNEEEQIAKVEALLSS >KZN09414 pep chromosome:ASM162521v1:1:24771305:24773486:1 gene:DCAR_002070 transcript:KZN09414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRKGASEDPEKKNKKMKKGLWSPEEDEKLKEYMFGNGENGGCWSDVAKNAGLQRCGKSCRLRWINYLRPDLKRGPFCPVEQNLIVYLHSVLGNKWSKIAAHLPGRTDNEIKNFWNSTIKKRLKNSSSTSIASPNTSDSSSEPYKDSVLAGIFPMQAHSNNIVSMYLEPSSFLQPMATNLSGLPIDDNNHINIHAEHRRRPCFQGVSNSLTANEVQADDEVFENDLEIEEEQFVLHMENMNMKSDIKIESDLNNWNNNADMQTCGNCEGGDEVRLEEWVEELMRDVSFLP >KZN11445 pep chromosome:ASM162521v1:1:44720949:44722082:1 gene:DCAR_004101 transcript:KZN11445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKTMFTQLVKDNVNSEVEFVLPEHVRVQLSVLSSSHSHTSSSVVPPALEDRREGHTFPNPPALPSPLESGNIASSLVSDSGKRKQSTLPTTTVNGNETAPEVYPPQKRRYAGKLKASSNLFAGDQEMPPAEIRDGVPVVEFSSSPEEENPRHDLLCLIEPAREAEEDRQHLMKAASVLKSRLVARLTSFTDRPSAQDMRRLADRCYETLEGLGADNQSFRTEVDKLIAQQQEVEFSVQKKEEWNECGIDALYNEQVHILSDVTQKLTSAQDQLSTAKTKANSIRLKEEELTVALHKLKEELDEVEGSVKNLTAETDQCKGAHSVAEAELAKLDAEKEKARVAYRELDDQYNAANRKFERISHQLLFATLSKEVAE >KZN10283 pep chromosome:ASM162521v1:1:34188647:34190002:1 gene:DCAR_002939 transcript:KZN10283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLSIPLLLLTILVFSSTLFSSSEARNGIKISSLISQDLYNSIFLHKDDSACPAKDFYPYSAFIEATERFPRFGSCGSLATRKREIAAFLAQISHETTGGWATAPDGPYAWGLCFKEEKPKPSCHDVMIGRYKPTAADIAANRTAGFGLVTNIINGGLECGIGSDSRVNDRIGFFQRYAKLFNVDTGSNLDCASQKSF >KZN08906 pep chromosome:ASM162521v1:1:17515795:17518018:-1 gene:DCAR_001562 transcript:KZN08906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDNNDAAAPGSGLNRICRGPGINNLLHMEDLKLNNVRLEKFCDAAYVTYPYTNGGTHVSPKTSDYANLGPPNAMCRHCGVIMWHDCLFFNLFIVIQIAWLLIFYIKLCISKIIGGRGIELFKTLVSSCSIAKKIKVEDTST >KZN11060 pep chromosome:ASM162521v1:1:41383820:41385015:1 gene:DCAR_003716 transcript:KZN11060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAATTSVHGLRDFQTVAVVPKIGYVNIPRLARRFCICSSSEADEIATATATATTTTTNETDGESTVEVQEEPESFISALNAEKAIRGIPISGFDYYETLGLQKGCSIDEVTAAYKKKLEEVMNEGLEEEECSRRVDLLKESHSILSSVQDRRLYDWSLSRNGNPETYVWPFEVDSTPTSTELPPPQEEEDVGPTTAVGYFLLGWVVLSITLSIALNR >KZN11385 pep chromosome:ASM162521v1:1:44180336:44185867:1 gene:DCAR_004041 transcript:KZN11385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNIPPKLGDLPAISAIEIQASGLDGPIPDFSLLADLKDLRISDLNGNDGKFPSLNKSSFGEFQRLILRSCNIVGQLPEYLGEMQYLTTLGMVSCLQSQTCSRYVDSFYINCGGKQTSVDGNKYAADRQSSTEPSKFYRGENWASSSTGSFVEGYKYNFTRTSSSFNGDHRELLMDARLSPLSLTYYGFCLANGKYTVKLYFAEITFTDNHTFSSLGRRIFDIYIQGKLERKDFNIKDEAGGINKEVVVEFPVSVDNHTLEIRFYWAGKGTTIIPLQGIYGPLISAIAASHAPVKRNVSVGTVVGVMVGIASVLLLLLGILWWRGCLCRRNTMRSGIWRTNRTRFIVIK >KZN08954 pep chromosome:ASM162521v1:1:18109321:18109698:-1 gene:DCAR_001610 transcript:KZN08954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATLCPAKFTAISAAVACPTRASQKRPNVKYISGLNSFGGLKAQNSVVSLGLPVCTEQSFANFASSLKYPNQRNGGGGALTSTCNAVAEIFRIAAVINGLTLVGVAVGFVLLRIEAAVEEAE >KZN09094 pep chromosome:ASM162521v1:1:20181224:20181694:1 gene:DCAR_001750 transcript:KZN09094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEENSFVGMKGFLVESVIEVGIDYDGDRNAKLYRLFHPLISGRMCQTIFDKNFKNQRDADTRSIYFFELERLIDILQDDHIVSTKTMKPILDYYDLKRKQYEEVYGDRRFHDDSDYLSPKEENQVGEKPEEVIDLIADDEDEQPHQPPQRNPPET >KZN08650 pep chromosome:ASM162521v1:1:12977450:12983854:-1 gene:DCAR_001180 transcript:KZN08650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTDRNIDKEEYWKIIHIPDKAPIPPHLQPTVNAYASVINPKHANTLIRRLNKISPLEDLRHVKRICKKCVEGNVQLLVILCLASDGSDQSENIPSEMVELIKSYQLSTFITKVSKYAATSKEEWEEQCKLWPTLYHPPTYNIDGITGFSEENSQSVCEFMKLAIDLAKSVDGQIVNAAVIVNPASNQVVARSCDQVFSQNNPSTRNSAGEGYLEPCKLTSSSISNGLQTYTNSSSNSSFNEQKRTYGGVSCLHPWQWAEQQSQASCFRHPFHHAAMVAIDNSAARDRYLFPASEHIVDKCCQAEVMDSPSTVSPSKKQKTETKVEDSGIQNGEHNACGSMPVRPYLCTGYDIYLVWEPCIMCAMALVHQRIKRIFYTFPNHNAGALGSLHRLQGEKSLNHHYAVFRVFLPESILDRDDVVTAVSGSDKNKTHVL >KZN10203 pep chromosome:ASM162521v1:1:33506102:33506849:-1 gene:DCAR_002859 transcript:KZN10203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYTKFALAVFVFLISTIVVSATGYEYNGVKPMPFPENHFSHKFLPGFVAVQGLVYCKSGSKLIPLKGAVARVTCLTTRPNGYEAAPVSISSSPTDAKGYFFITLSKLVKDGKRIKECKAFLEKSSMETCKVPTNINNGTSGALLRAPRLLKSINLYSVAPFFYTMH >KZN11975 pep chromosome:ASM162521v1:1:49824171:49829769:1 gene:DCAR_004631 transcript:KZN11975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRAKATRKMDVRARNKEFDPNGLGKEDRFVFNLRCADSHITYNFIVFIIELRCRAAFGGSKFTGEELDNKLCLGDEHSYEKPFMIVTLYSDAKPQGGPDHVTFEEKPVKVDLKLNICSCIRTVLQASELNCKELSENLRSSGQLLNLFSVHRRGLFADFLNDVLQEVSQQNAECIRWSSFIRPDTQAFGVLGKDERSSLGQSREVFLQEKDRVFLNQGKRTTITEKTQLNCKWKLIGICNNPQALAPTHKLLSNVKHENKQVTGSRRGSTDTPNLSSRPTVDDELHKSRKLLDAFDILNSNSDLFLKLLQDPNSLLMKHIESQKDMQEKTARTTTCQENNLPESGSGSALHKYQKPQHTVRKSLFDIMNEQECASKDDEIISTSNSAIAPKASQKDHRRRELGKHREEGPSSAAQMATSSECATVSRSNQRDSEAKRRLSRRLKNVGKSESVSGKETPRLTLKRILSSPKHGFVASSCPKMETEDNSLQPKNEDDSTKLAKIHETGFLTKDLSSNDCQKNLKVNDTIQSVSANLSEMPSKDSNVHSTDAEESTSTTRQRKQYQYLKCSTQDINLENQILTSSAEVPSSNHISKYRIELEGSFEETEEHLSPVSVLDSLFSEDVTSPSSKMNHPAKPQGEPDHATFEEKPVKVDQVDPKLNICSCIRTVLQASELNWKELSENSRSSGQLLNLFSVHRRDLFADFLNDVLQEVSQQNVECIRWSSFIRPDTQAFGVLGKDVVEEVMKEVHWYLVPSILPRKLEHIVRKDMEKPQCWSGRRHDTEEIVIQIVDDVLDESVMETISAIGEYDYFL >KZN11941 pep chromosome:ASM162521v1:1:49566844:49568369:-1 gene:DCAR_004597 transcript:KZN11941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSNHMVQYEGFLTVVPHRPTFPRPSVRISTEKDREAGLLRQCFRMILCYEKASSDDSGWMVAGWTKTSIGIALSEKPVLAGRLRRAEDGGLELVSNDSGVRLVEARIALRLDEFGGLRNKEEERKQLVTNFKCGAYAIGISCSILLADPFSMSSFLKRWSEIHMNLVTESLSQKLPLFYLPNFGKPNSTQVYSPNYEPAKETSRQTLIFKFANLTVDNEMQRIVALSCIDKVNRRLGKKVTSDFSLLVKNPNNDMLKIDTISVKEVLSLESFKNLTGINSKDYSWGEYIDADGINIAKGNKPTETVCWISSPDEEDLVMIISSESNMTVIVTVSTTNDA >KZN08530 pep chromosome:ASM162521v1:1:9776629:9777114:1 gene:DCAR_001060 transcript:KZN08530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGMNTIQTLPLDMIGELLEATLGHGFQCFNNLFKAWAQSQRTLVIRKLLGNLSISRLFRFWKRGSTEDTASFEEFMHVACDMGVGDAIVFTSCRELFSNPGNNNAQFAALEELSGRGYFLGQVATFITKVLFRRHSSVSALNALLSLHQNNLYRQIYSV >KZN08179 pep chromosome:ASM162521v1:1:3588320:3593813:-1 gene:DCAR_001244 transcript:KZN08179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGREVEVVNSKGCSRLLVNSISPSVRLVGVQMSHDSASVSTSSTHPHAPFSGLVICVTGLSKDGRKQVMDVTRRLGGKYSSDLHPKCTHLIVQISFFLILLCHGLKYEHALKYGSKNGLFIVTLGWFMDSVRRNVRLDESLYGVKNVGENGILRDDSNGLSRYANTENYCIPVGMLEHFKQSDTINQLDVRSTVRDSNRSMDLILSGSSLFIDTDVPAELQNKIAEAATLQGAKLVNHWIGGGRNASHVVCEGTSVGKYIGHSDNLVTPLWVLKTAKEKRVPRLVHISVDLARQLGTILENVQSGLAVEERNKDTCSSDALKSGTKVSHEARQNMANLAKSGVRKRRVRRMQRCQTPIRPITPNRLLESVCWSVSEPTCAAAIYTESSGFENAGQDHASVFYNANVDEKESIASFVNFTRKLTESEKGAVIFKNHFLTILFPVDRFAEMGPCSRSFFSDSGFTCLQVLDYIYAFYQENMSKEEVELAIHTDSVHADRLRSMYSSKETAEHGYMEFKRIDFLGSRKSFEMLKRVPGDDNSNVYELLIKA >KZN08896 pep chromosome:ASM162521v1:1:17426434:17429199:1 gene:DCAR_001552 transcript:KZN08896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQDYSLVFTVTRQSPELITPSKATPHEYKLLSDIDDQEGLRFQIPVIQFYAKKNDFVDARKMDPVKVIREALAKTLVFYYPFAGRLREGAGRKMGVDCTAEGVMFIEADADVTLEEFGDALQPPFPCLEELLFDVPGSAGVLDCPLLLIQVTRLKCGGFIFALRLNHTMCDAAGLVQFMTALGEIARGASVPSIPPVWQRELLNARVPQRVTCTHREYDEVADTNGTIIPLDDMVHRSFFFGPTEIHALRQLIPPHLRKCSSFELLTACLWRSRTRSLQPEPEEEVRVLCIVNARARFNPPLPTGYYGNAFAFPVALTTAGKLCQNPIGYALELVRKTKEDVTEEYMRSVADLMVLKDRPHFTVVRTYLVSDVTRAGFGDVDFGWGKPAYGGPAKGGVGAIPGVASFYIPFKNKKGENGIVVPICLPAFAMEKFVAELDGMLKKNEQSVINNTSVHITSAL >KZN10679 pep chromosome:ASM162521v1:1:38120242:38120667:-1 gene:DCAR_003335 transcript:KZN10679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNCDYPSHHCLLPPSIDNEDITDILIALSNDESASSLCLHGTSNFFMMKLLAKNPRVMKKVQAEIRKITENKLAVDGNELEKLKYFKMVALRQHPAAPLL >KZN10998 pep chromosome:ASM162521v1:1:40967315:40969625:1 gene:DCAR_003654 transcript:KZN10998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIVSWVTMEEPGSNTLLYWIANTKLIRKARAKITTYKFYNYTSGYIHHCTINDLEPDTKYYYEVGLGHSPRTFWFVTPPAVGPDVPYTFGLIGDLGQSYDSNVTLTHYEQNPTKGKTVLFVGDLSYADQYPDHDNRRWDTWGRFVERSAAYQPWIWTAGNHEIDFVPEIGETKPFKPYTHRYHTPYRRSNSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQFKWLEKELPKVNRSETPWLIVLMHAPWYNSYNYHYMEGETMRVMYEPWFVQYKVDLVFAGHVHAYERSERVSNIAYNIVNGICSPISDQSAPVYITIGDGGNLEGLATNMTDPQPKYSAFREASFGHATLDIKNRTHAYYAWHRNQDGYAVEADRMWFSNRYWHQGEDSTT >KZN09888 pep chromosome:ASM162521v1:1:30498062:30499384:-1 gene:DCAR_002544 transcript:KZN09888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQSPSTSQLKLIYWVPVLFCIIILFIFWYLFHLQQRASAFSHPPPNFIRSLSFRISVLEAAVKEILIEKLPTVVFDEELKARDSLCSVIVPNTNSVLPQPQRSPAAAPHATSNQLASADQ >KZN10958 pep chromosome:ASM162521v1:1:40634405:40637866:-1 gene:DCAR_003614 transcript:KZN10958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSASDMSIITYDETHGLNSPPLRTHDQLLSLYESWLVKHHKNYNALGEKETRFGIFKDNVGFVDRHNSMRNQSYKLGLNKFADLTNDEYRSLYLSGKMMKRERKNEDGFRSDRFVFEDAAPKSAAISTERGVAASDANPNHHQPKNAKVVTINGYEDVPHNDEKSLKKAVAHQPVSVAIEAGGRAFQLYESVLIIRPSPPSPVKPQTVCDDYYSCPESTTCCCLYEIGQYCFGWGCCPLASATCCDDHYSCCPQEFPVCDIDAGTCLMSKDNPIGVKALVRRPATRSHNRMTHSCNS >KZN08751 pep chromosome:ASM162521v1:1:14811604:14814087:1 gene:DCAR_001407 transcript:KZN08751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSFNSAKRHAFIPAIENILLKEDERRFSSFAEIDQLFDENDNLSTECKETPSKILPDLGSFLRFRTPELLNKDKFAWMKDEEFGRQTLAGVNPCTIQLVREWPLKSNLAQEYYGEPESAITKELLESMMAECMTVEKAIEEKRLFVIDYHDLMLPFVEKVRKTGGRNLYGSRALFFRTTSDTLKPMAIELRTHCVVEPYVIATNRQLSSMHPIYRLLKPHFKDTMAINALARESLVNAGGIIESAFSPGKYSLEMSSVAYRDLWRFDQEGLPADLIRRGMAEEDPTSDTGVKLTIEDYPYASDGIVMWTIIGQWVNAYVNHYYPDETDIEFDYEIKNWWTEIRTVGHRDKKDETWWPKLDTSEDLTGILTTMIWIASGHHAAVNFGQYDYAAYFPSRPTIARAKMPTEDQTDESWEDFISRPEDMILSCFPTCDQACMVVATLNVLSKHSEDEQYIGDKPEASWAENAVIKAEFELFRERLMDLNKIIDERNKKLKNRSGAGVIPYTLLKTTCQDKLKGMGVPNSISI >KZN09493 pep chromosome:ASM162521v1:1:25949717:25956436:1 gene:DCAR_002149 transcript:KZN09493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFAFLLLDLFLAALLDTLVKEPLCNSPKYKFNDNRELTVDNKVAIAMRRLKLEAFAFLLLDLLFAALLDTLVKEPLCNSPKYKFNDNRELTVDNKVAIAMRMLKLGKSVNSIGNEIGTHSFTVDKVTRTFVEAKMKIV >KZN08277 pep chromosome:ASM162521v1:1:4890404:4890631:1 gene:DCAR_000823 transcript:KZN08277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTRDVGVPSEAKIISELRKEFNIDEVYGTESFISSLKSADDFKPAEMLASAIVTSDEKMSEVCIVSIILHKHW >KZN10508 pep chromosome:ASM162521v1:1:36422359:36431589:-1 gene:DCAR_003164 transcript:KZN10508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPVVDTEYLKQIDKARRQLRGFIANKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRNEDEFSHGSNNGLKIALDFCEEIKFRHPKLTYADLYQLAGVVAVEVTGGPTIDFVPGRKDSLSSPKEGRLPSATKGAPHLREVFYRMGLSDKDIVALSGGHTLGRAHVDRSGFDGPWTKEPLKFDNSYFVELLKGESEGLLKLPTDLALLEDPEFRHYVELYAKVATFAILLCIPILVRIIFYYAASVLALVFIYQIVYPRRLLIVSLMQDEDAFFKDYALSHKKLSELGFTPSSGSKASAKDGAVLAQSAVGVVVAAAVVILSYLYEVRKLK >KZN10986 pep chromosome:ASM162521v1:1:40869171:40875157:1 gene:DCAR_003642 transcript:KZN10986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMVKKDDDRDEEADYSPFVGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGESFTKFEATDVFFAVTKLFQSKDIGLRRMVYLMIKELSPCADEVIIVTSCLMKDMNSKSDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPDIVKRWSNEVQEAVQSRAALVQFHALALLHKIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESSTSNQTGDRPFYDYLEGCLRHKAEMVIFEAARAITELNGVSSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNIDMESLIADQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVCTLAKFGAMVDSLKPRIFVLLRRCLYDSDDEVRDRATLYLNTLGGDGAVVETDKDVKEFLFGSLDIPLVNLETSLKNYEPSEEPFDVKSVPKEVKSKPIAEPTGLRAPPAAAPAPTVDTYERLLSSIPEFSSFGKPFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQYNCTNTIPEQLLENVSVIVDASEAEEFSEVAVTPIKSLPYGSPGQTFVAFEKPGGVTAVGKFLNVLRFIVKEVDPSSGEAEDVGVEDEYQLEDLEVVAADYILKVGVSNFRNAWESMDPDTERVDEYGLGSRESLAEAVGVVINLLGLQPCEGTEVVPNNARSHTCLLSGVYIGNMKVLVRLSFGIDSRKEVAMKLAVRSDDISVSDAIHDIVASG >KZN12020 pep chromosome:ASM162521v1:1:50359566:50361373:1 gene:DCAR_004676 transcript:KZN12020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGFSFFSPPPTPAPGTNLGDLPESCVAAILENMPPQQICKLAVLSKAFRGASSADFVWESKLPVNYKEIFMRLFGDFDDGLCKKDLYAVLCRPNCFDGDHKKAWLDKTSGKMCLAISSNGLSITGIDDRRYWNRIFTDESRFGTIAYLQQTWWFEVSGEVEFPLPAGTYSLFFRLQLGKSTKRFGRRVCNSQNVHGWDIKPVQFQLSTSENQNLRTTSSYLREPGNWNYYHGGDFVVENSGNPAKIKFSMMQIDCTHNKGGLSVDSLLIYPAEFKERLKRF >KZN07859 pep chromosome:ASM162521v1:1:223288:226304:-1 gene:DCAR_000528 transcript:KZN07859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQQQHLSFAKCSRQQICSEWMFHDVPSDITIEVNGGAFPLHKFPLVARCGRMRKLVAENRGSDICRIELLNLPGGAESFELAAKFCYCINFEITSANVAQLLCVSDYLEMTEEFSSNNLGSRAEEYLETIVCKNLEMCIAVLQQCENLLPFADELKIVSRCIDAIVSKACVEQIASSFSRLEYTSSGRLQMNRQAKCEGDWWIEDLSDLRIDLYQRVITAMKCRGVRPESIGESLMNYAQKELTKKSAVWNSSNQPNLVTEISEHERLVVESIVSLLPVEKLVIPITFLFGLLRKSVMLDCTVACRLDLERRIGSQLDIATLDDLLIPAFCQASDTLFDVDTVHRILVKFSQQDESDDDMEDGSLFGSDSLGSPSQTALVKVSKLVDNYLAEIAPDANLEVTKLIAIAKTLPSDARTSHDGLYRAIDVYLKAHPGLSDTDKQQLCRLIDFQKLSQEAASHAAQNERLPLQSMVQVLYIEQLRLRNALSHSYPDDDDQKQIHHSLRINGGALSAAMSPRDNYASLRRENRELKLELTRLRMRLNDLEKEHVCMKKNMEKSQTNNFISSFSKKLGRLNFFGSSSSQGSSPPSQNSQRMDTKVIQRTVDHSTV >KZN08783 pep chromosome:ASM162521v1:1:15366959:15375425:1 gene:DCAR_001439 transcript:KZN08783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSIWLGLVVVVVVLLVSNAASYSKSESSNSGSRGPHIADVNILLPPKMTHPVHYRLQASDGCFKWSWDHHDILSVLPEYNSSNHCSTSAHLKSIAPYSGRKETAVYATDVDTGRVIRCKVYIDNVSRIQIFHSSVKLDLDGLATLRVRAFDSEENVFSSLVGIRFMWQLEPETDESAHHLVHVPLKLSPLSDCGGLCGDLDVQIKLEDSGVFSDLYVVKGTEIGHERVSVDLLEPMYDQMADSIVLTVAEAMSLYPPSPVFVLVGAVVQYLLTVVRGNIPRAVTLPSPYHQWSVLNSSVSRIDKTMGIAHALNLGVTTVSVEDTRVAGHIQLSSLHVVLPDSLYIYISPLSHSGDILEGAAPNPSVSRWYVVTGRQYLLEMKVFSRVPESQEIYITETDDIKLYDDHSEFWNIFPVSDTTTSKLVCLNSKILKAMSYGLGKLTATLTYSNGVHEVNQALKVVQEIMVCEQVKFSKDKRSASGSILLPWAPSVHQEVELKATGGCAISSSDYKWFSSDMSIVCVSAHGIVQSKRPGKVTIKVVSSFDSFNYDELEIEVSIPSSMIMLQQFPVETVVGSNLAASVTLKDSNGDNYFRCDAFSSAIQWNTGSDSFTIVYTERESFLFDEQDVPDLLASLYSPPCAWARIYASATGRTMLHASLKKDYHPLDHSLTGSIDLKASALISAYLPLFVHQAGDGNQYGGYWFNLSYAEANNHLENLKILNLVPGTHMDVTLSGGPDQWGGGVEFTETVEILPQEQTKQKGVLVHNITTIYGSSYRISCENWGEFKVLFKRGNLAGDDHILPAVAEAELLLSCILPSSISIVVDSSVNALDVIHTAMQADRNSEQVRALPITVANGRTIRVSAVGISDSGNAFGNSSSLSISWEARNCDGLAFWDAAATSISNWERFLVLQNSTGLCTVRATVGGFIETLSNHRFIKSYGSSKSLTDAVQLQLVSTLKVTPEFSLLLFSHLAILDLSISGGSCALTTVVNDTQVAELIDRTPDLHCSQLSLAPKSLGTALVTVYDMGLSPPLAATSVVQVADVDWLKITSGELISLVEGSSQSIDLLAGVNNGRTFDFSQYMYMKIRVHIEDHNIVSLLDGISDGYLKAPKFILQAKNHGITTLYVSARQQSGREILSQFIKVEVYAPLSIRPSNIYLVPGASYVLTVEGGPTIGGYVEYGSMNDETAIIHKSSGRLIAIAPGNTTLVARIYSKGDEVMCHAFGYVVVEIPSSAMLNVQSEQLAIGSELQIYPSFTNGNLFSLYEVCKNYEWSVEDEDVLTFKVVDLLHGYKLGASSIVLEASGSNEKLDLRFIREFYGKSSGQTHVSVSFSCNFVSRSFSQSRLYTASILLSVVPDLPLALGKPVTWVLPPHYITSNLLPSSSGSYSQGDAQSSKGTITYTLLKEYGGQKDIELVNEAISIQGVKIQTRESNNIGCIQAKDRLTGRFEIASCVRVAEVAQIRVSRHAFPFYSVNLAVGAELSIPLIYYDAFGYPFYEAYNIVLYDVETNYGDIVSINDTHDGNIYLKALQFGRALVRISLKNNSTKSDYLLITIGARLYPHNPLLQLGNRLNFSIEGLTDQVSGWWTSVNTSTVSIDRVSGEAEAIGEGSTQVIYESSDFKLLTFVTVSKGHMITVNAPKGILTNAPFSMRGYKFLVTFSDAFEPIREPGGAVKTLLHECEVEPHFVGYADPWMDFESGMSYCLFFPYSPGHLVRSVGNLKDTRRDISVTINAFVRGTNNISGSASAFFVGGFAILDMDHNSLHLNLTSKSNKSVITVVGNTDVVVDSQGRNQLLITPIHRENNGVAGRAEYEVKVLSSWRFKDKLIISLAANGQRVEIDVNYEPEKIPALPSSTKVSNWMVILVCSSVLISTLILFLCYLDRSNRYQANAAPTTRTATVQSPATPPRSSPSVVNEHSPQTPQAFIDYVRRTVDETPYYRKDPRRRFNAQNTF >KZN09340 pep chromosome:ASM162521v1:1:23456066:23460456:-1 gene:DCAR_001996 transcript:KZN09340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVRECSASFHNVIKFLVGADYEKKQYSNVLIYSLVDSIYGGSGNEGMTPLDQQYQLFASAGAIRFPTPESEAWKEKGLVRMNDHQSKLMKQSQMINKPKVMSQPNLLSQQSMMNPMSMSMNQVPPPMMNQQQPMGSQQMMMGRGGVGVGVGVGVGVGGGGYGMWPPPPPPPHPPVQDQMNFNLNVPMNFPAFGGSNSVGRGNWKGKKGGNDNKRRKGKNMIAGGSLGSGGGGYKPPNIKELQQENRLKARKYFQKKKFGGGGGNNRSAPYAPRNTSSFIIRAKKSGGIASLVSPCPVTPAVLPTPMFSPSTEVLGDMAKEEWGVDGYGSMNGLIRLRSPGDVAEDEEDEEGGGSSESDVEEHLEVERRLDHDLSRFEMIYPNYGVEYNNVLENRVDDQDTHIAQVEEENLILKERLFLMEREVNDLRRRLLSLERQHQGTEETNEEIVENESENDNGSRGEYSRSQEENNEEVIEENNERGGKNVESKEEDNIADGMVKDLKVVEAKDDANNDCGVQVNEGNNVDTELGNGSANVEVKDASVNVEVKDASANVEVKEGSASVTLNDAVVQDVRMDEVDTKQDVIDEENANL >KZN08958 pep chromosome:ASM162521v1:1:18161766:18172996:1 gene:DCAR_001614 transcript:KZN08958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMNIPISVLMVSGLRILLNEIDFHWKVRNIQQKTYLSHLEKKQLSVNDSELPALPTPPKRKKKIDSPIIEAAMEEFIDKLLQDFVVDLWYSEITPDKEAPELIRAVVMDVLADVSGRVKEINLVELLTRDVVDLVGDHIDLFRKNQTAIGIDIMQTLSSEERDERLKQQLRASKDLHPALKSPDCEYKALQRLMGGVLAVALKPREAKSPLIRCIARELLTCLVMQPLLNLASPKYINELIEYIYLAIKDYGFEQAGDGSPVAEGHMRDHVLADSQQHSSESMSINGAPCNQGTNFSFSKCDNAKELSVAGSGTRHEESVQHISAEWARPLDAASQRRTEVLMPENLENMWTKGRNYQNKVKKGPNTGIQAAKSLEVNSVVLLKDNERGSLAHKPEFSTKNQAKASGQTPSGHLPDFRLYSQKENPEDLSWEPGRVASFIGGCSVDEFSDALVATGNRSKMKKSNSTSDLVILPDRGADVSSKIGGPIISEFYSPDSGGHNQVVTVNSASLMVLSSEPHAPKLKCRVIGAYFEKVGSKSFAVYSIAVTDNENNTWFVKRRYRNFERLHRHLKDIPNYTLNLPPKRIFSSSTEDTFVHRRCIQLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSFGKSPSVMRTLAVNVDDAVDDIVRQFKGVSDGLMRKVSGSSSATYEQSSSNYGRNLSLKEDEIEKLILRQHTADSANHFSDDEEGEKEDASYSGERSFADTDQWHSDNESDSREFLSMMEEHDEKLKSDVDKHNSKLQSKSTSTSGFPRANVPATSAHLEDLSGVPQEWVPPNLCVPVLNLVDNIFELKKRGWLRRQVFWISKQVLQLVMEDAIDDWILRQIHWIRREDIIAQGIHWVQDVLWPGGAFFLPLNSKSKIDNFERDEGSLRSTTHSARSTASEAGSFEEQLESARRASDVKKILFNGAPAALVGLIGHNQYKRCAQDIYFFLQSSICLKQLTYGILELVLLSIFPELREIILDMHEKTNDESV >KZN10034 pep chromosome:ASM162521v1:1:31876447:31889862:-1 gene:DCAR_002690 transcript:KZN10034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSLRFSLSLISPTPTTKQTQASLISPKPYFRISKPIKYSPIRSELSAPATKPLVSNGAVVLKPSANDLELGPVIEIDRVVESDLKENGFRGTRKTKIVCTIGPATCEIEDIEALAVGGMNVARLNMCHGTHEWHRSVIEKIRRLNEEKGFGVSIMMDTQGSEIHMGDLGGASAAKVEHGDVWTFTVRSYESELPERTVSVNYDGFAEDVYIGDELIVDGGMARFEVIQKIGPDVKCLCTDPGLLLPRANLTFWRDGRLVQERNAMLPTISAKDWLDIDFGISEGVDFIAVSFVKSSEVINHLKKYLAANPNDSGTYVISKIENVDSLKNLDTIIEASDGVMVARGDLGAQIPLEQVPSVQKKIVEMCRELNKPVIVASQLLESMIEYPIPTRAEVADVTEVIKQQADALMLSGESAMGMYPFKALSVLRSISLRIEKWWREENCHRPRKLPTATFTSPNIVTNELCTAAASMANKLGADALFVYTKSGYMASLLSQCRPDCPIFAFTPSVSARRRMNLQWGLVPFCLNFPEDDDSTLKKTFAFLKARGMIKPVLPSLFRTGASSILDFRQAFPIVEPDSGHTKLRLARAGLEAIERITTPVAAVAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGIPIELVINGVKTSVFYLDTEGFESIGKSNVYDDRIFALSTVLSSVLVYNLPEMIREADISRLSFAVELAEEFYGRVKGQDIAFEPANLLWLIQRDFLQGKSVNEMVEEALKHVPNSDGDKNIDKVNQIRDSLAIMGGNSTAFSLPQPHLQRTKLCEMNDHELDPDYIMKREQLKELVASIIRPKIVQAKPLNGKDFVLFLEQILEALNEGEIPSAGSLVEVFNKDILERSLKLYNNGMVKIILPLSEEAFDIAHEGLREVAIKAFEEQHFGRRHANKSIEKLHYEIDKVHRNFILANEYKSSKLCEGLYIRCEEYMDKLQVLRLPSMAKFNAGFHQCNQSFEKECVGPSKTIYEKRMIKMMGKSKSLFIKEYNHRLFNWLVAFSLVMVVVGRFIIKFVLVEIGAWILFIFLETYTRMFWSAESLYYNPVWQFVVATWETIVYGPILDLDRY >KZN08830 pep chromosome:ASM162521v1:1:15982473:15983447:-1 gene:DCAR_001486 transcript:KZN08830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSGSTQSSGGEEDYDPRTAANSPFNPIYNHLSPPPPTMYDPHTNTSNFFNPPPQLQLQQLTNPYLAPQSQTLSFPPHHFKQNQPTLVLPASSSQPSTRKIDQHKLTQVTRNPKKRSRASRRAPTTILTTDTSNFRAMVQEFTGIPAPPFSQSSTFPRSRLDLFSPPSSIRSSINITQTPSYNTRSPLIFPSTSELGFLKHQQLQNPNHSNFLQSSSSTSSIFTSKQGHDFSLLIPSQSNLRVGALEEFGFSYGQMNTAQVSGLPDLISPENGNNYVRNSTNGKQNINYSATNFHDGKRATDNVSSSATRGMSEGIMEPWI >KZN09118 pep chromosome:ASM162521v1:1:20401836:20405642:1 gene:DCAR_001774 transcript:KZN09118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKKMWEWHDDEFVLDEVTNLDVPQSLWNDLVPNEENLSCVFDEITPVKACGDVDCPNTNNGEMSESRKETADNTQDREKCKSNSSHVKRRRMLQFDSEAIPILDCNEDMPPAFLKEKDRADTLEEAFSNMSQWVSGEDTSSSGYEGLDQSSEVWVANCLTNAEIQLSSNDLNSSGAAEVQNAGLSDIHREHETRRAQKCRPRPRKNVVLKGKKSYTQTPVKGTSSVAYPFGFIKPCGVHGDVTLRDINQRICTPPKPKPKEEDPAAIYPTSAFSGKPVVGQTKIHTEGGKGCITIMRTKG >KZN09054 pep chromosome:ASM162521v1:1:19599024:19602215:1 gene:DCAR_001710 transcript:KZN09054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVQAVASVVTAGAEVAGVLPTVTFLSPENVKAIYKELKEQLDFLRAKKKDRDDEAEKEVMTMKTSYEYNDWDQRVSKIDIQMTESLFFYKTEIEKIDKWTDMPQRIRLGAMMNNDITKIQQLQKELSEMRNILVAKQPDHVVKISNAPDIKGFETLENPMNTILSLLKLGKDVRGIQIHGILGTGKTAIMQNLNNHEEVANMFQIVIWLKVSSDKLDVIGNTKNFSIEELQRDVMQRLNLDETIAVNQYKQKIWGTLKDKKYLLLLDNAKEDLSIDEIGFPYNWERGSKIVITSRFKFVCPSLVDRSIKIGVLTSKEARRMFSNILKPKDFSNNAFIMKIMRKVVDWCHGLPVAIKIVAGYFKFRDTESSWRNGLNTLNSWPEYGDDKIKEMCRSLSFCCDLLKDAEKDCFYYGALYPEESNIYKDRSLDCWMAENFLGSKGESGRQKGRYMLELLNNLNLFEEDEHKKCVRMHKLIRLVALHNLQIDGKHNCLVLSNEESLDQQNMDSWEEKHWISLADNKSLNTFSNSPNCSVLSTLFLQGNSNLKSFPPSFFKQMKSLRVLDLMGTNIETLPDSLMNAINLAVLYLNGCINLLGLPPDIGKLELLEVLDISGIGVDIVPPQIRSLKHLKRFLVSSSVFSETNIFNVISNLTELQELLIDTKSEKGIYTWGIIDAVMESVKSLRHLTSLQFRFLNDEVVDVIKVVDGVTRIYAHKEDNIKFFVEKEGLDSGSFQVFIGCPISPDFKIPKCERFQRYVKYCNGRDRSPAFLKLISRADSLVIDNHYDLKHLSEFEFSNSSPIRGCLLEHCNKIKYVVDHYSILSNLERLYLNDLLELESLWGGSIHIGNLPKLKTLKLSRCPKLIEIITQDAVKDIIHISHGAGQKPLVFPNLKEVVLVDMQNLTSIWENDLLEWPALKKVEINKCPALHHLPFSKDNAVRLTSIIAEESWWESLQWTDPEAKQHFQEYYTVGGRILLDAWTVDSDAQSGLATMRQQ >KZN09600 pep chromosome:ASM162521v1:1:27487673:27488215:1 gene:DCAR_002256 transcript:KZN09600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPENKKRRSRERPDHEKKRRKKKNHTLLKTTENKNFYPNQFYESDKNDRGRDKSDVNYHHCDREEEDFNHSAFSSSSEIIGESGIFDFPWLKDEDCMFRTDDVDQLCRSSLEDMASEEQMSFHLYFDHLQDTDDWIDMNQGSDKQGFCVDSKSDFDEENELGRMEYYIWTSVLNQPLN >KZN08455 pep chromosome:ASM162521v1:1:7036944:7037135:1 gene:DCAR_001001 transcript:KZN08455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKYYVLKLVSLLLMALMFYIEGAFGSTPPCCFYDPDCCQRTLRLAGMNVVVPNLKPPPLQT >KZN11826 pep chromosome:ASM162521v1:1:48426097:48428714:-1 gene:DCAR_004482 transcript:KZN11826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPVTRPGMLMNGEANLVASPLSRSSSSNQNHTLPNSSSSGYGFYTVQSFISDFFSHKSSRPLEKTKLKGHVWRRAIFQFLVCFFLGIFVGLTPFVSLDMSPSYTPEGPEFPLKKFSPFMANEKMVVDELPTKSENVSLKLHLTVEDNSNVTSDASIAPQSFGEALNKLLIIVTPTYARPFEAYYLNRLAHTLKSIPPPVLWIVVEMNSQSVETADLLRKTGVMYRHLACIKNLTEIKYRSLHQRNLALSHIEMHRLDGIVFFADDTNIYTTELFEQMRLIRRLGTWAVAKLVPGTSQIALEGPVCNGGHVLGWHSRDGRRRSRRFHVGMSGFAFNSTILWDSKRWHRPNPKPIRQLDTVKEEVQVSTFVEQIVEDESQMEGLPQNCSKVMVWHLQIKSPSLYSYPPHWLLKNNLNVVHPLNSQF >KZN07978 pep chromosome:ASM162521v1:1:1164148:1166296:1 gene:DCAR_000647 transcript:KZN07978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAWRFFGEMGFRDIASWNTMISGFSKSGMMSEACRLFEVIPEKNDVTWNAMISGYAESGDLESAVRLFGIAPVKSVVAWTAMVSGYMKCGRVEVAKKVFTEMPVKNVVTWNSMIAGYVENGRAEDGVKLFRRMVESGVRPNSSSMSSVLLGCSELSALKLASASSPSLTLRQSSSELFTDELPTLHPKSYVAMRQKARLQIADSAIHIIPFILIFSVLVLWFFSKPGKTFF >KZN10787 pep chromosome:ASM162521v1:1:38983541:38986556:1 gene:DCAR_003443 transcript:KZN10787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHQGKTHEDLPAEVAQIVTEEERDVEVSNETKEMEGKDEEVLNEAKEMEKDDAEVSNEGKDMEKTEENESPRGVLDIVITGSESDHSSIISSSGSSRSSFNSSLDDKLPENKDVSSFSSSSEQETISDQKKKVVSDNTSKKKSVLKRLSSISLLRWKFGKSSQVSEEAVDHADDLVMLPKPSWRNFTFQELEAATNNFNPAELLGKGGHAEVYKGCLPDGQVVAVKKITKKAKKDENRVGEFLSELGIIAHINHPNAAKLIGFSIENGLYLVLQFAPNGSLATLLHGCPERTIEWSIRFKVAIGVADGLKYLHSDCQRRIIHRDITASNILLSEDYVPQISDFGLAKWLPEKWMHHVVSPIEGTFGYMAPEYFMHGIIDEKTDVFAFGVLLLELITGRCAVDSCRQSLVMWAKSLLEKNSVKELADPRLGEDYDMVDMKRAIATATTCLHHMPKLRPNMTRVAQLLRGENGGLEMKQRSMVLVDDYDLEDYTCTTYLKDLNRYKQLLLE >KZN07904 pep chromosome:ASM162521v1:1:577158:577433:1 gene:DCAR_000573 transcript:KZN07904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVENLNRVFLEHSEACCIAIPCHIHISHFWHDEVSKGCSVPVLHMDECLARELKEANLRPLEAGSPLRIGVLSTSTTLLTGIKSGQTSQ >KZN10522 pep chromosome:ASM162521v1:1:36578314:36578706:1 gene:DCAR_003178 transcript:KZN10522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARIKELFKKYGKVALGVHLSVSAASVTGLYVAIKSNVDVESLFERFGIGVAKEDEEAVKITENMNDEIINPKKRNRTAELAASSGGALAVAVLCNKALFPVRVPITLALTPPISRFLARRRLLKGGV >KZN08922 pep chromosome:ASM162521v1:1:17722435:17725672:-1 gene:DCAR_001578 transcript:KZN08922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNRAANYNLLYMQIGLWSIRVKVRIHKSEELIKIPFNIEDGGKDHRVAVGGEVPGASFAARGFREGASVKVNWNEMGQPIGKESTTLAHFVGNYARRNLPIICDDWRKDEWKNVKQTLWDEIKMKDKINSSVKNPIVTRLDAWEYARRDADGEVSDPATLQVLEDVVAIAQSLPEHELTNIGTDDLLARAILLEYAGRVRSLGWGVTNTSLKTTSTASELSKLKNDVSYLMNEINEMKRKGCNPVAQPGGSVFRSHSGKRYSAMMFHSFRWSKVQCPKQTMKDSTYSGHFVGSFIEDLLCAGATKIDANFTYTPRLKTYPLNKMVHFEKNWGGYLYNRHLKGKLLMK >KZN09690 pep chromosome:ASM162521v1:1:28509263:28511053:-1 gene:DCAR_002346 transcript:KZN09690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTENNSATFLSSANPCLDFFFHVVPDTPPSQLVQRLERSWSHDPLTTLKLICNLRGVRGTGKSDKEGFYTAALWLHQHHPKTLACNVNAYVAFGYFKDLLEILFRILQGGDARARVKRERKAMKPSKGRGGLRGVQGDVKFKADSRKKERELRDLIPREVRIEANKAKVMDDKQRARKLRKEKEAERVKKAVDMYDNDVNYRFLHESVSTLFAEVLKADMELLNLKKAKSISLAGKWCPSVDSSYDKYTLICASIAKKLFPREIYSEYEGVGDDKYELAVRDRLRKQVLVPLHEALQLPEVYMSARKWDSLPYKRVASVAMKTYTDIFMDHDKERFSQYLEDVKQGKAKIAAGALLPHDIIKSCLAGHSDGQEAVAELQWKQMVDDMLKKGKLTNCIAVSDVSGSMAGTPMEVSVALGLLVSELSDEPWKGQLITFSSNPELHVIDGNNLREKCEFIKNMNWNMNTDFQKVFDKILQIAVDAKLSQEQMVKRLFVFSDMEFDQASLHPWETDYMVIQRKFREKGYEKVPEIVFWNLRNSSATPVKATENGVALLSGFSKNLLTIFLEEGGDMNPEAVMKAAISGDDYQKLVLYD >KZN08533 pep chromosome:ASM162521v1:1:9835720:9837802:1 gene:DCAR_001063 transcript:KZN08533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQHINSSCAGICNQTLKPPQTKEKQGGEPSQQSPATQQSSIKKRRRFIDKLLDLEDEDTLPLSSKFKMLKSDEADVAADKPQRKKIPAVNITDLTIDQVANTDMVPEPLMEPSTSIIDPNTKKRIKVLPKIHLDKIPTKDPHPKAQSQKSDTTLSKSDNIQRQRAALQLLSIPSEAIVHKTDGALDVIAQDETQDAEEEVASHTKTLVVSPQQDQPAAHEGEVTLRVKIKLELGKGAVTRYAENSSCSESFASTPKSDNSVEGETSEARIIRKDDEHVEWLKFKRGQETIK >KZN08639 pep chromosome:ASM162521v1:1:12809613:12814160:-1 gene:DCAR_001169 transcript:KZN08639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTSNCIHVAILVLALALVSRARVLDPVDHSSTPEFVRIQGSQFVLRRSTFLFNGFNAYWMMTVASDPSKRHQVTEVFRDASAAGMSVCRTWAFADGSSNALLLSPGTYDERVFQGLDFVVSEARRFNIFLILSFVNNYKDYGGRPQYVQWARNAGVQVNNDDDFYTHPVVKGYYRNHVQRVITRINTITRVAYKDEPTIMAWELMNEPRCEADYSGRTVNGWVQEMASFVKSIDNKHLLEIGMEGFYGDTMPEKKQFNPGYQVGTDFISNHLIKEIDFATIHAYPDIWLAKQNEEAQNAFMGRWMESHSTDAQTILKKPLVIAEFGKSSKDPGFTSSARDLYMGAVYSSIYKSARNGGTLGGGLVWQVLAQGMQPYGDGYEIVLADNPTTAAVISRQSHAMAALSHQCKIHRQAGRQGSTRQVFEPECMEFVSALAAGRQAKLIVEITTQGITPLTLALAVAATQTGGHLICIVPHKTTKNIISNQLKQHFELDKVARIVIGDPCQVIKHYKSIDFAVIDGKYEDHLRLFKIIIDMKQKGSVVVVNSKVCRKSFGEVVKGKRGSVESVVSFPFGQGMELTRMGSSNSCRSGKSRRFYTVEELIRQQKIYLLCQFVPIM >KZN10669 pep chromosome:ASM162521v1:1:38083546:38084164:-1 gene:DCAR_003325 transcript:KZN10669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLLALPLLLASISLLLTPVACDEKQCLLKGINSFRQSQNLSTLTSNDKASCFAKQIAKQLEGQPCSHVTDPNTVPGVPEILRKCKVKINYTTDGLMLSVCVAKRVPNLVLNNFTKTFYTSYLKNSNFTGAGIGTEDDWTVIVLSTSTLAGSFSSFACRVSWSFYYLISVMVLFLF >KZN08177 pep chromosome:ASM162521v1:1:3482368:3482796:-1 gene:DCAR_001242 transcript:KZN08177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHMTFYWGTNVTILFDSWKTNSITSYALSLFACFLISVFYQYMEDRRIKLKLIASSSPPRSGLNTPLISEKFAGGGTMAKLAGAAMFGVNSAVGYMLMLAIMSFNGGVFVAVVVGLSVGYLVFRSGGDDDRVVVDNPCACA >KZN10657 pep chromosome:ASM162521v1:1:37957468:37962084:1 gene:DCAR_003313 transcript:KZN10657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVTVCARFRPLNSREADCDDLCIRGLDAESFIFKDEKDEELKFSFDRVFYPESEQAEVFEFVALPIIKDVFNAVNGTIITYGQTGAGKTYSMEGPGIVNCDEQNKGLVPRVIEELFETTRASDGAFNYIITLSMVEIYMEKIRDLFDLSKDNIQMKEHKVLGIILHGVTEVTLENLEEALASISSGVSSRAVGETQMNMASSRSHCVYIFTVKQEATTDKRIKFGKLILVDLAGSEKVEKTGAEGRVLEEAKNINKSLSALGNVVNAMTSPLGKGSHIPYRDSKLTRILQDALGGNCRTALLCCCSPSPRNISESLSTLRFGARARHIKAFPRVNLKEDKSIKKHEEAHSLSKDNSYERTLLKFRENLDFEDMKFLEEAFVLAGVKEMELAYEDVLFRTISSLHEALEEVVTTVGQVTKQMCMLEMTPFNTVSRMHILTR >KZN11959 pep chromosome:ASM162521v1:1:49681624:49681809:1 gene:DCAR_004615 transcript:KZN11959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKVAKAYGAASAKGFPRRGQIKSRIAANALHSIVSVLLNASLDHHQSTGKLGKLLFREI >KZN08312 pep chromosome:ASM162521v1:1:5143068:5145407:-1 gene:DCAR_000858 transcript:KZN08312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQQAATEETEAKGVQKRYEGLVMVRTKAIKGKGAWYWTHLEPILVHNSDTNEPKAVKLRCGLCDSVFSASNPSRTATEHLKRGTCPNFNSGGATAPVQSPAPLSVASPKKHSGRKRNSCFSGGRGSGSGGNNCNEYQVQAVQPVAIGDASRFSGEVGYALAVVGGGGGGMAGQQHQHYEQKPQLQQQQQHLVLSGGKEDLGALAMFEDSVKKLKSPKGLPNMSLSKMQVDAALDSLADWVYDCCGLVSFSSLEHPKFKAFLNQVGLPSVSKKELSGERLDVKYAEAKAESEVKIRDAMFFQIGSDGWKSKNYGHVGEENLVKLGLNLPNGTSVFRRAVFTSGYVPSKYAEEVLWEVILDICGNNVRQCVGIVADRFKSKALKNLENQHHWMVNLTCQFEGFNSLIKDFMKELPLFRKVIENCSKLATFVNGKSQLRNSFHKFQLQEYGHAGLLRVPFHGERLSFGPVCTMVEDIMSSARALQLMLLDDTYKLVSMEEPLAQEIEEMMRNPHFWNELEAIHSLVKLVKGMAEEIELERPHVGQCLPLWEDLRVKVRDWCSKFHIIQAPIERVIERRFRKNYHPAWAAAFVLDPLYLIRDISGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIVLMELMKWRTEGLDPVYAQAVQLKQRDPTTGKMKIANPQSSRLVWETYLTEFKALGKVAVRLIFLHATSFGFKCNASFLRWISANAHSRAGMDRVQKLIFVAAHSKLQRQVFSHDEDKDAELLIVENGGEDNALNEVFVDASSM >KZN12141 pep chromosome:ASM162521v1:1:51376373:51376600:-1 gene:DCAR_004797 transcript:KZN12141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAAAAVWFQVPVARLRSAGRRGLRLYQISGTWSNHEGSILSWCRILSFYGFLLCCFYSVHFICVYRCINVFT >KZN11371 pep chromosome:ASM162521v1:1:44076281:44078489:-1 gene:DCAR_004027 transcript:KZN11371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRIVKRALRVVNSGVGSFSGSSSCSSTLTKRHLTCFAWPVDLQKCNDVRGDFMKWGSLGSCRRSSFASGYEPLKQKPLGSIIDIRRAELQSPEDLADIWDDMPHMIFTGLEDYKAKGTEATPYFTVSYYTEFAETKDLVLIRGDIVFASKLTDSEAKWLVETTQSFYLNDNRYKLIERFNKHTRDFEFKDVLQALDITSL >KZN09609 pep chromosome:ASM162521v1:1:27546371:27554079:1 gene:DCAR_002265 transcript:KZN09609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASQLGYCGIEPLRRTFSTTKTSSLLHLSVPRRNRKLSRRNRLDVRAVATEPKPTATKTSGTGSSTSPASSKPVNGSFNSTINGSSTRMETVSQEIKRVRAQMEENEDLAILMRGLRGQNLSDSQFADDNIQLRLVEVDESSEFLPMVYNPDSISTYWGKRPRAVATRIMQLTSVAGGFLSRLAWDVINKKVKENEVTRAIELREIVTSLGPAYIKLGQALSIRPDILSPSAMTELQKLCDKVPSYPNDIAMALIEEELGQPWYNIYSELTSSPVAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFIIRNLGLVLRKFPQVVVPKTYTKYTSRKVLTTQWIEGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPEGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYGAIVKDFVKLDFIPEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNSDFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGRSGVFDAERFIDVMQAFESFITAAKSGGGEELSGDMAGLGIVQSQTNFMSLGFPSSVSQTQPIQTRAALAFILSEKGNFFREFLLDEVVKGIDAITRQQLVQVMALLGIGNTAPVFSMVPAFGSMRPVGLLPTITEEDKIILNNVQKIADFLTSGASTPRSSNQVENIRQAIQELLPLLPGLSTTVLPEVLNRLSQRVAARILRDVFL >KZN09912 pep chromosome:ASM162521v1:1:30690337:30692898:-1 gene:DCAR_002568 transcript:KZN09912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRNASGEECSNDHRSDQTASMQEEAFKNTEVPHWRNQLTFRAIAVSFGLSLVFNVIVCKLNLTTGVIPSLSVAAGLLGYALIKAWTAISEKCGFLKQPFTRQENTVVQTCVVASSGIAFSSGTASYLLGMSKTIAAQADAGNTPNNVKSLSLGWIMGYLFLVSFVGLFTIVPLRKMMILKYKLTYPSGTATAYLINSFHTPKGAKQAKKQVHSLFKWSGASFAWACFQWFWTAAENCGFTSFPTFGLKAYAKKFYFDFSATYIGVGMICPYMVNISLLIGGILSWGIMWPAIEAKKGDWYKADLPASSLHGIQGYRVFIAISMMLGDGLFHVVYMLTSTIISVAKKQASSEASEADDNLDQQRRKEYFLKDQIPNAVAVGGYAGLALLSIIVVPHIFHQLKWYHIAVAYLIAPVLAFCNAYGCGLSDWSLASNYGKLAILIFSSWVGLNDGGVLAGLASCGLMMSIVSTASDLMQDFKTGYLTLSSPRAMFFSQILGTAMGCFITPLVFWIFYKAFSVGDPEGSYPAPYGLMYRGIALLGVEGFSSLPKNCLNLAIGFFIAAIVINIIVELLKKFETKYRLYRFIPSPMCMAIPFYLGAYFAIDMCVGSLILFIWELKDKKEARAYAPAVASGLICGDSLWGIPAAILALAGLKPPVCMRFLSAAANARVDKLLGS >KZN10796 pep chromosome:ASM162521v1:1:39084181:39092044:-1 gene:DCAR_003452 transcript:KZN10796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISSAIASNLLFPATKPLYDHSTTSLSFSPVIHTLKSKKLSRTSVLTRVSAEIKSASPIDFSDPDWKIKYEKDFEQRFHLPHLTDVYADAMSYPSTFCLRMRTPVNEDFADGYPSDEKWHGYINNNDRVLLKVIRYSSPTSAGAECIDPNCTWVEQWVHRAGPREKIYYKPENVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKNIVGIPFGYRGFGKELAEMPLSRKVVQNVHLSGGSLLGVSRGGPNVSEIVDSMEERGINMLFVLGGNGTHAGANAIHDECRKRQLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYRGIGIVKLMGRSSGFIAMQASLASGQIDVCLIPEVPFHLHGPHGVLRHLKYLLETKGSAVVCVAEGAGQNFLEKTNAKDASGNIVFGDIGVHIQQETKKYFKDIGDPADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYLPIPEVISYPKVEINLSTLVQEHVEHLRYNGQTAT >KZN09308 pep chromosome:ASM162521v1:1:22812283:22818272:1 gene:DCAR_001964 transcript:KZN09308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSSALVSFSACSHLTKPQFFSFNSISLLSSTCSSSTNIISTLNFSNNNSNSPRFTFLRTNAIALHALTTPVSQQNDDNDDSKQLPHLPKVDKSGRSILYAACLQGSDPVRLFEKRVNARREAGYEFDKAALMEYNHMSFGGPPVSADTIEEADRLMQCDMKVSEVEAEVLSAPPKLVYSKLILRFTRKLLVAVVESWDSHVLVIDKVVPQNWRNEPAGRILELSILHLAMADISVLGTRHQIVINEAVDLAKRFCDGAAPRIINGCLRTFVKDLEETGVQQGLVAKQTN >KZN09150 pep chromosome:ASM162521v1:1:20924284:20925285:-1 gene:DCAR_001806 transcript:KZN09150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFSQFCTFSAVTGKSSSPNAPLSNKEKKKVRRESPQGVLLHKLGVCSKDRNLVEALQLYDDAKVNNVRLALEHYNMLLYLCSLGLEGFVELGLERGFEIYKRMRVDNIVPNEATFTSVARLAAAKEDPEMAFDLVKQMKSYGLLPKLRSYGPALYGFCSRKMADKAYEVDAHMVESGVLAEENEIRALLKVSVDLGKEDKVYEMLHRLRVTARQVAEESAVVVEEWFGSTSAAEVGEENWDVRKIKEGVVKGGGGWHGQGWLGKGKWKVVRTEMDESGVCRSCREKLVCIDIDPRETAKFSISLTKLACEREAKEAKASFLQFQVCFKHST >KZN11124 pep chromosome:ASM162521v1:1:41949070:41951166:1 gene:DCAR_003780 transcript:KZN11124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFLITLHKYNTTFRHKLIKPLIFLNTKHEHFHTTTSSSTSLSLSSPPPSVKTVCSLVCQSYYQNQTHFKSTPPKLNLLLDSNSLSLEQAITIVASLSDEAGSMVALSFFYWAIGFEKFRYFLRFYIVLASSLIENDNLERADEVMRCLVKNFAEIGRFKEAVGMVIEMGNQGLVLNTWGLNFVLGVAVEMGLIDLAEKVFDEMCQRGVFPNAGSFKLMVVGYCRIGGVREVDRWLCGMLERGFLVDNATCTLVVRLFCDKGLVSRGLWFFNRMVDLGLTPNVINYTCLINGLCKRGSIKQGFELLEEMVRKGLKPNVYTHTVMIDGLCKKGWVDKAFRLFLKLVRSDNYKPNVLTYTAMINGYCKEEKMNRADMLLSKMLEQGLVPNVNTYTTLIDGHCKVGNFDRAYELMDTMAEDGLALNICTYNALFDGLCKKGRIQEAYELLESCLRCGLHADRYTYTIFISYSCNCEDTMLALVFFNKMLKDGISPDIHIYTTLIAAFCRQKRMEESKRLFDDSLKLGLIPTSKTYTSMICGYSRDKKADIAMNYFQRMSEHGCTPDSITYCALISGLCKESKLDAARVLYDAMTDKGISPCEVTRLTLAYEYCKIDESSTAMIILEKLDKKLWIRTVNTLIRKLCNDQKVDISAVFFHKLIDKDHNIDRVSLAAFMTACYESNRYELVSDVSERILKKSS >KZN08851 pep chromosome:ASM162521v1:1:16595985:16597553:1 gene:DCAR_001507 transcript:KZN08851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLAISAALNEAVQVHHMLSSMDSSSLEVRTQSAWADCLELYEESIQKLNRSMFLTNTMQNNIHVQTLLSSALTDQETCKDGFIDFSLAATYSKLFPLNESSENLCKILGMNKAVMASQTSGLASEEKSEGWLLRNRGLPEWLSESDRRILQEAPPPPDLVVAQDGSGNYRTIGDAVATAAAAQKGGKRFVIYVKRGVYKEYPVIQVENLTLLGDGIEATIVTGNRSVADGATTSNSATFVLQNCKIFVRKPMTQQTNVITAQGRTCPDDPTGIVLHECFVTAAPDLKPVQGMFKTFLGRPWKEYSRTVVMKTSLDDLIDPSGWTPWNSSNFYLDTLYYGEFNNTGRGADTLHRVNWPGYHIITNVGEALKFTVGSFLNNIPWLGDTGVPFTPGL >KZN11738 pep chromosome:ASM162521v1:1:47630526:47631809:1 gene:DCAR_004394 transcript:KZN11738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVLKLLLIIFSILPPLALCKSRLPYKPNTLVLPVSKDSATNLHVTNIRKGTPFQATPLVVDLNNKFLWRDCERNYSSTSYRFPFCHSTQCSRVGNHYCHKCLAPARPGCHNNTCGVMTTNPLTQLTILGELGQDTVSVQSAQGANSGPFVTVTQFIFSCAPPKLLTGPLPTNVVGVAGLGHNPGSIPYQLASHYGFHPKFALCLTSSNKANGVIFFGKGPYKLNPGVDISDPVGFTPITVGPRGEYYIQVNSININNKALPFDMSTLSENKQASFPNALLSTTTPYTTLKHSIFMAIVKVFADQLSWAPQIQPPVSPFGVCFNSSKISGTRVGPAVPSIDLVLQSGNVTWKIAGANSMVQARPDVLCLGFVDGGLRPKIPIVIGALQLEDNLLQFDLAKSTLGFSNSLLFRRVTCANFNFTTTS >KZN08944 pep chromosome:ASM162521v1:1:17986868:17987305:-1 gene:DCAR_001600 transcript:KZN08944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSEVLNWPSTAKVIHMNGRLQELRVSIKATHILSQHPDSFLCSSESMYIGSHPPKIPPDQQLQLGHIYFLIPTSRLHVPLSLQDLCSLAVRASTALQTKSLKIVPMTGHGHLVAKSKRSSNPLAAFEIVGKKSLVAGSWKPEN >KZN09564 pep chromosome:ASM162521v1:1:27132404:27132706:-1 gene:DCAR_002220 transcript:KZN09564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKNLLTVFVTFLIMSLIISLPALACPADGSECKDCIANRMKSDCPACAPIMRCMAQCLWDKGMSQKQCVKKCDCDGGYPRVSDCKKCLLQCKCSCSV >KZN12107 pep chromosome:ASM162521v1:1:51069240:51070772:-1 gene:DCAR_004763 transcript:KZN12107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETGEGEEYLFKIVVIGDSAVGKSNLLSRFARDEFDQNSKATIGVEFQTQVVQVDGKEVKAQVWDTAGQERFRAVTSAYYRAHCDTTVARMLVGNKCDLEDIRDVSVEEGKTLAEEEGLFFIETSALDATNVNTAFEIVIRDIYNNISRKVLNSDSYKAELSVNRVTLVNNGAGSKQSWSACCSR >KZN11617 pep chromosome:ASM162521v1:1:46348924:46353516:-1 gene:DCAR_004273 transcript:KZN11617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISLPNSSKGASGGGGGWRRTRTEEDKDGMDLGFWKRALDNSKNSSSSPIFNSSVFSKPSDTNNTAANSCFDLSLSNPSSASYQKPVNNNIISTQQNFHNLLQNNNNHLLHYTNFNQHNYQQGHQNNILHNNHHHLYNQNHQYQGGRGLSSDELMGYLKPIRGIPVYQQQNPPTATTTPYLNFPFVQQPCSLDSTSSLSSTSPTTPNPSHDSFQSQFDFSFVGLIGATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSDLFENGSSGDTSEDLMFDNNIQNPRRSHDDDSSQQGKSKAPHLDKDYQGLWTNSSSSREAWLHGKQIDCGDNNIPSQDQKDMDGKSLSSYERISEAMSSSNAAETNSTLLFCPKKKPNLEFTLGRPLC >KZN11881 pep chromosome:ASM162521v1:1:49045669:49046268:-1 gene:DCAR_004537 transcript:KZN11881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPALGPQRTSLIIWFAAIFCAVVAVAVVAIGIFVIVSYLVIHPTTPTISVSYANLDRFAYNQIGILDVQVSIVIKAKNENVKNHAVFYGLVLTLNFHGLPVAKLVNNPFDVKKNSSREFVYVVQSNQIPLRSVYSDYVQSALTKHKVSFELKGKVKTRWRVWVVGLVKFSVNMDCDLQFFVPNGSFTYRSPCSSKSS >KZN09425 pep chromosome:ASM162521v1:1:24864891:24865166:-1 gene:DCAR_002081 transcript:KZN09425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNLSSTLLVTALPRSSVPSGRNHNKIFATERSVQCIKTTATNIDQDSGAALRRNANYPPSSWDYNFVKSLNSDFTVLMLVLLLLVMNI >KZN11356 pep chromosome:ASM162521v1:1:43917945:43927327:1 gene:DCAR_004012 transcript:KZN11356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHTSTWHSTITKLRFFTRIRRFLNPKSSIKPSEPSNTTAKVADMNDQEEEKKEQEMVILQRAVKKLLFGSPEEKDIAAKDIKNLAAEALSRRKLMAELGVIPPLVAMAGSEATARQRLAVQALTELANGTYTNKALIMDAGILSKLPGNIGVLDEETMHDFAQLVLSVSSLANSQFPVNSSMMVPFVISVLESNSSLDTKEYCLGTLYNLSNMLDNANTLASDEVFNILLRLSSVKQTSEKALATLGNLVVTLTGKKALESSPMVPESLIEIMTWNDKPISQELSAYVLMILAHQSPVQRLKMSNAGIVPVLLEVSLLSSPLAQKRALRLLQWFKDERQTKMRPHSGPQTQRLSSGSPVNQREVSEGKKVMKNMVKQSLYKNMESMARRAHGDESSSKLKFLTMHRYNIQRNQDYKESL >KZN10239 pep chromosome:ASM162521v1:1:33780931:33781317:-1 gene:DCAR_002895 transcript:KZN10239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSGVSLSAPALFNNISDAPTIKSPWLKLSQPWTNKSIQYGSGRMGVRTMATQPDLTGKVAESIKNAEETCAGDSVEGECAAAWDEVEELAAAVSHAKDKAKQTDSLEEFCKDNPETDECRTYDN >KZN12120 pep chromosome:ASM162521v1:1:51149084:51151985:-1 gene:DCAR_004776 transcript:KZN12120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRSVATLIESTTTKIQQLQKAFAELESHRAVTLNMKWQQLEEHFHGLEKSLKRRFNDLEGQEQEYKNKTLRARETLVKREADIIAKEQASLNRLQEKRDAAVYAISSKTTAKHKKPCIEPTFVTTKNQCGILLVEEKPPDIMASQDNSKSMKKFTQNVNVEGQSYPQLVRLCQANDSEGLHKFISENRKNLAAIKEEIPIALSASADPANLVLDSLQGFYSIQGAQLDGKKDSYLLGLRRTCIMLMECLRLLLATLDMGSFSYVISDVKERAKAIAEEWQPKLVDLDLDASNGNSLEAHAFLQLIATFGISSDYDQEVLSKMIPMVSRRQQTADLCRSLGLSERMPGVIDVLVSNGRQIDAVNLAFSFELTEKYSPVSLLESYLTEAKQTTSPSKSANASPTVQTEVNERELAALKAVIKCIEDHRLEKQYPIDPLQKQVLQLEKAKADKKRATEVAKPQPKRPRANGTGSVPRTANVAAERNFYPRMTDRYPQYVYDRPYAYTGPTDNHIQSVLGPASYNLSPAHGNYFANGYQYQAPYLH >KZN10391 pep chromosome:ASM162521v1:1:35263297:35269168:1 gene:DCAR_003047 transcript:KZN10391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAALDSETSAKVIRQVEFYFSDSNLPRDGFLSKTVSESQDVVSLALICSFSRMRSHLGLGDVKEEDVSEDTVKAVAETLRSSSFLKISEDGKRVGRTIELPKPEEIIEQLDAKTIAASPLEYNVKREDVESYFSQLAKVNSVRLPRHVSDSRQLCGTALVEFSTEEEATDILKQSLVYAGAKLELIPKKEFDMEREKLEEAESSRPKNDLNRKKNPNEGNYPKGLIVAFTLKSKLSGDSAVNGGPSEPASESDLSKVNAEQDSFKAEPEQTDEKSKDVKVKEESTEENVLTKSEDICEEPPLEVDQTEHGKESIEIPIQKEEAKAGAEGNSAATIYKDNKDVVLREDLKKVFQKFGFVKFIDFTMGAESGYIRFEEAEAAQKARAAAVLSEEGGLMVKNYIATLDPVTGEAEKTYWDLLRGNQEKYKDRMDNRGRGGKSNRGGRQSYGKHSRTRDSDYSNRPNKAQKV >KZN08873 pep chromosome:ASM162521v1:1:17015298:17016290:1 gene:DCAR_001529 transcript:KZN08873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYASRVLTFLTLDREFVTGFSSHIHLTLINGSMWLFWERCSWWQPFTVTGYINYGKVALFLLTGKEHGVVAGLAGCGLNQSIGTAIGCVVAPLSFMLYYKAFNVGNPTGVFKAPYAVIYRSMAILRVEGFSPLPDHCLQLCYGFFSFAICINLVKDMLPKKTGKWMPLPMAMGVPFLVGSYFTISMCIGTVIVFDWEKLKPRKAELMVPTVASGLICGEGLWILPYAILALAKIKPPIYMTFLDS >KZN11391 pep chromosome:ASM162521v1:1:44225793:44237631:1 gene:DCAR_004047 transcript:KZN11391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAISSLSPFKFTPSHNPQRRFSPSSNPHRPFSPRPIFLKPLKVTSQESSQAQPQIITPVKPTITYQNDAALDSTCFVIKAKNRIGLLQVITRVFKVLGLVIEKAEVDFEGEFFVKKFYVTDSNGERIEEKENLERIEKALLEAIDGCNFDYGGEAVGKRGVMRRGEIVGDGMGKAKAERMFGLMDGFLKNDPVSLQKSILDHVEYTVARSRFNFDDFEAYQALSHSVRDRLIERWHDTHQHFKKKDPKRLYFLSLEFLMGRTLSNSVINLGIRDQYADALSQLGFDFEVVAEQEGDAALGNGGLARLSACQMDSLATLDYPAMGYGLRYQFGLFRQIILDGFQHEQPDYWLNFGNPWEIERVHVSYPVKFYGTVKEEFVNGETRKAWVPGEMVEAVAYDNPIPGYGTRNAINLRLWAAKPSGQYDMESYNTGDYINAVFNRQKAETISSVLYPDDRSYQGKELRLKQQYFFVSASIQDIIRRFKDVNSNFDDFPEKVALQLTDTHPSLSIVEVMRILLDEEHLAWKKAWDIVCKISSFTTHTVILEGLEKIPVDLLESLLPRHLQIIYEINQMFMEEWKKKIGNDYARLSRMSIVEEGSVKSIRVANLSVACCHTVNGVSRVHSEIIKSKVFKDFYEVWPEKFQYKTNGVTQRRWVVVSNPGLSALITKYLGTEAWIRNVDLLSGLRQHASDLALHQDWKMVKKVNKTRLAEYIEMMSGVKVSLDAMFDVQIKRIHEYKRQLLNILGVIHRYDCIKNMAKGDRMKVVPRVCIIGGKAAPGYEAAKKIIKLCHAVAETINNDTEIGDLLKLVFIPDYNVSVAELVIPGSDLSQHISTAGHEASGTGSMKFLMNGCLLLATADGSTVEIIEEIGVDNVFIFGAKMSEVPALREKVASTKASLQFSRVVRMVKDGYFGFKDYFKSLCDNLENGDDFYLLGSDFASYLEAQAAADRAFADQEKWTEMSILCTAGSGRFSSDRTIEEYAKKTWGIEPCKCPSDS >KZN08869 pep chromosome:ASM162521v1:1:16944554:16946120:-1 gene:DCAR_001525 transcript:KZN08869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLLHRRETKEDFHLQVSLRRSSLLFGCEAVSNQNTQQRLALPEKEVIQPHLPVRLPCYDFTPVTSPAFGIPLLAVKDLTQHLMARANDSHAPPLSAFPKAPLSFKRIRGMSNPGGILNALATTLHGLIRTAPSIHRLRLGLLGYLIPFATLDFISQYTDAYSPDTVIASSQGKEVHDPWAFYLHAALLRQAFAHCGKFPSPDNACILCITAAAGTELADAYSPDTVIASSPGKEVHDPWAFYLHAALLRQAFAHCGKFPTAASRRSLGRVSVPVWLIILSDQLLIIALPFPAVVPLPRAGSYALLTRPPLKTLLPV >KZN08928 pep chromosome:ASM162521v1:1:17808992:17811623:-1 gene:DCAR_001584 transcript:KZN08928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSPPKSSNSSAQSPPPPSSSAKSPPPPDNSNKKSPPPPSPSKENSHGALPPPPPHSGREHSPPHKSKSSSSADSQSSGGISDVQKKAIIGGIFGGALILIILLVACCWSFCRKKRNKKSNDQMIYYRNNSRGKSNANYNSGAPTREINPPAEHRVNIPPPGMTNSEVSSSYYSSGPQQTAKPPPHPTLALGFSKSNFQYDELAAATGGFGKDNLLGEGGFGYVYKGVLSNGKEIAVKSLKSGSCQGEREFQAEVEIISRVHHRHLVSLVGYCMADDKRMLVYEFVPNGTLEHHLHGKSGGVMDWPFRIQTAVGSAKGFAYLHEDCHPRIIHRDIKTSNILLDDQYVAKVADFGLAKLSSDNYTHVSTRIMGTFGYLAPEYASSGKLTEKSDVYSFGVMLLELISGKRPVDVNSEGDTLVDWARPILMDAVEGGSFDELVDPRLENNYNPDEMLRMIACSAACIRQSARRRPKMSQVVRALEGNVSLERLSDGAGTMQSSPSTNYMSEGDSSYSADM >KZN09661 pep chromosome:ASM162521v1:1:28230582:28230779:1 gene:DCAR_002317 transcript:KZN09661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEKALEQFKNFPDLFVKPISVVDHEEKVYLAVELFEAIKYKKKPSEERNKLLKYKFFLLSSMF >KZN10443 pep chromosome:ASM162521v1:1:35736504:35738867:-1 gene:DCAR_003099 transcript:KZN10443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYVGFVFGFALGIGLILGFARFERSRSKHRTDLAATVAAFARITVDDTRKLLPADYYPSWVVFSKRQKAASELIRSSVEPMLEQYRPAVLAALKFSKLTLGTVAPQFTGVSIIDGDAKSITMELDMQWDGNPNIILDIRTHLGVGLPIQVELEDV >KZN10473 pep chromosome:ASM162521v1:1:36027706:36033411:-1 gene:DCAR_003129 transcript:KZN10473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRARKLAGRAILRRLISDSKQQRPNELLPPVIYTPSRYVSSLSPYNFNTNLGKSVGSFNGSLSRSLNVESLRPSDTFPRRHNSATPDEQSKMAQFCGFESLDSLIDATVPKSIRLDEMKFNKFDGGLTESQMIEHMKMLASKNKVFKSFIGMGYYNTAVPPVILRNIMENPGWYTQYTPYQAEISQGRLESLLNYQTVITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFIIASNCHPQTIDVCKTRADGFDLKVVVKDLKDFDYSSGDVCGVLVQYPGTEGEVLDYGEFVKNAHAKGVKVVMASDLLALTLLKPPGEFGADIVVGSAQRFGVPMGYGGPHAAFLATSQDYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKVIAQRVHGLAGILAAGLKKLGTVEVQELPFFDTVKIKCADSKAIAEAAYKSEMNLRIVDKNTLTASFDETTTLEDVDKLFKVFAGGKSVPFTAASLASEVQDVIPSGLVRETPYLTHPIFNSFHTEHELLRYIHKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFTDMHPFAPTEQAEGYQEMFNNLGDLLCSITGFDSFSLQPNAGAAGEYAGLMVIRAYHMARGDHHRNVCIIPVSAHGTNPASAAMCGMKIVTIGTDAKGNINIEELKKAAEANKKNLSAFMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPYLPSHPVISTGGIPAPNEAQPLGTISAAPWGSALILPISYTYIAMMGSGGLTDASKIAILNANYMAKRLENHFPVLFRGVNGTVAHEFIVDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAEMDRFCDALISIREEIAQIEKGKVDANNNVLKGAPHPPSLLMADKWTRPYSREYAAFPAAWLRSTKFWPTTGRVDNVYGDRNLVCTLLPTNGVEEQEAAASA >KZN10125 pep chromosome:ASM162521v1:1:32805884:32810108:1 gene:DCAR_002781 transcript:KZN10125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKPWLSPAPSYQPFESFWDTDEDAPGPRCAHTLTAVAPTKSHGPRLILFGGATAIEGGGGAGIRLAGVTNTVHSYDVLSKKWTKIKPAGEPPSPRAAHTAAAVGTMVVFQGGIGPAGHSTDDLYVLDMTNDKFKWHRVVVQGEGPGPRYGHVMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYAWQKLTPEGDRPSARMYATASARSDGMLLLCGGRDISGTIGALCNMSSCLMYGDLVLDDFLIAENSPAHSDSNSPAAISERALARNLDGSVSSGGSSSHDFPYLVRFMVSTCQHMLV >KZN10850 pep chromosome:ASM162521v1:1:39531464:39549744:1 gene:DCAR_003506 transcript:KZN10850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSVRRIGSGTGSWLQEKFFDPLLVVLQRGAEPSQLAFSAAVGITFGVFPICGITVFLCGLAIAALGSRCNAPTVIMANCIATPIELSLIIPFLRLGEFLTGGDHFPLTSDAFKMVISGQASQEILQSIFRAVEITVAKYPNRVLVYGIENDVPIFFDVDGRGSQIFPSVYALWKVPELLPAFVLKGGEVSHYIIGGADLMYPGISIPPEGLPSHSAGEPWAVKVPGNQAPIAVGTTTMSSSEAVKAGLRGKALKICHYYRDLLWESAEKCYIPNGGFLDDVVLEDPSISFGGQGASSNEGEASITEESGTNNDIGVVAGVQDDLVSDSNIVENVLDEQVIADVQDLKLTEDGGQSNADGEQRVTLSVEEVDTLLDKCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPEGITLDIKKSSYKKLSKWLQSKSSLGLISVKEDKHKKETTLVGVNRKHADYTSFKPEKRKAEKMEQVTNPTASEGRVILEVEEIYKPSVHVNPIFASVGADTGKLFSASEATDIVFQYIEKENLVKPTNKSIVVLDAILCDALFKGTVKKGGTYPTEIHKKDLGSTFVNRMQAHHRVTRGTESAVRKGGLKTIQILTERRQGNKKVTKFSGFESFLIHADALASELQKKFACSTSVAELPGKKGHEVLVQGGVIDDLAKHLVQQYGIPKKYIEVLDKTKR >KZN08181 pep chromosome:ASM162521v1:1:3603653:3610482:1 gene:DCAR_001246 transcript:KZN08181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTSTPSSYFCALDDDDDDFENPICSQASFKKPISRKPLKPSNSQSFKPLNRPGKPKGLKRDKDTIFNASDQEKIGPVEIESQLVDDYSCEVSLDLDCVDSSICCSHVDRVSGSSEVGVEDVVEEMKLKAKGGVFMDDSNSIEARLLKSRGNCAPSVCENDGGSGEVGLEDECELDLLLNLCSEGDELVDGRSVDDFSESLIRCPLCDVNISGLSDELRQAHTNECLDKCLDKGDAACQSSVSASVCVDPVHKPVFPNDNPGHQCPGQVLNSSPARSPQKPVDVSPVSEWLRSLGLSKYEEIFVREEIDWDSLQWLTEEDLINIGVTALGPRKKIIHALCALRKENNNAVDTDTRNSKTVADDSSKIAANKLITDFFPGFGGQKINKNSTPGGRIEVEKSRPNTRKTRSAVKNNSRKEKLRDIPVWCCIPETPFRVDAFKYLRRDCSHWFLTHFHLDHYQGLTRSFCHGKIYCSSITAKLVNMKIGISWDNIHILPLNQKISIAGIDVTCFDANHCPGSIIILFEPPSGKAVLHTGDFRFDEEMTKIPALQNCRVERLILDTTYCDPQYDFPKQEAVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLYVEVARVLRKKVFINAAKLRILECLGIPNEDMQWFTTNEQESHIHIVPMWTLASFKRLKHISNQYMARYSLIVAFSPTGWTFGKGKKKSPGRRWQKGTVVRYEVPYSEHSSFTELQEFVKFISPANIIPSVNNHGQESSDNMISLLTS >KZN07909 pep chromosome:ASM162521v1:1:607995:608351:-1 gene:DCAR_000578 transcript:KZN07909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILLKKVEVPISFDGADVVELLATLVYWSENSSHHSVVMMAATISSLILDFTSEDALRQHPGFDDGKLAGLCQLFKRSMTASSEDVFYEEVDLYEIVISGYSRWSEHFPRIKAAVGK >KZN08466 pep chromosome:ASM162521v1:1:7357689:7357967:-1 gene:DCAR_001012 transcript:KZN08466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEVKLAGFNDFFKHEVVDMDVPLLSGIYARDVLHHVVQGTLHSLLADRSSQWDSFSRMFSGFMQVEEVLQAMGFSTPPSKGSKKFTGPSN >KZN10244 pep chromosome:ASM162521v1:1:33809756:33810689:1 gene:DCAR_002900 transcript:KZN10244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNEKRILVAVDESEESMHALSWCLTNLFPQNNKTILFLLYVKPPPPVYSSIDAAGFFFSGDVVEALEKYGKDLATSVMRRAETVCNNFNSNIKVEKKVGSGDAKEVICAAVKKLQVDMLVIGSHDYGFLKRTLLGSVSDYCSKNVKCPTVVVKQPKNEKNLDHQS >KZN08565 pep chromosome:ASM162521v1:1:10554077:10554821:-1 gene:DCAR_001095 transcript:KZN08565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAAVCSPLFRIVPLDIIVSLSSHLVVLEMTIREEKSGDKVVPHVESFESEDSSLVADVIVQNPDISRNKGCGSRIKSSRELSQEDRKKKKVQQLWPSCTPQC >KZN10455 pep chromosome:ASM162521v1:1:35840989:35841816:1 gene:DCAR_003111 transcript:KZN10455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILQEDDDSIEIRDVWNENLEQEFALIREIVDDYPYIAMDTEFPGVVLRPLANFKYIHDFNYQTLKDNVDMLKLIQLGLTFSDKDGNLPTCGTNRHCVWQFNFREFNVSEDIFAYDSIELLRQSGIDFKKNIEMGIDANRFGELLMSSGIVLNDNVQWVTFHSTYDFGYLLKLLTCRDLPDMQAGFFELINMYFPVVYDIKHMMRFCNGLHGGLNKLAEILEVKRYGICHQAGSDSLLTSSAFKKLKDDYFNNSAEKYAGVLYGLGLENGPETK >KZN11866 pep chromosome:ASM162521v1:1:48895912:48899416:1 gene:DCAR_004522 transcript:KZN11866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHCMVPKPDDTGSEPMEGPPLMSEEPHDRPYLAYPVETNSQSKSHTQVNLYPITLKFEEVVYKVSYEQKGTWCGGTLRTKEKTILNGVTGIVCPGEILAMLGPSGSGKTTLLTALGGRLTGKISGKISYNSHPFSGTIKRRTGFVAQDDVLYPHLTVTETLLYTALLRLPKTLTHDEKTQHVENVITELGLIRCKNSMIGGPLFRGISGGEKKRVSIGQEMLTNPSLLLLDEPTSGLDSTTAQRILTTVKRLASSGRTVITTIHQPSSRLYHMFDKLVLLSEGCPIYYGPASTALEYFLSIGFSTSMSMNPADVLLDLANGIRPESLQTIEQGETTEQEKKSVRQILMSSYENNISPRLRAELFNADVNNYNYPKKDSTKRYVKSEQWCTSWWIQFKVLLLRGLRERRYEAFNKLRIFQVLSVATLGGLLWWKTPESHIDDRIAMIFFFSVFWGFYPLYNAVFTFPQERSMLIKERSSGMYRLSSYFLARTIGDLPLELALPTAFTFIIYWMGGLKPDPITFILTLLVVLYNVLVAQSLGLAIGAILMDLKQATTLASVTTLVFLIAGGYYVRHIPPFIVWLKFLSYSYYCYKLLLGVQYNEDDMYECSKGIYCRIAEIPAVKSMGLNNLAVDVFIMALMLVGYRLVAYLALQRVR >KZN11714 pep chromosome:ASM162521v1:1:47239916:47242024:-1 gene:DCAR_004370 transcript:KZN11714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQELLSTEIVNRGIESSGPDAGSMTFSVRVRRRLPDFLQSVKLKYVRLGYHYLINHGIYLATIPVLVLVFGAEVGSLTREEMWSKIWNITALYDLATILAFFGVFVFTLSVYFMSRPRSIYLLDFACYKPQDDLKVSKHQFIELARNSGKFDEASIEFQKKILHSSGIGDETYVPKAIMSSENCATMKEGRAEASTVIFGALDELFEKTGIRPKDVGILVVNCSIFNPTPSLSAMVINHYKMRGNILSFNLGGMGCSAGIIALDLARDMLQANPNNYAVVVSTEMVGYNWYPGKDRSMLIPNCFFRMGCSAVLLSNRRRDFTRAKYRLEHIVRTHKGADDRSFRSVYQEEDDQRNKGLRISRDIVEIGAEAIKTNITTLGPLVLPVSEQLLFFATLVRKYLFKGGSGTKSESSKPYIPDYKLAFEHFCMHAASKTVLDELQRNLELSEKNMEPSRMTLYRFGNTSSSSIWYELAYLEANERVRRGDRIWQLAYGSGFKCNSAVWKSMRRIRKPSRNPWL >KZN10464 pep chromosome:ASM162521v1:1:35958607:35963453:-1 gene:DCAR_003120 transcript:KZN10464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCKVQTHVDRMAICTANFRTKLSAEKPSTTHAFDRIITTMISSFKEQPLLSEFQRIPTRIDVQKTWKNSSMKLLDAIVDTVFKFEEQSYMENQSNFAPVEEMGDKVLLNNVEGAIPCDFPEGTYVRIGPNPLFGGLKSAVSKFGRSSHIWVEGEGMIHAIYFEKNDSDGISRIYYNNKHVQTETFKMESRKNKPCFIPTVEGDSAAVLSAYLLNLLRFGKVNKIISNTNVFEHSGKYYSVAESDLPQEIDIQTLETLGEWDVGGTWNRPFTSHPKKAPGTGELVFMGFDAVKPFLELGIFSADGRTLVHRADLDYKRSSLTHEIGVTERYNVLMDFPLTVDINRLIRGGPLIRFDDKDYARIGVMPRYGDAESVQWFDVQACSAFHIINCFEDGDEVVMWACRALGSVIPGPDFGLKRFEYFSNGFKHLERNNDGEICEGLFFSRCYEWRLNIITGDVQEKNLTGTEHSMDFPMINEDYSGISNKFAYAQIINTEASSASGMGKYGGLAKLYFKEPADKKCEDLIKVEYHAFPEKTFCSGAAFVSRNKGCEEDDGWIVTYVHNENTNVSQVYIIDAKKFSEKPVAKITLPSRVPYGFHGAFMKKVASA >KZN10917 pep chromosome:ASM162521v1:1:40267734:40269566:-1 gene:DCAR_003573 transcript:KZN10917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLNTCLCLDLCLITLFISSADSSCPIDFTYVHTFPWDTSSCREPVDKSCCQTIQSLLGLGLSKHLKDTSMFYLPNSDAASSCLSDFRAKLGSMNIFPACTNTTSDLVVNPQNCAGIATTKDWVEKVGSSSELDSSCNSDLTGLTKCSSCLDAGLRVSSMLTSYNTNSTKCFYYTILYAAGMVNEYGPEDVRTAACIFGLPLSRSASTNNSGLSRRSILKIVFGSLGAFIGVLAAWGVIILYRKREIERKQDALHEEYVRGVKAKVLPNTGAKWFHVGELEQATGGFSQRNLIGQGGYGIVYKGVLLDGTVVAVKQLLDMDTNGDDVEFTNEAEIISKIRHRNLLALRGFCVTSDAIKVLITDWAWDHVKSGDVEEIFDPIVREDGPKAVMERFVHVGILCAHVMVALRPTISDALKMLEGDIDIPRLPERPLPLGHESFRSSLHSNTSPFAMSSGIRSSIS >KZN12021 pep chromosome:ASM162521v1:1:50363367:50367115:1 gene:DCAR_004677 transcript:KZN12021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNLGPMAFMIGVSIPVLKFLLCFVATIPVSFIWRLVPGGPFGKNLYAALTGVVLSYFSFGLSSNLHFLVLMAVGYGSMVLYRQRCGLVAFVLAMAYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEDLREAQKKNRLLRLPSLTEYMGYCLCCGSHFAGPVFEIKDYLEWTERKGFWTPSDKAISPSPYWPALRAILQAAICMVLYLYLVPRFPLSRFTEPVYQEWGFWKRMGYQYMVGFTTRWKYYFIWSISESSIIISGLGFSGWTDTLPPKPRWDRAKNVDILGVELAKSSVQVPLVWNIQVSAWLRHYVYERLVQKGKKPGFFQLLATQTVSAVWHGLYPGYFIFFSQTALMIAGSRVIYRWQQSVPPKMAMAKNILVFMNFLYTILVLNCSCVGFIVLSLHETLAAYKSVYFIATIVPITFVVLGKIIKPANPARKKAQKEE >KZN10326 pep chromosome:ASM162521v1:1:34585695:34590294:-1 gene:DCAR_002982 transcript:KZN10326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFRSKSCTTTANNHDLGSASMSHTPSHCVTNEDDEDEDEEEFEYFFENPITTPFIGPNHHHYENEEEQRSPNNNQLPQIFSSVASALRKSLLVTCSAVETAQDDFSSSSSSMEIGCPTDARHVSHVTFDKFNGCFLGLPLELQPQLPGKVPSASVSVFGVSANSMQCSYDQSGNSVPTILLMMQKRLYSEGGLQAEGIFRINAENTEEENVRNHLNKGVVPRGIDVHCLAGLIKAWFRELPAGVLDSLTAEQVMHCNTEDECTQLIARLPPTEASLLDWAINLMADVVQYEILNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNLLKTLIMKTLRQRGDYNTIVGLLSSCGDSPSKNVEGRLSSSNRSATECDHKETGDTNMLQSSTIGRLESEREERLWTFNCKDGEESDYGPSILATKTPVTCSSKDRKCNNSPEGYDNRGTEGILSKLSFTRGVRRLYRHPLFQLNKAVKKSGTIGFVDTTGDGEKVWT >KZN08247 pep chromosome:ASM162521v1:1:4251315:4256061:-1 gene:DCAR_001312 transcript:KZN08247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENSRARHDMPLRRTRSKVYKSIASKKLVSLEFLCEYWNEEPAEPLDCEGNTLLHLLVISNSENALRKLMDDGDVSQAHLTKQNLRGETALHEAARHDPMCNCEECAEKGNLASVPNNLGETALFLAAACGKLDIFKLILKYGNDDCTTQRKDGCTVLHAAIMGEHYCIPHMYTLESVRKGDEEDSDRRWKAEILEKERNPFVNLLLSKVLIPLLEEIDDKKRKKFLAVALAKQLLEEEVDWSRYTYSSPKDQDQNKTPNKVHSNPLMRAIEMGIPELVEEILRYIPGAANSIDKDGRNVFHYAAEHRAGDIYEKLKVSVVNKDRMLSDVDYKGNTILHYATKIMLSSSTNLSIGIANLLTWEVFWFQRIRHDCPPHLFHMRNNDGCTAEDLLLKYYNNKREAVVKEVKEMNQGVMVVAALIATVSFAAVFTIPGGFDQNNGTPLYYKQKDHHYHELNQFFDAVWFTFFASVTSLGTLLTTQLSRFHWEDLYLVLPLRYLIALLTLFLSAGSIALTFHRALILMGYLTLKIFRALLIIFSISGLFYVDPTCYVFSYIVEVLRHSKPYKSVRT >KZN10328 pep chromosome:ASM162521v1:1:34618539:34627190:1 gene:DCAR_002984 transcript:KZN10328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKTIPSDDPTNANATTITHSPLPSSASKPTPARTPARSSNTNLWPSPFPQDGQSPLPVGVSPSPARSTPRRFFKRPFPPPSPAKHIKALIDKRFGKPKEGPIPEDGGLVVSDSDQQLDKNFGFNKNFGGKYELGKEIGRGHFGHTCHAKGKKGDLRDHPLAVKIISKSKMTTAISIEDVRREVKILKALSGHKNLVKYHDACEDTNNVYIVMELCEGGELLDRILSRGGKYTEEDAKLIVVQILSVVAFCHLQGVVHRDLKPENFLFSSRNEDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSVEADIWSIGVITYILLCGSRPFWARTESGIFRAVLRADPNFDDMPWPSVSPEAKDFVKRLLNKDYRKRMTAAQALTHPWLRSESHPIPLDILMYKLVKSYLHATPFKRAALKALSKALTEDDLIYLRAQFMLMEPNKDGRVSLDNFRTALLRNATDAMKESRVPDILNSMAPLSYRKMDFEEFCAAAISTYQLEAREEWQHIASTAFEHFEQEGNRAISVEELVRELNVPPTAQSALKEWIRGDGKLTLLGYTRFLHGVTLRSSNTRHQ >KZN11028 pep chromosome:ASM162521v1:1:41158200:41164297:1 gene:DCAR_003684 transcript:KZN11028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGEQDSTDLEKMQGGISSRKEKILVLVRFRPLSDEEIARNEVSDWECINETSILFRNSLHERSSSPTAYTYDRIFGGDCSTRQVYDAGAKEIALSVVSGINSSIFAYGQTSSGKTHTMLGITEYTVSEIYDYIQRHEERVFVLKFSALEIYNEDVRDLLSTNSIPLRLLDDPEKGTVVEKLTEEILRDQKHLKELLSVCEARRQMEETSLNETSSRSHQILKLTIDSSACEFVGKGKSATLSASVNFIDLAGSERASQALSVGHRLKEGCHINRSLLTLSTVIHKLSKGRRGHVNYRDSKLTRILQPCLGGNARTAIICTLSPALSNVEQSRNTLLFANCAKEVSTNAKVNVVMSDKALVRHLQKELVRLEGALRTPAPTFASDHTVLLRMKDLHIEKLEREMKELAVQRDLAQAKLEDALCEIQNARSSRQDKRKNEDPHHSSDDEEDLSDGTSSSISNRRKFLRSGQFQGQEGLALGSEEDPNSICKEVCCIEMEESSKDETADHLILSARQDNVRVSESVSGYGELEDEEIMSSSPSEVSHPQNSYNYGSLKWRVQNVQKTTNTLVGDFPDEPSPWSLSTDMSNSGCLRSPRSSIWRPNLINGSSSPSLEMLQPNEKTPPKQSAKFFTGRPHSKRRIPALNYNDDTARLARNGYQSSIGSSLLDDLDGQKNKGPGDEGIPSIDTFVAGMKEMAEIQYENIENNAADNQVRETNLKVETVENNVRDVGLDPMQEVIRTLSDWPSEFERLQRSILELWQACNVSLVHRTYFILLFRGDPMDSIYMEVELRRLFFIKEAFSREGPEVDNGIKLKAWFLLYSMRALRHEQETLSKLVSKRFSEEQRKVIYEKWDISLDSKRRRQQLIQRLWSDTKDMKHIMESAAIIARLVRFSEQERPPKEMFGLSFTPPQMSRRSFGWKPSMKSKRQGVN >KZN09711 pep chromosome:ASM162521v1:1:28691322:28699443:-1 gene:DCAR_002367 transcript:KZN09711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVASAPRWTTNAQHQHTAEYLIGGFIGEISFPINSEFWQQLLLLPFDHNWPSNRYHEACLIFAQNNCFTRHLAKILIHLAWCLQALVGTSAVQSEALTKAVNALHVASVFLKYLIEIAKGNTYEELYLSVDDSEALPDSFPRDQSIVQYVMNSVLNCIGSVDVSSDTYLLHHGLLDFMLVAMSTQLLSGPSPGPDDSHPFTDAAMIQEKAVINLVVRRLLLNYMARPQFPYTTASYAIFTEGNQPGVLQRVGSAAANLVLLPLSFIVSASSETSRSLLAESSLNILLILIHFRKCCLPEPGKFKFDDMAKSDYLLKEETYFSDNPYCKALENARDVELDRTDIERNAHGGPSIPFASLFDTLAMSLADEGAVLILYSLIHGNSGFLEYVLVRTDLETMLIPLLETLYDTANRRSNQIYMVLIILLILSQDSSFNTSIHKLILPTVSWYKERLLSQTSVGSLMVIILVRTLKYNQSKLRDVYLHTNCLATLANMAPHVHHLSAYASQQLVSLFDMLLRRYTKVADLKNDKLQFSSSELKDGDSLLDDMQSSELHIYTDFLRIVLEILNVILTYALPRNPEVVYAILQRQDIFLPFKSHPRFNELLENIFTVLDFFNSRIDAQKLEGEWSVEKILKVIIFNCRSWRGEGIKMFTQLRFTYEQESHPEEFFIPYVWQLVMTRSGYSFNSKSINLFPVEIPVEPSYVSQDADKGENSIYDSEVRLDQVI >KZN11360 pep chromosome:ASM162521v1:1:43950046:43964701:1 gene:DCAR_004016 transcript:KZN11360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIYLGPPLCRVLQHSPFPTSLSIQFHRFHPMSSSSARLSHCACCSNATPHLNNYQPSLLVFSGGTAFNGVVEELKQLTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTLEALAVRTLLGYRLPHDARTAKSEWYSIVEGHHSLWEGVSKPYREVIRVLLAYFQDQILRHSDMSFCFSNGSIGNFFFAGARIFFGSLDAAIFLFSRVSKIPTESLVLPVILTNDRLTLGCELQDGTIIRGQNEISHPTKASMEPVDKRGSSVPALPSRIKRVFYMSSEGCSSSHKVFPNVNPAVLDQLRSVDCIIYAMGSLFTSICPSLVLLGVGEIISSRSCPKVLLLNSLADRETSGFSASCFVTAITDALNRTCESLHNCLDNLPSDYINTLVVPKGGQIPLDSQHLAAQGIFEVITVDTIIDPKVGIIFDPRSLIQALDGLVRKSERSVMVE >KZN09163 pep chromosome:ASM162521v1:1:21117475:21123838:1 gene:DCAR_001819 transcript:KZN09163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSLSSFNLPSLNPKPKIPSLTAATHFPNYIIKASSSPNPNLSTDPPSKRSFAEATGDFFLGFASRIIKNRIEGKGDYGGDDVKMFGYDDDDFEPQNVLLRGGKEGIAAVLEDPLQPEVVWEQRLKDVEAERLRKTVSSPGFSFSAAGLLFPYHLGVAKLLIEKGYIKDDTPLAGSSAGAIVCAVIASGSSMEEALVATKVLAEDCRLRGTAFRLGAILREVLVNFLPEDVHIRSNGRVRVAVTQILWKPIGLLVDQFDSKEDLINAVVTSSFIPGYLAPRPATMFRNRLCIDGGLTLFMPPTSASKTVRVCAFPISRLGLQGIGISPDCNPENRASPRQLFNWALEPAEDSILDKLFELGYLDAAVWAEQNPVEMLVEENTPLHSI >KZN12085 pep chromosome:ASM162521v1:1:50864834:50866952:1 gene:DCAR_004741 transcript:KZN12085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKTPVRSTRSPTKFAAVKLLILAFSIAAILFITLSSSPSSSLPDLPLGIGYDTYGEVQLIHRSVLALKSDPLKPKLDQIRKQADDHRSLVLAYASYARKLKLENSKLVRIFADLARNYTDLVTRPAYLALHSSKSSLIDESMLRQFEKEVKERIKVTRQVITEAKESFDNQLKIQKLKDTIFAQNEQLTKAKKQGAFSSLIAAKSIPKSLHCVTMRLMEERIANPDKYSDEGKETPPVVEDPNLYHYAIFSDNVIAASVVVNSAVKNTKDPSQHVFHVVTDKMNLGAMQVMFKMRNYNGAHVEVKAVEDYKFLNSSYVPVLRQLESANLQQFYFEAKLENATKDTTNMKFRNPKYLSILNHIRFYLPELYPKLHRILFLDDDIIVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKKNFSPKACAWAYGMNFFDLDAWRKEKCTEQYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSINMDEIRNAAVVHFNGNMKPWLDIAMNQFRSIWTKYVDYDNEFIQSCNFGL >KZN08655 pep chromosome:ASM162521v1:1:13103836:13105002:1 gene:DCAR_001185 transcript:KZN08655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLLRDLDHTRSDWKIRVRVTRMWTPKEATNNPFSKNLILLDREDSHLEAFVVSEAWDVLQDMMFEGQVYDIYSFVCCDSTGKLRPVSSNISIVLTSQTVVLPAPNEVSTIPRHKFEITELSQLYSLTRSYPLDVIPSHAIVEPILILASMRSIFIQGRLELISTGSTCIYVNPDYEEMRRVRLRLLDQW >KZN08263 pep chromosome:ASM162521v1:1:4468711:4469097:1 gene:DCAR_001328 transcript:KZN08263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEKNLLNENLAWREYAISCEIKVASLSNKLEAAMAEVSRLQGLLPPNDTLAAPAEAQNVASFAHTNVSEKNTNAQGEAKVVAVQDAQETEAEKIKTRAAEKGEGSGEKKKCMLCGEFEMSVLAXVW >KZN08957 pep chromosome:ASM162521v1:1:18135958:18150258:1 gene:DCAR_001613 transcript:KZN08957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDDEGEPVLHSVTDYNFVDGSDDPVSFSELPVQWSDGERTGGKQKQIFMHGSIDNGLQKIYKQAEAWKFELSNMKPEISLLISKENNWMKLEKPRKSHENIFRTILITLQSLHFLRRNPEASGRALCEHLCKVFRFEPGPCENDLVDHASLISEAVKRDEGLAKAKILTTFLDKDPKKWNFFDKDAEASTTTKPAFIVDDDIIDETEEAESDDEEQGDKVCAICDNGGDILCCEGKCLRSFHATEEAGLDSDCVSLGFSDEQVEAIQNFYCKNCRYKCHQCFSCGKLGSSDDKSSDCEVFRCVSGECGRFYHPHCVSKLLHPSREFEAKELEGKISSGASFTCPFHKCCVCKQTETEGDPELRFAMCRRCPKSYHKKCLPREIVFDGSDDADDVLPRAWEKLMPKKRILIYCLEHEIDEELATPLRNHIKFPNVRKQASEMVSSKEKVPMKKKDLVVGDDSRKRIVKPTIGRDKVCSAAKQGVPLRKGVGKVTEVASSRKTKVKDLSRKPLSKTSSMVKSTQNKRKPSLGLSLFSLMNPGPEPSEDNAAADGEHGRISTVKSVASEASGLPPLEADSESRILALMKDATSSITLSEITEKHKVPTTHAYSSKHAVDRTITMGKVEGSVEALREAVKKLEEGGSIEDAKAVCGPGLLNEMMKWKSKLRVYLAPFLHGMRYTSFGRHFTKIDKLEKVVDKLHWYAEDGDMIVDFCCGANDFSCLMKKKLEDAGKKCYFKNYDVMQPKNDFNFEKRDWMTVDPNELPSGSKLIMGLNPPFGVKSALANKFIDKALKFRPKLIALIVPPETERLDTKDPPYDLVFEDSELLAGKSFYLPGSVDVNDKQMDQWNAKPPPLSFWSRKDWTDKHHVIAKKYGDLHTRQEILKRDDTFKDLYTHEYPPEDKRLSKDIPMQSYTTEKAEQRKETATSVAESHKEGLLSNLGGSGCEINDRRKNQFDEKSIKKCGDENQRLGSGELLQEQKWRRGSDATSLEDKQKKRGSSGVLVEDKQKLKASGEMLQEDKKKIGGSGESSLEKLRQRGPVEVFSEDRNKIGESVKRSVELRKTRTDEISMDKKRKGSHDISPDGKNKRREINEVEVRHDRRGSHEISPDDKKIKGSYEMSPEVRQKVIGSRELSPIGMQNSSFLRRQYQPLETTSLAGIQPEKYQHFDVRVPGSQQFGARYDGVREDAMPYRYEAIHDNHYQSSLYENRISEAQFPGYQREGIDYHGYMPHIENPPCQRSGYFGSHDPRITTPYENFGPATNLSYNRNTTSATQRYAPRLDELNQPRANNIGPDPAFPTGGVYDPRAPRQYLDPNGYPPGPYFRQ >KZN10205 pep chromosome:ASM162521v1:1:33513359:33515523:1 gene:DCAR_002861 transcript:KZN10205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHAGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNVYKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAQGPGEKAPQEPAAAAAPAQSQPSKKSKK >KZN10390 pep chromosome:ASM162521v1:1:35254237:35259430:-1 gene:DCAR_003046 transcript:KZN10390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCFSSTTLFRCKPTHENTTSCRFRAASDVPDFLSANWLESRRKRPFGPRLSFSAEEAVGHQLDALMFNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSKYRVLLGHRERKILSSLQVEENLYKQRVWILGARPEEEETFQFTMVQNDCGEFVTEDRVRKNQKQQRRDWEIDQLRCLAQ >KZN11196 pep chromosome:ASM162521v1:1:42484812:42485198:1 gene:DCAR_003852 transcript:KZN11196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHYTCATAVLILLFILSVQSAGRELRPSDHGLTYQNTSTVTESPDATSFFEGTKSKVPLPEAKNLTDVTWRRSDGARSGSGGGRVNTAWLVASLVCGVTGIALLSVAAFVFIFRFQMQSKQSPSSN >KZN10577 pep chromosome:ASM162521v1:1:37175045:37176916:-1 gene:DCAR_003233 transcript:KZN10577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEMGHSVQCQLKRINDHPPGLSSNLIEDTQCLLFDNNVEFEYIHQSCHEATTIAIHVTGDNLLNFCFPSIPLSLRTVVLDGHLSFTDNEFAAKDFGDQYRYSPLAVLHPKSVSDISTIIKHVWQMGPYTELKIAARGRGHSLHGQSQANRGIVISMESLWRQKMQFHIGKTCYVDVSGGALWINILHESLKYGLTPKSWTDYLHLSVGGTLSNAGISGQAFRHGPQINNVHQLEVVTGKGEVVNCSESQNTDLFYGVLGGLGQFGVITRARISLQPAPHKVKWIRVLYSDFSTFSKDQEYLISVEKTFDYIEGLVIKNKTNLMNDWRSNFTPQDSVRASQFISEGKLLFCLELAKNFNPEETESTNKVRLCHIELYKKMEMVF >KZN11763 pep chromosome:ASM162521v1:1:47926158:47927669:1 gene:DCAR_004419 transcript:KZN11763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLVDSTTDDLQKNKKMFLDILDRSTYALEYDRLSSTLHSSSVTSSYGYEFQGRNRNGEGELSSPQMNHLHSIIKRLSITGCHEACIEAYRNSRKSVVDARCRRFCTVKRTTDDLQRFECEEFATEIRIWIDTANICYNNIFPEERNYYEQIFYGVGSVTDDNCFLAIIKPVAIQLNNFADAASYISSFQKLFAVLDLYKALFSILPKIQGTFNSASCRIISEAALKTIDSLANQVRKLFCSFEDTVFNEQSKTLPAEGTIHSMTTYAMKFVTSISVYKEVLTNVIVSRPTKSLGTQAYDQFLEASRGTPLELHVIWIIISLKINLEGKSSLYGDSSLRQVFIMNNVNRIFKTITGSPELLKMIQEEYLSELSKEVVQAAEDYSTSVSNKFLYCLRDDGLNFKYSFSNWIVKNSVKKRIKAFNTTFEEASRIQSRMPVLDVDIPFHLHELILSKLLPAYKLFLQKYGSLLQSERNKDRYIKYTLEDLEHTVKTYFQHAPEC >KZN08020 pep chromosome:ASM162521v1:1:1495482:1501625:-1 gene:DCAR_000689 transcript:KZN08020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFKTPVLSVLFAVFFAVLIASADADASSTEEEVEVVRSDGADASAAMRIELEQLQYKIQSLESHVDLKIQEIKSKDDKIFEKENIIKEKSDTIASLRSEIASVQKKGTSDVEEQLAKANARSADLEKEVENLKNEMELRAQEKYELESRILEAENKVLELNTKLQSLQKNIDEEKVKIKKTERALQVAEEELMKAKHEATSKIKELTEVHGAWLPPWLAVHVFTCQSYVETQWNMHGKPAMQLLVEKAKVKKGQVEKWAEPHVETVKTNWIPSIKEKWFVVKTTAEPHVQSFTTKFIEVYESSKTAATPHVTKIYEVVDSYYQEVKKASKPHIEQVASVAKPHVDKVNNILEPYTKEAFHAYGKFLEYATVYHQEVQDTVQESLRKHELTRAIATKELVWFAASALLALPIIILFRIFSVIFRGQETKRRSLTSIYWEERVVVV >KZN09323 pep chromosome:ASM162521v1:1:23226036:23229004:1 gene:DCAR_001979 transcript:KZN09323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISVHIGQAGIQVGNSCWELYCLEHGIQPDGNMPSDNTVGVEHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEIRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFIVSNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRKSLDIERPTYNNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQAPAVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDEDDGEDY >KZN08782 pep chromosome:ASM162521v1:1:15336606:15336943:-1 gene:DCAR_001438 transcript:KZN08782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSFQNTPQTLNFDEPTVHANSLHNLEEAEYARVGGVKDDGFDLYEHDEVIDDEDC >KZN08588 pep chromosome:ASM162521v1:1:11236468:11236668:-1 gene:DCAR_001118 transcript:KZN08588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTPGDLFVAMGRKWWCEDEFSYSPESITTMLDEWTWRVRTLEVCRERCALAGISIPKQKARTMP >KZN10637 pep chromosome:ASM162521v1:1:37810241:37811518:-1 gene:DCAR_003293 transcript:KZN10637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSWWWGKDGGYRFLINGCKIGYRYQQRCSLVNIKFKWVKDSVLDAVVAGGRELKAACILVSIICSDHRCCLPVYHLSSRRGQLGLPHDLKISTFTRRYPTMFEEFYENDSGGTRVPWFRVTPEALNLHKEELLVFQQYQNDLLNRLRKLLMLTKNRTLPLQTIDQLKWDLGLPYNYLNSLIRHHPEHFSLVSLPDGRAGLRLLSWDDNLAISHLEKSSDFQTQEGVRNENLAFPVAFTRGFGLKRKCMKWLEEWQRLPYTSPYCDSSHLDPRTDVSEKRNVGVFHELLHLTLQKKTERRNVSNLRKALFLPQKFTKVFERHPGIFYISKKSDTQTVVLREAYERQKLIQKHPLVDIREKYVSLMKEGVLKRSTGMYKKKRDEYLDQMRNVCGDDFVDNRYTSEGESDHNPLSEYDSDEAPQNS >KZN11547 pep chromosome:ASM162521v1:1:45637562:45639648:-1 gene:DCAR_004203 transcript:KZN11547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKIKGIYKGFRYITQIFVVKEREMEIGFPTDVKHVAHIGWDGQSGSSAPSWMNEFKTGPDFASTSVGNSGSAFSPWSSQDFGERLGRQTASDMFTDIPPTDMPNIPKKQKHKKQKSTSSPKSSSSSTRSSRAAKVKAKFLEGNVKASTSIEVA >KZN09173 pep chromosome:ASM162521v1:1:21249595:21252849:-1 gene:DCAR_001829 transcript:KZN09173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFHQHNRILIINLIFAYMAASSIDVSGSGMFVSGITQNDPGTITGQVSTDMIGNSKRRRGPNVETLLSDNVLKKAHSQDKENHVPVHGTYTIMRDISNRDLNPNLGSDNLQLRLNVNAPPVVKKRKGRGFSTEKRIQMREKETPHGQTHENHSSAINTDKRRQSIHTPSLRPSSSYSSVLTDISGKEVLRDCCTSPD >KZN12090 pep chromosome:ASM162521v1:1:50915715:50917545:-1 gene:DCAR_004746 transcript:KZN12090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVQYFKYGHDDLKHVEVPIPSPKKDEILIKVEAVGINPIDFKIQHGFLRPIAPKKFPHIPGSDIAGEVVDVGFTVTKFKKGDKVVAMLGTTTGGGLAEYAVAKQEVTVLRPLELSAGECAALGTPGLTAYQCLITAGVVLKKDGPPMNILITAASGGVGHYAVQLAKLGNTHVTVTCGSRNIDFVKSLGADVALDYRTPDGKALVGPSARRYDAVVHCTSGISWSTFENVLTSNGIVVDITPSPKSITTSVVKKLSCSKKTLHPLFVSHKAEDLQYLINLMLEGKLKTVVDSKYNFSKTQEAWAKSMEGHAVGKIIVEM >KZN11297 pep chromosome:ASM162521v1:1:43507360:43509369:-1 gene:DCAR_003953 transcript:KZN11297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGAKKRKIAKKKKSLQASSSNPNSSVQSQGSDDVQHYDDKGSDTGSPASQEHCDSEHPLAEGDHKDVEKRAGGSSDQLAVSEQSSQEVGVGSGDNVATEENIIQIDWELKSEEDYGSRDGSIESKEVHTGGSLSGSSGSSDDSSHSCSDDDSRVENKREVVEAGHAVDALWEAANQVGTTISAEEHSDAVREPACVKIPNKSSMIKEVVQIDKSPIGDYPAKPVDNLLEVQEVGFILEGRENADKNIPSSNNSTGISPVLMDLVLRKDDDKEVIRTEEKPAVPANVSNFVVEGKDAKLRVSVDAPTVHASKDAGHIKASDSPECSESKALVASAPQTMQKTSLKSCCGIFELFTSSDK >KZN09326 pep chromosome:ASM162521v1:1:23249115:23259662:-1 gene:DCAR_001982 transcript:KZN09326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASNLNPMQQAQVAAILGPDGAQFETLISHLMSSTNEQRSEAELLFNLCKQSDPNNLIRKLAFMLQYSPHVEARAMSAILLRKQLTRENDTFLWPSLLLSTQSEVKSILINCVQKEEVKSIVKKLCDTVSELASSILSENGWPELLPFMFQCVSSENVRLQESAFLIFAQLAQYIGEMLVPYVKSLHDVFLQCLTSSGSFDVRIAALSAVINFIQCLSTSDRDRFQDLLPVMMRTLTEALNGGQEATAQEALELLIELAGTEPRFLRRQLVEVVGSMLQIAEAETLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFSILMNMLLDIEDVPEWHTADTEDEDAGESSNYSVGQECLDRLAIALGGNTIVPVASDQLPAYLAAPDWQKHHAALIALAQIAEGCSKVMIKNLEQVVTMVLNSFQDPHPRVRWAAINAIGQLSTDLGPDLQVQYHQRVLPSLAAAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGSLTALASVADSSQEQFQKYYDAVMPYLKTILVNANDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQAWARLCKCLGQDFLPYMSVVMPPLLLSAQLKPDVVITSADSDDAIDESDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLALEKGLAQGRNESYLKQLSDYIIPALVEALHKEPDTEICASMLDALNECVQISGSILDESQVKSIVDEIKQVITASSSRKKERADRANAEDFDAEEGELLKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLLPMWGMDKTAEERRIAICIFDDVAEQCREAALKYYETYLPFLLEASNDQNPDVRQAAVYGLGVCAEFGGSVFKPLVGEALSRLNAVIAHPNALQSDNIMAYDNAVSALGKICHFHRDTIDSTQVVPAWLSCLPLKGDLIEAKVVHDQLCSMVERSDKELLGPNYQHIPKIVSVFAEILCAGKDLASEQTASRMINLLRQFQQTLPADTLSVIWSSLQPQQQLTLQTMLSS >KZN11015 pep chromosome:ASM162521v1:1:41085230:41085861:-1 gene:DCAR_003671 transcript:KZN11015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSADVEYRCFVGGLAWATTDRSLEDAFSQYGDVVDSKIINDRETGRSRGFGFVTFKDEKAMNDAIEGMNGKELEGRNITVNEAQSRGGGGGGRREGGYGGGGGGYGGGRREGGGGYGGGGGYGGGGGGSRYSNRGGNDGGW >KZN08442 pep chromosome:ASM162521v1:1:6877063:6878831:1 gene:DCAR_000988 transcript:KZN08442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTWITLVAVVLACLLWTWLKKRTQRNLPPGPKSLPIIGHLHMLGKNPHQDLQKLAEKHGPIMSMRFGFVPNIIVSSPDAAKQFLKTHDLIFASRPSLEAAKHISYEQRNLSFSTYGPYWRNMRKLCTLKLLSNLKINSFRAMREKEVGALVIILEHAAQEHAAVDISTKITSMTSDISCQMVFGKKFEDMEFDERGFKGVIHEGMIIAVAFNLGDYFPYIGALDLQGLTRKMKAIAKVWDQFLEKIVDEHDQPKEYGQTKDFVDTMLGILKSGNSEFEFDRTHVKAILMDMFAASADTSSTTIEWTLSELLRHPRVMKKVQQELEEVVGLDKMVKESDLESLEYLNMVVKEAMRLHPVAPLLLPHLSVEDCMVEGFFIPKNSRVVVNVYAIGRDPKVWTDAEKFLPERFLGNNIDLRGRDFELLPFGSGRRGCPGMQLGLTMVRLVVAQLLHCFNWDLPNGMQLSELDMTEEFGILVGRATHLIAIPTCRLKT >KZN10755 pep chromosome:ASM162521v1:1:38659680:38667462:1 gene:DCAR_003411 transcript:KZN10755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSAADSEKLQKLQSAVSGLTQISENEKSGFINLVSRYLSGEAQHVDWSKIQTPTDEIVVPYDSMPPVPTDSAEVQQLLEKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVTQIEIVEKYKNSKIEIHTFNQSQFPRLVIEDFMPLPCKGNSGKDGWYPPGHGDVFSALMNSGKLDALLSKGKEYVFVANSDNLGAIVDLKILNHLVKNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVQENALKMEIIPNPKEVDGVKVLQLETAAGAAIKFFNQAIGSNVPRSRFLPVKASSDLLLVQSDLYTLADGLVTRNSARANPANPAIELGPEFKKVADFLKRFKSIPSIVELDSLKVSGDVWFGTGVTLKGEV >KZN09390 pep chromosome:ASM162521v1:1:24496159:24502822:-1 gene:DCAR_002046 transcript:KZN09390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEADDFGFSAADFKPPFSISLTPFPPCSSPAPRRLSSSFIPPSEPVRAAKQLSWLSLQGRLVGAEEATSAKAIGGGLGVEEAMAWEMFSPVQRVLVVAVIAVAVKNAKKDREILRLKNSVQLRDQVLSAMQEELDSLCEQVNYFKDKSDDMCQQSSCEPFSGSIKYGGCGCQPCNFHQLPCDDMMGSAIGEFSKWEDTFKYQPCQQEERRMSNLSDWAPSVNSTIDIQLQLDNEQDIYNLRKECEEKDTAIKELSLSLDSSKLLSSKRISELEDIIRRKNMLITKLKKDKTILEQKVLRLTMLTSSRRARQLPLLNDNLLYDMANTTSPSSSDSDSPSRVKLQACDPRSQISKAQSSYYSSIGDQNSGKVCSPNISLKSADKHRSPQSASQEILVKKSSCSSMGDQKARQAKTSTLLRRSTGRHQLGSPLKEKSLNQNLDPAPITRSKAVVSGEHLKSQRRPPTKSNNAVPRMR >KZN08236 pep chromosome:ASM162521v1:1:4204152:4204901:1 gene:DCAR_001301 transcript:KZN08236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEILSTELIKPYTSTPLSLRHYNISLVDELSPAMNVPTILYYPAEENVTTNSICMHLKKSLSMALARFYPLAGRYMKESFMVDCSDQGAEFVQAQVDIRLDQLIGLGKNVQAELLNCLLPRPVGACDEDTDPLVAVQVSAFACGGYAMGILSSHSIADMSTTSSFVMEWAREAKRLLEGLDHDQDHELSVSPSWNSALLFPGCKLPGLPLGFSRDKENVEDHKIVTKIFSFSNSAILKIREKARLDS >KZN08739 pep chromosome:ASM162521v1:1:14737583:14748268:1 gene:DCAR_001395 transcript:KZN08739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLIDGEANKATNDERYDFDLFVIGAGSGGVRASRFSTQFGAKKVGMCELPFHPVSSEVLGGVGGTCVLRGCVPKKICVYGASFGPELEDARSFGWELNENVDFNWKKLLQKKTEEIVRLNGIYKRMLANAGVKLFEGEGKVVGPNEVEVTQLDGTKLSYSTKHILIATGSRAQRPPIPGQELGITSDEALSLEELPKSVVILGGGYIAVEFASIWRGMGATVNLCFRKELPLRGFDDEMRAVVARNLEGRGIHVHAQTTLSELVKTDNGIKVRTDHGEEIMADAVLFATGRAPNTKRLNLDAVGVEIDEVGAIKVDEYSRTTIPSIWAIGDVTNRMNLTPVALMEGSLFAKTVFGGEPSKPDYNNIPYAVFCIPPLSVVGLSEEQAVEQAKGDIHVYTSSFNPMKNTISGRQEKTVMKLVVDGATDKVLGASMCGPDAPEIIQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSVTRTISCGKPRTNL >KZN09675 pep chromosome:ASM162521v1:1:28367642:28368167:1 gene:DCAR_002331 transcript:KZN09675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNAGIMRSSQGNQMILDFDVKASDRIFAINARGMTGCVKHAAIAMVKGGVKGSIVCTGSLLATTGREHFIDYVMSKHAVIGFGSVCQQRAGRAWDSRVDECEEFFARNSILKGCGVLKAGDVANAVLFLASQDSQFVTGQNIAVDVGTKLV >KZN11085 pep chromosome:ASM162521v1:1:41612043:41613186:1 gene:DCAR_003741 transcript:KZN11085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPFSLASPAHTKQIRSPPTAQASSAFKTFGNNEQRFNKKAANEQLQVNVEKTSMSLLGRREAIGLGLSFTALVDFLGQNAAVAAEATAPCEFTTTPSGLAFCDKVVGFGPEASKGQLIKAHYTGKLENGKVFDSSYNRGKPLTFRIGVGEVIKGWDQGILGGDGVPPMLAGGKRTLKLPPQLGYGMRGAGCKGGSCIIPPDSVLLFDVEFIGKA >KZN07906 pep chromosome:ASM162521v1:1:584423:585687:-1 gene:DCAR_000575 transcript:KZN07906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPGVEEAVVPGDRCVLLVLESSRIEIAVLLNDLAYLKFEASESSLADNNVVSKERNLCVVFSLVEIIVKMIAGVAEDEGNVINESTFIKIINGLNETTGVILEYIQDAKVYGQRKRDDLLASVRVIGSYLAEPPDACKDKAGISFRSQPCCNFRTRGCGYGTSCRYSHEFRGIDQGELVVKEIGLGYNNVCKWYSSGKPARMAIGRFPHEDAKNGVDEFRKSHAISIAGYNRSSLKQDNRFGGSSFGAKQDNRPVDVSLRANQAHQRQMSWKTRLCNKWETVNECLYGSK >KZN10624 pep chromosome:ASM162521v1:1:37708792:37714537:1 gene:DCAR_003280 transcript:KZN10624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTANNFSGQGRSGAPNDALFKELWHACAGPLVTVPREGERVYYFLQGHMEQLEASTHQVSDQKLPLFDLPAKILCKVVNVQLRAEPESDEVYAQITLLPEKDQGEVDSPDPPLPEPTKCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGRQPRRHLLTTGWSVFVSAKKGESGELRVGVRRHMRQLNNMPSSVISSHSMHLGVLATASHAIATGTFFSVFYKPRTSRSEFIVTLNKYLEARSQKLSVGMRFKMRFEGEEVPERRFSGTIVGVGDNTTSRWNDSEWRSLKVQWDEPSSILRPDRVSPWELEPLVATTPSNSQPVARNKRPRPPTLPAQMPDLSALGMWKPPAEQPPTFGGYSDSPRGPDLFLSPKFSSNTKDSSISYTDNGPMSLASSKSMHWSKQAEAGKGSFASLLSKDTGETRQGNGYRLFGIELLDHNTEKSSPMVVSGAAVEDQSIPSLDAESDQQSVPSDVDLLDHPTICCDPEKSCLRTPQESHIKQTRSCTKVLLLF >KZN10763 pep chromosome:ASM162521v1:1:38714611:38716530:1 gene:DCAR_003419 transcript:KZN10763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLPAICLSTNITATSTPHKPTQTPTNLLKSLSKSGKLDEALHLIESNPTSLSYPNVDAYSSFLHSCISKRSLHHGQRLYLQLLLSKNKTHQNLLQNPIIKSKLITLYTVCGEIDEARRVFDDGKSRDEAVWVAMAIGYSRKGLFRESLELYCDMLWQCVWPSNFGFSTALKACSELGEVGVGRMVHAQVVKAGDEVDQVVFNSLLRLYNQCGCFEDVVKLFDEMPQRNVVTWNSLLDGLVQRGQLFEAFKLFRKMQVEGTGFSWVTLTTVLSACAKVTALCSGKEIHAQIVKSWGKPDVLVLNSLVDMYAKCGEMDYCSRVFDLMGSKDVTTYNTVLKGYTISGCMTEAIKLFEEMIYSGYYPDEVTFIALLSGCSHAGFADEGRMFFDKMSVEFGIKPNLEHYACLVDLLGRSGKIKEALEVVKKMPMKPGSSIWGSLLNSCRLYGNVSLAELVAAKLFELEPSNPGNYVMLSNIYAKAMMWDGVENVRKSMENEGLQKEPGCSWMQIKHKVHTFVASGSSAFRDTEEFKKVWNDLTDAMERIGYVPDTRSVLHDVNEEMKTEWVCGHSERLATMFGLIHTGSEMPIRITKNLRVCLDCHIWMKYVSKVTNRKIILRDTNRFHQFKHGTCSCKDYW >KZN11513 pep chromosome:ASM162521v1:1:45319469:45323999:1 gene:DCAR_004169 transcript:KZN11513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNPGSRMLSAQHVADAFVTQYYHILRVSPESVHKFYKESSTLGRPGSDGMMSISTTMKEINDAVISSAYKACDPITEAVHAQDSIMGSVIVGVIGTLTDKESINRKFAQTFYLAPQECGGFYVHNDFLYFLDVDEPTASLSGPQFPEVLAAPKSPSALSAKNSEVADVPNDEQETISVPDPTPKEAFESLPDPTPKEAFESLKKLDTPASPKKRSELLVKKSAGNIEEDSFKKVSYASVLAREAPLSTPAQVSSPPTSGLIKAGPPAPGKAASTPLSSALGINDGLVAEAPVGIHIKDLPSGITQDALLEQVRKFGAVRPNSIHIREYPEDGYRFAFVEFESAKSARSAVEAGEIWIGGWKYEVQYKRSSNQGGSNQGRSMHGRGGHRSDNPGSRDWEGRGGRDGEARGPSWRSSTQDQVRGGSSTATKRNTVRV >KZN08416 pep chromosome:ASM162521v1:1:6474720:6487012:-1 gene:DCAR_000962 transcript:KZN08416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITNTNPSFLFFSDLLPPPSPFFTSTNTFLYPFYNKKKNTSKIFGIYTKAAPALNTFSLDDPISLLNHRIRQDQGGKRRRSNVVDSAEADKYIQLVKLQQQRGLDKLRSNREQAAPDHAFSYKVDPYTLCPGDYVVHKKVGIGRFVGIKFDVAARGHSKPPVEYVFIEYADAMAKLPVHQASRFLYRYNLPNENKKPRVLSKLNDTSAWEKRRVKGKVAVQKMVVDLMELYLHRLKQKRPAYPRTAAMSEFASHFPYNPTPDQEKAIIDVEKDLTERETPMDRLICGDVGFGKTEVALRAIICVVSAGKQAMILAPTIVLAKQHFDVISARFSRYHGVKVGLLSRFQTKSVKEEYLRMIKDGDLDIIVGTHALLGDRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTTVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLAAYSAEKVLSAIKYELDRGGQVFYVLPRIKGLEEVMEFLQHSLPEVEVAVAHGKQYSKQLEETMESFAGGDVKILLCTNIVESGLDIQNANTIIIQDVQQFGLSQLYQLRGRVGRADKEAHAYLFYPDKSLLSDQARERLTALEECRDLGQGFHLAERDMAIRGFGNIFGEQQTGDLGNVGIDLFFEMLFESLSNVEEHRLTSVPYQSVELDMNVKPHLTSEYINYLENPVEVINEAEKAAEKDIWSLMQFTENLRRQYGKEPYSMEILLKKLYVRRMAADLGVSRIYASGKMVGMITNMSKKVYKMITDSMTSDMHRNSLVFENGQIKAELLLELPKEQLLNWIFQCLAELHASLPALIKY >KZN11551 pep chromosome:ASM162521v1:1:45665710:45670144:1 gene:DCAR_004207 transcript:KZN11551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGPLAYFPVMGTGKVSSLSSNWWVDINESTQWQDAIFFALCAAYALVSAVALIQLIRIEVRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHVQVFNLHPRVLVLGVLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRIVFISVNGVVYAIQACIWAYVWVNDNDTVEFIGKMFIAVVSLIAALGFLIYGGRLFFMLRRFPIESKGRRKKLHEVGYVTGICFSCFLIRCFVEVLSAFDSDATLDVLDHPILNLIYYMLAEILPSALVLYILRKLPPKRVSAQYHPIR >KZN12017 pep chromosome:ASM162521v1:1:50282998:50284452:1 gene:DCAR_004673 transcript:KZN12017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPPNLYSLGLSSCNMKEFPHFSRDANISLNLIDLSNNKIEGEIPHWIGSVGDFYGIPYLNLSHNKLIGGLEQLPWGYIQYLDLQDNKLNGSLPTLMCNSSSLEILNLSHNNLSGVLPICHTNLTQLSVLDLRRNKIQGIIPATLSNFRYLETINLNGNRLQGSIPSSFAELDSLAVLDLGNNQINDTFPLCLEALPKLQVLVLKSNKFHGIINQSSETKHPFPSLRIIDLSYNEFSGALPEKYFRNFKAMMNAEVNKINRSYMEHRYYSDSTALVIKGMDIDLHRILTVFTTIDVSKNNFDGGIAEYFGNLVSLRFLNLSHNYLTGHIPCSIGKLTVLESLDLSSNQLEGEIPQQLTSVYSLAVLNLSCNQLRGRIPEGWQFDTFENSSYAGNFGLCGHPLSKKCESYVRTQEEDDEDEDECHFFCGFTWEAVVIGYGCGVVPAFITGYLMLLARKPKWFAGIIGRELGLKIRRMEIRMRY >KZN10553 pep chromosome:ASM162521v1:1:36889645:36893064:-1 gene:DCAR_003209 transcript:KZN10553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQTKKLTARKDATICKEKEFGNHTAAIGNYTAGSQFEKNLNTLLYRTLYIKGGGAISDYEKNGDPPNQVYGLFLCRGDVSAKTCQECIDAATNRILSDCAYKKEAIIWYNQCLIRYSYKSFNSTLESEPSLVYFNSNNITQTKNFSGILRDMFSHLIDQATNIPANRDFAKTQVRFGLYDLYGMVQCTPDLSPSQCQDCLSSVYRILSSLEETRGIRILTPSCNARYELYPFLSDPPLASPDSGNSTDNGTHEEDDSPGDQLLDLTEDHYMADGFDAENKDHSPLMQALGANALTLKLEFQGTLVDGKEIAVKRLSRNSGQGLQEFKAEVTLIAKLQHKNLVKLLGCCLEGRELLLVYDYMPNKSLDVHLFGLILNFYYFCTVKTPPTWNLWSSGKGLELMDPSLSDTYVESEVLKCIQIGLLCVQEDPADRPIMSTVVHMLGSSTIPVRQPTQPAFSVGRAVAFSQQRSIDLDSSVSARQIMPSRAESHETESSASISHLTITDSLPR >KZN09934 pep chromosome:ASM162521v1:1:30908458:30909795:-1 gene:DCAR_002590 transcript:KZN09934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNGVDPDYLTYPFVVKALARLSEFEVGLGVHCRIMKTGFLSDKFVGNSLIHLYGSCGKVKCARKVFEGMIDKNRVSWNCMLDGYAKCGDVVSAREVFEVMPERDVVSWSSLIDGYVKKGEYSEALAVFERMQVLGVKGNEVTLVSVLCACAHMGALEKGRTMNMYIIENGLPLTLVLRTSLVDMYAKCGAIEDALAVFRGVLGRQTDVLIWNAMIGGFATHGFDKESFDMFTEMKIAGVTPDEITYLCMLSACAHGGLVKEAWCFFEILGKDGLKPKTEHYACMVDVLARAGQVDEAYQFLCQMPMQPTASMLGALLSGCINNRKLDLAEIVGKWLIELEPDHDGRYVGLSNVYAVIKRWEEARAMREVMEKRGVKKSPGYSFVEIFGTLHKFIAHDKTHAMSEQIYMMLSILLEQMTSNADSVTDASLCGPEYVSACFQNLI >KZN08036 pep chromosome:ASM162521v1:1:1612957:1616513:1 gene:DCAR_000705 transcript:KZN08036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAILKQLGKETDIFDDDELQGYQKLQNLYNSTRETKHFQREIVRGVESSVSTMKKQLEIVRKLAENCCKFANEYQEAGSSVSRAVMQFGTAHSSIEDEKEAMLGIFVDQVSNPLRASITGAPLEDARHLTRRCERLRQEVESQAAEVIKRQSRSKDASVESVMKLKNAEARLTEHRASMVVLQREATTAMLSVEDQQQQLTFQKLVTMVGAERSYHVYALNSLDELHSKMILEMQLIESSWKPETSHRNVNAPPAHKDATISGSDDQATDSEDFDNIALQVKHPFDAQADGELNLAIDEYVAVYKVAPSGWSEGKCMGRTGWFPSAYVERVDNALVSNKLEMSSP >KZN09049 pep chromosome:ASM162521v1:1:19513789:19514286:1 gene:DCAR_001705 transcript:KZN09049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVATEDAQGFAEKEGLSFIETSALEATNVEKAFQTILAEIYRIISKKSLSSNEPAATTIKEGQTLVVGAQESNTKKPCCSSS >KZN10700 pep chromosome:ASM162521v1:1:38283358:38288086:1 gene:DCAR_003356 transcript:KZN10700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEENEISDEQKIEIAKWFLLNSPPGEIQYVAKDVKAILGDDTVYESAASEAFPLYNKAHLISLQFPNRSGDVLITSYSEINANEYLDPRTAQVAKVDHIKQVCKEVRPATDEELPSSYVEEYRCAVEAELSKYVGEAYPKGVCSVFCTRGKDVEEPGSDFELVVVISAARHSPQNFCNGSWRSIWTIEFKDDLQVVEVTGRVQVGAHYFEEGNVQLDAKHECKDSTIIQAADDCAISLTNIIRHHETEYLTSLQASYSNLPDTTFKDLRRKLPVTRTLFPWHNTMQFALTKDITKELGIGK >KZN09400 pep chromosome:ASM162521v1:1:24617490:24618047:1 gene:DCAR_002056 transcript:KZN09400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAYSQEHTFKHPWERVTSASWRKFADPENKRVLSHILEVDTLNRKLDSESGKLYTTRAITIHAPGPWFVRKIVGQDICHCVESTVVDGQSRSMQLATRNISMQKFIEVEEKIRYDPHPENPTEWTICKQETSIRIRPFSALASMAEKIEQRCVDRFQQNSAKGREVMERICKYLEAESRGIKI >KZN08004 pep chromosome:ASM162521v1:1:1363972:1365748:1 gene:DCAR_000673 transcript:KZN08004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMDLNAPHSMGTTIIGVTYNGGVVLGADSRTSTGTFRFFRDFRDFFAFLCMYVANRASDKITQLTDNVYICRSGSAADSQIVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLSYNNKV >KZN09188 pep chromosome:ASM162521v1:1:21508784:21509485:-1 gene:DCAR_001844 transcript:KZN09188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSEEPKYAYPYPAHQGASYYQHGHPPTPPVMPPPMYMSAPPPRKRSGLLEGCSMLLLPGGLVLRSRPYVLDVAQCIAHTTRLCPLFDNYTSVSELGRSGK >KZN11061 pep chromosome:ASM162521v1:1:41385308:41386955:-1 gene:DCAR_003717 transcript:KZN11061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCCSKEGLNRGAWTVSEDTVLTAYVQEHGEGNWRNLPSRAGLKRCGKSCRLRWLNYLRPDIKRGNISEDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSTLSKKAQGMLRKSSASSKLCSDRKSIVKNPKTKLERSKQPVSPKSAVRFNSLRHIKVVPAMSSPSSPSNISAFYNLQDLQELPKDWTNTAHKLDNSEVEALTSCHEENAMEDYGCKNDLNEGGFDHSLSVSDAMNQDWTTNDFLEGNAIIDLDSLFSFLNDEEWPFVNDSSINV >KZN10037 pep chromosome:ASM162521v1:1:31912093:31912251:-1 gene:DCAR_002693 transcript:KZN10037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRHCDGYGRRWNSGHHQLAPPPGIDMLTWYYTPLCYDDLTEINLQYHNNI >KZN10447 pep chromosome:ASM162521v1:1:35760062:35760688:-1 gene:DCAR_003103 transcript:KZN10447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNQQQEISRKRKSTKSKEADNVALTLSPPASQPQPPPRRRNATKSQDIPPPYPWATTKRAITHTLDHLDALQIKTISGQVQCKKCERQYDMELDLHAKFIEIASFIAKNKTNMHDRAPANLMNPVLPACKFCHQDNSVKPVISDKKKSINWLFLLLGQMLGCCTLEQLKYFCKHTKNHRTGAKDRVLYLTYLAICKQLDPKGPFDR >KZN10343 pep chromosome:ASM162521v1:1:34745487:34749572:1 gene:DCAR_002999 transcript:KZN10343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQVGDGVPEASSTEPTIFKPKETSAVYGLLLFLALVPVNPNSPWGRNLARYICKHVCGYFPLTLYVEDINAFSPDQAYVFGYEPHSVLPIACVALADLTGFMPLPKIKVLASSAVFHTPFLRHIWTWLGLAAASRKNFYNFLSSGYSCIVVPGGVQETLYMEHGSEVAFLKARRGFVRIAMEKGCPIVPVFCFGQTEIYKWWKPGGKLIFQLARAIKFTPIVFWGIYGSPLPFRKPMYVVVGKPIKFKENPQPTPEEVVEVQNQYIDALRDLFERHKEKAGHTDLQLRIL >KZN11023 pep chromosome:ASM162521v1:1:41121182:41127067:-1 gene:DCAR_003679 transcript:KZN11023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGGEPIVADPVDKDSEPAGSEAACLSIVVLGASGDLAKKKTFPALFKLYRQGFLQSHEVYIFGYARTKISDDDLRDRIRGYLTPNKNAAEGHTEDATKFLQLIKYVCGAYDTEEGFQCLDKEISEYEISKKSIEGSSRRLFYLALPPSVYPPVCKMIRTCCMNKSDLGGWTRIVVEKPFGKDLESAERLSNQIGELFEEPQIYPPSSMSLTDDINIPPPPLLYYILYYRHMQIVFREDIGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVAPIKDEEVVLGQYDGYLDDPTVPDGSNTPTFATIVLRIHNERWEGVPFVLKAGKALASRKAEIRIQFKEVPGQKQGRNELVIRLQPSEAMYMKLTVKQPGLKMATIQSELDLSYGQRYQDVTIPEAYERLILDTIRGDQQHFVRRDELKVAWEIFTPLLHRIDKGEFKSVPYKPGSRGPDKADELLKEVGYVPTQGYIWIPPTL >KZN08283 pep chromosome:ASM162521v1:1:4937404:4945726:-1 gene:DCAR_000829 transcript:KZN08283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFYGVQLMVVILLGFSLGWSWCQDEDYSNVSAVYIVTLKQAPVVHLNEELIVKSKHQKPSSSRNKNRLDKPLPRNISGTDRYHGHNIARFHDAILRKVLKGEKYLKLYSYRYLINGFAVLVTPVQVAKLSMRKEVANVILDFSVRTATTHTPQFLGLPQGAWPQEGGFDTAGEGIVIGFIDTGIDPTHPSFSDDVAENTYPVPERFSGICEVTRDFPSGSCNRKLIGARHFAASAITRGIFNATQDYASPFDGDGHGTHTASTAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKAGVFVVQAAGNTGPSPKSISSFSPWIYTVGAAAHDRVYSNSIILGNNITIAGVGLAPGTDNNTMYTMVSAIHALNTTTAADDMYAGECQDSASLNQDIIQGNLLICSYSIRFVLGLSTIKQALETANNLNATGVVFYMDPFVIGYQLNPIPMEFPGIIIPTPDDSKILLRYYNSSLERDGDTNQIVKFGAVACITGGVTANFSSSAPKIMYYSARGPDPEDSFLDDADILKPNLVAPGNFIWAAWSSGGTDSVEFLGENFAMMSGTSMAAPHVTGLAALIKKKYPSFSPSAIASALSTTASLSDRNGGPIMAQRTYANPDMSQSPATPFDMGSGFVNATAALNPGLIFDLAYNDYMSFLCGINGSAPVVLNYTGQSCGMSTTTNGIDLNLPSITIAKLNQSRMVQRTVINIAGDETYSVGWSAPYGASLNVEPRHFFIANGGRQVLTVYINATISSTVASFGRIGLFGNQGHVVNLPVSVIGKIAYNRTNS >KZN08568 pep chromosome:ASM162521v1:1:10596101:10599491:1 gene:DCAR_001098 transcript:KZN08568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKYSRVDGRKSTNYCSTATIVVFVALCLVGVWMLMSSSVDPVQKSDLSSQDSNTDFSSQDSKAELKEKVTDKFSNQFEDSSGEQAEDATKEESKPTKSEEESNSEVNETETQETQEEKTAEESSGGNSNGEEDSKKDGEGSDNEESNSGGKESNPSTETNENTQSDSENEKTETESNSNEGGDKQETEESVEEPKKKEDEKSKEEFPAGAQSEILKETTTESGSWSTQAAESESEKNSQTSKSTKDASDYKWKVCNVTAGPDYIPCLDNLQAISKLPHRDHYQHRERHCPDEAPTCLVSLPEGYRQSVKWPTSREQIWYYNVPHTKLAEVKGHQNWVKVTGDHLTFPGGGTQFIHGALHYIDFIQQTLSDIAWGKRTRVLLDVGCGVASFGGYLFERDVVALSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSKVFDMVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYRNDTENADIWKAMSELTKSMCWELVKISRDDLNEVGAAIYRKPSSNECYEKRSQNEPPLCNKADEPDAVWNVQLQACIHKVPEKVSEHGSQWPEQWPKRLEKAPAWLSSSAVGVYGKSAPEDFTADYDHWKRVVTASYLTGLGIDWSSVRNVMDMKAVYGGFAAALKDLKLWVMNIVPITSADTLPIIYERGLFGMYHDWCESFSTYPRTYDLVHADHLFSDIKKRCKLQGLMAEVDRILRPKGTLIVRDNVETITEIENMAKSLQWKVQFTYSKDNEGLLCVQKSFWRPTEVETIASAIE >KZN12138 pep chromosome:ASM162521v1:1:51330457:51348144:-1 gene:DCAR_004794 transcript:KZN12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVPPHLRSSSSSSSATKTLEINGNNHYTNSFVSQSSNNNLYSSSTSSRRSSENLLLPPDSVVPQWKPSDRVLALKTDQIEEVRLRLNVDVSISADSSPAPAPIESFMDMCLDSRIMRDISYHEYTTPTSIQAQAMPVALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQSPVRRGDGPLALVLAPTRELAQQIEKEVKAFSRSLESFRTAIVVGGTNIAEQRTELRSGVNIVVGTPGRFIDHLQQGNTSLSRISYVVLDEADRMLDMGFEPQITEVMRNLPNKHQTLLFSATMPLEIEALAQEYLTDPVQVKVGKVSSPTANVSQILEKVLENEKVMRNLPNKHQTLLFSATMPLEIEALAQEYLTDPVQVKVGKVSSPTANVSQILEKVLENEKIDRLLSLLVEEATQVERSGHSLPLTIVFVERKTRCDEVAEALVAQGLHAVALHGGRTQSEREAALRDFRHGPTRILVATDVASRGLDVTGVAHVVNLDLPKTMEDYIHRIGRTGRAGSTGRASSFYTDRDMFLVAQIRKAISDVQSGNTVAFATGKVARRKEREAVAAQKEARTALSKQPSIGSMSVNVEDRYKYMIAPAIVRKEGSADDAWDD >KZN08722 pep chromosome:ASM162521v1:1:14245248:14260008:-1 gene:DCAR_001378 transcript:KZN08722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVDERIRTLIDNGVKTRHRSFFVIIGDKSREQIVNLHYMLSKAVAKSRPNVLWCYRDKLELSSHRKKRAKQVKKLMQRGLLDPEKVDAFSLFVETAGIQYCQYKDSERILGNTFGMLVLQDFEAMTPNLLARTIETVEGGGLVVLLLRKLASLTSLCTMVMDVHERYRTASHSEATGRFNERFLLSLTSCKACIVMDDELNILPVSSHMKSITPVPVKEDSEGLSEADKDLKDLKDQLSDDFPVGPLIKKCRTLDQGKAVITFLDAILDKTLRSTVALLAARGRGKSAALGLAISGAIAAGYSNIFVTAPSPENLKTLFEFICKGFDMLEYKEHLDYDIVQSNNPDFKKATVRINVYRQHRQTIQYIHPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSRVSTKSSESSLSGRLFKKIELAESIRYASGDPIESWLHTLLCLDVTSYIPNINRLPPPSECDLYYVNRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESRNHLPDILCVLQVCLEGQIPSSSAKKSLSEGHQPYGDQIPWKFAEQFRDTVFPTLSGARIVRIATHPNAMKLGYGSTAVELLTRYFEGQFTTISEVDDENASEPSHMRVTDAAEQVSLLEENIKPRTDLPPLLAHLHERRPEKLHYIGVSFGLTLDLLRFWKKQKFAPFYVGHNPNSVTGEHTCMVLRPLDNDDIETSGIDEWGFFGPFYQDFRKKFTWLLGSSSFRTMEYKLAMSVLDPKINFTDVEPSSSATRESSYLFNHVLSGYSMARLTDYVNNLIDFLSISYLEPIFATLYFEEKLPVTLSYTQASVLLCMGLQRQELSYVEGAMNLERHQILSLYIKTMKKFHKYLTGIATKEIDSVLPRPKEITMKPHAISLDEDLNDGAKQVMDKMKAKLDEDDTLNPEHLQKYAIEVDFGSALKNGNGKIPSSGLVSVKSSRSAGEKRVKSKDSQSKDKQHGSRSNKKRKSQ >KZN07936 pep chromosome:ASM162521v1:1:783156:788029:1 gene:DCAR_000605 transcript:KZN07936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLSITCIRQKSHGDLSPTPHYPSMTKYPRGVSSEQEKLIRGSESKALFSVIGMTCSACAASVEKAVKRLPGIKDAAVDVLNNRAQVMFYPSLVDEETIREAIEDIGFEASLIKEENSDKSTQVCRILIKGMTCSTCSTTVESALQAIPGVHKAQVALATEEAQIQYDPKIVTQGQILDVIEDTGFEAILISSGEDLSRVELKIDGMRSVNSIKIVENSLEALPGVEDIDIDPELQKFSLSYKSNMTGPRNFIQVIENTGSGRYKAKIYPEGEGGGRDAHKRQEIRQYFKYFLWSLIFTIPIFLTSMVFMYVPGIKHGLKRKVVNMLEIGALMRWILATPVQFIIGKRFYTGAYKSLKHGSANMDVLIALGTNAAYFYSVYLVLRAATSPHFEATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMNLAPDTATLLTFDREGNVVLEEEIDSRLIQRNDVIKILPGAKVASDGTVIWGQSHVNESMITGEARPVAKRQGDTVIGGTVNENGVLHIKATHVGSESALAQIVRLVESAQMAKAPVQKFADRISKFFVPMVIALSVITWLAWFLAGKYNSYPKSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGATQGVLIKGGQALESAHKVNCIVFDKTGTLTMGKPLVVNTKLLKNMVLAEFYELIAAAEVNSEHPLGKAIVEYAKKFREDEENPAWPEARDFESITGHGVKATVRNKEIIVGNKSLMLDLNINIPLDAEEMLAEAEEMAQTGILVSIDGELAGVLAISDPLKPSAREVITILKSMNVKSIMVTGDNWGTARSIAKEVGIDTVIAEAKPEFKAEKVKELQASGHTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFTRIRLNYIWALGYNLLGIPIAAGVLFPSTGFRLPPWIAGAAMAASSVSVVCCSLLLRYYKRPKVLDTLEIGGITVE >KZN08125 pep chromosome:ASM162521v1:1:2943840:2947135:-1 gene:DCAR_000794 transcript:KZN08125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSLLSLKPTLCPPLFTSLSPPIFSPNLSPISPSLPRKNIPKLPSYSSYENSNYNSPETECPVPLDQQPVNEYQSLSTSQPYSWASGDLAEFSSRVFGTGLSFALFVGLPVSWYGSAGIGLDPVKRVVGAVAAGVFVATFVVVRMYLGWAYIWVKTPEVLARDRLLGSFSVKPVLSRLKNTLVGLGLSLFMCIILFVNTEGSPKETYVSSDQAGGRAVRGVYSEESARSFEPEAFCGESGISPSLAK >KZN09854 pep chromosome:ASM162521v1:1:30035067:30036539:1 gene:DCAR_002510 transcript:KZN09854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVNVLSMTAGNQECSYAHNSSLQKNVILGSKNVLDDTIKNYGTEGFSECLNVADLGCSCGPTAFLSVTNIINSVHAVCQEKNLKAPNEFQVFLNDLPNNDFNALFKTAPTYVLKPENENGCKKPFNYYIYGVAGSFYTRLFPSKSLHLVHSSYGVHWLSQVPEKLLDSNKGNVYLAKESPPGVYGAYFHQFKKDFTTFLRMRSVEMIPDGRMVLTLIGKNPVEDYCVYDLLAKSLQDMLVEGLLLEDDINSFNLPLYHPCTNELEALIKSESSFSIDRLETFKMNLDMRTEDEIIKSGERSGKYIAKILRAVMEPVLASHFGNNCVDKLFERYAVHASEQLSRTKIESFNIVISLTRKCKN >KZN08998 pep chromosome:ASM162521v1:1:18848959:18850560:1 gene:DCAR_001654 transcript:KZN08998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWSWICELLEFGQWSTDESNSPLFFPLVTSSAYKSPSRVSDKGTGDDFQPIQIKAERSFDTDSGAAATFSILHGENTLWVSDTCPLDSKQPFLPLILQLLQEIISCAPTAQDGCCPRSQLQKLKPDPVLWILESHSSESISNFFDVVLLMRLFWLCVCNAPPEVGSMYFHTLLAPNLELFSCSQAPVLRNFFVSVGVDVEMCFMRTFGYMTAKLLILKELGVGLQMVMPQPFRNLGFSYAVESHGLWIMKSYAAVSAMRLTRRNKQIGRFSGLHPQEAVLKYALAHQQLEAVMQLEYSVKFSDGFIQVGARVDNLRFHIAKLGFNKNEDDDFINEMYFPSKVKVWVGPEMGANYVTSLSLGRSTDNSEQEVETQRVLKGNFGKLKAPELKTTSRTMTRSKMRSWRWDQSADGNVANFESTLCDNATGVEVASWEPSNGGGDYKLGEHFRDRYTGANRAFGKNGDLIFSGSECGERVEWRLNREMEGSVLKWRMGGQVWLSYLPNEVSSSYFETRCVEWCDEVDLPLIISDQ >KZN10721 pep chromosome:ASM162521v1:1:38446104:38454090:1 gene:DCAR_003377 transcript:KZN10721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFAKPENALKRAEELINVGQKQDALQSLHDLITSKRYRAWQKTHEKIMFKYIELCVDMRRGRFAKDGLIQYRIICQQVNVSSLEEVIKHFMDLSTKKAESARSQAQALEEALDVDDLEADNRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLMALYADTAHRAFQFCKQYKRSTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESMQFYLDTRFEQLKIATELELWQEAFRSVEDIYGLTCMVKKMPKTSWMAVYYAKLTEIFWISSSHLYHAYAWFKLFQLQKSFNKNLNQKDLQLIASSVLLAAMSVPPYDHTRRASHLELEHEKERNMRMSNLIGFNLDTKTEGRDMLSRSSLLSDLMSKGVMTYVTQEVKDLYHLLEHEFLPLDLASKVQPLLAKISNVGGKISSASSVPEVQLSQYVPALEKLATLRLLQQARFLHLLVSQVYQTMSIESLAKMVPFFSFPAVEKLSVDAVKHDFVAMKVDHMKGAVIFSTLGLESDGLRNHLGLFAENLNRSRSMIYPSERKISKLGEMLPNLAEVVDKEHKRLLARKSIIEKRKEEQERHLLEREREEESKRLKLQKITEEAEQRRLASEIEQRRNQRILREIEERELEEAQALLQEAEKRGKKKGKKTVMDGEKVTKQSLMELAHNEQLRERQDMEKRLQKLGKTMDYLERAKREEAAPLIEAAFQRRLAEEKVLHEHEQQQEIEISRQRHDGDLKEKNRLLRILDSKIQFQNKVVKHREAEYERLRKERHERIEQILQARKEERESKRKMLFYLSVEEERLKKLQEEEEARKREAAERRKKEEAEHRAKMDEIAEKQRRREQEVEEKRRSELLARSSEIPIAARPLETPAAPEVAPVVPAVAAPAPGKYVPRFRRAEAQAPPPEPDRWGSGRQDDRAPPPGGDRWRSGGGQRQPWTSSRIPPRGG >KZN09284 pep chromosome:ASM162521v1:1:22555421:22565097:1 gene:DCAR_001940 transcript:KZN09284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSSSSSTSSESKKREEKVEEWEDFEQALARLWSLSSALNQANLRKLSLQDQLQSHLQVEAETLNRSNELDEMREQLESRKLMMGNTSMQSKVVKEKVKMQEEQLGAEIKSLLVAGSALSVASKHLQAVSLLASYLEVPLRYSLRLGGSRSYIRDYAPFVEPTTAELASSLPSPINAKPIEFPLFLEGQDTTRAAYAVFLLNKDLEQLLNFIGVQSLGPRHVLANLRELLKTILSPEYIDT >KZN11424 pep chromosome:ASM162521v1:1:44524899:44531390:-1 gene:DCAR_004080 transcript:KZN11424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKKWKLLTLLLPLVAYLLLFAIKNFSKPSVRSCSLLPHDHYWIASKRIVTPQGIISGAVEVNGGLIKSLIKEGDWQGRVHTEQVIDYGEAVIMPGLVDVHAHLDDPGRTEWEGFPSGTRAAAAGGITTLIDMPLNSFPSTVSEETLKLKIEAAEGNIFVDVGFWGGLVPENAFNASALEGLLNAGVLGLKSFMCPSGINDFPMTNSTHIKEGLSVLAKYRRPLLVHAEIEQESEELKNEVDDPRSYSTYLKTRPASWEQAAIRELLTVTKDTRAGGPSEGAHLHIVHLSDSGDSLDLIKEAKRSGDSLTVETCPHYLAFSSEEIPDGDTRFKCSPPIRGAANKEKLWEALMDGYIDMLSSDHSPSVPELKLFDRGDFLRAWGGISSLQFVLPVTWSIGRKHGITLEQIALWWSAKPSKLAGQDLKGAIAIGNHADIVVWQPEMEFELNDDHPIYLKHPSISAYMGSKLFGKVLGTFVRGNLVFAEGKHAPAACGSPILAR >KZN11034 pep chromosome:ASM162521v1:1:41217091:41217276:1 gene:DCAR_003690 transcript:KZN11034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGEKKERQVLAYGLDYSERHVAPGINLFNNPQNTKSNSSIHSATQHVSPTGNERFKIYI >KZN07924 pep chromosome:ASM162521v1:1:707969:710124:1 gene:DCAR_000593 transcript:KZN07924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTRHPPRFHHTNITHLPDHLISDILARLPTISLFTSKFVCTLWYHLTSKDPHFPKLHFSKPHLEMLAQSKEESALASVNWVHPEFDVFRGFGKQAHLKPRLQLPYTNCGLVSSCNGIVLCLHRQRYCDLGIICNPLSGEYALLPRCLKGVEKYERLEIDEEYDDYVIDSGYVSDHFNVYGFGYCGGSNCFKVLRIFSSVSSPKWMDSGSAMVLDVGSDSWRRIGDSPFCPSVGSVPVLVNGVLHWVCDVNNCPRFVVWFDFESERFGEFGPPFGILKKFQKRKYRMTLGILEGCLTLCDVLRTGKFDIWVMKKYGDLESWSKDFAVDTMVVDMFLQGRYRPMQVLSTGELLLFSLGNSRTYPKLVCYDPDDHEVRMLKLLCFARFELYHHSPTFISLKDLIPGDNLNVLNVKQGLATDGKWKSEAPYWIGNGGTWPGLFLLEHCVASVDNYRRLPD >KZN09223 pep chromosome:ASM162521v1:1:21809419:21810998:1 gene:DCAR_001879 transcript:KZN09223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMESQYKENVSPNNHQLINRSDGAPVVLSSPGSSSVNGGIPLSNHIIPSNAQMSVSVACVFHTPGSSSVTPGRRPLSNISNISNRSPVFFNTPGSSSAVQGRNSPIYSPTIKAQYSKSPLNQIRSDNTVDPPLSSNIVSFVVGDSHVPASSVTGSPSTIPSNKTWLKRSTTNVGQAESVVNFKNKKSRTLDKETLSQSTTCLFGPDEDVNSASPEFVTEDCELIYDGG >KZN11516 pep chromosome:ASM162521v1:1:45332793:45333968:1 gene:DCAR_004172 transcript:KZN11516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGRLYKFFSTAAPAVSETTISATKQAIATTPKPNKPTKPTSQAARLKQLAKNFKKSSKNPSFRLRIPYYESTVYRLAAAKQFNLIQDILEHQKQFDSITDERFTVRLISLYGKAGMFDNASKLFDEMPELKCERTVISFNALLAACVNCKKFDKLEALFRDLPGQLGIKPDRVSYNTLIKSYCVMGSVELAVGVIELMESKGLAPDLITFNTIFDALYRNKKMDDAEKLWVLMESKNVIANVRSYNPKLRALVADERISEAVELIEEMRAKGVMPDIYSFNALIQGYCFEKNLEQAKRWYGELAKCDVSPDRMTYEIMLPFVCDIADFDYAFQLCKDVLNSKHRVSMSVIQRVVDGLATDLKSEEAEVLVDLADTNNYFHYKLKLPAE >KZN08918 pep chromosome:ASM162521v1:1:17651136:17651501:1 gene:DCAR_001574 transcript:KZN08918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNSSNANSGDSREIPDLDLCFCDLRVVERYCWFEENAGRKKVVCPKGWKGCAYSRWIEPPHEERSVAVIQKVLKELNDNKIRHSLQVSRIHGKDAKKIRHMKAMIQAVHTDDDDSGEE >KZN09122 pep chromosome:ASM162521v1:1:20434070:20435368:-1 gene:DCAR_001778 transcript:KZN09122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQIHSKKLVKPFTPTPSNLNHHNLSFIDELAPKMYAPVILYYPCPENVTDKDLFSSTCLLELETSLSKTLVQFYPLAGRYNKHLQLVDCNDKGVEFVEATVDCHLHEVLPHGERSDPQFLNKFIPCEVLITDEAMHPLLAIQVTVFKCGGFAIGVCISHRIADAATLSMFLQAWGTTAKLNKNGNQQESIQKIFPCFDAAVYFPKRGLPHLNFGIFTSSGCKIVTRRFSFDNKAISTLRANILPGTGQTSKLQMVIAVIWKALLGAEKLKDEHARATHIMQPVNLRDKLTTPFLHKHFFGNLCILASVPMMAAENREIPDLAIQLSSSVKGSIEGWAKMMSLGKDDPLLKNMISSTVNYYMISSWSRFPFYETDFGWGKPVWASSVYFPCKNMVLLMDNKKGDGFEAWVSLDEADMNIFEQDFNIKAFST >KZN09164 pep chromosome:ASM162521v1:1:21144487:21149255:1 gene:DCAR_001820 transcript:KZN09164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKGKVLWPSGTTYEGDFSGGYLHGFGTLTSLDGSVYRGSWKLNLQHGFGEKQYQNSDVYEGTWKEGKQEGSGKYVWSNGNMYIGTWKAGIMCGRGVMKWSTGDLFDGFWLNGLRHGSGFYRFADGGYYYGIWTKGIKDGWGKFYPEGNNRPSLAKWNNSELLNEIVDGSVSVSSLKKAEFKVIKPSLKRSVSIIALNGFTKVRKPHKSVLVKKNQNPSHSVTDGTFEEVQNEVQQSDSQVYEREYMQGVLIKERIINHTGRSKSIKQRGKTKEARKKSLVSTYAGLKSYYLMLNLQLGIRYTVGKISPLPMREVRSSDYGAQARIQMYFPKRGSQKTPPHYSLNFYWTDYYPMVFRNLREMFKLDAADYMMSICGDDGLTELSSAGKSGSLFYLSHDDRFVIKTLKKSELKVLLKMLPSYYDNVKEHENTLLTKFFGVHQIAWKAGRKIRFVVMGNMFCTELRIHRRYDLKGSCQGRLTNKVDIRENTTFKDLDLPYVFHMDKLLRESLLEQITHDCMFLESQQIIDYSLLLGLHFRAPEHLKAFLETAESMHEPEHTFASDGAISQDEMLIPPKGLLLVTHEPNFVSTAPGPHIRGNTLKAFSVGEKEVDLLLPGTGRASQELTNGRR >KZN09561 pep chromosome:ASM162521v1:1:27121855:27123412:1 gene:DCAR_002217 transcript:KZN09561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLQALWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKLSYPQQIAVTATGVIWSRYSVVITPKNWNLFSVNVAMAGTGIYQLSRKIR >KZN11461 pep chromosome:ASM162521v1:1:44860534:44861433:1 gene:DCAR_004117 transcript:KZN11461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVQGLICLRRDKKLSLWNPAIHQSREFTLPTEHLERHGDLSRIGLGFDHTCNDYKVVVCYVSGDSRYGCVYSGNSDSWSDVFVPDNVFFRTKKKDWEKHGPEIIVKDCPHWTCRTYLSNNGFVRSVIVVKFEMGSNEFKLLPEFKFDASEQNRERGKHFALVTMNDCLTLMAYKPSKESVVDVYSLDEEGSFVWSKMYSVGPLDLYTHYLDLTQGFRHGGEFVCHSCGNFVCYDPKKKTVKRLAGTTSHMNLGSCFTYVPSLVYLQGMKTVHVQTQARISGNTFRTPRRLINSLRG >KZN08747 pep chromosome:ASM162521v1:1:14777428:14777751:1 gene:DCAR_001403 transcript:KZN08747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPISYTNSTLVVRLKLDDEESSTACWDSLFQLHSCTSEVILFFLNGETYLGQSCCRAIRIIEHDCWPSMLGSLGFTSEEGDILRGYCDASNSTVSPPPHNTNAME >KZN08823 pep chromosome:ASM162521v1:1:15855722:15857840:-1 gene:DCAR_001479 transcript:KZN08823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANGGVPPGFRFHPTDDELLHYYLKKKISFEKFEMEVIREVDLNKIEPWDLQEKCKIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNSFQKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDGENDPDGNLMSNGHLEAQNFIPTSHHKGTIGYDYPTLPSESPLMIKQLMTNPRDQCESGSDNLRYQTCDTGLEVGTCEPSSQNMVGDGRNDHDQALNGWGMIDGQDMPNASNSSMHHDQIHQLSLRGDFWNYGK >KZN11039 pep chromosome:ASM162521v1:1:41240374:41243759:-1 gene:DCAR_003695 transcript:KZN11039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAPKRPIQMITSWLRRQPPKVKAFLGVVAGMAALVLLRAIVHDHDTLFVAAEAVHSLGISVLIYKLMKEKTCAGLSLKSQELTALFLAVRLYCSFVMEYDIHTLLDLATLGTTLWVIYMIRFKLRSSYMEDKDNFAIYYVVIPCAVLAMLVHPSTSHNFINRFFWGFCVYLESVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >KZN08234 pep chromosome:ASM162521v1:1:4194455:4195350:1 gene:DCAR_001299 transcript:KZN08234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSREFRVEVSEIRNVVFWKDEVSSEKKNTDSLFSSKSSQAQTVEDSVKSSLHPEVMSVGALSNKSDDKSVGSSVELLQDSCDDSINYIEPVSEELRYRQARMKNWNRVAESGTSLFSGEKLLGRRALMNLPGTISIPENGIAGANVSSTITRIMTLFPMIIKSKGGRMRKGRKFGCFDKNRRQKRKKEVVPDWMIGRYVRVWNSAKTRKARGKMIEVRKKKVKGTEQGKQGEMGNISAEDIYHLGVTLGLKPTKDERQMIDLIEGRL >KZN09913 pep chromosome:ASM162521v1:1:30696397:30698922:-1 gene:DCAR_002569 transcript:KZN09913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRNASGEECGDDHRSDQTASMQEEAFKNTEVPHWRNQLTFRAIAVSFGLSLVFNVIVCKLNLTTGVIPSLNVAAGLLGYALIKSWTAISEKCGFLKQPFTRQENTVVQTCVVASSGIAFSSGTASYLLGMSKTIAAQADAGNTPNNVKALSLGWIMGYLFLVSFVGLFTIVPLRKMMILKYKLTYPSGTATAYLINSFHTPKGAKQAKKQVHSLFKWSGASFAWACFQWFWTAADNCGFTSFPTFGLKAYAKKFYFDFSATYIGVGMICPYMVNISLLIGGILSWGIMWPAIEAKKGDWYKADLPASSLHGIQGYRVFIAISMMLGDGLFHVVYMLTSTIISFAKKQASSEASEADDNLDQQRRKEYFLKDQIPNAVAVGGYLGLALLSIIVVPHIFPQLKWYHIAVAYLIAPVLAFCNAYGCGLSDWSLASNYGKLAILIFSSWVGLNDGGVLAGLASCGLMMSIVSTASDLMQDFKTGYLTLSSPRAMFFSQILGTAMGCFITPLVFWIFYKAFSVGDPEGSYPAPYGLMYRGIALLGVEGFSSLPKNCLNLAIGFFIAAIVINIIVELLRKFETKYRLYRFIPSPMCMAIPFYLGAYFAIDMCVGSLILFIWELKDKKEARAYAPAVASGMICGDSLWGIPAAILALAGLKPPVCMRFLSAAANARVDKLLGS >KZN10387 pep chromosome:ASM162521v1:1:35208936:35215243:-1 gene:DCAR_003043 transcript:KZN10387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNPFVFLDVSVDGDPVERMVFELFYDLAPKTAENFRALCTGEKGLSSTTGKPLHYKGTFFHRIIRGSMAQAGDLMRRDGKFGESIYGEKFPDERPKLLHDEPGLLSMAIADRDTRGSLFSITFKANHHLNRKNIVFGKLVEGHDVLEKIENCGDEEEKFVKIIKCGENQDDTQTLVQRKLSKLRNGKDASSESNSREMRRKRKHKKSSKDRRKKRKRYYTSDSDTTSDTETESSDTDSESDSDLSSSSSDISSSSDDKRKKRKRSKRGRNKYGKKKDRKRDKRRKRRNKRSKHKSKRALGDSSGSESGTDDDTGTVRKHDQKSKNPAEFTAKFLSPLPGEREDISLHRKNVDSPDMLEREEGEFPRENGERKSNGIEKETVSEKSTERQPDVVDDHPGKSRSRSLSPRRKLSRSTSISLERSLRKSPDVSPKRSMSQSPSVSGSPPRTSRGNRGFSRSPTSSPARSLSRSPLSPKKGRSISPVSVRARTRQSSSQSPMSSPRKRSASPSPPRTSSRKPESRSPVRSRKSSSTSPVRSSRRSISRGSGRAPSRRSASRSPIRAHTRNSRRSYSRSPVGYGRRARSPYADRARSISRSPSPDGSTKRIRRGRGFSQRYSTARRYHSSDRSPIRPYRYGRSERDRYQNYRRSPRRHRTPPRERTPSRYRSRRSRTRSRSASRSPIRYRNRRHSRSRSPVETTRYRSSPRGERRMSPQSMSRSLSRSSRSESPKRAAKEKSLSSSESPPAKRGLVSYGDGSPDSGQR >KZN07946 pep chromosome:ASM162521v1:1:890932:910708:-1 gene:DCAR_000615 transcript:KZN07946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGLNEALRDVNDHPGPLTDTLNTGNKSRDPFNSMDGCFADVSAAEISELLKVNSIENASTRSLLSVAKKILDENIERKNGDIPNRVASLLKVVIQEMDQRFFRQAENLRKQKCTYKSREEKLQTKIRVLETLATGTTEENEVVLNQLQKTKMEKMEIEEKKKLEEEDAVRLLKLKESSDNHVITLQEELDVTKRTYEKHCFQLESQAKEAKVELQNKITELECLLADSRKKVEEHQASTQSKYRRWKRKELGYKRFLDLNSGSLQDLRMLSNSLKQEILKIRNFHMEELNDLGLKLTSLVEAAQNYHVVLEENRKLYNEVQDLKGNIRVYCRIRPFLSGQSRKLTTIEYMGENGDLVVKNPSKLGKTSHRLFKFNKVFGPTASQEEVFLDTQQLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPNLSSKVDWGVNYRALNDLFHLSQSRKSSITYDVSVQMVEIYNEQVRDLLTGNRIWNSTQPNGLAVPDASMHPVKSTSDVLELMNIGLMNRAVGATALNERSSRSHSVLSVHVRGMDLKTNTILRGNLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKSPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYAETISTLKFAERVSGVELGAAQSNKEGRGVRELMEQVAILKDAVASKDEEISLLLAKTNLSAEKRALSLPSYGSSSPRRHSIGSPRSNRRISGCRSPKVFGKAASDIEKSSEDSDKHSEAESRLSDDLRHNKEFSQLSRPAAIDATLGLTEEVVSRIPSVHKRHNSNDDFELLGFGDAESEGRLSDISDGVLSMATETDGSIASIVEYTLFPEASLSTSEVITESTIPAAESTKPPVEKSSVSARVPRPAQKPSQGSSRMSSSRSSTKVLPKHEDSGESGDLPSFRQYTVEQLRIATSGFAVENIVSEHGEKAPNVVYKGKLENQRRVAIKRFSRSAWPDRQQFLEEARAVGQLRNHRLANLLGCCCEGDERLLVAEFMPNETLAKHLFHWESQPMKWAMRLRVAMYLAEALEYCTSKGRALYHDLNAYRIVFDEDANPKLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHPQDASIVVNIKQALDLIRDRNLEMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVTALIPLQKETEVSSHELMGIPDSTAAFPLTPLGDACLRKDLTAIHEILEMLGYKDDEGTATELSFEMWTNQMQETLNSKKKGDVAFRHKEFSAAIDYYTQFIDVGIRVSPTVFARRSLCYLMTERPLEALNDAMQAQVISPVWHIASYLQATALSTLGRENEGQVALKEGTVLEGKRDENP >KZN09258 pep chromosome:ASM162521v1:1:22198915:22205275:-1 gene:DCAR_001914 transcript:KZN09258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLAGYACLSSLSPPPAPSSCPCPPRRSYYCKCNHNSHQTLTHITSSGVIACLRATSAELAVDAACAALDAGISVLEIVVPTPGFLEVLEHLVQQYPTKTIGAGTVLSLKDAKGAIHAGAKFLMSPATVKEILDYVHEGEALYIPGVMTPTEIYYAHNFGAKIVKVYPVSALGGICYIKALQKPFSHIPMVASQGIAIDHIETYITQGASSVVLSDAIFDKEAMLQQNFSTIRQLARLAVLRSSNAMERVRKFR >KZN10389 pep chromosome:ASM162521v1:1:35248792:35249228:1 gene:DCAR_003045 transcript:KZN10389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGSSSWSRALLQISPYTFSAIGIAVAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLIRIKGLHLFL >KZN09614 pep chromosome:ASM162521v1:1:27671454:27676140:1 gene:DCAR_002270 transcript:KZN09614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKRSRNQNPEPFLPEDDSKSKSSKKQNKAPKQHQQEQKLISSGMSSKILKEALIQQKEIQEEAEAQIPGASNFALLKEKTDTIQLDEDDLDQFNGFSETQSQYGGDEEEIDEDDEKLLEAFLSKDARPQRTLADLIVDKIKENDAQVSSEKQALPKLDDSIIELYKGVGKLLNKYTAGKIPKAFKHIPSLQFWEEVLYLTEPEKWSPNAMFQATRIFSSNFGVKKAERFYKLVLLPRIREDIRKNKRLHFALYQALKKSLYKPAAFNKGILFPLCESRTCNLREAVIIGSIIQKVSIPPLHSSVALLKLAEMQYCGTTSYFIKLLVEKKYALPYRVLDALVEHFMAFLNDERTMPVIWHQSLLAFVQRYKNELVKEDKLNLNALVERQWHKLVTPEICRELRNSRNRGDKEDDLMSISSPAYVINKTIEEDRFDIPEVPMED >KZN10342 pep chromosome:ASM162521v1:1:34737683:34741286:1 gene:DCAR_002998 transcript:KZN10342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNVFTSVSSNYDLMNDLMSAGLHRLWKERLVSKLNPFPGMKHLDMAGGTGDVAFRILESVNSVRHKALRDMLEDNFQEKTQIYVCDINPHMLNVGKMRAQEGGLGEDGSLVWVEGDAEALNFEDDSIDGYTIAFGIRNVTHIEKVLSEAYRVLKKGGRFLCLELSHVENPAFKQFYDYYSFSVIPTIGELVAGDRDSYQYLVESIRKFPSQEKFASLISEAGFQMVEYENLVGGVVAIHSGLKI >KZN10609 pep chromosome:ASM162521v1:1:37556432:37558399:-1 gene:DCAR_003265 transcript:KZN10609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSTSKIKLYSFYRSSCACRVRIALNLKGIDYEYKAVNLFQGEQFSPDFLKLNPLGYVPVLVDGETTLADSFAILMYLEEKYPQYPLLPEDPNKRAINYQVNECSKRINSDMANN >KZN08620 pep chromosome:ASM162521v1:1:12318437:12319997:1 gene:DCAR_001150 transcript:KZN08620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKKVASSGQQSGDQARFPGRETSNEGGGGAPPSATGAMDMFSQAREMSAMVTALTHVVSGQSSRNLNDSNYYTLPQDQFSGGMVRFGGGSDGSWMYTSNSPSSSAYSSSSSGSLTGQKRGREEDTGVMQSLQQQQRQQFHLANYGGGSGDSRSTESSVPKLPLSVSEEDTSIAATSSVPSEPPKETGEARKYRGVRQRPWGKWAAEIRDPHKAARVWLGTFQTAEAAARAYDEAALRFRGSRAKLNFPEQVGLARPPQLPPLVSAPQATIFSAPPPAQLDQTQQFQGPDSAASDYWRYSQLLQNSADFHLQHQHYSLNQMLSASTMASVQTHSLGSSSSTLAPSLPYHQQDQQSIFMWPPGNRYRDGSSDFHHPPWTGPANFPPSSN >KZN10439 pep chromosome:ASM162521v1:1:35700172:35701538:-1 gene:DCAR_003095 transcript:KZN10439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEATTSSFAGSLPSSSERSSSSALQLEVKEGVESDEEIRRVPEFGEAGVSASGREGSSQGGPDRVQGAGEGSRKRGKSPADKENKRLKRLLRNRVSAQQARERKKAYLSELEVRVKDLEKKNSELDERLSTLQNENNMLRQLTFC >KZN11831 pep chromosome:ASM162521v1:1:48503721:48504675:-1 gene:DCAR_004487 transcript:KZN11831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRHQLKPHALPVLVVVKDICHHHRGGCMTLIFLYALRLVYIAVGVGVAASIT >KZN09491 pep chromosome:ASM162521v1:1:25889934:25893459:-1 gene:DCAR_002147 transcript:KZN09491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVNNWLGFSLSPQEHQDHHSIYNSDEISGTTDCYGLSTESLIPSLNLPPPFGYPAESFSRNNLQDWNSDTNYNTNGSELSILMDSNKPKLENFLGTSEHTFLDDHQHHNSSSDQHQYLFHNNTNSLHQSADVAMVETTAGGGEMNSKGNGSFGLSMIKNWLRNNSAASAPQENNGERGLPSSSQTLSLSMGSQSSHPEAGSCGGGGEISSETKANGGEVTAVKKSVETFGQRTSIYRGVTRNFDNVHGEIVNLQMTSYEKEIEDMKNMTRQEFVASIRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGVSTQEEAAEAYDVAAIKFRGITAVTNFEINRYDVKSILESNSLPLVGATKRLKEAELHNAQKIEEGIQSYNFSQQNTWPNIAFQQAQPLSMNYPRYDSHQNMWCKQEVQDSDQALAQSYRDLHQQGSNVHNFFQSNLMNFDTSSTDQNSSSNMYNGNYMIPTNAIEGNQNQGYGENNVSTCDNPYGEMNSSYNARNLYYLSQQSSGTPLKVANVCDQTSACNNWIPTAVPALAPRNTNSMALCHGATNFTMWNDQ >KZN11540 pep chromosome:ASM162521v1:1:45584236:45586923:1 gene:DCAR_004196 transcript:KZN11540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEYYDDCFSDYLSKLSMDLLPQNRPLWQVHLFKYPTSDAAGTIIFKLHHALGDGYSLIGALLSCLKRMDHPRVPLTFPSRQSDSSSSKLPEGNGVSRFFKTLMGVPSSFANTIMDFGSSLLKSSIIKDVESPLRSGHEGVEFQPMAMATMEFSLDQIKNIKNNLKVSMNDVIAGVILLGSRLYMEKERENSSNLNANALVLFNTRNLDGYKSVDEMVKTKSTMPWGNNFAFLHIPIPKLKIDNLSDPLKFVYETQNTVKRKRNSASVVLTGLMLENIRKFRGPEVTSKYIRNTLINSSMTITNLIGPVEQMSLSDHPIKGLYFFVVNAPQSAQVTIMSYVGKVRLGIGVEKDFINPHKFKSCIAHAFDMVSKAAAVP >KZN12057 pep chromosome:ASM162521v1:1:50678622:50680604:1 gene:DCAR_004713 transcript:KZN12057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKEAIDRGLCISNNRLDAAANHVRNTKVLPLADDALSSRHQRGNEAKGDQKGKSGKSRPLSKMKELVRWAPKPEKGGKYTDRKVLQDRNREEPKSAPDNGKFSNDSLKMSSRWDIDYSALSNAYSKRVTSDLTKNPHCSSNSTPVHRDNHSTPTKSGNWITTDSDFVVLEL >KZN08016 pep chromosome:ASM162521v1:1:1457321:1461226:-1 gene:DCAR_000685 transcript:KZN08016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNGREKQVRFYSNGAQIHNDIWERTEAVNQSPEYKMSAPLLKTDGFSIFPSSVGKKGRINGGSGVKKRSSGASKVYPESNRDPQETRKGPKILDPGSDVILNWNRVFLFFCLVALFVDPLFFYLPSVQKRFNENSWSSCMITDLNLGIIVTCFRSVADIFYVIHIFMKFRIAYVSPSSRVFGRGELVMDRREIARRYLKSDFFIDLIAALPLPQIVIWGIIPGVRSSHADHTNNALVLIVLLQYIPRLYLIFPLSSQIIKATGVVAKTAWAGAAYNLVLYMLASHVLGASWYLLSIERHATCWKSACRADQNPVKCFPRFLDCRTFEDDDRQTWANNTTVFQKCEPGNTENFKYGIFENAVANNVVSSQFLEKFFYCLWWGLQNLSSYGQSLSTSTYIGETSFAILIAILGLVLFSHLIGNMQTYLQSMTVRLEEWRLKRRDTEEWMKHRQLPQHLQVRVRSFVQYKWLATRGVDEESILHSLPKDLRQDIQRHLCLDLVRRVPFFSQMDDQLLNAICERLVSSLSTQGTFIVREGDPVTEMLFVIRGRLESSTTDGGRTDFFNSITLRPVKALNEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWAACFIQAAWRRHKRRSMAKTLSTKESFYLPHNDHMADEAGIGEGGRNSVSSSHATQSLGVTILASRFAANTRRGAQKTKNMEMLKLQKPEEPDFSTEPEDD >KZN11701 pep chromosome:ASM162521v1:1:47118833:47122697:-1 gene:DCAR_004357 transcript:KZN11701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATATLIGALLGFSTQIYSNALRKLPLMRHPWEHVLGMGIGSVAVNQLVKWEVKLDEDLDKMLEKAKAANERRYFDICQPFRRQKIVYQLKESDLIRYRALTRAGENLRDSGKISPKMDRIPNHTYGREFPLTGLSITRLVRFTVGYRAAGRMALLLNHNNMILFLIIFFFFVPVMPHQENTSKPLASDTFNAASKSNFQNLTTLCTNNSAVTGINKQEPTKQQDIPARLQHRKACRSSQSRQGSMWRDRAVNASAHEVPSGPNPISNR >KZN11501 pep chromosome:ASM162521v1:1:45239370:45240605:1 gene:DCAR_004157 transcript:KZN11501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRAAEYDSLNSTPRSSDLQDPRVRFMCSFGGKILPRPHDDQLRYVGGDTRIVAINRHTTFSSLLEKLAKFSGTTSISMKYQLPNEDLDALITITNDEDIENMMDEYDRLVQNNPPHSKLARLRIFLFPTDVDSRNSSISSLSSLLNGSIKREHWFFDALNGRPGSGLYRLGSEASSIVSEVPEYLFGIENSDEQSRESKSRTRRVLNDNASDPGSPAPVASPFCSTSSGLGPTYMPPVPDLQPVKTKPEIPVSEPKQGSVQEVTETIDPKFVQQGYGDNSMWHYGPGGQYLNPGVQNMPVYYLPGSVPPPGTVGLQQVPMQAQFVQRYSVGHNQIPVGLHQQVPGMNQVYARERNPYDIRRTPSGVSQHEVYYEVGNAGTVPMYSRMVAPGGEEIEGSGNEYNTGRVL >KZN09222 pep chromosome:ASM162521v1:1:21798530:21804012:1 gene:DCAR_001878 transcript:KZN09222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVYYIVVAFPCTVGAIVLALFHIYRHLLNYTEPTYQRYIVRIIFMVPVYALMSFLSLVINESATIYFNSIREIYEAWVIYNFLSLCLAWVGGPGAVVISLSGRVLKSNWCLMTCCFPPIPLDGKYSKLVTTVEPLWLYITSNYQLLLADMPHFYCIEYSGANIGTTRGFSENLAHAVKLNDFYHDTVHQFAPTYHDYVLYNHSDSEGESRTYRAKTFVPTGLEMDSVRKNKHMLGNKLEGIQLSSLSSSGSSTPGNQSPEQVLVDISKSEAMNPSLLLDASLEAPNDLTLVDIDMSNYSEEVPAVNDLGTR >KZN08724 pep chromosome:ASM162521v1:1:14361198:14362183:-1 gene:DCAR_001380 transcript:KZN08724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRIKSGIEIVKDNIHCLQDECDSLHYEIYACYHRNKLFEYELTLKELDKSYYELENLKQKYKVQLRKEEEEKSEKRQQRFYEMFIPQLLSGNHKSNLEKEEIVKGDEIALEINHVEDKNTENQLREDNVLVSDSLDCKEHKTDIFVESFAESVPVVQEEKMADDDIIEVEHIEFIIPEICLNILHRQISFQRYLRTELILLKKCIKPIYMHVLAPYGGRL >KZN09703 pep chromosome:ASM162521v1:1:28618985:28623071:-1 gene:DCAR_002359 transcript:KZN09703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDSEQMRSVVTDTRGKHRILAELKRLEQEARFLQEELDQLEKLEKASAACNELLTIVETSPDPLLPLTKGPTNPTWDRWFERPHDPSGCRCWIL >KZN10562 pep chromosome:ASM162521v1:1:37053573:37057285:1 gene:DCAR_003218 transcript:KZN10562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHRDENVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAINDLDKELDHLKNVFEAEVARFS >KZN10622 pep chromosome:ASM162521v1:1:37679365:37683437:-1 gene:DCAR_003278 transcript:KZN10622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDTATGLQTFEIMGIAAVGNNLITYVINEMHFPLSKSANIVTNFVGTIFLLALLGGYISDSYLGCFWTVLIFGFIEFSGFILLSVQAHLPQLKPPKCNMISDGEQCVEAKGFKALIFFMALYLVALGSGCVKPNMIAHGADQFNRKDSKQSKKLSSYFNAAYFAFSLGELVALTLLVWLQTHSGMDIGFGVSAAAMALGLISLVCGTIFYKNKPPRGSIITPILQVFVAAIIKRKKESPSDPHLLHGIEGKTPNANAATAYDVGHPQYTNRFGFLNKACIKAGSEGNINESAWRLCTVNQVEQVKILITVVPIFACTIVFNTILAQLQTFSVQQGSSMDTQLTRSFHIPPASLQAIPYIILIFLVPLYDRFFVPFARKITGHESGISPLRRIGLGLFVATFSMVAAAIMEKRRRVAAVESNEIISIFWITPQYLIFGLSEMFTAIGLIEFFYKQNIKGMQSFLTAMTYCSYSFGFYLSSVLVSLVNKITSNSSSRGWMGENDLNHDRLDLFYWFLAVLSLINFVNYLFWAKWYNRSIPLVLDAKPQFDSKSVKANYVGDDMA >KZN11982 pep chromosome:ASM162521v1:1:49876661:49879920:-1 gene:DCAR_004638 transcript:KZN11982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEGMALSGSSPYNLSRGVGGSVPNSGPLFGSSMQPGLASAPVFKSFTSPNEKDVENSAPISGHDSNMVVASDGANISLALSPMSSDPSLGSIVPGEKKGRGRPKGTGRKQRLASLGEWMTTSAGSAFTPHIIHIGAGEDIASKLLCFAQQRPRALCILSANGTVSSVTLRHPGSSLDSVTLEGRFPILRLSGSYLLSQGGPHNRTGGLSISIYSPEGHVLGGAVGGSLIAASTIQVVACTFVYDDWKTKNIPDAEAKADNDSDVQPTEKSSIPGSAGLKDPSEDIAPNSETSVLTLRCPGMTDPNTEIGLAHG >KZN08099 pep chromosome:ASM162521v1:1:2707774:2709280:-1 gene:DCAR_000768 transcript:KZN08099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKGGFPGSGITQSDEIKSSSQTQAVSRDMYPWLITGKIGLTELMLQVCCA >KZN10266 pep chromosome:ASM162521v1:1:34020413:34022254:-1 gene:DCAR_002922 transcript:KZN10266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMRLTLLLLFFTLNFVMFHSQARYHYHKKRKHSPPTSQISQPPSGAPAAGAPGPSNQPPKADPNVNPGVFDVRNFGAVGDGMTDDTEAFKAAWDAACQFESGVLLVPKSYSFMIQSTIFTGPCKGGLVFKVDGTVMPPDGPELWPSKNSKHQWLVFYRLDEMSLQGGGEINGRGEKWWNLPCKPHRGPNGSTLPGPCDSPVAIRFFMSNNLTVQGLKIIDSPQFNFRFDKCTNVHVESIHITAPALSPNTDGIHIENANNVRIYDSTISNGDDCVSIGSGCYDVDIRNITCGPGHGISIGSLGNHNSRACVSNITVRDSVIKNTDNGVRIKTWQGGSGAVSGITFDNLHMENVRNPIMIDQFYCLTKDCKNGSSAVLVSDIQYSGIKGTYDIRSPPMHFACSDSVPCTNITLSDVELLPAKGEMVLDPFCWNAYGDFEALTIPPVSCLLAGNPRSILENSLGLC >KZN11498 pep chromosome:ASM162521v1:1:45215979:45216158:-1 gene:DCAR_004154 transcript:KZN11498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLLLAITIGCASSARILEEAEFPVNQQAAYDPPEIDDLEEAPVAGALLNCQVGRYQS >KZN09577 pep chromosome:ASM162521v1:1:27343143:27344347:1 gene:DCAR_002233 transcript:KZN09577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGSVIFSKPLAWAIFSGDQIMAYLSLAAIASALQGALFAKLGEPTLQWMKVCTMYGKFCNQVGEGLASAVIASLAMVILSAISAFSLFRLYGGNKDKNTARW >KZN10748 pep chromosome:ASM162521v1:1:38614117:38615352:1 gene:DCAR_003404 transcript:KZN10748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSSAAGDTIAAKESISDGQTIVSARGEFEMGFFSPQGNPLNRYFGIWYKKISPGTVVWVANREAPISNTSGVVRVSSRGIVVSTNQSTRSIWTSNSSASVKNPVAQLLDTGNLVFRDENEVENFAWQSFDHPGNTILPGMKCGFDLVSGVDRYFSPWKSDDDPAPGEYTSRLDKNGYPQLILMKGSVVRYRTGPWVGSRFSGVPVLKPNGFYTIEYVITPTEVYYVNHLVNSSESPITRAVLAPDGVSTRYKWNSDKHSWSQFLTLEAGDCDRYEACGRNGVCNVNKSPRCECIKGFDPKRPEHWAEADWTSGCSRNVELECGNEDGFFKYTGVKLPDTRWSKYNMSMSLVECEDMCLKDCNCTAYSNTDIRNGGSGCLLWFGDLKDMRGYSADGQDLYVKMAASELSK >KZN09765 pep chromosome:ASM162521v1:1:29167032:29171145:-1 gene:DCAR_002421 transcript:KZN09765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITRVSKQTQKPITAKPSSISSHTSTSTSLTDTTNGSHDFKISGYSLSKGMGIGKYVASDTFFVGGHLWAIYFYPDGKSTEDNGTYVSLFIALASEGTDVRALFELSLMDQSGKERHKVHSHFGRVLDSGPYTLKYRGSMWGYKRFLKRSTLETSDYLKDDCLLVKCTVGVVKSQTEGPKIYSILPPPSDIGQHFGQLLESGEGADLNIEVDDEIFAAHKLVLATRSPVFKAQLFGPMKDRDTQCIKIEEMEASVFKALLHFIYWDALPDMEDLGGLTTKWASSLMAQHLLAAADRYGLVRLRLLCEAKLCEDVAINTVATTLALADQHHCFQLKSVCLKFVALPENLKAVMQTDGFDYLKQSCPSVISELLECVARISEHSVISYGYRNEPSLDGADVNGRRVKPRIY >KZN09424 pep chromosome:ASM162521v1:1:24861393:24862031:-1 gene:DCAR_002080 transcript:KZN09424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-3 MQWTYMCKAFLKEAKWYYSGYKPTLEEYMENGAVSSAAPIVLFCAYFLTADKITVEALDYIDKLPSIMWCSSMILRLTNDLGTSSEELARGDSLKAVQCYMNDTGASEAESRKYVDSIMQETWKILNEDLLGSYPFSEPFLTANPNLARTTQSFYQYGDGLGMPQNWIKDLLKSLLVEPFTLNH >KZN08015 pep chromosome:ASM162521v1:1:1453404:1456964:1 gene:DCAR_000684 transcript:KZN08015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRVIVDSSPYLSLLAGLSYGISSMAMVFINKAVVMQYSDSMTLLTVQQLATALLIHFGRVMGYTRAKALNIATAKKLILVSLFYNANVGFALASLKGVNIPMYIAIKRLTPLSVLVAGYFYGKGRPSVQVTLSVILTAAGVVIAALGDFSIDIFGYSMAGTSVFFQTMYLVLVEISGAEDGLSSIEIMFYNSLLSLPFLMLLIITTGEFPNSLALLFAKSTSLSFLVILILSLVMGIVLNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFFLLGGVQVHGLNVAGLVINTAGGLWYSYAKYQQKKRPPKIVPDEESYNK >KZN10776 pep chromosome:ASM162521v1:1:38845156:38846162:-1 gene:DCAR_003432 transcript:KZN10776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPVSKPPSLPPYPEMIMGAIDALKEKEGSNKSSIGRHIESTYGDLPAGHQNSLTEHLNKLKEDGELVLVKNNYMRPDPNAPPKRGRGRPPKAKDPNAPETPVAAPAAAAATDATAAPTAGTDGPSRGRGRPRKDPNAPPSAKKAKTDKPKDPTPSKSGRPRGRPRKVQPELAQNGVEAN >KZN09117 pep chromosome:ASM162521v1:1:20392710:20393384:-1 gene:DCAR_001773 transcript:KZN09117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTKAATRMATVEERKELDARAKRGETVVPGGKGGKSLLAQEKLAEGRMKGGKTRREQLGINGYKMMGKKGGQTRKEQLGTEGFRKLGRLGGLSNIAMKSGSTSASTSPDPAPGSTSTSTSPDAVSTPALPLNRASDEN >KZN11618 pep chromosome:ASM162521v1:1:46363503:46376887:-1 gene:DCAR_004274 transcript:KZN11618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRSGGDALRASSSCRTGLQNGVARLGDASNGRDIFSKQNFAKFDTTNLEWVDKIEECPVYHPSKEEFEDPLVYLQKIAPEASKYGICKIVSPLIASVPAGIVLMKENTGFKFTTRVQPLRLAEWDTDDRVTFFMSGRNYTFRDYEKMANKVFSRRYCSAGCLPPSYMEREFWREIACGKTESVEYACDVDGSAFSSSPSDQLGRSNSNLKVEGRACFSREANLEFLKTKRLQQSKLETSDSSLHVRNMMTRSGGDALRASSSCRTGLQNGVARLGDASNGRDIFSKQNFAKFDTTNLEWVDKIEECPVYHPSKEEFEDPLVYLQKIAPEASKYGICKIVSPLIASVPAGIVLMKENTGFKFTTRVQPLRLAEWDTDDRVTFFMSGRNYTFRDYEKMANKVFSRRYCSAGCLPPSYMEREFWREIACGKTESVEYACDVDGSAFSSSPSDQLGRSNSNLKKLSRLPKSTLRLLETIIPGVTEPMLYIGMLFSMFAWHVEDHYLYSMNYHHCGAAKTWYGIPGHAALDFERVVRKHVYAHDILSADGEDGAFDVLLGKTTMFPPNILFEHDVPVYRAVQKPGEFIITFPRAYHAGFSHGFNCGEAVNFATGDWFSLGSIASRRYAVLNRMPLIPHEELLCKEAMILFKSLEIEDPDYSSTDSVSHLSIKASFVTLMRFQHRARWCLMESRACIDVSPNPYGTILCSICKRDCYLAYLSCDCEDPHSICLRHNVKSLDLPCSGNHILFVREDISDMEAAAKQFEQEEIVIYEITRHLGKGDDMYQLFKMFPSAEDNGYTPYCKIKFDLREEYAEANDQTEDLDCVSSPLSTSCKAIEDHRKQVLNVSVSRASSAASTPFSLMDPSENFSAEQYGNVKFSSSASFDEVPHIHEPSVSSLSNSVSKVKPTDDESDSEIFRVKRRSSAKMYNINLNESPSPLFERQGLKRLKKHQPSGECGQALLLGCTTAENFGQDSRFSATHTKEAYDSTSRDRYPKGVPVPISVKSKKMAHEEAINSHIGTQKDDRFRSEIVKPAREPPSVEMVPKRRLKIRGPTFWGLESRLR >KZN09649 pep chromosome:ASM162521v1:1:28111762:28122701:-1 gene:DCAR_002305 transcript:KZN09649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSSQKKEKYGESSKLSEDNSTTGTAARTRPFSFDEIMLQRKHKKQPADYKEVIGGKLSGENINEKVPDAVESYQKRNKDSLHDDEKHAFEDSMDLKSRNYDEFKLFNVNDRESQRSERKSKISPKRSSVVDRAKRGEIDEQDYEKRRSNRYNDPVKGSERWNSRHYITEDIFADRYRGNTEQEGKTKRRKEDDRKDKDRNAVKKHDSYKGREPESKEKREKKETSKSHNEDSRLKRRRSRSRERANDTGRRSMSLSPRAHKVKHVSHAVRHHEELSGHSFKNRRKRSNPDFNIPEPKERRENKELEVLNDDSRLKKRRSRSRERTKDTGRRSISLSPRAYKHASHAVEDHRELSAQSSKDRSGRSNADFESAGLSSNGFSGHHRRYGNSASKLGGYSPRKRRTESAAKTPSPTIRSPEKRSAGWDHPPSKSEISFNGSVFSNLQSSAQIVTANVSHVSMTLPGTSITAMTGAGVMSTASSPRVDTSLDSIQLTQATRPSRRLYVENLPTATSEESIIKCINNFILSSGGHHVQGTHPCISCIINKEKNQALVEFLTPEDASAALYLEGRTFEGSILKVRRPKDYFDASTGIPRKSVSEVGLISKTVADSPHKIFIGGISKAISFDMLMEIASIFGPLRAYRYEVNTDINEPCVFLEYVDQSMTKKACAGLNGMKLGGKVLTVVQAMQNASLIANDETSPSYNIPLHAKPLLEEPAHVLKLKNVIDPQYVSLISELELEEMIEDIRLECARFGTVVSVNVVKVQNLIIAQETNEVEGIVSAMDEADQEYDDTKTRTELQPDLDHSVLGDIGSTEPSDSHKFEENVDADVHSSYDDQINTVELSSRVCKPEDGVEAIETNSISEDKLTDNSGKDQVHQLMQERSAKLAEESAPEEGSDILMKVSNQLHVCVDRTEIPDDPLKDTSQEEGSRVENKFSIKQEKENSILGRDSYELDYSSSKELDGRENEGKKEQEYDQGNVFEPGCVLVEFRRTEASCMAAHCLHGRLFDDRVVTVSYVDFDLYRSRFPK >KZN11314 pep chromosome:ASM162521v1:1:43641713:43645099:-1 gene:DCAR_003970 transcript:KZN11314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVAGSTLASGTLRLIFNGKQDVFPGTLPSGSDLQSFVLEFVITFYLMFVISGVATDNRAIGELAGLAVGATILVNVMTVGPISGASMNPARSLGPAIVANRYTGIWIYMVGPIAGAVAGAWVYNIMRFTNKPLREITKNASFLRSSPRS >KZN08291 pep chromosome:ASM162521v1:1:5004546:5006144:1 gene:DCAR_000837 transcript:KZN08291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNYFSMVLISTLVLPFLPAAWAQAKPSVSRVFVQCLHRNSLPSHPISQAIYTPENSSYVENLNIYARNLRFCKRDTPKPLAIIAAMHESHVRAAIMCCNKTNLLMRVRSGGHDYEGLSYTANMPFVILDMFNLRSIDIDLEQDTAWVESGALLGELYYRIASMSSTRAFPAGVCPTVGVGGHFSGGGYGTLIRKYGLSVDNIVDAKIMGLDGIVKDRKAMGEDLFWAIRGGGGASFGVILSWKLKLVQVPETVTVFVVDKTTEQGGLADIVYKYQNVVTKLPKDLFLRMELSTIGENKKTIKASFIGFFLGKTKELLELTKNNFPELGLDQHYCTEMKWIESVLYWDGIPKGASINVLLERVWHNKSYTKSKSDFIKNIVPKQGLEFIFAKMVQLGGDIVMQWNPYGGRMDEISENAVPLPQRAGYLFKIQHILYWYESRESHADKYMNESRQFYESMAPYVTRFPREAFLNYRDLDIGENALCNSSYTEAKSYGLKYFKGNFDRLVQVKTRVDPTNFFRNEQSIPPLLV >KZN11430 pep chromosome:ASM162521v1:1:44586335:44587289:1 gene:DCAR_004086 transcript:KZN11430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFNKELVKAPKELNSPLPPMEGSAKRVIHKPKQVAPQESLKGFLSAHSNAFSLSFADNAAALAFAPPAHPNHRLFSGVDDIYCSFLGSLNNLSSLNKQYGLSKCGNEALFVIEAYKTLRDRGPYPVHQVLKDLEGSFGFVLYDTMANTVFVSLSGDGGVKLFWGIASDGSVMISDDLELIKASCAKSFAPFPTGCMYHSEGGLMSFEHPTNKLMAMPRVDSEGILCGSNFKVDVYSKTKSSMPRVGSEANWAAVWGQEA >KZN09976 pep chromosome:ASM162521v1:1:31273648:31276654:-1 gene:DCAR_002632 transcript:KZN09976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGSQSEITPQQLQHEEALKRNTDCVYFLASPLTCKKGIECEYRHSDIARLNPRDCWYWLNGSCLNPKCAFRHPPLDGLLEVTTPSVNSLPPSQTLAPVASQGPNVPGKQAVPCIFFQKGYCLKGDICPFMHYPYSLNSKATQSAGATPVSEPQTNKITSGGLGKHTTQEQKVSQANAFKSADLPSMMNPTAIAGKALLRNEIPVGRNEPIGAGLEGELPRYSRGNVHSSSNGNLVSRSHRSSQVHTLQDQSILNAKDADEVSREPSPGFDVLVDDEIRDSEYYPDEDQYGRTGHDGMNFTAANEYDIGRSADYGSVGGVDRDMYHDSRNYDSFEPLQGQYDSSERMVRGPAQLERRRYPRADSPGRIDGSDLRHHLSKQKRNNGLKSVISRNPSRENHSDDRSSRASRRDQLPVHEASSRLRGRIKIPGRSVSPINRIDPRSERENDRSRHLRRLSPQRPLGRLRDRIKGRVQEDFDDRRNFRGSSTRRDIIFDNNAEFSGPKSLSELKVRKTSESSGQHMNDRLLHGKRKYSPTEEVKQGEGDVSFDGPKPLSEILKRKRGGDSAFHESGFTTDKENNQKEGNESMNFALSSEANNKVNESRLNEGSIPAAGEVGVAEENNKAYEGQSSLQPNDSELQIEEGMIGDEAYEQRGNFDYDEQAEGEDFINIDEGENADPGDDYFDEDDDADDFAKKMGVMYS >KZN11668 pep chromosome:ASM162521v1:1:46835772:46836723:1 gene:DCAR_004324 transcript:KZN11668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESSQHDQANAQPSNEKPSTLTSKNSEEGHTNPIPDAISQRRTELGSELKPPPHNYEAIVKDAAIDKSSTEKLYQQLYTGVLLNQKKQKYWVDKKSHANCFMLYARSLSITWSEDRRFWHWPYDSESDGVFVDVAELLNVCWLEVYGKLEASMLSPGVLYEFAFVVMLKDPAYGWEVPVNLRLTLPDGTKQEHKENLMEKPRGRWIEIVIGEFMALQDKPGKIECSLFEYEGGKWKKGLLIKGVAIRPKASIKV >KZN07938 pep chromosome:ASM162521v1:1:809554:810120:1 gene:DCAR_000607 transcript:KZN07938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKHIYFCLIQFLVFYNLSFISVEAQECQSSGTIAGTSPPNGQCNTAYGAACCEQDKIYDIFTCSPPLSGATTATLTLNSFEDNGDGGAPSECDQKYHSNETPVVALSTGWFNNMSRCNKNIVIYGNGMSVTAVVVDECDSTTGCDQDHAYQPPCDDNIVDASEAVWTALGVPRSEWGSMQISWSDDA >KZN09149 pep chromosome:ASM162521v1:1:20906906:20908103:-1 gene:DCAR_001805 transcript:KZN09149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFFARYWLYAAVSCRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRIAVSRQGLKLHMPPPYSIVIQEAEQGSWHVPTVTGDDLEIPRQWLCATRTVEPINISKAENTSKATKPLSQLF >KZN10815 pep chromosome:ASM162521v1:1:39261601:39264889:1 gene:DCAR_003471 transcript:KZN10815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFFLSHFKLQIIFPIRSSNLHLFNHIHFKFFTNATCNIAACNVEISRLSRAGNVEAARKVFDEMPHRDVVSWNSIITAYWQNGFLQESKTLFHSMPSRNVVSWNSIISGCIQHDNADDAYEYFTSMPERNTASYNTMLSGFVRSRRIQEACRLFEIMPRRNVVSYTSMIDGYMQFGDVEQARSLFEIMPCRNVVSWTVMIRGYVDNGRFEEANELFRKMPEKNVIATTAMITGFCKEGRMEEARVLFDKLRFRDCVSYNAIISGYAKNGSSEKALKVHIQMLATGLQPDHATFISVLTACSNLASQSEGTQTHALVLKHGFSSHVSICNALISMYSRCGRIYDSVSAFGHVRSPNIVSWNTIIAAFAQHGLYEHALTYFKQMQLNGFEPDGLTFLSMFSACGHAGMVDESMKWFDLMESKYKVTPSSEHYACLVHMLGRAGQLEKAYLLIQQMPYEADSGVWGALLSACRANLNVELGQIAAEKFLKLEPDNSAAYVMLSNMYAARGMWKEVTYVRGLMKQHGVNKQPAYSWMDVGNEVHSFLGGDISHPSIEDIHTELKQINRQMEDKDRMHLALSQSYINEIDLYS >KZN10337 pep chromosome:ASM162521v1:1:34685428:34687092:1 gene:DCAR_002993 transcript:KZN10337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFKPTPANSCPLTPLTFLERAATVFGDSPSLVYNHTSFTWSETHGRCIRLASSIVSLGIQRSQVVTVLAPNIPAMYELQFAVPMAGAVINNLNTRLDAHSIAVILCHAESKLVFVCAQYSRVLLQAISMLPPHVARPILVFINAGDNVLAAPRDLNEFRCSHEDMVERGDPGFRWIRPENEWEPMTLNYTSGTTSSPKGVVHSHRSIFIITVDSLLDWSVPKQPVYLWTLPMFHSNGWSFTWGMAAVGGTNICLRRFDAPLIHAAIPKHGVTHMCGAPVVLNMLCNHASAKKLKNPVHFLTGGAPPPAAVLLRTEALGFVVSHGFGMTEVGGVVVSCAWKRKWNALPALERARLKARQGVRTIGMTEVNVVDPNSGIIVKPDGLTQGEIVLRGGCLMLGYLKDPEGTAKCMKKDGWLYTGDVGVMHPDGYLEIKDRSKDVIISGGENVSSVEVESVLYLNPVVNEAAVVARPDEFWGETPCAFVSLKDAAGLIQKANEKEIMEFCRDRLPHYMVPKTVVFMGELPKNSTGKIQKFSLRDIAKSLGTSPSSRL >KZN09807 pep chromosome:ASM162521v1:1:29579557:29580759:1 gene:DCAR_002463 transcript:KZN09807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNEEEKLPEKRHCYLQPRTPSRDVNIIKYTVVDTSLANGKFYRELSALPKDVFKMDSLSEESDNGTSEVSTNESDCANTMFVNQETKSTRGDKILSERGETLNSSSDKPVQIRGPYSLKVEEKGKPNKLYGDKQTRKPVRSHLSQKLKRNDLDNLASTTKQLRTMLACSNEEIGSGRSTLPLVTKLEEDAHGCCTDNHDPNVKALEVVGSSQDRLSFTISPKGSSPQSTEDAHNDDSHGPENPQSRPLIDLNLPQQPVEFEDGIFMTDSTIMQNDITSKQRDDLCAAKTSADISLPEQPVNINSRRQSTRNRPPTARALEALVNGYLTAATRRKDSHEASRARPHKRSRIEVAATDDVTTNDAVMVGESGSHTIDDGNVNMIDKLQILSNESGSHVSNP >KZN10152 pep chromosome:ASM162521v1:1:33055016:33055504:1 gene:DCAR_002808 transcript:KZN10152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKIATNYSERSATFSFGGGFGGSTREDTRDVIKEQDRLLPIANVGRIMKQILPSNAKISKEGKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALGSLGFDDYAEPLKRYLHRYRELEGEKANQHHRGGGNINMNHNIQEIFEEASNQPAAPN >KZN09770 pep chromosome:ASM162521v1:1:29214643:29216627:1 gene:DCAR_002426 transcript:KZN09770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFTSISSKEDVDIESGSSTLYPGLSHGENQLRWGFIRKVYGILAAQMILTTIISSVTVLYAPVNEVLRGNSGLLLFLLVLPLVLLWPLYVYQQKHPINLVLLGLWTSCLSITVGVSCANTDGKIVLEALILTSAVVASLTAYTFWASKKGKDFSFLGPILFTSLFVLLLTSFLQMFFPLGSTSTAVYGGISAVVFSGYIVYDTQNLIKRFSYDEYIWATVTLYLDILNLFLTILRMLRQGDN >KZN11693 pep chromosome:ASM162521v1:1:47042746:47044211:-1 gene:DCAR_004349 transcript:KZN11693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVCSQSSVFDVKDSGAVGDGKTDNTNAFLDAWKKACQSGGTVMIVGGTYLLKTIQFSGPCNGQVSFMVNAVIQAPQGQSNEHYWINFNNINGLTIQGNGTFDGQGPSAWPFNDCHNAASCSPLSPSLVLTKLNQSLVQNVKLLNSKGFQMKIEESEKITVNNITITAPADSPNTDGIHTGNVNYINIVDSNIGTGDDCISMGAGTTNINITRVNCGPGHGISIGSIGKYLTDQNVEGVKVQNCTMSSTQNGVRIKTWNSTFSLSVADVTFQDIVMDKAQNPIIIDQQYCGGRHDCIGSSHVQVKDIKFIRVSGTSASEIAVNFNCSSSNPCYDIELNDINLSSENGGKATSWCSNARVSYIGTQNPASCQQALAPAMMLEYM >KZN11810 pep chromosome:ASM162521v1:1:48291007:48292453:1 gene:DCAR_004466 transcript:KZN11810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPHEFYQASAAGYYNNVQQFAPEKRNSDIKPGDHFVIEDLLDFPSDDLLVTDAAFDNVTGTSTDSSALTVVDSCNSSFSGNEARFGGSLADHAQFSNELCVPYDDMAELEWLSNFVEESFSSEDLQKLQLISGMKAGGDASENQQYQPETNRNNPIFRSEVSVPGKARSKRSRAAPCNWTSRLLVLPPTNTPAMSSESTESDIAAMGKKMGKASITGKKKEVFDNGGGSGGDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMLTKHSNSHRKVLELRRQKEMQRAQHHHQQFIHQGMMFDVSNGEDFLIHQQIGPDYRQLI >KZN08054 pep chromosome:ASM162521v1:1:1811609:1816679:1 gene:DCAR_000723 transcript:KZN08054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRMRTAMSTHILTLTLLKTPIYKPKSPPFLQNPNPNHPIRRRFSLSASAATPPPITATPNVEIDPRYLSCCMPDKRLNVAVLVSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDYENFWSECPWEDDLKYAKAVCNQVDIPLEVVHLTDEYWSNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAISSMGFDFVASGHYAKVIHEFTEQSSGPSVLQLSEDMVKDQTYFLSHLSQSQLRRLIFPLGCIPKDVVREIAKSFDLPNKDRKDSQGICFLGKIKFSEFVARHIGEREGVILEAETGDFLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDTKNNVVFVSRSYYSVDKRRRSFRVGSFKWLSGKHPNEIGHLQCKVRHGPGFYDCSLTMEPGENSHDKVAVVQLSEDDQGIAAGQFAAFYSGRTCLGSGVILESWDDSGFPICSRARETAGMEDKSKLGKPVKIKFIPGLPNSDTTEDARLAQLEVDNSKSAIRATRRRASHQKTILTAPHNWLRRFTKKWMRIFQ >KZN08320 pep chromosome:ASM162521v1:1:5233454:5234002:1 gene:DCAR_000866 transcript:KZN08320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIADGCDIMDSVATFARCRQRGVCIMSGNGSVNNVTLRQPTSPGAVLTLHGRFEILSLSGSFLPPPAPPAATGLTIYLAGGQGQVVGGAAVGPLLASGPVVIMAASFSNAAYERLPLEEEEAAPPMPMQGGGLNESPVGQQNSQQQLLGDPSMFHGMAPNLLNSIQLPPEAFWASGGRPPY >KZN10750 pep chromosome:ASM162521v1:1:38629225:38629929:-1 gene:DCAR_003406 transcript:KZN10750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKQEPFAVQTTFVTLKKSQWPTVTIQELLDTELSTQEEVMRFQFEVDFFKYEVRLVPKIGYGCPLTLLPLNRYRYTDTVKDDTAKAALMSNGLLAFPLRALLQALPIAKSGAP >KZN11728 pep chromosome:ASM162521v1:1:47515351:47518463:-1 gene:DCAR_004384 transcript:KZN11728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVGNSKTGEISMLETKRSGDVVVMVSGQEKDVKNSPRQEKDVKNSPRRLSSDSHFEGVKFVPMGTPSPENARFAPSPNRPPKIPTKESTAPRKALARSVYSKPKSRFGEQPISVESYMLEEDSNFMQDCLKSSGNSPARNMSTQASPNSRAASAHNSFKDMNRNVSINTPRTPLMASPGGVIVDEDEEIYKKVSNRNKLKYKKVKIKVLIDWIVFLVILGSLISCLTIDKLEHTRIWGLELWKWSLLVMVTFSGMLFTTWFMHFVVLVIEMNYLFRKKVLYFVHGLKKSAQVCLWWTLILITWLSLFNNGVHRSATATKVLDYITWTIVSVLICSFLWLFKTLLLKVLASSFHVTTFFDRVQESIFHQYVLQVLSGPPLMAGRADSGSQFSFRSKKIGKKGKEDTKKAVIDINKLHQIKQEKVSAWTMKILVDVISNSGLSTISSSLDETDYYGNNEQTDKEITSEMEAIAAAYHIYSNVAQPGSMYIYELDLRRFMLKEEVDLVFPLIDVAEMGQIDKKALTEWVVKVYNGRKALAHALNDTKTAVKQLNTLVTVILIVIVFVVWLLLVEIATTKVLLFLSSQLVAAAFLFGNTCKTIFEAIIFVFVMHPFDVGDRCVIDGVQMIVEEMNILTTVFLRYDMEKIYYPNSVLATKPISNFYRSPDMGDSLEFSICFTTPVEKIGSLREKIKKYLERTPQYWHPNHNLVVKEIENVNKIKMALFFNHTMNFQEFGEKNRRRSELVIEMKKIFEELGIKYNLLPQEVQVVNSDAAR >KZN11815 pep chromosome:ASM162521v1:1:48355166:48355876:-1 gene:DCAR_004471 transcript:KZN11815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSELESSSNSFSCSPSSPASPELVKSKNDSEKALEPNRKPKRMRENSKHPVYHGVRMRNWGKWVSEIREPRKKNRIWLGTFATPEMAARAHDVAALSIKGNSAILNFPDLADSFPRPASNSPRDVQAAATKAAAMEKFDTTLPSPSSSSSSSLTSSSSLSSLVSSIDLSTSDELTEIVELPSLGTCFDSAELKNDFVLIDSVDGWFYPPLWPLENAYDGDVAADTGEFRFEFF >KZN11862 pep chromosome:ASM162521v1:1:48840331:48845236:1 gene:DCAR_004518 transcript:KZN11862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVNPLNFITFYHSSGSVSSCATHKNTPFKFLHSQGTHQRNHIGGQPLKGSHYNLKKRDPFKLFQSLSSSRVESNKEEEEAELQLVASFKSRFNDIMIVDTAESRLLLLDTTYNIHSIFNKGEKWTGCYWEPESNLFILQDEFASLPAVVPNGPIAIFGLAGGTAAHLMLDLWPSLQLEGWEIDEILIDKARVYLGLSDLEKHTKDGGIVHVHVGDAFAASIPGGYAGIVVDLFSNGKVLPEMHECGTWLEMKDKLMPNGRIMVNCGGSDVGAPVSDESSWEQNSTIKALCQAFPGEVSWKKLVNEGENYLALTGPLPDLNTWSTDLPDQLSSNVMQWKSCCPS >KZN10520 pep chromosome:ASM162521v1:1:36568569:36569200:-1 gene:DCAR_003176 transcript:KZN10520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTIFNIYTAMSFKMGYEACVSMIREGKAFDSSKHGWDVDLVVEMEKMANAGPESLQGGEGSEVQYTEPKGPEDDEMPLHEPQRMKLSFTHIRVRGMQCSCRSHYIQQSEFFNDEYAVSVASNCFASDLRLETAFKQEAQWLNAVCVNLEATSGSLSIITLVKTCSVSVDEACFLLCKFT >KZN11561 pep chromosome:ASM162521v1:1:45757775:45762922:1 gene:DCAR_004217 transcript:KZN11561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSKKDNQAAANGHRSGATAYHTVTAQSYEKSSQRPQQTQTQPQPQQPQVRHTSPPKPRQVVKSDPNTILGKPFEDIRATYTLGKELGRGQFGCVYLCTENSTGHLYACKSILKRKLVSKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDRQSVHLVMELCAGGELFDRIIAQGHYSERAAATICRQIVNVVNVCHFMGVMHRDLKPENFLLSGKDKNAMLKTTDFGLSIFIEEGKVYRNIVGSAYYVAPEVLRRSYGKEVDIWSAGVILYILLSGVPPFWAENEKGIFDAILEGEIDFESEPWPSVSNSAKDLVRKMLTQDPRKRITSAQVLEHPWIREGGEASDKPIDSAVLSRMKQFRAMNKLKQLALKVIAESLSEEEIKGLKAMFTNMDTDRSGTITYEELKSGLARLGSKLSEVEVKQLMEAADVDGNGTIDYLEFITATMHRHKLESYEHLYKAFQYFDKDSSGFITRDELESAMKEYGMGDDATIKDIISEVDTDNDGRINYDEFCTMMRSGAKQQTKLF >KZN08364 pep chromosome:ASM162521v1:1:5676428:5676700:-1 gene:DCAR_000910 transcript:KZN08364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGSITRAKGGTPEITETDKEAELVDDDGGSRTGGQAPVGPRPVFIPGRGYWIVPEEGGGPQKVWPVPMALTHGIRTTMQARYLCGRVL >KZN08612 pep chromosome:ASM162521v1:1:12072659:12075859:1 gene:DCAR_001142 transcript:KZN08612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVTDSNNAELYQALIKKDEQKVLDLCEKYAEEEGPMRVVTIHKDTILHVALYSMQVGLVIKLLENLSPQYIHLMDRKNSGGNTVLHEAATYDKLLDAVEMMLKLRKNLLTITNNNMENPLFRAARYGQKAIFKFLSGCIVKDFDTEELEKYHQKFDGSTVLHAAIAAEHFDLAFEIAKKYDHLIDLKDGDGLTALQLLACNPAAFHFRGEGWLMALIDSPASSYRVPWWDEMVDRRKKYKEAVKLANFLIPKDTSWKITKSVIDQREAEAVNEKQPESKKKTEKEIAMEKKMAEKQQTPLLLATQTGCFEIVEKILQVHPQAVEHINEDGRCILHIAIKYRQLQIFEMVQQMEVPMRRLIRKCDARGNSILHMVGKKVVNEAVEQTEKRSPSFQLQDDLLLFERIKKVIRPHFHKHTNVDGETAEELFVEHKEELRDRSQEWLKRTAENCSIVAVLIATVAFAAAYTVPGGSKDDGSPVLINQTFFIIFTISDVLSLTFCLTAVIIFLSILTSSFRLKDFKNSLPQKLMLGISCLILSVSMMMLAFAATVILMIRHNQQWTSIAMYLVAFLPVTVFASIYMPLYISLLGTLRYTLVKIWSFFPRFTCHSSTQSLHEMNEKQGRIPQTKKDMSSPV >KZN11475 pep chromosome:ASM162521v1:1:45018374:45019092:-1 gene:DCAR_004131 transcript:KZN11475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPHVAGAIAYVKSFHPAWSPSAIKSALMTTATPMKVKPMEAELATGAGQINPTKALDPGLIYEMNPGSYIRFLCKEGYNNTMIRIITGGKHLHDCSTLRARGFDGLNYPSMHIQLSQNSTKFSASFFRSVTNVGSGKSEYEAKVMPPPGVSVNVEPKKLVFERPHQKRAFKVVVKGKFGEDENQVLSGSLEWRDSSHRVRSPILICKSLYQP >KZN10118 pep chromosome:ASM162521v1:1:32751975:32754025:-1 gene:DCAR_002774 transcript:KZN10118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPKPISLLMKSVYLISNYDVAAAPDTYRPVPGEYSVNFHRKTSVKKIGDVPATPMFQFNLKTFEETRARLGDVVTLIDVVGKLKDYTHIQTAKSGKKSLDIVLADKRDEIKVTLWENQAFEFLKLENEYTQPNVIVIITGTSTRLVKGESVLWSSSSTQYFFNIDHSAVTTLRESTKLENSIIPTLVPSMRSQEQQNMANVEAVTIAQLFEAQLPDGKNFIEFYTEATVIGLFPNEGWYYIGCNKCGKKMNDFGQCIKCSHKTKPIPIYKVTLAVKDSTADTSFVIFDRHVMKLINVSAQHLLNSDQNATPEMMPPILNNMVGMTCIFRLKLNQYNTVQHKEGFTVMEVDEVKSNKPTSNSKIDSGEDSSEHDLDHESTEPSEHHLQKKRKNPNDETYSEQVQPPPENTSKGSLEVEQGHDAQRHAKNGGQVPPPNSGTKGRPGNKSLKRTNT >KZN10991 pep chromosome:ASM162521v1:1:40898567:40901368:-1 gene:DCAR_003647 transcript:KZN10991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYPAAAHMRLYYSPVRRDMTAVAALTQHSVKPLSRSDEHWNYCHEMLPQVSKSFGKLVLQLNQPKLRDSICAMYLLLRNLDTIEDDMGIPDEVKIPTLHNYHHCFSDNDWKFICGTNADRELTKGHHHIQAALMELESSSQEIIYEVAKRMSQAMARFIPKEIETMSDYEEYTEYVHGLFISGTLRLAHALIGEDMDEDSLTFLSHSMACLHQKRHTIYSYHEDVTELPRRKMYWAREIWSKYVDKIEDFQEEENSVMAVQFLNEMILNALSHAEDCLDFMRKIQDPMFFRFYAVPRLDSIGELALCYNNPQIFTKTVKPKNSDLKARIFNRTKTMRDVYGAFYDVSCLMETKVNNDDPRAGEILSKLEAIKHKCMSSGTLAARNSVIFENIQDII >KZN12101 pep chromosome:ASM162521v1:1:51039910:51040086:-1 gene:DCAR_004757 transcript:KZN12101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVLNLTTTPLELGEKSPCKLHDSWEVGDAYIMCEWRTHSLCEFILTTILLLSEVNL >KZN08571 pep chromosome:ASM162521v1:1:10625838:10630818:-1 gene:DCAR_001101 transcript:KZN08571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPKKMIIDTDPGIDDAMAIFVALNSPEVTVIGLTTIYGNVYTTLATRNALHLLEVAGRSDIPVAEGSHVTITQGTKLRIADFVHGTDGLGNQNFPPPKGKPIKQSAAEFLVEQANLYPGEVSVVALGPLTNIALAIQLDSNFTKNVGEIVILGGAFSVNGNVNPAAEANIFGDPDAADIVFTSGANILAVGINVTHQVVMTDGDRDKLAKASGKFAEYLCKILEVYFSYHREAYCTKGVYLHDPTALLAAVHPSLLTYTEGAVRVQTNGITRGLTLFDNKQKR >KZN11159 pep chromosome:ASM162521v1:1:42194040:42196184:1 gene:DCAR_003815 transcript:KZN11159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSSAISSIVIRSLIFLSTIQMAATLHFKFTNFNHTNDDQIKYERDAFPANNVIHLTNAVIGQSAESSIGRVTYRQPLHLWDKASRNLTGFTTRFTFVIDSRNDTAYGDGMAFFLVPNGTEVPALATSGGTFGLTNDSQPTNTTANKFVAVEFDVFENSWDPDIDEPEDPLFGRSPDVKIEHVGVDINSVRSNKTVLWDYGRSSVENGWTNEAQISYASSSKNLSVSFRTNITGNFYFVVDLRDHLPDWVSVGFSASTGAAISYNTINSWEFSSTLESHAEAPSPSDPIAEVPTLSQTGPVPQVPKHIKTNNKKMGTVIGLAVGVFVLVCAVGTYIILKKKKEREENENTICFEDDFMDDEFEKGTGPKKFSFNALAMATSKFAPHGKLGEGGFGEVYKGFLSELNLDVAVKRVSRNSRQGIKEYASEVRIISRLRHRNLVQLIGWCHERNNLLRVYEYMQNGSLDSHLFKGKSLLSWTARYKIAQGLASVLLYLHEEWEQCVVHRDIKSSNVMLDSSFNTKLGDFGLARFVDHDRGAQTTMVAGTRGYMAPECFFTGQASRMSDVFSFGVVALEIACGRKPIDIKLEESRVELVKWVWDLHGKEQILEAADPKLSGDYDESQMKRLMMVGLWCAHPDWPIRPFIKEAIQVLNFDAPLPVLPPQMPVATYSIPSNLCLENRATTQRSQTHSSGCSNGTDSSVSATAARLSNTR >KZN10277 pep chromosome:ASM162521v1:1:34135987:34138696:-1 gene:DCAR_002933 transcript:KZN10277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDGLRVGFEAWNFCNEVGKEAPLMGSPRAADCFDLARTHAFSRTQGLNNGEGNSLIHKVSDANNRLGVGRPFPGLSRQALNNADLYAAEKEVYLGSLCEVDDKPKPWQFWMVMLKNGNYDSNSGLCPENGRKVPPFKPGRFPCPGIDCMNQPLFHHDMTSLSSDGSMMRGGFYGSYELGSEGGGLNSGNSYYEVIWEKKVGEGSWEFRHKLKTSKLYPWLMLYLRADATKGFSGGYHYDTRGMLKTLPESPNFKVKLTLDVKQGGGPKSQFYLIDIGSCWKNDGTPCNGDVLTDITRYSEMIINPATEAWCNPKNLINCPPYHITPNNIKIYRNDTANFPYGAYHYYCAPGNAKYLEAPYSTCDPYSNPQAQELVQLLPHPIWADYGYPNKQGDGWVGDARTWELDVGGLSSRLYFYQDPGTTPARRIWTSLDVGTEIFVSNKDEVAEWTLSDFDVILTS >KZN08800 pep chromosome:ASM162521v1:1:15649679:15651713:1 gene:DCAR_001456 transcript:KZN08800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRYAALANLKTGVDNYKIKVRVIRKWRGATKTGEEFKNFNILLLDNKVLLYRTTKKTDKFRVVRRDNQLIFTTDTKIQQIEETAAQIATEIFDFYDLSELKNYMTETTYVIDAPNVTHVAPTTFYLNLNHHSVNQLRRMLAIPDFSKKVMAMEKKKKAELLTVEAIKSLDKDSVEAEVLAHVTIMHVDDQQKWFFKICTSCDFEVDFVNEFYSCARCQRIVPYPEIRLVVIALDATGSLQILLEDREVRSLLGKRARQLILQEATEEYFPESFKMLATQTFTIKMEIHAANVLKQSNLYWATNICHGFKLEEMDTEMEQQPESQSINTQATTSTAHLPGMSDLNCNSSAVTN >KZN07853 pep chromosome:ASM162521v1:1:163694:167526:-1 gene:DCAR_000522 transcript:KZN07853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPEVDMKLLEELQAMGFPLNRATRALHFCGNSSLVDAINWVIDHETDADIDEMPLIPVNIEVQAPGPFFMTEQLKLKAHELRNRAQGRTGIGEKQSEREKERIKRSKELLEAKRIAEENERKRFIALKEAEKAEEKRAREKIRQKLQQDKLERRSKLELPPDDLASVYPAISMIQKQKDPLPKAVQLPKKFTTKAELMSECLRSLRRKNKISDSTRFAKRP >KZN11859 pep chromosome:ASM162521v1:1:48808391:48812991:1 gene:DCAR_004515 transcript:KZN11859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAISEELAQLDGQVTDIFRALSNGFQKLEKMKDSNRKSRQLEELTDKMRDCKRLIKEFDREVKDMEYSNDAATNRMLNEKKQSMVKELNSYVALKKQYASNLDNQRADLFQAPDEASRDDNSLMASSMTNQQLMDNGNQMMDETDQAIERSKKVVHETVNVGTETAAALKAQTEQMGRIVNELDSIHFSIKKASKLVKEIGRQVATDRCIMGLLFLIVIGVIAVIIVKLVNPNNKDIRDIPGLAPPASTNRKLLWQN >KZN10583 pep chromosome:ASM162521v1:1:37218147:37238224:1 gene:DCAR_003239 transcript:KZN10583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVYGTGALDFKRHRVAEYPLNESKPGTNAPSSITLLEIQRDKLTRIAEENWAKSDGKKLKAFSEELVREIYHTELLVKSGRKTVPLQRVMILEVSQYLENYLWPNFDPETATFEHVMSMILMINEKFRENVAAWICFYNNKDLFNSFLEKVLHLKEGRSLSIAEKTNYLLFMINAFQSLEDEVVSKTFLRLTSLQCWHSLSYGRFQIELCLNPDLIKKWKKVAKKAKEAKKRDESFDLSTVLEVKFLRSIVEEFLEVLDCEVVDESGQVNESSILYCERFVEFLIDLLSQLPTRRFFRPLVADVAVVAKCHLSYLYKHEKGKLFSQLVDLLQYYENFEIDDRSGRQMTDDEVLQTHYERVHSFQLLAFKKVPKLRELALANIGSIHRRADLSNKLSKLTEQELRDLVCSKLKLVSKNDPWSEREEFLIEVMVSYFERKQSQKEAINALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYVNNEGETAFRGWSRMGVPIKEFKIRSVKQPNIGEVKPSSVTAEVTFSISSYKAQVRSEWNSLKEHDVLFLLSIRPLFEPLSAEEAETATVPQKLGLQYVRGCEVIEMRDEEGTLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYHMDVTDIAEKGGDDVYGTFNVLMRRKPKENNFKAILESIRDLMNETCIVPDWLHDIFLGYGNPSAAQWTNMPDLLDTVDFKDTFLDADHVLQCFPDYQVSFLNTDGTENLLPSPPFRIKLPRNLKGNVHALPGNEKSAVASIDAVGKADNGSEKDTLIVEAYIPPDPGPYPQDQPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLAEVERLAKSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACAKPENKEKPSFVHDFFPFKEFFTNSPNPIFTSQSFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSLARLYNWRYRDLGDLPNVKENAIFHRANAGFSYDYQLVDVPDYHGRGESAPSPWFYQNEGEAEYLVSVYIFMRLLGYPANKISILTTYNGQKLLIRDVISRRCVPYDFIGPPHKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFGRRSLFEQCYELQPTFQLLLQRPDLLALNLNEVTAFTNRHVEDTGQGQLVSGVEEMASIVNYKMDQVYQARMLSFPAYSEHAPMMSISGQNGLQNSLSSGDMMDTDTPASQNGSPEDVPAEHKSQDPTVVEHPSAAEGEMGPQSVNGNLTTEAPGVDGNSIAPGDLRRMITGESLLASAGINIGVALILVTLFSIFKRHRSNADIYYARRISLQEEDNLYVNIPVLDRRQYYFRQFVPSLQWMRDALKVTDDDILQDCGLDPLVLIRLFKFGFLDLGSSVYSYVSVVNSGSIYASAAINFGVAVFLLWLFAIFKRLPCNATIYYARAINLNHHVPPYHDHSSCLLKLVPSVHWIPHALHVTDNQILEENGLDALILIRLFKFGNERSSDDPQSMDHFTISNISGGSNRLWVHYSCLCFITLYGVYLMHKEYKHITVKRVQQLRLLRHQPSQFTVLVRNIPFCDEHKAHGCCVDHFFSKYHPHTYRSCQILYEGKDLEDLLNQAKKLSDKIETLRLRALTKKHVSGSSLSQAFNDDTKIERLEEKLQELHHKIRQTRGKKMLEEKDMPVAFITFSSRRGATLVAQSQQHSNPLFWTTEMAPEPRDVLWRSLAIPYKRLPLYKITVLVAASLLTIFFAIPVTAVQGIAKFEKLKKWFPPAMAVQLIPGLRSTITGYLPSVILTGCIYVVPFAMIGMAKLAGYVSRSRKDIKACNMVFYFLVGNVFFLSLLSGSLLDQIGKSFSQPKDFPSHLASAVSAQADFFMTYILTSGLTGFSLEILQPGLLVLNCIKLHTWGRGKNKNPYLYSLPYYRIIPFICLFILIGMVYAVVAPLLLPFLIGYFALGYVVFIHQIQEVYKTTYETCGQYWPYIHHYIVIALVIMQITMIGLFGMKAKPSASFATIPLLIVTLLFNEYCKMRFLPTFDNYSVQDAAKNDELDEQNGLMESNYQKALDAYCPPCLRPASVNEESSLAEPLIL >KZN09644 pep chromosome:ASM162521v1:1:28076627:28078327:-1 gene:DCAR_002300 transcript:KZN09644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQARCLIKCLLELLLKTRSSKRACSSVFDAISELKSKKGNFNVFGMLIIALSEMSLVEEARWVFGKLGVLPSVQACNALLDGYVKMGWFDSMWELYNFMLERGLVPSVVTYGILIDACCRRGEIEKAYKLFDEISYKRITPNVVIYTTLICGLCGDGRILEAERLFKTMQEAAVLPNLHTYNALMDGYFKLANVYAALGLYQEMLRKDVMPNVVSFCIIIDGLSKEGKAAAAHSYLVCMIKFGAIPNLILYNCLIGSYSREGNLAAAVKIFSEMESYGYAPDAFTYNTLIKVYCSEGGLEKAHHLFHEMNKYGITANSVTYNSLIDGYCKKGNMDEASKLCSNMMETGIKPNVITFSTLIDGYCKIRNMEAAMGLYIEMVIKGLEPDVVTYSSLIHGQFKDGNTKAALRLYTEMEEAHVTPNAFTVSCMIDGLCKNGMIAEAIKYFLDKPISQLSGTNIAQMDHEGCLANNVTYTALIQGLCKDGQISTASKLFSDMRSLDLRPDVLSYYTIMKGHLKVKNVLDVMVLQADMVKLGIIADSLICDMLTNIHHEVGDLTSALKCS >KZN08618 pep chromosome:ASM162521v1:1:12195885:12204746:-1 gene:DCAR_001148 transcript:KZN08618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHDMKRRELQKLCKEHNIPANLSNSDMAEKLSSMFKVQENVEERPMRKTRSCLKNSDEMLSENESDVLKRKSKKVRFSPQNDVFQLGGKNGRASVVDNEGGASQLIRCRAKLAKTIMISPVVKKRGKRAVQEVEEEDVVENKGDTSRVTRTRASLGKSVVISSPVVMKKRGKRALHENEKRDLAVENIGGASRVTRATALLGEKVLTSPVVMKKRVKKAVQEDEKEDLLVENKGAASRVTRARASNQVLISSPVVIKRKGKKIMQEDEKEDLVVDNKGAPSRVTRARAGKQVLISSPVAMKKRGKKAVQKDEKEDLVLENKDAPSRVTRARAGKQVLISSPVAMKKRGKKAVQKDEKEDLVLENKDAPSWVTRARAGKQVLISSPVAMKKRGKKAVQKDEKEDLVLENKDAPSWVTRARAGKQVLISSPVAMKKRGKKAVQKEKKCGSTLSLVVDLTDLAVKEEKEVITVKFLRSRKVENVVSPKNLTRKRNTQNKSEGVNVEVLENKDAEEGITQTRRSKRNRMELTQVEPLEENLDGTVNAGRRNITRSRNQFQAKAPNVVRESETRDAREEAKKVVVQVKEPLRRSGRHVNTAGDVEMPIRSDKSTWGQTRHGTKRKSVMPQMKKAKLEKPVAGNLGMKNNDPLNESTKPIVIKKGSETGHIRRSKRNASGDGSISDNANGSGTDIKTCTTKKRKRDAVPEEVPSQHTGRRVNRKADNISDKIEGKTPIASEPRTRSGNRQNSQMPSARKLNMSGNWRPNRTTGEKASPRHTRELSLVPKHSATGVKQSKIVSETPARSGSGKKSFDHTAKKLIVSGNTELHKSVRDKEESPHQSRDISLVPRCSETELKLRGSRSKQTPVISEHPRRFTRSALKGKTDGQARVREKIYQKKSQSVSKLPLSKLESSLPKVISASEGLDVDVQFQSSNPEVDRRTNNLAANASDFPEDKAACSTPQGGSAKTHSMDLNLQESEGPEMINIEGISSSDALKLRSGHAELEVDISAREQAHSPGERPASHDVHSSIPQIAGCSSDPLFDTSNSCGGLDSYAILQDSATEGNDKAPVHIEPAEGLHVSGDVAFIDRNSVDDGFASLEQIKSKGMEVNPQKVKHVEPSSEGITNVVLEGSTVHEEQSMNQFLFPEDGCSTISLSQTGDVDEDNTNATQPKNSSESNVASPHLAHLKTDDAGMDAFPVGTNALADISLVDQSTSRRDEESPQLSKDVESIFKGIDLNIVFQGSAVHEDEPVNLSPLAEVAEITDNPVTANSDTACVTRQEGLTEIDAKSLWPEITEHPVTANSDSACLTRQEGPPEIIAKSSPLVQIESVDNADIIGDVGVINAGTVFDNLFNVEQINSSAIKEKLEVSNYVNSVVADGSTVNEEKLTAEVSDCGPTGQELGICNHPAEFAESSELDKDSRSSYEELGEASYAYLNNQTVSMIFTEEKDDTRHLEISEQADEPHNRSSELGSQENVLVCARDESEISEMVNMLDSTTRELSNMPEYISSQTSDHNNGGKCKENNLSSSSITLMTSEGQEFKSVIIGGREVEETVFEDIKENESKRTSSTYKGDAHKTLDVEGEPDLQNISSERTPVISEDDINRDGIACPLIVQKSIKEKLEVSNYVNSVVADGSTVNEEKLTAEVSDCGPTGQELGICNHPAEFAESGELDKDSRSSYEELGEASYAYLNNQTVSMIFTEEKDDTRHLEISEQADEPHNRSSELGSQENVLVCARDESEISEMVNMLDSTTRELSNMPEYISSQTSDHNNGGKCKENNLSSSSITLMTSEGQEFKSVIIGGREVEETVFEDIKENESKRTSSTYKGDAHKTLDVEGEPDLQNISSERTPVISEDDINRDGIACPLIVQKFPSSADDHCHTPSDDSCGKEQDTDMSMVKSSRNFGKELFSEHDICRPLKASCGKEQGTPMSIVKSSKNCVEGFSSQHDNVIEHVNICCADTLPQAICEDFAGCDNQMVTDLMGSTGRGISRTGALNNEKLEETDMNDGETDNNVKGICQENDESSILVQMDVDTNNVESSRENLQGCDQEVDASEMLKKELQSYADTMHSDALLNLQYGGERVTSEQTSEAPGVRIEELPVEDANAPVSLQYGHGETNEDQDTIGDLCAVAECGPEDLHFFSGIFYPEHKSRSDKMVQEDLPLWYEDTSFIPEKSEVAEKEVDIFIASMTEEQLHNSGEEHSREKGPESIENEHDDITVEFSVNTPGESNSKSETNRDAHQKVDCHDNKFGDDLTRNGS >KZN08413 pep chromosome:ASM162521v1:1:6415016:6416675:-1 gene:DCAR_000959 transcript:KZN08413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTKDFPQCGFSNNVVQILKSLNAPFETINILENELLRQGLKEYSSWPTFPQLYIDGEFFGGCDIVIEAYQSGELQELLERALLS >KZN10667 pep chromosome:ASM162521v1:1:38062860:38064298:1 gene:DCAR_003323 transcript:KZN10667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFDTEDFRKQAHLIIDFLADYYQNIEKFPVRSQVSPGYLGEILPDSAPHDPEPIEKILEDVRSNIIPGITHWQSPNFFAYFPSCGSTAGFLGEMLANGFNVVGFNWISSPAATELETIVMDWLGKMLQLPEAFLFSGGGGGVLQGTTCEAMLCTLVAARDRTLREQGMENFDKLLCPVQLELEILSDVQNGLIPLFLCVTIGTTPSTAVDPLATLSEVAKKYKLWVHVDAAYAGSACICPEFRHFLDGLENVNSFSMNAHKWFLTTLDCCCLWVNDPSALIKSLSTYPEFLRNHASESNKVVDYKDWQIMLSRRFRALKLWFVLRSYGVEKLREFIRVHVEMAKYFEGLVAMDQRFEVVVPRLFAMVCFRVVCCGENDVNEINEKLLESVNQSGRIYVSHAVLDGVYVIRFAIGATLTDYSHVSAAWEVVQEHADALLA >KZN10785 pep chromosome:ASM162521v1:1:38947786:38967499:1 gene:DCAR_003441 transcript:KZN10785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDESSSSSCTDHRDYAYSCSAGAKTIKQWRPNNSAAVFKPGESSIQHSHPLKVLNLIGGKFLESKACAFIDVVNPATQELVSQAPLTTYDEFKAAVSAAKQAFPKWKNTPLTERQQIMFKFQDLIRRNIDKLANSVTTEQGKTLKGAKGDVLRGVEIVEHACGMATLQMGDFAPNAFNGIDTYCSREPLGVCAGICPFNFPAMIPLWIFPLAVTCGNTFILKPSELNPGASMLIAELAMEAGLPDGVLNIVHGTHDIVNLICDDDDVKAISLISSDNNDGMYTYARAVARGKRVQCPLGVRNHAIVMPDASDATLDALVTAGFGTAGQRSMALNTVIFVGGSGSWEEELVRRAKALKVTAGKEPGADLGPVTSKEAKDYICKQIQSGVESGARLILDGRHIMVPRYERGNFVGPTILCDVTTSMNCYKEEMSGPVLLCMQVDKLEDAVTIINRQKNGNGATIFTTSVAAARKFQNEVDSELIGVNVPVPTALTFFSFDGLKASISGDLKFYGKEGVQFYTKMKVVAQKWKDLPARKLPLPVPQILETQRRQSPPELQPPTSGSDAPNLGVLPITPLASERYIASQNALLSLPPLSEVDSPNLIPRSSRCQTIVRELSTEGRATQPPSRLERALINQDISLAMPSASKEHNLDVHRTSLSTPQTSERVHIPPKFQSGDSLSLKSPRTGSDLFPNRTYVPTASLKTKSTTSTSLRMDLSTDQDSEISVPTSQRKDILSLMSSGNDNMGSNLHRTDINTRPTFESIYAPAASKIADSAGLPNSGGVYMLPPQACIALMPTRATTVLHPASDREYLHTSGRNDMVQSHMTGFMPLTSERMYMPSTFQRNSELPTSSRTSGQRMFDPETVFMGEYSGHGSQSTSQRREICLFQKSLQERPTAIDCVLILDKADVSVELEDYGTRIIRVSSTVMRKLSGMQSTESIEAIALMRIPSTFHSADENLNVENFLSWFPSPHRVLVLDGIQDPGNLGTLLRSAMAFGWGGVFLLPGCCDPFNDKALRASRGASFQLPIVSGDWVHLEALKDALQMKILAGHPADNDKQKSVTLLSRMFADSLADTKICLVLGSEGSGLSEKSRQEAELVAIPMTGEFESLNVSVAGGILLYMLQPANHV >KZN08063 pep chromosome:ASM162521v1:1:1936523:1941611:-1 gene:DCAR_000732 transcript:KZN08063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSTVSQEPNFALSLIYKLADFAVNSKFTCLNSNGCSPVLSNFACVDGKRVGVKSLLRVSDKGVSNFRDFLSQFNRVVRFHCERIPIGFASVGLSSCEDSNGFGGNGFGGVEDEGVPLDGVVSKNRKKVLILMSDTGGGHRASAEAIKAAFYEEFGDEYQVFVTDLWTDHTPWPFNQLPRSYNFLVKHGSLWRVTYYASAPKVIHQSNFAATSAFIAREVARGLMKYQPDIIISVHPLMQHVPLRILRSRGLLDKIVFTTVITDLSTCHPTWFHKLVTRCYCPTDEVVKRALKAGLQSSQIKVYGLPVRPSFVKPVRPKVELRKDLGMDEDLPAVLLMGGGEGMGPIEATAKALGNALYDENRGGPVGQVLVICGRNKKLASRLQSIDWKIPVQVKGFVTKMEECMEACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEVGNVPYVVENGCGKFSKSPKQIASIVSQWFGPKADELHAMSQNALRLARPDAVFKIVHDMDELVRQKRPVPQYSLT >KZN09935 pep chromosome:ASM162521v1:1:30910996:30913212:-1 gene:DCAR_002591 transcript:KZN09935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMYTMNSTARKLMTVVKDTNTERWRAVAYIKYMYMLMTWMAAGVLRPLMDYLPFSLGPPATSRPYLLEGRFGIAPLSSSFTSFPSSVPSLDMVLYEGYDAVPVQALGGALSQILYLLNGLSATSRKYQFALTMADKIVDENLRDGHVELMQLNRMALASAFARTSNLLYGSIKSCSQAEDNSSWTTRLVGTVFPLGLRIIKSLGTIFPVLGGGGSSMKQVELIGGSCEGQVLAEKHAQELLWLTNKMRLCGIVDEALVQWSLASNLASVALTANPRVQGLIIKISAILFAEIIRCNIDVAREVKFRLLAIWIPMFCYAENGVSYPILTGYEKAETEKVMDQLISGMPVADQHIILTNWLQDFLISTSDWPNLQLSYDRWCHTSRKLVA >KZN11205 pep chromosome:ASM162521v1:1:42575767:42589752:-1 gene:DCAR_003861 transcript:KZN11205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPLIADTDNFCSQIFSKYGSSTAEHHQHLIAVTGAMSQTLKDNNQPLSLIAYFGAACSSLDSISSESEPSGHALDSLLTILSLILPGLSGAVVKKKFELVAELVVRVLRGKEVSEAGVAAGLKCVACLVMVRGSVSWNDVSQLYGILLSYATDSRSKNDCKXHALDSLLTILSLILPGLSGAVVKKKFELVAELVVRVLRGKEVSEAGVAAGLKCVACLVMVRGSVSWNDVSQLYGILLSYATDSRSKVRRQSHVCLRDVLQSFQGTAILAPASEAIANIFERFLLLAGGSNASSSEGPTGAQEVLYILEALKDCLPLLSLKSSTNILKYYKSLLELHQPLVTRRITDSLNVLCRHQKGEVSAEVLLDLLVSLAVLISTNETSADSMTVTARLLDAGMKKVFLINRQICVVKLPVVFSALADVMASEHEEPLYVAIEAFKSLICSCIDDTLIKQGVNQINESAKIGSRKSAPTIIEKVCATIESLLDYRYAAVWDTSLQVVSAMFDKLGDSSSYLLRRTLTNLADMQKLPDEDFPFRKQLHECVGSALVAMGPETFLSLLPLKLEAQDVSQVNAWLFPILKQYTVGSSLNFFTESIFDMISLMKKKSAVLEQEGKIREARTVDGLTYSLWSLLPSFCNYPLDTAESFKDLEKALCGSLRDEPDIRGVICSSLLILIQQNKKILEGNDDISGTETNNPRERALASYTPEVAAKNLNALRLSAREILSVLSGIFLKTSKDDGGLLQATIGEFASISDKVVVSRFYTATMRKLLKVTEEAGKAQNSKSSNSMEVDSSSSEVSLSVARAQLFDLAVSLLPGLDPKETDLLFVALEPALKDSDGMIQKKAYKVLSVILKSSDGFISRKLEELLNMMIEVLPCHFAAKRHRLDCLYYLIEHVSKDNLEDRRHAVIASFLTEIMLALKEANKKTRNRAYDIIVQIGHACGDENRGGKKENLRQYFYLVAGGLASETPHSISAAVKGLARLAYEFTDLVSSAYNVLPSALLLLQRKNREIIKANLGLLKVLVAKSHAEGLHANLKTVVEGLLNWQDSTKNHFKAKVKLLLEMLVKKCGLDAVRAVMPEEHMKLLTNIRKMKERKERKLAANLEETRSQFSKATTSRASKWNHTKIFSDFGDEDDDSDNEYMGADSVSGRQTKNVSVLNSKASTLRSKRKRKAAKLLPEDSYDQIDDEPLDLLDRLKTRSALRSSEQKKAESDDELEMDAEGRLIIHEEGQKPKREMPSEPDLESGSQAGSHVSVNSKKAQKRRKTSESGWANTGSEYASKKAAGDVKRKNKLEPYAYWPLDRKMVSRRPEQRAAARKGMSSVVKLTKKFEGKSVSNALSVKIKRGKKKANKKNR >KZN08203 pep chromosome:ASM162521v1:1:3908301:3908471:-1 gene:DCAR_001268 transcript:KZN08203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRGSGKAALFDMGMKRLQWIPACPYENGGRELHGFATTVTGLLDQLKCRFSISV >KZN09547 pep chromosome:ASM162521v1:1:26906449:26906737:-1 gene:DCAR_002203 transcript:KZN09547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINYVKKSCWSLLGVIYGLFYLFRRQRRKTLMLKGRSNLHKIMSSTELVNPKVTNRLSGFHG >KZN08092 pep chromosome:ASM162521v1:1:2581569:2582943:1 gene:DCAR_000761 transcript:KZN08092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQPYSKAPETERERQEMICAGGSYGAGNAMQDEISKDHLKHVLEYRKSVLQKEEAMACINQEAPVWMEALGK >KZN10028 pep chromosome:ASM162521v1:1:31841306:31842997:-1 gene:DCAR_002684 transcript:KZN10028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISQNSEKPNGVDKKKSKRKVLDEGEKVVEQESDVGNLGIKKNKKEKVENEEVIMKEEMERKKIENLLFGSLHFPVEFGKEEDVEEGGIGGEVDDPALFFMDRSADSRLSVYEDDEEFPGESKVEDVMEERKCVWVDDEEEKLSVNVGKVNRLRKLRKEEGESVITGAAYVSRLRAQHEKLNRGTDWARLDSREKEYDSGEDSDEESGVAVAKGYKDDEDVNDILRSNQDLVVKSGGKLMPGLLEYSKLVDANAEEPAAGPITSVQFHRNAQLLLTAGLDKRLRFFQIDGKRNTKIQSIFLEDCAIHKASFSPDGSQVFISGRRKFFYSFDLVKATVDKIGPLTGREEKSLEYFEVSPDSKTIAFIGNEGYILLVSAKTKELIGTLKMNGTCRSLAFAEGGQQLLSSGGDGHIYHWDLRTRTCFHKGVDEGCINSSFLCTSPSGNLFAAGSDSGIVNVYNREDFLGGKRKPLKTIENLTTRVGFMKFNHDAQILAISSSYASKSLKLVHIPSFTVFSNWPPPNKSLPNPYCLDFSPNGGFMAFGNSAGKVLLYKLHHYNRA >KZN08197 pep chromosome:ASM162521v1:1:3817585:3834958:-1 gene:DCAR_001262 transcript:KZN08197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQELPGFYFDAEKNRYFPIRGPIPGSSRSNNDNNASSSSSRAHKSSTEPEQSMIRKVYRRATAKMLLSRELYGHVIISAKGKYNFREECTKGLVSEPTIWRYSGTDGIAYSALEQVDCSTAMQNGDVKNEVLLAGGTNGYLSFHVVDKHALQFTHGVRGTPDCAWPLDTKAKERSAQSPGHLWRLDGASLNMSSSISCIKKFGKPGQHKILIATLGSDTSGGSVSVLNLSHQHALPSGLPMIQGNFYEIASFNRTVWTADCNSRGSQALIGTNLGVTLLNIETGSQLWVCRCKSDIFSVQMDQSENSALCGLRNGAIVNVDFRLRPHSNFRLTRQRVALHSNNTRKSLSGASQNAKKHWFEFMGSIHHHGTISMPSSISCLASLKLYDQYFLASSMDGSIKLYDQRMVQRGAVQSYEGNVNSHTKIQLGVDPSENFVASGGEDSKLRLWSIKSGELLLEEKFMTSIPSVVCWQKSEDNCARGDYIDDEHSYWGKAWLGSRDGLFHMRWP >KZN09799 pep chromosome:ASM162521v1:1:29479539:29488356:-1 gene:DCAR_002455 transcript:KZN09799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNGSGDGEASASKKIPPATSMLWVRNLRRFIGSGTGLGSEALMELETKRILLDMFKEKQQKNAESGSLPSFYKKKPEDGSISHRVQRLAKCRFLKKQSDLLLNADDLDAMWACLRENCVIDDATGAEKMNYEDFCHIASECTEQIGSKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQAHQMKTYISDLIPNLAQLRDMPEAFIDTYCRIAAHKFFFFCDPQRRGKACIKKVLLSNALQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFMALDKDMNGTLSKQELREYADGTLTDIFIERAFDEHIRRGKNGGGNSREMDFENFLDFLLALENKDTQEGLTYLFRCLDLHGRGFLTTADIHTLFRDVHQKWIDGGNYELCIEDVRDEIWDMVKPADPLRITLADLLSCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >KZN07976 pep chromosome:ASM162521v1:1:1145743:1147315:1 gene:DCAR_000645 transcript:KZN07976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGLTLPPQNLITSRTRCDRNSPLQPTASCSSSSTTTFTHKHLSNLDKLLGRKHDTNTTQYEENSALISPEKKKRKCVLESLNLSRLWTDSKAAVEEVCPLQLNRLQRLLSVSNSGQYSPRNVLGSQWRKYHGCNDWEGLLDPLDQNLRREMVRYGDFIQAAYHCFNSDPATSADEIPAVRHVELLDKSYKVTKNLYATASVGLPKWVDKVAPDLGWMTQRSSCIGYVAVCNDSREIARMGRRDIVIALRGTATCLEWAENMRDLLVQMPVSEIRRLVEMYKGETLSITITGHSLGAAMALLVADELSTSVPDVPPVAVFSFGGPRVGNRGFSNRLESKNVKVLRIVNSQDVITKVPGMFVSERLDKKLRKSGACGVLNVLDNTMPWAYSHVGTELRLETKMSPFLKPDADVACCHDLEAYLHLVDGFLSSNCPFRSDSKRSLVKLLDEQNSNVKRLYTNKVKALSLHPRKELLRMSSVLASPTSS >KZN10167 pep chromosome:ASM162521v1:1:33234805:33241121:1 gene:DCAR_002823 transcript:KZN10167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSESSWITSFSCSSSDVIVSNDQSVSPVLQWTRFLFLSPCPQRALLSSVDILLLLVFLVFAVQKLFSRITSNKNVSSELNRPLVANTRPVVKATSWFMISVAVTLVLAVCFGVLCVVAFWRDTQNSWDLIDGYFWLIQAITQVVIAVLVLHEKRFQAVRHPLSLRVYWVVNFVVVALFATSGIIRLAFVEESVPDLRLDDVVSIVILPLSVFLVVVSIKGSTGIIVESGSVASEEPELYDSLLDKSHVSRFASASFFSKAFWFWMNPLLKSGYKSPLQLDDIPALSPAHRAERMSRIFEMNWPKPSENSKHPVRTTLLRCFWKDLLFTAVLAVVRLCVMYVGPSLIQKFVDYTSGKRSSPYEGYYLVLTLLIAKFVEVITGHHFNFYSKNVGMLIRSTLLTSLYKKGLRLSCSARQAHGVGPIVNYMAVDAQQLSDMMLQLHAIWLMPLQVSVALGILYFHLGSAVIVTLVGLVAVMVFVVFGTKRNNRFQFNIMKERDSRMKSTNEMLNYMRVIKFQAWEEHFNKRIQSFRESEYGWLAKFMFSISGNIIVLWSTPLFIATLTFGAALLMGVKLDAGVVFTATALLKILQEPIRTFPQSMISLSQAMISLGRLDKYMLSKELEEDSVERQEDCGGQTAVEVKDGVFRWDDEAGEAVVKDLNFEIKKGQLAAIVGTVGSGKSSLLAAVLGEMYKVSGKVRVCGSTAYVAQTSWIQNETIEGNILFGLPMNREKYKQVIKVCCLGKDLEMMEYGDQTEIGERGINLSGGQKQRIQLARAVYQNSDIYLLDDVFSAVDAHTGSEIFKDCVRGALKDKTVLLVTHQVDFLHNVDLILVMREGKVVQSGKYDDLLKSGLDFSALVSAHESSMELIDAETSKPSISSPKLTKSPRGSFEHREANGGDKSLERSESNKGTSKLIKEEERETGKVSLNVYKLYCTEAFGWWGVVSVLLSSLLWQATQMSSDYWLAYETSEDRASSFRPSLFIEVYGIIAVVSLLVVIVRMFFVTTLGLKTAQIFFKQILNSLLHAPMSFFDTTPSGRILSRASNDQTNIDVFIPFMMGITLSMYISLLGIIIITCQYAWPTVFFLFPLGWLNFWYRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRGFRKQQIFFSENVNRVNANLTMDFHNNGSNEWLGFRLELLGSLILCISTMFMILLPSTIIKPENVGLSLSYGLSLNGILFWAIYMSCFVENKMVSVERVRQFTNIPAEAEWKKKDYLPSPNWPFHGDVELKDVQSLERCQLKDVVTAKPGKLDSLGLVKEFDKPSRLLERRSLFAALVQEYANRSVGI >KZN11702 pep chromosome:ASM162521v1:1:47125890:47130832:1 gene:DCAR_004358 transcript:KZN11702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEVEIVSSAQLGCVLRDQNARKLVYRKKNNSHACTQQNLKDLVHSCFSASPENAADSNMSQGKKADSRGVSSLVKKWRVFEAESQNSYSNSSNYYVYSSCSSRSNSGSTVTDNCHILDGQIRNSFVAESVTEKSEAASEGSCLDLESDRMGPSRDSNSTEQERMKVADIIKKLKCEEGNEQGFVNVALPRLRTSIDPLAPDIRGHGAFPGTPRIRGRQAYNDLFEYLERDRYQELQRFGELKAVTNFSHRGRLQAMLKIKLILHEKEVKDGDQINLLASGRRIKNSEGFSVMHLRERFNTGLEQNECSSSPRKEVINNAQDVDRLSSSTQLKEAADHTPKAKSASTSGQHKVVIDYTPSTGCAANPSTPDVDKPSSPSVNNHSTPDEDNLSPRIVDNPPTLDVDNPPSSSMDNPSIPIQLKEVVDQTCSAGSPPTSTQRKEEIHPPEVTHPKSPHKIVKSNSKSTCNIVEDDVNQDINVVCPTPRSLKDIIHAWEQTHLRSLCKYRDVANETLEVEAWSTSDRVKIDISRHDVINPQQNTLELHNTENPFQEVNPNLDVLWQDTTFDTRSYKSQKSDDNATSLGEWKDRNEAEEKVDDEQKDTAFDTRSYKSQKSDDNATSPGEWNEAEEKVDDEQQDTAFDSKSYKSQQSDNNATSPDEWKDRNKDEEKTDNEQSIVSLHELVEDSPLLRIDWEEQPDREKAVETFPDWKSNFSQAASECEEDQYSQNMEESPLDWIYDVCRPRSDWECLRQERYEEMLDPFTGNGDIKQLLERKNVSSFLSSGLRDKIDQLMISRTQGQPLVTEDQVEQVKQEEAVKEEEIYAHQKNEQEGTAEYCYEGEEETENSVGIHHSEADEYSNQSPSITSATPDIFNPWSHNQDNNVNVDHVASPSLQQYPSFNSQTFENQHDHVASPSLQQYPSFNSQTLENQHISSLRSNHPSIEMELISDLRGHMEQLHKEIFDLRKSLHTCMDMQVKLQHFMVRQVESVKSHPNQNKRTGSIDRIPRKGTCCLCLDAEVDSLLYRCGHMCTCYICAHELQQQSGICPICQAPILDIVRTYTN >KZN09244 pep chromosome:ASM162521v1:1:22007312:22007773:-1 gene:DCAR_001900 transcript:KZN09244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVTEEIKASATEVYHGNEICQVKSKFLLTEMGLPNGLLPLKDMEECGYVKETGFVWLKQKKRTDHTFEKIGKLTSYANEVTAVIEKGKIKKLTGVKSKELLLWIKLSDIYVDDPPTGKITFKTPTGLYRTFPTSAFEIEGCEEPTGEVKEA >KZN12082 pep chromosome:ASM162521v1:1:50845636:50848541:1 gene:DCAR_004738 transcript:KZN12082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSDGDMVAVGEILIQPQRPEVVVPKDQGLQGLDHQSTSDDNVVGAGMDIKKTNKGNAHHFTKAEAENFGKSVYFDEGSDSNDEWSLTKTNNGAAESDVKQGVSREDEISSEISHEQEIKPEIPSAKVKSKHVKLEDLIEESDNDEDVIELEFEREIKRLDTHTMHCPNCNAVITKVVLRKRRTKKGLSAAPPQPEPVDLLGCLSCCSVFVPSGNCFSWIRLLENGGEDSEEIPQQTNLLASGFTGNDGDMVIDKEGDCFSLFQIFGNKPEKKSAQKPLEQSSDVSAQAVGNTITNQEYAHAQGGDQNLDRTPNVALPDQIGSAYPQPPLSTSPVDVIIDLGEHVPNVQVDQNVALPDQNGDGTIVGEESGAIPGIIHEPRGEIPTRLTGTGDSKSLEIVKSIVYGGLMEFITSLSIVTSAAASGTTTLNLLTIGLANLISGLIIFGHHLWDLKSDQSEGAFNKYQEKLGKKENFLLHATFAVLSFLVFGLIPPATYGYAFEKMNDKNLTLGAVAIAALLCIIILGSCKAYTMKSDKLSMYIKTIMYYVCIALMGSGVAYGVGDQINKLIENYSRVEKTPGFPLSLPGVSSRNPVSRASY >KZN08838 pep chromosome:ASM162521v1:1:16264980:16265882:-1 gene:DCAR_001494 transcript:KZN08838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGYMSYASRASSFGCFTKNMNQIGMQNHPWKDKLGCVKQLQSQDSPAGVKASR >KZN09051 pep chromosome:ASM162521v1:1:19523230:19524429:-1 gene:DCAR_001707 transcript:KZN09051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPAPTDYTSMDTEFALKFHHGTNNVVQSEGSESIPRYKFQLKDLEGPGSLIFNRKNLIDIIGMVVLYTDEGGSSNGLKKLGVHIINHSTNATKTYYDIDYAPLNVLKAEVSAVSGYAIGNLPPPSGSRFMNRAPVTLTMDTFPPTVIAEAPVGDEVHLPSPLFYHGQLYVSAPIVALQHDLQKLSLHEAGAPAP >KZN09708 pep chromosome:ASM162521v1:1:28669412:28673073:1 gene:DCAR_002364 transcript:KZN09708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSEEHNQLQLVDRDEIDDEEELFEMIDKLTAHGINAGDVKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVDKICEAAEKIVNFGYITGSDALIKRKSVVRITTGSQALDELLGGGIETSSITEAFGEFRSGKTQLAHTLCVSTQLPINMKGGNGKVAYIDTEGTFRPDRIVPIATRFGMDAGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELADRQQKLAQMLSRLIKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKITGGGITDAKD >KZN09569 pep chromosome:ASM162521v1:1:27159903:27160271:1 gene:DCAR_002225 transcript:KZN09569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLHILLLILSLSFIPAHFSDVAVARKAIVGGWEPIKDPKDPKIQEIAKFAVTTYNKESNKNLVYQEVLKGETQVVAGTNYRLVIAAKDDKVLNNYEAVVFDQPWTHTRNLSSFKKLLQL >KZN10089 pep chromosome:ASM162521v1:1:32549918:32550865:1 gene:DCAR_002745 transcript:KZN10089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFTCKPTHPFLQIQAGNSRLSSRLRPSKDKVVVVMGATGTGKSKLSVDLATQFNGEVVNADKMQVYEGLDVITNKITAEESCGVPHHLLGIKHPSADFTCTDFSNMASSTVASIIDQKKLPIIAGGSNSYIEFLIDNEAAGFRSKYDCCYLWVDVSMTVLHQIISDRADRMVEKGMVDEARQMFSPNADYSTGIRRAIGVPEFDYYFRLEPHVNEETRAKLLQEAIYEVKINSCKLACRQQDKILRLMHDKGWDIQRLDATDAFLKYGKESNKAWKELVLKPSKAIVSQFLKSSDPKLHNNGTTLKAMVTSTR >KZN10757 pep chromosome:ASM162521v1:1:38671181:38676765:-1 gene:DCAR_003413 transcript:KZN10757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSTFLESDSSIRSTFGSRYAITSLPRFKISENSIPKEAAYQIIKDELMLDGNPRLNLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTELQNRCVNIIANMFNAPLEEGETAVGVGTVGSSEAIMLAGLAFKRNWQNKMKALGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKLLNDLLVKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKADLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKRGLEKTGKFNIISKDIGVPLVAFSLKNHSLHNEFEVSDMLRRYGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVTNIEQVLEELDTLPSKVTQKLTTEEDVENGKVVKKTALEVQREITTAWKKLVADKKKTKGVC >KZN09319 pep chromosome:ASM162521v1:1:23138962:23140928:1 gene:DCAR_001975 transcript:KZN09319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDLPESFAALSSMANMTEGNSWSSGPAPPPLPGTPPSSSSNRNRKQNDNKNSSDGGGSKKSGIGGGGVAGIVISILVVGAVVAFFVVKKRSEKSSADVENAGSQPFTSYASQEVQVHFVSPDGKHIAVALLDCTVKAVEDYEKSLSRNNSRKWIVQACTSGRLIC >KZN11273 pep chromosome:ASM162521v1:1:43298339:43303091:-1 gene:DCAR_003929 transcript:KZN11273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALQSSPLRVSPLDPLRKPTSGAATVTNVRPPHKKTFISAAATTTSAPKRETDPSKRVVITGMGLVSVFGNDVDTYYDKLLAGESGIGLIDRFDASKFPTRFGGQIRGFSSEGYIDGKNDRRLDDCLRYCIVAGKKALENADLGGDKRFKVDKERAGVLVGTGMGGLTVFSDGVQALIERGHRKITPFFIPYAITNMGSALLAIDLGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMIAGGTEAAIIPIGLGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAINCDAYHMTDPRSDGLGVSSCIQSSLIDAGVSPEEVNYINAHATSTLVGDLAEVNAVKKVFKNTSGIKMNATKSMIGHCLGAAGGLEAIAVIKAITTGWLHPTINQFNSEPSVEFDTVANQKQQHEVNVGISNSFGFGGHNSVVAFSAFKS >KZN08546 pep chromosome:ASM162521v1:1:10143252:10143491:1 gene:DCAR_001076 transcript:KZN08546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKVEAEGRKRAPKGHFVVYVGSEMTRFVVPISYLKNPLLQELLHKAAEDYGYDHQSPIVLPCDESSFRGLVSSLAKR >KZN08220 pep chromosome:ASM162521v1:1:4071007:4071974:-1 gene:DCAR_001285 transcript:KZN08220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFMGMKALKMNVNHLMFLIEGLLKSVGGISASLACLVLAFKSYILRKGELPSKVALLRHHVSHLSFSTTESCLEKEFSNFGEIAEVKIVKDEVRKRSKGYAFIQYSSQEAALQALENMDRKVYVDGRLIYVDLAKLDKHSYYRPPITSGPPEGKMTATRREEQNE >KZN11427 pep chromosome:ASM162521v1:1:44537206:44543574:-1 gene:DCAR_004083 transcript:KZN11427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSGGGSGGSMRKSFKDSLKILEADIQHANTLASEFPREYDGACVQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSAHERKASIREFYAVIYPSLVQLERGVTDTEDKKQKAVCMERYKRRDDQEHRRSRSQSCPFCRDSLKRVNSGDLWVYMDSRDIIDPVTLTRDNLRRLFLYIDKLPVIVPDHLFDTYDSHLRETEEKMVEMGQDFYWSHTDEPHASRRRLILSKYPQIKDLFGPDPWAFLKVCFLPRS >KZN10546 pep chromosome:ASM162521v1:1:36810321:36811382:1 gene:DCAR_003202 transcript:KZN10546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHSLLTNPSLSRLKLSHKLIPLPLSLPPNLHHRRTPHPLRLSLSVDNQSPSSQLTFQDYNEEETYGEVKKIIGSRAVSNTMEYLIEWKDDHAPTWVPSEFIAKDVVAEFDSPWWEAAKKGDDSALARLIEAEDERDVDAIDVNGRTALIFVSGLGAEPCVKILAEAGADLDRKDTSGGFTALHMAAGYVKPNVAKLLIDYGADPEVEDDRKRTPLDLAREVLKATPPMQFARRLGLENVIKVLEGAIFEYAEVQEIIEKRGRDDKVEYLVKWMDGGDNEWVKGEMISEDLVRDFEQGLEYGVVECILECREGESGVREYLVKWMDIDEATWEPAENVDSDLIKEFEVVAES >KZN09282 pep chromosome:ASM162521v1:1:22532758:22549655:-1 gene:DCAR_001938 transcript:KZN09282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTSRMTTITMIHAAAPPRRFPGPSCPRRPFLKTLKPQLRILTLTNSSAHPPPPSISAKPSSQLRKTRNTAVDDDSKLTALRSLFSTPSNAIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTVVVTKELAALWTDGRYFLQAEKQLNSSWTLMRAGNSGVPSTSEWLNNVLSPGSRIGIDPFLFSSNAVEELREAMTSNSHELVYLYEYNLVDKIWNARPKPPSNPIRVHDLKYAGVDVSSKLSNLRSELSNAGSSAIVLSMLDEIAWLLNLRGNDIPHSPVMYAYLIVEADAAKLFVDNSKVTREVVSYLKSAGVELKAYESILSEIKSLAAKGAHLWLDTSSVNAAIASIYAAACDEYSGGVGSKTKVRNSTTGHSGGPPAAIYRPSPVSLLKSLKNFAELEGMRNSHLRDAAALAQFWDWLEQEIQEDIVLTEVAVSDKLLEFRSKQAGFVGTSFDTISGSGANGAIIHYKPEPDSCGLVDAKKLFLLDSGAQYVDGTTDITRTVHFGEPSAWQKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRFGNTTPLMKGMVVSNEPGYYEDHAFGIRIEINRIKSQNLLYVKEVDTPNRFGGIEYIGFEKLTFVPIQAKMIDMSLLSVTEIDWLNEYHSQVWEKDPVWV >KZN11977 pep chromosome:ASM162521v1:1:49838634:49847001:-1 gene:DCAR_004633 transcript:KZN11977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRIKGSCVSFASLTCDERNPLQNLRSEGIRLGRKRGQHVIVAASPPTEDAVVATEPLTKEDLVAYLASGCKPKEEWRIGTEHEKFGFESETLRPMKYEQISELLYGISERFDWDKIMEGDYIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWERKDIPVMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQIATALFANSPFTEGKPNGYLSMRSQIWTDTDNNRAGMLPFVFDNSFGFEQYVDYALDVPMYFVYRKKKYIDCAGLSFRDFLAGKLAPIPGEYPNLNDWENHLTTIFPEVGILYDEVSLQKVLDMIADWTMEEREMLRNKVPVTGLKTPFRDGLLKHVAQDVMQLAKDGLERRGFKETGFLNEVAEVARTGVTPAEKLLELYHGNWGESVDPVFQELLY >KZN09338 pep chromosome:ASM162521v1:1:23407764:23420816:-1 gene:DCAR_001994 transcript:KZN09338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTDSDEPKKKLARLDSLPLPMARHSTNSPDNNKSVSAAVLQYRNQKLVQKLDVQKHELHNLEARIKELKDKQASYDEILITVNQLWNQLVDDLIFLGARAGAGKSTMQMLDHADRVRGSVPSCPPEEMFLCRLLELSCGSDGSLNIKEALTSRHSATLEMMKFLHDTIEAQRDKAESISQVLLGKPSREDVVMQLSKIDNMMKEEANNLREVIDILHLKHKEYADEVQACIGGHSVDQLDIKRLSGELEESMAELEESRRRLVNLKMQKDAASSVHSLVSGAVNGSLSPEKHRDKSMGLRELKDSIEETKILAADRFTELQDAHEDNLILSKQLQVLQNELNDDKYVHSSRQYTSLNDQLQHWSAEAERYKMLTDTLQADRSLVMRREKELNGKAEAVDVAKTGISNAESKIAELEQKLQNCVTENNELEIKMEETLEDSGRKDIKTEFHVMASALSKELGLMESQLTRWKETADEALNLRENAQSLKTLLNEKTSEKKNLEAKCTEQMAKIKSLKEVSEKLQKEKQELLIFLDMLGQQIHDNRDVREIKESEKRALSQAAVLRNALEEHGLELRVKAANEAQAACLQRLSAAESEIADLRAKLDAVERDVRELTEAIKIKDGETEAYISEIETIGQAYEDMQTQNQHLVQQVTERDEYNIKLVSESVKTKQAQNFLLSEKQALAKQLQQVSAVLESLKVRIAHGEQQMKVSIVEALTSTQEDRQLAVNLEKARWELSDAEKELKWLKSAVSSSEKEYEQVQRKWDEIQKELDIEKSERKKLDDELVELNGKVDEISSETGEAAIQKLQDEIKDCKGILKCGVCFDRPKEVVIVKCYHLFCNPCIQRNLEIRHRKCPGCGTAFGQSDVRFVKI >KZN09651 pep chromosome:ASM162521v1:1:28147243:28150668:-1 gene:DCAR_002307 transcript:KZN09651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKCICSGEQLIVEETIPSPDSLATRDYSASGYSSRTGENDTKIDTSTIEEAESSLRESDFLNYEEARALLGRLEFQKGNVEGALHVFEGIDITAVVPKIKTSIMSRCELPRRHSLTDLLPTMSIHAISLLFEAIFLKAKCLQALGRFTEAAHSCKVLLDTVESALPEGLTGNFAADCKFQETINKAVELLPDLWILSWAPEEAILAYRRALLYQWNLDTETRTKVEKNFAVFLLYSGTDATPPNLRSQMESSFVPRNNIEEAALLLLILLRKFSLRKIGWDPSILDHLSFALTIAGDLRSLAHHVEELPPGIMERRERYNTLALCYYGEGENVVAVNLLRNLLNNRENQTYITELILASKICAENSDRLQESVGYLQKYLSKSSGRCNGMVGVAHSLLGISLSSLSRIVVSDLQRISRQSEAIEALETAENTMKVTDPNVLFHLSLINAEQRKLDVALYYAKQLLKYEAGASVNGWILVARILSAQRRYVDAENIINAALDETGKWDQGELMRTKAKLQIAQGHIRNAVETYTHLLAVLQVRSKSFGVQKKLLNNRGNRDKLLEMETWNDLANIYTSLSQWRDAEVCLSKSSSINPHSASRWYSLGLFYKGRGLHADALKSFENALDVDSSHVPSLIATGITLREVNDRSLPVVKSFLTDALRLDRTNSSAWYNLGLLYKVENGGSEVEAAECLKLQLYLKNLNQLNLSDD >KZN09212 pep chromosome:ASM162521v1:1:21710922:21712253:-1 gene:DCAR_001868 transcript:KZN09212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRIVVKNLAVKYLSSSNKSDTSFYCKMKIKNLPTQISNIPIQQAQQLLDQVHASFCLNKSQFEKLNEKSNHPSFLKIKVYKRELGCGLLSESLCGYVLVDLRGVENKVSVIKDGWVEVDKNVKVCLNVRVEPDPRFVFEFDGKPECSPQVFQVNGNVRQAVFTCKFGFKNSGATNRSLRSRSSLTESGKSRSWLSGSKKEQGPKERKGWSITIHDLSGSPVATASMVTPFVPSTGTNRVSRSNPGAWLILQPGQSTWKPWGRLEAWLETGDQLGYRFEHFPDGIDAITLTNSTLSTKKTGKFTIDNSSPGPSPLSTPSSSFDSGTGSFSDGGSGSWAHLLYRGFVMSSTVTSGGKCSKPEVEVSVNHVTCTEDAAAFVALAAAMNLSMDACSSFSTKLRKELRQSFQD >KZN11041 pep chromosome:ASM162521v1:1:41250435:41250818:1 gene:DCAR_003697 transcript:KZN11041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKRSRTSQVIQVFSLNPMPYPVLLILAVIFIFLGIQWFLSYESMVESAEENFGWILMATPVVLVFAVKWLSSVENPESFFGQDRRRRMNYAGSSEGGFPWGVAAMIVLVLVLLQYQSSFLESWFV >KZN08822 pep chromosome:ASM162521v1:1:15839654:15849494:-1 gene:DCAR_001478 transcript:KZN08822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKWKDSFFRKDLLLKQIGKLPIDEKLSGSKLRQKASRAFRELERQKMHKLLNRYLRVLDKVEAGKIWIEMERVDEGIVHIIAQKHIRWLVMGAATDKQYSKNLSELKSKKAIYVLEKAPAYCKIWFPCKGFLICSRPANVTSKIERIETLSSKTKTRGALLEQDDIVDAYEYDNESESLEHLNNTKVIDTPNNSKVIEAPTSIDLMMVESKEDSSTLALSNEPPECLVRSSSTNWLEERPQGQGTSDLYHKIEHATILAEKSKQAAFKESIKRWNAEEDAREALHKAETLKSICMEETSQRKELEDMLAKQRQEIESMKNQHLQCIEELKVIREQTPVLESRITETHHLEKELEEKIIQAVELLVKFKEQRDELQTECETATEEVKKLQKMSEKETMGSSCSQFFVLPFLEISEATQNFDPSLKIREGKSGCVYKGHLRHVKVAIKMLPSHGSQSDLEFENEAVVLSRVRHPNLVQLVGICPESRSLVYEYCENGNLEDRLVGEGKTPSLPWQSRVRIAIDVCSALAFLHSSNPCIVHGNIKLTSILLDSNYVGKLSNTGLFRFVSNEGRNPTTSNDMSSEASVYVDPEFFETGQLTTESDVYSFGIVLLQLLTGRKQASGVVKDVKCALENGNFETVLDFSAGDFPLELAKQAALLALRCCETERANRPNIVSDIWRLVLPMRNLCPPASLGTEEKRRIPSHFVCPIFQDVMKDPCIAADGFTYEADAIKGWLNSGHKTSPMTNLQLDHCDLLPNSALYYAIQEWEQQP >KZN09153 pep chromosome:ASM162521v1:1:20947597:20947803:-1 gene:DCAR_001809 transcript:KZN09153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNDARTPVLKTKTEQRKSRLLKDNDLEYFRRAQWLRAAVLGADDRLVSATSLMMGVGMLKRASKP >KZN11678 pep chromosome:ASM162521v1:1:46934722:46936071:-1 gene:DCAR_004334 transcript:KZN11678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEIISRELIKPYTATPPSFKNHNISLIDELSPNLNVPTIFYYSAATDQHITTSIPLVQKHLKNSLSKVLTSFHPFAGRYCIESRSVDCSDQGAEFVEAKVDVRLDDLLNQKKDLKIELLNELLPCPLGAVDEYKDPLLAIQVNAFSCGGFAVAVCSSHRIADMTTTISFVNAWANAAKQELEHVDENDLPIPWNFDSALLLPGQNSPCLPSGLTREKENIEIHKLVTKIFTFTESKISSIRERAKGDGSSKSSPTRVQSVFGIIGKAIIDIHVANPENPKGYMVIQAVNMRERTIPPLPKNQFGNLYLVACVQSVAGQGGVELPCYVDNLSNSVKRAVDDCGVVLSSGKEGQTLLSQKLGEMLQSLSSPEIYFAGTFSSWCKFPLYEADFGWGKPVWVSAANIPMRNTVVLIDEKSGGGIEAWVSLDESDMQKFIMQSDVREIKDCN >KZN10680 pep chromosome:ASM162521v1:1:38121376:38126059:-1 gene:DCAR_003336 transcript:KZN10680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYSLYIINKSGGLIYHKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPVAGCTGIELLEADTFDLHCFQSLTGTKFFVVAAPGTQNMESLLKHIYELYTDYVLKNPFYEMEMPIRCELFDINLTQAIQNDRFALLGR >KZN08895 pep chromosome:ASM162521v1:1:17382346:17385590:1 gene:DCAR_001551 transcript:KZN08895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQESLAFTVTRQSPELITPSKATPHEYKLLSDIDDQEGLRFQIPVIQFYAKKNDFVDARKMDPVKVIREALAKTLVFYYPFAGRLREGAGRKMGVDCTAEGVMFIEADADVTLEEFGDALQPPFPCLEELLFDVPGSAGVLDCPLLLIQVTRLKCGGFIFALRLNHTMSDAAGLVQFMTALSEIARGASVPSIPPVWQRELLNARDPPRVTCTHREYDEVADTNGTILPLDDMVHRSFFFGPTEIRALRQLVPPHLRKCSSFELLTACLWRSRTRSLQLEPEEEVRMLCIVNARARFNPPLPIGYYGNAFAFPGALTTAGKLCQNPIGYALELVRKTKEDVTEEYMRSVADLMVLKDRPHFTAVRTYLVSDVTRAGFGEIDFGWGKPAYGGPARGGVGVIPGLTSFYIPFKNKKGENGIVMPICLPAPAMEKFVAELDGMLKNNDQPVINHTSIHITSAL >KZN10305 pep chromosome:ASM162521v1:1:34396295:34399697:1 gene:DCAR_002961 transcript:KZN10305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSAASKERFGADNWLIQFPSVLQRSLLTTVFCCGTVDIVAIHPTQYASERIIGRLKLIKQGTPLFMTWIPYKGQSSNARVSENDKNLYTIRAVPFTEIRSIRRHTSTLGWQYVIVVLSSGLAFPPLYFYNGGVQDFLATIKETCSSCQQLEIDYVIGESHKELLPNSSDPAAIIRIFGVTREGINYHTDMHLSSFSQKRPNQILRVIEKPPHLYDCAADLLCMNWVFPLGSLTRNVQAQELLKNEVRVILGPQTTPETNFVVELGAKSQVPIVSFSATSSYLSPVRSPYFIQMAGADSSQLKAIASIFKGFGWKEVIFVYEDTGYQDGLIQYLSDAMREAGIQISMSSAISISANDLQIEEELLKLKSASSVVILILEHRANDPGKLEKSYTANLSSNMAISWLQHSTDKLYCIGFQDGSFVKEILIKRLDFNISRIKSYASVEQYHDALSKGCQNGGVDAILDELPSIKIFLDKYGRTNPILPSVSSFHIVDSASDCRISVRKFEVFLLRFFTNVKEA >KZN09246 pep chromosome:ASM162521v1:1:22019882:22031808:-1 gene:DCAR_001902 transcript:KZN09246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKLKARFWSKPKTLYKPWRRSFDSIESAISTQKLHHFGKFDDYLENKHLDWKTKRAALDASPFVVALISYTGSQRLFICSGTIISSYAADGGFLGTILTSASLLRSPTIQDSVADDIKVDVYLLGRSMCEGEILGYDLHYNVAAIRIKSDVQLKTAVIGNLDKYMERHARFGDMSFHLARHRDTIANQGLIELRPGMAVIALGRYYDDRYHIMAAPGKIITGDSELDCKDLFMAHSEITKVELRSPASDAGICTDDVVIQIDGKHVESSLEFASVLLDKGKEPVTVMVKRAGFIKPFPISVLLHTQSARTLNRWPLPEETWGYGR >KZN08596 pep chromosome:ASM162521v1:1:11397077:11399214:1 gene:DCAR_001126 transcript:KZN08596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMLIALALFLVVLVVLWRIVWASPKGLEGIPGNLGWPVVGESKSFLSEFSSPQGIYSFIKTRQQRYGKVFKSYVLGRYTIFMTGRDAGKILLTGKDGLVTLNLFYTGQQVLGPTSLLQQSGEEHKRLRRLIAEPLSFDSLKKYFQFMNTLAAETLDQWLDREFTLKVIGNMIMSLEPTGTEQEKFRTNFKSISSSFASLPLKFPGTAYDRGIKARDRMYAMFDSIISNRRSGKSVHQDFLESLIQKHTKNDSGEDQDDKLTDKQLKDNILTLLVAGHDTTTAALTWLIKFLAENPDVLERLRKEHMEILDNRKTGSSLTWSEVTNMPYTTKVISETLRMATILPWYSRKAAQDFQINGCDIKKGWAVNLDVVSIHHDPENFRDPEKFDPSRFDSPIKPFSYLGFGSGPRMCPGINLAKLELCIFVHHLVCRYKWTALEKDDSVHATLVRMPKNKLPIKVEAL >KZN10823 pep chromosome:ASM162521v1:1:39349716:39353057:1 gene:DCAR_003479 transcript:KZN10823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPDKVSRWTPLVSPTRSILMKFMSKEKVNLSDPPEEDENVNIHIDHTAPDIEADDVPFNNAASSNNTPARSPGLYGSGSKRSRSRKSDDIRSKSVRSEDVRSRSSKVEEHRGFDINQVGIYVTWSELWVTVPTKKGGRRPILDGLTGYVQPSECLAIMGPSGCGKSTLLDTLAAEKRERAEMTIKDMGLQDAIDTRIGGWSVKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYHVMSRIIKLAKEDKRTVIASIHQPSGEVFDLFNNLCLLSSGRQIYFGPTTRATEFFASSGFACPPMRNPSDHFLRTVNKDFDVDIKQGHAGSVVTAKKAIDILVKAYLSSETRRQVVNKVYEIVQKHQETIVERESQAGFITQCEVLTKRSFINMFRDLGYYWLRFIIYIALCLCIGTIFYDIGHTYGSIQDRGAMLMFVAAFLTFMAIGGFPSFVEDMKVFSRERLNGHYGVAAFVVGSTLSSAPYLFIISVIPGAIAYFLVGLHSGFEHFAYFSSLLFVCVLLVESLMMMVASVVPDFLMGIITGAGLQGIMMLNGGFFRLPKDLPKPFWKYPMYYISFHKYAFQGFYKNEFLGLTFPNEKVGGPPTISGEEILRNIWQAEMGYSKWVNLAILFGMVVLYRLMFFAIIKASERLKPIIAAYISSSRMKADDFSTVPTAELGCSVRRVRAFSLFDCRCYTVDLTFAD >KZN09748 pep chromosome:ASM162521v1:1:29044272:29044514:-1 gene:DCAR_002404 transcript:KZN09748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESVKNALRSAVVVIGALAFGHLTLQLAFKPYLEKTQQQMEALQNQEPQSSESSESNDEQFDDTHILMSDEGFTNLDDS >KZN08727 pep chromosome:ASM162521v1:1:14511420:14511578:-1 gene:DCAR_001383 transcript:KZN08727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSEARSVLAIFVDLFQNVLFLEYAICYTSYYITMSQRDAEVGNTFKLARK >KZN08583 pep chromosome:ASM162521v1:1:11008718:11010285:1 gene:DCAR_001113 transcript:KZN08583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVLHSAFGIFGDLTGLFLFLAPVITFKRILLNKSTEQFSGIPYVMTLLNCLLSAWYGLPFVSPNNILVSVVNGTGAVIEAVYVLIFLIFAPKKEKMKIFGILMVILTIFGTVAFVSMFALHHNKRKVFCGFAAAIFSVIMYGSPLSVMRMVMKTQSVEYMPFFLSFCSFLCGTSWFIYGLLGKDPFVAVPNGFGCGLGILQLILYATYCGNKGQTKKTADGSSLELGQANGDTPDKPNLK >KZN09208 pep chromosome:ASM162521v1:1:21670121:21677773:-1 gene:DCAR_001864 transcript:KZN09208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIALPAPHLPHPHVSVTEIRRYATWRTPSRTAPHCCAPPHGARTPLRFFGSLAYPCFTAYNQQPLVSSSKLFSCRPSSSMADIQDSSLYLKKESGEAVHGLSETVVGVLGGGQLGRMLCQAASQMAIKVVILDPLENCPANTLADYHMVGSYNDSATVEEFSKRCGVLTVEIEHVDADILEKLEQQGVNCQPKASTIRIIQDKYLQKVHFSKHDIPLPEYMQLNDIESARRAGDQFGYPLMLKSRKLAYDGRGNAVAKSEKELASALNALGGFSHGLYAEKWAPFVKELAVIVARGRDESISCYPVVETMHRQANKHRENICHIVKAPANVPWKIMKLASDVARRAVSSLEGAGVFAVELFLTADGQLLLNEVAPRPHNSGHHTIESCFTSQYEQHLRAVVGLPLGDPSMKTPAALMYNILGEAEGEPGFIIAHQIIKRALKIPGANVHWYDKPEMRKQRKMGHITIVGPSLGIVVSQLMSMLTQESTDNQPAAAPRVGIIMGSDSDLPVMKEAAKILNEFNVPVEVRIVSAHRTPELMFSYAASAMERGVQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASAVDGLDSLLSIVQVLFLFNLQMPRGVPAATVAINNATNAGLLAVRMLGIGDANLKARMAQYLEDTRDTVLFKANSNKTVEKVHEIMDDTHPAEKSWITVIVLRNVMTSGA >KZN09484 pep chromosome:ASM162521v1:1:25825670:25826508:1 gene:DCAR_002140 transcript:KZN09484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDDDESWRLFTSEDMIQDATLPTLPSPLTLNSSTLSSGHGGLRKRAAFKKTPENTLNSTRSPLCNITNIMETNPTRPSPLTLNSVSLSAGHGGISISVLRTDLHVHCV >KZN12129 pep chromosome:ASM162521v1:1:51254065:51259728:-1 gene:DCAR_004785 transcript:KZN12129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCIFVAGYCDNCTIPKKEIDVSKQAFLLMACIKSCGGRWGLNLPVDVLRGSRSKKIVDARYDKLPFHSLGKEVSANWWKILASQLISFGFLTENLKYKTISVSPEGMNFLSSCTPDHQPPLLLPVGEEEQQNAIGGGRDFNMDFLKSEGLSQEELELYKMLLGERMSLARAAGIAPYAICGDQTIKKFVLTRPSTKARLANIDGVNQHLLGKYGDRILQSIRDLSKGLGLSLDVVSTLQVVNNQKICTASYHPKELAPARFQAWKMWHEDGLSFQKIANFPGRSAPIKEQTVVGYVLDAAREGCVMDWMRFSGEIGLTEDAFINIEAAILKVGSKDKLRPIKDELPEEVSYTHIRTVLAMQDLGLTRDALLANHRPSNEANDCSIETVEIPKQSYISSHVESKICELKEPVNCMAEHLSSQGESKAVSGMQDFDDQHSLRKRQKVDPHKNLISVKATEDSIIEWLGNFENGISLSDIVEHFKGSTAESVAHLLSCLESEFVIYKKNDHYKLL >KZN10574 pep chromosome:ASM162521v1:1:37151641:37153812:1 gene:DCAR_003230 transcript:KZN10574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFLNKITSDELVVRKEEAAQITQRQTILLGYDLTELGLAELKQLEQLLNEGLLSVKERKEQMLIYEIEQARMKEKQVMLENEQLQKQASDFSLVIHLTIEELQSYLQTSVQRVPRFLEYSQGAKTFQETHGATGHDTIYPRFQKMQIMPENKNVLKQFEEQQRREVSSFLEYSQEKRSFPETHSNPGPDAVCKSGAENSGLCTTLELGTPFLKQLKRKEPEQETAEIETSSGTAERQYDM >KZN11654 pep chromosome:ASM162521v1:1:46689603:46690160:1 gene:DCAR_004310 transcript:KZN11654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVYSLKTNSWKHILNVPAHTTRFFGDWGMSASGALHWLAIDNPINGSHIIVGFDLGLEQFKKLPAPALEGPINTRCVVSVGSSLWILDEYPDSHRDMWVMLNSSGGEISWSKILSKRSALTYLRSVRPVYISMSDPGILFEVDSSQLVWYDLERKMLKNVRIRGPTDKFDHIVHSESHTAQQG >KZN09134 pep chromosome:ASM162521v1:1:20628515:20634480:1 gene:DCAR_001790 transcript:KZN09134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSSVYELGDIVWDEFAQTEDHIVPYSNSVEEHASQAENHKKSGFEVVGFPSDSADKYAANRVSQEKEERDTSDSVIANTTMMEDSLSHKLHKSDKLFFGSKSDQTKVVPSLASENSGVSNCLRSNDKDSPGEPCYPRLGGNCTAFDSSSDHPSTCMSETEKDLLGFIDNVHADKESSDLLYYNWSHIENFEDVDRMFRGCDPSFELGGAGNEAGTEWFSASGALEGFEVSKPRFNFSCPDSNALKDLTEDLGSPNLKYDDPAVQYSSTENASSSYKDRSQLSIFDKPASINNLSFKSGQVSKDKDQFLENQKKQQKQKEGKRKDRHFENASSSCHLNDLQKEGIHLPWDDSHHDLYTSSGIQKKQNLCYNSIDNLNNDFHYVQGDYPQPSEQTTVDTMLSGMQSKNTVQPSPFQKDSSHASNEIQRSFQEKHIVPDVRRRNLQDIQYSFDSNSKNIDAPLNFNQASVQTGHWFENHNDVEGVGSGIPADLSSLNLHESSSANIGLNELSLEVTSFRQLQQVMKQMDLKTKLCIRDSLYRLARSAQQRHNYANLTGGPGDVRNFMRDGTNECTGYIDMETDTNPVDRSIAHLLFHRPTDLPVMPCTNASSLDPHSIKTESETGPHNTADRL >KZN09103 pep chromosome:ASM162521v1:1:20278522:20280393:1 gene:DCAR_001759 transcript:KZN09103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATYYQPMTLWDDRSGNLTDFTTHFSFIINSQNRTKYGDGMAFFLAPVGSKIPRTATKGGSLGLTTDEQPLNSTDNPFIAVEFDVYTNPGWDPVGEHVGIDLSSMKSVTNVSWLGGKSSVLEGLKNEAWITYQSSSKNLSVVFTALSNNRTVNQSLSYMVDLKDYLPQNVTFGFSASTGNQSAICGIYTWGFNTSLELHESYLTDQAPTSSNDNAMLIGFVAGGVTAFCVALLALAYYIYRRNREDSEDEIAFDDTMDGEFERCTGPKRQAIHVLNLDAPLPILPPTMPVATYYAPLNMSIAPLAIAYGQNVWRHRLGESSGNYNTESSSYASIDSAAPSVLFPR >KZN10503 pep chromosome:ASM162521v1:1:36356697:36383743:1 gene:DCAR_003159 transcript:KZN10503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEEPTTNSSISSAPSSSPTHPLLSKLYQSPIPTHPSSPQPDPEPDPTHITYNYSPRPIKDLPFLILFTLFVLSTFALGIFAIIKHNPNSSYASFYIYDEDTSSCYKPTQINHSVTFLSNFFLEKSHLLEILIWTLVITLVLSVPFGFSLLILLKHYTKYIVYGSLPFFVIVPIFLNVYWFVACTISSSCSQSFDLVYQILVLVFVFLVIGVVLWIFVANWHRVELTVKIIRVAANALSKNMGLFGVLPALTFGFVVYLVPVVVFLVFAKSNGKIVPRGKHEEFYCAWKQDGWVPAYYVLAIFTMLWSAAAMVEAQVYVISGTIAQWYFSKNDANLKRSLRSSLRNAFGPSFGTVCFSGLLSFFVRLVRSAVDNAKQDLPGIVNVILRCCVNTFMVAFDFLNEFTINLAAITGEAYCTSARMTYELLCRNLLSAVFVETVSTRVLSGISFVFAAIYGILVCAILKGAIGIGNDSYFVAAMAWLLLLLVLGFVVQVLDNVIETVYVCYAIDRDTGNVCKQEVHDVYVHLPISRNHISHSARTSLA >KZN08711 pep chromosome:ASM162521v1:1:14132832:14135263:-1 gene:DCAR_001367 transcript:KZN08711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHGDELIIDSYRIPWLIWIQLVVMFLLILLFYFFSFDDHSPTSNHASSSSNNNTQEPRENLIIKEPGSRASREIERREDINETEGSSGKDETSDPHFRHAQHPCHFLGIAKQAFLKCLGLDLSSESSSDSNERRD >KZN10338 pep chromosome:ASM162521v1:1:34691649:34692209:1 gene:DCAR_002994 transcript:KZN10338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQRLLLLSTLLLLALFTISANARPCKTILFISSSSSSSFSSNQNPNLLFSNPRFITFSYTTSLRQPITFSAEGASLNRPFLISTPQKSTHNPVNFDSSVGNSIRDRTLDILSIVSALLFGVGCGALTAAFMYLVWYICSPRTFDFGANESDEEDDDDDEVTAAKRKLGYVAVAVDAPAPVKQVE >KZN10563 pep chromosome:ASM162521v1:1:37058589:37058945:-1 gene:DCAR_003219 transcript:KZN10563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSFGHSFNTQNISTHIKLDHIKKTPRTTVPCCCQSRVPLSSKTKKQDKEENGNKGLKVIARLGKVGRGLKESLSPKRKGDWKDLVLMSLSFAVYVYISQKLVCAYCAWTSMLKQW >KZN10691 pep chromosome:ASM162521v1:1:38204736:38207176:1 gene:DCAR_003347 transcript:KZN10691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSVNCDQAIAELFEMGFRFSRIIEAINAVGPSVDDAVEYIFNDSRNDEMAESHSSDDLPEKGNGKRGLSSSNPSRPMKQLKLVDLSKTKSDVKANSEEEDIGQDWELRVKNLMQKHFGFSALKSFQKEVLAAFIAHQDCLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCMKLAKHGVSSCYLGSGQKDPTVEGKAMSGMYGIIYICPETLVRLIKPLQGLATKRGIALFAIDEVHCISKWGHDFRPEYSRLSILRENFRTKKLKCLKYDIPLMALTATATIQVREDIRKSLHMSGETRTVLTSFFRPNLRFSVKNSKTRNLSSYNKDFRELINVYTRKNTSDVRQDLIPPELMYPSDNFYSSSDDSSPELVDVSDDIEDDPLCGSSNRVSFQTAKSVLKERKLSVEFLEDECDLLKDVDDLDGKLFMIFALYSMFQSLSLLVVDT >KZN11030 pep chromosome:ASM162521v1:1:41170747:41175482:1 gene:DCAR_003686 transcript:KZN11030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTSQIGIAETLPILVEQNLILQVTSFLNVSRMTQPDNHSIKVLTRSKSQAQSIFPDREFPGIVIAEESDWKNCIQGSSAVVNLAGMPISTRWSPEIKKEIKQSRIKVTSKVVEAINELEEAMRPAVLVSATAVGYYGTSETQVFDEQSPSGSDYLAEVCREWESTALKVDNKVRLVRIRIGVALGRDGGALAKMIPIFMMFAGGPLGSGKQWFSWIHVDDLVSLIYEALQNPTYEGVINATAPNPVRLGEMCSHLGSVLGRPSWLPVPDLALKAVLGEGATVVLEGQRVLPAKAKELGFSFKYPYVKEALKAILS >KZN09488 pep chromosome:ASM162521v1:1:25859421:25862702:-1 gene:DCAR_002144 transcript:KZN09488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLNQPSFQCPKISPFGRNQPTLFRSPTFLKASTLGAPPLEVENKKKPFTPPREVHVQVTHSMPPEKIEIFKSLHTWAEQDLLVHLKPVEKCWQPNDFLPDPASEGFMEQVKELRERSKEIPDEYYVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLSPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARHAKEYGDLKLAQVCGIIAADEKRHETAYTKIVEKLLEIDPSDTVLALADMMRKKISMPAHLMYDGQDDNLFENFSSVAQRLGVYTAKDYADILEFLVGRWNIEKLTGLSSEGNKAQDYVCGLAPRIRRLEERAAGRAKKKGTAPFSWIFGKEVQL >KZN10735 pep chromosome:ASM162521v1:1:38537914:38540867:1 gene:DCAR_003391 transcript:KZN10735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSLETQETGLDELSGDLLEKLDDQERGLGEVIWEWTVLVSPFFFWGTAMVAMKEVLPKAGPFFVSSFRLVPAGLLLVAFAAYRERKFPSGFNAWLSICVFALVDATCFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLAALLFGESIGFVGAAGLVLGVIGLLLLELPAIAADESNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWHMIIGGIPLAGIAIINHEPAISGGLVELTSNDLLALLYTSIFGSAISYGVYFYNATRGSLTKLSSLTFLTPLFASFFGFLYLGETFSPMQLTGALVTIVAIYMVNYKSIVE >KZN11254 pep chromosome:ASM162521v1:1:43052626:43054122:1 gene:DCAR_003910 transcript:KZN11254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILFKRIALLHIILVIIAFSFSGFNFITQVQSFGINYGQIGNNLPQPEKVLELLQSIRITKARIYDTNPQILTAFAHSGVELSVTIANDMLDVLMDPQQALQWVNTHIKPYVPATKITGIAVGNEVFTGDDTSLVTKLVPAIINVHGALVQLGLDQYIQVSTPSSLAVLASSYPPSAGCFQPELTAIMTQFLHFLSTTKSPFWINAYPYFAYKDAPNGISLDYVLFNPNAGMIDPYTKLRYDNMLYAQVDSVLFAMLRLGFNAIEVRVSETGWPSKGDQNEVGANAQNAATYGRNLLRRQYGNEGTPLRPKMRLEVYLFALFNEDMKPGPTSERNYGLYQPDLSMAYNVGLSGSVSTTSTSSTSSSTSTSTSTSTSTSTSAPTSTASISLTSSASRHHKVPKMGYQSLVYWMFVYLSAFQVLLRI >KZN08856 pep chromosome:ASM162521v1:1:16703973:16704314:-1 gene:DCAR_001512 transcript:KZN08856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASVRKHLVPRFEDHVHEGLVYSLRNLKVTSNGYPYRPLASNLKLIFLATTAVQELGESDVSIRQYGFEFVDQSVLQKRANDPTVLSGIQLFSFVENIAKTSCNFFSVHLRF >KZN09898 pep chromosome:ASM162521v1:1:30591798:30593436:-1 gene:DCAR_002554 transcript:KZN09898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPTMGLRKYGAFMMVMVLVALAVSSCCGATSGDVWGHDKAEEAKVKSEEAVGDAKEATESWTGWAMDKISENLGLKTEEAADAAKKAKDKATRTASDAGEYGYEKTGEVKNSAAEKVAQGKDKAYGTAEETKRMASEKAEQAYDSTGKAKDSAYKTAEEAKKVAAEKGEQASHLTGKAKDKAYETAEQAKQEALEKGKEAYEMTDKAKDKAYDLTGKAKDKAAEKGNEAYEMASNAKHTAYDLTGKAKDKATEKGNEAYDITGKARDKAYDVTGKAKDEAAEKGNEAYDIAGKAKDKSSDLTGKAKEKAAEKANEASDITGKAKDKAYEAAENAKKKAEEKGNEAYEMSGKAKDKAYETAESAKKKANEAYNTVGEKAEQAKEATEGNLEWAKEKAKEGYEAVKSKAKETLAPNIERAKSNVKRGEEL >KZN09213 pep chromosome:ASM162521v1:1:21718033:21720506:1 gene:DCAR_001869 transcript:KZN09213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDSFNTFFSETGAGKHVPRAVFVDLEPTVIDEIRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTTSLRFDGALNVDMTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNTAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGAEDDGEEDDEY >KZN11228 pep chromosome:ASM162521v1:1:42849155:42852796:1 gene:DCAR_003884 transcript:KZN11228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANMRLSPSGFNQQSPEGEKSCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNREVDCHIPNYPSLPPQLICQLHNLTMHADVETDEVYAQMTLQPLNPQEQKEAFLPADLGGTSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDAVIFIWNEKNQLFLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFNIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFNGKSNYSLL >KZN09532 pep chromosome:ASM162521v1:1:26749314:26750604:-1 gene:DCAR_002188 transcript:KZN09532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRWAVYLENKDQEEKSCYQKSESDDQKSVSKSCVISGCSSVVKTYTFEELKEATRDFRIRIGVGATSFVYLAQLEDGIFGAVKRVMEDRGGSKKMFLDEVSILLRISHPNLVGMMGFCLEQGMSYAWFAFNLREQLLLLEYVPNKSLYDRMHTQQGQCRGTLSWSNRVSIALDLARALDYLHNVADPPVIHRDIKSSNILLVNNNRAKLADFGLCKLGHDIQTAPLTPTTIKGSLGYVDTNYLNTGLLSPKSDVYSFGVILLELVTGLKSFLGSTTIAEWTEECRKNQNVDVLVGLLDPKLKGDADLEQLKVLIELANLALLENAEARPDMKFIVSTLLDCTEDKLQPELPV >KZN11886 pep chromosome:ASM162521v1:1:49110864:49114233:1 gene:DCAR_004542 transcript:KZN11886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSRKWMILVVTIWLQAFTGTNFDFSAYSSEFKSVLGVSQVQLNYLAVASDLGKALGWSSGLALMYLPLWLVMFMSAFMGLVGYGLQWLVISNIITLPYFAVFLLCLLAGCSICWFNTVCFVLCIRNFPTNRPLAISLTVSFNGVSAALYNLAAKAFDSSSNDTYLLLNAFIPLVTSIAALVPILRQPSLDPLPDDAVKRDQLIFLLLNFLAVTTGFYLLFIPSTDPSSARLLFCGAIALLILPLCIPGMVYARDWFHHTIYSSFRVEGSGFILINHVDLELHKEILSRDNSLVDNASYVDSGDGYYDLLDGSETDSSEGCCKTLITRDRLAMLGEEHKAKMLVRRMDFWLYYFVYFFGGTIGLVYTNNLGQIAQSLGLSSTTSTLITIYSAFSFFGRLLSATPDIIRTKFYFARTGWLAIALLPTPIAFFLLAASGSEVALQAGTAIIGLSSGFIFAAAVSVTSELFGPSSVGVNHNILITNIPIGSLLYGLLSALVYDANASPDIEMMPNSLVCMGRKCYFLTFVWWGCVSVLGLASSALLFLRTRSAYDHFEKNRRSELLY >KZN09269 pep chromosome:ASM162521v1:1:22335640:22342418:1 gene:DCAR_001925 transcript:KZN09269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLTIYLSHRTPRKSLLHDVGAPKVRMLEKLRSYGLAGLLSYGLLNTAYYSFTFLLVWFYVVPAPGKLGYLASVKRFFKLLAMVWAGSQVTKLIRAAGALALAPFVKKGLSGFTLKFKFQSEGKVSDIALLSKYIAVCMHLYHQVTQINVPRVTRQLVNNYLVYTPNAENPHLSLKHWKFYVVPAPGKLGYLASVKRFFKLLAMVWAGSQVTKLIRAAGALALAPFVKKGLSGFTLKFKFQSEGKVSDIALLSKYIAVCMHLYHQVTQINVPRVTRQLVNNYLVYTPNAENPHLSLKHWK >KZN09642 pep chromosome:ASM162521v1:1:28054306:28066584:1 gene:DCAR_002298 transcript:KZN09642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVAKDYEAIIGIETHVQLSTLTKAFCTCPYNYGSQPNSSVCPICMGLPGALPVLNSKVIEYAVRLGLALNCELSFNSKFDRKQYFYPDLPKGYQISQFDVPIATGGFIDLDLPVEFGGGHRKFGITRVHMEEDAGKLLHTGNGSFSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRMVRYLGISNGNMQEGSLRCDVNVSVRPWGQIEFGTKVEIKNLNSFSAMNRAIDFEIARQELLHSQGQADQIVQETRLWEEGAQKTVTMRKKEGLADYRYFPEPDLPAVNLTIKYVDDIRDSLPELPEMKRRRYETMGLSMQDILFLVNDMDVADFFDATIAKAADVKLAANWIMGDIAAYLKNEKLSINEVKLTPEELGELIASIKSGTISGKIGKEILFELLAKGGTVQGLIKEKDLIADPVEIEKMVDKVIADNPKQLEQYRGGKTKLQGFFAGQNRAYWPEKEKWLQERYAEIHPGSQQDEKFMILGYQWRVLRFNTETRQSTVKIMAACRESDAGSVYLMQQARCLAVPYVKSMLSSGLASIKSCDYDLKSAILGKKTMNILCIGHGGGTLPLFLADKIQGAIVDIVELDPVVISASTKAMAFPSYSIMNPSGERANLTPDPKDEVMWKGIHERLQLYESDAEDFVVNSTKLYDMVFVDAYDGQDIFPHKLWDPQSPFLNALSDRLHPEHGTVVVNLHADTDLFDDDDTLPSQLVLPMGKYVKSVCEAYKNVIVGNSNGGLAFNVLVPWVCNSSLVISRGFRKRSGVLHRDTVLDILVSNLFEVEDILNLPFSCLEYLKRGIYLVN >KZN10486 pep chromosome:ASM162521v1:1:36190994:36191608:1 gene:DCAR_003142 transcript:KZN10486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRSEKKAPTPASTSPCANLRAAYDKCFNRWYSEKFLKGQWDKEECVSEWNKYRDCLSQHLDDKHLARFLEAEGIGNMIHQVDASSPKGAPT >KZN10921 pep chromosome:ASM162521v1:1:40314012:40314407:1 gene:DCAR_003577 transcript:KZN10921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHKRSRKEDEGMEEWAYEQTKGELSIAAERASRKMKFVAEMRLLQYREERKGRHWVPTKDETDTEVMYGVGRALREYHFKKDRDTNTSFLKGQITEEQRVALLEESWAEYDAKVIDIVKSNFDEYAKHP >KZN08769 pep chromosome:ASM162521v1:1:15129981:15132233:1 gene:DCAR_001425 transcript:KZN08769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDQSFIQAVEHRPKLTFTEAQGIPLIDLSISDTQLVVSQIGHACENWGFFQVINHGVPVKLREKLESASRSFFAQPKEEKLKVKRDELNPFGYYDTEHTKNVRDWKEVFDCTVENPTILPASPDPDDKELITLVNYWPENPKNFREACEEYAQEMTRLSFKLIELLALSLSLEANRFNEFFKESTSRLRLNYYPPCPVPDLVLGVGQHKDAGGLTILYQDSVGGLEVRQKSDGEWIRVKPTPNAYIINVGDAIQVWSNDKYESAEHRAMVNPAKERYSIPFFFDPAHSTTVKPLHELTKEITGSGTNGLRRIVCWLTRTRQGQKRDKQEGCKQLEAEPGPSHLQPTGWLKISTDATCIPGSDQVGVGCVIRNDRGEFIGAPSSIVQGRMQPNEAEAMGLKEALTWRHSKCKCIFECDAKLVVDAITGGLRQQLFSYDY >KZN10362 pep chromosome:ASM162521v1:1:34929869:34930660:-1 gene:DCAR_003018 transcript:KZN10362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFNKIGSLVSQTNLKTSVPSMFNTIRGMASSKLFVGGLSYQTSDDSLRDAFSSFGDVTEARVIFDRDTQRSRGFGFVSFADSESASSAKSAMDGQELEGRNIRVSEATERPPRENFGGGGGYRGGYGAGGGNDGF >KZN09883 pep chromosome:ASM162521v1:1:30445983:30456658:-1 gene:DCAR_002539 transcript:KZN09883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHNQIAELLSNTLSPDGAVVHAATDALDRLSQHPSFSSSLLSVAAFGGDDKGRAIAAATYLKNYIRKNIDTSKMSKEFRDAYMRALFQVEPAVLKVLVEGFRVIVDAEFVKNNSWPELIPELQSVIRNSNLIIEGGNTDWKTINALTVLHSLIRPFQYFLNPKVAREPVPPQLELIAEKILVPLLPVFHHFVEKRNVLTDLWYQSQALSIQYGTVVENEKILLIISKCIYFAVRSHMPSTLESLLPSLCKDFFVILNSLRFDGEEILEDGYLLRLKTGKRSLLIFCALVTRHRKFSDKLMPDIIECVSNIVKQSVNISKLDFLSERIVSLAFDVISRVLETGPGWRLVSPHFSSLLSSAIFPAVVMNEKDIAEWEEDPDEFIRKNLPSDVGEISGFREDLFSARKSALNLLGVISISKGPPVAASVLSKRKKGEKNRQKNRSSMGELLVMPFLSKFPMPTDTTTSATKTVKDYCGVLMAYGSLVDFLGEQKPGYTTIIIRNRILPLYKKSASHPYLVATASWVLGEFSSCLPEDMSSDVYSSLLKALASPNVGDISCYPVRVSAAGAIAELVENEYLPPEWLPVLQVIVSRIGDEDEESSILFQLLSTLVEAGSESVAPHIPDIVSLAVVAISKYMDTNPGPWSQTVEQGFAALAVMAQCWEASEPEEQSEIGNMWGSGRTTIATAFSDLLQQAWLRPVEQVDCDIDQLSPPSSCIDDISALLTFIMQDVNECELAMKLKVPELMLVWASLISDWHAWEEMEDLSIFTCIKEVVNLNKKFTLKNFIVGDIPSPPAPPVPRLSVIEGISAFISEAFSQYPSAISRASACVHALLHVPTYSYESESVKQSLVRNFSHAAFSHFREIQTKPCSLWPPLLLAISSCFLCYPDIVVDILEKNENDGFTVWASALAYVASSKFEPGLSSESEIKLAVLALGKLVEQLLSVRHQGGGLLGDCFVALIEASLRLKVVQDEDEEEDENVETGDEDDDEDSEVDSDEESEDEREETEEEFLERCAEAAAELEDETLLEEGDVEDHELEIELGILEDIDPDTIMKSLVDESGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >KZN08346 pep chromosome:ASM162521v1:1:5476985:5477923:1 gene:DCAR_000892 transcript:KZN08346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLVFIFIVLAMVALKPMSCTTEAQVSIKSARLLDLVIRDYTFQSYSNHIGTGKLHRIHLPTNLSGINVNTIRFRCGSLRRYGAKFKEFHLGIGITVYPCAERVLVVTQNLGYNWSSIYYDNYELTGYQLISPVLGLLAYNAGDDMNFSTPYEIGIKAGERPIKIDFSNTTWLVNSTGGTIPMCANFGHDGKVTLSHQVSDNVCVSMGHGHFGLVVQSPLMPSRKKVITRWKVVIGTAVGAAIGASLLGLLLIAMFVKVKKKKRMEEMERRAYEEEALQVSMVGHVRAFTATPTRTVPIIEHEYRPPPPP >KZN08128 pep chromosome:ASM162521v1:1:2962293:2963120:1 gene:DCAR_000797 transcript:KZN08128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNADSVSHTMFTQSVSNPSVWASMHSWFTPTVFFVLLNLMVGTILFNSNLLTQKQTSQQDQEDQDANFQNDHRAPKLTRSPSVLKRLKSLNFRNFRSHEAAQIQETLDQETMHKENASAQAQAQAQAQAQAQFILEQSHEDESPLSETDDESLSDEEELQSLDEVYSQLGHEFSDGNFSRTTSETQPASGQVPAKLPAKMKKSASMKSPFAHFEEMDIVETRRPATVREKKSTEADEEVDAKADDFINKFKQQLKLQRMNSILRYKEVISRGNAM >KZN09942 pep chromosome:ASM162521v1:1:31021906:31023036:1 gene:DCAR_002598 transcript:KZN09942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPSHPNHHHTSACINCGGPTAFPPPPHISDINSPPPVYVPIRAPAINLPPNPQNTQQQIILTPVPQAQEISILEPPFNFQTPIKKITSQSDIQHFKESPVCKNFLGFVVSLSESIRGHKLSDPCHQSGKILGLLSVLETLERFVDEIPPLPQAARYGNLAYRDWHERMTEKAESFVGELLSDELKGAVVELVPYFTDSFGNWNRVDYGTGHETNFAAFLYCLARLGVIKSEDYHAVVSRVFVKYLDLMRRLQLVYSLEPAGSHGVWGLDDYHFLPFICGSSQLVDHKYMKPKSIHNDDIIENFSKEYLYLSCIAFVKKVKKGLFAEHSPLLDDISGVPNWKKVNGGLLKMYKVEVLEKVPIMQHFLFGSIIPW >KZN10865 pep chromosome:ASM162521v1:1:39644383:39645077:-1 gene:DCAR_003521 transcript:KZN10865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNFDRVKYWLSVGAQPSDPVQRLLFRAGVLPPPPMLAMGRKGGPRDTRPIDPMTGRYLTAENPTKSDQSKDGGEDTAATS >KZN08975 pep chromosome:ASM162521v1:1:18564409:18564831:-1 gene:DCAR_001631 transcript:KZN08975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKYSCKPEECPSRCAYRCSAASAKERCLDTCNDCCLKCLEVPPGTWGHKDLTPCYNSLKTKKGQPKCP >KZN09836 pep chromosome:ASM162521v1:1:29833427:29834009:1 gene:DCAR_002492 transcript:KZN09836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTRTAEARSSKMTAAQLYKNMFIDMDKVMPKILPQIFKSVRLVEGDGGVGTVKEITYAVKATTMIQKLVEMDPEAMTYTKVIIGGDVLMGTLESVAYHSVVESSDSGECVVKLTVVCTPLPGQEVSEDYIKDSIAQSYQTFYAVEEHVQATC >KZN11762 pep chromosome:ASM162521v1:1:47920070:47922502:-1 gene:DCAR_004418 transcript:KZN11762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVIVPSAIDLHFPSMSSDTMDEDFQDEMTVASSTEIAEANSLLSSWASTGVLTSPEVNSTSYKSTKIHEPLSTPETSSVSPNDEVTGSYTFRFDCYSRRAGFEVGKYLTSDTFSAGGHDWAINLYPNGKKYEYKDYISLYIRLDSEGTDVNAVFEMMLVDQSGRGKHSMQTHFGFLMRRRGSQWGFGQYMKKKYLEKLGYLKNDCLVVNCVVGVLESGTGFEISAPSNFTSAYLSASLNNQIMKLKKSQSMKVSDSEDTKLPDLESAVKVINEFESPSQLQGLINDGDRRKFSQYLYAVDEIQQSIRSGISGYDTHGARAIKQLQLIFQRILDCSVTGTKYDAMTTTVYSSSVTSSYNYELQGSNQTGQGELSSEQIYRLCSIVNRLNSTGCLGDCINVYRISRKSAVDARYMRFCIGRWTINDLQSLYYEDFTSKIRMWILAAYKCYDAIFPGERQYYEQIFSGVSAVTDENCFLAIVKHAAIELNNFAEAVSCTTSFQKFFPVLDLHKVLVAILPKIQSTFHSVSVANISYRASNIINSLTTVIRKLFSSFQDTVLNEQLDTLPSKGAVHSLTEYAMKYVTSISLHKDLLTNIIVSPPTERLGNQEDEQFLEALSGTPLRLHMIWIMISLRINLEGKSSLYGDSSMSYIFIMNNVSYVIKTITGSPELLELIGKEYPSKLSKYVLQAAQNYASSTSHKVLYCLRDDGLNFKFLLCNGISKNSVKNRFKTFNTAFEEFCQAQSTMSVLDTQLRCQINKLILGKLLPAYRSFLEEFGSHIQSERFKKKYIKYSNQELENKLKILFSEH >KZN11688 pep chromosome:ASM162521v1:1:47011325:47016366:1 gene:DCAR_004344 transcript:KZN11688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEIDLLDDILADNATKNARPSGKFRPKAKPKPRKESFAPSQSAQPVIISEHESKNASENTLDDTIIIPPLDDNKNSKSTSLPTEHLPDKENDGSTGGSHLDALLSGITGDYNSSFGNEGDTGSLMENSDAFLSQATTVTETETTYVDSSRNEEVILDSARDTSVHHGMQRFNTSSFCLDYELPASLDTFHEEFTSNTDGYFQVGNTSPFLETQNITTEFTSRTGRHTEKLQPKPKLQNQEETHCSTVHNPDNVPPVPSQTDNFDEGSIPAVPLDDMIDFSSMEFDDSVPTDPAPEISGFVVTSHTEEQNIVPDPSDKERGRTDTGLEHSVEHLILSTSGLEEKHGRSSKRLKKKKHVHQLVDEPEEHDLETGQLTAEYPKGSAVTEDDHTDKDYLLEDDRPNKKVRGKSKKLVAEKEKTVRKRKKANEASKQPTKTSKKKFSHSTRRRGRFDKDWLKTPEDELEISDLPLKDIILLGEYREWMAKKNPETSQTPPVTNHSAAKSPARYYDEDDDRFASEHEQEFNDEHENVAVQDNGTYFNYQSHMKKTPRGKWTKQDTELFYQALQQFGTDLTMITQLFPGRTHHSHFQLIIDQVKKAVAERKQISETDDLDILPEEQPEGLPPEVNEGTKSAEVEGPVEDEGPAATGPVESSDSDDDEKRWSQFRSDL >KZN09111 pep chromosome:ASM162521v1:1:20344525:20345827:-1 gene:DCAR_001767 transcript:KZN09111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLHVLLIGFLMTLGVAVLYGFVLPSVELIYKKAKQTVTYSLVIEMQIIISLSATILCVVGMVINNDFQAIPREAASFELGQTMYYLVLAADAFLWQLFFLGAIGVIFCNNSILSGILISALLPVSEILAVFMFGEKFTPEKGISLFLALWGSVSYFYEEAKQDKEKKRIAEHEEKELERIAQDEYQFTDITQIVLQKQKFTSFTINAVFLLCIGAVVLAFHTSSDRPADESNKQYFLGFFMTLGAAALYGFILPAIELMCKKAKQPINYSVVMEMQVVMSFFATVVCAIGMLVNHDFTVNLITSR >KZN11966 pep chromosome:ASM162521v1:1:49748631:49750040:1 gene:DCAR_004622 transcript:KZN11966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFASSPPPSHGFHPKSRDFKSDVCTIDVGGQLFQTTKQTLALAGPNSMLYQACEAGDEAVPFIDRDPELFAILLSLLRTGNLSSKARGIDVQDLIFEAQFYGIEDVLVASQSNPSQFEAFNLEKSLLLSLNGRDSPNCMSTTPYGSVHVAHGSKITSFDWSLRKKSTILTEFTAIDSLLSISPVIAAAGATDFSGLQILDLDKGFVRETLSWENVTRSSSTVQAIGSSPDFLFSSFESGRRNSSAIMVYDLQGGFRPVAEIGHCEVYGADLGSAIPATKLNWVPSYNLLMASGSHSGPQGVMGNIKFWDIRSGNVVWELKENVDCFADVTVMDNLSAIFKVGVNSGEVFFSDMRNMGAENSWECLGDKRKAASGKKEGVGCIIQSHNNQVFCSKGGDLELWSEVMVGSLRKTDNGTGGRVFRKNLMGREKDMGGSRITNLQFGGNKMFVTRKNQQFVEVWQSSVRGL >KZN09705 pep chromosome:ASM162521v1:1:28632775:28633311:-1 gene:DCAR_002361 transcript:KZN09705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIATGILRLNPRKLAFIAVQRQTAASASTRPPKRLQHGCFGAFPTSPPTRPPSTLAHAPNLNASLGQVSVFGEPGNGGLIRGSGKPGTRGVRGGNAKGGLVGRGKPGTGGVPGGKAKGGLEGRGKPGSLGVPGNAYGGLEGSGKAGGSLGVPGKTGGSLGLSGAGGVGEEGELDLCL >KZN11064 pep chromosome:ASM162521v1:1:41409152:41411254:-1 gene:DCAR_003720 transcript:KZN11064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRGRRAAAAMMMLWLAMFEGLFVNVANAHLNYNEALTKSLIFLEAQRSGKLPPNNRVPWRGDSALDDGKEANVDLVGGYYDAGDNVKYGLPMAFTITTLSWAAIFYQKELQTARELENAQAAIRWGTDYFLKASVTPDTLYVQVGDPDKDHQCWVRPENMKTPRTVLKIDAATPGTEIAAETAAAMAAASMVFRGVDDPYANRLSAKAEDLFRFARDHRGSFDGECPFYCSYSGSNDELLWAATWLFKATKNQIYFKYITEEAIAATVAEFNWDLKYSGCQILLAELFWQGQKEFESYKEHADGYICSNHPDSPYHQTFITPGGMVHLRDGANGQYVTGTALLFSVYADLLAQHNQCVKCGDKEITSAQISDFAKKQMDYLLGENPQKRSYMVGFGVNPPTQPHHRGASVPPLPPNQEVNCGMSFNDWFNPNKPNVNELTGAIVGGPDKNDSFQDLRSASSYTEPVTYCNSLAVGPLAKLAAHAQM >KZN11973 pep chromosome:ASM162521v1:1:49807442:49810540:1 gene:DCAR_004629 transcript:KZN11973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLDGSEPDDFSLAIVECLESVYDGFESDDYVAVKEEGREVTEWPGSLSSLSIASDQYINARCEPVIEILDAKIYTHDNVSLDVYGDIRIFDLKEGSTNDFIVYERDLFDSPQHISPLNRFLQLSSPGEIPFYDDPHLAVDIKNVRNDAVIACGQKPLFKTTHHPFKSGDFENLYTLQFQGDAGAFVEVQCLAFTFGVYAEVEIVLLRDSVEDEGEEDGKGVEVFGLICAKCDPLILPKNCYTNNLFNVIQEEREWVALGTEIGLSKSLLPVPAYSPLEISLDLRGNDGIIVNGTASFEASNYSGKKYIRGLNGFYVWVHVDWCEPSLLTRLSCNEDRIDGFCSVPHWPSLYASQLLEVFSLFISRPNEEEVNLYGSVNILDSRGWCSIFSCSKKEAYCLSRGSNFLPVRGPTRAITPGYFFSMEIDLRDVDGHVNIQGYVASSPLIGERQRPWFDRRLRSVVKSVNEKSFAAVNYTLFSFAVLAIIEVRLVFHRGSFAHVKIYGDITASLGKLLYSTTYDVEFFQRVLFTRKKENFLEVEDNLKLSTNHVAVPMDSSLLTKVNLSLQTSVHTHHLAGLVKFQIGEPCKVIKSDFVDICIDVKWKGLPYV >KZN09038 pep chromosome:ASM162521v1:1:19348244:19348584:-1 gene:DCAR_001694 transcript:KZN09038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGHEDDEGDLRQAKRLKQFSFYTAEEEAEERFVLSQHIVKVWERAGRFEDVQRLEEGGGIDVKVWGRVCVGLEEACVY >KZN11755 pep chromosome:ASM162521v1:1:47882392:47884641:-1 gene:DCAR_004411 transcript:KZN11755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDTTDQDYQEIASSSSKNTAPGFSVDDLLTGSHTFRFDRYSRREGFEVGKYLVSDTFTAGGYEWAIALYPSGKKDQYIDYVSLYIRLESEETDVNAVFELMLVDQSGNGKHKIQTQFGRLQEKQPFLMRRRGSQWGFGQYIKKKHLKKLGYLKDDCLVVNCVVGVLASCTDKEIEQAPVSCISDMDQAVMMESCEDTKFLDLESAARVIIEFGAPAQLQGLVNDADRAKFDHYLNAVDEIQQSIKTGQVSDYEAHGTRAMKTLQLVFQGILDCSTSVNQSDTLSSTLDSSSMTSSYGYEQQGGHHASHHELSQEQVYRLCSIVQRLNKGGCLGDCIEVYRISRKSVVDARFLRFSIGRWSVNDLQGLDVEEFAAKIKLWIQVAQKCYHSIFPGERQYYEQIFDGVRAVSYDTCFLAIVEHVAVELNNFADAVSFITSFRKLFAVLDLYKALDVILPEIQKMFYTVASENICQGASNTITSLATLVRKLFSSFEDTVLNEQSNSPPPDGTIHSLTEYAMNYVTRLSQYKESVTDIITSRPTKSLGNQADEQFLEASDRTSSNLHMIWIMMSLKINLMSKSKVYEDSALRYLFIMNNVTYIIKTVKGSPELVEMIGKEYLSKLRKEVLQAAQDYYSSIWHRVLYCLRDDGLSNKFPFYNGISKNSLKDRFKTFNSTFEEVCQMQSTRLVLDVGLREELQKLILSKLLPVYKAFLEKYGSRVQSERGKERYIKYSSEDLEDKVKKLFSEH >KZN08662 pep chromosome:ASM162521v1:1:13216145:13224909:1 gene:DCAR_001192 transcript:KZN08662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLLHPGEILEDFRDTHLSEDLNFERNGDVEELELQNKPSPMPVFDEVVVEVEDEPKKLDREEVLEPFLRFFKPRDLGQKVSDLDELEVSSEESESDDVEEDGNEVSVEYYDPKPGDFVVGVVVSGNEHKIDVNIGADLLGTMLKKDVLPLFDKELDNLLCDIENDADTFMVKGRMGIVKNEDSTNGDVVGPGRPVVEPGTVLFAEVVGRTLSGKPLISSRRYFRRLAWHRVRQIKQFNEPIEVRITEWSSNGLLTRIEGLRAFLPKAELVNRTHTFTELKENVGRCINVQITRINESTNDLVLSEKEAWSLLHLKEGTLLDGTVKKIFPYGAQIRIGDSNRSGLLHISNITRERVTSVGDLLAVDEKVKALVIKSMFPGKISLSTAELESEPGLFVSNKERVFSEAEMMANKYRQKIPKVPAARDIEAFPDDTLPYEDEEKLFSNWTWFEFERDDKTSSSVPKNLKLRAHQGCRRFLNQTDGITEPRVPKSVNSVNLL >KZN10556 pep chromosome:ASM162521v1:1:36935917:36939652:1 gene:DCAR_003212 transcript:KZN10556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLELWIRSVLLMFGMLSSTIGGYVGINIGTDLSNLPSTSDVVAIIKANQITHVRLFDADAHMLTALSDTGIEVIVGVTNEEVLGIGESAAVAAAWINNNVAAYLPSTNITAIAVGSEVLSSIPNAAPVLVPAMNNLHKALVASNLNNHIKVSAPQSMDIIPKPFPPSTAMFNSTWNSTISQMLQFLKTTDSYFMLNAYPYYGYINNGGIFPIDYALFRPMSSVKQIVDPNTLFHYDSMFDAMVDATYNSISAFNSSIIPVVVTESGWPWFGGANEPDATMDNAETFNNNLIQRVSNDSGPPSQPTIPINAYIYELFNEDKKSGPISERNWGLFFSNGTSVYPLSLTTSNNSVGNSSVAFCVAREGADSSKLQSGVSWACGQGQANCTAIQSGNPCYLPDTLQNHASFAYNDYYQKMKSIGGTCDFDGTAMTTKNDPSYGSCIFTGSSNSSSFGFSTPAFAPISPQGKSSKLQIHVVWSLALTLFITFLVVDVHIHPFCN >KZN09184 pep chromosome:ASM162521v1:1:21459629:21479141:1 gene:DCAR_001840 transcript:KZN09184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNNTSRQVTFSKRRNGLLKKAKELAILCDAEVGLMVFSSTGKLYDFASTSMKSIIERYNKMKEENYQLLNPTSEVEFWQGEVERLRQQLQYLQQNHRQLLGEELFGLNINDLHNLENRLVISLKGVRMQKEQLLKDEIQELNKKGSLIHRENIELHKKVTLIQEANQELRKKVNGLASSSTHIRNQTPFGISNGYDLNASISLQLIQPMTKTNPTPETDVTLGLKLQ >KZN12091 pep chromosome:ASM162521v1:1:50918634:50921310:-1 gene:DCAR_004747 transcript:KZN12091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCISYNKISAFTSSFSSFSTGPVVFPGNRRRVIVAATSSDSHCDFGSLNTPLELRSPSGKLLSRVLQNDRKNFHHAVAGQLELLVSERDEALARFRLSLGSSDASLHRRIAEMKEQESQTAIEDVMYMLISYKFSEIRVHLVPRLSQCIYNGRLEIYPSKDWELESIHSLEVLEMIKEHLGAVIGWRADSNVTNNWATTNISKLRLCRVYAASILYGYFLKSASMRHRLELSLTDLNYDLRPNTGSRLSLSDFWSYGLNLAFGRVQNKHVTTVGEASCNQVKKNEKLSSYVMGFDPEVLQMCAKPKSKEAMNLIEKHSCALFGDEKSGLVESDEVISTSLASQKRFVLEAVAFGSFLWDSEEYVDSAYKLKQN >KZN08817 pep chromosome:ASM162521v1:1:15796028:15796372:1 gene:DCAR_001473 transcript:KZN08817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLNKYDILYSHLIEPRMKTVGEITECLVPMRKAFKNTFIVAGGYGREDGTKAVPENLADIAYGRQFLANPDLPKRFHLNAPVNKYNRNTFYTSDPVVGYTVYPSLDETVLL >KZN11252 pep chromosome:ASM162521v1:1:43034186:43035916:-1 gene:DCAR_003908 transcript:KZN11252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED-6 description:9-cis-epoxycarotenoid dioxygenase MTSCTAATIAAAYSSKIDPITLPSKTNISFRKKASRSAQIPSYLQDSCNNHQQLIKTSHSPRWNLLQRAAAIASDFMEGIIVLKETKHQLSRTCDPRVQIAGNFAPVPEQPVKHNLSFSGTIPDCIHGVYVRNGANPLFEPVAGHHLFDGDGMLHAVTFNGNSISYACKLTQTQRLVQEKELGRAVFPKAIGELHGHTGIARLVLFYMRGLFGLIDHSHGIGVANAGLVYFNGRLLAMSEDDLPYQVRVQPSGDLQTVRRFDFDEQLQSTMIAHPKRDPVTGELFALSYDVVKKPYLKYFKFSTDGEKSPDVEIPLDSPTMMHDFAITKNFVVIPDQQMVFKFREMIKGGSPVIYDKNKKCRFGILSKEAKDSSEINWIELPQIFCYHIWNAWEEPESDEIVVIGSCMTPPDSIFNECQENLKSILSEIRLNLKTGKSTCRPIISSHEQVNLEAGMVNRNLLGRKTRYAYLAIAEPWPKVSGIAKVDLVTGDIQKFNYGDMRYGGEPFFLPRELNSEKEDDGYIMAYVHDEKTWKSELQIINAMNMNLEASVKLPSRVPYGFHGTYISSEELAQQV >KZN11990 pep chromosome:ASM162521v1:1:49900748:49910060:-1 gene:DCAR_004646 transcript:KZN11990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPARWRSDKNKTKAVFKLQFRATKVSWSGGDTLMISVIPAEGGKPTSILDKVKIRDGSCYWEKPVYETMKVTRDPKTGKINERSYHVIFSTVTIERIQDSAGQREYEDGENLKDDSKDQSLRAHLSNSDTEENIKTKILEEGSFNNMISHVAELKKICRDSGRSDSTLSSSETSSGHNTPRVLDMTSVSTTKDGGNFLSPLGHSQVTQRLNSDTLAEIYDEQQGSQLEWSGGSVPDASTDDSSNSPREVLIDERPQGAPEILVEKLKTEVVVLARQAEVSDLELQTLRKNIVRENKRAQDLSREVLSLKEERDSYKQECEKARLRNKSEYEGGDPRALIEELRQELNHEKDLSANLRLQLQKTRESNNELILAVQDLDEMLEEKNREILDLSNRSATTQNSKDNWETNSRSSGDLDVDQKALEKLVMDYTDVKDSCMQEQKIIDLCGEIEIYKRERDDFEMQLEQLALDYEIMKQENHDLSNKLKLSELQDQLKMQYDCSECATSYTTIKGLENKISSLENELKKQSKEFSDSLHNISDLENHVKSLEEELDKQAQVFEADLGNITRSKVEQEQRAIRAEENLRKTRWQNANTADRLQEEFRRLSEQMASTCTANERLATKALTDANDLRQEKTYLEEMLRQAKEEVQSVKDHYEAKLVELSRQLQLKLNQIGKLQSEVDYKSAEFKNQRKHTEETQRTLSQKILLLQSEIERLDRVNNVSSKQTEETETLRAELEQMKASSSNIQLRLEEGAAERNKLESMVALLKMEAETLQEELNVMRNAKDEAKSVIENLQSELATLKVQYNELKLSSTAEELQKEKYQKQVIQLKTELKKKEDALCSVEKKIKDGNGRALVPEVAKARNIKYIPSPPTSKELVDLKERLKLLEGKIKLKEVALEKSSNTFLVKEQDLQRKIEDLERNCEILRENATTFCGYECQKVIEDSGNLNAGIRGAAILGAQDINTTNCSAEEMGSPRTLTESNNGIPSNTEIKDSATDSRDHKNLDKLLDEMVLLKEKNQSMECELRDMQQRYSEISLKFAEVEGERQQLVMALRNFKNSNKSWLSRDSSGAKLWHLPVLKKASGISTTILYVFIFVAAGFMYSAINHEQSKVYVDSVIIVPKENKRTTQIDELKKIPEKVKIQERVEIPLSCSPKNVTQTCPANYPKAFSDDLRASSACPEYFRWIHEDLKPFKATGVTRDMLKKAKKTAHFRLVIVQGKVYIEKFRQSIQTRDVFTIWGILQLLRRYPGRLPDLELMFDTDDKPVVKSHLYRRLNIATPPLFRYCGDKWTSDIVFPDWSFWGWAEINIKPWEMILKEMKEGNTKVKWIEREPYAYWKGNPFVVDNRKDLLKCNVSQEQDWNARLFVQDWIREGQQGFQQSNVANQCTYRYKIYIEGYAWSVSEKYILACDSVTLLVKPDYYDFFVRSLKPLEHYWPINNDDKCRSVEYAVVWGNLNPDKAQAIGKAASTFIQDELKMDYVYDYMFHLLNEYAKLQRFDPKIPKKAVEFCSETMVCNAEGTEKKFKMDSLVKSPSLESPCTLPSPYNSNFLGKLVKHKAGLVKQVEIWEKSYWSNVS >KZN09113 pep chromosome:ASM162521v1:1:20349675:20353914:-1 gene:DCAR_001769 transcript:KZN09113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGVVSGTRSPRPEAEGMSKRMKKFLLVSNCVMLGIGNCLAPMTNRLYSIKGGDRIWLMCALETAGFPFLLIPMTISYLYRRREGGPETKFISMSPALVLPCIVIGILTGADDYMDSAGVSRLPVSTYSLVLASQLGFTAFFAWVLVKQKFTFLHINAILLLTAGAVVLAFHSGSDMPEGESRGDYILGFLMTLGAAILYGFVLPIIELLYQKAKQAITYSLVMEMQFVMALAATVFCVIGMLINKDFQAMGREADNFEIGKAMYYLVLIADAFLWQLFFLGAVGVIFCHSSLLSGILISGLLPVTEILAVFFFDESFTVEKGMSLFLACWGSISYFFEEIQIEKKKKLAAESRDQPGEILQDVKQKCVAPEDEFMEQIQYAELYYFRLVSTLADRPFKDGGKGNEQKHETTSTRDQLLDAGNRQLYCSYYEPPLFCQGWEENLYGPETKLLTMSSWKIAFYCVVIGILTGLDDLMDAAGVSKLPVSTYSLVLASQLGFTALFARILVKQKFTFLHINAIILLTAGAAVLAFNSGSDVPTNESRGTYVIGFLMTLGVAVLYGFVLPSVELIYKKAKQTITYSLVIEMQIIISLSATILCVVGMVINNDFQAIPREAASFELGQTMYYLVLAADAFLWQLFFLGAIGVIFCNNSILSGILISALLPVSEILAVFMFGEKFTPEKGISLFLALWGSVSYFYEEAKQDKEKKRIAEHEEKELERIAQDEYQFTDITQIVLQK >KZN12102 pep chromosome:ASM162521v1:1:51040639:51041349:1 gene:DCAR_004758 transcript:KZN12102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCLLFLLSLIILLSSSTTHSLKCTSQTFTNNKLYKNCTDLPTLGSYLHYTHDADESTLSVVFSAPPAKPDGWIAWGINPNGTGMVGTQALIAFKKSNGKITVKPYNLVSYGQIVQTKLSFDVSDTAAEYSDGVMRIFATWELPENTTVINQVWQVGASVDDEVPAKHAFDPVNLNSKGELKLNETSAASPSPSPSSSDDDSAGKEDKNESSRIGGIRFGLYLAVLFSVFGFLSL >KZN11507 pep chromosome:ASM162521v1:1:45286723:45289900:1 gene:DCAR_004163 transcript:KZN11507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNRYVGIWFSEVHVQNVVWVANRDSPLKSNDGVFKILGNGNIGIFSESQAKQPVWSSNVTEVSAASSIHAKLLDSGNLVLISGSGRVIWQSFDHPTDTILAGMKLGVDKRTGLNRVITSWKSPNDPGTGDVSFVLDASTELPQLYGEMDSYRVWRGGPWNGQIMIGVPMASRIKPVEFSIEAAIFNYTFVNNKDEVYITFGSAPASRVFRRLKVETAGYAEQQLWNAESKEWTIIWTAPQDRCDKYKRCGAYSLCNNTNSIQCMCLPGYEAEQYQEWDMNCVEKRKELHSSCGKGKGEGFMKITGLKLPDASNANFMKNMSLQECELECFKNCNCTAYASADVNVGGRGCFAWYGELIDVRTYVADGQDLYIRVDAQVLEENRKKGHGMHKKMMLLIIFVALGLGVLLFIYWWRKRDKKKAKERKRRYLEMMLEDSVIDPSNEVSHANSLADNSNIELTFFQLDTILKATDNFSPAKKLGQGGFGPVYKGELLNGQDIAVKRLSRSSEQGISEFKNEALLIAKLQHRNLVRLLGCCIDNEEKILIYEFMPNLSLDCFLFDETRKSLLDWNKRHDIIVGIARGILYLHQDSRFKIIHRDLKTSNILLDKDLNPKISDFGTARLFGGNQSQANTGTVVGTLSHHNPDSIIYDTNSSSLNDLTITNPVGR >KZN08384 pep chromosome:ASM162521v1:1:5933997:5935346:-1 gene:DCAR_000930 transcript:KZN08384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQVMSERIVKPAVSTPDHLRICKLSFFDQLAPPAHVPLVSFYHNDTVSRDQTRMYLSKSLSQALARFYPLAGRFVRDGFYVNCNDEGVLYVEAEADLELDEFLGIARKNVERVNDLVPWNSIGETTLVTTPIMGIKVTVFRCGGLSIAILLSHVIADGYTAATFVHEWAATTSDLLGLVNHEDVFATKPNKYEFGVANYFPSRDLSAEIKPALVPSSKIKKGKIITKRFVFNENAILTLKAKVTKSKNMSRPTRVEVVTSTIWKSLVNMAAKNSIHKQSTLYLHLNLRGRTRAMAPPLPSDNTSLCGNFYMEVPTKVNNNKTDARELHDLVNLLRKSLRNALGECSKISSPGEMFTEIAKNFNEIEEEKGNEEVDVHLLSTLCRFPVYEVDFGWGKPEWVTTGGMPVELIFLFDTKCETGIEAIVNLNEADMIEFENDSDIKAYTTS >KZN08689 pep chromosome:ASM162521v1:1:13512251:13514884:1 gene:DCAR_001345 transcript:KZN08689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVSPASCYPSAYYVPQDEVSNPRLYYSHEYEPPPTVTPKSYASNLCGYQMFDTSSEREYVFANDNFDTTRTVASLYTLTCHTLSTGQPYYALESASPLTTPCSNVASSIELISVLNNHEFGEELTNINEVANGVSVLVKTGGYKECGDGRSTVMGGNDEDKRLRGNLLENNINLGDVIKDDNNKENKVKSAVEEIENDIDDVIGDGSLTDPTRTVIRLEKLTNTFDYLFVMDNFQIHQNSSSVTEYVEDFMHIKNRAEFIFKRKFDDDLLSYCFVEGLKEDFRDALELWAPRTLQEAIILARYQEFLLEESLMVVNVEMKCDKSQFWQQLQRYSQFPDFNNYLAFIFSRAQSTSVEVRQAAGLLLKNNLKNAYTAMPLENQEFIKSELLPCLGARDRQIRSTTGTIISVLIQLGGAASWPELLNTLVNCLDSNDLNLMEGAMDDLSKICEDIPQVMDSETPGLSERPINIFLPRLFQLFQFPHALLRKLSVASNNQYIMLIPSVLYIFMDKFLQVLFILANDPNVEVRILVCAAFVQLIEVCPAFLEPHLRNVIEYMLQVNNDLDDAVSLEVLPTLMHVEAKLSTSDDETWKEREAAVLALDAIAESWLNDPYPHLSQIVAFLTPLLDDKFSLIRSISCWTLSRFSKFSVEGINHPEGHKPFDKVLVGVLRRILDTNKRVQEAACSAFSTLEEEAVEELPPRLDIILQHFMCAFGKYQRRNLRIVYDVIETLADAVGGDLNQPMHLDVLMPPLIAKWQQLSYSDKDLLPLLECFTSIAQALGTRFSLFVQPVSQRCINISRTSRHIPWAGTEVFASGTIECEETIFTWVQQHNYLVSSIARRMASAWYWSIVRLVFDPGDFIYSHPGDFSCCEHP >KZN10406 pep chromosome:ASM162521v1:1:35390946:35395995:1 gene:DCAR_003062 transcript:KZN10406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRLHSLISQSYYYTSLLQWSLRNNDPFTAKLVHVRIIKSGLHLSVFLMNNLLNVYAKTGFLSDAHRMFDEMPVKNPSSWNTLLSAYAKQGHVNVARRVFEDMPEPDAVSWTALIVGYNQTGQFVAAIRMFLEMVYYQVAPTQYTMTNILSSCASFSASDIGKKVHSFVVKLGLSRINCVANSLLNMYSKLGDPVTAKFIFDGITSKTTSNWNAMISLHMKSGKSDLAAKQFELMAERDIVSWNSMISGYNQHGFDAEALDMFAKMLRDSILKPDKYTLTSALSACANLEKLNMGKQIHAHIIITNFGTSGAVGNALISMYSKSGGVQIAQRVLENNITSNFKVIALTSILDGYLKLGDILSARRIFDSVKDQDVVSWTAMIVGYVQNGFNNEALELFRSMVKNGPDPNNYTLAAILTVSSSLASLDHGKQIHTRAIRFGEASSVSVSNALITMYAKAGSVNAAKHVFDLIPRNTDTVSWTSMIIALAQHGLASCFFMVAASKYYYYFNTSDVVLAPSTRDSDIWEEHRAEFIVVDVDIMEPHKGIIESISNGTSALVYMLMIFGSEGLRYLVIWNLENRCGFTCHVLHEKPNTIVVHIKFTILSMPTRSSKKGGSNSIGSLLFSVQELEPTKTLEEPRDVTN >KZN11374 pep chromosome:ASM162521v1:1:44089639:44091401:-1 gene:DCAR_004030 transcript:KZN11374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPCVNFLRFVIQFQLILLALAQKQTLRTNSGNLAIFAFGDSFVDPGNNNYIRTISKANFPPYGIDFENQIPTGRFTNGRLVTDYAASYAGIKDYVPPYLDRNLSMEELLTGVSFASAGSGYDPLTPTLTGGVISMPKQIDHFREYKSRIETQIGKERTEALIKNAVYVISAGSNDFLFNYFWVSGIRRLSYSLPRYYHLLMQQLQHFLQDLLDLGAGNIVMMGLPPLGCFPLVITLKSNPINGFLERQCVTRISDAAQGFNQIAKQKCKEMERVDSQIYYVSLYESYMDIFQDPKKFGFDKADTSCCGTGILEVSIFCNRRSRLCPNVSDHVFFDSLHPTERTYSLLFEALAPTIDLILQGKQ >KZN11559 pep chromosome:ASM162521v1:1:45737334:45737957:-1 gene:DCAR_004215 transcript:KZN11559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRGLTISRSERLLGSYNYRQTQGPVNGELEFAEDDVWSAVDTVSVDSEEHTYHSSNAEWTPRAYVETNVRVSRRSHRGGGNEEGHVGGLSLAFDDPGRVSSPRIVHQIRGQDYVASPRGRQMATSAPINVPDWSKIYRVESVESMHDSDDWEERDFDMVPPHEYLARSRNSAANSVYEGVGRTLKGRDMSRVRDAVWSQTGFDG >KZN08916 pep chromosome:ASM162521v1:1:17626259:17628028:-1 gene:DCAR_001572 transcript:KZN08916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIPEMLQWLNSSADGTDISVLQRQRARINWQQQQQQSFFSGNDQHDMHSIPEAEAAQFQTLMNGDAVFGRFGNRAVKPDPGMEIEWPGYGKMIGDDPLGLGACGYGNINDVELNYAISRTTSCPPVVAAAAGIAETPGSYTQGREPGLNGQMSAAVCRESFKKRKADDNDDQKGQFQITETQGVDEKKSKGCTEDTGSKITTEQNSNRSSKKHSNCNNKGASNDTTNENSKNSEVKKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQKQVEFLSMKLSTVNPRLDFNVDTIFNEKMFPACTSNFPTIGLLPEAATSAYLQVNPLQHVAACSGLELETNAASIALKRTASAPVSIADTFFNPPCFNQLQHSGTWDLELQNLYNPEFQHGRSINYPSQLFTGIGNN >KZN10705 pep chromosome:ASM162521v1:1:38308153:38314662:1 gene:DCAR_003361 transcript:KZN10705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGDLDLIDDGDGGGKASSAVSCSICLEIVADNGDRSWAKLHCGHHFHLDCIGSAFNVKGAMQCPNCRKIEKGQWLYSNGSRPYAEFNMEDWVHDEDLYDISYSEMSFGVHWCPFSGLTRFPSSFEDSELSSAAYHDLLGQHALFAEHTAVSSASHPCPYVAYFGPFPPSSSNSSGSVSDASSFSSHWNSQSATSEVPTSYAFPSMDGHYHSWEQHSSLFPTVSSRVTGPPEQSSLPSMTQRAARNSSDISRSGSFVHPFAVGHGSNARAGSSVAPSMLPPYPGSAARARERAQALQAYFQHPPAHSQGVRTPVMPATRRSSGRRNMAQGGPVASSSEQASGFYFFPSSSSAGRSYTEAENSMSSRFHAWEREQYPSFLSSQAERDPIWGPIHQVPGGPDASIRPTSFRQRHGSERLPSQHRS >KZN08031 pep chromosome:ASM162521v1:1:1582417:1585674:-1 gene:DCAR_000700 transcript:KZN08031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEDMVSSRGSRRASRGGGGDRDDRGWTMLHIGARRGDVKEVRRLLNEGVDVNVAAFGPKSHGITPLHLAAKGGHLKVMDALLECGANIDARTKGACGWTPLHTAAKERNRKAVKYLVENGAFLPDDINDARFNPPLHYCSGLEWAYEAMKLLQEENSSSGETSYSSES >KZN07930 pep chromosome:ASM162521v1:1:731478:731819:-1 gene:DCAR_000599 transcript:KZN07930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPGAYSGLLSGSISGPHLLPLARIKKIMKKSNDDVKMISGEAPIVFSKACELFIEELTKRSWNMTMQAKRRTLHKEDVAAAVVATEIFDFLVPIVSVDDDGEDGIEKKEES >KZN11750 pep chromosome:ASM162521v1:1:47841310:47844472:1 gene:DCAR_004406 transcript:KZN11750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSALEVAQYLGMNSPVKDEATLSSNVTAEAIEGMIPSNFGFFRNFNPFSEDSLEHLGRKSILASKKLPSSLKYLTLECCESMERLPNLSNLKQLEDLILRGCRSLTEIRGLEELTSIQNLHFGGCNSSLLKSTFTKRLFQIYSEFGHQIKFYAPPSVFMDWISRSADWISKTSNSVSTVSLDLPEDLSQNFLAMILFIKSSSRGRAVSSVKTTTNNISWSFRYTSSYYDDYQDISSMDIVPRSVFSVTDDDDIIEFTASLEVESSGIGMPFTRKLEKPEILGIHLVYKPEIKITDECVQSNTDEEIFFEAVETFGM >KZN09260 pep chromosome:ASM162521v1:1:22217104:22220415:-1 gene:DCAR_001916 transcript:KZN09260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLKLYNLHSSGPHTRLDKLLSQPGNSLCADCGSIEPRWVSLNIGSFMCIKCSGVHRSLGVHISKVFSIKLDEWTNEQVDAFEELGGNTAVNSKYEAYIPENVSKPKPDASIEERTDFIRRKYEMQQFMIPDTSICCPFPCKPSSKSAEKHSHHKGYSIGQAFRYSWRKSDKSSKKSTSMAGMVEFIGLIKVNVVRGTNLAVRDMVTSDPYVILSLGNQIVRTRVIKNNLNPVWNEKLMLSIPESVPPLKLLVYDKDTFTNDDFMGEAEIDIQPLVTAAKATELVKMEDSMQLGKWVSSADNTLVTDGIISLIDGKVKQDIVLKLQNVERGVLQVELECVPLTQ >KZN08255 pep chromosome:ASM162521v1:1:4324794:4325765:-1 gene:DCAR_001320 transcript:KZN08255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFSLLLLSFFCSASLALSWNYQKFQDPENYEGSSDLVNLQYHMGPVLAYPINLYIIWYGNWNRNHQATIKDFIYSISSPAPPPSVADWWQTVRLYADQTNSNITGTVRLSGEFHDMHYSHGANLRRLTMQSIIKHAALPLNYQNGVYLVLTSGDVQVEDFCREVCGFHYFTFPIIVGATVPYAWVGYSGTQCPGLCAYPFAWPKYSGNPPRNGGNSIMRAPNGDAGVDGMISVIAHELAEVSSNPLVNAWYAGEEPSAPTEIADLCVGVFGSGAGGGFVGEVYKDSWGDGYNVNGVKGRKFLVQWVWDPVKRRCFGPNAMD >KZN08509 pep chromosome:ASM162521v1:1:9427578:9427910:1 gene:DCAR_031710 transcript:KZN08509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLDENTGRLLVRAKPMFLKRVVDNLSDVQRQWVVETGFEKVLVFNINEYPQPLSFLIAKSYKSTDSSISIGENIINFSENDVQIILGLPKGELMFEDSYNSEYKDV >KZN10814 pep chromosome:ASM162521v1:1:39259992:39260490:1 gene:DCAR_003470 transcript:KZN10814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KZN10418 pep chromosome:ASM162521v1:1:35504055:35511294:-1 gene:DCAR_003074 transcript:KZN10418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSLNETGDNCLNVEFFKKFARSFNSARGRAGKPKLKWSEVQSWFQNKQLESASKDSLLPRVKKLNPDTSPLNEPDKTTLTSKGGEEEHDYSNLKFEAKSSKDGAWYDVEKFVTYRNSRSKQTEARVRFAGYGPEDDEWLNVKECIRECSLGIDHSECQMLKPGNLVVCFQEKDEDAKYYDAHIVDVKRKMHDARGCRCDFRIRYNHDNVEIPASFSIHLKRDDSNLELKQQRKLLNTEKLIFESGDAKIFSEQGGTFVLVSVEPEGFVAIPHVQERKEIIYNIGKTHSNIC >KZN09330 pep chromosome:ASM162521v1:1:23305098:23314538:1 gene:DCAR_001986 transcript:KZN09330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISISEVGVKELREAGLQQTEAENLYNHLKQAIYASNDDPKELWRAITARRLLKPWFPHALHQLVYYAVYHNYDASVSGPPLYWFPSLNESSYTNLGRLMETHCPKLLGLEYKNPIASFTQFQRYCVQHPEVYWPLILKELSLSFREEPKCILDTTDRTKRSGSWLPGSVLNIAECCLLATSHPKKQENSLAIVWRDEGFDDSSINSMTLRDLREQVMLVATALDAMFSKGDAIAIDMPMTVNAVIIYLAIVYAGFVVVAIADSFAPKEIATRLRVSKAKAIFTQDCIVRGGRQFPLYSRVIEASPCKAIVVPAIGKDVKVQLRKQDLLWIDFLSSVDSLPREKYSSAAYLPADSMIAILFSSGTTGDPKAIPWTQISPIRAAGEAWAQMNLQAGDIYCWPTNLGWVMGPILLFSCLLNGGTLALYHGSPLGRGFGKFVQDAGVAVLGTVPSLVKTWKSTKCMEGLDWTNIKSFASTGEASNIDDDLWLSSKAYYKPIIECCGGTELSSSYIQGNFLQPQAFGAFSSASMATGFVILDEDGFPYPDDQACIGEVGLFPLYMGATDKLLNADNEEVYFKGMPVYKGMNLRRHGDILKRTIGGYIVVQGRADDTMNLGGIKTSSVEIERVCDQADQSVLETAAVSAAPANGGPEQLAIFVVLKEGYKSKPDMLRLKFSRAIQTNLNPLFKVRTPC >KZN09773 pep chromosome:ASM162521v1:1:29222753:29223421:-1 gene:DCAR_002429 transcript:KZN09773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQVLRPQDCLTHRIGVPPPAFHRRNTSFNGFKSGIPKVNRPVIRSDRPVQLRNSEQRKRSPVQLRNSPEQRKRSPVQLRRSPEKKRSSEPISFSKRSASAGDLTYKQNQIGNNNKNLLVAEKVRILRRGESLVSITAANEDNGGEMAVYGTGRLGPDPETVTKQVRVGLPDVYAGSAVTMSPSPRALPVPSFFKKGGGGQKLVDDSATKDLRRLLRLDSN >KZN07905 pep chromosome:ASM162521v1:1:579600:581461:-1 gene:DCAR_000574 transcript:KZN07905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSNRNTVFQRNHQSFLSPNRPHTDSSNNSIKLHPSHLPHVVLIKCLSRALRDENPKLLMVASALILPIQPLKVSAVHTGTMEMICKRGLHLRKEPLKIPKLKMAYNVHKMSSLLGCADDAFPQTPFMLPGTCNKLLE >KZN09191 pep chromosome:ASM162521v1:1:21524496:21539617:1 gene:DCAR_001847 transcript:KZN09191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCAYHAPPPALKTLKTLSHEKPTEGNLQSSTSNAEPSWRRSYPSRVPNFIGGKFVESQSLTSIDVINPATQEVVFQVPVTTNEEFKAAVFASKRAFLSWRDTPLLARQRVMFRFRELIQRNIEKIALSITTEQGKTLKDARHDVVCGLEAVEDACATSLPMGKYLPNVLDGTDTYIIREPLGVCAGICPLNFPALVPLWMFPIAVTCGNTFILKPSERDTAACLTLAELAMEAGLPNGVLNVIHGANDIINAILDNDEIKAVSFVGPDTAGMYVNARALANGKRVQTNAGARNHAVVMPDASMDATLDALVGSGFSAAGQRCTAINIIIFVGGSKSWEDKLVSRAKALKVSAGIEPDVDIGVDSGARLILDGRQITVPNYELGNFIGPTILCDVTNSMGCYKEEFIGPVLLCMQADSLDEAISIVNRNKYGIGASIFTTSGIAARKFQDEVEAGQVGINVPVPAPLQFFSLTGSKASISADLNFYGKSGVHFYTQIKTVTQQWSNLDYSDATSSIPPLSNVPGSSDIADIYSQGLQSNDYQGIDEMQSNDYENMDGIQLNDLQCRDGMQSNDLGSMDRVSLDLQRNVFRSNDADAPTLQPNYPQSSNRVSMPLQYEDFQSRSGVSLTLHSNDFSSTDEEGQPMSKSHAI >KZN09121 pep chromosome:ASM162521v1:1:20427768:20433318:1 gene:DCAR_001777 transcript:KZN09121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRGILGFEYGIVCAPLGPDISGPQLVAAVANAGGLGLLRAPDWESPDYVRELIRKTKTLTNKPFGVGVVLAFPHKENIKAILDEKVAVLQLYWGECSEDLVLEAHQAGVKVVPQVGSFEEAKKAAAVGVDAIIVQGREAGGHVMGQDSLISLVPRVVDLVHGKDIPIIAAGGIVDARGYVAALALGAQGVCLGTSFAHPTYKRKLVELEATEYTEVFGRARWPGAPQRVLKTPFFMDWRDLPSNENESNQPIIGRSTIHGIEKEIRRFAGTVPNVTTTGDIESMVMYAGQGVGLIKEILPAGEVIPRIVKAAQILIQQQLDNGS >KZN11578 pep chromosome:ASM162521v1:1:45913628:45914871:1 gene:DCAR_004234 transcript:KZN11578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLLQITSTLCNNIIHALFVHVALLLSILIFPGLIKAQSSQCRTSCGDIPIYYPFGIDDGCGSPYYRHILVCSDSGSLELRTPSGRYPVRNVSYSDPHILVSDPFMWNCQDGDNFRPTRPFSLDSSTHFSLSRQNDYLFFNCSEEHVIMEPKPIFCERFPDQCDSTCDSASYLCRHLPECASALRGSSCCSYYPKATDSLRLMLKYCASYASVYWRNVGAIQPDNQVPEYGIRVDFDIPVTTRCLHCQDVAKGGGMCGFDTKTQEFLCLCDKGNVTTYCKDHDVSQEHSNRGVVAGTVSAVSVAGAIGVGAGIWFWKKVRAKAPVTHGVQSNENRLF >KZN07994 pep chromosome:ASM162521v1:1:1283393:1283710:1 gene:DCAR_000663 transcript:KZN07994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVIRLNDGSNGDQQSSRKKVLVHLPTGQVVSSYNSLEQMLRELGWERYHGDIELLQFHKRNSIDLISLPKDFSRFNSVYMYDIVIKNPNVFHVRDY >KZN08157 pep chromosome:ASM162521v1:1:3293190:3294721:-1 gene:DCAR_001222 transcript:KZN08157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAEKDASPKKDSTPPTPAAEDPTPPTPAAEEGPEVNNSEAASNRKSWVWNHFKYVDNMTETSCPYCKKLIRCHVKKNGTRSLGNHLKNSCRTSPVYKGKHENKKQKTLESFQTCKSGSGNILEGLFEVKKQALLLSRSQEGKLGLCLGKRAEQTKWASEVEKQK >KZN09726 pep chromosome:ASM162521v1:1:28806569:28807871:1 gene:DCAR_002382 transcript:KZN09726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTYRKSSTSIFSSPRFFTGITSKGYTDTDSVMSPTSVLDSKPFSAIKTPFWSNGNSPRISKPESRVKWDGLDSKKVGLGLVDALIDETSETDLSKSESRMVLFGSQLKIQIPHLPTSVPSPNSDYAGSPRDFGIKTRNSQLGSFSPLSSPYSSKKSPFGSLNSGNENSNLPRILSGSLSAGEMELSEEYTCVITHGPNPKTTHIFDDCIIEDCCGIVGFSASSKKENGFGTEKSLRYPSESFLSFCYNCNKNLGQGKDIYMYRGEKAFCSSECRYQEILSDEGTEESDDIYGT >KZN10640 pep chromosome:ASM162521v1:1:37833187:37838780:1 gene:DCAR_003296 transcript:KZN10640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPMQRHARSASAAVGSASGKKLLHSKAAAQRLANVMAAVDDSDEEDDFVLESAPSIGIGGRGRAARPSSPMAMPARTHTADPQARPSGTWASLPGKKDDLQSLKKNSLAANREHQSFDFAERPSSLAGIRASQRVKDAEEQPPSSHVSVGSRASQKFSADQRQSSVGSRTPKSLNYFEKQASPMANSRIQSSNAEQPHSTRSSFSSRTSQSPRSGDQVHAPLARSGSGIRSPRSVSSLDQHLSAVRPSLRVKAVQMVPHIVPLKLRPSISGIPGESPRREKKLSIDLGSMKLRDPGNQNSASDLQDEVDILQEENEHLLEKLRRAESRFRDSDMRTRALEKQAALKAVEQTFGGKGEEIVLLRMESESAREEATSVMKQLENATKELTSLQIMTKRMILSPEEMVESALVVAMAHKRRQRSSKSGVTDKLKLPIDCQYSTETFDLSKEESDDVRFKQAWLLYFWRRVKNHGLEPEIAEERVKFWISQSTQQATSQEAVNVERGFSELRKLDIEAKLWKESRKIVED >KZN10688 pep chromosome:ASM162521v1:1:38191047:38191394:-1 gene:DCAR_003344 transcript:KZN10688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLASRLSSTVLKRPSTELNSLSSQLNQWRGIRVRVLQNNLERALTFMQRQMTSSGVERLIKHEQTHHIKNSEKRILARKNLERRLKSQDLARKLKSILMKKVRYTSFIGISIN >KZN08141 pep chromosome:ASM162521v1:1:3118146:3119977:-1 gene:DCAR_000810 transcript:KZN08141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKASGYTWRLILYPNGNARRGGGGHISLYLAIEKTDSLPLGWEANVTYKMFVFDHNKDRYLTIQDEPRHQIRRFHRAKKESGFDRLITLETFNAAANGYLIDDSCVFGVEVFEVKDTGIGETIKMIEDPLEVTFDWKVCEFSRVCKEKLNGENLISEEFTVGKSKWHLRLYPNGDYCVKDRLGLFLELVEAPPSGKKVLVEFWLLIKNQGSDHYREYAGGDRWYSTSPTEFTSDVNKSWGPAEFLLLSDLKSKASGFIVNDTLLIQAKVKIKTEITGFS >KZN09604 pep chromosome:ASM162521v1:1:27511107:27513627:-1 gene:DCAR_002260 transcript:KZN09604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSPRTSSPFPALKSLSDKVGDVLCCGSTRNKYKKLDTKLEMKIMEVKRNSPARNNFRSVNSIILKFPMFREGLKEVKGVFEQCDADSNGTIDHKELRKCFQILNLPLEEEEIDDLFGYCDMDGTDGIQLNEFIIILCLAYLLLDPSTSSHSKLELGSPQLKSTFDAIIEAFMFFDKNGDGKLNKKDMVNAMNNESQFERSPTHITRTRFKEMDWDRNGQVSFREFLFALIKWVGFGSEDENPVISCSRK >KZN07947 pep chromosome:ASM162521v1:1:915680:918863:1 gene:DCAR_000616 transcript:KZN07947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILRKQLALAVKKIQWSYAIFWAISSSQNGVLEWDDGYYNGDIKTRKTIQAEQLNVDQLGLQRTEQLRELYESLLDAENNRHSARPSAALSPEDLTDTEWYFLICMSFVFNSGQGLPGRSLAKNQTTWLCNANYADSKVFNRVLLAKVSEDPSIVQHIKSFLGTPGAIFESVADADLNPDTEWEKGNMCSSNGSLEEVEPNYQAKESLVAERLDDGTSQQKSWQLMDGEISNTFLDSGDSSDCISETFIAPEKTVPQQDKYNKVDENRLLELQGSKDMELTSVEILEDDINYQGIVSTLLKTSHQLIMGPCFKSSIKDSCFVRWKKGRSPCKYKSGGTSQRLLKKVLYEVPVKHNDGKIRKPESFESDTNHAPEERRQREKMQEKFMILRSIVPSTGKVDNVSLLDETIEYLRNLEKRVEGLESQKELVDVEARRGKRIFNVIESTSDNYGDMRVSYSKKQVLNKRKGSGTNAMNAINQLHQEDNKTDDVKVSKTGKGIVIEIECPWREELFVDIMGAINNLHLDSHSVQSSKIDGNLSLTINSKVHSNCQTFIYM >KZN08961 pep chromosome:ASM162521v1:1:18185362:18185535:1 gene:DCAR_001617 transcript:KZN08961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIPDAAIVSMIQLIYEYVDPHKKKERNINTELIYDKNYSSHLDAYFRIVYLLRFS >KZN10333 pep chromosome:ASM162521v1:1:34665301:34667016:-1 gene:DCAR_002989 transcript:KZN10333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNAESLWVFAVASKYKSYTCFNIFLVSVLASALAWFVMNLIYWAHPGGPAWGKHEWMKKRNKSKNNSNTFSSGPVIPGPKGFPIIGSMRLMTGLAHRKLAAVSKACGATRLMAFSLGETRVIITSNPSVAKEILNNCVFADRPVKESAYSLMFNRAIGFAPYGVYWTTLRRIAATHMFCPKQIKAFEAQRFDIAHQLVNMFKVQRGNVTNIREGLRKASLSNMMGSVFGRTYGLDSEAEELRKLVDEGYDLLGMLNWSDHLPWLAELDLQGIRARCTNLVPQVNQYVSRIISKHQHNPDKLMSDFVDVLLSLQGRENLSHSDMVAVLWEVLRLHPPGPLLSWARLAVTDTTVDGHHVPAGTTAMVNMWAITRDPNVWEDPLSFKPERFLNEAPEVEFSVMGSDLRLAPFGSGRRACPGKALGLTTVTFWVASLLHEFEWGSSSDSGVDLSETLKLSCEMANPLVAKVKARRVHKK >KZN09870 pep chromosome:ASM162521v1:1:30207236:30215274:1 gene:DCAR_002526 transcript:KZN09870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVRMNTADLAAEVKCLRRLIGMRCSNVYDLNPKTYVFKLINSSGQTESGESEKVLLLMESGARLHTTDYVRDKSNTPSGFTLKLRKHIRTRRLEDVRQIGYDRIVLFQFGLGANTHYVLLELYAHGNIILTDSDFMVLTLLRSHRDDDKGLAIMSRHKYPLDLCRVFERTTITKVQEVLASKIPPEASKKTPKRNEHMKHSEVGDIGPDMHKAKQKRERSRSAGKPKTGTYPQKLTFKFALGEALGYGPGILEHIILDAGLAPNVKFTKHFKLDENALQALLKSIEKFEDWMEDVIKGDKIPEGYILMKRKVLGEDSSTSDSETQNSNQIYNDFCPLLLNQFKSRDSLYFETFDAALDEFYSKIESQKLEQQHKAKESFAMQKLNKIRTDQESRVHVLKNEVDNSVKMAELIEYNLEDVDAAILAVRVAVENGKSWEDLVRMVKEEKKSGNPVAGLIDKLYLEKNCMTLLLSNNLDEMDDNGKTQPVDKVEVDLTLSAFANARRWYEMKKKQESKQEKTVSAHEKAFKAAERKTQYHLSLEKTVAAITHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTIIKNHKPESPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPNQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGMLFRLDESSLGSHINERRVRGEGEGINEKEETDHFKELSDSESEEEVSEKHNLPLGTSPELSREEPRLEAVSSEYHSDNSPNISDVKAMNEQKHSVENGASLHNMHTGPQFSGTSQLEDLMDRALVLRPTELANNNGFQGSQEGSEQHNLYDGERAQREKPYISKAERRKQKKDQKRDSVNGSINHGKKEDSRCNSNTRSEPDNHNQSSRPGGGGKLIRGQKGKLKKMKEKYADQDEEEKEIRMALLASAGKVENNIVEPETEVSAADVPKPVTGFGVATKICYKCKKAGHLSRDCQELPDEALQGRARTRPKKSSDASIDIGGTEEDRVAMEEENIHEIEEDEKDQQNDADYLTGNPLPNDILLYAVPVCGPYNALQSYKYRVKIIPGTSKRGKAAKMSVNLFSQMPEATQREKELMKACTDSEIVAAIIGNLKVCATGLNQLKQKEKKGKKASRQQK >KZN10675 pep chromosome:ASM162521v1:1:38104315:38105064:-1 gene:DCAR_003331 transcript:KZN10675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSEFLSSNSVFWLLTVITTFLVFFVLPWFCLGKVNRNNNLENLPPGPARLPIIGNLHQLGKLPHVSLQKLSLKYGPVMHLKLGQVPLLVISSPEMAKEVLKIHDTKCCSRPDSCGTRKLSYNRKDITFSPYGDYWREIRKLCVIELFTTKRVRSFQRFRDQEIAKLVNDISIEASDPNNEFIQLDKKILTFAKNIICEVAFGTNVGGEKFKETEIKKTLHDAMIVMQQNCVDHFHHQDWQTNWFRH >KZN10984 pep chromosome:ASM162521v1:1:40853990:40861356:1 gene:DCAR_003640 transcript:KZN10984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIAPQDECKRVSTEGQSLRLDMSTKFQDAIKEIGHKLEEQKDESLTQLKENEMLKVKLKHLADQYALTEQQYTQKAIWRLTVQLKQKSLELQIADIKVQQHEGKLVHEQSQIKLYAEQVSELLATEKNLRLQLAADGEKFQQFQEALTKSNEVFETFKKEIKKMEKTVNEFKKENAFLKSKCDKSDVTLIELVEEREYLKKQLEKTKNQKEKLESLCRSLQAERKQTPSAENSLEPVQD >KZN09083 pep chromosome:ASM162521v1:1:20052621:20053064:1 gene:DCAR_001739 transcript:KZN09083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKDRSYEKKKLKFARFMLAPVKVLLCGARDFTISTFCACAGRIGEGSAVMMCVVIMPQVYPVPGKYNSSGSTKEKRSSELIRVIATRNAEGNTSESNGGGRSRLSAATENGSCQRSYSIGVGQIGRIDEDGPCDFVETDPSNKNK >KZN08524 pep chromosome:ASM162521v1:1:9679289:9684216:-1 gene:DCAR_001054 transcript:KZN08524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKTTSSFIVNDLSPYSPIDTVDTTTYDWKLRVRVQSFWKSMSREKQEFWGVNMLLIDDSNGRLHAFANSKYCGDLLKEIKEGEIYVISNFKVKDYLGDEKYRAVRSKKHIFFTPHTLFKKATDVGLPIELYAFDLFHYDALEKLADDNRSSVHVTFFDQFGELAEKDFRNADCRNLYVIICCAKVGRYEGLAHLSNSPATRVYINPKHYCMNELKRRCISEKKNEAVMVEIQEEEEVVVQMPRKILKVKDIKSLPKDFGEDSIFCEVTVKRITDNKSWYFRKCTGCDLELELEHGKFICSRPNGCGRIIPYPEKRFRLCTLCSDETGSVAIIFPDHEITKLIDKTVIDLHADCSDEAEEEKFPEVLKVFLKQKYTINLSINQENVEKGSTVFHAKEILQAQEKGDSFDPNSATVVEIGDISLVNESGTEQNASETPNTEKSTNMKTRPRNISESLAFNPTDASVSPLVKKIKLEKQFKTMASNHYTAVENLKPGVDQYKIKVRVIRLWRGATKSGEEFKSFNVIVIDQKGHRIHGFVPTKCADEFQFIVYVGRVYSIKNFDVQIYKQTEKFRVLRNATQLVFNQDTIIQQLADDGVTIPANAFDFYDHSQLEELSKQTTYLADVVGIIKDYDNIRDLTNRHGQSQRQAKFYITDGNSNISVTFWDNFGQNFDKLMKSGLEKPVIIIISGCRVGKWNGEIDISNNTATTVYLNYKHHSVVTLRKLLTNPEFAKKALGKPKVKSMAMATVKELENLGKDDIEGFFMAHVKITKIDETFSWFYNACTSCDMEVTVGNPCPICEACNRYVPYPQKKFKIHVVAEDQTGQMPVVLGDREVRAITGRRASDFADQIFSVQAFPGCVLGITDKEYSIVIHIREANVLNKFKYYWATNICRGFVKLPAEANEGASSSRAPTSQAAESTDNDQGISTVDLKSSLTD >KZN10751 pep chromosome:ASM162521v1:1:38636265:38643738:1 gene:DCAR_003407 transcript:KZN10751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSKKEARNYVWEGAIPLQIHLHQSEVTTLPSPPPALILAPRIGYLPLLVPQVKPFFSSALPPGVDTVWFDYKGLPLKWYIPTGVLFDLLCAEPERPWNLTVHFRGYPANILTPCENEDSVKWSYINSLKEAAYIFHGNCKNVMNMSQADQFELWQSVMNGNLESYLRVSSKLKFGIFGDTFSLRLNSSSVKSRERAELDAAGTVTSGRIPVRLYVWSIQEDFDNLEDAPSFDSWDKISYINRPAEIREEGKCFSLGDAMKMLLPEIFGDKASASGDISKTEVEDYLKLNPEEASNIEGTKVMAESKDVESCNLSKSAEIKLLRIQGIEPKLEIPFTWLANNLMNPDHFLHICVYVKVPELTTI >KZN08854 pep chromosome:ASM162521v1:1:16661942:16663825:1 gene:DCAR_001510 transcript:KZN08854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPKPYECVRKVWHSDRHQPIRGSFIQEIFRVVHEIHSPATKKNKEWQEKLPVVVLRAEDIMYSKANSEAEYMDLKTLWDRTNDAINTIIRLDETIETGVYLQPCIEAALHLGCTPRRASRSQRNITPSYYLSPINPDKMTIPSSSLQNSVLGNHRTTNQFMSGCLDAGKTSFSFFGMPSPGPAVKPLYFGNPKPKDSKFNFDVPSKFNLDTPTKFSSHSMKASGICGKQNPYGKIKALGKPFEADVKDASCDSYGIDCDLSLRLGCLGSPGEKIETRVDKDLGNFSLMNPQGKKLTDSSIQIDKSFFKTSHICDSVDTCSTHQINEAENLNLKETARKRKSIMDHPLEDEDFSWRPKVPFHDFTARARNAGP >KZN08271 pep chromosome:ASM162521v1:1:4691462:4692913:1 gene:DCAR_001336 transcript:KZN08271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVLFCILTAFNLFFLTNAFFTAYQSQAREKTPHSLILGLTRSRAFDPNPQKNVDSVRRPSESSDMMEPLREVRDGYLISLNLGTPPQIIQVYMDTGSDLTWVPCGNLSFDCIECDDYRNNKLIAGFSPSYSSSSSRDSCATHFCIDIHSSDNSYDSCTMAGCSLSTLLKGTCSRPCPSFAYTYGGGVVTGTLTRDTLRLHGSDQRVAREIQRYCFGCVASTYREPIGIAGFGKGPLSFPSQVGFLQKGFSHCFLAFEFANNPNISSPLIIGDLAISSKDHLLFTPMLKSLMYPNYYYIGLESVTVGNISSAVQVPSSLREFDDLGNGGMLIDSGTTYTHLPEPFYSQLLSVLQSAISYPRASEVEARSSFDLCYRVPNSSNSITLLDDLPLITFHFLNNVSVVIPQGNHFYAMGAPNNGTVVKCLLFQSMDDGDDGPAGIFGSFQQQNVEVIYDLEKERIGFQPMDCATASAFHGLHKT >KZN09639 pep chromosome:ASM162521v1:1:28041580:28045110:1 gene:DCAR_002295 transcript:KZN09639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHHLTSTTKKQHSMPAALFIPRALLFLTHPRSFSSTPKMSISSSVNSVTPRLRGVVFDMDGTLTVPVIDFQAMYKQVLGQDMYEAIKLKSPSGIDILHHIETWDADKQRKAYEIIADFERQGLERLQIMPGASELCGFLDSRKIRRGLITRNVKSAVDLFHQRFGILFSPALSREFRPYKPDPGPLLHICSTWEMEPNEVMMIGDSLKDDVACGKRAGAFTCLLDETGRYAAPEYAAVEHKPDYTVSSLAEVISLLETKFDLAP >KZN10492 pep chromosome:ASM162521v1:1:36258643:36259176:-1 gene:DCAR_003148 transcript:KZN10492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVAAAAAAALANRRNNSPSATTANKNNYNTNQHYLSYSTRPPRHNRYEMQKRHDWNTFSQYLKSRHHHLHPPPSMRRCSGSHVLDFLKYLDQFGETKVHNISCKYYGETHSTACSCPLKQAWSSLEAVVGRLRVAFEEHGGAPETNPFGSPAVWLYLREVKECQAKARGLGFGC >KZN10036 pep chromosome:ASM162521v1:1:31899136:31902433:-1 gene:DCAR_002692 transcript:KZN10036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAVAKDRNAENQIVKQTGCMAGFFKLFDRHQFLSAKRLPAPKDVDDSDSEFVASASMKKPEKTRTLTFPSAEVYRLIEPRSPVVVSSRPVEIPPKSPIPVQIFEMNEGGKNSWKFSPRLSLDSRAAFDAAKGSLYRKEAERNSSDDNSRRSTSVIAKLMGIEPMPNSSSYEPITKHVELQRSASESRASREIFQSRLIESNSSDELKVKQRNYVSNDDNNAVNTEKFVTRSNQKRNSKSPQQRKSFYNAADIFPEPNQKLSIYGEIERRLKMKGIDEPMKDLETLKQILEAMQLKGLLHTKPVAPVRNKDEVRGWNYSSSSEPKSPIVLMKPNRRVGQESPPRIRSKSDNRQGVSSVSPRPQRQSIDRNATSPIRGRSNSLVKTKPLSIETPRRVNESVNSRRVSPVSSPRRNVADQTVSNRSPRNRRPVAQSHPKDKNKNSVTVEDESSSSISESTVSTPSHTDTERCKREYTEGRRLLNRCDKLLNSIAEMNASESQLSPVLKSIADMNASESQPSPVSVLDSSFYKDDPCSPSPSPVMKRSISFPVGLEEETGSPDFSSVQSKCEDEFDYSDLIYVSRILKAWTYASEEASNHIFVHLEKQHYLKCKDSTKLSNLQRRLIFDTVTEIMKRYRDFPPWKSFSTKTWQTSQPSLQQISLEIRKLKEHQSSDDLFEVICGVLKKDLAGDASNGWGDCAIEMSDAVLDIERLIFKDLVGESIRDLAELSAKSTYLAPRRKLVF >KZN12034 pep chromosome:ASM162521v1:1:50458939:50464575:1 gene:DCAR_004690 transcript:KZN12034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSETVVTQTKSVMAYTSTEYASTDNYNENAASDAVTSDAGTVGNVPSKISAGSGDMEVLTDVMVTDNTSEHTQNLTDENGNQNLAKEEVPTTTNDVSENVANSASESMDTTHVSAYNSLNGIDATEAKNVVSDVGENGTTAVANEFMSEAPALSAEEERLWSIVRANSLEFDAWTALIEETERVAEGQISKIRKVYDAFLAEFPLCYGYWKKYADHEERMGSSDKVIEVYERAVQGVTYSVDMWLHYCVFAINTYGDPDTVRRLFERGLVYVGSDFLSYTMWDKYIEYEYTQQEWSRLAMIYTRILENPNQQLDRYFTSFRELVAHRPLSELRTAEETAAAAAAAVGATGQEIEGEVHPNAVESPKPASAGITEAEELEKYITIREEMYNKAKEFQSKIIGFETAIKRPYFHVRPLNPVELENWNNYLDFIEAEDDFQKVVKLYERCLIACANYPEYWIRYILHMEARGSTDLAENALARATQVFVKRRPEIHLFAARSREHSGDIPGARAAYQLVHAEIAPGLMEAIVKHANMEHRLGKAEDACSLYEQAIAIEKGKEHSQTLPQLFAQYSRFVYLVCGKAEKAREILEQALEICQPSKSLLEAVIHLESIQSTPKRIEFLDSLINKFIAPNPDNPSAASFIDREELSSIFLEFLDHFADAHSVKKAEDRHAKLFFVHKSTSESKKRHADDILQSDKAKLAKSGYSSSPSVMGAYQSTQNQWPAGYGAQPQAWPQATQAQAQNWNPAYPQQQAAYGGAYGKAYAQPQVKKSGPQAAAATAYGAYPPAYTVQAYPQQTYVQAATPAPLAPAQQPAAAVAPQAYYGTYY >KZN10831 pep chromosome:ASM162521v1:1:39394932:39395291:-1 gene:DCAR_003487 transcript:KZN10831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEALAMAGMDYEECGLTMEEWELSESTTPPHLLADEEDKEESGDHTNLQQVEVALASCLKVEDLSRVKCNSRKDKLPIIINKVKKAKLVKQLSSKNTMMIDIIGRYLSYAALGLGQT >KZN08154 pep chromosome:ASM162521v1:1:3259337:3264962:-1 gene:DCAR_001219 transcript:KZN08154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIYSEYKDGTHQIPSNSSVIIKRVPAGSVPAAPPDDLIVNLGMKKSNLLCKKSGDIDVFDDFGIDSCVVQDEILPHSNIASVRKNICDNKKENISGLRSGCQKRQAGDFVKAIPKGIRGVLGEKAKCPRCFSHKFTEVDLLPNLSLRQAIEHFLESQNQFSISENALQRFAPASVRKNICDNKKENISGLRSGCQKRQAGDFVKAIPKGFDQEYTKGKFPPEKLESRIQEHVKLDKRPVDLYDLAEKNSSLPPELRCSICNKILREAVMIPCCQHSFCERCIRGVLGEKAKCPRCFSHKFTEVDLLPNLSLRQAIEHFLESQNQFSISENALQRFAPDEESGVQAKDLSSDVTIVHKRPKKLGREGDGYAQHACSLSETGNLADFQGENDPVYLPQIHMQERGEGGRVSGTARYRKADRTCYMCNAPDHFIRDCPFANGSHHMPQTGNSVYEVGGMATNVTPYWNNTAFGPLMPYPTMYGNTGLMPFSASLYPVSPIEVYPYMPYMYGHVPAFG >KZN08463 pep chromosome:ASM162521v1:1:7297059:7301062:1 gene:DCAR_001009 transcript:KZN08463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLVNVRYNYDGTFNKTSYSGGKSIIINCQDVDEFSYTVALENVKDCLNCTEIGGLYVLNGKPQQWKLLKCDSDLLQLVDACESGGDINIYVDCVVDKECKPLEPGEKKDRDDPEYVVENETGDESDDTSEGIKSVQKRKAIPGPRTRSRANDKDLGDKDPVDPIDKGKKVAAASTKSAKLIKPTCSKLLKQGDNSAPSGTIAAYMALRERQKQNLEAEMRREDVGDTDLQNGSEGEEVEAGNMESVEKITKEGNDAAELVPKKKKNRPDWLVGRQGKTAASTETPVDPVTQSTVEELHTKIAQLESEMEAKVNTKVQHNMAWLLKKLGEANPGLKVDITHDFCATVSSDGDEFGTPITPGTASGTAPGTTRGTTDSLI >KZN09078 pep chromosome:ASM162521v1:1:20004207:20011456:-1 gene:DCAR_001734 transcript:KZN09078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVIGNGRNGGFDPSNLHLKKELTQIRKASKTLKDPGTTSTWRSPLSSGRSLSVVANTSSLSTGNNHYYHHYRNNSNGNVINGDDNNFEVPLEAGTSNGSSGKGKEKGIFLYNWKAQKSEHEDSIESRNKKVRHRGDSEVTADDDETEDGDGLSSLLGESVDNSMSDARNGGGDSKSDTYIGDKYASILHKYRNTNLTPSGKRNSVKKKVKNAHSAALWKRQRQQNVLSRSSKPGSGPVPLNLRREDSLDLVDQSDDTGEYFNSEDLRRYSAESPLLARLKSSKLLRGSRKEDSVYSYSTPAMSTRSLNLYGIRNPSTVGSWDGTTASFNDGDDELDDHFDSAGQQGCGIPCYWSRRSTPKQRGTCRSCYSPSLSDTLRRKGNNILRGSQSMYSRRRHGFSLSSSKKRGSRTAQGLVPLLSSSGEGMGGSSLGNSNDELSTNYGELDLEALSRLDGRRWSASCRSEEGLELVALNGERDSESTPENLRSLSQKYRPVFFEELIGQNIVVQSLMNAIPRGRIAPIYIFQGPRGTGKTSTARIFSAALNCLAKDETKPCGVCRSCADFVSGKSKNLIEVDSSNKKGIGKVRYLLKTLFAGPPSTFSLYKIFVIDECHLLPAKTWLTFLKFLEEPPPRVVLIFITTDIDNVPRAVLSRSQKYIFNKIKDGDIVSRLRKIAAEEDLDVETDALDLIAVNADGSLRDAETMLDQLTLLGRRITTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRAREMMDSGIDPMLLMSQLAALIMDIIAGTYHIVDAKGIDSFIGGRSLNEAELERLKNALKLLSEAEKHLRVSSERSTWFTATLLQLGSVPSPEPTQSVSSRRQSSRTTDEDPSSTSKEVITQKLKPDSQYTSRRSTSPMSLHNKATHRNSASQDDPLDLNSKPAYSQYLNGNSLSTSHGKFGAETTKSNMLDDIWIRCIERCHSKTLRQLLHNYGKLVSISEVEGAFVAYIAFTNSNIKLRAERFLSSITNSLEIVLRRNVEVRIVLLPEDDPCINSERQVALVDPMVKQHEAQVPLNLPRGSFNDPDVMLVRKLHSNSESASLQVENVELNGPKDREPEIPAKRIESIIHEQRLETAWLQTAEKGTPGTLNRSKPERNQVLPQDGVYHQNQMDFTDPASLTSQHWEDELKDEISALKIYNGKDATQKGQVGKKSDHYPMSPSLLHNSSYASNFSKEAMGYESSSGAGGCSGLFCWNNHKHHMKGKIKQRAPVRKHKGGRFLCLGECAKSSKSDENIRR >KZN11623 pep chromosome:ASM162521v1:1:46429182:46433510:-1 gene:DCAR_004279 transcript:KZN11623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPRKRGRPKLCVTKEVLEERRVQKRLRNAARRGPEISNINETSVTESANIEKTTTGSATRTSQAAIPQGSLTGASQAAIPKDATDIRIPEDPLQLT >KZN08544 pep chromosome:ASM162521v1:1:10136125:10138099:1 gene:DCAR_001074 transcript:KZN08544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVIFACTIYYFSGFLDFPSAYSSISLVPKSRSSLLGAYRKWDSEVGCQQFRHKYKGLIRSNNSVSVQDAYGEVKCSVLKMNHVAVLVKGRTWLPDNLDNLYSCRCGLSCLWTKSPVLVDKPDALLFETTLPPLLRKKGDPLRVYLDLEPGRRRSAVEDIFISYHASDDIQATYAGGLFHNNRNYKLSSTKNDDILVYWSSSRCVPKRNRLAKSLLSLLPHHSFGKCLNNVGGRNKALSLYPECVKFKPPKWWDHLHCAMSHYKFVLSIENTETESYVTEKLFYALDSGAVPIYFGAPNVWDFVPPHSIIDGNKFKSVEDLATYVKDLANDPIAYAEYHAWRRCGVLGNYQKTRAASLDTLPCRLCEAVSKKGGTNAKPS >KZN09709 pep chromosome:ASM162521v1:1:28673708:28675777:-1 gene:DCAR_002365 transcript:KZN09709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDKSVAKSPEALQNASSDSVSALKDGFLVGHFSSVKPVSVTVNLGHAGVLAYSMDKQNPFLPRLFAVTDDIFCLFQGHIENVAHLKQQYGLGKNANEVIIVLEAYRTLRDRGPYPADQVLRDFHGKFAFVLYDGSSKSAFVAADAEGSVPFFWGTDSEGDLVISDDTDVISKSCKKSYAPFPKGCFFTTSGGLRSFEHPMNELKPVPRVDSSGEVCGATFKVDEGSRKETGGMPRVGSAANWSQHY >KZN09971 pep chromosome:ASM162521v1:1:31251882:31252883:-1 gene:DCAR_002627 transcript:KZN09971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGLIRSSIFRRQLHVISRSSSNIRPASPTPSKLKQYNIPLHDRMIPDFSIPMVFFYPINSNHSSKAIPDNVSKSDVLKKSLSETLSKYYPFAGRLCSGSYVDCNDQGVHFVDAQIECKLSEVLEKPPVKEEEEGLGHLFPPRTIWNQLSDLYGGTVMHVQVNHCTCGGIALAVSLSHLLGDALTLCSFLRYWASLSFNSGDHQKSLHLCPRFVYELLPPSYDRDSIPIVSYPDKNWTTKAIVFPNKKLAKLKAAVENEDKVDGVVEDQKYTRNELLTALIYRCIVAAVARTNSGTREGSGFIRSVNVRPLLDPLXREFRDSKLHSNKHGE >KZN11884 pep chromosome:ASM162521v1:1:49075716:49078315:1 gene:DCAR_004540 transcript:KZN11884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVISAFVELVSALIVLVTLPLSLFVSSCRLGVKCTLIVISTLVELLTAAIFLHVHIFWRLLVWTGALLSLPGRLLNALHRNRLMEMHLQEMQDVLETILLEKKQLKERLKTAIKDRRMMEVMLAELEEEHDQAIVKIESLESELQGMKNEIDQLKEVKEKSLGSSRDLADTISNQSAIDADKFAIAHEVYTWKPSGHGSDLALHSLLQKDIREDDSKLKPAMQHFVKDKSKSSPTAIPYKSTSISKHSDVDEVLHRRREVALSQSLFSAILSLLVAMVIWKAEDPCMPLVVALFSVVGVSLKSVVHFFSTIENKPASDAVTLLSISWFLLGTLTYPTLPKAVRMYGPAVYKISNQLVKSLGFSY >KZN11458 pep chromosome:ASM162521v1:1:44819117:44839265:-1 gene:DCAR_004114 transcript:KZN11458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEDEKLLKEAKKLPWEDRLTHKNWKVRNDANIDLAAVCASISDPKDPRLRDFGPFFRKTVADANAPVQEKALDALIVFLKVADADAGRYAKEVCDAIVAKCLTGRPKTVEKAQAIFMLWVELEAVDAFLDAMEKAIKAKVAKAVVPAIDVMFQALSEFGSKVVPPKRILKMLPELFDHQDQNVRASSKGVTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVTGSARPSRKIRSEQDKEPEPEAMSEVAGSGPAEEAAADTPQEIDEYELVDPVDILTPLEKTGFWAGVKATKWSERKEAVAELSKLASTKRIAPGDFTEICRTLKKLITDVNIAVAVEAIQAIGNLARGLRTNFSGNSRFMLPVLLEKLKEKKPTLTDALTQTLQAMYKSGCLNLADIVEDIKTAVKNKVPLVRSLTLNWLTFCIESSNKAVLLKLHKEYVPICMECLNDGTPDVRDAAFSALAALAKLVGMRPLENSLEKLDEVRKKKLSEMIGSSGGGQPVAAAAVKSSSGSMPGSESSDASYVRRSAASMLSGKRPVQAAAANKKVGSVKSGASKKGDGLGPSKAPKAIEPEDVEPADMGLEEIESRLGSLIQAETIALLKSAVWKERLEAIVSLKELVEAIQNIDASVEILIRLLCAVPGWNEKNVQVQQQVIEVTIHIASTASKFPKKCVVLCIQGVSERVADIKTRAHAMRCLTTFCESVGPGFIFERMYKIMKEHKNPKVLSEGLLWMVTAVDDFGVSLLKLKDLIDFCKDVGLQSSAAATRNATIKLIGALHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGAAAAPKKTVKLADSSACASGGGLDSLPREDISGKITPTLLKGLESSDWKIRMESIEAVNKILEEANKRVQPTGTVELFGALRGRLYDSNKNLIMATLSTIGGIASAMGPAVEKASKGILSDVLKCLGDNKKHMRECTLTTLDLWLAAVHLDKMVPYFAAALMDAKIGAEGRRDIFEWLSRQLAGLKDFTDAIHLLKPVATAMTDKSADVRKAAEVCFGEILKVCGPELVTKNVRDIQGPALAIVLDRLKPYGASRDTYESTRTTSTAPPSKGGLKSGKSNGAVSKHSRAVAPRGVLAKGARPETIMSVEDINMHSQALLNIRDSNKDERERLVVRRFKFEEPRLEQIQDLESDLMKYFRDDLHKRLLSSDFKKQVDGIDMLQKALPSISRDITEVLDILLRWFVLRLCESNTSCILKVLEFLPELFGTLRNEGYIMTESEAAIFLPCLFEKSGHNIEKVREKMRELTKLIIHTYSAAKTFPYVLEALRSRNNRTRIECVDLVAYLLDNHGPEISGQLKSLQIVASLTAERDGELRKAALNSLATGYKILGDDIWKYVGKVTEAQRSMIDDRFKWKMSLSYNISMMYPVQDEPPTLPEAAEQSGEIFRSMSGPIVSRENYDQPDLHMERHSMPRALASPIGPTDWNEALDIIVYGSPEQSVEGMKVVCHELSQTMNDPDGSAMDDVLKDADRLVSCLANKVPTTLTLTQFLSNVTLASFFAPTFQNKRLAHAVKESTLDSLITELLLWLLDERVPRMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPPSNESFAMRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHVYLQELGMEEIRKRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDMEPQPIILAYIDLNLQTLAAARMLTPSGPVGQSNWGDSTANNSSQATHSADSQLKQELAAIFKKIGEKQTCTIGLYELYRITQLYPKVDIFSQLQNASEAFRTYIRDGLSQMEKNAAAGRTPSSVPLATPPPSSLNLSSPKFAPLSPVHTNTLSEAKSVNSKVEPTSFSLPPSYAEDDKAYNTMMSRGPISDHQELRHQTGEQNERFPPGVTGGTLNAIRERMKSIQAAAGNPESGNRPLMNLNGSVDPSQISQSTDRANFENPAQVGVLPMDEKALSGLQARMERLKSGSIEPM >KZN07928 pep chromosome:ASM162521v1:1:725855:727153:1 gene:DCAR_000597 transcript:KZN07928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSNPSVKRCALVTGGNKGIGFEICRKLAANGLAVISTARSELKGREALEKLQASGLSDVFFHQLDIKDSASIAALAKFVEINFRTLDILVNNAAIPGLIIVSPEKFIDGGGFVNDENAQLLKGIIEEDYELAEDCLRTNYYGAKAVTAELLPLLKLSDSARIVNMTGTFGELKWICNVKVKAELDDVENLTEEKIDEIAEWFLRDFKENKLKANGWPIKASAFKISKAAINAYTRLLARNHPNISVNCVHPGYVQTDITSGTGPLTPEEGARAPTMVALLPNNGPSGIYFSEMQPSDF >KZN10570 pep chromosome:ASM162521v1:1:37108123:37108662:1 gene:DCAR_003226 transcript:KZN10570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRKATIDDLLAMQACNLFCLPENYQMKYYFYHILSWPQLLYVAEDYNGKIVGYVLAKMEEETSECHGHITSLAVLRTHRKLGLATKLMTAAQNAMEQVFGADYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKVLKGKNFHGHGGHAHHHHGPGGCCSGDHKE >KZN12056 pep chromosome:ASM162521v1:1:50667410:50671241:1 gene:DCAR_004712 transcript:KZN12056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERALNRQKVLLGHLLPSSSSSSPDSSLSASLCVAGDSSAYHRQAAFGDDIVIVAAYRTAICKAKRGGFKDTLPDDLLGAVLKAVIDKTNLDPREVGDIVVGTVLAPGSHRAIECRMAAFYAGFPDTVPLRTVNRQCSSGLQAVADVASAINTGFYDIGIAAGLESMTVDQMGINWKINPKVEMFQQARECLLPMGITSENVAMRYGVTREEQDQAAVISHKRAAAANASGRFKDEIIPVNTKIVDPKTGEEKSVTISVDDGIRPGTTMEALSKLKPSFKKDGTTTAGNASQVSDGAGAVLLMKRSVAVKKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAALKSAGLQVDDIDLFEINEAFASQYVYCCKKLELDPEKVNVNGGALALGHPLGATGARCVATLLNEMKRRGKDSRYGVISMCIGSGMGAAAVFERGDCVDELSNARIAGTSSS >KZN11872 pep chromosome:ASM162521v1:1:48933090:48935017:1 gene:DCAR_004528 transcript:KZN11872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSRVFTRYYSSVMAAAAKSAVADATAAVKKTAVKKTAAKKSAEKVATGPKKLTGILKPMPISPALTEFMGAAESSRTEAVKRVWEYIKANNLQNPQNKRQIFCDEKLKTIFDGKAEVEFIEVAKLLSQHFVKAS >KZN11546 pep chromosome:ASM162521v1:1:45625274:45628839:-1 gene:DCAR_004202 transcript:KZN11546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPNMFESHHHLLDHMSHHHKTPEDSDHQLDMLRDEEFESKSGGTDILENPSGDDEQDPNQRPNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKELGRRLSLEPLQVKFWFQNKRTQMKAQHERHENTQLRNENEKLRADNVRYKEALTNATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDKISGIAAKYVGKPMQTMLSSSFPHAPSRSLDLGVGSFGPQSGISVPDMFGAGDLLRSVAGPSEADKPLIIELAVAAMEELIRMAQAGEPLWIPSTDIGIECLSEDEYLRNFPRGIGPKPMGLKSEASRESAVVIMNHINLVEILMDVNQWSTVFSGIVSRAMTIDVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHDNGIWAVADVSLDNLRPSSISRCRRRPSGCLIQELPNGYSKVQLSLLLIFFSHDPHNGLSFFILFLQEVLFQVTWVEHVEVDDRAVHDIYRSLVNSGLAFGAKRWVTTLDRQCERLASAMANNIPAGDVGVITTPEGRKSMLKLAERMVLSFCTGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCADSTGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGQNVGGILEVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKSAVVCDPNV >KZN08170 pep chromosome:ASM162521v1:1:3448627:3450332:-1 gene:DCAR_001235 transcript:KZN08170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVTLLRFVLRGAPPQEAPPIDEAPPINPPINEIKELPVDDLPAPRAEQQITEIELVDDTAVQGVEHVADHSGNDRLNKYTLFRFLTYYIFLPIFMIFAALEGPFFYIVNQRKALLIIFIALIVLVPSITIYFTIFRHHPRSSPIQTQVSNANIYQFDIREDYLSYNITFFFRYIKLL >KZN10242 pep chromosome:ASM162521v1:1:33788873:33799730:-1 gene:DCAR_002898 transcript:KZN10242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNGVTVYVDDIETEIAAGTPFCRICHEIEFESCKTLEAPCSCSGTVKYAHRDCIQRWCEEKGDTTCEICLQLLVAKTTGIVLPIYVMILIVRSIRKSLRNRHQYEAPEEVQPSSESGGDGLFFSYYSKEDQQQPVAVYFNSSSPINQKNSTMYTWYTQPVSRNTGKPYGEAVITPPSITNATKNKFASLGTSWNDSQDLHFLNTAAMDGRGTISLGFRVKPLLSFFCSFDGEGGSLYLATKDGVGVLEGTIPNTRVVIKSNSVSVQLIDSFGVQVGQVGNVTCQQNDGTTEESNFNIWKIKYSLYCSDLKILGVELVYVLTIPHKRPRSFVHGFNNVLVSVLFIVAAIFVTTVYLLLLVVCGERTKMGLRAALIQQMEASHQAERKSLNKSRALARASHDVRASLAGLTGLIELCHELVDPRSELEGNLVQMEACTKDLLGILNTILDTSKIESGKIQLEEEEFDVAQLLEDVADLFYSVAMKKGVDVVLDLYDGSVRKLSRVRGDRGKLKQILCNLVSNAVKFTSEGHVSIRAFARKPTFDDSILANTRNNLVTWLPFLFSKEAEAYNDYEAKKAFQQNENCMEFIFEVDDTGKGIPKEKAKSVFEDYVQVKETADGQEGTGLGLNIVQSLVRLMGGEIVIKDREVGRKGTCFKFNTYLSLCETNCITGNTREYDPESLGSYVSGGSDQSSGRNLARSGQKIEGTLVVLFIQSEERRKVSKKSMERLGIKVLAVKNYEQFSNTLKIIKRRLLHSQSSSSERPDLSSRSDCLKNPTPNSSTTQNINTSTSIFVIIVIDTDGGPFRELSKAVAEFRKGHCSSCSRIVWLDKPGARNIQVQGLDKDKLPPNDLILFKPLHGSRLYQILLDFQALTRNYQPSAENKINSSTQKFPSGPNATSGKTVLTSTHDSSAKSIPKLHGDIKEQGGPVNEKPLGGKKILVAEDDMVLRKIACSVITKLGASVEICENGKEVLEIVCKSLNDQRKQEASDVPPYDYIFMDCQMPIMNGFEATRRIREEEEHHGIHKPIIALSAHTSDSEIRMMIQAGMDHHIPKPVNPTKLLQVIMDIHGR >KZN08909 pep chromosome:ASM162521v1:1:17542756:17544398:1 gene:DCAR_001565 transcript:KZN08909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWSKGKQKEKVNNMVLFDKATYDKLLSEAPKFKLITPSILSDRLRINGSLARRAIRDLMARGSIRMVSVHSSQQIYTRATNT >KZN07964 pep chromosome:ASM162521v1:1:1056641:1057339:1 gene:DCAR_000633 transcript:KZN07964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFILSCSSRAGNFGVVTKAGSNVGKFKVGDRVGVGVIVNSCKLCENCKHDLENYCPKMVFTCNNMNLDGMKTYGGYSDNLVVVDYRYVLRFPDNLPLDSRAPFLCGITMYSPMKYYGMTEPGKHLGVAGLGGLGYVAVRLGKAFGLKVTVISSSPKK >KZN08018 pep chromosome:ASM162521v1:1:1476100:1477332:1 gene:DCAR_000687 transcript:KZN08018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSEQFDVIVIGAGIMGSATAYQAAKRGKKVLILEKFDFLHHLGSSHGESRTLRTTYPEEYYSSMVIKAAQLWEEAEAEIGFKVYFKAKQFDMGPDDDKSLLSVIDNCGRNGISHRVLDARQVFDEFTGRIEVPENWVGLVTEMGGVIKPTKAVYMFQALAFKNGCRLKDNCEVVDIRRDTVRGGILVCTARGESFWCKKCVVTVGAWMQKLIKQVSGVVLPIQPLETCVCYWKVKDDHATKFTIESGFPTFASYGVPYIYGTPSLEFPGLLKIPVHGGRNCDPDDRTWTVAPSALEALREWIDGRFGGLVDSTRPVSTQSCLYSMTPDEDFVIDFLGGEFGNDIVVAGGFSGHGFKMGPLVGKVLADLVIDGETKDVELNHFKLGRFEGNPKGNLKEFEDQVNALFY >KZN09203 pep chromosome:ASM162521v1:1:21633595:21636471:1 gene:DCAR_001859 transcript:KZN09203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYDLNPGSDSLRWLQMAEKLLTTRDLIGSKSFAAKALESDPNSEAAEQILAIADTLIAGDKQASSNHLDWYSILQLARRTHDSELIGLQYKRLGVLLNPNRNKYPFAEHAYKLVTEAWLVLSNPSRKFRFDNEFGNSNSTHFNFIQTHFDNTQQQSSRISGGGSGSSGSGAQFDFMQGGSGGMQFVQQQQQVFAPQARPFFGEEQQQQIVESLSNQGHWASQGKQVLVRPQPQQPLVRPHPQQTWFQQPEAQTHVQHPLPQAQPHIQQPSHWAQSRPQSLPQSLAESGEQSTQPLHWAQHPPLPQPQAQSWMQQALPRPQMQPLNEPRPPLEFLEQPQQDLNSSQPLSELLQQPQQPWMQQSLPSPQIQTSSLEPQPQPQPQPQPQPQPQPQPHPQKTPPESREGVNNGEQFGLGENGDTDDNHENNINVEEPSNFWTVCAYCYSMYEYSGIYVDCTLRCQNCKKAFHAVKTEAPPAVSDGEDAYVCCWGYFPIGISMSYLEKRKAEASSWQPFSKMFTFRNTPAFPQGGTFPEGGHRSHPNSGPRIYIDDDDELDIFYGISSDDESDDDWQHYPKSKKAKSTTRKVSTARKVKQPQALAEMGQYSNTGNSHSEVVAQDGTGVSGPDVAVPIVPEPAVLSVQLPKAAMNDTDKKVVETSKKNVSVPIRKQPGRAAKDLGKLDGVVAQVGSGASLPDAAEVSFMPTNQPEDCGKPGEVDGVVAQEGSGVSVSDMTVVSVPERMLPGRVVAEDVGDAVVAEDGSGVSLPEAAEVSVPTIKHPDGVSNDLGKLDGVDVAVAQGGSGVHMPDVALVSVTPRKQLGRVAKDLAKPDGVIAQSGISVGVPDLSTPNVPVAEANKKVIGEAEKKAVAGSSKKRVSVPTRKQPGRVAKDLGKLDLNVEFNNEVEGPARGTSGRTKSVNGGEENVDGVAFFEGLDEYLSSLPILSADAGGKAKGV >KZN10559 pep chromosome:ASM162521v1:1:36990777:36996568:1 gene:DCAR_003215 transcript:KZN10559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNNSNSNAVDKSTVAKVGCKCDKGCTIVYKLASGELFVGPKLKPLQISGAGLCGWASPVSPLETYIEAMIKNNSNSNAVDKSTVAKVGCKCDKGCTIVYKLASGELFVGPKLKPLQISGAGLCGWASPVSPLEGSMQLANHNCLGHDFFQPWYCCTISLKFLSTVNAIRDAPLSTSCPLGNCLSDQNLSRSRTGLCGWASPVSPLEVVP >KZN08353 pep chromosome:ASM162521v1:1:5531302:5532941:1 gene:DCAR_000899 transcript:KZN08353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSVNGSSPKLNFLIYGRTGWIGGLLGKLCESQNISYSYGSGRLENRASILSDIDTIKPTHVFNAAGVTGRPNVDWCESHKVETVRTNVVGTLTLADVCREKGLVVINYATGCIFEYDEKHPLGSGVGFKEEDVPNFVGSYYSKTKAMVEDLLSNYENVCTLRVRMPISSDLSNPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPYFTWKNFNLEEQAKVIIAPRSNNELDATKLKTEFPELLSIKESLIQNVFKPNQKTSVA >KZN08246 pep chromosome:ASM162521v1:1:4248028:4248366:1 gene:DCAR_001311 transcript:KZN08246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGGLSHCSLRASVASVSGPGRESDGGETCAGRESGSGFATGPASEFSTGRGAIWPGPACASVCYGLGRAHGHGRVFWCLSLDLDLDLSRGHDRRRGRPLECDRLIVEEI >KZN08393 pep chromosome:ASM162521v1:1:6045934:6050651:-1 gene:DCAR_000939 transcript:KZN08393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSRQNGANALDILRRKLRGESSLRTSLLDDSHEIELSDYHRAPSSGSESPSGLLNGDSLSVEPIADLDLFFERLYSYYCEKGLLCIVIKWIVELLSLGFTICFSCFFLLYVDWNGLRNAKCGMVAVESGIKPCDLVKEAFHEHPLIPFTLSKAIIVGYLGIFSIYWIICFLRFFAQLKEILKIRQFYYNSLCITDNEMQTMQWASVLEKVVQLQNSRQLCVVKDLSAHDIVMRLMRKDNYLIGMLNKGVLSFPVSKWVPGAGPIVKSGPMGTQQRIILTKILEWTLKWCILQSMFDRNFCVRRDFISDPKTLKKRLFIVGVTVLVLSPFIVIFMLVYLFLKHAEQFYNHPSTASSRRWSNLSKWILREFNEVDHLFKHRINGSVVHASEYLKQFPSPVLSIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVSDDHLVLDPHGAMSLVVQHTHYMPKRWRGKENSNDVRVEFETLFQYTGMMLLEEMASIFLTPYLLIFIVPERVDDILQFIDDFTVDVEGVGHVCSFSAFDFQNHGNIRYASPYNSARVQRSSQGKLEKSFLSFQSSYPSWEPNSQGKQLISTLKAFREQKLRVQERIHPYLPPRMQQWSPETRVHGDLNNVFTREAFHNIQERGYQLGSVWLFNSELKNYLNILEWYYTSGPHLANEAERGSPSRSSNVAESTRFFWMPPDVRHNEATDEENWGQFFDNDRSQSPLEASTAAPLFHGSVLHHDDSVNTAEHPSSKSHWWARSDAQGNNRHTSFLEPPAFYRNTDTFHNNAQTSFLELPTFHRNTNAYYDNNPQTSFLEPPTFDSNPDTFDDNMFGSSIVQEDQDRSGSMRSSNRSHSIYIGDIDEGQFNLPFDDIYSRHSTSPELDPSNLL >KZN10045 pep chromosome:ASM162521v1:1:32057953:32071094:1 gene:DCAR_002701 transcript:KZN10045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQECLRIRTFQNIPTPEEFASQIELRNVPAVFVGCVKNWKAFTLWNPSNGGLDYLQGRVGSSVVDAMLSKSAPVFYGDIRRHDRVPLPFSTFIGFCKELHGDIDSNSGACCKSEKDMPSDESSQICIASEDDNKQVYLAQVSIMNTEHEERVQLPTLREDIKLPEFLEAKSLASINLWMNSARARSSTHYDPHHNVLCVVSGCKQGVTHIFQGLDIQVIVSYNVGYNLGLSLAPFAYGLLQQVLAYIQCHCTGRLQTTGKADLSVHPRAETSEKISQKVTIHAGDALFIPEGWFHQVDSDCLTMAVNFWWRSEVMLAMSEHMDSYYMRRILKSTEHCEIMTVALMIRTEPSALYSTKPYNDVPILNKDSSSKGSKAKKLKEKTMLHELEPLAVQSLHALVSLVHDLVNTADQSQSADPSTSDVFAVGEKGGSKLSVKDACRLEDDPVASIIWKLQPLTLQSVLIAMAQNFPRTLETLVLHLLSPVGAEVLTRRLEEMDQLMDEEDRNDFYQIFYGVFDDQFAVMDALLNQKESFALQAFRNVLDKYLGIKFNGSTPWVG >KZN10214 pep chromosome:ASM162521v1:1:33620186:33620683:1 gene:DCAR_002870 transcript:KZN10214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNSTTTSDRSTQKPSAFKLFGFHVTNVHQEALPSKEDENQQLECMKFSCQYCKKLFANSQALGGHQNAHKRERQSLKHRHQLRGKGHRLIAPAPPLPPCLAAARWPQNGYGVRSPWLQWSPAFQQFCARYGNDGTNANRTLAVKGQGSANVDLNLKLRPSNYN >KZN07899 pep chromosome:ASM162521v1:1:538080:539434:1 gene:DCAR_000568 transcript:KZN07899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQSLAFASPVSKHSHQVPSFAGVTSGAIRSAGSSFSGQTLHLSSSQYAPQRRKIQMSRLCVMMVKPTIQFIQGTDEQTVPDVKLTKSRDGTNGMAIFRFDEPSVFDSSGEVGEITGFYMIDEEGTLQSVDVNARFVNGKPAGIEAKYVMRTPRDWDRFMRFMERYANANSLQFVKS >KZN11347 pep chromosome:ASM162521v1:1:43855070:43857335:-1 gene:DCAR_004003 transcript:KZN11347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMIKLCNFCRAEIRVPFEARRGIECPVCGNINSYGGPPIARQYAGNGPQQNGYQYPPPRRPAPRGPPGGANGHGYYQQPWSPQASDDDYIEHPPPPRQGPFHLVRPNRHSYQPPPQVAPRPMRPNFYQQPPPRRPSYPPYNNDYYQPQPRPMIKVNNIYRPPQPQGPRRPAYNGYYQQPSPMPMEEEDYHYQNQPIPQASHRPVHDNNNGYDEQETPPLDDYYQEQLQTSPQVYSHGYYQHPLRTPQPQSVSHVTRPQVPNNGSYQRQPSRAESQAQSSPMNGNNNQQFSHNQEGSPPQPPPQARPNGYNQQQPGLTVTSSHIQQVTAHTSNAQPAYGRKKAVVCGVSYLGQKNYLEASSSDARSIKDFLVNNLKFPEASIFLLTEDEEDPSRIPTRINIFRALKWLVESCQPGDSLVFYYTGHGSKERDFDGDEIDGFDEVLCPVDYQTAGKITDDEINATIVRPLPPGAKLHGIIDCCFSGTVLDLPFLSKTDSKGTSRWVDQRIQYAAYKGTSGGIAISISSCTDHQKSGDTTAFTGKSTGALTYSFIHALRKQPNITYGSLLNSMRTTITQVQQRDQPPQEPQISSTEKFDIHSKPLIM >KZN08192 pep chromosome:ASM162521v1:1:3782960:3785902:-1 gene:DCAR_001257 transcript:KZN08192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGVIWSCAAEVKILCTALLFLCSLLTIFQFFPSPLSFVSVSDLRKCVSAIPTSPPPPQPIQLDHDLQNGVIKRSFDPRGAAAYNFILMSAYRGGASTFAINGLSSKPLHVFGNPSYKCEYVPHNVTHANESIIVDGNKILPDWGYGRVYTTVVVNCTFPIPVGESGGKLVLRASTNGGGDTEDTHTDIMTVLDEGSRSVNFSIFNEPPKYDYLYCGSSLYGNLSPQRVREWIAYHVRMFGEKSHFVIHDAGGVHPEVMEVLRPWMDRGFVTLQDIRDEERFDGYYHNQFLVVNDCLHRYRFMAKWMFFFDVDEFIYVPKDSNLKSVVDSLSEYTQFTIEQRTMSNKLCLTEDEGKEYRKWGFEKLMYRDIKRGIRRDRKYAIQPRNVFATGVHLSQNFAGKTTHKTEGRITYYHYHGTISERNEPCRQLVNETNLKLDTTPYVMDTSMRDAAVAVKRFELKMIGSALQNTRQ >KZN11608 pep chromosome:ASM162521v1:1:46228712:46231770:1 gene:DCAR_004264 transcript:KZN11608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFSRFSSSRNGHRRTQSALDDRSELHPGTEGGDASALPGAAFAVGHGIEVAIEFKPVEHPTEPFDNDQPIQCPLPEPSILNDGRIWKERVCAGERTELPVVQEDTPTEPSAADTIPRPPRPPRSRSNRTILPSASAPEHNILKLLEECNSSGI >KZN08147 pep chromosome:ASM162521v1:1:3166235:3168154:-1 gene:DCAR_000816 transcript:KZN08147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVKEILAKPIQLADQIIKLSDDSTSYFRQDCSELKSKTEKLAALLRQAARASNDLYERPTRRIIDDTEQVLEKALAIIQKCRANGVIKRVFTIIPAAAFRKMGNQLENSIGDVSWLLRVSASSRDDEYLGLPPIAANEPILCLIWEQIANLYSGTLDDRSDAAASLVSLARDNDRYGKLIIEEGGVVPLLKLLKEGKLEGQENAAMAIGLLGRDHESVEYMIHAGVCSVFAKILKDAPMKVQAVVAWAVSELVEHHPKCQDLFAQHNIIRLLVGHLAFETVQEHSKYAITSNKTNSIHAAAVVLASKSNEKSVGGNKPVDYDDEKNGLVRHPAGNKHPNQMHSVVTNTMAINFQSKPKNSNVTNHHHLQHQQNVLLGGASIKGREMEDHVTKAYMKKMAARALWQLAKGNSSICRSITESRALLCFAVLLEKGPEDVKYNSAMALMEITAVAETDSDLRRSAFKPNTPACKAVVDQLYVIIKKADSDLLVPCINAIGNLARTFKATETRMIGPLVKLLDDGEAEITKAASIALTKFACTDNYLHINHCKAIISAGGAKHLVQLVYFGEQIVQASAVSLLCYIALHVPDSEELAQAEVLTVLVWASKQPFLQHEAVATLLEEANSKLELYQSRNARH >KZN08996 pep chromosome:ASM162521v1:1:18809724:18811612:1 gene:DCAR_001652 transcript:KZN08996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSNEFRPLDHKYIVEYIKATPALRSQLGYNLDDLKIREVVPTVYYYDRTMSVIGMRYLEPPHIVLRKGLISGIEYPLLAEHMSEFMARTLFFSSLLHLTTTQHRSSVAKFCGNVEMCRLTEQVIFSDPYRESQHSYWTSPYLDSDAQAVREDNILKLEVAELKSRFCERAQALIHGDLHTGSVMVTRDSTQVIDPEFSFYGPMGFDIGAFIGNLFLAYFAQDGHANHGNDRKLYKEWILRTIVEIWTLFDKKFTALWDDSNNSSGEAYLPEIYNNAELQLLVKRKYIKDLLHDTLGFAAAKMIRRIVGVAHVEDFESITDTATRAKCERQALDVAKMIMKERRRFEAITGAVSAIQEFHK >KZN10836 pep chromosome:ASM162521v1:1:39424151:39425473:1 gene:DCAR_003492 transcript:KZN10836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKRDVMHHDKQLEEEEHMLAAAGLRAEAMPKHVAVITDGHRRWARQRGHPVEYGHRTLEPVNAELCRLCCKFGIKVLTIYLFSTETWLRSQQRSWSWTSNCLYYMILLSPPRVLRTRVTLLLHDIRISVIGDRTRLPQSLIEVINEAEEKTRAKSRLHLILGIGYGGQNDVIQACKKVFKKVKDGSIREEEINEKIFEQELQTSICTENPFPDLLIRAAGELRLSNFYLYQAAYAELYFTKAPYPDFKEEDMVMALKSYQQRRRRYGR >KZN09245 pep chromosome:ASM162521v1:1:22009570:22011248:-1 gene:DCAR_001901 transcript:KZN09245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSLNELTKIEEKVVLIYNKKSSCIGHGALIDSNGLILTASHLFDESTVIGYRVNVRVNGGRATQMYVARVVKLEWKWDIALLQIEVPNKLKYAKFAKKVRVGQDLHMVVSSDGDILSYTSGTVAFERRPFGDIVHSKNIDYFDPETIFVQAHNLHGQPGCSGAPIFSSKGRIVGMYSSAYLKSDLLVHVEHLKTLSYSFIQEGETSEAGGKKKRKQKKKRGETSEAGGKKKRK >KZN11858 pep chromosome:ASM162521v1:1:48804655:48807029:1 gene:DCAR_004514 transcript:KZN11858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKPEETMEVDKTTSCLAIGKATMESISERLSELEDLYFPKALESSAVNPSQRKSLLLDLLSRDVPVFLERYGSQLKFEELEEFDVLRDDYEVKWHLDHLRSVMRPTEEELKLRSAKVKNRRRAYMDKLIYDGQYFSEYAMRESEPYLHHEYVGQYQDLSGRRMARPGERWSDTLMRRVDEGILVAKIRREQQRLGVAQRDWVGVEMELQQEEVEEEEESEEEEEEEEEEESEEEEEEEEEEESKKGCNAIGRSHKPEVLSASHEITNNQPTAVIPGQGVQLSEEEMQDQMDQFTHVMQQKFMSGEDHQHLDYSKIDGDESLDDHWMREANQDAEEKYFDDD >KZN09014 pep chromosome:ASM162521v1:1:19060665:19064114:-1 gene:DCAR_001670 transcript:KZN09014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSCYKGLERCLGLQFESEQKGLSAEVLRKAFSATEEEFIQLVRRELPVRPQMASVGSCCLVGAISNNELYVANLGDSRVVLGRRILNGQKESVIAERLSNDHNVADEEVRREVEALHPDDTPIVVYCRGVWRINGIIQVSRSIGDVYLKRPEFNRDPIFQQFGNPVPLRRAVLSAEPAIISRKLKPHDLFLIFASDGLWEHLTDEEAVDIVVKNPRAGIAKRLVSTAIREVGKKLDMKYRDIKNKPKGNRRKYHDDITVIVIYLDQHAGSPNHKYKHTTNGCITPPVDIFSHKTGDVVDGLII >KZN11018 pep chromosome:ASM162521v1:1:41097984:41098406:1 gene:DCAR_003674 transcript:KZN11018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAYRCGGSRLLSSSKRLFISKTLTPKSFPTPSASTSFTPLRFASVLASVESLMPLHSAIASARLTSKIALHSSCWSCLSQGILLPPFSSRFLITILCLLFAFSYNIT >KZN10235 pep chromosome:ASM162521v1:1:33756042:33759678:1 gene:DCAR_002891 transcript:KZN10235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSTTCLLNNSILFNSPNPKNPCSSSLFQFNPLRIWKNDKKKVGASSVKAVYSGGFRAPERSSLPGPGVWSIRDDLQVPSSPYFPAYAQAQGPPPMMQERFQSVISQLFQHRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLSYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAATASP >KZN08361 pep chromosome:ASM162521v1:1:5655226:5655756:-1 gene:DCAR_000907 transcript:KZN08361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKDFKGDSQVSGGPSQPAPKKERYTKVEGRGSRIRMPTLCATRVFQLTKELGLATDGATIQWLLEQAEPAIIRATGTGTVPAIATVTADGSLRVPETKEGAAEDVSKTSGLAAVGPSPMSVPGYGMAAENGMKMNQNQASVSCEARVSSAAEDEYDEVVLMGKKIRFRKGAFE >KZN09157 pep chromosome:ASM162521v1:1:21025722:21027604:-1 gene:DCAR_001813 transcript:KZN09157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPDHEKKQNVLLLVKMETQLKHAVVVKVMGRTGSRGQVTQVRVKFLEDQTRYIMRNVKGPVREGDILTLLESEREARRLR >KZN12144 pep chromosome:ASM162521v1:1:51390038:51405970:-1 gene:DCAR_004800 transcript:KZN12144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGCAFSSDDIVIKSPTDRRLYRYIRLNNGLCGLLIHDPDIYSNESITKGGGGSPEDEEMDEDSGEEEEDSEEDDDVDDDNDSEDDKGKQGASQCKKYDSYLSKHGGSSNAYTEAEHTCYHFEVKPEFLEGALRRFSQFFISPLVKAEAMERELLAVDSEYNQVLQNDSCRLQQLQCHTATSGHPLNQFFWGNKKSLGDAMEKGINLREQILKLYGDYYQGELMKLVIIGGEALDVLESWVLELFGKVKSGVAPKHDSRLGIPIWTPGKLYRIEAVKDIHMLDVSWTLPSLRKDYMKKSEDYLAHLLGHEGKGSLHYLLKAKGWATSISAGVGDEGTHKSSIAYIFGMSIHLTDSGLEKIYEIIGFVYQYIKLLRQVSPQKWIFKELQDIGNMEFRFAEEQPQDDYASELAVRGIRMGTSASHAANEYKLEASPKILSAKAIITETGRLSYHMSQDVLKKLDHVNFAVSAKAIITETENLLIYPPEDVICGDYSYKIWDVDMIKYVLGFFTPDNMRTDVISKKLQSSQKFSCEPWFGSQYVEEDISPRLMEMWRDPPAIDISLHLPARNEFIPTDFSIRACKTSDDTTCNPFPASVAKLETSVSLHSDKLEFKVYGFNDKLPVILSRVLSITKSFVPRDDRFKVIKENLERTLRNTNMKPLNHSSYLRLQVLCQSFWDVDEKLKLLDHISVSDVRAFIPELLSQLYIEGLCHGNLLEEEALNISNIFKSYFPVKTLPFEMRHKESVMCLSSGSNLVRDDKVKNKLEPNSVVELYFQIEPESETDLPRLKALISLFDEIVEEPLFNQLRTKEQLGYVVDCSPRVTYRILGFCFRVQSSEYNPIHLQGRIDEFINSLENLLNGLDDESFMNYKSGLMAKLLEKDPSLAYETNRFWGQIVDQRYMFDLSEKEALELESIRKCDVVDWYKTYLRQASPKCRRLAVRLWGCNTNWEDSDAQIISVQSIPDIVVPIKVDVLTAKEYGLSFREEK >KZN11549 pep chromosome:ASM162521v1:1:45653651:45661375:1 gene:DCAR_004205 transcript:KZN11549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRATETFHLSLYYGTEDTLEDYSPSSSSRSSSDSSISSSPDQLAFQLQQQSGNPFDLGFRIDLEWNAGDDEDQVALRLQSQVMVALPAPQDSVELEFRVEEGNVGVDMKVVKRREPLRAVTMGRVGGWSHASDGMGVLIRLLRSNFSNSGGPGSPVGAGDGGGGCADHWKNVTLVSLCGCGLAALPVEITRLPHLEKLYLDNNKLSVLPPYLGELKDLKVLTVDYNMLASVPVELRKCVALVELSLEHNKLIEQACSALSSLASDITVAMQLMKSDIMKPIERVLRSAGSEDLISVLQIVVQLAFASDSVSQKMLTKDSLKSLKLLCAHKNQEASFLPLKGLALLAVGNLAFCLENRSTLATSESLRELLLRLTSSSVPRVSKAAARALAILGENESLRRAIKGRPVAKQGLRILSMDGGGMKGLATVQILREIEKGTGKQMHELFDLICGTSTGGMLAVALGIKLMSLDKCEDIYKKLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLIIESAVKGTPKVFVVSSLVSMVPAQPFIFRNYQYPVGTVETPLAISESQPVGGSGSPTIGAKSGYKRNACIGSCKHQVWQSIRASSAAPYYLDDYSDDGYRWQDGAIVANNPTIFALREAQLLWPDAKVDCLVSIGCGSVSTKVRKGGWRYLDTGQVLIESACSVERVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPTVWLKLEAATEEYIQNNSPAFKNLCERLLQNQQDDTSQYLKGKVPSSVSHENSPSLGWRRNVLLVEAYHSPDSGRVNHHARSLETYCARNGIRLTLGSELASGTYKDISRKNFQTPFTSPLFTGSFPSSPLLYSPDIGALRNGKIDMVPPLSLDGHQSAKSYASPPDSPLGHRQLSVLVKSLHEKLQNLPQVGIVHLALQNDTSGSILSWQNDVFVVAEPGELADKFLQSVKYSMLTILRGRQRKHASAITDISSISDLVACRPYFQVGCVVHRYIGRQTQVMEDGQEIGAYMFRRTVPSMHLTHDDVRWMVGAWRDRVIICTGTYGPTQALIKAFLDSGAKAVICPATEPHEMQITSFHGSIEFGALENGRFEIGEDEVEDDETEPASPASDWEDSEPDRCGEHGKFSWEDDEDEMSQFVCQLYESLLKAGARIDVALQNALASHRSLRFLCHLPTIQ >KZN10417 pep chromosome:ASM162521v1:1:35501504:35501953:-1 gene:DCAR_003073 transcript:KZN10417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRKPMSQVHHAGSPQNSHKTFKFLAAATFGAALVALAGLTLTGTVLALVIATPVLVLFSPILVPAGIVVCLVTAGFLFSGTCGVAAISLLSWIYNYVSGKHPPGSDQLDYARSRIANKARDMKERAQEYGTYVQQKATEATQPQTQA >KZN08131 pep chromosome:ASM162521v1:1:2982962:2983582:-1 gene:DCAR_000800 transcript:KZN08131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPGTNVSQACAACKHQRRKCAPDCPLAPYFPPHRQAEFLNVHKLFGIRNIINAVKTVDPNQREIVVRSMIEEAELRVMDPVGGSCGMVKSLYDKCEQVKVELDMVFQQLAICRAKSKNVEVRRGVRSFYDNLMPRHPGNGALRPPRNNIEISKKTSVKNENDGVEALQIGVNEEKGAKKMRNIDINKESREIEENDLDKEDVKQ >KZN11346 pep chromosome:ASM162521v1:1:43852561:43852923:1 gene:DCAR_004002 transcript:KZN11346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIHRMKVAHRDLKSANCLVSRYWTVKICDFGLSRVLTTTPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELYTLNRPWKGVPSAQVSVLYILIFLTDKETDMEVEDYYNCE >KZN10962 pep chromosome:ASM162521v1:1:40669692:40671976:1 gene:DCAR_003618 transcript:KZN10962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRDGSSDKWTTMMFDETSRVSSNAGTMEEDFITQGLPGQPSEAKFKQYAGYVNVDSSKGRSLFYYFAEAVHDPSSKPLILWLNGGPGCSSLGVGAMVENGPFGVKPDGKTLYSRRFAWNKVANTLYLESPAGVGFSYSNTTSDYRLSGDKRTALDAHTFLMNWFKRFPQYQTRDFYIIGESYAGFYIPELADVIIKKNLDTRSSTMRIRLKGIMVGNGVMNDATDNKGTFDYMWSHAMISDETYRGLQQYCTSPHYNRSKCNTLQFKVDDEAGNIDFYNIYGPVCSHSSNASRKTKHNGVYDPCELSYARNYLNLPQVQQTLHANTTKLPYTWDACSAMINQYWTDSPSSMFPVYKRLIKYGLRILIYSGDMDAVVPVTSTRYSLAALDLKILKPWSFWLDDTGDVGGYQIVYQGLTFSTVRAAGHEVPRVQPHRSFSLLKRFIAGLQ >KZN11833 pep chromosome:ASM162521v1:1:48526020:48533979:-1 gene:DCAR_004489 transcript:KZN11833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAILRVLTSLLLLFSSFNFSHSLYEDQVGLMDWFSLSLSLSLSLSTLSYPSVCVTHQQYIGKVKQAVFQTQKAGRKRVIVSTDENVVASLDLRRGEIFWRKVLGAKDSVDEIALALGKYVITLSSEGSVLRAWNLPDGLMVWESFLTGSESSKPLLLVPETLKVDKDSVILVYGCGSLHAISSIDGEVLWSKDLATEGILVQQLIYNDENDFVYAVGFDGVSKFHLYQINAKNGELLKHNSAAYPGGFSGEMLLVTKDRLVTLDSSRSTVVAIEISEEKIVFEPTQVSDLQDVSGTAVLLPAKLAGIFAVKVNTVILFVKVTNFGKLELVDKLDHTVAVSDALSFSEGQQAFALVQHEGSKIDLTIKLNNDWSSNLLKESINMDQDRGVVQKMFINNYIRTDRSYGFRALLVMEDHSLLLVQQGEIVWSREDSLASVIDVTTSELPVEKDGVSVAKVEHSLFDWLQGHLLKLKGTLMLATPDEVVAIQKMRLQSSEKSKMTRDHNGFRKLLIVLTRAGKVFALHTGDGRIIWSQLLHTLRKTGSCESPSGLKLLQWQVPHHHAMDENPSVLVTGRCGVTSDSPGVLSIVDAYSGKEVNSFSSIHSIVQVIPLPYTDSKERRLHLLIDDVKRAHLYPQTSEAVEIFKSEFTNIYWYSVEENNGILRGHAVKSNCILDVADEYCFETRDLWSVVFPSESEKIITTVTRKLNEVVHTQAKVIADEDVMFKYISKNILFVATVSPKATGEIGSVTPEESSLVVYLIDTITGRILHRMTHHGSQGPVHAVVHTQAKVIADEDVMFKYISKNILFVATVSPKATGEIGSVTPEESSLVVYLIDTITGRILHRMTHHGSQGPVHAVLSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDVLKLVFGKHNLTSPISSYSRPDVVTKSQSYFFTHSVKTIAVTSTAKGITSRQLLVGTIGDQVLALDKRFLDPRRSLNPTAAEKEEGIIPLTDSLPILPQSYVTHALKVENLRGIEVIPAKLESTTLIFAYGVDLFFTRLAPSRTYDSLTEDFSYALLLITIVALVVAIFVTWILSERKELQEKWR >KZN08100 pep chromosome:ASM162521v1:1:2725634:2737694:1 gene:DCAR_000769 transcript:KZN08100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNKENSNGSNTQMMKVENGDDGYVLEDVPHLNDYLDGLPTYPNPLRSNPAYSVVKQYFVNDDDTVPQKVVVHKDSPRGTHFRRAGPRQKVYFSSDEVHACIVTCGGLCPGLNTVIRELVCALYHMYGVTRVLGIEGGYRGFYSRNTISLTPKIVNDIHKRGGTILGTSRGGHDTMKIVDSIQDRGINQVYIIGGDGTQKGAAVIFEEVRRRGLKVAIAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRYCGFIAMYATLASRDVDVCLIPESPFYLEGEGGLFEYVEKRLKEDGHMVIVIAEGAGQDLLDKELGLGKEQDASGNKLLADNGLWVTQKIKDYFARKKLSLTLKYIDPTYMIRAIPSNASDSVYCTLLAQSCVHGAMAGYTGYTSGLVNGRQTYIPFNCINEKRNHVVITDRMWARLVSSTNQPSFLGSVVIAKAKEEEQPQTQLLDGENCNDEKINEIGTHEN >KZN09803 pep chromosome:ASM162521v1:1:29544720:29544902:-1 gene:DCAR_002459 transcript:KZN09803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFTIQDSFLIIIRMTLRPLNSINRTNITNESGSLNRFVENKMHVCFALVLDCQDRRKF >KZN09735 pep chromosome:ASM162521v1:1:28873324:28873473:-1 gene:DCAR_002391 transcript:KZN09735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIFTRTVIMDLLPPLPDPDVSMTCHYCLLSLMVTYTFHHNLPKLTIT >KZN08778 pep chromosome:ASM162521v1:1:15263232:15269458:1 gene:DCAR_001434 transcript:KZN08778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATNSCISISSSLVTLKDHHSQTYKYGGVSFNNRRRSLSASLRCFASSSSVIDKVKVVNPIVEMDGDEMTRIIWTMIKDKLIFPYLDIDIKYFDLGVLNRDSTDDRVTVESAQAALKYNVAVKCATITPDETRVKEFALKSMWRSPNGTIRNILNGTVFREPILCKNIPRIVPGWQKPICIGRHAFGDQYRATDAIINGSGKLKMVFVPDNGDTPMELDVYDFKGPGIALAMYNVDESIRGFAESSMALAFTKKWPLYLSTKNTILKKYDGRFKDIFEEVYEKNWKKKFEDESIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRQHQNGQETSTNSIASIFAWTRGLAHRGKLDKNEKLLDFIHKLEAACIETVESGKMTKDLAILVHGRK >KZN09538 pep chromosome:ASM162521v1:1:26786313:26787960:1 gene:DCAR_002194 transcript:KZN09538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFEQWKQVIAMVGASFCLAVVNILLKKVLSGGLDHLLIIFYRQIISTIFLSPIAYFWERKSRPKPTIGILCDHFLSALIGVTLTQYLFLLGLKFTSATFSCAFINMVPVITFIMAMPFGLEKVNLKHKSGRAKVFGALVSVGGALLLTLYKGIPLRDLPTPLEDPTTGGTKRWGTGTLLLTGGSIMWSSWFLIQAKIGKTYPCQYSSTAMISFFSTIQSALLYVAIHRNTSAWLIKGSLEILTVLFAGMVGSGLCYVIMSWCVKERGPVFTSAFSPLIQIFVAAFDITFLHEQIHLGSVLGSVLVVAGMYILLWGKINEAVLCNAIKPVTDPAMTAAEEI >KZN10894 pep chromosome:ASM162521v1:1:40085426:40088825:-1 gene:DCAR_003550 transcript:KZN10894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPYLVGVVVPIVLALLLHSSKKGKKRGLPVDVGGQPGFAIRNSRFTSPSESSQAGISTLAELFEQSCKKHHDKKFLGTRRLISSEIEVSEDGRSLEKLHLGDYEWLSYCKAFETVCNFASGLVQLGHNKERLAIFADTQEKWMIALQGCFRNNVTVVTIYASLGEEALRHSLNETEVTTVVCGKRELDKLLDVSGQLTTVKRVICMDDEISAHKSTIQSYWTITSFSNVERLGRDKPVTANLPVSTDIAVIMYTSGSTGLPKGVMVTHGNILSAVYGFMKIVPDIGSKDTHLAYLPLAHILELAAEKSVTVDVLSQNIVVAAGCSIGYGSPLTLTDTSNKIKSGTKGDVSALRPTLMPAVPAILDRIRDGVWKKVDATGGLPKKLFDLAYARRLAAINGSWFGAWGLESLFWNFLIFRKIRKILGGRVRFMLSGGAPLSGNTQRFTNICLGVPVVQGYGLTETCAAATFSEHDDTSIGRVGPPLSCAIIKLIDWPEGGYLANDSPMPRGEIVVGGPHVSVGYFKNDEKTKEVYKVDDRGMRWFYTGDIGRFHDDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALVISPYVEIIVLHADPYRDHCVALVVVCRPALEDWALSQGIKYVSFSDLCFKEDAVKEVLGSLRKAGKEAKLEKFEIPTKIKLLSEMWNLESGLVTAALKIKRDAIRKTFAAELAQLYSP >KZN10257 pep chromosome:ASM162521v1:1:33952751:33953289:1 gene:DCAR_002913 transcript:KZN10257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEQNHANGINAVSKSAEKREKKAFVTFLLEDYIKMVYLDGDIQVYGNVDELFDLLNGHLYGALDCFCERRQVQGGTCQKTR >KZN11584 pep chromosome:ASM162521v1:1:45985123:45989430:1 gene:DCAR_004240 transcript:KZN11584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLSGGSVDMICNSKDKHVDINPILQVQDVRIVQTTNSASERYRLLLSDGVFTVQGMLATQNNDLVKANKLVKGSVVKLNEFVCNRIQTRVIIIIVNLDLVLEQCETIGDPKPYPPIVDGPAKPSPASNISHSGNSNNPQYHNGNSVGAGLMPNNENVGVGSYMQAPGQGRSSGPPLYNSSLGSKAESGQYNQTTSGFGYSNTESATGISRSPMNNHVRPIQPAYPQESAHPKVNYTGNVSSAGQGIGLPASQVGQFGNQNVGSRLASTGPTNAYMMCSTCGGMDHSSNNCPAAMDVQEHSYGGGFNNQTIPAGSGSTGGGGSGSGQCYKCHEHGHWANNCPNARSGLPGYGSGNVSTSAGGGGNGDCPSAGGVTSGYGSGNVSASAGGGGNGGECFKCLQHGHWGKDCPSAGGVTSGYGSGNVSASAGGGGNGGGVTSGYGSGNVSASAGGGGNGGECFKCHQSGHWAKDCPSAGGATAGYGSGNVSSGRYGSASKQYVGGY >KZN11919 pep chromosome:ASM162521v1:1:49403154:49405138:-1 gene:DCAR_004575 transcript:KZN11919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVVDLFLVILVLSITRVVAAKAKVAGDECRTKRCSRHGPGIRFPFHLKDRQPEHCGLPGFRVSCDGGETLLELQYLANTSLQGIQLFLSTGTTVDSINYKSQKIILSNFSFQPKINNVTLVSTSTSWTSAIVPPPFGGERSYTNINTTFASCSSGVVGQLDLLLTSLSGQAFQVYYFDGLVGFDQPSITSCTKVFNSSFPFYMLGGGTATLNWSAPNCGKCEAKGEYCKLTENSTSSNVGIADYSTVCLSRGHGSIKAIAGIIPGATLTVLVLVVLLYYIIRSYRRKKYDALKIEMFLRDYKAMKPTRYSYADIKKITRQFSNKLGQGGFGSVYKGHITKDIIVAVKILNNDPKANGEDFINEVGTIGRIYHVNVVRLVGYCADGCNRALVYEFQPNNSLEKFTYSGQNHKNNFLGWEKLQEIAIGIAKGIEYLHEGCAQQILHFDIKPHNILLDQNFTPKISDFGLAKLCSKDQSIVSMTMARGTIGYIAPEIFSRNFGKASSKSDIYSFGMLLLEMVGARNNTTVENSTETYFPEWIYHRLEEGGEVAIQIEKQEDSNIAKKLTIVGLWCIGWHPVDRPSIKHVIHMLERPECPAMPPNPFGPSNVRSFKSDLEVISESE >KZN08989 pep chromosome:ASM162521v1:1:18691467:18695154:-1 gene:DCAR_001645 transcript:KZN08989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFRRLFLRPSLPTSPAPCIHFTTATDRKTEGKWLTLPPYTHTIDASALGKQIYSRNSAETQGETTALKWIIKCCPQLPRSLVQKLFRLRQVRRESIDFSSSDVGGLAQERRVKRVGAKDAMQYGDKIFLPKTVEELSSAKTEKAEKVEGRYNEEEQKFVQSLELYKDSAIIVVNKPHGMPVQGGVGIKRSLDELAGAYLRQDNSESPRLVHRLDRDSSGILVMGRTQLSTTILHSIFREKTFGASTEDLEEKKEILQRKYWALVFGCPRRRQGNISLPLGKVVMDDGKSERITVVDNANSMPSQHAITEYRVIGSSSHGYTWLELCPRTGRKHQLRVHCAEVLGTPIVGDFKYGWQAHRKYFPSSNVEENLDEKLLKGKKIPFGLELEHGSISDKRPKLHLHCKEMTLPNVSTALDRAQRCSPQNFANLESLKLDAPLPYHMQRSWDILNS >KZN11590 pep chromosome:ASM162521v1:1:46045818:46046769:1 gene:DCAR_004246 transcript:KZN11590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSFPSYSVLLCTLLILIIWNNVDGSRAMTDDVNKGNTNVLRLHSMDAHAHHHSSHMNHMKDSEIVFFKMEDLKIGKTMPIFFPRTDPSKSSQLLRKEKADTIPFSLEQLPNILQYFSFSQDSPQAKSMKYTLEQCETKPLEGEVKICATSLQSMLDFTHSALGEKIEVLYTSHLNQSKTLVQNYKIVEDPEAIEASNMVACHKMAYPYAVFYCHYQKSKNRIYRVSLVGENQERVDAISVCHLDTSMWSPAHPSFRVLGIEPGTSPVCHFFRADNYVWIPSRSEV >KZN08827 pep chromosome:ASM162521v1:1:15908911:15917461:1 gene:DCAR_001483 transcript:KZN08827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVLAPGLSRKLKKVLETRTDTPDLLASLNTLSSFYTDNSPVARRNLRSTIENRALDINRDFLLASSTAQQALDRVEEEINAITECCDNISKALSSCNATTGDIISTTERLKHDLEITTQRQEIVESFLRDYQLSSEEINALREEELTENFFKALSHVQEIHANCKVLLRTHHQRAGLELMDMMAVHQEGAYERLCRWVQNECRRLGDTDNPEVGDLLKNAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVFVLLDPDAVVDTGPTARRFSTKSDSESGKTELDLTFVLDRIFEGVCRPFKVRVEQVLQSQPNLIISYKLSNTLEFYSYTISDLLGRETALCDTVWALKDAAQKTYFDILKTRGEKLIRYPPLVSVDLSPPPAVREGVSILLEIIEIHDSMMVPASATKPDFDPVISALLDPIIKMCEQAAEAHKSKGSIQSSRRSKTSADQGQFSKSSVDAMLVHSRSAHSYQTTETPSKIFLINCLCAIQQPLLRHDIASKYAMKLGGMIDNHLRDLVGKEVDAILSRCGLSEKMLRIRNSLKSESLPLAETEETSPAYVSESLKAFFGLVLGSETSLPEFEQMQVPKLRSEACVQLAKLLAEAYELVYEAIMDPKNRYPEPKSLARHPPDQIRTILGI >KZN08690 pep chromosome:ASM162521v1:1:13520201:13525021:-1 gene:DCAR_001346 transcript:KZN08690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMFLPPGFRFHPTDDELMRYYLKRQVMGKKSAFDAVTELNIYQYSPWDLPDKCLLKSRDLKWYFFCPREPKYTTGARANRATKTGFWKATGKDRCVTYKQRTIGMIKTLIFHKGHAPKGERTDWVMHEYRLKDEELVDVVQDAYVLCRIFQKKGLGPQNGAQYGAPFNEDEWNDIEEESQTFVNNVPQSSILNSDAINLPVPVNSLVSYVPEPDPLSEGPQNIDMQPIMKEVCVVSSAVESHMLPNYVPPGIIGSSAATHMIPGNSNMWSTSGPSAPGPLDTGMPILLNPDSDVLASLDMFTEDINMLPVERKEKSDLSGQLPQLHENDIYDIIGDLDDWIELGDTGLNISSYHDADYDLNPVLMGEEAPFLELNDLRTPIRCPPEDVDVDRMWTDRGYTAPTHDMSIGLDKCYSEGANGLNISSYHDADYNLNPVLMGEEAPFLELNDLRTPIRCPPEAVDLDWMWTNRGYTAPTHDMSIGLDKYYSEGANICSVEKVSGWNENAPLLGSQLGNNSENLWKDNCIGNFEARGFDAVMGNEGPSSTMEPEDNCTEKQIQRRGKLLRVLQYSLESLYAHMITVEQLIDIFPHSGSSIHLKAEVTLMVDECTKDALLHNMEESACILISWGNQPILDANGSSIHFKAEVTPMFVYVLLRYCYGAAKRKSFQLVLTVEH >KZN10533 pep chromosome:ASM162521v1:1:36681204:36681605:1 gene:DCAR_003189 transcript:KZN10533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKDGDNMGKVGKGAPEPQQPRAVGPYRGLVIRNDDFSIGLGLDFTEPLNPWFLQKIEPKTHHPTNASEPRAPVCSEPGKENSLTPSPEMATKPLAFAPAVNGATCPNGVPNVKDSAAAENGSDIDLDLKL >KZN11444 pep chromosome:ASM162521v1:1:44710555:44713001:1 gene:DCAR_004100 transcript:KZN11444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHTSWHSKDLFLVSFSASVSTTGAGSSCKDTFWLVEELQIEDRDDTVPVCMQPKNMFTQLVRDNSHSEVEFVLPEHVRVQLSMLSSSHSRTSSSVVLPALEDGREGHTFPTPLESENIASSLVSDSGKRNQSTLPTTTVHGNETTPEVYPPQKRRYAGEVKASSNLFAGDQEMPPAEIRPSAQDMRRLADRCYETLEGLGDDHDSFRTEVDKLIAQQQELEISAKKREDWNDWDINAHYNDQVHFLSDLTQKLTSVEDQLSTAKTKANSIRLKREELTVALHKLKDQCKEAHSVAEAELAKLNAQRKRRLEWHIGRSMTNTILPVESLRG >KZN10909 pep chromosome:ASM162521v1:1:40201385:40211508:1 gene:DCAR_003565 transcript:KZN10909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASTSETRNRWKKRKRDSLVSRKSNSQPEDEPEEEEDEEIDPELDENHPNPNPNSQLSRKPVALVPPEVLSESAIRVSEFPPVVRHKVQRPHASVVAILGLERGNESCRQNCVSLENVSYGQLQALSAMTKESLVDSEKGEGSVVITPPKIMEGRGVVKRFGLGRVLVVPMHADWFSPSTVHRLERQVVPQYFSGKSVDRTPEKYMECRNYIVAKYMEYPDRRLSVSDCDGLVAGADSDDMARIYRFLDHWGIINYCVPALNRETQNDTLCLNEETNGELRVPLNHLKSIDSLIQFDKPRCQLRAADVNSELGSHVHGDSDLDDKIRERLSENRCSCCSRPLPIIYYQSQKEVDVLLCMECFHEGRFVAGHSSLDFTRFDSGNDYGDPDGVNWSDQETLLLLEAMEIYGENWNEIAEHVKTKSKAQCILHFLRMPMDDSSMENVEVPQNPSSVKLPNDEGDRPHLNSNGHPAGSSVQVPNAESRVPFANYANPVMALVAFLASAVGPRVAAACAHASLAELSKDEETSASGHSNITNSSQLKEGILLSDAKLRAASKAGLSAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFTEVETMLMKECEQVERVKQRIAAERAAFISTHFGSGGGVSRPTSLSAISPAMIQNNAGNTRQQIVSDGPSQPYMGYTNSRPVHPHVSPMSQQQTYGLGPRMPLSAINPSSASPNSTTRPMSRPVSGARSGLD >KZN11422 pep chromosome:ASM162521v1:1:44511353:44514174:-1 gene:DCAR_004078 transcript:KZN11422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSVKDLVSKAELDEKVKIGEPVIIHFWASWCDASKQMDQVFSHLSIDFPHALFLRAEAEELPEVSEAFSVSAVPYFVFFKDGKVIDTLEGADPSGLANKVAKVAGSVKSGDPAAPASLGLAAGPMILETVKDLVADNGSTKADSQLISGISEGLKKRLQQIINSHEVMLFMKGNPQEPQCGFSRKVVDILKEYDVKFGSFDILTDNEVREGLKKFSNWPTYPQLYCKGELIGGSDIVIAMHEGGELTEVFGDHGIKSSHSREAKVTEAEIGKGGISGSSGLSATLTSRLEGLINSGSVMLFMKGKPDEPKCGFSRKVVDILREEKVEFESFDILSDEEVRQGLKVYSNWSSYPQLYIKGELIGGSDIVLEMQKSGELKNVLAEKGIIQKQTLEDRLKKLISSSPVMLFMKGTPDAPRCGFSSKVVNALNEEGVEFGSFDILSDEEVRQGLKTFSNWPTFPQLYYKGELMGGCDIVMELKENHELKSTLSE >KZN11602 pep chromosome:ASM162521v1:1:46152430:46155092:-1 gene:DCAR_004258 transcript:KZN11602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLNNSSKNCLANKAKPNSTIGVVKKEHFPAAVEEMSSDEQHSEVKSALSREKALLTPVAGPSNAEKPVIIVRAVAAMEELLKMVQAGEPLWIPPIRERGIEWLNKYEYMRSFSDKIEMKPMGMKSEASKQSAVVYMNPTKLVEIMMDVKQWSNMFSGIVSRASTVDVLSTGVAGTYNGALQVITTYEGKKSLLKLAERMVLTYCTTAGVSTAHMWTTYCESAHGTARIMTKNNFSDPGTPPGIVISGATSFWIPVPPKRVFDFLRDPQLRSKWDIMSNGGLVEEKLHIATGHGPANAVSLLQVYDTECHGNVHILQESCADPTVSYVIYAPVNIDSVNVVFCGGDPGNVRILPCGFAILPDGPRPNAGGIVGVGSGGSLLTVAFQMLNDAIPTKGLEPGAMPPVNSLIKCVIENIISALLPNQNV >KZN11720 pep chromosome:ASM162521v1:1:47411973:47437992:-1 gene:DCAR_004376 transcript:KZN11720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRGSKVWVQDRDSAWVAAEVTDFIGKQVQLLTEFNKKVLILPDKLFLRDEEADHGGVDDMTKLTYLNEPGVLDNLRRRYALNEIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMINESQSQSILVSGESGAGKTETTKLIMQYLTYVGGRAASDDRTVEQQVLESNPLLEAFGNARTSRNDNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGRVADSYKLAHPRNFHYLNQSNVYELEGVSSAEEYMRTRRAMDIVGISHEEQEAIFRTLAAILHLGNIEFAPGKEHDSSVIKDQNSNFHLQMAANLFMCDLNLLLATLSTRSIQTREGNIVKALDCNAAVASRDALAKTVYSRLFDWLVEKINRSVGQDTNSRMQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRKEEINWSYIEFIDNQDVLDLIEKKPIGLIALLDEACMFPKSTHETFSNKLFRNCGSHPRLDKAKFCETDFTLSHYAGKVTYQTDTFLDKNRDYIVVEHLNLLSSSKCSFVSGLFPSVAEESTRSSYKFSSVASRFKQQLQALMETLSATEPHYIRCVKPNSLNRPQKFENQSILHQLRCGGVLEAVRISLAGYPTRKTYNEFVDRFGLLAMEIMEGCYDEKSMTEKILKKLKLENFQLGKTKVFLRAGQIGILDSQRAEVLDVAAKRIQGRLKTFIKRREFIANRNSAVSLQAYCRGHLARKTYAAIREAAAAITIQKYVRGWLLRHAYMQFCSSALLMQACIHGFLTRQRFLRRKRHKAATIIQAQWRMRKVRAAYSHRQSNIIAIQCLWRRKLARRELRKLKKEANEAGALRLAKTKLERQLDDLTWRLQLEKRLRVSNEEVKGVEITKLQKTVESLSLELDAAKLATVNECNKNAVLQNQLMLSMKEKSSLERELSSLADLRNENAYLKNTLNIFQAKNLALEQDLAKAKQDTDDTVKKLREVEQTCSQLQKDLRSLEEKLLNIDGENLVLRQKTLISTPKSNLPGFARPFMDKFSGAVAFPSIDQRSTFESPTPTKIIQPPSQGQSDSRRAKLTLEKHQENYDILSRCIRENLGFKDGKPVAACVIYKCLLHWHAFESERTAIFDHIIEEINTALKAEDEDSILPYWLSNASALLCLLQRNIRSNGFLSASSKRSAGSTGLNGRIAQGLKSPFKYIGYEDGLSHTEARYPAILFKQQLTACVEKIFGLIRDNLKKEISPLLGLCIQAPKIQRVHGGKSSRSPGTAPQQSPGSQWDSIIKFLDSLMNRLRSNHVPSFFIRKLATQVFSFINISLFNSLLLRRECCTFTNGEYVKSGLAELEKWIVNAKDEFAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVSEMREILNKDSQNLTSNSFLLDDDLSIPFSTEDIYMAIPQIDPSDIEVPPVLAEHPSVQFLLSK >KZN09930 pep chromosome:ASM162521v1:1:30885983:30886918:1 gene:DCAR_002586 transcript:KZN09930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSAEELLSSLPREEGITSAYSYQYQGFWYAPRSLPGLIDCQNQFQARENDIFLVTAPKSGTTWLKAVLYALMNREACHPQDPAHPLLKQNPHQLVPFLEILKPTEYDSVSNSSDKSSSRIFGSHIPTASLPKSIIKESKIVYLCRDIKDTFVSLFHFTSHRSSPISLENAFNLFCRGVSISGPIWDHILGYWKESVERPDKVLFMRYEEMTDEPHAQLRRLAHFIGKPFSQEEENSGLLDQIIKLCSFDGLSKLEVNKTGNWISNVRNDSFFRSGVVGDWKNYLTAEMASKLDQITEEKFHGSGLYMT >KZN10120 pep chromosome:ASM162521v1:1:32770612:32779758:1 gene:DCAR_002776 transcript:KZN10120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDAIRDMWQIFELRKVNQTNNTMIGSSLAKVPTDMGQSSRPRKSDDKVKKRTTKLSWTPRLHSRFVDALLNIGYHKERRTSKDATPIQATLSLSRFNQAGSSSRMDVMSTSNYASSAGINIEAHGISSGIPTSQRAGQLKIRDIIESNTAYNNPYEANQMNYYGQTTVVPQWNNNSTNRGLVGSDDINGRLVGGSDINNWFLELAGGSNINNWFLAKDLNKFSSNYTESIYNISTSEPLLKPWGQDNPIIFNTNNSTSEPPLNLGGQSSTIIFNTNNSTFGPPFNSGGQDINTYTNNFDEVQINSDAAPYIGCSFAENQVVHTLGMDDELRAMQTDLNACDGSLGTNLFGNTFKVNEQIGNMQPVGLDIGTSLASNQVENTFTMNEEDHLSVMSLCGPLECLGNATRWSNAEIQSKQDLVQKVSVLRDELIWVSGYGQNEIFRVLDEKGRWFFKGCANGNAFVELLRQLESWPRCALEVCNWRRKLADDDFPLPMTSEEYAKAIRIAGRSRNVALAVELFTEAANKRIKTATTYNALMGAYMHNEYTYMCQLLFRDFKMDKSCRPTVVTYNILLSVFGRLRFIDQMEAMLQEMKNLNIFPNRTTYNALISGYFTASMWDSMEKTYAVMEARGIKPDVNTHMMMLRGYAYSGELKKMEEIYELISYHLLEHKEFSLIRAMIYAYCESTSSNRVNRIEELLGLIPKNDYKPWLNAILIKLYAQENILDAMESYIEEAFERCTSITTVNVMRCIVSSYYRANAVDKLANFVKRAERAGWKIRRSWYHCKMILYSSQNRLAEMEGVLYEMKNLCIQTTPGTFLILHRAYLEHGQKHKLDQVLGVMCTHGYGIPSNTVES >KZN10427 pep chromosome:ASM162521v1:1:35620053:35633779:1 gene:DCAR_003083 transcript:KZN10427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARILPRVLPISSLSTIRSTTHLKSLSPLSFNRFSVSFSSSISSLFGIRRRRRFLSDASRSGEEWSVRRGVFAEQRGYRKLRRRAAKSKEKELELCVKICVEEQLPDDPETLDIAEVLRLNVPMAMKVAFDGLKDSQYKTRDPAIDDVGCFENVELSVLLCNDEFIRKLNKDWRGEDHATDVLSMSQHIPELKLPILMLGDIVISAETAARQAAERGHTLLDEIRILVVHGLLHLLGFDHELSDEAEAEMEKEEELLLKSLGWKGKGLIQSTHDAVEDNVASHIDVLTGTLLNSKSRITEATAKALREVISRGVRVVIATGKTRPAVISLLKMVDLAGEGGIVSDSSPGVFVQGLLVYGRQGREICRRNLDPDVCREAFLYSLEHKVPLIAFSQDRCLALANHPFVDSLHSVYHEPKAEIMPSVEHLLASGDVQKVLFLDTAEGVSTTLRPYWSEATSNRASVVQAQEDMLEIVPLGTSKGSGVKMLLDHLGVPAKEVMAIGDGENDVEMLELASLGVALSNGSDKAKAVADIIGASNDEDGVADAIYRYAF >KZN08878 pep chromosome:ASM162521v1:1:17133641:17136883:-1 gene:DCAR_001534 transcript:KZN08878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISQAIRGIPHFPKQGIMFQDITTLLLNHKAFKDTVDIFVDRYRDMGISVVAGIEARGFMFGPSIALAIGAKFIPLRKPGKLPGEVISQAYELEYGTDCLEMHVGAVLPGERTVVIDDLVATGGTLSAAINLLERMEAEVVECACVIGLSDLKYSHLHPPGKTQATWETTVYSGRATRNRGLLLRFCKGLYDAQTIIPSFILQIDALPPPQSSLKP >KZN08577 pep chromosome:ASM162521v1:1:10869808:10876949:-1 gene:DCAR_001107 transcript:KZN08577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELSGDGCLEKSILFTLQRKLVKGNTTQSTGDIEQRKIVALKKIKFNYLEAGNIRFMAREINILRNITQAMKLGALGAPAYQAFSLKDIEDATNNFDTSTFVLEGSYSQVRVMLSVI >KZN11042 pep chromosome:ASM162521v1:1:41251384:41251797:-1 gene:DCAR_003698 transcript:KZN11042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWVYPKRRGPEWKQSWTTQTLYNVSSPPLPLLAIFAIVIFLLSVSQYTTFKSQLNNHKISFQFVLLLVPVFLIFLMRSSLIPSGKFNFWGRIQQPRQDLGQRSGASPWGVAVLLVVLLILVSYQSSFHSKWFGSDY >KZN10544 pep chromosome:ASM162521v1:1:36781886:36782698:-1 gene:DCAR_003200 transcript:KZN10544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRHVASLLFLSSVLAMLAPGLCGAAVPALFILGDSTADVGTNSFLTNSKVRADFLANGIDFPNSRPTGRFSNGFNSADQIAKLMGFRRSPQPFLYLVTIKAGLRRPKFRGVNFASGGAGILDITGKELVVVPLSEQINQFATVRSNLTAAMGATKTAIFLSKSVFSISIGSNDIFGYFATNSTVPKEQFISILMTNYETYIKV >KZN11372 pep chromosome:ASM162521v1:1:44080166:44081379:-1 gene:DCAR_004028 transcript:KZN11372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSNTHVNGFYNFLAQGLDNLDNSFASQNFMSVEFLQHVLSCLQSFHSQLCILVQQLHLPRGGKWLNEYMDETSRLWVVCRVVKSALSRMETFYTRGPNIATTLHDRHYLNYQLSRQVFQAIAECQSTASLLVQGNRVLMYVRIRQLSLRFYKSVSIDPKFNALHGFEGVLYAMRNVNSLLVMILLSGLLYFCPETSFSTEEGNAHGHGDSGSDFMVSASKLHKRLKSAIDERRGQGSDMLLYEFLRANNTLKEVEIKLRRNMDIYKDIRVDDINDMAKNLKSCFEVLQRGAENFIVQIDDFFDEIIEGRKKLLDMCSQ >KZN11726 pep chromosome:ASM162521v1:1:47502917:47509178:1 gene:DCAR_004382 transcript:KZN11726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRFKFRSAVSFDAVDIGERPAISVGELKSKIIAQKNLNLCQGFDLVFSDHLTGQEYGDENYQIPSNSSVIIKRVPAESVSPALLGGQNLQTNDLVEVIPKGPDHNGTKGKLPPEKLEPEVQEHVKLEPEIQENVKLEPEVQENEKLGKAIDPYDLAEKNPSLIPEFRCPICTKVLKEAVMTRCCQRSFCERCICGVLNEKSKCPKCSSDKFKAEDLLPNVSLRLAIMQLLESQIQCSISETALRRYAPDEESGIQANGLSSALTIYQKRQTNVLYASATEKGSNRLLGESYHGELKTGYHPGLADCQGENHPFNLHQNHGREKGENRKVSTTARYRKADRTCYMCDAPNHLIKECPFVNGPHPWLQTGNSMYSVGARPTFAAPYWNNTAYGPMRPFTNLYANHGMMPYNSSMFPVSPVGVSPYMAAITAHRVLLSRKQPSDDDGAGIQTNRHNLPKSSEEYLFHKERKNSGSYSGEKKPRRSRGDDLHAGDFDCKAHSRHDRHGKNSRSLTRTGDRKAYHRERSNSGAEDMSNSPDRYVDERHKHRHHKITRNHYERRGHFGSDSNWNEGCDGQKDKRRVYSDEKGFSKKHACSFGSGEESSFSGDWEKRRREGVHIRSSKHAREGTKYTRDELFCRSKMVRRDEDSGKDNYQLKRKRVY >KZN10368 pep chromosome:ASM162521v1:1:34985436:34989786:-1 gene:DCAR_003024 transcript:KZN10368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACNTGTPKAEIDEAYQQAEAAVRVTKKFQDDIEILGLTVKHYEDKIRYLRMEKDGVDDSIIDLEDHHMNQTIALNNNCRLPPQKFQDDIEILGLTVKHYEDKIRYLRMEKDGVDDSIIDLEVITGKHHASSIKPEEEDSQSEDETYKNILKLEKSAAGLVCQLKTRHGTQVSHSPLVNDVTGIVATLGKVDDENLSWEEAEVKFPRICEKSSVPGNYYEIENNLHRKKWDLERLLEVMQREQSKLDQAKFDFEIKKQDFVRFLARSSHPAQPKQEQQ >KZN08435 pep chromosome:ASM162521v1:1:6752795:6763365:-1 gene:DCAR_000981 transcript:KZN08435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTVNLLSQSYGVYNINELGLQKCTSRSGEDSDHGEKTYKCASHEMRVFGAIGSGASSVVQRAIHIPMHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYEGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRVRKQIPEAVLSLMVKKLLHGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYTATEGPVNLMLQILEDPSPSPPNDIFTPEFCSFIDACLQKDAEARPTAEQLLSHPFVRKHDDSSVDLAVFVRGIFDPTQRMKDLADMLTMHYYLLFDGLDELWQHAKSLYTESSVFRYNSEESRLIFWHCIMRLLAIFFKLDVILVRLVIYAVIICCYLLICISFSGKESIGPYDIFKALSSIRGTLAGDWPPEKLVHIVEKLQCRAHGEDGIAIRVSGSFIIGNQFLICGDGIQVEGVPNFKDLSIDIPSQRMGTFQEQFIVEAGDVIGRYFIAKQDLFIIQ >KZN09871 pep chromosome:ASM162521v1:1:30215693:30219043:-1 gene:DCAR_002527 transcript:KZN09871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTGTVFSTDANGALVDITAKSSAYLPVHEACIYDIKHVEEAGIVQGLSEEFVIIGKNEADDSLILSLRSNQYDIAWERCRQLQAEDIAVKGKVIDANKGGIVALVEGLRGFVPFSQISMKSVGKELIDKEILLKFVEVDEEQSKLVLSNSKALVDSQSHLEIGSVVTGSVQSLKPYGAFIDIGGISGLLHVSQISQDLVSDLATVFQPGDVLKVMILSNDRERGRISLSTKKLEPSPGDMIRNPKLVFEKAEEMGQIFRERIAQAEAMARADMLRLQPESRLLCPDPLLSPLALEAPPKGLDFE >KZN08152 pep chromosome:ASM162521v1:1:3181550:3183726:-1 gene:DCAR_000821 transcript:KZN08152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVEFLQYSNGFGHHKIDEGLLMINREAYPQISHDSWFEEEIDVDLKWSFALNSVLHRGRSEYQDIVLLDTKRFGKVLVLDGKMQSAEIDEFIYHECLIHPSLLCHPNPKSMFIMGGGEGSAVREALKHKSIEKVVMCDIDEEVVDFCRRHLSANKEAFSSSKLDLVINDAKVGLEERKEKFDIIVGDLADPVEGGPCYQLYTKSFYEKILKPKLNHSGIFVTQAGPAGVFTHKEVFSSIYNTIRQVFKYVVVYTAHVPSFADTWGWVMASDQPICVDAVNIDKKIQERIDGELDYLNGAMLYSSTILNKTISQTLKNETHVYTEENARFIPGHGVAYRI >KZN11554 pep chromosome:ASM162521v1:1:45688256:45689084:-1 gene:DCAR_004210 transcript:KZN11554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSTFHPSSWHSFFTSPFLFPYHFIPENYVHWTETPESHIYSADLPGVKKEDIRVEVEDSVYLIIRTESENETTGEPVRCFMKKFRLPGMIDVNGISAGYEDGVLTVTVPRFSVRTGFHIEPSDVSEQLGVLARAA >KZN11599 pep chromosome:ASM162521v1:1:46114396:46115996:1 gene:DCAR_004255 transcript:KZN11599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWCSKLRSLSAAANHRTTTTTITPLTHSNRLLHSSNTPNHFIHKPLSISSIFNPPFVAPLKSSLSLFNHASPSSLTLIQVRHWTLKQRKRTLKLRRPATPIVSKVIQGKI >KZN10610 pep chromosome:ASM162521v1:1:37562370:37566227:-1 gene:DCAR_003266 transcript:KZN10610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRDPWGGALEIADSGTDDDRSRNLQDLDRAALSRPLDETQQSWLLGPHEQKKKKYVDLGCVIVSRKIFVWTVGTIVAAGLIAGFVALLVNTLPKHHHRPPPPDNYTLALRKALMFFNAQKSGKLPKHNNVSWRGNSGLNDGKSDSSSISKNLVGGYYDAGDAIKFNFPQSFAMTMLSWSVIEYSAKYEAAGELNHIKDLIKWGTDYFLKTFNSTADTIDRLVMQVGTGDTTEGATPNDHYCWMRPEDIDYERPVTECHGCSDLAAEMAAALASASIVFKDNKAYSQKLVHGAKTLFKFARDQRGRYSVGSEAASFYNSTSYWDEFVWGGAWMYYATGNNSYLSLATNIKMATHAGAFWGGQDYGVLSWDNKLAGAQVLLTRMRLFLSPGYPYEEALKTFHNQTSIYMCSFLPFFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLATLFSDYLDAADTPGWYCGPNFYSTDILRTFAETQIDYILGKNPRKMSYVVGFGSHYPKQVHHRGASIPKNKVKYNCKGGWKWRDSKKPNPNTIVGAMVAGPDKHDGFHDKRMNYNYTEPTLAGNAGLVAALVALSGGKTTPIDKNTMFSAVPPMFPVAPPPPAAWKP >KZN08222 pep chromosome:ASM162521v1:1:4086375:4092553:-1 gene:DCAR_001287 transcript:KZN08222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVELTGKDSPAGDLEDVRLLDSYEDEEAELSKVEQGMSRIQVRITGMTCAACSNAVETALISLNGVVSASVALLQNKADVVFHPSVVNDDDIKNAIEDAGFEAEIIPETSSSQTKSHGNVVGQFTIGGMTCAACVNSVEGILRKLPGVKRAVVALSTSLGEVEYDPTIISKDDIVDAIEDAGFEGSLVQSSQQDKIILVVNGITSDFDVQLLEGILCNLKGVRQSCFNRLSRELEVLFDPIILGSRLLVDEIQGRSSGKFNLQVRNPYTRMTSKDLEESSKMFRLFTTSLILSIPVIFMRFVCPSIPILYALLLRQCGPFQMGDWLKWALVSVVQFVVGKRFYVAAWRALRNGSTNMDVLVALGTSASYFYSVYALLYGAVTGFWSPTYFETSAMLITFVLLGKYLETLAKGKTSDAIKKLVELTPATALLLLKDKGGKVVGEREIDSLLIQPGDMLKVLPGTKVPVDGMVVWGSSYVNESMVTGESEPVSKAANAAVIGGTINLNGSLHIQATKIGSDTVLSQIISLVETAQMSKAPIQKFADYVASIFVPTVVAFSLLTLIGWYIGGVFGFYPVEWLPENSNNFVFALMFAISVVVIACPCALGLATPTAVMVATGVGANHGVLIKGGDALERAQMVNYVVFDKTGTLTQGKATVTTAKVYTEMDRGEFLTLVASAEASSEHPLAKAIVEYAHHFHFFEDPSATTDIQYLEKEHKYSGWLLEAFDFTALPGRGVQCLINGKQILVGNRSLLTENGVAIPTDTENFMVELEESAKTGILVAYNGVLIGILGVADPLKREAAVVVEGLMKMGVKPVMVTGDNWRTAHAVAKELGIHDVRAEVMPAGKADVIRSFQKDGSTVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFSRIRLNYVFAMAYNVIAIPIAAGVFFPLVKLKLPPWAAGTCMALSSVSVVCSSLLLRRYKRPRLTTILEITIE >KZN08733 pep chromosome:ASM162521v1:1:14638957:14640413:1 gene:DCAR_001389 transcript:KZN08733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLSSLMRAVLGLSGYVLNNIAYVFAAVYFHRLSVIVLKYKRTALRASILFCFNPASIFFSSIYSESLYALLSIGGICHLMSGAKNKSTLWFALSVLARSNGVLNAGYIGF >KZN09549 pep chromosome:ASM162521v1:1:26988222:26989217:-1 gene:DCAR_002205 transcript:KZN09549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQITSSNLDSPASASLSGRRNGGFSSSPEFEFWVTRNPVTQPDIVPADELFVDGVLQPLDLMKPDPVKPTSDPDVQPGFGHGLAESDPKTVSKRWKDIFKKSGDRKIKNDEKEKKREKKGNGANGLSTAELNINIWPFSRSRSAGNGGNRPAPVSRKISSAPCSRSNSAGESKSRKWPSSPSRGGVHLGRNSPVWQVRRVPGASVGVGRGGADVFTRNSEKGIKNSEKGVQKDVNHPRRKKSVGGDVAGTGDGVVKAKVLNVNVPACIGYKSHLGCRSDEIERNAVVGGGGFLTGSKSGSAVHGGGNITGEVARGNSNLFNLRGLFTKKVY >KZN10200 pep chromosome:ASM162521v1:1:33480847:33486390:-1 gene:DCAR_002856 transcript:KZN10200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRELKKLPSIRDRVEDTLSAHRNELVSLLSRYVAQGKGILQPHHLIDELESSIGDEDSGKHHLRDGLFGQILQSTQEAIILPPFVAIAVRPRPGVWEYVRLNVYELSVEQLDVSQYLHFKEELVNGQIDDKFMLELDFEPFNATVPRPTRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLNFLQAHNYKGHVMMINDRIRSISRLESALTKAEDYLSKQLPDAPFSQFEYDLQGMGFEKGWGDTAERVLEMMHLLSDILQAPDPASLETFLGRIPMVFNVVILSVHGFFGQANVLGLPDTGGQIVYILDQVRALENEMLLKLKQQGLNITPRILIVTRLIPDAKGTTCNQRLERVSGTDHTHILRVPFRTEHGVLRKWISRFDDAASEICAELQGNPDLIIGNYSDGNLVASLLSYKMGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKDTVGQYESHSSFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSEKEKRLTSLHGSIEKLLYDPEQNEEHVGSLSDHSKPMIFSMARLDRVKNITGLVECYAKNTKLRELANLVIVAGYNDVKKSNDREEVVEIQKMHDLIKQYSLDGQLRWISSQTNRARNGELYRYIADKRGIFVQPAFYEAFGLTVVEAMTCGLPTFATRHGGPREIIEDGVSGFHIDPYHPDKAADLMAEFFQKCTKDPAYWEKISEGGLQRIYERYTWKIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRELVKSVPLAIDEEATDESGDTLNAEYDLYSVY >KZN09737 pep chromosome:ASM162521v1:1:28889313:28896352:-1 gene:DCAR_002393 transcript:KZN09737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLVFSQGQSNLQPFRPPKPADIATICYTSGTTGNPKGAVLSHGGLIANVAGATYKIIWNTSDVYISYLPLAHIYERYHQIMVPYYGTAVGFYQGDNTKLLDDMVQLRPTIFCSVPRLFNKIYASILNSIKASGREKLFTASFNAKKQSIMTGEDNTKLLDDMVQLRPTIFCSVPRLFNKIYASILNSIKASGREKLFTASFNAKKQSIMTGKNPSPTWDDVIFNNIKEKLGGRVRFMFSAAAPLSPDVMDFLRVCFDGHVIEAYGMTENSCMISCMDANDYLNGHVGSPNPACEVKLVDVPEMEYTSKDRPYPRGEICVRGPTVFSGYFKDEVQTKEAMDEDKWLHTGDIGMWLPEGRLKIIDRYDTYTIPGIDLTGFSDCLVTVSARKKNIFKMAQGEYVAPEKLENVYAKCKFVAQCFIHGDSTKSALVAVISLDQDVLKPWAFAEGIMYENLHQLCNDPRTKAAVLADMDVVGRQSQIKRAAAKAYFAKEISMMYAELSASETSEKKLL >KZN08073 pep chromosome:ASM162521v1:1:2038196:2041473:1 gene:DCAR_000742 transcript:KZN08073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMSVPHDPEKVEEEFVLLDLNAVSEQVEIQPNAPYVLSGLDTLNPTLIINNKLKLVGEYVETIGTCLVFSESDATPVVHVETGPSEANLFSGACIIDPNQASSKQVKPVAQLQKILKFRLAEEVDCRYLTADRNAIAREMNDRSTRTKIQYDHMQGTS >KZN09490 pep chromosome:ASM162521v1:1:25881000:25884697:1 gene:DCAR_002146 transcript:KZN09490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLSGDATLKRIVTQGEQVSLGVRSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAIQVRAENSRGLWQPFTALLGDTPSVDVKKNVIVTVSADKGLCGGINSTSVKISRALFKLNSGAEKESKYVVLGEKAKAQLIRDSKQHIDLTMTELQKNFLNYTQVSALADEILKNVEYDALRIVFNKFQSVVSFLPTTATIFSPEIVERESETGKLGDLDLYEVEGGETKSEILQNLTEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELTEIISGASALEG >KZN11877 pep chromosome:ASM162521v1:1:48985850:48989161:1 gene:DCAR_004533 transcript:KZN11877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWEDDDEDESLARFLESELSDQEPEQTQEDEEKEGPAQKRPRMEVEADVTEERKLTSSPSPSQIRSPSSSRIVKCNSSGHRVEGGIDRVKSSRIDTGSFSKVPPELFHHILKFLSSEDLVSCSMVCRFLSFVASDESLWRHLYCMRWGLLRPKSNYRDCAWKKLYIQRDEEDMVEFVRNCPSEFKEYYIQMQTAKRSQAPIPSQDDRMIVDKTVADQVYTWKSSKGLIGQVATDHACSGKSCSYYQIGDVFVCEKTGNIHVCDDNCKDASVDPANGLLVCTISGHCFDRLMSPSDEMDQDADQQQDGITDEAEPFMGSGRFARAYLLGYNCADEKELEACLKFC >KZN11154 pep chromosome:ASM162521v1:1:42170732:42172076:-1 gene:DCAR_003810 transcript:KZN11154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSNSTHKYNHDSRTNAIGELNPTSMLVCMFKSHLQTLIIKHQTLPNLNHCLIRPIFRSYSPKRTIDSSEFKISFLKNKCGLSGKHLIRASKCVHFDSSDHRPDSVLELFRNFGFPQPNITRIVSVVPCILQSLKPEKLLKPKLDFLLSMDMSHAMVVRTVTRDPRILARSLNKHLIPTFDQLKDIIGCGPNAVALLKCGPFIFTNTAAMLPNIRFLLRQGIPISQIFKFVSHYSRILCYPHDRFCQVFLMVEGMNFDYSSSHFIHALHALSFHNDSTWESRCLLLRSFGFSNDEVLEVFKKAPLIMCYKENHINKKVEFFLKKLQWTPSRLSCNPKVLCYSLEKRTIPRCSVLQVLVSISSTSKSYNLSTILSIDERKFVRDFVSVYKDELPEVMEAYQGKLKFDEYTFKQKGAVKFLAINY >KZN09022 pep chromosome:ASM162521v1:1:19121991:19122179:1 gene:DCAR_001678 transcript:KZN09022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSRLITSVAGKVLFLRRVPPPAPISSVAGIPIRLDELGEIVISYLVASNHFNHFNLDLPR >KZN09656 pep chromosome:ASM162521v1:1:28171970:28172910:-1 gene:DCAR_002312 transcript:KZN09656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVKGILKGLRYISQIFEKEEEEEEEEIQIGAPTDVKHVAHIGNDGPSTNKPSWMKEASSEAKSNGNKTNKSSNKDGQNSSDASSDKKKQSKRNAAKSYIKDIGSSARDATSNVKPKRGKNPTGESQQSSIAMESPSREPGSARASRARKNKTGAGKSKGPNASSDNFASSPGPSYERDNGKISQRQAS >KZN10180 pep chromosome:ASM162521v1:1:33364369:33365441:1 gene:DCAR_002836 transcript:KZN10180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVTGGAGKGGKKKGATFVIDCGKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVVREKSKITVTSDSTFSKRYLKYLTKKYLKKNNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >KZN11349 pep chromosome:ASM162521v1:1:43869157:43870167:1 gene:DCAR_004005 transcript:KZN11349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFSAPMKSSPAFNAAPPRATAFREDCWTEEATATLVNVWGRRYLETNRGSLRCEDWNQVALAVNERHGATQKQVRTDVQCKNRIDTIKKRFKAEKARVCASGGAYVSTWRFYDQLDMLIGQDKVEARKTPPAGSSWRGEAFPRGTRSGIGGGFGTGTRSRTPPMAIALRREAKQLPEAVAVTPLKRSGGMDDSFFRRNYSAMAAAAAKEEAYDEDDESEDEEQSEEERRYAKRRGKEVAVDVEERGGVELQMKEGTKMIAMAIHHFAETYEKVEMEKLRYVKEVEVKRIDAGKEVELKRMELMMSTQVQFEKMKRKEVKKKSSGLDGEHSFLLF >KZN11681 pep chromosome:ASM162521v1:1:46959934:46961760:1 gene:DCAR_004337 transcript:KZN11681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWVGESDVVGKSGRKGKRSQKIEKGGEEEVRDRPDVEEGGIVKSGKKGKRSKKKEMDGVEEEPDCMDVEEDGIENSRKKGKKSKNKKMDGVGEVTDCMDVEEDGIGKSRKKGKKSKEKDGAEEMNDQTNVENDRNLESTVENKKKKNKGNDMVAGGESMTDPQDKEYKVEKRKKKKKTKTFENQDALNKKTETEAKERNNIAGNVEKKKKKRKRTVEEEDDNDKGQTNGCYGEDHVNSLPDDNGMVTIGKVKMMEKKKKRQRKNEEITGKDGLLSKAKDNETTNVSGKDKTESENKDPENPKSKVKKKVRFSNDLEVFPSNSLVQGKRFTPEEDEKLRAAVKEYIQSHCLGEKGVEMVMNCISHRQVRNCWNEINKALPYRPKSAIYCRAHTLFERGETHEWTEEEKEMLLEQYKKHGNNWKLMAKEFKRHRLQVKDTYRRIKRERNRGHWSQEEYQTLFDNVNIDLRAKLDEEKKSKHGMLRDNICWTAISDKLSTRADSLCCMKWYNQLTSPMVAQGIWADSDDYRLLDALFNLDACCIEDVDWDDLMDHRSGDVCRKRWDQMVLHIGLHGVKSFSEQVEVLAKRYRPELTEAREAWDSKPLVP >KZN10296 pep chromosome:ASM162521v1:1:34307066:34310642:-1 gene:DCAR_002952 transcript:KZN10296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRRSISRAISSSRQFSLLFRTYDDDSDDEDGDAFGAVDIGASVKPAALSQMDAALDALSRELTKLRTGRASVGMLDHIIVLANGMKIPLNGMAAVSVLDSKTLSITPYDPATIKELEKAIVTSPLGLNPKAVCKLVTKSSEDAKQSIRRARQKALDTIKKSAPKKKGKEKTGSSISADDAKKLEKEVEEMTKKYIKSADDMSKAKEKEITES >KZN08169 pep chromosome:ASM162521v1:1:3438906:3439737:1 gene:DCAR_001234 transcript:KZN08169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDALDLAQATMTKVHQNLSWAVAYNVVAVPIAAGVLLPHFDFAMTPSLSGGLMALSSIFVVTNSLLLQFHRPEKKVKVQNSASSR >KZN07892 pep chromosome:ASM162521v1:1:498012:499425:1 gene:DCAR_000561 transcript:KZN07892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPEQKGMFSRRCVWVNGPLIIGAGPSGLAVGACLKEQCVPFVVVERADCLASLWQNRTYDRLKLHLPKQFCQLPKLPFPQDYPEYPTRKQFIQYIESYADHFGIKPQFNESVESAKYDEAARAWRVTTVSTKGSVRSEVDYICQWIVVATGENAEPVIPEIAGLGDFGGEVIHGREYKSGKDYSGKKVLVVGCGNTGMEVSLDLCNHNAKPSMVSRSPVHVLPREIFGKSTFDWAMMMMKWLPIWLVDKILLTLTWFILGDLEKYGIKKPSIGPMELKIKDDGKTPVLDIGALEKIRSGGIKVVPGIKKFSKTMVELVNGQELEIDSVILATGYRSNVLSWLQETEFFSKSGYPKTPFPNGWKGKKGLYAVGFTQRGLLGASADAMKVAQDIAELWKEDWNKELKQKKPNV >KZN12075 pep chromosome:ASM162521v1:1:50804377:50804916:-1 gene:DCAR_004731 transcript:KZN12075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSDSLSSSSLKLRRPSTRVPASDPDLDSPSGRSRSGRFQKSISGLSDSSDYMEESGLTPREGEEDEVGEKEGEDGDKDEGTAKMSLMALLAETDREMGVESAYVMEDDEEEEAEEEHDAHEESGEYSQCCVCMVRHKGAAFIPCGHTFCKLCSRELFVQRGNCPLCNGFILEILDIF >KZN09919 pep chromosome:ASM162521v1:1:30765248:30765836:-1 gene:DCAR_002575 transcript:KZN09919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSSSCIVLLLVLQASCIAWGSEYNYVDALDKSLMFFEAQRSGKLPQNQRVKWRGDSGLSDGFKQGVDLVGGYYDAGDHVKFGLPMAYSVTMLAWGVIEFRKEIMELDQMGNALAAIKWGADYFIKAHPQPNVLWAQAST >KZN10767 pep chromosome:ASM162521v1:1:38747750:38748823:1 gene:DCAR_003423 transcript:KZN10767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVSLPIGTPPQTQNMVLDTGSQLSWIQCNKKPDPTSFDPALSSTFSILPCNHPICKPAIPDYTLPTSCDQNRHCHYSYFYADGTYAEGNLVKEKLTFSPSQSTPPLILGCAAKSAEAEGILGMNLGRFSFPSQAKLNKFSYCVPTRQRLAKTSPTGSFYLGQNPNSNTFAYVNILTFPKSQRMPNLDPSAYTLPMVGIRMGGRKLNISAAVFRPNLGGSGQTMIDSGTEYTYLVDEAYTKIREEVLRLVGPKMRKNYVYENTLEMCFNGGDSIMIGRLLGDMVFEFEKGAEVLIEKSRILDDVGSGVHCLGIGRSETLGIPSNIIGNFHQQNLWVEFDVSNRRVGFGRADCSRS >KZN09050 pep chromosome:ASM162521v1:1:19516446:19517321:-1 gene:DCAR_001706 transcript:KZN09050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKTKYPVNNKFLLVIGVFFAFFLLFVLRSSFSSSKNISSPVTQALPKVLATISDADPTSCSPTCSKIPPSVAKALVHYTTSTITPQQTLKEISVTSRILEKKSPCNFLVFGLGHDSLMWSTLNFGGRTIFLEEDEAWIAQIKQRFPTLESYHVTYDSKVHQADGLMEVGKGNECTAIGETRYSMCQLALKGLPNEVYDTKWDLIMVDAPTGYYDEAPGRMSAIYTAGMIARNREEGAETDVFVHDVNRVVEDKFSMAFLCEGYMKKQEGRLRHFRIPSHKNDRDRPFCP >KZN08279 pep chromosome:ASM162521v1:1:4924371:4924793:1 gene:DCAR_000825 transcript:KZN08279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPLHFLILTLFLFSTTIYFPLQAASRGIPDLKTEPEQQPLQDTSTKLDEQMLLVAPLAGNKPMPAESLLPSFPTFLRPILFPSPFGRFRPVFPFRTIPRLPPTHDDTNLPSKPSVPSSDHRPRPSAAFLGSPPVMELP >KZN10579 pep chromosome:ASM162521v1:1:37184261:37193168:1 gene:DCAR_003235 transcript:KZN10579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRVSFKLFIIFLSNIFVLLCLFSTSSSQSPPRVDNLTKSEFANHTAISDFRTINRRSLEECPDPNPYLQIAVSSRNSKLADDEFVTVTITGVLLPSESDWVAMVSPAHSNVTSCPSNAIEYLQTGDYSTLPLLCHYPVKAQYVSSDPGYLGCKKKECKKRDKGGACVVTTCSASLKFHVINIRTDIEFVFFSGGFLTPCILKRSTPRPFANPKIPLYGHLSSIDSTGNSMRVTWVSGDNSPQQVQYGNGKSQISTVTTFSQDDMCKSPAKDFGWHDPGYIHSAVMTGLEPSTTLSYRYGSNSAGWSNYTKFKTPPAGGSDEVRFLAFGDMGKAPRDPSLEHYIQYTWMKKDMASVNRTRTPWLIFMGHRPMYSTPGDGLILIPSVDADFVQAVEPLLLSNKVDLALFGHVHNYERTCAVYQGECKAMPKKDGNGVDTYDNSNYKAPVHAIIGMAGFKLDSFSSTFMAGMVLEDGSPSVSSPLQFFSLMSLSPGIGSPYPWLREMKSEERGLYLIHLLVTTANHVAAGSIENANMGLEQISHLSSPDGDTMQRIAAHFTEALADRMLKRWPGLHKALNSTKITSVSEERLVQKLFFELCPFLKLSYVITNQAIIEAMEGEKVVHIIDLNSVEPAQWINLLQLFSARPEGPPHLRITGIHEQKEVLDEMAHRLNEEAGNLDIPFQFNSIVTKLENLDIESLRVKTGEAVAVSSVLQLHHLLAFDDEMLRRNSPLVNKGFSSIHMQAALQNNTHTLGDFLEKDMMSGYTPSPDSTSSSPLSLASAPKMVMFLNALWSLSPKLMVVTEQESNHNGCSLMDRIYEALNFYASLFDCLPSTASRVPVDRQKLEKMLFGEEIKNIISCEGLERKERHEKLEKWIPRLEMAGFGRVGLSYHTMMQARTLLQSYGYEGFKIKEEHGCLVMCWQNQPLYSVSAWRFRRYS >KZN10710 pep chromosome:ASM162521v1:1:38348336:38351747:1 gene:DCAR_003366 transcript:KZN10710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSINTILWLLLLASFDLLLSYASDSPNGVCSSMGGRFPPYTIKGKPPRRVNKGPKDLTLCRMFRKRTCCDVTQTHPAFLTIRKLASTGEASEDCLQLWELLECSVCDPEVGVRSGPPLVCSTFCDRVYEACSNAYFSMDVSTQVLAPCGVNDFVCGRASEWISNGSELCRASGFSVKPLYDKQERSCYGGKSSLDAIADAWKPSRYKDVHQTKNSGVAKGLQQWVREVLSNEKVSWAVAGMVLTAGVLFKSRRKRRRQHQIQAAIQRNARKIDRNLKPKSPDGQTNRIGSRR >KZN11404 pep chromosome:ASM162521v1:1:44373606:44374316:-1 gene:DCAR_004060 transcript:KZN11404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVASSIVKMEFLNLSKAYPHLTFQPKVSSVSFPSVSKYPKGRIGAGAGDVGQQFDELAQKTGENIKIGVEEAQNKVKNMNEKIEAEADGMVKAAKENASNIADKAKEGADQVGSSAQSSQEKAGEQASSLKDKTVDAAEKAVEAAKDNTEKIKEAVIEKLES >KZN12050 pep chromosome:ASM162521v1:1:50609480:50611977:1 gene:DCAR_004706 transcript:KZN12050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEHGDVDEVMEAFGEEIWRGSEESSIFCDVFPALPDFPCMSSTSSSSSNPALNRQFVSSSASSGCSASSSAVVKSDGNLRSVKYEVDVSPSALSSTDCMGVMEEFGYMDLIEDGNEGWDPSSIFRNDDETNVGEELIDQGGFKEEERVEEEMGLDELGVMFFEWLKTNKEHISAEDMKNIRFKKSTIECAYKRMGSSKEGKKQLLKLILEWVEQYQLQKKRSREAAEAAAEAASSHQVPCLYQEPNPNPNPNSNFVNFMPTPGANACMWIPIPQSSSIDPPAVVPSGPAPPAVAYYQPYPFVGGANVGPVNCQPYPPQMPQPEYQMLESPQLWPRSQFVLAPQYNSFPDQNGNFVPIAPHPVAPVYGEQYPSQVYNGSNSDRVVRLAPSATKEARQKRMARKRWGSFHRNQPHQNQIQKTDSPEQISEKKLGAENFTNSINGQSSPVNWLYWPAVGPPKLAQPPMAAQSQQPDQYQQGYPTFLPVKAQSNRRPAQQQQKKQGFKGEKNLKFLLQKVLKQSDVGCLGRIVLPKREAETQLPQLEDRDGIQIVMEDIGTSKVWNLRYRYWPNNKSRMYVLENTGEFVKENGLQEGDFIVIYSDIKCGKYLIRGVKVRQPVKGKLEAKVTRKHHSNSGAGTDIPQNHSNSGAGTDIPPYTPSN >KZN09205 pep chromosome:ASM162521v1:1:21648546:21650573:-1 gene:DCAR_001861 transcript:KZN09205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLYLILILTLPESLCALSTLEVYSGYGAEQGEKPLRAAICSAYYATLGIEQDDVFASDGAKRDISHLQAYVDSSVIMGQTSRYQKDVEKCSNIEYMRCTPENGFFPDLSTVSRTDIISFCSPNNPTGAAASREQLTQLVQFSKKNGSVIVYDSAYAMYMSDH >KZN08212 pep chromosome:ASM162521v1:1:3958688:3964094:-1 gene:DCAR_001277 transcript:KZN08212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSDIPCVGKLVDRISDAAVDAFFRGFRYMFCYKDLVKTLDSQVEKAYTEEERVSTKVAAERANGKLIKPHVDKWQKEAEEIMESAEKFAEIHKTRHSWRCIQCLPIPNPVSLFRLGRAVVQKTERLTELINSGKELLDNEIAHLAPAENLPKSNTEYQEFQSRKDVYAELWRTLITDSSPILGICGMPGVGKTRMMEQLWKEAQEKKIFNKVTRGNVGNENLDVIHLQKQIAEHLDCKFESEDNAESRASQLKQSLLNAGKTLVILDDVWREIPLDVIGIPSGDGNSPVVSKILMTSREENVCLRNNCNTLVKITPLRNDEAWEQFKNFVGTAQIDYMQDESLAKKVCDKCGGLPLLIHAISKALQFETHNSWVDALEQLQKGEFVNIPGVEPQVYACVELGISKLHGDAKSCLFLCCLFPEDADIPIRELIQLATGSQLVCGNSRVLSMIDTLRLSSLLLDCEKDDRIKLHDLIRDVGRSIAFRDSKFAFSQVTCDVRLLDDADFVTTKFLRLDLDGDNIHIPDDLVCPNLQSLWIQFNNDIQQFSSGFFGMSANLRFLYLVGTYSPSKLQFSLRPLGKLRTLILKGCNLSHINNTCVGFFPENLETLCIWKGDFPEPLDLSNLKYLRKLEIKGSKVKMKPNTISSLSRLEELHIPDGLEIWCSESSDVQKPILVEINQLTRLKNLSYKTSIVLQGCHEESLKSLIDKAEYVNLQCSNITVSSIFESNREAFTELRNLQIEECNKMDHLARMPHNDIQHSQQTSFSKLTYLEIIECSGLRYLFCNSVAKRLTQLQKLIIRDCPVMEAIVIHDGSSNGDIIHFSNLEELELSNVPRLTGFCRENKDAMMQPSVQFQPLFHRMVEFPNLVELVISHCEEINLESIEFSSQLKRLRISCDEEIQLPSTWQPRLHNLETLFLSRCWSHELISSRFHRLKMLKVFGYSGGSALFTFSGFRSLQQLQELVISDCAFLQEIVEDFEMSGMNSKIITLSHLEIVVLKDLPKLKSIIHGANYGCRVPSLTYVEVEDCGLSNLFSFSELTSLETLKISRCAHLEEIVEDIRIDEVSGMNKKTITLSQLKQVILEDLPKLKSFIYSANHECLLLPNLSMVTVSDCGLSSLFTCSTTFGSLQRLENLLVRDCRMLEGIFEYARGDETSGTSEEIIISLPKLWLLELRNLPDLKSFIHGANYDFYMPALWEIKVDNCGFSTLFTCSVFRDLQNLFRLEVSNCKLLEVVVEDARGDETSGTNDKTITIPQLENIELEHLPNLKSFSGDESMAFNMLQLKNFSLVGCPGVENFTCFNTHTGNGYVRIDGDEWIEVPDLNDYIKSLFKNEDVAVPENQRDSKMKSLGEP >KZN11684 pep chromosome:ASM162521v1:1:46976129:46978683:-1 gene:DCAR_004340 transcript:KZN11684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPTDMLDEILYRLPVNTPFVKKHLNYALECNAAGLIINEGGKFYLAEDFRSDLDGSDDNFDVVAAEITPPLKTRVSGADFVGSANGLVCVSKNVMNEFFIFNPATRKSRKIPSAPREFPLSFHMTETSLCGFGYDQVNDDYKVVKIAECYLQFRGIMAFIYSLKTDSWKRIQNVPSNTRFTGNWGMFGNGALHWLAIKNPANCIEIVVGFDLGLEQFREIPSPVIEGPSVSFITRSLVPDGSSLWMLDEYPDSHRDMWVMLNSSAGEIAWSKVLTKRSALAYLRSVRPVYVSMSDPGILFEVDSSGLVWYDLERKVLKNVRIRGPTDKFDSHAYTQSLIQLNKDSPPLKPSQAKPHKELQKRRRSCSKFESSVPLWQPFSVGVFTSVGCEPEQDGIEVDFWIMFGVKGISP >KZN09719 pep chromosome:ASM162521v1:1:28758886:28763534:1 gene:DCAR_002375 transcript:KZN09719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIYGGDEERSVISDKGDIGFIDFDNDMSQCCYDCSTEGVTKISIPFPLVNNKPQFGYVGDTIVDKITVLNTSNDSIELHKAEIYDSKPEKSFTLSLMEPPSANSDVEYIQQYLETFSLEDRVLQPGKPLIIWLSCKPKELGLHTAAVHITTEEDTIERLVFVLAVDKVAESLAGNKKFRREIKKKQWPNLITNDVIPGSRPPKASIQYFKNKLPLYPIPDDVRELLKQRQIPELLEQGLTKQNYTSYFKHLVIMEEIKMEDDMRGYDMDHVKMKSKGSRFLTLEVPGLAEKRPSLVYGDSIFARLATVNEYDPSPTYQGFIHRVEAEVVFLNFEQGFHSRFRASNLYNVQFTYNRLNMRRLYQAIEASQRLAAEFLFPDDSLRSRVIHTNPLVPISCLLNEEQKNAVEMILGCKGGAPYVIYGPPGTGKTMTVIEAILQLYQTQKSARILVCAPSNSAADHILEKLLVQDADPYWNKLLWHCVDNGSYKGCFLPAREDLLDKLTAEGNLDNDPWQGDCCDLGKQDGSGELSQENEWGESSQGGGWDQVNCDPPWEGGYCETQDETSQGGGWNQVNCDPPWEGEYCEAQDKTYQGGELNQVNSDPSWEGENCGARDENFLEREWVAPSQGEGWDQSTQVICDPPWNGDCEPGDKPPQEQGLGKPPQEEAGQSSQIECKEVCKDVEAGEATAEPAVTSEWSDGWSMP >KZN10005 pep chromosome:ASM162521v1:1:31627767:31630484:1 gene:DCAR_002661 transcript:KZN10005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKLGEVMWPRLIASKILRKTLGSNNFVADFPSGLEDYSVELPSLDLESANNSNSIFTDYNKETNNYKVFTSTWNVGGVSPTDDLNMEDLLHTPNTTPCDIYVFGFQEVVPLRAANILGSEKSKICMKWNSLIRKSLNKSTRTSNGNNSIQSSGRQDFRCLISKQMVGILISVWVRADLHSFVRNPNVSCVGCGIMGCLGNKGAISVRFRLHETSFCFVCSHLASGGRQGDEKIRNSNVAEIFSRTSFPKGTALDLPRKITDHDRVILLGDLNYRISLPEHKIRALVDKEEWNMLLENDQLKQEIREGQAFEGWHEGTINFAPTYKYNPNSDTYYGSDHGKKGEKKRAPAWCDRIIWFGEGLKQHSYSRTESKLSDHRPVKAVFTSRVKVLQSVKGFRSFFLSERFDMIPNRLRMPLNHEF >KZN07948 pep chromosome:ASM162521v1:1:921451:924625:1 gene:DCAR_000617 transcript:KZN07948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHTIFTLSPPLPISLKSSFPPKFLKTHCPNFPIFRIKSPLSLTPFAIGPDGKFYPTPSDNDPPEAAEDATHGVNKYTQISRQASRARKIADEEYKKDQSIFLNAIADVEDAPDNPNLAEENDSGDDLFGEIDKAIALKRKEFVKQGLLKPNPKKEKGKAGEVVDELEPEEADDLEEIDELQGLAGNVEDSELESSDLEVSDDDLRKNDLSDNESFDVDFNSFGSGKARIVDPKFRMTLAELLDESKVVPVSVYGNLEIEITGIQHDSRMVESGDLFVCCVGKKNDGHLFLSEADKRGAVAVVASKEIDIEDTLGCKALVLVEDTSAVLAALSASFFRYPSKKMSVIGITGTNGKTTTSYLIKGMYEAMGVRTGMLSSVEYYIHGDNKLESPHTAPDTVLVQKLMAKMEHNGTEALVMEASSHGLASGRCDEIDFDIAVFTNLTKGHSDFDGSEEEYRDAKAKLFSRMVDPERHRKIVNIDDPHAAFFISQGNPEVPVVTFAMENKNADIHPLKYELSLFETQVLVNTPQGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYANTPDGLSRLLDLVRELGPRRVITVIGCCGESERGKRPLMTKISTEKSDVTLLTSDNPRNEDPLDILDDMLSGVGMTMQDYLKHGENDYYPPLPNGNRLFLHDIRRVAVRAAVAMGEEGDVVVVAGKGHETYDIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >KZN08446 pep chromosome:ASM162521v1:1:6938969:6940715:1 gene:DCAR_000992 transcript:KZN08446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMAWTWITLVVVVVVFTCLQWLWLKKRTQRNLPPGPKGLPIIGHLHLLGKNPHQDLQKLSEKHGPIMSMRLGFVPNIIVSSPDAAKLFLKTHDLNFASRPPLEAAKHISYENRNLSFSTYGPYWRNMRKLCTLELLSNLKINSFRALREKELKELVHILEHAAEEHIAVDISTRITSMNKDISCQMVFGKKFEDKELDERGFKEVLHEGMQMAVAFNLGDYYPYIGALDLQGLTRKMKAIAKVWDQFLEKIVDEHDQPKEYSQTKDFVDTMLGIMASGDSDFEFDRGHVKAILMDMFAASADTPSTTIEWTLSEILRHPRVMNKVQKELEKVVGLDKMVEESDLESLEYLNMVVKEAMRLHPVAPLLLPHMCVEDCTVDGFFIPKNSRVLINVWAIGRDPKAWIDAENFLPERFISSNIDLRGRDFELLPFGSGRRGCPGMQLGLTMVRLVVAQLLHCFNWDLPNGIQLSELDMTEEFGILVGRAKPLIAIPTCRLKK >KZN08686 pep chromosome:ASM162521v1:1:13474753:13477977:-1 gene:DCAR_001342 transcript:KZN08686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLGHGPSNSERVSSLPVLSSNSVVASHGPLLAQQESDKEIYGQQQMWHNQQNGQISMTVSEPATMQLPKKSAMQPSLPNRPLYVPGNCARRFIQYMHQLHRNANASIEFWRKVVAEFFAPTAKKRWCFSLCKNRPKQGATFAQREWHCQVCEQKPGCGYEVTAEMLPTLARVGHETGILQELLYVDMPSEHPRLSGEIAVHYNKATVELVYENLRIAHDGHLCVVFTPDQKITSWDFCIRNVEQYISGRSLLPQLHKLEFLSWNYNRAVRSTSSILQSSELKRHSEKPLPSSKLKKTDQYLSKGDNIMMSRGIIWTAFMASP >KZN09457 pep chromosome:ASM162521v1:1:25414448:25416373:-1 gene:DCAR_002113 transcript:KZN09457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDPDFSGILEIYIHHARNIHNICIYDNQDVYAKFSLTYNPDEVLTTKIINGGGKNPEFNESLVMKVTQLDALLKCELWMFSRAKHYMEDQLLGFALVPVSLLVGKGKISKDFSISSTDLFHSPAGTVKLSLSLETNVSTHPTVKSFPDSAANSSITSEVLLLDRRISEVVLDPVEYSRIEFPDINVVRENQQMVTQYFSLEGHGSVSRHDLVNSGSFLRLGAPHQPVDDYEMTANSSDDNGYVSLSPNGSVQNSGFFSSTMTSLSDDRNSGDSMENKSHLSVGLLNSPNQSITKEGPRPAACPDTATSRKGREILDANIPSSKDNVQESSNTKFVTQALSAPLGSINLEAEQSAMQQQIVDMYMRSMQQFSESLEKMKLPMDLNKPEPDNHGNVIQNLNNSVEVEKKKDAARVASIVKETLSSDPQMGNRFILEPYPIVWYLQKAILRLLLIQVVPGVIRRAREELPRLPVSGKGEQLEPKMKASNSAESITKEKSSYQYQLCK >KZN09731 pep chromosome:ASM162521v1:1:28845013:28847273:1 gene:DCAR_002387 transcript:KZN09731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNDDGIDAPGLQALVRVLVSTNLYRILVCAPDSEQSAVSHCITWKHDLHVKEVAITGAKAFAVSGTPADCASLGVSKALFPVVPDLVISGINEGNNCGHRIWYSGTVAGARQAFLQGVPSVSISYHWVKGGSNLHDFTVAAEACLPILSAILVEIRNTNYLQKCFLNINLPADVTNHKGYKLARQCKSMVSMEWKQVTSNAEGGHMLPTMNMKTESIAAMDKNTATVSQEQLVFKRLIGERPQMGAQVKDKTSEDYDFLKKGYITITPLGALTNADSDCQSYFKEWLPVLDTTPQRCNADSTEVRILMMAVTILKA >KZN11952 pep chromosome:ASM162521v1:1:49629374:49630015:-1 gene:DCAR_004608 transcript:KZN11952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFEEKPLAPEAHRITMHHDDRKHYRSSCIKCCGCTMVIFGIVGITMLVLMLTVFKAKDPTMHLNYVTIKGLESANLFSLLPSTNLTVEADISIKNPNAAAFKFKNAVTGIYYENVLIAEAKTPKGTAKAYRTFRLTITVDVMLQTLLRVPRFLGDLTAGEMPMSTRSSIRGKVEIIKIIKKNVGVKMDCSLTVILASENYKDLNCKRSVSI >KZN08414 pep chromosome:ASM162521v1:1:6421065:6437323:-1 gene:DCAR_000960 transcript:KZN08414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVPAASAYYYSSSCYNPHPNHNGDSKSKLPGGLYKINVEASSASRRGKSRTQHHHQLNALVLGQAPASEQDDLCLPSHDFSSQALVPSFHKYMDMYKRSVEDPAGFWSDIAAQFYWKSRWDPAVCSGNLDVRKGNVNIKWFEGGITNICYNCLDKNIELGNGDKIAIYWEGNEPGADASLTYTQLLQRVCQLANYLKDIGVRKGDAVLIYLPMLAELPIAMLACARIGAVHSVVFAGFSAESVAQRINDCKPKVMITCNAVMRGSKLINLKQIVDAALAESSQHGFSLASYSVLEALNHNRMRKYKICFSDGVCLTYENKPAMKREATGWQVGRDVWWQDVVPKYPTMSEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPEDVYWCTADCGWITGHSYVTYGPMLNGATVVVFEGAPNYPDPGRSWAIVDKYKVTLLYTAPTLVRSLMREGNEHVTRYSRKSLRVLGSVGEPINPSAWRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGIQASVPDICALKVHGLGHSELFMVIMKDMKLRTSSPSLDIILAVMDAADKDGYYWLTGRVDDVINVSGHRIGTAEVESALLSHYQCTEAAVVGVEHEVKGQGIYAFVTLAEGVPYSEELRKSLILAVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQWDELGDTSTLAEPNVVDQLIALADH >KZN08094 pep chromosome:ASM162521v1:1:2586887:2592565:1 gene:DCAR_000763 transcript:KZN08094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTLKEMKNGDLEGENSGVAGGVEDMYGEDRATQDQPITPWAISVASGHTLLRDPRHNKGTAFTEKERDAHYLRGLLPPVVLSQELQEKKMIQNIRNYEVPLQKYMAMMDLQERNERLFYKLLIDNVDELLPIVYTPVVGEACQKYGSIFRRPQGLYISLKEKGRILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNQKLLDDEFYIGLKQKRATGQEYAELMEEFMSAVKQNYGEKILIQFEDFANHNAFELLSRYRTSHLVFNDDIQGTASVVLAGLIASLKLVGGTLSDHTFLFLGAGEAGTGIAELIALEISKKTHTSVEENRKKIWLVDSKVSFPFGQGLIVSSRRESLQHFKKPWAHEHEPVKSLLDAVKAIKPTVLIGTSGVGKTFTKDVVEAMASLNEKPLILALSNPTSQAECTAEEAYAWSEGRAIFASGSPFDPVDYNGKVYVPGQANNAYIFPGFGLGIIMSGTIRVHDDMLLAASEALAAQVTEENYEKGLIYPPFTSIRKISANIAAKVAAKSYELGLASRLPRPKDLVKYAESCMYSPNYRSYL >KZN10095 pep chromosome:ASM162521v1:1:32587893:32590393:-1 gene:DCAR_002751 transcript:KZN10095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSWANSNYSELTELYDRYKDTDFEILAFPCNQFLKQAPGTGEQLQNYACEKFQAEYPVFQKVSCTELKKLVTRVIKKLITNVVTEFSKHLRLKDYKENVTLEVFKVAAPNLYTPDWMVK >KZN11432 pep chromosome:ASM162521v1:1:44610322:44612491:1 gene:DCAR_004088 transcript:KZN11432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGCCGSEETDDINVAGNVKKFSYKQLSAATHNFHPSNKIGRGGFGIVYKGVLKNGTRVAVKTLSAESKQGLREFLTEIDIISNVRHANLVKLIGCCVQGTNRILVYEYLENNSLDTALLGYLAPEYMLGGKLTKKADVFSFGVLILEIVSGRSSGKPSWGGIQKLLLEWVRQLYEEGQLLEMVDPDLEGFPENEVIRCIKIALFCTQATASRRPTMSQVVDMLSRNTQLNEKELKVPG >KZN10892 pep chromosome:ASM162521v1:1:39995843:39996154:-1 gene:DCAR_003548 transcript:KZN10892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYANKVIGFVNKAASNNTVINSFLGFAFVALSVRSFNQQKTIETLETQKESLLKSNKAIKKTIWEWKQQLYAQPQGGFALPVDKIKPIFGDAPTPAAPPGII >KZN11900 pep chromosome:ASM162521v1:1:49253027:49253290:1 gene:DCAR_004556 transcript:KZN11900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTLVDHPRIISKSAARKALHDFNHLFLSDFRISLTQAHSYLPLHCSDHTSYVYFIVSHLCMILILFMFINSNLMYDNASFSIHDV >KZN08981 pep chromosome:ASM162521v1:1:18600053:18600205:1 gene:DCAR_001637 transcript:KZN08981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWQKEKQKYIPKHKGSLIVRADLEMKSRRGTKQIFGKHLYIFKFGGALL >KZN11571 pep chromosome:ASM162521v1:1:45822219:45828916:1 gene:DCAR_004227 transcript:KZN11571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVPNNQLLGIGASNATAATWIGRNVAAYYPQTLITAIAVGDEVLTTVPSSAPILIPAIESLYSALVASNLHTQIKISTPNAASIILDPFPPSQAYFNQTLTPIITQLLQFCSRTKSPLMMNLYPYYVFMQNKGVVPLENSLFKPLTPSKEMVDPNTLLHYTNVLDAMVDSVYSSISNLNFSDVVVLVTETGWPSKGDSKEPYATIDNANTYNSNLIKHVLDRSGTPLHPEITSSVYLYELFNEDLRSTPISEANWGLFYGNSTPVYLLHVSGSGTFLANDTTNQTYCIAMDTIDAKTLQTALDWACGPGRANCSEIQPGETCYQPNNVKNHASYAFDSYYQKEGRNAGSCDFKGVAMITTTDPSHGACVFPGSKKVANNTTSTTVNATQASGADQIRFISLHDTRISVAGGGLFVLFIVSYALMAADHTDSAKDVHVNINPANRNEQEKTVMKPLHAFHAGYFRICLSLGGQALLWKILSDKDVSDPLPHLSAELHSALYLFLWYIALCTLIVLSVLYTLRCIYYFHLVKAEFLHFVGVNYMFTPWTSWLLLLQSVPILQPKDSTYQGLFLFFVIPLLVLDVKIYGQWFTTEKRFLSVVANPTSQISVIGNLVAARTAAQMGWKESATCIFTLGMTHYLVVFITLYQRLSGGDHLPVSLRPVYFLFVAAPSMASLAWSSISGTFDTPCKMLFFLSLFLFTSLACRPALFKKAMKKFNVAWWAYSFPLTFLAMASSAYAQEVKGVMAADHTDSAKDVHVNINPANRNEQEKTVMKPLHAFHAGYFRICLSLGGQALLWKILSDKDVSDPLPHLSAELHSALYLFLWYIALCTLIVLSVLYTLRCIYYFHLVKAEFLHFVGVNYMFTPWTSWLLLLQSVPILQPKDSTYQGLFLFFVIPLLVLDVKIYGQWFTTEKRFLSVVANPTSQISVIGNLVAARTAAQMGWKESATCIFTLGMTHYLVVFITLYQRLSGGDHLPVSLRPVYFLFVAAPSMASLAWSSISGTFDTPCKMLFFLSLFLFTSLACRPALFKKAMKKFNVAWWAYSFPLTFLAMASSAYAQEVKGVVTSGLVFILTVLSVFIFICLLLSTALNIHILLRGSDPILKFDSKGK >KZN08883 pep chromosome:ASM162521v1:1:17196107:17198398:-1 gene:DCAR_001539 transcript:KZN08883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSETELMRNITATLTSKAISNPHESLSQFIPHLTPPIILSLLSSKTLSSRPNTLLSLYKFTLNNAPHLSPPTRTSFLLTLLPPLFSHNKFSDAKRLLVDYISQDTKHHLYAKLMHKDPALPRPTKALLDTAVGAYVQCGFPVLAHQVFDRMTRLKFRPNLLTCNTLLCCLVNKVDDGDSMDVCTGIFGDAIRLGVYLNTSTFNILINGFCLRNKFDEAMEVLKSMGEYNCLPDSVTYNTLLDALCKKNRLGEARDLLSDMKSKGLLPSRNTYNILVRGYCKMGWLSDAAKVIELMSLNGVPPDLWTYNTLIDGLCSEGRIDEGLRLRIEMGAFKLMPDVVTYNTLINGCLQWKHREEAMKLIDEMKEKGIKKNAVTHNIIIKWHCKEGMMDAVGDVIKEMEANGFGPDPFTYNTLINGYCKAGNLGEALKLMTEMREKGFKMDKFSINTVLHALCRDQKLSEAYELLTSATGQGYTVDEVSYGTLIVGYFRDEKFDIALKLWDEMKSKDIRPSLITYNSIIGGLCKSGKTEQAIIKLNELLEDGFFPNETTYNTIINGYCNEGNVEKAFRFHNRMVENCFKPDIYTSNILLQGLCREDMLEKALRLFNTWISKEKQLDAVTYNTLITALCKAGRTDDALGLVTEMCEKKLGPDKYTYNAIISALTDAGRMKEAEDLISKMIVIDESSDKSHPLDKEQDVTCETQNELDSSSVAYSEHINDLCSKGEYKDAFQSFGELSQKGITLDKSTYINLISGLLKG >KZN11262 pep chromosome:ASM162521v1:1:43190000:43192078:-1 gene:DCAR_003918 transcript:KZN11262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLRSVSHSTIHRHRPHPPQAPPKAPQQDPYTLLKEDPIQILSDLWVRSFSHHHTTQNPTPPKPFTNLTGFLSKLDLWVLAYQRTCAHVTGSFPPRNAIHFHVLSDLLSLRNAVIHSQFQWNKKIGEGVYICSPNEKPVLRNISRRKLEAILSDPTPPFQDRVVQEVVLMILEPIFEPRFSSKSHAFRPGRNAHSVIRTIRSNFSGYLWFMKGDLTQFFDDVDENIVMHFVGNVVKDKKVLRLIKSGLRVSNGIQCGDEGRGEFDKSKKDRKKGSTRKKILNENEPKPDPYWLRTFFDFAPEEAVKVPNYGGCGILSPLLANICLSELDHMMEDKLVEFFRPSRLDSIWKDSVNDGSHNPSWPEFVPASGKEKTRKMDYIRYGGHFLVGIRGPREDAVETRKEIIEFCESNFGIRLDNSKLEIEHVTRGVEFLDHIISRRVIYPTLRYTASGGNIVNQKGVGTLLSVTASLQKCIRQFRRLKFVKGDRDPEPLPCTPMLYSGQAHTNAQMNKFLETMADWFRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRNLSRPLVESTQNSAPEYSDLLRMGLVDAIEGVQFSHMSSIPSCDYTVFPRNWIPDHERVIHEYINLQNPKFFCELQRSIKKQGLTLPQEEISEIVWNYKTLGVRSNQSSSEKDTDNASKDMVLSSEV >KZN12127 pep chromosome:ASM162521v1:1:51238712:51241606:1 gene:DCAR_004783 transcript:KZN12127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENIDEMNCGYRSDSILMRLLSSAMDNAYEKVESPDGPIECLHQRSIFYQLSIIQIKACYDLIQQETDSCICRDNMLVDLIQLQDLLQARLEKMKTAIQEKDSELIERSKNEFKLRHALASKERQIRHLYASIEIERAKSKSFQDFILNSQANNRDEAKEREICQLRSSIDRQVMNIKQKLVDTDADILNEYENWYFSEDKEVYTRPEQNLVTEPMSSDIDILEEPLRIGFQRMQSAEVCPLEEQARWMIERETTSTVTKAFIRDLKKNVEVSSGLHMLELIQVMTRLRDELATLIIRNENIETRGQGHYNALLRTSGSDYMEELAAEDLTAETNNHVAKLIRNHEYFIWRQQRKLLGCNKGIGQRRDESRITERGIRNLVEKLDSIIKFEDKKWTKTPACLDHDKRKKGTSCSYKKLLIEERNRIKEEKCASELQQLVAEDTQKILFKDLLENFYLELCDSESESFIRDEIYFTFYRETIKELTTTYDAALEEHQHCCLQKKLQKDICTIFMREMVNEFKTSMGCNVTEISGNKKQRIVSEQVVKYIRNEAYFAICQHQNLLDPGQSSFKEDIDIVLLREIVDASKIKKEAYEFQNFNICWPNIVRSMKEILNWCHRSENMIYIKNTGNSYMKSNEQTLSFPKCPELNIYLMPQANAENLGPVELVQFGTANPSSGYVTAIRLVSKNLQSSSMHQLSTSKELLKDPQCSWGIEIDDLESLHEKGKSLAKLKTIQSILGSSSDIVFSPLIRFQKLLMDFKTMAEQKLQINDIRLEKLKQKVDLIFQASTVVRKNNLLYRDAFARRCYNLQLAENEVSGILKQIDEKVNRTTAHAANQ >KZN11249 pep chromosome:ASM162521v1:1:43009541:43011383:-1 gene:DCAR_003905 transcript:KZN11249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNCNLELRLETPSFDDHTIAEKTCPSQLMELTKEHRRLAEIIEMIHTASLIHDDVLDESDMRRGQETVHQLYGTRVAVLAGDFMFAQSSWKEEMRSPNSEEKQDNRKLTIFYNGRVSSCDVTDIQARSIILLATQEIGGEKLTTPASSGTSSESSSKPQQYYKQNKGLSMKKSLQQFLQKRRTRIQATSPYSTQ >KZN10160 pep chromosome:ASM162521v1:1:33126903:33129100:-1 gene:DCAR_002816 transcript:KZN10160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMATPLTSSLSLISNTSLFKSSKPTSLSYPISTQPKIGGLSIRCARVGGVEIPNAKRVQYSLQYIHGIGRTRALQILNDLKMENKITKDLSEEELITLRDEVSKYMIEGDLRRFNALNIRRLKEIQCYRGIRHIQGLPCRGQRTKNNCRTLKGKKIAIAGKKKVSK >KZN09099 pep chromosome:ASM162521v1:1:20250909:20255720:-1 gene:DCAR_001755 transcript:KZN09099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGNKKGRPKRPSVMVPSKETPQISNGSTEDNSGTDDFNKNDVDSGMEAGTASSTGTDQPGKPAIVKSGGGVEKTSGTLVYTRVKVIKKVMKMDAAEAFNAPVNPIALGIPASRGMNPLEDTESIYMKDMAASVHGKSSVKSGELKHKIPKRHKVKKHKDDCMCAICVMMRRRQEREANAQSADDHIESSGGLSVQQKARAEEASAVESPCGGDTSSSVENSQDQDGNGGMEVRGDDVKMENVEDVEELSSSSSGEEEKEDKDVTSQTKVSGNGLAEDNRQFQTQMEVSNDVQNDSRKEETLMHCDLENAAAGHDKPKEMLDEAQKARIYENLRRFENPMVLELCGTLFAEKRKSIWSGPHSLSGHQSSSRLGNSMHAAFASFMK >KZN10148 pep chromosome:ASM162521v1:1:33014248:33018107:1 gene:DCAR_002804 transcript:KZN10148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYANDSVQLLKAVQRTSRHPVLLIAAADSSEGLPPTIRRCFSHEVSMGPLTEEQRLEMISESLGSISELLPDGTLEDLVKDIAGQTSGFLPRDMRSLIADAGMNLLPRDHINFDKADPGISGENSSLESKPAQVPKILEKEDLVKALERSKKRNASALGTPKVPNVKWEDVGGLEEVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARLARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLSDSSQDLFIIGASNRPDLIDTALLRPGRFDKLLYVGVNTEASYRERVLKALTRKFKLHEDVSLLSIAKKCPQNFTGADMYALCADAWFNAAKRKALATQADPSVTDDQADSVVVEYDDFLKVVGELSPSLSIAELKKYEMLRDQFEGTSTR >KZN09291 pep chromosome:ASM162521v1:1:22628339:22630337:-1 gene:DCAR_001947 transcript:KZN09291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFCVESAGGANPKFDDDGRQKRSGTMVTAAAHIITSVIGSGVLSLAWAVAQLGWLAATVCLVIFSLVTLFTSHLLSDCYRNPVTGKRNYSYMDVVNSNLGGLQIQLSGIAQYGLLFGITIGYTIITATSIAAIKCGSKEVCHTSENWFMVIYGIIQIVLSQIPNFHNLTSLSLIAAAMSFAYSFIGIALSLTKINQGDGHIVRSLTGIPVGWRGLRSEDKVWRILSGMGDIAFAFAFSPLLTNIQDTLKSSPPENKVMKKATSLAIFVTTFFYMMCGLIGYAAFGNDAPGNLLTGFSSFKPFWIVDFANICIIVHLVGAYQVFSQPIYAFVESWSSRKWPKSDFITREYSIMNGKICFNLFRLIWRTSFVILTTVLAMIFPFFNDFVGLLGSIIFWPMTVYFPVEMYIAQKKIPRFSGAWNRLQMLSLFCLIVSLLAAAGSIHGLIISVKKFEPFHTTS >KZN08357 pep chromosome:ASM162521v1:1:5569869:5570411:-1 gene:DCAR_000903 transcript:KZN08357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKDFKGDSQVSGGPSQPAPRKDLHTKVEGRGSRIRMPTLCATRVFQLTKELGLATDGETIQWLLQQAEPAIIRATGTGTVPAIATVTADGTLRVPERKEGAAEDVSKTSGLAPVGPSPVSVPGYGMAAENGMRMGGPHGAEPNQNQTSEARVSSDAEEEYDEVVLMGKKIRFRKGGI >KZN08903 pep chromosome:ASM162521v1:1:17485233:17485961:-1 gene:DCAR_001559 transcript:KZN08903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKTNSRTSSVISSAATTKSPFSRIRIRPISRVVSPVVSGRHRNKGCGSRLKRSRELSAQDRMKRKCGNCNQLVRHNARTCPEAPKDPSIR >KZN08917 pep chromosome:ASM162521v1:1:17647856:17649277:-1 gene:DCAR_001573 transcript:KZN08917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVFAILRPVIRLLMKFYGLSPQIIETEPGTQIKIWAPSKSKSESKPNIVFLHGFGADGILNWLSQVMAISSNYAVYVPDLLFFGESITDKPGRSTAFQAEVIAAALKKIGVKKCTVVGLSYGASIGFKMAELCPELVEFVVASDTIIEFNESLSRAVVEKYGFPSLPEFLLPTTVEGLMSFLTICNHGPVSMPGFVAKDFLKEFYNNRKERAELAKAWVVPDDEVKPISLSQKVHLIWGDDDKIFTSLTAEDTKRYVSRV >KZN11874 pep chromosome:ASM162521v1:1:48948071:48949287:1 gene:DCAR_004530 transcript:KZN11874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTRTKNDGRGLYIRSDKASTRKRSSIIGPGAMRKARRVHFYLGLPTRKIYDALKNKKLRPIYMDEYVCLTELAIRLYNIKEHTQFSQVKLLKIVAAQGGYWVNLITFEALSPLGDATVFKTTITQFFRQYQKIEIKPVELKCSQSGHNGENSKQDPPLDIAQQPVIKTFPANTLEDMAPFLSQYALVMYDMDESLARTGNIKREAFHSISSLKVVKVIKLDAEEGTLYQVTFTASLRDESKNVETFETHICVPTLFPTMILEVRDFKMIKRD >KZN12096 pep chromosome:ASM162521v1:1:50960962:50963076:-1 gene:DCAR_004752 transcript:KZN12096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEYYFNAEISPQFNFQPFGNAFQANPGFEDPMEQCSQYETALSSMVSSPTASNSVTDHFAVRELFGKLGSINNAGDISPEFIQRSSYINSPNTSCYNTPIDSPPELQVPMMNQLIGNPMPMNSALPALPTDPGFAERAAKFSCFGSRSLNGRTSALGINNAEFQFRRSRHLMGSENLPRVSSSPSLNADGSPMVNKNYVQSQMNLRSDNGSNEESSVSEQIPAQDIELPAPVELNSRKRKGFPRGKAKEAALLKSTKVAEGEENSNVMKRSKMTSENVNGGVKKEEETNIATEEDKKQDNKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNSNQNVNIVNLLPKDMFQPNGSILNQMYPVESSASAYHGQQTSQLHHDKPLQPLDATLCRNLGIQLEGFGEGLPQFPAFSEDDLQSIVQTGFAPNQTSHMNIEL >KZN08658 pep chromosome:ASM162521v1:1:13117706:13134067:1 gene:DCAR_001188 transcript:KZN08658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKKKVSERXSVLGVPLWVMEITDKPGEEEAEPAFKFVGNVHGDEPVGREILLLLANWLCDNYKKDPLATLIIDNVHLHILPSMNPDGYSLRTRGNANKIDLNRDFPDQFFSINDEMDARQPESKAIMSWLKDQQFTASASLHGGALVANYPWDGTADKKTNYNACPDDKTFRYMASLYSRSHSNMSRSTEFEGGITNGAKWYPIYGGMQDWNYIHAGCFELTLEISDDKWPPANELSTIWEYNKMGMLNLVASLVKTGVHGRVLSSDYGRPLSASLSIKGINSTIKASETLADYHRLLVPGEVYEASPSRETKVGEAEVAQSKQDCNVASLTDATPDNTAEDVFDQPSSTPRYTYHSEDETRELSSINFQYNAPPSTPQWGREIQELAATKIQLAFWVCLRSAELEQNKIDGRWRYWLEQQVDSRLAKTEDLQNLVSVFSMRDKNEDNEPGVKQMRSRNVHKQSHNAEHEMPTRRSIHHRKQHSTGDAWIFY >KZN10948 pep chromosome:ASM162521v1:1:40515153:40558935:1 gene:DCAR_003604 transcript:KZN10948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAVQSHRFCAPAAATAAGNQDALNRVLADLCTRGTPKDGATLALRKHVEEESRDLSGEAFFRFMDQLYERITSLLESNDVAENLGALRAIDELIDLKFGENASKVSKFSNYMRTVFESKRDPEILILASRVLGHLARAGGAMTADEVECQVRNALEWLRGERVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGRNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLKIPAERASGFIALGEMAGALDGELHNYLPTITSHIRDAIAPRRGRPSLEALACVGNIAKAMGLAMEPHVLSLLDSMFAAGLSFTLVEALEQIAVSIPSLLPTIQDRLLESISAVLSRSRNTQAKPSMTPTRTNVTITTQQISELSDSALVQLALQTLARFNFKGHDLLEFARESVVIYLEDEDGLTRKDAALCCCKLVASSFSGMLPSQYTSSRSSRVGGKRRRLVEEIVEKLLCAAVADADVIVRHSIFSSLYGNVGFDDFLAQADSLTAIFAALNDEDFVVREFAISLAGRLSEKNPAYVLPALRRHLIQLLTYLEQSPDSKCKEESANLLGCLIRNCERLILPYIAPIHKALVAKLSEGTGVNANNGIISGVLVTVGDLARVGGYAMRQYISKLMPLIVEALLDGAAATKREVAVATLGQVVQSTGYVIDFLTIVDSFRYVIAPYNEYPQLLGLLLKLLHGDLAWSTRREVLKVIGIMGALDPHVHKRNQQSPPGSHGEVTRAASDTGQHIQSTDELPMDLWPSFATSEDYFSTVAINSLMQILRDPSLSSYHQKVVRSLVFIFKSMGLGCVPYLPKVLPDLFHTVRTCEDGLKEYITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPAANRSGHGSPTLHLVEQLCLALNDEFRMYLPVILPCCIQVLSDAERCNDYTYVPDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVEIKRSAIKTLTRLIPRVQVTGHISALVHHLKLVLDGRSDELRKDAVDALCFLAHALGEDFTIFIPSIHKILLRHRLRHKEFEEIEGRLQRREPLILGSTASQRYNRRPPIEVISDPLSDVENDPKEDKLDMQRQLKSHQVNEGKLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTRARLAQLQPFVGRELFAAGFVSCWSQLKDASQRQLVRSLEMAFSSPNIPPDILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARTNRMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQNLDVQLKESWYEKLQRWDDALKAYTAKATQSSNPHLVLDATLGRMRCLAALARWEELNNLCKEYWTPAEPAARLEMAPMAAHAAWNMGEWDKMAEYVSRLDDGDETKLRVLGNTAATGDGGSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWNERIKGAKRNVEVWQALLAVRALVLPPIEDSETWLKFVSLCRKSGRISQARSTLVKLLQFDPETTPENARYYGPPQVMLAYLEYQWSVGDDHKRKEAFYRLKDLALELSSTPSLQPATVNNMIGVTSEPLIARVYLKLGTWQWALSPGLDDDSIQACSPMSIDILTAFRNATHCATKWAKAWHTWALFNTAVMSHYTTRGLSNVTGQFVVAAVTGYFHSIACAAHAKGVDDSLQALMYPLLVACKSISTLRRAAAEEVVDKVRQHSGVLVDQVKKNSDSYATFNPCCIYLDWSILIVKAQLVSKELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGVLRNNATAKEKSFIQTYRHELLEAYECCIKYKTTGKDAELTQAWDLYYHVFRRIDKQLTTLTTLDLQSVSPELLECRDLELAVPGTYKADSPVVTIASFAPQLVVITSKQRPRKLTIHGSDGDDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIERYAVIPLSPNSGLIGWVPNCDTLHHLIREYRDARKITLNQEHKLMLGFAPDYDHLPLISKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLDRRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRSSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSTLTSAHVPPVVNIDETASNRELLQPQRGARERELLQAVHQLGDANEVLNERAVVVMARMSHKLTGRDFSTSALATANSIPHTLDHSNLIAGDIPEVDHGLSVKLQVQKLILQATSSENLCQNYVGYALCLAMLWFLCTYTLHL >KZN09024 pep chromosome:ASM162521v1:1:19176197:19178330:-1 gene:DCAR_001680 transcript:KZN09024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKRCNSKEDLFKEFDLSMQMQRKRQKSSGCHQAEPFSDVTAIAEINLEDEREQLFSKTAGNKVSATPQDHRYSVGQEDEMFLSKTFLRAKLENIISKCGIETVGKDVEDCLLMGLGEMMDRLIRNMIRMSKQRVDYEKKRHRILVTSDIHRHFMISNQKCQDQKKPQDEKSNEKRKGADLRLERAARTNKAVRESLGGDDFVSKWTQLSRSRQHEEEPGIGDLPNEKMNKGSKGTGQNFQGKGTGNRAPKRVVTVKDAIRTLEREPQMSKSTFMYRLYERSAVAGTQEKK >KZN10837 pep chromosome:ASM162521v1:1:39426848:39427552:-1 gene:DCAR_003493 transcript:KZN10837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFGYDSSSDDHVIVLISCLLSDKSNAYVFVYELKGNIWKSVQVSPYDHSLIRLVPGIFFKGYLHWFAKDGKDGLLLIAAFDLAKKGFSRVALPDGIVDLKTEFLSIQVLHGCLCVIAEYNSKTELWIMKEYGVVESWIKLAVDVSEGSWLVSTHLEEDSLLMLKGSQFLLLVSNTEEAKLQPVNVLGLPSGFKIGMSYVDSLFSPRREDWKIKKTRRRSKNKTEAGMKNYNV >KZN09206 pep chromosome:ASM162521v1:1:21654153:21655043:-1 gene:DCAR_001862 transcript:KZN09206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFITMKNEEKKKPKERRPFLASECRDLSEADKWRQQIMREIGRKVTEIQNEGLGEHRLRDLNDEINKLLREKLHWERRIIELGGPNYTRHSAKMTDLDGNIVDVPNPSGRGPGYRYFGAAKKLPGVKELFDKPPELRKRRTRYDIYKRIDASYYGYRDDEDGVLEKLEVGAEKRMRADKVREWEEMEAIKREARRGVKSGGDVVKEFLREEEEDVVEEERREREIKERESGEKEFMVHVPLPDESEIEKMIVEKKKLELLSKYASDNLLEEQSEAKAMLNIHR >KZN11394 pep chromosome:ASM162521v1:1:44255883:44256237:1 gene:DCAR_004050 transcript:KZN11394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAARSVLRSARLGSTRFAKPTKSPSSPFLLPKQKPPSSSISRIPVEMSCAMDSMLPFHTATASALLTSMLSVTPRIYNWTIEGILC >KZN11820 pep chromosome:ASM162521v1:1:48392002:48393360:1 gene:DCAR_004476 transcript:KZN11820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKIKRSLLNYFHEEGKSMHPQIHLPQQQQISRNLDSLLRSPSTSVVSPSQNIMHSMMPPPSPDSPWTLSPLQSPSPPLLCHCIASLHRLDGTVHAIAAINGTVFTGSDSSRIRAWRQPDCFERGYLKASCGSVRAMLAYGNVLFTSHKDFKIRTWIVTVSDNFKAKKISTLPRRNSLFTFSRRTSEGHKDYISCMAYYNAEGLLYTGSWDKTVKVWRVWDNLCVDTFLAHDDNVNAVVVNQDDGVLFTCSCDGSIKIWRRIYGQSSHTLTMTLKAHSSPINAMALSTSVIDSYVLYSGSSDGFINFWEKEKVSGRYNHGGVLQGHRFSVLCLVALGKLIFSGSEDTTIRVWRREEGKNSHECLAVVDAHRGPVKCLSASLEVDKDVGVLLYSAGLDQNFKVWRIKVMLEERNTGHCFETASNNKLREFEMSPVLSPSWVERKIHGSHPSR >KZN09102 pep chromosome:ASM162521v1:1:20273933:20275910:-1 gene:DCAR_001758 transcript:KZN09102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNESHSVSLKLLVDRMQSEVVFAEANHDFVDILFSFMTIPVSTVLKLTGDQTFSCMNNLCASVKNADENLLLHKSCREVLLHPRSAAEIYCRNLKVNWYETDGIEYFECGSCEQVNYYWQNGLCSCCGRQLCNELKLPSPSPNLPGGFTKSTTRFMITSDLNVRPISTMKGLMLLNSLGGGENNMLEERTIDVGKDEILQLLKFSLVSKNPLTKAFLRLVPPSNNSCNITRLDSGSVNVDHSQETVSSSAKTEEMISLKLIINSVNNTLLYAEAANDFVNLLCSFLTFPIGFLFQKNSYLPFNGCMDNVYKSINNIEVELFKSPLMKETLLESRLAPGLAQIKKVISIKDATEPKYHGLMGHYNAKRVNISSMSCNRETVIANGFFKGPSSFMIMDNLAVMPLSSISGLCLIKKMQIPFDKIVEKEVVIGENEAIRLFAASLVAENALTDAFLRDEIKQEQQDKYTSTLLYME >KZN08740 pep chromosome:ASM162521v1:1:14750351:14751010:-1 gene:DCAR_001396 transcript:KZN08740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEQQHEQIKDKTKLSSEKSKNNEKKQFLQEVEKAEKRGVCYLSHIPPKMDHVKLRQLLFQYGEIQRIYVTPENPDARLNGEKAGGFRGQKFSEGWVEFTDKRVAKDVAETLNGEQMGKNTSFFFFSWVSCVEL >KZN10651 pep chromosome:ASM162521v1:1:37919266:37920801:1 gene:DCAR_003307 transcript:KZN10651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDGDDDTPSESRMVVPLNTWVLISNLKLAYNLLRGKDGTFNRHLAEFLDRKVPSNTNPVDGVFSFDVIIDRGTNLLVRVYRPVDPEVGSPGFTDLYRPSSADVVPVIVFFHGGSFAHSSANSSIYDILCRRLVSLCDAILISVDYRRTPEYTYPCPYIDGWTALEWVNSRTWLRSKKDSKVHIYLAGDSSGGNIIHNVALRAMGSEIEVRGNIMLNPMFGAEERSESEIQLDGKYFVTIQDRDWYWRAFLPEEDRDHPACNPFGPKGISIEGKNFPRSLVVVAGLNLIRDLQVAYAEGLGKAGCDVKLIYLEMATVGFYLLPNNDNYFTVMDEIKTFVSSSS >KZN11627 pep chromosome:ASM162521v1:1:46459090:46459549:1 gene:DCAR_004283 transcript:KZN11627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVFCFLVLYLSSHDPSQKEVTSFNGFKRNSKPIDVEISSEIDDFGGLLETKKSDILAIGSMRNLQEIHKKRSQNNHRVHRKFADF >KZN07989 pep chromosome:ASM162521v1:1:1238662:1240269:-1 gene:DCAR_000658 transcript:KZN07989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFWPFKVTNDSANKPVIAVNYKGVEKRFSPEELSSMILIKMKEISEYYLGKKIKDAVVTVPAHFNDSQRQATKDAGKIAGLNVLRILVEPTAAAVAYGLDQKLIGSSASEKVVLIFDLGGGTFDVSLLRIEKDKFEVLATAGDTHLGGEDFDNRLLNHFVEEFKRKHGKDISTNAKSLRRLKNECEKAKRILSNIPMTTIDIDSLYEGIDYSGNITRARFEDLNVDLFTNCISIMEKCLKDAEMDKSRVHDVVLVGGSTRIPRVQQLLQEYFDGKELCKSINPDEAVAYGAAIQAAVLSGARNNIIRDIVLLDVTPLSLGVQKLGKAMSTIILRNTTFPTSKKREYYTARDYQDSMCFRVYEGERTRSTDNNLLGKITLHGLPSALRREVKVMVTFGIDANGVLHVTAECEKAGVKTAATITNDKGRLTKNEIERMIQEAEIYRAEDEEFRKKIKAMNKYEDYVYNMRSVVRAVCNLNASTKKNAENVIKEAIQWVDANRRAEVEEYNSRMRKLKAFFSSVIPSNAGIRIEEVD >KZN11465 pep chromosome:ASM162521v1:1:44909240:44909942:1 gene:DCAR_004121 transcript:KZN11465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHYSSSTCKNICNRILHHRNQLRPTIGGPSSPCDIFISHRGIDTKDNVAGLLYDHLSRLGFRPFLDSKNMKPGDKLFDKIDTAVRQCKLGISVLSPRYCDSYFCLHELSLIMESNKKFIPIFVDVKPSELRVRDNGCCTAENLKRFSWALQEAKYTVGLTFNTSKGNWSEFLMDASDAVMKNLLEVKD >KZN10001 pep chromosome:ASM162521v1:1:31536306:31539296:1 gene:DCAR_002657 transcript:KZN10001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQETKFSGHRVQLRRSSRLQRCNRFSNTADDPIDLEPTKQSEVNNNMEDDQQNSTPTTSEGSRKKRYKHAAKKNKVKPGQKSTPDSDESNEETYSIHELYRAQFQATNANNQSEATQAQNQSEQTEDARQTEDQTEGNFQPPTEENFMKIKPWMKNMLKKINNLRVKKKLKIKTNLPLQKIRNGKERRPKSNIFLLNIKTPIPNKFDMQQEEAQPKAKRPNFHLPTKKDANEKYELFYADRVRHKGIKLVERQCPFFKGWTQEKLRERQAIDVYGGPFGFGLIVKPLRDLPSSQEQTATENAKGNDKGTDVPNPPLHDWNSHQNDDDLWEEWERAQRQSVQNETNDREDVRDTEQHIQVEQQHDDGQASSEKKLNLRHGIVDFAHPSTFDKKEYFTPKLERD >KZN07837 pep chromosome:ASM162521v1:1:53069:54763:1 gene:DCAR_000506 transcript:KZN07837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSVKQMLKLIEDDGDSFAKKAEMYYQKRPELIAHVEELYRMYRSLAERYDHVTGELRKSMPSDLQMQGSGISDVGFEPPSTLSSTDQGLTRRKSGSRAAGFEYFLGTGGSSSDLCDKGEESSTLDSESESDNSSVNNYSGQSTNGDDQGLRKKVSELEAELRDLKQRLRVQQEEHVDGSFRVTKIGNSEGLFARLAGYEDELSAVNAKFQLANEEIARLRNLLTEPDGMQEGVEIKGAGTETEGTGGAESQLVRLEGDASDQEDKIRVLEKELIITREKVHDSEKELACLKHELETTTSLAQHLHDQLGSAKKDASMWKSKLDKEKRETSKLHDRIVRYKANLSERDQEIRALKETIYNANKSLSEENLHLQAQMTKLIKEHAYLEDNLKEWDLRCQSAEEELKRLKAGKAEMEVLFRAEVEQLKARISEREDCMEELNRSVEVLTLEYNKVMMEMAEGNVKISKLTADISSKDDQIEQMSKHLHELHIEHVELIAETERAHSGVTALKQELERKEVVIMQRAEEKREVIRQLCFSLEHYRNGYHQLRQAFVGQKRLPVIAS >KZN08886 pep chromosome:ASM162521v1:1:17236539:17240267:-1 gene:DCAR_001542 transcript:KZN08886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDESDSSAPGTGRKRRGRVTALDEFLLNRVSKLQQRGDHDSLEPHSAHSDSRKHGRGPGVNKVISQMQKENQPAKENPQDSRKHGRGPGVNKVISQMQKENQPAKENPQGSTTPRSTITFQGATGVGNVSYSHAYKSNTNQDIVQRSLSYSNSSTVLEQLNSDGMKIDDRHT >KZN10547 pep chromosome:ASM162521v1:1:36820599:36824232:-1 gene:DCAR_003203 transcript:KZN10547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTVEIKMEELVRASSSGSQVLPRSGSLRSDVDPWLPTTENETTDHWLPITESREGGAFSAAFLLICSGMGLQSFVLPVALVSLGWYWGIISLTIGYVWQLYTIWLLVNLHESVPPGIRYSRFMHLNEVGKITFIFPGNVLSRRNMCTLDYQRRKLLEKFVPRNVRTGTLRYQTIIRCRMISPNLNSAAKVAAIGALAAIIYTTLLVVLSLVQDRPEASSLYSVKHAPERQKDIYGAINALGLIAFAFRGHNVVLDIQGTISTSKNRPSKVPMKKGVAISYLVIAACFYPLAIAGYWSYGNKLNMSDNMPLLRSFMDYHRDNMPKSVRAIIYLLVVIHFLSAFQIYGMVVWDNLERIYVTKNNRRCPKWLRGAIRVLFGGFVYFVAVALPFLGVFSALLGGITTVPITFVYPCVMWIIIRNPRRTSPMWYLNVGIALLGIVFIVLVEIAAIRTLVVYGLKASFFHPK >KZN11211 pep chromosome:ASM162521v1:1:42644641:42647033:-1 gene:DCAR_003867 transcript:KZN11211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSEDGTGLRRRGCGSFAYLRVELGEFVAFIAAGNIILEYIIGGAAVARSWTSYLATLCNQDPDDFRIHASALTDDYNELDPIAIGIIAVICLFAVFSTKGSSRLNYIASVVHIVVILFIIIAGLINADTDNYRDFAPYKVRGIFKASAVLFFAYVGFDAVATMAEETKNPARDIPIGLVGSMVITTTLYCLLAITLCLMQPYKDIDKDAPFSVAFKAVGMNWAKYVVAAGALKGMTSVLLVGAVGQARYLTHIARTHMMPPWFANVSPKTGTPINATVVMLAATAIVAFFTKLDVLANLLSISTLFIFMLVSVALLVRRYYVSGVTTTADRNKFIACIALILGSSIATSAYWGVTEDWIGYCITVPIWILATIGIKVFVPHARDPKLWGVPLVPWLPSASIAINIFLLGSIDSASFVRFGVWTVLLLIYYIFFGLHASYDIAKEYEGKDYAMTLKKTEEGNTLSTASMAVAADQKNGHANPST >KZN11757 pep chromosome:ASM162521v1:1:47896911:47897630:1 gene:DCAR_004413 transcript:KZN11757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPAGGSSVSQACAACKHQRRKCAPECVLAPFFPPHRQAEFLNVHKLFGVRNIMNTVKKVEMSRRGDAVRSMVHEAYFRAVDPAGGAYRILSHLQHRYNHMKAELDLVRQQLAIFRSVQIDGIGSEHMQHPPLNYSNFGRKESAEAINQTDDITSPRINILRGDIIKKEGSSSNRDKKTMRLEKKGSASNLDNGTFESAPYDGNGNTKIDNIDENHSSSRSHENETNSLEDVKLNVRD >KZN08801 pep chromosome:ASM162521v1:1:15653444:15655435:1 gene:DCAR_001457 transcript:KZN08801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYSMLSALSQQSDNKKFSCELAVYGKQFQDDHILAIVRNNQRDAFLPMLKENEVLHCLYKGTIVDVLNGNGWYYICCPKCARAVRELEGKFYCAACTEEPNPVTQRYRVVIRIEDGTGSTTLTLFNKEAEQIIGAPLNILLKEIEKEMLAEIPLALKNLIGKKCAFQIKITPYNIEKSCEEYTVTRVSEFSLPPITNDAADX >KZN11847 pep chromosome:ASM162521v1:1:48689494:48693246:-1 gene:DCAR_004503 transcript:KZN11847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTDPAAKNIKGTNPQNLIEKILRNKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTHGGNRRPTPFMCLVTKMLQIQPEKDIVVEFIKNEDYKYVRVLGAFYLRLTGTDIDVYRYLEPLYNDYRKLRRQLADGTFALTHMDEVIDELLTKDYSCDIALPRMKKRWTIESIGALEPRKSALEDDFEEEEEKDEDDHVMADIEDADNDKAHHRGRSPARERDRGRDSHRYRDRDYDREYDRDYDRDRGRGRDRDRDRERDREPKKDRYRLRDEKDYGRDRDRDRDREGRERDRRDRDRVRRRSHSRSRSRSRDRKDRDGEERRKRHRGSASPRKHGDGVEDGTRDDVKKKEKKEKKEKKEKKDDGTDHPDPEIAEANRLRASLGLKPLRM >KZN07922 pep chromosome:ASM162521v1:1:691952:693889:-1 gene:DCAR_000591 transcript:KZN07922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQRFDFPCSFSQKESSGSQPVRPPVGVSVERPIEARNYSLKKSISLPPLATTGQDTFLEGRRGEKKDVFWDRRGKNLKRFADEVSFHDDESCISRAKRKRGGWKSDDFSEGGGNVSLGQLGSGNFLFRPDLEVPTSLSLIAGLDQSQVSIPVSCLGEDSIDLLKPRELSSRNKSWVDSVVTKFTKLTDQNVETIQGGTVNEEVSILRSPSEKDILTIRPTENTTVHERGNSSGPHNANTSADYSTGKNDEHGEHEGFELISLIVACVEAIAMKDIRRVNYFIAKLGELASPKGSSTSRLSAYFIEALAIRVARMWPLIFHITTPRVLNQLDDDNGTALRFLNQISPIPQFIHFTANEILLRGFEGKDMIHIIDFDIKQGLQWPSFFQSLACRKNRPSHVRISGIGESKQELMETGERLAGFAEALSLPFKFHPVVDRLEDVRLWMLHVKEGECVAVNCILQLHKMQDDGSEGVLRNFLGLIRSTNPKTVILAEQEADHNYNTLNSRLCNSLRYYSAIFDSIDTSLPVDSLVRIKIEEMFGREIRNIIACEGRERLERHETFGTWSKLMRQGGFQCIGITDRELIQSRMLLKMYSSDKFQVEKQELDHDSEALTLSWLDQPLYTVSGWSPIDAAGSSSSYLQPG >KZN10157 pep chromosome:ASM162521v1:1:33112053:33113549:-1 gene:DCAR_002813 transcript:KZN10157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGRDKCLMVMERERERERVDGERERDKCFMDGQDTEDMKQSSADMTVFVQNLLQQMCFLNCNVLDEMGGRINELEQSISELKTEMGAEGSPSPLPPPKTEDVKPEEGSA >KZN08523 pep chromosome:ASM162521v1:1:9677738:9678806:-1 gene:DCAR_001053 transcript:KZN08523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPYQMISNLRPQITTAWRLKVRVTRIWQAITQQGDIVGINCIFVDELGGRIRAWIAAANMNQLQGLITEGETYNVHNFVVRQYGAMQTERCFESNFFIQLYHMTNIFVAEDVDYIQRHVFQFTNLSTIIDVARESNFLIDVVGVLQQVQPMTTYRNKYNQLKNSIQFTINDLNSPAQVIFFDEMARSLDQELHNAGQHPVILIISSVKARMIQGEVKLTNYPATRFFMNLHHEAVEDLRDSLRLVNSPM >KZN08187 pep chromosome:ASM162521v1:1:3721733:3724504:-1 gene:DCAR_001252 transcript:KZN08187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRYQGLSPEHPPLNNGKRSHKRICKEDDDIIGNDADGPATKPIPNFVEAHKGVPKIRSSSSKPPQDQNFTTFSTTVLNPLPKTNHDLNNSTTTPLHNGTNTSGDMLLQWGHRKRSRISRSVENRAPGAGNGLADDSSSSSSTQLQAMMKVPRRSITAGLIETKNSSHTNIMPPPSSILPNSISITSSAAAVVTNSSTSHGKVGSHKSSSKDNSSFVNRRSLEDRSGSGSGSHPPRTSSKGVVAAAASSSRSKVLKRSPQKFVEKNDQVKIVGSAAAGPAVAACSSGFGLSSDEKINGLVHQEQVKGLDTSHQDNIIGSGLPQEAGCSNTAAATTNNNNNHRGDMKMIGAGTGNGGGEVNEWPRVHIPLTKKEKEEDFLLMKGTKLPHRPKKRPKAVDRMLQYCFPGTWLADVTKARYEVKEKKGTTKKVIIHTYS >KZN10365 pep chromosome:ASM162521v1:1:34948938:34959814:1 gene:DCAR_003021 transcript:KZN10365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETERCMNDKKKHLQSFDSPHNPKHAQELVKEIAFLDMQVLKIEKNLLSMYQQTYDNKALSFVPLDDDLSVASRRRRVGTDEDAANRGSRSSSSACGSQAQLCSSIRYRSHLSLSQNASYTTCDHRETIEPMHSFHSLPLSAQEVDEKASGVGLDHVRQTPNRLSEEMVKCITTIYCRLAEPPLLYYESPASPVSFSSSLSESPPQDRRNSSYNTWYGNSSHLEDSEELSGPYSAMVEIQGIDASRLTGVEQMLKRFRSLVSKLENVDPRKLKHEEKLAFWINVFLVHGIPQNNLKRNSLVVEAAYNVGGHTITVDMIQSSILGCQMPRPRQWFQSLLFRKSKFKHGELGKLYAVGCPQPLVKFALTSGSYSDPVVRVYTPKRLMQELEMAKQEYLRTSCKIENGRKIFIPKIVESYAKEIAFISLNKKISCPRILNGSHITSISGSNRISEETGDADPQSIKELFLPFRCFCTRKDIHCQDIVIEDTDIAKGLVNYVAESAIEVLVLGAGSKGGLFRRFKLKDIPGSVLKGLPDFCTVYVISKGKISITRSSSRSAPPYSPLRNQLLYQASSKTDAEISSPNAFASKGVQRPPFDQSSQISQTDSSFKSPLPQRNDLNGISYGDLSQFNIDISFVSSGRPSAEYMFPAFSDSFDMSSTPTRLSNISDADSQGYDFGRTSVDTVTATDISYASSDGSSSASQPVEDVEAEMRRLKIELKQTMDMYSTACKEALSAKQKAMELQRWKLEEERKLEEARIAEESVMAAVEKEKAKYRAAIEHAEAAQRLAEIEAQKRRSAELKALKEAEEKNQVLDTLGKSDVRYRKYSIEEIEAATEFFSQKRKVGEGGYGPVFKCYLDHTPVAVKVLRPDAAQGKSQFQQEVEILSCIRHPNMVLLLGACPEYGCLVYEYMSNGSLEDRLSLKGNSKPLSWQHRFRIAAEIGAALLFLHQTKPEPLVHRDLKPANILLDRNFVSKISDVGLARIVPPSVADAVTQYHMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIMFLQIITAKPPMGLTHHVERAIEEGKFHEILDQAVPDWPVEEALGFAKMSLKCAELRRKDRPDLGSVVMPELERLRELAEDQMPESVFYSDGPSPSNSQDSVSQVDTKIL >KZN08993 pep chromosome:ASM162521v1:1:18773372:18775757:1 gene:DCAR_001649 transcript:KZN08993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLEPPSSRTSAIAIMFSCFWLIFLVQSSVAKVIQLKWEVEYKHWSPDCIESVVMAINGTFPGPTIEATAGDTVNITLTNHLHTEGVVIHWHGITQKGTPWADGTASISQCAINAGETFVYSFTVEKAGTFFYHGHYGMQRSAGLYGSLIVHEAEPHRQPFKYDGEFNLLLSDWWHQNVHRQEVDLSGVPLVFIGEPQSLLINGKGQYNCSIAAHFDESKNVCNFTEKEQCKPEILQVKPNKKYRIRLASTTALASLNFMIQGHKLEVVEADGNYVKPFLVDDLDIYSGESYSVLLNTNQGPPSGNYWISIGIRGRKPGKPPTPPALAILNYYPMTPVSKHPSSAPPVHPVWDNYTHSKLFSNSIKALTGPKSYLNYKPPPLKQDRRIVLLNTQNYINNYTKWTINNISLTLPSTPYLGAIKYGIDNAFTQQSPPDHYNSPNYNINYPPPRDTNAIHSNAVYKLPSNKVIDVVLQNANMLTEGHSEIHPWHLHGHDFWVLGYGEGKFSDANETLFNTVDPPLRNTVVVYPYGWTALRFEADNPGVWAFHCHIEPHLHMGMGVIFAEAVEEIKKMKVNKEALVCGLTGKMFMNSHRD >KZN10695 pep chromosome:ASM162521v1:1:38237470:38238853:1 gene:DCAR_003351 transcript:KZN10695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMCCEVLCSPNPQCDPDYPDEGPPIYERDVELLQFAENLEHLEADYFLWGAFGYGLDKFAPDLVGGGPPPIGAQRANLDNQTQSIIGEFGLQEVGHLRALKSTVGGFPRPLLDLSKSNFAKIMDSAFGRKLDPPFDPYANSINYLLASYVVPYVGLNGYKGSNRFIRGYVSKDLLSGLLGVEAGQDAVIRHHLYERGEQLVHPYNITVTEFTNRISELRNNLGMCGIKDEGVFVPPELGAEMRTSSNVLSADRNSLSYPRTPKEILRIVYGTGNESVPGGFFPRGANGKIALQYLKHQD >KZN11136 pep chromosome:ASM162521v1:1:42018204:42020380:-1 gene:DCAR_003792 transcript:KZN11136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQESEENMNYWDMLPEGCIAEIVSHTTPLDACRVALVSPAVRSLADSDPVWDKFLPHDYRQLIARAVENQPIHQLLSASPSKKDVYLSLADHPLIIDAGDMSFSLDKRTGKKCFMMGARALAIVWGDTPRYWRWRSDPGSRFGKAIELLDVCWFEIHGRISTSLLSGDTGYTTYLVYKLATRRYGFEHLPLEITVGIVGEESIKRNVFVDPEGEVQRENVTTPGRMDLFHNFRAAQPRPLVASSVNAGSQNPRTRKDGWLEIELAEYYNKEGENRELEISLWELKGQHWKRGLIIQGLEIRPMGGK >KZN08474 pep chromosome:ASM162521v1:1:7439444:7450890:1 gene:DCAR_001020 transcript:KZN08474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRSVLGIKQISRIPTRAQTQIPSYLFARKDFSAASKNNASGESGAPSDPSGSGSNMSKAVIGSLALGFAGIAAYQTGYLDRFLVNEQHNTYDDKSGSGDQHRQVSTEQGQNTETAESLKDTIDVNTSSKSKDSSNSQAALPPGSSQPDVSPISDYKLASSAQSSEATDPGSTNNVTQDEKNHHSKSPDVNPGEQHEPVDTTVVVTHANEVPKEVELNKPTQQTHITALEDNKGNSKEQSIALLNEYHLLDKTEETSATSHTELKDLDGEVKDSADVHVTKDGQLILDFLQAIHAAEKRQAALDAQKFGEEKRIMKEKYEKELRDAIARELMYAEREAILDKELNKEKVKAAAALKSLEEKLEEQLKKELEQKEAEAALELKKFEELGKAELASAIASEKASQIEKMAEADLNINALHTYLEGIEKDSLLDVVLSSFPEETRTGGTDTLLQLNQKFDALKGTLRHFSLIPPGGGGILVHSLASVASLLKVKEADRSGDGIESLINRVESFLADGKLLEAADTLEDGLKGSEASGVVADWVQKARNRAITEQSLTFLHSYATAASLS >KZN09804 pep chromosome:ASM162521v1:1:29549332:29549802:-1 gene:DCAR_002460 transcript:KZN09804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKNSGAAGSSSKPPQPYEQDQLLPIANVARIMKEILPANAKIAKEGKETMQECVSEFISFVTGEASEKCRKERRKTVNGDDIVWAIDRLGFDNYAQPLKRYLDRYREIEGDHRANMNIVHDRVGYDHEGNGRFHLYVKDAQQDRMDDNHPNGDH >KZN10208 pep chromosome:ASM162521v1:1:33550752:33557528:-1 gene:DCAR_002864 transcript:KZN10208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGEELSFSMASVVESVLQQHGVRSRDLDLDSRRAEEAAMRRYEAAAWLRKMVGVVGARDLPAEPSEEEFRIGLRSGLILCNVLNKIQPGAVPKVVESLCDSAQIADGAALSAYQYFENVRNFLVSVQDMGLPTFEASDLEQGGKSARIVNCVLALKSYSDWKQAGGNGVWKFGGNVKLTTPMRNHVRKNSEPFTNSLSRNISMNEKTLSNLCPDNDSSTLHSSPLSMLVRAVLLDKKAEEVPSLVESVLTKVMEEFEHRIANQLEVKKTSSKDTACLHGNKVLQKQNSGDSKLKIEDRNAAQRKKEQCFTSNYVSDEESKRRSMKQVMIVDEQHRDIKELKQTLSTTKAGMQYMQMKFHEEMQNLGMHIHGLAHAASGYHKVLLENRKLYNQVQDLKGNIRVYCRVRPFLGDQANYTSTVDQIEEGVITISAPSRHGKGHRSFNFNKVYGPRATQGEVFSDTRPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPRDLTEDTLGVNYRALKIRNSSQTGLNVPDASLLHVTTPSDVIDLMNVGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTSGAVLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDAVGETISTLKFAERVATVELGAARVNKDTSDVKELKEQIASLKAALARKEREPEHVQSVMSGSPCSMSSPFQSNKRGDVFSNSNNQRKPMEEVGNIEIRKNSSTRQKSQSFDLDELLGNSPPWPPVSSPGQHYGEEDKDAGSGDWVDKVMVNKQDAARRVEKPLGCWEADGNIGDVFYHKYLSDSSKSYPEQSYNMFPSNNQFDIATADDLDELDAATSDSSEPDLLWQYNHSKLSNLASGIGSKIQKPSPNPGKSPELSKSMIPKVRPSPPSRKMGSGNKTTPVRAGRQAIASEAKRKTGNMK >KZN09970 pep chromosome:ASM162521v1:1:31249682:31250410:-1 gene:DCAR_002626 transcript:KZN09970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANDLVIVLVVAGASWLLIHVWRTLISKTLNQKAPPGPPGLPVLGHLHMLGKLPHRNLYNLSQKYGPIMSLRLGSVPTVILSSPAAVELFFKTHDTVFASRPSTQAGDYLLYGTKGIGFAKYGAYWRSARKLCMVELLSAEKVKSMAGMRREDVRLFVESLRKAAAAREVVDITDKTVHLIEDMTCRMLFGKSRDERFDWSAIVHDMAEDLGTFNISDYIPYLGALNLQVSIYLYNYMLSA >KZN12009 pep chromosome:ASM162521v1:1:50199263:50212204:-1 gene:DCAR_004665 transcript:KZN12009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTPSLLQRYRRDRRKLIKFLLSPAAGFVKELRNSSNSISSVDYDTLSADYILHCIQSNGILDISEATRKFTEEAQYPITMDSELGDTYFLVSDPESAGSPPHRAPPPIVVNHSSSNDLHPSDLHDSLIAQKISVPSHESKDKYAANKQKWKPVNRVLSLGLPALHTGLSDDDLRESAYEILLTCMAFYGLEIYIFEDQKKDKSSGFLAGLKNKKDKKHPRSQSQSPERHDEVINTIRVQMQISEAMDACVRQTSMQFASRKACGQLDIPQISLGLLNGIFKSDFLYEKPYMQWKKRQANILEEVFISANHLITDKQVIDTLLAKMNNSEEWDNLMSTSERTEVLAAIRQVSLLLSSVNGHFGIQGETCYWATSYHFNIRLYEKLLFGIFDILDEGNFIEEADEFLKLCKLTWSTLGITQKMHNALYGWVLFQQFVLTEEEMLLDHAIIEVQKLLSAESSRGKDEEYINSLVCYIECDDSKSEMSLVQAILWSITYWCDSKLQDYHLHFSEEHDFFAKVLTLASVLRNKNYGAQDENKLTSDALSGPDIVHVKMYVERSVEAAYRRIEDNMNLGSQMERGNALAVLANDVRVIAEKELNLYSPVLCDWYPESAMVAAKLLHQLYGERLVGKFSRPIILEWVIAQHARILEWTGRILDLEDWEPLSYQQKHSSSAVEVFRIIEETVDQFFGLRLPLDITHLQALLSIIFHTLDSYLHKVCSHIVDKHHLYPPVPPLTRYKEAVFPMIKKKVVENVLLEEEINGNLVELTVSKLCIRLNTLQYIQKQMGILEDGIRKSWGSATISDNSRGEESRETSETVSEYSESVDELFVATFDSLRDTAADAIKRTCEFIDINMMEEDLNMLKDFFIADGEGLPRSLVENEAQFAHQILNLYSLQTESVIQMLMTASELIPTGVESHKHRHRHLVDAHTLVRVLCHKKDKEASQFLKLHYQLPASSGGFDLQTYSFHFVENLHESFIPARASLLRYTTVTNLLSRYMMVLAVHSDYEDESPLKEPGLKPPMMADLLRNTSMQWSNNGHDSFKSIKKKFQKVTSEIRHSGSLSYS >KZN09780 pep chromosome:ASM162521v1:1:29300762:29302861:-1 gene:DCAR_002436 transcript:KZN09780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGVAVLSSSTGVSGTIYFTQEGDGPTTVTGNVSGLAPGPHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDENRHAGDLGNVTVGEDGTASFTIVDKQIPLTGAGSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KZN11538 pep chromosome:ASM162521v1:1:45575209:45576664:1 gene:DCAR_004194 transcript:KZN11538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDKNGVKKWKKVQVNLKEHVNVPIFPDGMSLDYYDHCLSNYLSKLGMDPLPQNRPPWEVHIFKYPTSDAAGAIIFKLHHALGDGYSLMGALLSCLKRTDNPHIPLTFPSRQSGSSSSKLSKGSGVSSILKLLSGVPSSFANTVMDFGSGLLKSSIIKDVESPIRSGREGVEFQPFTMTTIEFSLDHIKKIKKTLNVTINDVIAGVILLGSRLYMEGENKNSSNFNSNALVLLNTRNLDGYKSVDEMVKPKTTTPWGNNFAFLHVPIPKLITSDLSDPLKFVYETQSTIKRGRSSAGVWLTSVMLEHLRKFKGPEVIGVILGSEILFNQ >KZN11239 pep chromosome:ASM162521v1:1:42932559:42946110:1 gene:DCAR_003895 transcript:KZN11239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFRRSTSKDDPLLWNLFNYQLPVMKEYVHPPKDESYFNQLIKESYKVGHPQLSEKRTKSHIEDDVGTAKKTALHTQQKTNVQSPSCIPGSRNSGKRFPGKIVENIKPDTPPVSYSQCSRIFSPLTPLSPNIARPLQSPLPNHKPNALGQHTQGNKENVPTTRVKGNECLPEQRIHKQSSAFKENRPPTNIKRKALLKSCGSNIHSATQKRPCKNPDVNKMVSPAGHTIFTNLSSSKDKGKMVVENAIRPQHLRSEVDVMNLSEYDSDSSDAFSDQDFPEDFIHESHKFGPTVGTQSDRGVNIDLPPRKLNFDPKDSQQDSADHFNDLGFSHFGESYSDQSDGDSEDGFYVMDIEEEEEVLELPQMKIKELRDLNESFVQVDVKNKMPDILKSLEKKQYRLDILLTEENVKQGSNVYNATKISKPLEITDNHDPNPNFHAVNEQTEITNDISKEPTSNINSPATEKSTNKTKSRLKADIIETPIKKPDLKKVKLEKDDSFPEAVRSIQGMQCSFQLFVTANNVEEKSSKFMATKIIKGFNTEEDVAEQEQTLETMENLGSQHKHIKVTNAFTLPNELHCVTNSKTHVRAKLDPAIMYAHSISMSPGTNLQLPTSFALIYSNVVKTIPSIRKLAEL >KZN08461 pep chromosome:ASM162521v1:1:7227518:7228812:-1 gene:DCAR_001007 transcript:KZN08461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTAIAMDMIGKIEPRSAHLLGRCNWSATTDFSAGSCSPCEKYDIHPISLVIGSYQDDRDDLFEGTECVLVVFI >KZN10818 pep chromosome:ASM162521v1:1:39293345:39295912:1 gene:DCAR_003474 transcript:KZN10818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEIVKELGSGNFGVAKLVREKWSGELYAIKYIERGQKQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVFSRNKYDGKIADVWSCGVTLYVMLVGAYPFEDCDDPRNFKKTINRILSVQYSIPDYVRISMECKHLLSRIFVANPEKRISIPEIKKHPWFLKNLPAEFMEAEEYSSDAKALDDLAQSTEEVLAIIKEARKPVDGYKLDGQFVGGSSMDLDDELDSDIDDIDMSGEFVCAM >KZN09182 pep chromosome:ASM162521v1:1:21400228:21400860:1 gene:DCAR_001838 transcript:KZN09182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPRSFLCWFFFLVASFSVVEITMAGDPDILTDFIVPSNVTSIDGNFFTFTGFRVLVGAQTPPAFKVLKASMAEFPALNGQSVSYAALQFPAGTTNPPHTHPRASELLFVLDGTLEVGLIDTTNKLYTQTLQTGDLFVFPKGLVHFQFNADAQKPVLAVSAFGSSSAGTVSIPSTVFATGIDDNILALSFKTDVATIQKIKAGLVPKP >KZN09727 pep chromosome:ASM162521v1:1:28810260:28813355:-1 gene:DCAR_002383 transcript:KZN09727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDNDEKNGGDEKNESTTQITKKGLHLYPVSAQASGEGLPYAPEDWPEPGDKWCWKVGKRVRSNGYFMDRYLYLPKRLQSERKGRTFASKLSVEQYLQTTGADVKKFFGSFSWHIPSGDRPAVEAELAGSELQAGIGCCKAGNKSCGSLAEAEETSSGSILCDMCCSEPGFCRECCCILCSKTIDLSYGGYDLIRCEAAIDDGFFCGHIAHIGCALQCHMAGTVGGSIGLDAEYYCRRCDTKTDLLSHVKKLLQICESIESRDDIVNILNLGACVLRGSQRLAAKKLLDRIELVMGKLRSGTSLEDIWKVEDSSAVIPGNLISDADTASESSIDKNPHSRAASPQYVSESFDPLIESLKLEDKVDEILEALRKSQELEYNIAQERLYEQKSYLQSLYHQLNKEKAELVRHAAYNTDRDALSLLVVNRVDQIKGEIIKLKEMEKVTKGFGKTSKEILKEHFDVHSECG >KZN11710 pep chromosome:ASM162521v1:1:47186844:47195757:1 gene:DCAR_004366 transcript:KZN11710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHLQAVEFLPNFGHSQVFQTPSLDEEELTALDTIVAGQLFRHGKNRDIPAYMLLNPVGVVVHIIPWNFPAQMFAMKVAQSLAAGCTMVVKPAEQAPLSALYYAHMTKLVGHLNSMPQYLGTRICTSSLAGKTTKMPYYPTQSSGSNIIFIKYQGLSPENLPLSNGRRSHWRTCKEDDGILGSNKEIDDENDATKTIPNFVEAPKGIPKFRSSKTAQDQNFSTHSSSVPNSVPKSNHELNNNTTNTLHNGGGSGSGDMLLQWGHRKRSRISRSVENRSAGAAAGGNGLADDSSSSSSTQLQAMGMKVPRRSITLPTPATTTASLIETKNSSNTNIMPPPSSVLPDKISITTTAPTNSSNGKVGSHKSSSKDNSSLVNRRNLEDRSGGGSGSHPPRSISGSKGGIAAAAASSSRSKLVKRSPQKVVEKNDQKKILASSAAAAVCSSASVLSKTDEKINGLVHQEQIAGFGISHHQNNTGSSLPQEAGCSNTATTTNHEDVGSSKNAIGGTAVAVNGGGEVHEWPRVHIPLTRKEKEEDFLLMKGTKLPHRPKKRPKAVDRMLQYCFPGTWLADVTKARYEVKEKKCTTKKPKRRGLKGMESMESDSE >KZN09281 pep chromosome:ASM162521v1:1:22525594:22531140:1 gene:DCAR_001937 transcript:KZN09281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTLEALALKFSDLRVELEKSEHSDLMDENNLSNYSQEACSDQEQTLPIIKKFDDLSCKVQNLRKEHMLLCHEVKNITKTFPGAEVFSALQGLSLEHKNLKKKYLEEYSERKRLYNEVIELKGNIRVFCRCRPLNQDEIAKGYCSVIDLDSSHENDLHIICSDSTKKQFKFDHVFKPEDSQEDVFIQTLPIVISVLDGYNVCIFAYGQTGTGKTFTMEGTPDNRGVNYRTLEELFKISKERSSTMKYEISVSMLEVYNEKIRDLLVENSDQPPKKLEVKQSAEGTQEVPGLVEACVHGTSEVWELLKSGSRVRSVGSTNANELSSRSHCLLRVTVTGENLLSGHKTRSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTSHIPYRNSKLTHILQSSLGGDCKTLMFVQMSPSATDLGETICSLNFATRVRGVGTGPARKQADCTELFKYKQMAEKAKHDEKETKKLQDMVQSLQLRLAAREHISKSLQEKNRDLEQQLAEERKARQKQETRALATVSAQPSAVLSSIREVQNSKAENKPPLAPTRMRLPLRRISNFTPQSPVPKYKPRTSLLPSLKDDKENLSRMSTKPATLLPRRGSIAIKPPAQAKTQSIQPKRRASIASFRSEASSNITTPLNTSSTRLRKDRVGRQSFVWDPQRVWRTSRQQSPLPQSRDSSRATVEGTPAAVSRSSRFMGSPPSQIGSWKPKHPTVVALQRKPVIWSPLKSRGFKTNRRSMLPS >KZN09090 pep chromosome:ASM162521v1:1:20119531:20121295:-1 gene:DCAR_001746 transcript:KZN09090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIRAEEKLTKIAGESGPASPKHKVKFLCSYGGKILPRPFDNHLKYVGGETRVISISHDSSFSELMKKLASLVEGDMILKYQLLPEEFDILVSVKSDEDIRHMFEECERHSTGGTPRLRAFLFPANPTIIENRSMEPQALEQRYIDAINGIVRAPTPVKPVPLITNFSSFSIASPCSSPRSPDSYTTETIRPMPVPSGRPLMTRVHSSPSISNMSTLSQNLVNPRQPTQNQHHHHYKPTAMAPQFSHPHLHHQQYNQTSYLQPTKPPVDAPRSGQVPERFSKVRSMGRAETSRYHMDNVQNHYYSTIRQHRGNMNSSKYIYDDYVGGYGEGRTDTLSISPHSRSPSQGLLGTKTWDSAL >KZN11758 pep chromosome:ASM162521v1:1:47909828:47910604:-1 gene:DCAR_004414 transcript:KZN11758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDTVLGTALIDMYAKCGSVEVACRVFEEMPCRDVYAFTALISGLSNHGNSAMAVELLKRMDDEGIKPNEVTFMCILSACARVGLVDEGLRVFESMTSIYGIKPGVQHYGCLVDLLGRAGLLEQAKVVVRDMPMQPDIYVLGALLNACRVHGDADLGKEMVKGLINHGLDHSGVHVLLSNIYASADQWDHVERVRKGMVEKEVIKVPGCSLIEVGGVVVEFVAGDRSHAHMEEIESFWIQNDMHLKSLSLDSHILLS >KZN09252 pep chromosome:ASM162521v1:1:22100571:22103306:1 gene:DCAR_001908 transcript:KZN09252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSKTYTTTNFCTKALTSETGTKKDKVETLYKRLSAFREESDGNITDTLDNWVKQGHFVKRFDIVGYVNLLRKFKKYQQANQVYEWLEKTNNKMNNADRAIRIDLLAKTEGLESAEKYFDNLQGSAKTKKTYGALLNCYCKEKNLEKAYNLFEKMKDLHLSSTLNYNNMMSLYLNVDKPEQLPLLAEEMEGKNIIFDLYTYNQLMNSYASLKNLDAVEGVIEKMNKNKIRCDWFTLGNLAKIYTDSGLFQKADATLSKMEKLENLRDRGAFHILITLYAQTSNLAGVNRSWDSLKLVYEKPSNASYLVLLLALSKLGEVDSLEKNYREWESDHSNYDVRVSNVILESYLKRDMIEDAQQILENIVRNGAEPNTRTFESLTTYHIKKRDIDMALKYLEMGASKANFMKHKMFPNDETVRMFLQHFEEENDVDNAKKFCTCMKMIDRLDPAIYDSLICMDVSAVK >KZN11482 pep chromosome:ASM162521v1:1:45092335:45093179:1 gene:DCAR_004138 transcript:KZN11482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELANQDLGFGYISLSRSWSFGRKRVFLSSNLEKVDSCFVTPKKKSCVEDTSSMSSDKSVIEALPQDILIRILCGVEHDDLKRLFYVSKSIRAATVIAKQWHFAYSTPTKKMIFKNPVELENSDDSYELEAPNAPKQSRTARSRLNGKNQGLLSRRLFMDLETEI >KZN11167 pep chromosome:ASM162521v1:1:42239456:42240808:-1 gene:DCAR_003823 transcript:KZN11167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVEIVSREIIRPSSPTPDGLKSFKLSFLDQLAATLHVPLVLFYPGSTDQFYQKNSSYQTLKESLSLTLTELYPLAGRQKSQYLIECNDEGAEYVESRVICDMASVTEHPKLDHLRMLLPYNPHQLSSQNQLSMDQVALLAVQVNRFSCGGIAIGVCIRHVIADAAATATFFRTWARNNASCYRNVTEYIPKNYVFDCTSLFPPQDIALAFSARASKHNQIIHKTVTKRFILSDSVIKDLRKKCSMDDDHDNVNYPTRVEAVVAFLWTTIIQSSRKRLKRHEILLTVNLRKRMVPAIPPHSIGNVFQPSRAQWEAGEEKIADCKILTKKVSNDLRKMEDGYIRKIFENGNFFKGMKERLKMVANKSEEMGTFAVSSWCRFSFYETDFGFGKPSWYGTCLNYINSAILMDTSDSKGIEAWVTLDVEDMEKLEQNSEFLSCFSVSLSQTV >KZN11664 pep chromosome:ASM162521v1:1:46807950:46809461:-1 gene:DCAR_004320 transcript:KZN11664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLIKLLCIFFILISLFSTLVVSESLECEDESKDKCNNKQKALPLKVIAIFSILITSMIGVSLPLLTRSIPALSPDRSLFVIVKAFAAGIILGTGFMHVLPDSFDMLRSSCLSEDPWHKFPFSGFLAMLSAIVTLMVDSLATSFYVGKNSAGIKPETQIEAGDQEMAATSGHFHAHHHGHLPQPKEGVENSQLLRYRVVAMVLELGIVVHSVVIGLSLGASNNTCTIKPLVAALCFHQMFEGMGLGGCILQAEYKLVKKMVMVFFFSITTPLGIAIGLAMSTSYKENSPSALITVGLLNASSAGLLIYMALVDLLAADFMGPKLQRSIKLQLKAYVAVFLGAGGMSLMAKWA >KZN08953 pep chromosome:ASM162521v1:1:18108182:18108427:-1 gene:DCAR_001609 transcript:KZN08953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASEVNLFIKGLYLQWTRNRSESKVVVEMKKRFGDLTTDMAVRTVIAGKRYSGTGVQNDEESRRLQEAKGQMKKTADRDR >KZN11078 pep chromosome:ASM162521v1:1:41542997:41546399:-1 gene:DCAR_003734 transcript:KZN11078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGVVWNCAAELKLLLTALLFLTSLLTIFQFLPSQLSFSSFCISPEKMGPTNTLISRNALAGIIPPENGKTGTSPLDLTENPPNITDISADPSPPETRLPTGVIKRRFNTYGAAAYNFILMSAYRGGLNTFAINGLSSKPLHVFGKPSYTCEWVPHGNSGEPIKVAGEKILPDWGYGRVYTVVIINCTFPTPVYKTGGKLLLHAAANGGGDTELNVTDTFVVLTEAPNSLNPRIFTDPPKYDYLYCGSSLYGNLSPQRVREWLAYHIRMFGEKSHFVIHDAGGVHPEVMEVLRPWIEKGYVTLQDIRDEERFDGYYHNQFLVVNDCLHRYKFMTKWMFFFDVDEFIFVPKKSTLKSVMDSFSEFSQFTIEQMPMSNKLCLTEDRGKSYKKWGFEKLVYKDSKKGIRRDRKYVVRPRDVFATGVHMSQNMVGKTTHKTEGKIMYYHYHGTISERREPCRQLINVTQLNFERTPYVLDTTMRDAAGAVKRFELKMIGSTLQRTRQ >KZN12086 pep chromosome:ASM162521v1:1:50886328:50887197:-1 gene:DCAR_004742 transcript:KZN12086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPVMSFQDSSPIHYSLSPSFTPSPKRSNLEPCSFISLDTHLKNPAFSVKRPSGSRKSRTMAAMSSNMCAPPQATESFYELLGISESGSLSDIKKAYKQLARKYHPDVSPADRIEEYTQRFILVQEAYETLSDPQTRALYDRDMSKGFHFNFSARGRGAAYGQGSDVGGEWKNMWESQLTKLKHKSVYSDGSRQSWGAKMRSQNRESC >KZN09177 pep chromosome:ASM162521v1:1:21298673:21298925:-1 gene:DCAR_001833 transcript:KZN09177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRVVSDDNVRTCTWLKSPTGIGSRVLKTTLKFPQSKSLQCLKHLKCLITGIRV >KZN09877 pep chromosome:ASM162521v1:1:30278948:30281051:1 gene:DCAR_002533 transcript:KZN09877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFRGNLCFFIVILLFLNSNNVQGRYHYHKKQKPKKSPVSPSPVLPSPEPPVSQSPSYPPPVPSDPNTDPPPVSDDPGSSTGCVFDVTSFGAVGDGTTDDTAAFRDAWKAACAVESAVVLAPSDYTFMITSTVFYGPCKPGLIFQVDGVLMPPNGPDCWPEKDSNKQWLVFYRLDDMTFTGIGTIEGNGEQWWELPCKPHRGPNGSTLPGPCDSPALIRFFMSSNIVVSGLRIKNSPMFHMKFDGCEGVLIEKLSISSPKLSPNTDGIHIENTKFVGIYDSVIGNGDDCISIGPGCANVNIEGVTCGPSHGISIGSLGVHNSQACVSNITVRNAIIKDSDNGVRIKTWQGGAGSVTDISFENIQMENVRNCVIIDQYYCSNKACLNQTSAVYVRDISFRNIKGTYDVRSPPIHFACSDTVPCTNITMSEVELLPEEGELVDDPFCWNTYGNQETLTIPPIDCLLDGEPASEVENYRLQCS >KZN09715 pep chromosome:ASM162521v1:1:28719399:28721067:-1 gene:DCAR_002371 transcript:KZN09715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQAQMFRPPETPMEPMEFLSRSWSVSALEVSKALAPPPPLLAKTISAGGGAAAGTIFEDLAGELEEVMTVSGNPFSFASSQTSQLIMERIMSQSQEVSPRTSGRLSHSSGPLNGSLSDSPPVSPSEMDDLKGSLCSLPNLSVLECGAKDCDLVANGPSVLWMQLGEIKMTTMDINCKSQVSCGDDFAGQHIFEGGTH >KZN07984 pep chromosome:ASM162521v1:1:1193999:1198122:1 gene:DCAR_000653 transcript:KZN07984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQGPCCHCGVTSTPLWRNGPEEKPVLCNACGSRWRTKGSLTNYRPLHLRAEPPEIIDYRVQRMKGLSINHKEAKVLKRKQNHDAEVAAKVAPDHGFHKGARDALDYNPGYFKTLAEDFSNRSSSGSAISNSESCVHLGSADANDLTGAPQSIVWDSVVPSRKRTCVRAKPSPVEKLTTDLYAILQEQTYLSGSTEEDLLLECDTPTVSVEIGHGSVLIRHPSSIAREEESEASSLSVSNKPCPANEACSQFTTLCNRTLNKFGNVSSAEIKKKGPTGQEMEQELYKRKKGQQEKLEILENHKSPLSSIDLKDVINFEEFGKHVTNFEWQELLKLLPSVDTVELPNSLRTMFNSLQFKESLSTFQKLLADGVFDLSSSEVNSEFNRSLKRLVLCNLTKSNWVEEYNLLKDTKCKSSFDSSSIARGNAAVAPAQSPNTQRSCDSEPPNLPGSKKAVNASYDNEAPLFGPKILLEAPRDSNSLMHDCFHFSAESSDHDLLLNIPSHSSFPQAELLLPNAGFGAQASTTSS >KZN11983 pep chromosome:ASM162521v1:1:49881037:49881368:-1 gene:DCAR_004639 transcript:KZN11983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHEDDERYSRRQAKRLRRFSFYTAEEEAEERLHGFVSERELQKGDEIGLFWCNYSHCFFFSVLARAPAQPSV >KZN11640 pep chromosome:ASM162521v1:1:46596415:46597517:-1 gene:DCAR_004296 transcript:KZN11640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPEAEDNRWPPWLKPLLRETFFVQCKLHADSHKSECNMYCLDCINGPLCSLCLNHHRDHRAIQIRRSSYHDVIRVSEIQKYLDITAVQTYVINSAKVVFLNERPQPRPGKGVTNTCEACERSLLDSFRFCSLGCKIAGTSGHFVRKRMPEKKRKATVWDIEESYDSSGSSSHGHQRGNFGNLRSFSPSTPPPTVASFRTAKRRKGIPHRAPMGGLIIDY >KZN11399 pep chromosome:ASM162521v1:1:44314004:44321965:-1 gene:DCAR_004055 transcript:KZN11399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRLFLLLFALFSAIPPSFSRHHGPYALRISCGARDDVHIPPKNTKWHKDIAYTGGINTNATLPSSISPALTTLRYFPLSEGPENCYSISKVPKGHYFVRVFFGLVKEPSFDSEPLFDVSIEGTLIYSLPSGWSNHDDEQTFVEALLYLPDYSASLCFHSTGHGDPAVLAIEILQVDNKAYNYGPQFGRVTMLRTGKRLSCGAQKPKFDADINGDRWGGNRFWNSFSSFGQHSDRAISTNSSIKQASNAPNSFPEALYQTAIVSTNQSDLSYTMDVDPGRNYSIWLHFAEIDPSIKSSGQRIFNIEINGNILFKDVDIVNMTGDIHSALVLNTTVAVVGRSLRIILRPTKGSHAIISGIEIFEVILAESKTMLEEVRALQALKSAMGLPRRLGWNGDPCVPQLHPWSGADCQFDEESSKWVIDGLNLSGNSIHGTIPSSLGAVTSLEVLDLSYNFLNGSIPESLGQLTSLRRLNLNGNSLHGKVPAALGGRLLHRASFKYVSLSLIQKLDKYYYPGVPATDHARVSAGHEVFDAHLQNDDAVHQDLLLLHLRFVRGILTILGEPNPLVLCLVGGNNLGDNAGLCGIPGLPKCGTHLSIGGKIGIGLGACAALMLLLICSTCWWKRRQNILRTQRIAAREAPYAKARTQSLRDVQMIRNQSLGHTRTASENGPPLLS >KZN09230 pep chromosome:ASM162521v1:1:21881963:21882199:-1 gene:DCAR_001886 transcript:KZN09230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSNRQKKSFGLFSIFRSTRTRRGKDMNGTDDFVKLYKVYRSDEDRGHWVAEPGIDKKASAYIAKSQRNWNLADVSN >KZN11046 pep chromosome:ASM162521v1:1:41269958:41271157:1 gene:DCAR_003702 transcript:KZN11046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTMQGLQLHRTKTIFFWLSLLFTAICCRNIDEKLEVVGFIEHSDFTGSNIKVNQTFSGLYVTIVGRSADGKLKTMGSSKLNEEEKFKIFLPQETVKDGVIRKDCYAKVHGASDSSCPLANSEETSVIIFQYKSQKKNTISHSAKLNLSPITCTSKSFWPFQRPKWLPILPPRFKHQTPQAPILTPETQLAPSFSPSDQPVSSLSPPLSQPDDVPPAVSPASDNPLPNTPVPVYKHSSPSPSPIYLPHKHYPPASSAPSILKPKQPSKDLSPAPAPSSDTEASPLPDGPLPSSPSPTGNAGLSPAPIPSFHNLASPPPNEALPSGLSPPPSANNNPRPPHAPRFRIPQPPPIPTITRPSPPDS >KZN09766 pep chromosome:ASM162521v1:1:29174949:29177901:-1 gene:DCAR_002422 transcript:KZN09766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIIASSTRCFISDYSPLHGNAATSVILTRSVATFARTKPHVNVGTIGHVDHGKTTLTAAITKVLSEEGKAKAIAFDEIDKAPEERKRGITIATAHVEYETERRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVELELRDLLTFYKFPGDEIPIVRGSALSALQGTNEDLGRKAILKLMEAVDQYITDPVRQLDKPFLMPIEDVFSIQGRGTVVTGRIEQGTVKVGEEVEILGLKQGGPLKTTVTGVEMFKKILDNGQAGDNVGLLLRGLKRDDVQRGQVVSKPGTLKTSKRFEAEIYVLTKDEGGRHTSFFSNYMPQFYMRTADVTGKVELPENVKMVMPGDNVTATFELMSPVPLERGQRFALREGGRTVGAGVVTKVIK >KZN11279 pep chromosome:ASM162521v1:1:43347825:43349445:-1 gene:DCAR_003935 transcript:KZN11279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYKEDQRLLKKYNDRDSVVDSGNVYNVQAEVVKICESRDRIILLVTRLLDRGIVLTRINTHIRDTSVLFRLLCFGGLKKTLNLNTKERKRFEVYACAMAERNYTLEIWRLLDPEAHLIDHKQLYISSYCIRLLRQVYIEAFAQGLPRSKVPSREVKVIDDRLKVWEDVDQP >KZN09954 pep chromosome:ASM162521v1:1:31121543:31128145:-1 gene:DCAR_002610 transcript:KZN09954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSKVKFPRQRAQASQVSRKSGKRGGALSVTCQGDKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLVVQNVLSAAISRGCTMLHPGYGFLSENANFVEMCREHGINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLPNTEEAIRVADQIGYPVMIKATAGGGGRGMRLAKEPEEFVKLLQAAKSEAAAAFGNDGVYLEKYVQNPRHIEFQVLADKFGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTIEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVARGEKLRYKQEDIVLRGHSIECRINAEDAFKNFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTRERAIERMKRALDDTIIVGVPTTIEYHKLILEVEDFKNGKVDTAFIPKHEDELAAPLGIVPATPAKEIVNTSA >KZN10584 pep chromosome:ASM162521v1:1:37239535:37240221:-1 gene:DCAR_003240 transcript:KZN10584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNHYKDAHGISLSKHLAENPANEFLSALLTAIKCLTEPKRYYEKKGTDEDALTRVIVTRAEVDLEEIKDLYYKRNSVTLENAVAKETSGHYKAFLLTLLGKQD >KZN09021 pep chromosome:ASM162521v1:1:19116582:19116803:1 gene:DCAR_001677 transcript:KZN09021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADFCSRRPREKKDLIYAATAAARGQDIEVPDLMLYNILLLSPFIPERGAAGDHAARIPTPGAVISGHAVRN >KZN08227 pep chromosome:ASM162521v1:1:4132363:4132807:1 gene:DCAR_001292 transcript:KZN08227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLRERRKRMRRKLSMIPTDYDQLHLIPTCVDGSWGVGFDCDEEDGMKEPPVVGMMKAGSVLGGMGAVKGALGAKEDAMLEKTAFELMLDAPGKIKELVEKIPAVFKKSFSKEEAEQIIMKMKALGAKVVMK >KZN08115 pep chromosome:ASM162521v1:1:2860823:2861569:-1 gene:DCAR_000784 transcript:KZN08115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNVNDLIILSYEFLKSVLKDDASVIGAVKRCTWLLTQDLEKSLRPNVELLQSYGVPNDRIAGMLRYQPRTMIQNAVGFKMVVEEVRKMGFEPVKCHFIWACQVKLGLSETMWKRKWDYFKKWGWSDDEIRSALMKQPKIMSVSQKKVEKVLDFLVNDMRWEISEVASCPIVVMHSLENWTKPRCLLIQFLLSKGAVKKDLALSSVIVSVERRFVENYVKKYCAEFPEVLELYASLTLKKKPKQPVK >KZN10175 pep chromosome:ASM162521v1:1:33293414:33302528:1 gene:DCAR_002831 transcript:KZN10175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-22 MAQDMANIKRELMVKNIGRDPYKDLIMVDVVQRLGIDYEFKEEIEQVLERQYTAIDELVNNKDLYFVSLCFRLLRQHQYYVSADAFNNFVNKTRNLEIRGESNDALMSLYEASQLRVEGEEVLDEAEYLSRLLLQERMKFLNHDQSIAIKNTLAHPHHKSFARITEKHIISNVVNGNEGYEKALQELVTTDLALMRTIHDRELSAVSRWWNDLGLAQELKLVRDQPLKWYMCTTALLTDPGFSEERIELAKPISLIYIIDDIFDLYGTIDELTLFTEAVNRWDIAATEQLPDYMQKCFLSLHNITNEIGYKIYKKFGLNPIDYLKISWSKLCNAFLEESKWFASGHLPLAEEYLNNGIVSSGVHVALVHMFFLIGDGSTKEQAELITSNASMLSYPAAILRLWDDLGSAKDENQKGHDGSYVTCYMKEHGEVSVEAARKHVQDMISDTWKRLNKECFSPNPYSKTFIKGCLNLARMVPLLYNYADNQSLPQLEEYMKSISEMGVEALSAYRAVLRAIRKSFRGDDLMLRESAKEVRKKFEESRFVNSPPEIQRLLDEAREAADFIANMIVQAKPNDRGTYVLTLLTYSASSENGDDYVQDACSVTRYRNLCIRSLSPFSTTAKRNPTTWARAGVSVTISEAKRVASHLVKLSSSGGRYKGALQDCVEVFQDSLDNLHKSLYVLRELNGQTFTSQMSDVTTWMSAALTDEDTCLDGFSGHKGRQIKSLQNRIRNVSYITSNALALVNKLATTGVSDP >KZN08053 pep chromosome:ASM162521v1:1:1798202:1799241:1 gene:DCAR_000722 transcript:KZN08053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKEHEDKGLLSHLVGGGHHHQDQYPPAQGGYPPQGYPPAAGGYPPQGYPPQGYPPAGQSGSHHSGHGGIAGMVAGGAAAAAAAYGASHGHGHGHGGHGGHGGYVQHAAGAMGMPGFSGHGHGKFKHGKHGHGKFKQGKHGMLKKHGFKKWK >KZN09232 pep chromosome:ASM162521v1:1:21903110:21907566:-1 gene:DCAR_001888 transcript:KZN09232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKYLWTILCLWAFTCTLINASSDGLLRVGLKKRQLDRDSIKAARSSKLDSKYVKTGLGDSDIDTVSLTNYLDAQYYGEIGIGSPLQKFTVIFDTGSSNLWVPSSKCYFSIACWLHPKYKSKKSSTYTENGKKVTINYGSGSVSGFLSQDNVEVGDLLIKDQVFIETTKEGSLSFVVAKFDGILGLGFQEISVGNVVPPWYNMVDQGLVKEEVFSFWLNRDADAEEGGELVFGGVDPKHFKGEHTYVPITDKGYWQFDMGDFLIGNMSTGYCDGGCSAIVDSGTSLLAGPTNVVTQINHAIGAEGIVSMECKEVVSEYGEMIWDLLVSGLGPDVVCSQIGLCSSYGKHQSSIIKTVVEKADQGEASSSDDLGCTACELLVSWVSTQLKQKGTKESVLNYVNQLCESFPSPMGESVIDCNSLSKMPNITFTIGKKTFSLTPEQYILKTGEGVATVCLSGFIALDVPPPKGPLWILGDVFMGVYHTIFDYGNLQLGFAEST >KZN07953 pep chromosome:ASM162521v1:1:943463:945849:1 gene:DCAR_000622 transcript:KZN07953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGWAGPSGFSGKSTAEQVTQGIDGTGLTAIVTGASNGIGIETARALALRGVHIVMGVRNVNAGNKVKEQILAEAPNATIDVMEIDLNSLASVSKFASEYISSGLPLNILINNAGIMAPPFTLSKDNIEQQFAVNHLGPFHLTNLLLDTMKKTARESNKEGRIVNLSSVLHKSSYKEGIRFDKINEESSYDGNAAYGQSKLCNLLHTNELARRLKADGVDITANSLHPGLIATNITNNLNLVGWLFFTIGQFFLKNVAQGAATTCYVALHPQVKGVSGEYFIDSNKADPSATSAMSKDPELAKRLWDFSLNLTAGK >KZN10706 pep chromosome:ASM162521v1:1:38318632:38320128:1 gene:DCAR_003362 transcript:KZN10706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAFFFVLMMTLTSFSVACHAQLTAKAAGLPYLHPYMNRNAHFKHGINFAVASSTAMSVEDLAKRNITVNDKTSNSSLQVQIDWMSDFLATYCMPGRDCESKLKMALFMMGETGGNDVNAALLNRKSDKEIKALFPAVVETIMNATRQIIKFGARTIVIPGNLPIGCLPVYLAMYGTKGAAHDKNHCLRRLNHLAIHYNRHLQNGISRLRAERPGVKIIYGDLYNAFEWLIDHAPALGFDEDSLLIACCGAGGKYHVGGFAKFCGSRGVSVCSNPDGHLNWDGVHPTQKANKYLSSWLIKDMLPKLDCPSS >KZN10232 pep chromosome:ASM162521v1:1:33746772:33747260:1 gene:DCAR_002888 transcript:KZN10232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGQVVLVMSIASLLLALFPQAESTPVPEALAPVDSKTQMTRFINSAMILGMQKTREHLQGLETQVKHPATSKPTYDCLETCQDVYEAALDDMKNTIEDLESDNYYKVNMDLTSISANIDTCNVCYREMVGEDLEAKIFSDWATGMTNDCLDKLEAVTS >KZN11199 pep chromosome:ASM162521v1:1:42503031:42504700:1 gene:DCAR_003855 transcript:KZN11199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAAYPYPDPYYRSIFAPYDTQPYPAQPYPAQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGIMRRRQSRAKAESENKALKSRKPYLHESRHQHALRRARGNGGRFLNAKKDENQQSEASSDRSQANINLNSDKIDVASSDSCC >KZN11175 pep chromosome:ASM162521v1:1:42318822:42320411:1 gene:DCAR_003831 transcript:KZN11175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQILLVFSLISVTHAAIDPDYTAFVQCLVKNSSPGDKNMVSSIVYTPQNSSFASVLQAYIRNKRFNTSRTLKPTIIVTATKYSHVQSTVICAKNMGIQLKIRSGGHDYDGISYVSDEKFIVLDMFNFRKIDVDIESETVVVEAGAILGELYYKIWEKSNVHGFPAGVCLTVGVGGHLSGGGYGNMLRKFGLSVDHMIDAKIVDVKGRILDRRSMGEDLFWAIRGGGGASFGVILSYTIKLVKVPRVTTVFQVTRTLDENATDIVHRYQFVVDKLDDGLFVRLVLQPEDGKVERMKTVSATFMGMFLGGSTRLMRVMDKGFPELGLKKVDCLEMSWIRSSAWWDNIDNKRSVKELLFLRNSDGVNFLKRKSDYVQTPIPKEGLESMWRKLTELGKVGFVFNPYGGKMNEISSSATPFPHRAGNIYKIQYTANWHEEGPEADKIYMSQIRSLYSFMTPFVSKNPRGAYLNYRDLDIGVSNHGKDSYTQGKVYGQKYFGANFERLVKVKTAVDPDNFFRNEQSIPVKMQG >KZN08142 pep chromosome:ASM162521v1:1:3129913:3136892:-1 gene:DCAR_000811 transcript:KZN08142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKKVNPDSNDDQGVLRILRDAPPAHYSLRIEPFSGFTNLGIDKYESDAFDVGGYTWRIVIYPKGNTKRGIEGHISLYLVIDKTESLPLGWEAYATYKIFLYDHNKDKYLTIQSDPAQQVRFHREKKENGFDKLIPLDTFSVAANGYLIDDSCIFGVEIFPVKYTGNGETLVMNIVEPTEVTFEWKIDKFSKICKRKPNGRIEFSDQFAVGKYKWRLMLYPNGDSTSKDCVSLFLELVKGPPTGKKVLTEFSLGIRNQICDQQQQAGVVRYLRDAPPAHYSLKVESFSKLVDGGDEKYESATFDANGYTWRLVLYPNGNARRGGGGHISLYLVIEETDSLPLGWEAYVTYKMFVFDRNKDQYLTIQDDPVHQIRRFHQAKKERGFDRLISLETFNDATNGYLIDDSCIFGVEVFEVKNTGIGETIKIIDDPVEATFDWKICEKRWFIRTNPTNHFSTWGVISFMSLSELAREGNGFIVDDTIELQAKVFII >KZN09225 pep chromosome:ASM162521v1:1:21841692:21844040:1 gene:DCAR_001881 transcript:KZN09225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGEAANKYLSPWNKNKEKGGFRAASFVYGFVFLDNIGFIANMVSMVLYFMLQMHFNLSGASTTLTNFMGSTFLLSVIGGFISDTFISRFHTCIIFGALEVMGLALITIQARFDKLHPDPCSVQESSCLKGGISVMFYGSLALLALGSGGVRGALPALGADQFDVNDPKSAKALATYFNYLILATSAGACIGVTFVVYLSMHVHWYWGFFVATVLSCTAFSFLYTGKAFYRLQVPEDSPLVKVVQVIVVAIKNRKLPLAENSAELYETNEKESGLSVYRRIPHTKQFSWLDKAAILRKESKQEPTASTGWTICTVTQVEEVKIITRMIPIIFSTIIMNTCLAQLQTFSVEQGFIMNRYIGSFQIEAPTIPVIPLLFMCILIPLYEFVFVPFARKITKHPTGITQLQRVGIGLVLSVLSMAIAGIVEVKRRKYALDHPGESISIFWLSFQFGIFGIADMFTLVGLLEFFYREAPIKMRSLSTSFTWISLSFGYFLSTIFVTMINSVTKKVTPSKKGWLHGQDLDTNNLDLFYWFLAILSCLNFVHYLYWANWYKYKTEQVMIEPLQAQESISTSAAYLIKKDDGKAQNE >KZN09544 pep chromosome:ASM162521v1:1:26849884:26855658:1 gene:DCAR_002200 transcript:KZN09544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKYTHLRFEFRLLLLIALIFSFSVVQGITDSSDVEALQELYSSLNSSSQLSRWTSIGGDPCEESWLGITCQGSSIVSIQISGLGLSGSMGYMLSSLGSLKTLDVSDNRIHESIPYQLPPNLIKLNIANNNFSGNLPYSIASLVSLNYLNTSSNSLGQSIGDIFANLTDLLTMDLSHNNFTGYLPTSFASLSNLLVLHVQNNQLSGSLNVLEGLPLADLLLGNNIVITLALNRYDRNSFDESPAPPPPRPLGRHHHTSSGSLTSWGSDGQSCNSVKGNKNRLTVGPIVAIILGSLVVVVLAAVVLFAGVREKKRNDNTIKHSFGNIPRIQMKVNNEGHEQRVKPTPTTLNLTSLSTENLLSHKMQGNNGSVNVVKSPVTATSYNIGSLQGATNSFSQEKLVGEGSLGRVYRGQFHNGKVMAIKKIENAALSLQDEDNFLEAISHMSRLRHPNIVPLTGYCTEHGQRLLVHDYIANGSLQDMLYLSDDRIKVLTWNVRIKLALGIARALEYLHEVCLPSVVHRNLKSANILLDQELNPYLSDCGLAALLPNTERQVSSAKMVGSFGYSAPEFALSGIYTVKSDIYSFGVLMLELLTGRKPLDSSRVRAEQSLVRWATPQLHDLDALAKMVDPTLNGLYAAKSLLQLAEIISLCVQPEPEFRPSAPEVVQALVQLSQRASVQKRRSSEE >KZN09141 pep chromosome:ASM162521v1:1:20833242:20835382:-1 gene:DCAR_001797 transcript:KZN09141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKSGRREKHRKLLKVKLKIKKKAKESSGVTRLVRKYWVQRYNLFSRFDEGIELDEEGWYSVTPESIAVRHAKRVEDGLVVDCFTGCGGNAIQFAAMGNHVIAIDIDPFKVALAYNNAVVYGVEDYIEFIVGDFFQLAPSLKGDVVFLSPPWGGPSYIQSQKFTLDMLKPKDGYAMMTSTIF >KZN08976 pep chromosome:ASM162521v1:1:18575930:18580847:1 gene:DCAR_001632 transcript:KZN08976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSELDDASDTQPLKAPEVQTQSGVELDNPAGNSRSMVSVSGLSMWAKNLKIPDSLVGKQDSSPSDKSPLARFTSGLGFRASPKASRLDDSAEGTSPSAQSNLFGTITKGLVDSSKVAVKAVQVKARHVVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGFFGFVEGFYRNHMEEVIKFLETYHKGRYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLIISFCRSAYSWLKQDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCFDGKGLVLPSQIRYVKYFEHVLSFSNGENHPVRRCILRGLRLHRCPYWIRPSITISDHNEY >KZN08555 pep chromosome:ASM162521v1:1:10371615:10373388:1 gene:DCAR_001085 transcript:KZN08555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFETNLQDLEVVYNRCLTNFIDSLALFPQNRKLSELKKRYKLFFKMFGESSPVTKSLSNTTAQMGVKAAAGVEGVVSEPSFSLGLSQLFPKNLGRDMESCEKTPQLVTHARNLVSEDSVLPAEDRPAKIRPRRGMLPSASCRSPYVTRVTDISNHTLTSEEKDVWNWLCKDKSNEKEHIFAWRDRGCTKAHFHSLEDNQLVESTVIDTWTHMLNESEILRSAASPLRLFLTSETTYGPLLMDGSDTDRRLDRYMAFDDNMDVVMNMVNEVHNKRYDISDFDMFYFPIFTGAHHFIVCYNIKKPSWEIIDNRVQTMSIEDTYGDLLPRLHDLFIHFLLCNRPPKYSEIVNLKPQVLKMSWQTVDNSVDCGVFVMRHLESYMGNPMSWKSGLRTEVENQKSVLHKLRVIYAHRMLTWSQNKKRHRVLNYLVKFSMSKLQQK >KZN08288 pep chromosome:ASM162521v1:1:4971864:4977141:1 gene:DCAR_000834 transcript:KZN08288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVGTDSDGREFKNADEMWKEEVGDTQKKTDWYRTGVGYWEGVEASVDGVLGGYGHVNEADIKDSEAFLNSLLVDFFPDAGRNQHLVALDCGAGVGRVTKNLLIRYFNEVDLLEPVSHFLEAARDNLAPQNLMVSELHKAANFYCVPLQEFTPDAKRYDVIWVQWCIGHLADDDFVSFFNRAKVGLKPGGIFVLKENIARSGFVLDKEDKSITRSDLYFKELFNRCGLHIFKLKDQKGFPNELFAVKMYALATELPKKISGSRPKRKAANRPAIIK >KZN07882 pep chromosome:ASM162521v1:1:446546:451473:-1 gene:DCAR_000551 transcript:KZN07882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHASVATSRVPYRTRVKPLKNSIVPSPSPSPSSQGPIASHRTGTLRRHHHHHHQTKPLAFSPSPSTVPGCNQICLEPLTSAPFGSLCSCVFPMKVRLLFDVSLLAIFPVINELEIEVAEGTYLKQSQVVITGASADDQNQDKTAVDINLVPLGEKFDNTTATLTYERFWQKKVPLNKTLFGTYDVASITYPGLPSLPLSGGHHSSGPSGSAGKQYPITADFINKSQKMNTRTILIISSSAVVVLVVCIGAVIVLLNCRKDGRPSNAVRHAYTPSVNRRSGIVSILSSPTSSKSASLFSAMPTSLLSVKTFTLAELQKATDKFNANRVLGEGGFGRVYHGLVEDGTEIAVKLITRESQNGDREFIAEVEMLSRLHHRNLVKLIGICFERHTRCLIYEVVPNGSVESHLHVTSDWICRYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRQPVDMSQPSGQENLVTWARPLLTSREGLEQLVDPTLAGSYQFDDMAKVAAIANMCVHPEVTHRPFMGEVVQALKLIYNDTDGDCSSQRVSSAIDVDFKDDSVSDSSWWNAGGLTPRLTYGNATSFITMEYSSGPLDELGNRAFSGSSPMGDRVSLPIRHGNRSGPLRTVRSKPSFYRTKGSMSEHGLLSKRGWDEGASHEASF >KZN10385 pep chromosome:ASM162521v1:1:35179845:35180436:-1 gene:DCAR_003041 transcript:KZN10385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPGDWNCRSCQHLNFQRRDSCQRCGEPRHGSIERGVIDFGFGSGSGMRNAGLSPFGLTGPDVRPGDWYCNIGNCGAHNFASRSSCFKCGAFKDETGGGGFDGSAADISRGRGFGSIGGGSGSSGRSAWKSGDWICTRLGCNEHNFASRMECFRCNAPREPGSRSPY >KZN11625 pep chromosome:ASM162521v1:1:46447563:46447880:-1 gene:DCAR_004281 transcript:KZN11625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELADRAVGFLLSFISLSIFTYYTFWVIILPFVHGDHFVHKYFLPQEYAILIPVFAGVTLLSFLCIFIGYVMLKSKKKKA >KZN08666 pep chromosome:ASM162521v1:1:13240820:13246437:-1 gene:DCAR_001196 transcript:KZN08666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTGRTILTLSLSSTATRFSFLHIPKTYKPISPLYLKRVYLGFRPLCSNTSIATNPSVNEAIEPMKHSILLERLRVRHLKDSTKTPQSRSGQESGGVSEGGKVGKKGSENGGKVVNFGELGLSDEVIGALVEMGISVPTEIQCIGVPVVLEEKSVVLGSHTGSGKTLAYMLPLAQLLRRDEALYGMLMKPRRPRAVVLCPTRELSEQVFRVAKSVSHHARFRCTMVSGGGRLRPQEDSLNGPIDMVVGTPGRVLQHIEEGNIVYGDIKYVVLDEADTMFDRGFGPDIRKFLGPLKNRASKPGGLDFQTVLVTATMTQAVQKLVDEEFQGILHVRTSTLHKKIALARHDFIKLSGAENKLEALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLSENQISTVNYHGEVPAEQRVENLKKFKSDDGDCPTLVCTDLAARGLDLDVDHVIMFDFPLTSIDYLHRTGRTARMGAKGKVTSLIAKKDHSLATRIEEAIMKNESLESLTVDYIKRDTARSKISQNTVKNAKLIKVSSRGKKAVSGKSASVHGKAGSTRASGSNSGRASSTIKSKRGATKVSRPLKSSNTSNSRGPVSGGKKQSSGFKVSKPVKSSTVSSNRGPATGGKKQTGSGKSSAVKSTSKLNVVGFRGRSSSSNKSGPFRAS >KZN12133 pep chromosome:ASM162521v1:1:51300685:51302772:1 gene:DCAR_004789 transcript:KZN12133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKCDSFKDVNKVRYDWKVTARVMNLWRGVSTKGEPFTSFNLLLLDNKRCRVHAFVPGSVAASLEPILEIGKIYLFNNFTAKDYKADEKFRPVHKTWQIVLGQETKITSLDENEVAIDKAAFDFYDLADLKDLANQSTYLTDVIGVVHEREIQLGDIKNRFGVQQRQIKITITDGRRMVKVTFWDDFAQLFADAVKKNTFEYPLILIVCCGRAQEWQKQINITNVTATTFYINCNHSSVAHMRKMLSQPEFEEYNKSVPKWKPIQMLSINQIKNVKADDSETEVLCKVIIDKVMQDTWYKDICTSCYSKLQVVGYEMNCLTCPRTVPYADKWFEICCMASDATGSIPIMLDNFSAMKCFGKRAYDVYNKGNEVFPDIIKSLEKKLYTVKILITIHNITGRNKVYTVKDMVPGHILKTEASESEDTTPKPVQESFAEPSSSSYHLDSVSENI >KZN07846 pep chromosome:ASM162521v1:1:117605:123965:1 gene:DCAR_000515 transcript:KZN07846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSTQQKQLLDAEEVDVVHQVDKEMGFTLEDCKLIKMHMANYIARLAQNVKVRQRVVATAVTYMRRVYTRKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLHSDEKYRFEIKEILEMEMKILEALNYYLVVYHPYRALSQLLQDAGMSDATQLAWGLVNDTYKMDLILIHPPHLIALACIYVASVLKDKENTTWFEELRVDMNVRRGYISPCTS >KZN10038 pep chromosome:ASM162521v1:1:31913890:31916226:-1 gene:DCAR_002694 transcript:KZN10038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSNLAPSAASPDWMNKGDNAWQLTAATLVGIQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLVCWVGWGYQLSFGNKKMFPFMGRPDVALDQKFLLEQAFAGMLPNATMVFFQFVFAAITLILIAGALLGRMNFVAWMLFVPLWLTFSYTIGAYSIWSPWGWLSTRGIIDYSGGYVIHLSSGVAGFTAAFWVGPRATKDRERFPPNNILLMLAGAGLLWMGWSGFNGGDPYVASTDASLAVLNTHVCAATSLLTWLMLDIIFFEKPSVIGATQGMITGLVCITPAAGVVQGWAAITMGLLSGSIPWYTMMVLHKKVWFLKQVDDTMAVFHTHAVAGSLGGILTGFFADPTLNRMFYNLPKESDAWKKYIGLAYGLHNGRTTAGFRQMGIQLLGIFFVVCLNLVTTSIICLLIRLIVPLRLSDEELENGDDAIHGEEAYALWGDGEKYENSKHNSVYGMEEINTTRAQV >KZN08734 pep chromosome:ASM162521v1:1:14681712:14684558:1 gene:DCAR_001390 transcript:KZN08734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLNPNVARLDSEIIYDRDFDYDYFGFKTLERSYLLKIEGKVVERPQHILMRVAVGIYKDDVESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDNIERIYDTLKECAIISKLAGGISVSVHNIRATGSHIRGTNGTSNGIVPMLRVFNDTACYVDQGGHKRKGDNAIYLILQEEHRARDLFYALWIPDLFMERVQSNGVWSLFCPNEAPGLADCWGQEFENLYAQYEREGKAKKVVQAQSLWFEVLESQMETWTPYMLFKDSCNRKSNQQNLGTIKSSNLCTKIIEYTSPTETAVCNLASIALPRFVRVKGVADESQPSKLIGSQDSKNRYFDFDKLAEVTAVVTVNLNKIIDVNYYSIETARRSNLRHRPIGIGVQGLADAFILLGMAFDSLEAQQLNKDIFETIYYHSLKSSSELAKKEGPSETYFGSPVSKGIIQPDMWGVTPSEQ >KZN11850 pep chromosome:ASM162521v1:1:48722213:48724991:-1 gene:DCAR_004506 transcript:KZN11850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGPSTMCNYGQCPWRKVGILELDLKNKILANAIKAFNPLRLRVGGSLEDQTVYKVGLVTKCPHFKHNMNVRRFGMSNGCINMERWDQLNHFFNETGAKVTFGLNALRGKKLENKNSTLWVGNWNKLNAQEFMKYTISKGYKIDSYELGNELSASGVFARVNAGQYGKDMIILSNLVKKLYANFSDQPKIIGPGGFYDKEWFNEFLRVSGPGIVDGLTHHIYNLGAGDDPKLIKRVQDPFYLDEIAQTFKDISESIKRYGPWSGAWVGESGGAYHSGGRNVSDTFADGFWYLDQLGMASTFDHKVYCRQVLIGGNYGLLNTTTFAPNPDYYGALLWHRLMGPRVLQASHDGSPYLRSYAHCSKDSERGDITVLLINLSNSTTFVVNTVNDLNLYPSEHTESFPPREEYHLTPEGGNIQSQVVLLNDTPLKLTKTMEIPNLNPALVSPLEPIKVAPHSFVFAVLRGFGAPACSS >KZN10226 pep chromosome:ASM162521v1:1:33694274:33696696:-1 gene:DCAR_002882 transcript:KZN10226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNREGSDIDDDFSELYKEYTGPPVLSNVRAQDVPKGNKRSHAGSDEEEEPRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREQHVKALFSQKVINGIEKDIGCKIKVEEKFIIVSGKDRLILAKGVDAVHKVKEEGNKKDSSSSHVARSKSPERSPVATRFTRSDSQRSNHSPQTASLFQQRFGKQEKAVEDDVREDLKKLSRSSPKAYVIDGARGRSSHSKSPGHPPYKGTSYKSYDGHNQNRGGYQTDGWDTERRGSDLKTSNKMDYPMFPRTLEELELEYNRDATDLGRIRDKEEDEENYKHREAVREMRESFTKRMANLREMHAKQWEEFLHLNTQRKQERAVEQLSNSRYAGYRQPGYSDFDGYEASAHYGGNLAPESRGRYQNSVETFPPSRSHDAYGDYQRQRHEEFGKAYNRY >KZN09352 pep chromosome:ASM162521v1:1:23994224:23994503:-1 gene:DCAR_002008 transcript:KZN09352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWMRKGSLNEWKPLFRRSMEKAEEVIAALVNCFIYVSNWFDILVVRGGLAVTIAASTARHRKNKKNS >KZN09195 pep chromosome:ASM162521v1:1:21564803:21568205:1 gene:DCAR_001851 transcript:KZN09195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGTEGGEVTCDIVIVGAGICGLATALALHRKGMKCIVLERSEVLRYEGGAIGIMTNGWLALDQLGVASILREAAYPLLGRKIIWLDSNRQQDTPFMNGSEEVRRLKRSDLINTLYNALPPDVVKFGHQTVSVKLDPQTNYPVLQLQDGKSISAKILLGCDGANSVVADFLQLKTTKVLARCVTRGLTNYPNGHELTPEFVLTIRNNTSVGRIPVDSKLVYWFVSHPWVQTDKNVSQDNELIRQHTLQVVRTFPKETLKMIEDYDPDSLSLTRMTYRAPWDLLVENFRKGTVTVAGDAMHVMVPFLGQGGSAALEDAVVLARNLSKKFLSTATDPRSIEEALDQYIKERRMRIFELSAQSYITGMLDIESTPLLLRFVFNVLRAILFRDAKRHSRYDCGTL >KZN08871 pep chromosome:ASM162521v1:1:17001502:17002267:-1 gene:DCAR_001527 transcript:KZN08871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQLATLDTSRTAWKIKVRVTRMWTSSSKGSKGSDNLKGYNLILLDDNNFHVHAENWRAISDIIVEGGVYIITSFYTKTATETFKPTRSKIVINFSNSTRVEKVPGDDFIIPNHKFDFIDLSDLHNTAESYEDLEKQDFAAASYVQTPDVAVNEKISVSE >KZN11203 pep chromosome:ASM162521v1:1:42547849:42554021:1 gene:DCAR_003859 transcript:KZN11203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAFKKTPKSSAPTPKPKGGKKRFSIDHDTFFDNDKKRRRNFEDDNIESSDEDDYGELRVGEEAEQEEEEVETAAEVRKRIAEAHLEKLRRIKDEEDKGDEGSDEDEGRDREGERDTWIANVLQQEQLEDSGRVRRLIASRVQKPETSKGFRVLVKHRQSVTAVALSEDDLKGFSASKDGTIVQWDIESGKTEKYKWPSDEVLKSHGLKDPQGRAVKHSKHVLALAVSSDGRYLATGGLDRHIHLWDTRSREHIQAFTGHKGPVSCLTFRQGTSELFSGSFDRTIKVWNADDRAYITSLFGHQSEVLTIDCLRKERVLTVGRDRTMHLWKVPEESQLIYRASASALECCCFISNDEFLSGSDDGSIEQWSVLRKKPVHIVKNAHALQTLDKLENGEDTRLSNGHKGAIPAENGIHENPCLSAHSWVGSVAVCRNSDLASSGAGNGAIRLWEIERESKGLRPLFELPLTGFVNSLAFAKSGKFLVAAVGQEPRLGRWGRVATARNGVAIHSLKLSD >KZN09797 pep chromosome:ASM162521v1:1:29447843:29448133:-1 gene:DCAR_002453 transcript:KZN09797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQRPVVIFSKTSCCISHTVKSLLQDFGVSPVVYELDEIPRGRDIEVALARLGHNPVVPAVFIGGELVGGANEVMSLHLQRNLRPMLTRAGAMWV >KZN11386 pep chromosome:ASM162521v1:1:44195133:44195756:1 gene:DCAR_004042 transcript:KZN11386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNGDYGVPEDHCTEETYLLLPKTVERSAIQNAISQTFQSTAHLANLLPTGSVLAFQLLAPIFTNQGNCDPVGQSMTAVLIALCGLSCFFLSFTDSFKDQMGNICYGFATPRGLWVIDGSTTLPPELAAKYSLRFIDFMHAFMSILIFAAVALFDQEVVSCFYPAPSDETTELLTTIPVGIGVICSMLFVVFPTQRHGIGFPVTSN >KZN10742 pep chromosome:ASM162521v1:1:38585341:38585436:1 gene:DCAR_003398 transcript:KZN10742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFFDSTHTRNFWAIVSPVREPVMIMNPFD >KZN10807 pep chromosome:ASM162521v1:1:39189887:39190945:1 gene:DCAR_003463 transcript:KZN10807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKITKFRDMIGVIKDKASLSKATILSKSTLHLAVLRATSHAPSTIPQDHHLSILLSAGDGSRATASTLIESLMDRLHRTGNSTVALKCLLTIHHIIKRGSFILQDQLSIFPATGGHNYLKLSGFRDGTTATTWLLSAYVRWYALYLETLISTSRTLGFFLGSSLSKIEKEKQVDVVSSTLNHDLIRAVDSLIAVLEQICKVPDGAVSLQPNKLIHELIALVEDDYLSCVNELILRLSEFKERLSHLSFGESFELVCIMKRLNECKGRLLGSFIVTEQSMGGTLWGLSEELQSRVGMKDEGKFLVKENKERYTESARFGERVLTSSDSVKFSSSRFGLNRRNSFNLLDSTES >KZN11112 pep chromosome:ASM162521v1:1:41801962:41811363:1 gene:DCAR_003768 transcript:KZN11112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNAKSTSMAKPDPPKSTTIIEPFAEFKGPSTSSPSSQIKSLAISTLSDPQTLIYAGTNSGNLLLLSLDINQPSNDSKLKNVKLLKYVKVSTGPVDSIHVIDHVKRVLVVSEGFLYFVDLFLVEAVKKLSSLRGVCCVGKRVRSGGSEFTDLEELGKTGGSSLLRKLGNFRSNGVKEGSAKEGGVLDVERSCVFAAVCGRMLMLIGYDSSESVLVLKEMQCVEMVKTIVWVDDCIFVGNHSGYYLYSCVTGKCDLLFSLPDPISSPPRIKLLRKEWKLLLLVDNVGVSVNCQGQPVGGSLVFRHAPDSVSEMGRYVVVMKNGEMDLYHKKSGCRLQTVSFAGEGIAPIVADDEDGSREIVSVAIQSKVFCYSHVPSEEQIKDLLRKKNYKEAISLAEELQGEGEMTNEMLSFVHAQVGFLLLFDLHFEEAIDQFLLSDTMHPSEVFPFIMREPNRWSLLVPRNRYWGLHPPPTILENVIDEGLMAIQRAIFLKKAGVESGLDDKFLLNPPKRSDLLESAIRNMIRFLQESRRKDPNPSVREGMDTLLMYLYRAVNCVDEMEKLASSENSCVVEELETLLNDSGQLRTLAFLYASKGMSSKALALWRILARNYSSEYRRESTDVNNQQNATRLVIFGKDSAAIEASRILEELSDQDLVLQHLGWIADTDQQLAVQILTSDKRTDQLSPDEVIAAIDPKKVEILQSYLQWLIEDQDSEDAQFHTSYAILLAKSALESFDEEHVFQNSSTKTIGETDTTVSERPSSLQYSVRERLQIFLQSSDLYDPEEILDLIEGSDLWLEKAILYRKLGQETLVLQILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLNPKDGKEPMFRAAVRLLHNHGDSLDPLQVLERLSPDMPLQLASETILRMLRARIHHHNQGQIVHNLSHAVDVDASLARFEERSRHVQINEETLCDSCHSRLGTKLFAMYPDDSVVCYKCFRRQGESTSVTGRDFRKDIIFKSGWLVTR >KZN10035 pep chromosome:ASM162521v1:1:31891444:31894250:-1 gene:DCAR_002691 transcript:KZN10035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLVAGKTTAAAAAAAANKEKDIKKRPTSRSSRAGLQFPVGRIHRHLKTRTSAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKATKE >KZN09572 pep chromosome:ASM162521v1:1:27184373:27185284:1 gene:DCAR_002228 transcript:KZN09572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGRTDSPASSQPKWRKVAYGGMQPGFDDNHIDESFLAEMVMNANVVKRSMLKVMLDSVSISQYLCVVALVVLVWTYTLQSIISENLLLLLDVIVLGLGFLVLVSTAETLSFSLLLNYFLKISFFITALYVLSPIYHTLTRSISSDSIIALTASLIVLHLFLHNYSGSTVKALGALENPSFTSNISLNASIVASLLIASRLPSRLHVFAIVFFSVQVFLFVPLVTYCIKKYSFRLHIFVSFVLMMVTLTFVYRLHTLLFVLFFGVLVFVNLVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >KZN09900 pep chromosome:ASM162521v1:1:30597616:30601891:-1 gene:DCAR_002556 transcript:KZN09900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTFFNEFGEAFLYERARAISAPEIIVITSAKVSQFKGQLYLTNFPATRFYFKPKHPSVENLKKRQVDICFYVLPEEVEEEEEVLQTVKINELKKLTETHIEAGDDQKFPAILKFPQKKLYNFTIMLTKENVKEGSNVYKAVQISEPMDISGDHSPLKATTNNQVATEIIDTRSKVDTPLTGNSSNKTKPRFTVNLDKSEAMDLSGNVTPVKSSREHEVPTEITDTNVKETQPKVDTPLSTYSACKTRPRLNVNLDKSEEPTVKQPRVRNIKKEKEKLNDFNNRHGKPQKNIKFKLFDGNSWKLWKKLQKSLLYSLLQVQELPASMDEVVCKAILKCLQEYTSWKYFVCTSCYTKVETDNNGYTCGACKREVVEPNQKFAIHVIASDQTGELDLMLDDRAVRTLLEEINLSTTTEDDGIAEMLQMLNGKGYTVKVSISQANVEKKDKVFLATDLFSGFDYEENKGGQRQLQENSESFTAQPSTSSYHLDDISQLNYNAT >KZN08200 pep chromosome:ASM162521v1:1:3876113:3877299:1 gene:DCAR_001265 transcript:KZN08200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMRSKRIKRLRAIRREIVAPLNEGKEAAKLAAQEAALAAPKLPVRVSKSAAAAAAAMELITNTSTENADMDVEMAEGTSKSLKPVGGVGKKDEKESQDW >KZN10188 pep chromosome:ASM162521v1:1:33412226:33412738:-1 gene:DCAR_002844 transcript:KZN10188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPITILTLSLLSFSLVSLPPPSLAGESSNAYDLLESYNFPAGLLPVGVQGYDLDQSTGKFSLYLNGSCSFSAEGSYQLKYKSTISGKIAKNKLTNLSGISVKILFLWLNIVEVDRNGDEIELSVGFASAVFPVGNFDECPQCGCGLDCAKARNNGLLRKMKLNSFVQSS >KZN08195 pep chromosome:ASM162521v1:1:3807849:3808223:1 gene:DCAR_001260 transcript:KZN08195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMARKSGETSHYNKMALFLFIFFLIPVMPLQEKTSPPLSPRPDTAEFDSNFAKKSNLTNLKTPQRNGTTTEQEASTQRNAIPVTVQHGRAYKSLENQGLKWRDGAINASAHEVPSGTNPISNR >KZN10033 pep chromosome:ASM162521v1:1:31870188:31875042:1 gene:DCAR_002689 transcript:KZN10033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSTSVGSSTVGLSETFARLKQQGKVALIPFITAGDPDLATTAEVLKVLDSCGCDIIELGVPYSDPLADGPVIQAAATRSLAKGTNFDAIISMLREVVPQLSCPIALFTYYNPILKRGVEKFMTTVKDAGVHGLVVPDVPLEETEILRSEALKHHIELVLLTTPTTPKDRMEKIVEVSEGFVYLVSSIGVTGARGSVSAKVQSLLQEIKEATNKPVAVGFGISKPEHVKQVAGWGADGVIVGSAIVKLLAEAEPSEVLKELEVFTKSLKSALI >KZN10927 pep chromosome:ASM162521v1:1:40358143:40360015:-1 gene:DCAR_003583 transcript:KZN10927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNDDEKIPGTLNVPAGEDEFTVGKAKLKIINSATAPVDENNLRVLLELTGLGNSKERLGLDLVTVLDVSRSMKGDRLEKLKKAMQFLIKKLSPIDRLSIVTFCGEAHKLCGLRVVNETSQEEIIDLVTQLRTERGTNITDGLRMALEVLEGRRHKDGRSVGIMLMSDGEQNEGGDPREVEIGSVPVYTFGFGTATNTKGDPKKMADVLNGIAKNSKGGTFSDVPKTDGLGVAFAQCLAGLLTLAVEDLKLVISPENKSKVESVSAGDYAQSGNTTVEPAVTVDFGNLYDKETRKIIVDLVLPKVDKEVSSQVLQISYKYLNSTKTKELKSPPIFASIKRIGKSTPVQKEEVTVEASRIETAQMMKEARILADKELYDAAKNKIVEAQNMLEDVEIDGVNTLIEALKAELLQFLIFLQSPETYKKRGRAYALAAELSHERQRHAAKGDALTTTPPMYATRRMEEYKKQSESYEQGKPVPTAAEDAREEALADPIGPISGALSLQIQIAIQALMTIQNIIDSAAPY >KZN07835 pep chromosome:ASM162521v1:1:20809:26333:1 gene:DCAR_000504 transcript:KZN07835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGFLGMGIMGKAMAINLLRHGFKVTVWNRTLSRCDELLDHGASIGQTPGAVLNKCKYTIAMLSDPAAALSVVFDKDGVLEQACTGKAYIDMSTVDADTSSKISEAITLKGGRFLEAPVSGSKKPAEDGQLVILAAGEKALYEEALPAFDVIGKKSFFLGQVGNGARMKLVVNMIMGSMINAFSEGLVLADKSGLNPQTLLDVLDLGAIANPMFKLKGPTMIQNSYSPAFPLKHQQKDMRLALALGDENAVSMPVAAAANEAFKKALSVELGDLDFSAVHEIVKGAQHPL >KZN08930 pep chromosome:ASM162521v1:1:17826945:17827496:1 gene:DCAR_001586 transcript:KZN08930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKSWIRPWTLLNNLDWSRVHEFNKFVKHSAKIGAKGAMCYVSCKKLIKARNPLHHLKILQSISGDDSMSFLAYHIFQTLYHPSTLQENALLLHLKFASHSEFRANFEKNCTTLKERYRKYNGLWYGRPDIFPQNGVYSSYVSEEDHNMDVFGLGCSYKEIVNSSCSECMILMINFKIFRGY >KZN10864 pep chromosome:ASM162521v1:1:39637612:39638073:-1 gene:DCAR_003520 transcript:KZN10864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSQYPGPADAEMMCMILVNTAKYISMMKEMVRSVLKTIGIDIVSWEEYNTDIPSDSLECYESSSETSIEEFRGRIPAMRYDSVFSKQLEHDCSVCLTNFRPKAIINHLSCGHVFHQVCVEKWMKYRKLTCPNCRTNMIPQDEDEEEDTCPM >KZN09872 pep chromosome:ASM162521v1:1:30234696:30235319:-1 gene:DCAR_002528 transcript:KZN09872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSISCILFVSVVFVSLSTSLAEINLQTEVSDRVKNICNTTEQQNQPRCYEFYKSDPRSSTADYKQLAEITIDLADSRCKRLLHWLNFHAKNESDQAYRIRYLQCSKHYSEALERLDASKRYLEQKKYESIEDLAAYAIEDSSECIADFPKVNTPYTLLKKAKDFEFITSFVKPAVDLSLKAAQETKKPFYYSLQSILGKWVFHP >KZN08420 pep chromosome:ASM162521v1:1:6525151:6529954:1 gene:DCAR_000966 transcript:KZN08420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFWLVIVLLSYMCLTAMVASTKSPGILVHFNQVPPYHSRFSSAIFKYSVIRLNSSYPCSMNDCSFICEVDGHSLVSCPADVVVLKNLTVNHWHQFGLNVTTAYGETTSSTYKWFIDTIPPTATIYGDQKYTNAEKVALELTFSEACTGNGGFKCLNSSHCDVIVSGPAHVDASSLHIVKHNIKYRFDVILSLKVTYELIVIKTANHFCTDRAGNNFTRTGGSVFTLHLDRRAVQVDLWTSAPSYELEFDGIPRTVTATNRMEELKFFLDFSTPITNSTEQILNALHPNSGVIVPIHSRGHGNRSFAFVLKNLSRTEIITIELEDGSVLGRTGTPVSPVAPLTLLYEISKALYSSTVLAESQNVSVIVPAGKVSDISGNHNSVSNQLKVKHLTSVAAAFISFSSTNLAAVGALASGRKSMEFYEPCTNLHGIVGHLQVFALSDCLSLTLPVEYSATTKGLRWLIPRQKLPWTKDHSEHGYSHSYQAVARHTRKSGDSTIKLHAGEEMEHSLDLHPTNFSKSLHQGLPLPVNIYPKSNRPVTHQNVTIKNTPYGLTLSSDEYYTYFLREEPMSANTVLKRLERYTGWQDLEMNLFWLGVGGGGLITIHCLVLLLVRWRFQTSVNEILSVLRFEFIFIILSIPCVSQSSAFVIKERHIGGTAGGILTGAMLLVIPGGLILLASFFIVIVIFPGNFVQYKEVRYTDRNRNRMTNLWQLFTGKASTGKWFYRERLPSSIIQRFGIIFENTKGPPIYIVIDQNDPNQMPRWTESGQNGIGRMRALSSDDGIEETIASVSTRLLGCLRSSYVILDLTRRVALGILSGVHSSRGTNQNLYALIITLVQVLFLFTLKPHIRRVVHVVETISLLCEAGVFGLAIITSRTDAGDKSTVGYIMLAFFCIAFISQIINQWYTIIKSLLKFSQPQQDSFRAGLKLAIKGLLLPLLPRKCWSRFLPGSKADHELRDTRPHNVNPLGAMTAMVAPVLSPGPPGLSLDPVMNFGDSENLNTNGDQNRLKGILHFERKNEMKRLRELAKASFSGGSKFEDGTSYGFRS >KZN08631 pep chromosome:ASM162521v1:1:12663805:12664966:-1 gene:DCAR_001161 transcript:KZN08631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVEGGGFFSASASGYSKGLALLLLGQKTEEKPMRVTPWSHYQLVDQETDPNLQLASSKNRLVRGCASFVCFGHTASELEGPSPLKVGPTQQQDVLPEPPVFDKGNDDSKNSAPVDSDIIAKQNDLKSSLKKSTTINPVPSAVSGEIDAANEKTSFTDYIEKRKVQWMDVSGGELVEVREFETSSEFVSPDAILFKL >KZN08399 pep chromosome:ASM162521v1:1:6177031:6180245:-1 gene:DCAR_000945 transcript:KZN08399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASSMYKIARKKKKNIPLVTIFVPPLRVPVQSDDVPKMLRGLIPKHLVDKITSLRNQIVFVAQDTGGNAVQELQGALEEYLPLIVGLTKRENGVRELVEFKWKNIEDGKHVCVKDSWFELLSVVHMMATLTLREANSKLISKDPTSDRVVTSDSMSNAVDLLLKAAGYLEFCMRDVLVHIPADIKSKMPGDLQEDVIGAMSIQALAQGTEMQLGLAVENRNATLPVKRRLACEQLSYLGQAHFPLSKCIDNGNRKKHLLFIKWKYLEAKAAAYYYNGLIMDKGTNPSCHTTAVCCFLAAEELLTESKKACLNFCLAVPITRTPPLWGAMKHLSKKIPETAFKKSQMYGYLLDQEKGLQGVPDLPEFELSLKPEEYELPEMNEAWDREKWEIPDQTLKEHLIDSGDETESD >KZN09084 pep chromosome:ASM162521v1:1:20059663:20061927:1 gene:DCAR_001740 transcript:KZN09084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEAGVEDGSDIDGTIPDDVYVEGDSQHIDNQQNHLFGASNVPGRDSHGTRTSTAHSAISKQLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVNAFVVVAGVFGMNITIDLFDPTGKRHGQKEFLFTIFGGTAGSIFLYVTAISWYKRKRLLD >KZN11332 pep chromosome:ASM162521v1:1:43744346:43744606:-1 gene:DCAR_003988 transcript:KZN11332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRALLALLLILGFSFSSARCRKVLSIHEGVYVHPLPGKPAATPTPSKRGHAFIMNQNLVDQQLKSIGKRHDQSVPSPGAGHGN >KZN09067 pep chromosome:ASM162521v1:1:19761853:19762753:1 gene:DCAR_001723 transcript:KZN09067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNNNFDLNVSMSLSEGVSDEEASRKEETENSTFTGTNNFTSEEFSQEHAEDIPIIFDTSELSSSESL >KZN10978 pep chromosome:ASM162521v1:1:40797083:40797739:1 gene:DCAR_003634 transcript:KZN10978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSLEFTSNFIHPQHKLKFEYTEFPFWCDGCKEIGIGSKYKCSTCNYHFHKHCALPCASFVHPFYTKCCFQFLERPPGLVERYCNACLKRVSGFMYHCSSCGFDVHPCCANLPMVLDDGELKLELRSKMSAVCYTCGKKGIGIGWSYRSTCKKYNLHVACVKGMLVEARHDLYNCEGGTVKISSDTGIDEFKKLDTGLPSLKAILHNFHKNSMRMHT >KZN09914 pep chromosome:ASM162521v1:1:30703428:30716657:-1 gene:DCAR_002570 transcript:KZN09914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYARKLNSKYKKWGLISQQYKHVAGSDRSFGTCCKHTAILSNCSSRSVSTRRYISSSSLFRNVICGNTKLRVGSNANWSSSWLRYYSSEGDGRNANEDKCVPLKDVSDTGSDKIKTEKLDGDISLSDGHARLGEQDQKDWLHNEKITIENKRKEYPFLSRREKFKKEFSRRIVPWDKITVSWKTFPYFLHEHTKKLLVECTASHLKHKDCAAAYGSGLTSSSGRILLQSIPGTELYRERVVRALAQDLQVPLLVLDSSVLAPYDFGEECLSESESDEDNAESGAETTSDTEIEDENDASNEEDWTSSGEAKSDGDAEGFDARAAAVEAALNKLVPGHLEDFEKNVMGTSESSPESPEKEASKPSDEPKRQLKKGDRVKYVGPSRTEADNRPLSTGQRGVVCEMNGNVALVLLENSEKETGDASSDKISANHALHWIQAKDITYDLDAEAEDCYVAMEALSEVLHSVQPLIVYFPDFSIWLSRAVTKANRKAFAQKVQEIFDQVSGPVVLICGQNKGETGSKEKEKYTMILPNLGRLAKLPLSLKRLTEGLKPAKKSEDDEIYKLFTNVMQIEEDRRIVISRSNITELHKVLEENGLLCVDLLHVNADGVILTKSKAEKVVGWAKNHYLSSCLLPLIKGEKLYLPKESLEIALSRLKEQELISKKPSHTLKNLAKDEYESNFVSAVVPPDEVGVKFDDIGPFLLCRQVSPCNYIC >KZN10689 pep chromosome:ASM162521v1:1:38193190:38195187:-1 gene:DCAR_003345 transcript:KZN10689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRIKTVVDKFVEELKEALDADIQDRIMKEREMQSYIQEREREVAEREAAWKAELSRREAEIARQELRLKTERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >KZN08275 pep chromosome:ASM162521v1:1:4757361:4764700:1 gene:DCAR_001340 transcript:KZN08275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPSIPLPPPPQERYIRSRDHPLFDGMRKSNANRGTLFNFLGRNSKQSISRSVSEISDIKSKKTLTDFSESAASGPIKDSLSDIILEPYPRSSSGRWSKDSKRTSASVKIQSELSIDLGEEDEFGNFSPRASNDESYTRTSMASPTTERSFSMNFESTKLSKIPLPPSAASFYSGHSPQMEVVELCKGIQLINTYLKACKDDVSAGVPGKFLHAVLGQDACDVGSVVSTIMYSFYLHSSLKNDQFCTVPVINMKRADLNSHAELKWLLETCNVDHSQLIFIDEIDLSYYDLFGSLKLVFLNGDNLPEKQEALKGALVEIFNCKKGDSAYRWVDNVTVGEDCSCCTVIAEKFVHNSPEILAGRGFSRLLLAGILLDTRNMSSPRCTDKDRYMATLLINGAGRFGCTGLHQILKYKMYDVTDLKVGHILRKDFKKWTRIGKSDNVAAEMLSYVGLTSIGISIAQLLAHDETSAEEIMQFQQVEKLRLFVIVSGYYDSQKNFKREILVSAESSELMKNLLQFFNSNASQLPLKEMQQPGLLGEMRAFGIDKVTSRRTIERLLEEFGKLVRR >KZN11821 pep chromosome:ASM162521v1:1:48396871:48402737:1 gene:DCAR_004477 transcript:KZN11821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLPSVCVNSCFNPSRRLALFTLTTAIPQSPFFGAKEFTWLRADGNTVETATALVMPSVADEKLLDWVKNDKRRMLHAVYRVGNLDKTIKFYTECLGMKLLRKRDIPEEGYSNAFLGYGPEESNFSLELTYNYGVNKYDIGTGFGHFGLAVEDVAKTVALIKQKGGKVTREPGPVEGGSTKIAVVEDPDGYKFELLERAPTVEPLCQVMLRVGDLDRAINFYKKAFGMELLRKRDNPEYKNTVAIMGYGPEDKSTVLELTYNYGITEYDKGNGYAQIAVGTDDVYKTAEVIKKFGGVIILEPGPLPILNTKITACLDPDGWKSVFVDNVDLAKELA >KZN11491 pep chromosome:ASM162521v1:1:45165656:45166055:-1 gene:DCAR_004147 transcript:KZN11491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPNNNSRASLFALALALFLLIAVAQSKPGYRSKITTGFSCFAAVPVPAGPTCDTVFGVRKGDTCFDIGQNFKLSAHDFNCINPNVNCAALFVGQWVCISGTA >KZN09710 pep chromosome:ASM162521v1:1:28689302:28690638:1 gene:DCAR_002366 transcript:KZN09710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVMQSTLASPVTRGITGRKLNIVPAKCAFMPSLSRRSASLRLKCMAEDGQKEESSPVTSPTPAAAPEPIPFKPTPPPTPKASTSFFDVLAFSGPAPERINGRLAMIGFVAAIGAELASGQDVFSQISNGGVTWFLGTSVVLSLASLIPLFKGVSVQSKSNGVMTSDAELWNGRIAMLGLVALAFTEYVKGGALV >KZN09434 pep chromosome:ASM162521v1:1:25150274:25162319:-1 gene:DCAR_002090 transcript:KZN09434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFQDDGSSSVTSSPFQNSPMISLSPGLGSPYPLLKELKSEDRGLYLIHLLLTCATHVATGSLENANLSLDQISHLASPDGDTMQRIASYFAEALADRILKAWPGLYKALHSTKLSLFSEDILVRKIFFEVFPFLKVAFIVTNQAIIEAMEGEKMVHIIDLNAAYPMQWHALLQDLSARPEGPPHLRITGVHPQKEVLDQMAHVLTEEAEKLDIPFQFNPVVSKLDNLDIEQLRVKTGEALAISSILQLHSLLCPVDEPHKRSPLTTMKSNGVNLLRASQIKQSTLGDLLQKDMVNGQSPGADSASSSPQSSAPAVQIDGFLNALWGLSPKVMVVAEQDSNHNGSSLMERLSESLYFYAALFDCLESTLPRSSMERLKLEKFLFGEEVKNIIACEGSSRKERHEKLEKWIQRLDFAGFGSVPLSYYGMLQARRLVQGYSCDGYRIKEENGCVVICWQDRALYSVSAWRCRRFSHFITLMALSPSPSSLQSSIFQQPIKVLHPTLLAPCSFKPSSKRRVLTIRGAAAATLESSAAANNNGAVGIVTPKDASFGRQYFPLAAVVGQDAIKTALLLGAIDRDIGGIAISGKRGTAKTVMARGLHAILPPIEVVVGSISNADPACPEEWEDGLADRAYDSDKNVKTEIIRSPFIQIPLGVTEDRLIGSVDVEESVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRIAINLSADIPMSFEDRVAAVGIATEFQESSNEVFNMMAEETDYAKTQIILAREYLKDVIISREQLKYLVMEALRGGCQGHRAELYAARVAKCLTALEGREKVIVEDLKKAVELVILPRSNISETPPEEQNQQPPPPPPPPQSQEDSSEEQNEEEDKDQEEENEDEKDEENEQQQDQIPEEFIFDAEGGLVDEKLLFFAQQAQRRKGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRREMDTEKIRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVAIIPFRGDSAEVLLPPSRSISMARKRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRIMIVAITDGRANISLKRSTDPEAAAASDAPKPSASDLKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTKNALSDLKNS >KZN09127 pep chromosome:ASM162521v1:1:20456581:20458865:-1 gene:DCAR_001783 transcript:KZN09127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPPATMPAPLLLLRNIVTSFFLYADKSLLNLEHRYKFLASLRYILISAFFFLLNIFSHEYSKNVSKTSKNIPAARTYPGDTGISRALTQLLSIMNDVPVSSRKYEIVRTLSEKVLDGNMSECHAPLEEINVVVLSAAFSRTLLQLESRVAVIEDDSEIIEGAKGNFSKLSRVARAVWYCLEAAWSRMGMSEVNKGGKSAEKLAAEVLWLAQKLAQCGNVEEAVERWGSANKLAWLALSAEPRLQGSLVKVSVFLLKQAKDIGNDKEDDEGNRERHKQTKIKLLMSWLPLLCRATNGTDTPLLSFSEKGEIERVLEVIIEALNNEEQEKILSLWLHHYIHCPTSDWPNLQDCYSRWCNSSRRLLILQ >KZN10254 pep chromosome:ASM162521v1:1:33930934:33933997:1 gene:DCAR_002910 transcript:KZN10254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKYSSVRNEKKRRAFVTFLAGAGDYIKGVIGLAKGIRKVKSGYPLVVAVLPDVPAEYRRLLVEQGCVVKAIDWVQPPEINENQLEWAHEHFAINYSKLRIFQFEEYNKMIYLDGDVQMYGNCDELFDLPDGYIYGVMDCFCEWYHSPQFKIGYCQQCPERVKWPADMGPPLLRYFNAGMFVFKPSRATFDQLMEAVGNSPPTPFAEQDLLNRFFQDVYKPISPKYNLIVPMLWHHPDKVDISSAKVVHFCANGSKPWRHTGEEDNMKREDVKMLVEEWWNTYNDTSLDFKKPVNYFTSARGLITSPS >KZN08970 pep chromosome:ASM162521v1:1:18394097:18398668:1 gene:DCAR_001626 transcript:KZN08970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTKVESAKRRNRSRTSSSISTAPPPKSSVLDTEFVSEEAFLYETSPNNNPRSFPYSVKQKCWEKAERVKGRDPDRWRRDVLGNTLFRKLVGCPGCLCHDYDHILPYSKGGQSTLENCQVLQATVNRSKGNRTEISKADLIQKSSYCRVSGRDMDLLELSAYGNVRRGQDDGGCRIQ >KZN09924 pep chromosome:ASM162521v1:1:30788763:30789713:-1 gene:DCAR_002580 transcript:KZN09924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSFSTPEDLLASLPRQEGILEPYSYQYQGFWYSSTVLKSLIDCQNQFQARENDIFLVTAPKSGTTWFKAIIYALINREACHPQDPHHPLLKQTPHQLVPWLEYVAPLDNNNLVSNSLDSSPTRIFGTHTPIVSLPESIMEKGKIVYLCRDIKDTFVSLFHFSNKINFRPSPISLENAFDLFCRGSSPSGPVWDQILGYWKKSHDKPHKVLFMRYEDMQNEPRVQLRHLALFLGKPFSQEEENSGLPDQIIKLCSFNTMSNLEANKTGDFLGVVRNDSFFWSGVVGDWKNHLTVEMGSKLDQITEEKFSGSGLSL >KZN08665 pep chromosome:ASM162521v1:1:13240107:13240463:1 gene:DCAR_001195 transcript:KZN08665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQVSMVQRLRSKISGANVSMKLFLSRKWRRWSACYGYHKHDPVIQLYQQGAGDHGFQFGNCDESTNSGEVSVWKKNIVMGGKCQLPDFSGVIIYDSTGNVVTPPKPSAAPLALTWK >KZN11995 pep chromosome:ASM162521v1:1:49939366:49941068:-1 gene:DCAR_004651 transcript:KZN11995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDCSNSRGNRCAVVTGGNKGIGFEICKKLAANDIRVILTARNEKNGREAVEKLESSGFPDVVFHQLDITDPASIAALASYVESSSGKLDILVNNAAIPGLVIAKHQEFKDGGGFEQVIDENAHLIEGILEENYELAEDCLRTNYYGAKGVITELLPLLQLSDSARIVNVTSVYGKLMWINNEKVKEELNNIETLTEENIDEILKWFLKDFKENNLKANGWPILVSAYKISKAAINAYTRLLARKYPNMLINCVHPGYCQTDITSETGPSTAEEGARGPAMVALLPDDGPSGIYFSEMQPSTF >KZN10765 pep chromosome:ASM162521v1:1:38723633:38724793:1 gene:DCAR_003421 transcript:KZN10765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKKSHSQFTKFYYIFLLLSTNLLTLFISTTFNSSGCSLNFSGNTPTAIQDHVTQASSNLPSEFIAFTSPHELPFGFNTNFDSDKIVPPVGRPCTLFPDILRKYMTYKVNGSCPDDELLAQKLLLKGCEPLPRRRCRAAAPQDYVEPYPLPASFWSTPSDSSVVWTAYTCKNYTCLINRKRNQKGFDDCKDCFDLKGVEKSRWTKPTSGGGVDFSIDEVLAVKKPGTIRIGLDIGGGVATFAIRMQARNVTIVTTSMNLNGPFNNFIAARGVVPLYLSISQRLPFFDNTLDIVHSMHVMSNWIPTTLLHFMFFDIYRVLRPGGLFWLDHFFCVGEQLEEVYAPLIDSIGFTKVKWVVGRKLDRGPELKEMYLSALLEKPLKNSW >KZN08514 pep chromosome:ASM162521v1:1:9571250:9573045:1 gene:DCAR_001044 transcript:KZN08514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFLSNLLDVVGYLEDTNVEHVFPHEDKSKSHLKFKISDGRLAEVSKESNTIVEDSMTIEQPEDVKIYAVAYIKEFGSSFIEKTSNVYIAHSLEEAPEMLGDHTLSENNSIPPNPDVLTVDNTVISTKRSSDTSPTEKSSNRTKSRRQTTPVSCELDEIATMASLLKVKKEKA >KZN09399 pep chromosome:ASM162521v1:1:24611440:24611823:-1 gene:DCAR_002055 transcript:KZN09399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRSWMKDWIIHNPKFFIVIVIVVIFIIISTVVTSIYIHIESSAVDTVSTIYKDLSTTLKDLDAETTWDPKNFPVTLKDAEPWAKIILTAFKDLDSENILTAFKDLDSEKILTALKDLDSGTTESI >KZN09663 pep chromosome:ASM162521v1:1:28246368:28247874:1 gene:DCAR_002319 transcript:KZN09663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEALKRDYTVCEEIGRGRFGVVSRCYSAVSGESFAVKSVEKRLLADDSIDRQCLYNEAKIMQVLAPHPNVIQVYDVYEDEDWLHLVIELCNSPDLFCRVSERVFDESEAKSVMVPLLEAIAHCHRLGVVHRDLKPDNVLFDEWNQLKLADFGSAECVRENEMLTGVVGTPYYVAPEVLAGRNYNEKVDVWSAGVILYVMLTGVAPFYGNSATEIFEAVLRANLRFPVRYFHSVSPAVKDLLRRMLCKDASRRLSAEQVLGHPWMQGNREMSIPM >KZN09856 pep chromosome:ASM162521v1:1:30053806:30058770:1 gene:DCAR_002512 transcript:KZN09856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQIFSRTTQALFYNYKQLPIQRMLDFDFLCGRDTPSVAGIINPGSEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAADSSKSALKQPTIRVVAIIAEGVPESDTKELIAFARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKEGKINKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETSIKETFEKLAEGGEISPIKEVQPPQIPEDLSSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTQFIELHLNAAASISCSFLINVPKLGQICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVENYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKPEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KZN11005 pep chromosome:ASM162521v1:1:41031518:41032231:-1 gene:DCAR_003661 transcript:KZN11005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIDIKVEKANAMLRYKRLEKITALFRLMEVCMFLFIISRLSTHLPLALKVSADCIHSLYITIFSPAFLFILGNAIVLVLVFNSGHLSAQETKNFSHTTEFCTQYVESCVNRVEEVEKQGEEAVSDMCSSSEDGKMLRRPSESLMKYQHRDFGKKLGPTVTENGRKFRNHDERTLESPAMVRKSGDHRVSAQNFSAKCYAEDEMSGEEFRHAVEAFIARQQKFLREEFSSIVAYGN >KZN08898 pep chromosome:ASM162521v1:1:17438148:17448040:1 gene:DCAR_001554 transcript:KZN08898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARARSSELMLHRNVNYICVVLKIKRCGELGRKLRYFKVQMLEAEVEASARSGTRSDLNVDDLEVKFGQLEAEITEIVANGEKLQRNYNELVEYKLVLQKAGEFFHSALSKATAQQREAGSSHGGESLEAPEAPLLEEQELHSDKQVKLGFLTGLVPKAKSMAFERILFRATRGNVLLRLSFVEQPVVDPASGEKVIKNVFAVFFSGERAKSKILKICDAFGANRYPFSEDMGKQAQMMTEVSGRLSELKITIAAGISQRKNLLHEIGQHYEQWNLMVRREKSIYHTLNMLSIDVTKKCLVAEGWSPVFATQQIQDALQRATTDSGSQVGGIFQVLRTKESPPTYFRTNKFTSSFQDIVDAYGVAKYQEANPGVYTIITFPFLFAVMFGDWGHGICILLATLFLLIMERKFSNKKLGDIMEMTFGGRYVILMMALFSIYTGFIYNEFFSRPFELFSRSAYDCRDPSCRDATSVGLIKVHDTYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIVMSFFNAKFFRNGINIWCQFVPQIIFLNSLFGYLSILIIIKWITGSKADLYHVMIYMFLGPTDDLDENQLFIGQKAIQLLLLLLAFVSVPWMLLPKPFLLKSQHNNRQDGQSYVTLTDESLQSEITHDSHAQEEFVFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYNNLIIRIVGMFVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGKICSGSFTSSFVRKAEKGVDMPLDSDVFNIPLGYNAPQQVHITQGDHKGKEMIVSWTTMDEAGSNTVLYWSEENKNKNKAEGIVITYKFYNYTSGYIHHCTIKNLKFNTKYFYEVGIGHTTRSFWFLTPPKVGPDVPYTFGLIGDLGQTYNSNSTLAHYENNPLKGKAVLFVGDLSYADDYPFHDNERWDTWGRFTERSAAYQPWIWTAGNHEIDYAPEIGETKPFKPYKHRYRVPSKKSNSHYWYSIKRASAHIIVLSSYSAYGTYTPQYLWLEKELKNVDRKETPWLIVLMHAPMYNSYNAHYMEGETMRVLFEPWFVHYKVDVVFAGHVHAYERSERVSNIAYNVVNGLCSPVKDDSAPVYITIGDGGNIEGLATNMTQPQPKYSAFREASFGHGIFDIKNRTHAYFSWHRNEDGYAVTADSLWFLNRIFMNDHL >KZN11071 pep chromosome:ASM162521v1:1:41468103:41472436:1 gene:DCAR_003727 transcript:KZN11071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYTNMALTSDKRPSTIAKATSLNPNAVEFVPFSLRSSPGNSSAVDVSSISPPTGNVPLKKTGLDRSESSVSNKSDEEARQYWSSQLPDDITPDFNVVGEEYSIEINDLPFSDLSLINGNERARLPGASTASSLILKEQQNFSPRRVTGGSYPNKVGFSAASYRDGQSPVSYHTASTKPWDRQIINNDHLLDSVSEGPLYNRNSGHGFLDEMLNEQHMPENTAVNPLEFLSSLFPGFAAESLAEVYFANGGDLNLTVEMLTQLELQVEGEINQNLNVKAISAQNLSARDFPAFAEGHSGVPKYSVEESQQSVNPYRASDKENLLFFKSSSSIPSRGPIDFASTVRKMASQDTGMWNYDRNGSADVNIGSSRSSHVLASAYNGAQERAIHGDRLQGRSLARAAPVWLETGDSVANMYSETREEARDYARLRKLYFEQAREAFLVGNKALAKELSVKGELHNMQMKAAHAKAQDSIYQQRNPLGADIQGNGRGQERVIDLHGLQVSEAIHILKRDLTVLRSAARSVDQRWQVYICVGTGHHTRGARTPSRLPTAIQRYLLEEEGLDFSEPQPGVLRVVLY >KZN08789 pep chromosome:ASM162521v1:1:15451007:15454059:-1 gene:DCAR_001445 transcript:KZN08789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCGGSTLFPLHRCKTIHLVRHAQGIHNVEGDKNYKAYMSPEYYDAHLTQLGWQQVDNLRKHVHACELLKKVELVITSPLLRTMQTAVGVFGGEGYTDRMDVIPLMAANAGNSNRPAISSLNCPPIIAVELCREHLVS >KZN09421 pep chromosome:ASM162521v1:1:24845372:24845692:-1 gene:DCAR_002077 transcript:KZN09421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTMTSSFLGTGSSVMLAASAKQPMISVRRSNVVMSKASEVTKESFEVNNKNARRELLFAVAAAAACSVANIALADEPKRGSPEAKKKYAQVCVTNPTARICRN >KZN08190 pep chromosome:ASM162521v1:1:3753636:3754829:-1 gene:DCAR_001255 transcript:KZN08190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNLTSPPPRGRDGGGDDKNILAITTTTATTVEITKKKGGYILLLVLNYMFLFVGSVSSSLLSKFYFNHKGSSRWVSTWVQCAGFPLLVLPIFLPFYLLKTTQRKPFSDITPKLLMISILVGLLLGLNNLLFSWGNSYLPMSTSSLLLSSQLTFNLILSVIIVKQKITFSNLNCVVLLSLASVLLGLTSTHDKPNGLTREKYFIGYFCTVGAGLLFALYLPIMEIIYKKVYCYSMVMEMQLIMEASATVLATVGMALDGGFSEMMQESSLGFDLGQRFYWLTIIGNVVTWQLCFMGTAGMVFLTSGLTGAICMTALTGANVVGGVVVYGDKFDGGKAVSAVLCGWGFCSYVYGLYVKSRQGEKVQEDVNGVIKDGDYSNKHHDHQGLEMSEVVVTS >KZN09741 pep chromosome:ASM162521v1:1:28943308:28954005:-1 gene:DCAR_002397 transcript:KZN09741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQVNEERESSVDDEIQSTKTLICAVNLLSRNLPLPPHLFDAVSSIYNAAGSASAPLDDIEYEFLQFELNSSSLLVTLHLLSYNALSEIELPASRGESIQSKCLLELYGLKLAELQKKVRSDVNTEFWLRKNCACPEKQLFDWGMMRLRRPPYGVGDAFAMEANNNMKKKRDAERLSRLEEEAKNRVENKKRKFFSEILDAARELQLQIQAAQKRRKQRNDGVQAWHGRQRQRATRAEKLRLQALKADDQEAYMRMVEESKNERLTMLLGKTNELLVRLGAAVQRQKDAEHDGIDSLDGSEDDLVDLSPSKAETLGDLVREEDADITDKEPDQGVKPGDLLEGQRQYNSVIHSIQEQVTEQPLMLQGGELRAYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKNVSGPHLIVAPKAVLPNWTTEFATWAPSIKVVPYDGNMEKRKALREEYLGEGKFNVWLTHYDLIMRDKTYLKKIHWHYMIVDEGHRLKNHECALARTLDGGYKIRRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVENFEEWFNAPFADRCDVSLTDEEQLLVIRRLHHVIRPFILRRKKDEVEKYLPQKSQVILKCDMSAWQKVYYHQVTDVGRVGLATGNGKSKSLQNLSMQLRKCCNHPYLFVGEYNIWRREEIFRASGKFELLDRLLPKLHRAGHRVLLFSQMTRLMDILGVYLDLHGFKFLRLDGSTKTEERGTLLKQFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEDIMRRGTSSLGRDVPSEREINRLAARSDEEYWMFERMDEERRQKENYRSRLMEDHEVPDWVYTKPDPKDTKGKGFDYESANLTGKRRRKEVVYADTLSELQWMKAVENGKEPFKHTTRGKKTEHFPPTTNDSIYHSDEVGKKVIELKSKPETVPSEFTNEKSSIKLSLKMHNSETASSPSNEFVDAATDTVDKPQCSGFTTTQPKASSKPEEEEKENATCEHIDKVAIAFGLIS >KZN08229 pep chromosome:ASM162521v1:1:4141161:4148051:-1 gene:DCAR_001294 transcript:KZN08229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVSTSAAVFSVTNLENPLHCKPLCAAVPQLHSSPRTGFSSNWCSAVLRLNSYPIKAMAEAVDTVSSPVAASGSSVSGSKQALISLSDKKDLALLGNGLQELGYKIVSTGGTASALEGNGVSVTRVEELTCFPEMLDGRVKTLHPNIHGGILARRDQSHHMEALDKHGIGTFDVVIVNLYPFYDTVSSTNEISFEDGIENIDIGGPAMIRAAAKNHKDVLVVVDSKDYPELLESLKANKVNEQFRRKLAWKAFQHVASYDSAVSEWLWKQTEGEDKFPPSLTVPLSLKSSLRYGENPHQKAAFYVDKSLAEFNAGGIATAIQHHGKEMSYNNYLDADAAWNCVCEFKKPTCVVVKHTNPCGVASREDIIEAYRLAVKADPVSAFGGIVAFNIEVDEVLAKDIREFRSPTDGETRMFYEIVVAPGYTQKGLEVLRGKSKTLRILEASQNSKGKLSLRQVGGGWLAQDSDDLRPEDIQFKTVSEKTPEESELHDAEFAWLCVKHVKSNAIVVAKNNCMLGMGSGQPNRVESLRIALRKAGTEAKGAALASDAFFPFAWKDAVEEACESGVAVIAEPGGSIRDNDAVDCCNKYGVSLLFTNVRHFRH >KZN11971 pep chromosome:ASM162521v1:1:49785042:49786998:-1 gene:DCAR_004627 transcript:KZN11971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVHVGANKYSERQPLGTSAQTDKDYKEPPPAPLFEHGELTSWSFYRAGIAEFIATFLFLYVTVLTVMGVSRAPNKCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVIKGFEGSSRFELSGGGANAVNHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRSARESHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKGHAWDDHWVFWVGPFIGAALAAMYHQIVIRAIPFKSRS >KZN08432 pep chromosome:ASM162521v1:1:6685728:6688461:1 gene:DCAR_000978 transcript:KZN08432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGFNLPSRKRNKENNSDSSFSGIRGYAGGRALDSFLQKRAENIKKSRPNPPGFAPVEGSLQNRSGGTSSWSNRSPLSTVDHNSPQAFVMGTTTKGATLCSRLLFRAFCSNLTPMYTEHSNGNHGHDINSHLTSIGSERTPVGSTVPNMTAGHVNDTQKPAENIKKSMTIHPGFAPVEGSLQNISGSERTPVGSTGPNIAAGHVNDAQERAPSTLSYRLDGGIKKSKTPLSNIDLNISAGHVSGTKERDQSRRGRGPSIEKVLNQRRKASLTGRRGRGPSIETIYKHVDFSGIFNSSTLSTAVPDTAFADSNYSAFGGKNLEHLFEEVVDEPMEQAVMHDREYFEPYILDSLFIYKI >KZN09214 pep chromosome:ASM162521v1:1:21731586:21731912:1 gene:DCAR_001870 transcript:KZN09214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHSWKDSASQPKIKYFTQVKARPPTFVAFVSGKKQLADSELRFLTRSLKEDFDLGGIPVRVMQRAIVKPNSESSGNNKRTEYTGKTVERVVSDKRATIIPEESEAV >KZN11436 pep chromosome:ASM162521v1:1:44630288:44643121:1 gene:DCAR_004092 transcript:KZN11436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYTILFFLLCSLSTAFCAASDSSSACRTAAQEVIIRIWVNGTEGENIQGLGALFGGTLPVHEKEGIRLPAIIPQPVDCCSNLSSELSGSIAVCQRGVCDFSTKAEVAQSGGASGMLVINSEADGLPVMDCPHTKTLNISIPSVVVTKSDGEVLSKALAGGSSVELLLYAPVRPVLDMSVLFLWFMAVGTVTCASLWKGLTVCDKIEGSYSQLSQKESSETDEDDEEVVEINVMSAVVFVITASTFLLLLYYFMSSWFVWLLIVLFCIGGIQGMHNCVVSLVLSKWRNLGKRKVNVPVFGNVSIFSLIVLVCCFAFTVFWAAHRKASYSWIGQDILKVGRATYPSHHAPATFGYGDAAKYVRVKLIQACINLKRRLRKEGESPFYLLFCYRLPVLVADVLIKMCTGIFLIITVLQLAQLPNIKVATVLLCCAFLYDIFWVFLSPEIFGNSVMIAVAEGDNSGGESIPMLLRVPRFFDPFGGYNMIGFGDLVFPGLLVAFSLRYDNEKKKGLANGYFLWLIAGYGLGLLFTYLALYLMNGQGQPALLYLVPCTLGTIVILGWVRGELKDLWNYNVEDQSPTNNSSGRSSTDKVDGVSETNDIEVQSPLSM >KZN08601 pep chromosome:ASM162521v1:1:11534668:11545011:-1 gene:DCAR_001131 transcript:KZN08601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEDEDLQMALRMSMNEPPEPKRSKPRENSAGDSPESGRKSPRELMAAAAEKRMMAARGGEKSREALISKVEKAEISESAANSSARVEGNKESVVCGSEISAAEAAMLFSMVFGEGVSKDILAQWSNQGIRFSSDTETSMGLVQHEGGPCGVLATIQAFLLKHLLFAQEDLGQATLNVSTDFESRRIQCVDPDIFASLTEERKLRALIRSLAEMLFLCGNNKHAAIASLSILDFEVKGPNNIPKDEIIGKALEGLSLETDSDFQKVLRVTTYTSMDTAVRRIEEMIPLFQSRMGAMLFLISALLSRGLEFVQADRDDPSQPLVTAPFGHASQEIVNLLLSGQAVANVFDGRMDLGGGMFVNGISTNVEVGFLTLLESLNFCKVGQHLKCPKWPIWVVGSESHYTVLFALDTKVQEENELEGRETQIRRAFDAQDQSGGGGFISVEGLHQVLRETNINLPSEKLENLSGAGFIVWNDFWQVLLNLDKNLGGVKDSTGLMGKKVFDLYHFNGIAKSVPNGSQGSFGGEIPIQRPRLTRLKVSVPPRWTPEEFMADGPSASATNDKDIEVSKSEPAQHAPLVDCIRTRWPRAVCNWQGDSPSIV >KZN09502 pep chromosome:ASM162521v1:1:26103909:26104160:-1 gene:DCAR_002158 transcript:KZN09502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSILLLCGFELLVGSWFMSLNRRLSGGGDWVVFGGRTAALIVLLDRNTKSRRESGAVGSRSCRDEFGQWWWSLAAGRQREE >KZN07851 pep chromosome:ASM162521v1:1:146884:152683:1 gene:DCAR_000520 transcript:KZN07851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAPAVTPQIVGHAFVQQYYLILHQSPALVHRFYKDISKLGRPEDDGTTSITTTMSAINEKILSLNYGEFRAEIKTVDAQESLNTGVHVLVTGYLTGKDNVVRDFAQTFFLAPQERGGYFVLNDMFRYLEKANQPYEDQVLNTEYEVPVAVEQEANPVQEDHMTERNDALASEPNEESLHNVSENGEIKFEKEEEAVAEMVDEEPVAEVVDEEPIAEVVDEEPVAEVEEVRVQEVIQELPNNSEAVVESNTKSEVPKKSYASIVKDLKEKGLPFSSPAPVLPESYSIYIKGLPYNATPSLLDDEFKKYGTIRNGGIQVRSKQGFCFGFVEYEEASAVQRAIEASPISMGGRKAIVEEKKSTNSGVNNRGRFPAGRAANFRNDSGYRNEGIRGRGNYGPGRGYGRGESNGKTEFSNKGNYRGGSSNNMNNGYQRDENLGTSGGRMVRSNGMLGSGNGKNMAPRVPATA >KZN08310 pep chromosome:ASM162521v1:1:5128661:5129038:1 gene:DCAR_000856 transcript:KZN08310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILYLAVALVVVTHSMVEQGEARSCNDLKIQQTGTKCSSFTSGDQAMPSGECCNAMRALRALVKTRTERRQYCFCVHELTSQNRHVRGSPSYPAARFPRIDSLPKKCGLPFLFSVDPKFNCNT >KZN09634 pep chromosome:ASM162521v1:1:27935353:27942911:-1 gene:DCAR_002290 transcript:KZN09634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMAVAVPVSKSPSWALTEENLLFLEAWRTIDRAYVDKTFNGQSWFRYRENALRNEPMNNREETYVAIRKMLGTLDDPFTRFLEPEKFKSLRSGTKGALTGVGLSIGYPTKNDSSPPGLVVISSAPGGPANRAGVLSGDIIMAIDSTSTETMDIYDAAERLQGTDGSAVELKISSGPTVRHLSLRREKVSLNPVKSRICETSGAGKDASRIGYIKLSSFNENASGAVREAIDDMRRNNVSAFVLDLRDNSGGLFPEGIKIAEIWLDKGVIVYICDSRGVRDIYDTDGSNAIAASEPLVVLVNKGTASASEILAGALKDNKRAVLLGEPTFGKGKIQSVFELSDGSGMAVTVARYETPAHTDINKVGIIPDYPLPASFPKDEDYLCGCLQDPISSCFLDRVGLFLR >KZN08035 pep chromosome:ASM162521v1:1:1602619:1608344:1 gene:DCAR_000704 transcript:KZN08035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQWWKMIAVVVLAVTLLIELAMSDPQANKISQPGREYEVRTFYTETELGLSSEVCRGKGTVPAETAFKLVAVQLLKDLADATPKLKSYFAVAKRQVFDGGRSSKKTAMFAGGVGVISLLFLIIALLLWYQLSRKRKPANTVDTYGFGIVVLEVISGRRCNHMKNELVTQSLLEHAWKSYNNGTHEDLIDETIDSGEYNVENAKKMIEIALKCTQSPVSLRPTMSEVVVMLMNYASSEPKQINKGPVE >KZN08573 pep chromosome:ASM162521v1:1:10637136:10646216:-1 gene:DCAR_001103 transcript:KZN08573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRGFLLSLCLFVSLVESLCVPESLWLVSSNGEHTSVYSWTICSASVFVLVALVLSMYLVFQHLAAYNQPEEQKFMIGLILMVPVYALESFLSLLDSDDAFNCEIIRDCYEAFALYCFERYLIACLGGEDSTIKYMESQSIMSSSMPLLEESYAFGIVEHPFPLNCLLKDWHLGAEFYQAVKIGIVQYMGIAAVVHLYVFPAIPYKRGERCVRNAAVMSDYASLGTNPDPEEVKDCERYTRAQLISYADREKRLNFRQSVCDVVIGSGEIIVDDMKYTVSHVVEPVERGFLRINEKFHQISDNVKRLEEEKKKAKDDSYVIPLSSWSKEFSDVHEDLAEGSCSDSSLSNVKRKYSQSKESVSRFKNA >KZN10752 pep chromosome:ASM162521v1:1:38644707:38645504:1 gene:DCAR_003408 transcript:KZN10752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEGVKLLDMWASPFGMRVRIALLAKGVAYESQEEDLFGGKSDLLLSSNPIYQKVPVLLHDGKPMVESSNIVSYIDEVWPNPPLMPACAYGRARARFWTHYIDEKLFSGGRDIWLSSGEADQLEVAKKEFIEILKVLEGALSGEDYFGGDSFGYVDIMTIAIASWFPAVEKYGKFKVEDHCPKISAWIKRCMEVDSVAKVIPEAEKICGCVAYIRKLNGFAEE >KZN10505 pep chromosome:ASM162521v1:1:36396202:36398520:1 gene:DCAR_003161 transcript:KZN10505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTTSSLLHHLILVLILVPLAFSDQTHKSYIFRVDYDAKPSIFPTHFHWYTSEFTDPTRILHTYDTVFHGFSASLTPSQAARTLQHPSVLASFEDRRRQLHTTRSPQFLGLRNQRGLWSESDYGSDVIIGVFDTGIWPERRSFSDLNIGPVPKNWKGICQVGVKFSAKNCNKKIIGARFFSKGHEANEGFGGLGGGINDTIEYKSPRDADGHGTHTASTAAGRYAFKASFEGYAAGIAKGVAPKARLAVYKVCWKSSGCFDSDILAAFDAAVNDGVNVISISIGGGDGISSPYYLDPIAIGSFGAVSRGVFVSSSAGNDGPNGMSVTNVAPWIMTVGAGTIDRNFPADVILGDGRKISGVSLYSGLPITGKMYPLVYPGKSGVLSASLCMENSLDPSEVKGKIVICDRGNNPRVAKGLVVKKAGGIGMILANGISNGEGLVGDAHLLPACAVGSDEGDFIKGYTSAAVLPTASISFGGTIIGIKPAPVVASFSGRGPSGMNPEILKPDIIAPGVNILASWTDVVGPTGLDSDTRKTDDYVSFLCAIGYGPKTIQVITRSPVNCPMKKPMAENLNYPSIAASFSSSSMGVASKTLMRTVTNVGDANAVYKVKVDAPKGTTVVVKPGKLVFTERIRKLNYFVTVKVVRKNIVMGDAGALFGSLSWMDGKHVVRSPIVVTQIDPL >KZN10790 pep chromosome:ASM162521v1:1:39002315:39005973:1 gene:DCAR_003446 transcript:KZN10790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWAGHIRTKQSKWLEQSMQDMEEKVDYALRLIQEDGDTFAKRAEMYYKKRPELISFVEEAFRAFRALAERYDSLSTDLQKANTTIATCLPEQVQFAMDEEDEFFASKNAKSSHLQQGPPVPNPPKVPKVPNRPMRGMINEASKKLEAKASSKAATAKIKPAKSGLTKSEALEEIDKLQKDILASQTMKEYVKSSYENGISNYWRLENEILEKQDKVIRLQDEFGVSTMIEDKDARTLMAEAAIKYCQETLAQLQDKQERSAEEAEIENKKIEDARLRLKSLKQEFFAHQADEVERAEESTDHRPEELQSLKQDVVGPERQKLEALREKIKKQFRVGTSESLTVSELVDKIDDLVNMVINLESSVLSQTVLIDRLRAEADDFHLQIRSLEDDKATLLDGRQDSEKRVKEMEQKLYSVQNLNKNIIIQNTDIHTHFNEVHSSLDQLSEKLQSVQPEEEMDSPREEEGLHIEAKSALVLQNKEQEGGDLIRNTAGSDEIKQNFNAQSVTSSNSGKGEVDTPHDKEAGGSVLDSKTSGTEEEADRTKQNFSASLVHSNESKQVDKGEGVAVITSSSETEVKENNMEWIPSALAIENSKGEGAESYGKSETSHVSKGTALLEITERPPSIEQMNLEKFADELNSQQLPFNGLEDKERILLAEYTTILRNYKEVKKKLSEEEKKNEILFETTLQLRDMKSSVAKKDQEIQSLRQKLNLIQEESGESGDGPEADGTAENDTIGTLPTEDEEEEIKFLINQPKTISPVEEKLREKIDAILDENLDFWLRFSGAFHQVQKFKTEVQDLQDEISRLKKKEESHSSISTDLTADVRAVCKHLSEKKTELTVWLEQSNLLKNELHRRISSISEIQEAITVSLREGVETDEITFSTHQAAKFQGEALSMKQENNKVGLDSDQGLCGSDPNRGAKDSQLPTYVCSVAEILILVC >KZN08538 pep chromosome:ASM162521v1:1:10083819:10084151:-1 gene:DCAR_001068 transcript:KZN08538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLHKKTGRLLVRAKPIFLKRIADNLSDAQRQWVVETRFEKILLFNIKEYPQPLSFLISKSYNPIDSSISIGENIINFSEDDVQNVLGLPKEELMFENSYKIEYTDV >KZN11021 pep chromosome:ASM162521v1:1:41108286:41116086:-1 gene:DCAR_003677 transcript:KZN11021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKTLVTSSSTSAAFQNPNKFFNTLSKQSFLPPRMSCTLPQKHLLVSSSSSCRYRYTIITSCLQNRANDDNVDASVSSDDDESIWSQIGEIVRFSGPATGLWLCGPLMSLIDTVVVGQSSTVELASLGPATVFCDDLGYVFMFLSIATSNLVATALAGQGKDEVQHQISVLLFVGLICGILMLLFTRFSGAWALTAFTGAKNVDIIYSANKYVQIRGLAWPAVLIGWVAQSASLGLKDSWGPLKALIVASAINGIGDVVLCTFLGYGIAGAAWATMASQVVAAYMMVEALNNKGYNGFALSVPSFSELQNIYMLAAPVFVLMMSKVAFYALLVYFATSMGVQAVAAHQVMIQMYFMCAVWAEPLAQTAQSFMPELLYGAKRSLLRARMLLKSLVIVGALSGTILGSIGTVIPWFFPSIFSPDNGIIKEMHKVLVPYFITLCVTPSTSGLEGALLAGREFKFISLSMSSIVFCGALLLMLLSNRGFGLSICWWGLAAFQWSRFGIAFGRLTSPDGILWSNKSTQHSLGNPSAA >KZN09045 pep chromosome:ASM162521v1:1:19422788:19425487:1 gene:DCAR_001701 transcript:KZN09045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIWKDIPDLPSLENPNNHFHGVMNLQESLGRPLVYLTPLLPATNVFFPSSSSSHQSLVSDITCSSQPQLPLAMHCGGGARRRTGCSRENDYQSSSDRRHQRLIRNRESAARSRDRKEARTAELKEEMKRLKAENAELKQKQKARTAELKEEMKRLKAENAELKQKQKVMEEAKALKKPSLNRSASAPF >KZN11128 pep chromosome:ASM162521v1:1:41974928:41976343:1 gene:DCAR_003784 transcript:KZN11128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKRRPVFKILRRNQVIELEEFIEKPHRRIRKLARASLDSDSVDVKDLYESLQRFGPFYDRESSLLVSRPKQATWQHVVSKSPGADSEIEDAYISAVEEINLKALNTYEEDAVKNYLDDEFIWMMIEDGCFILQVVFYLLGGSAELDYPSDHQLFGAKCNIKALSRTWTRSLFFVGNQIPQIVLMQLMNQSFFRGVIAKRKWERPSSDLFRMALYESLLLPALENQGIRTGSCYSALKGLLHRIYEYQTKDCTKKPADLLHALHLLIVGPKKGPDTYEDEDDEDDLESESGDLERDVGVTSSIRSATELKQAGIDFKKIPIREGIRGINFSTNIFRAVLELPTFVVDTDTEWMLRYLIDYEITQEFDRNRREVGSYVRFMSDLIVTPGDAKLLSKKKIIRANRDQREKLPKLLKELANNIGYSTQNVRVIRLQIEDYSRPPWEKMRHFLSLVLVLTLVQTVYTVLSYYKN >KZN08899 pep chromosome:ASM162521v1:1:17459603:17463449:1 gene:DCAR_001555 transcript:KZN08899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVSSGFVVAVVVLLSSSVLCNGGITSSFVRKVEKTIDMPFHSDVFSVPPGYNAPQQVHITQGDHEGKAMIVSWVTMEEPGSSTVSYWSENSKHKNKAMGNFNTYKYYNYTSGYIHHCTLRNLKFDTKYFYEVGIGQTPRTFWFVTPPAVGPDVPYTFGLIGDLGQTYDSNSTLTHYEHNPIVGKAVLYVGDLSYADNHPNHDNVKWDTWGRFVERSTAYQPWIWTTGNHEIDYAPEIGESVPFKPFTHRYRTPHKASNSSDPFWYSIKRASAYVIVLSSYSAYGMYTPQYQWLYEELPKVNRSETPWLIVLVHSPWYNSYKYHYMEGESMRVMYEPWFVQYKVDIVFAGHVHAYERSERVSNIAYNIVNGICRPVSDQSAPVYITIGDGGNIEGLATIMTEPQPEYSAFREASFGHAVLDIKNRTHAYYAWHRNQDGDSVKGDALWIFNRYWHQIDDSTTSRSG >KZN09217 pep chromosome:ASM162521v1:1:21755923:21758245:1 gene:DCAR_001873 transcript:KZN09217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTPTRSPKPDPVCVTGANGFIGSWLVKTLLENNYTTIHATIFPGSDATHLTTLAAASRATVVIHEANLLDGAAISKAVEGCIGVFHVASPCTLEAPVEPQRELVEPAVHGTLNVLEAARRCKVRRVVVTSSISAMVPNPGWSSDKVVDESCWTDLDYCMSREKWYPVSKTLAEKAAWEFAEKNGLDVVAIHPATCLGPLLQPGLNASCAVLQQLLQGSTDTQEYHWLGAVHVRDVARAQLLLYETPAAAGRYLCTNGIYQYRDFADKVSKLCPEFAVHRFTEETQPGLIACKDAAKKLINLGLVFTPVEDAIKETVESLKEKGFLVQKT >KZN12113 pep chromosome:ASM162521v1:1:51102463:51102900:1 gene:DCAR_004769 transcript:KZN12113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPASSNPRNYNNSSSNIRRRFTYRFLRTLKQVNSITAGRSSSSWSSRRRRSLEIKHAANMCMAATVGGRRAWSRAMLSKIRRRRRRRRCSINETCWTGELREIVPGGEDLDTRSLLDETAHYIKCLTTQVQVMNDILRLYSSSS >KZN10875 pep chromosome:ASM162521v1:1:39821989:39822906:1 gene:DCAR_003531 transcript:KZN10875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVQKRRQLSLHLPRCPLPLPPTTTTTATTTISPDLEKLQVLGHGNGGTVYKVHNNKTSKTYALKHIHANTDSTTRRQILREVDILRRIDSPYVINCHNITERQDGDIQILMEFMDAGNLDTLLKKTGTFSEPVLSNVAFQVLNGLNYIHTNKIIHRDIKPANLLVNSKFEIKISDFGVSKLMCRTLDPCNSYVGTCAYMSPERFDPETYGRDYNGYAADIWSLGLTLLELYLGHFPLLSPGQRPDWATLMCAICFGESPSLPESVSGEFRSFIECCLQKESSKRWTAEELLSHPFVMQSSQKL >KZN09010 pep chromosome:ASM162521v1:1:19036604:19037448:-1 gene:DCAR_001666 transcript:KZN09010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKADMTCHNTIIFLGFICIAIVAVSAQSPPTTQAPPSTQTPPTTQAPPTTQAPPITQAPPTTQAPPTTQAPPTPQSPPTTQAPPTPQSPPSTPQTPPTTQAPPTPQTPPTTTPSPPTTSPPPVVTAPPASTTPPPANIPPPVSTPPATPPPVSTPPPAPTTPPPPSPTPPPPAVPPPASSPAPAPTKPASPSPLPSLTTPPASPTAAPTPSLGGASVPSATDASSAEKMWCPQSMARGLALGFALIYFLL >KZN12055 pep chromosome:ASM162521v1:1:50658597:50664549:1 gene:DCAR_004711 transcript:KZN12055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNRVKNVSEAMTNQGQGRSCQAVQQESTSATTFRERINAGKGVVKNNVSVRTGEDFSTEFIFDRTSTKGVPCLVQNNGRVIGANNIQNIPVTYQGLTKILGLQRMDSECSSDISDFLSAKGSISESDIGSFSNKDFQIHRADSAYFYEPKEASVDRSYNQVAPDPNASVITHDKSKLLQPSGSLVSDGSQSGKIKLLCSFGGKILHRPSDGKLRYVGGDTRIISISKDIPWEELVRKTFGICKQPHTIKYQLPGEDIDSLVSVSCDEDLQNMIDEFYGLERLIGSQRLRIFLIPLNEFETTPLVVADTTEQSNHDYQYVVAVNGIVEPSFGKNYNELPLCSEGSMSKPQMDRSSSFCRDSLSSLPPLGKPTTSGSPLTPLLNESHEFIGCLDHSTSYSPKKSLQRDKNGKSRKCEEILAHGNTENAKVGFTGRLMPGKPITEFRFPQLSADMTSCNRPREHFEVHSQIHTPSRGLASPPRQTYSDTNLYSHCSDNPVLNEMEFFSKKPIAQPGDHIISPLTSNGSTGAHPVLLHAFSDSFLPEHGGRPALCLEEGTSTSSSLKVTPLLPLDRLSAALPEKPVRIQDNGNLITPEVQFRLLNADPNVSYTGMNISNGVVSSESVDRVDNPYGDAFRSDIKPNLNKDYSLDSGKLSGFDGRDHPFLQSGSVSDSWNPFVAREQNKISSNVTFDPVLNVGVEAPTQDLQVLNDIISKEPKSFKAAVVEQPYQPENFQAEHIFKGQKNPVGELHTSTGIKGSEHHNNRSFAENSEVAGIIQDPIQQYQEEDAITDPYFKLSNGHLLQGTEMPLHVHCQNNIVQEPTGNGSSTLNHLSVSEDAKSNTCLNSSTLMHNITEGTVIKEVSLLDNDLFQHPDHKVKNRFPGDFYDEKQKVHGIAQNKHAEQNRLEAEDFMGCTVASISPGMEFSSAILQMIDTANCDVESPNAAEANVTVNELEFEDVRTDDREKDECFSDAMIAEIEADIYGLQIIKNADLEELRELGCGTYGTVYHGKWRGSDVAIKRIKKGCFVGRSSEQERLAKDFWREAQILSNLHHPNVVAFYGVVPDGEGGTLATVTEFMANGSLRNVLLKKDRLLDRHKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLSGSSNRVTEKVDVFSFGIAMWEILTGEEPYANMHCGAIIGGIVKNTLRPPIPVRCDSEWKNLMEQCWSADPNVRPSFTEITNSLRLMSAKLQGKGPSLAREA >KZN10052 pep chromosome:ASM162521v1:1:32128127:32129677:-1 gene:DCAR_002708 transcript:KZN10052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMYVNKSSSAPNNNCFLEELSPTMMEKQGITSVLRCDDSQGLKPAEGTSFRRTLSADMSSKTWLAQNGFKHPTMKKIASSGQLAIISALDSLSEGEGRVRVQKQDDVWGTIISQKKSDEAELPPPYVHPLLKKSSSTLSEKSLEICTENLGSETGSDGFSSYSSSEANSEASDFDKEEESRHEDIKNHLGDEFPVVAKYSYSGAADKKMMQKHRSFPPPLSSLARSNNEGPHVHMHSQRVNGRLVLQAVAVPSTKNFQAQREDGRLRLTLLSTTSPEEKEDEEHPVFDEVVDAKGDDKQVEEDLEEMFEIFKEDENNAQIGGQVEEDKGSVMKLERPKMKSGTINVHRSALMMKKLVAIENQNSTWSDNFNYKTPAKMIEDAMTKELTLLPQSLPPLPRATRLMPGTQAGTATAASYNSYEYFWRAKPGTTSIKNELPRPVTTILKKQLTRPATTNLKNQLAHHVSPHRNNITNGVIGVFKPKGIEQKEIVVLRNCKEARKSLLFWDAHCIATS >KZN10351 pep chromosome:ASM162521v1:1:34842498:34845986:1 gene:DCAR_003007 transcript:KZN10351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTSMEMAASSHLPPLSTFKSVSSSSTYRAAAAAPFFPFRGSHPSLRNRRRQCFSCMAVVSGSSTVPDDSLKERTASTKQINITTRHEVPNTEEPDAPESLDRSKMIRVCDKLIDVFMVDKPTPTDWRRLLAFSKEWDNIRPHFYNRCQDRADTESDSGMKHKLLRLRRKLKEIDDDVVRHNELLHVIRNAPSEMSEIVSRRRKDFTKEFFVHLHTVAESYYDNPAEQDAIAKLGNMCLAAVQTYDTATESIEALNAAELKFQDIINSPSLDVACRKIDSLAEKNQLDSALVLMITKAWSAAKDSNMMKDEVKDILYHLYMTSRGNLQRLMPKEIRILKYVLTINDPEERLGALRDAFTPGEELEGKDVDCLYTYASCF >KZN09815 pep chromosome:ASM162521v1:1:29655200:29658767:1 gene:DCAR_002471 transcript:KZN09815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGLMEQDLSKLDVTTLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDAPMCDVPGFENARMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAIEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADNAPVIPVSAQLKYNIDAVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGSEVDDIRGGVAGGSILKGVLRVNQNIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVYVELEVNFFLLRRLLGVRTKGTERQGKVAKLTKAEILMLNIGSMSTGARVVAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLDIPPFPTF >KZN10802 pep chromosome:ASM162521v1:1:39153952:39154689:1 gene:DCAR_003458 transcript:KZN10802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYSSYPSFGATKVVSTGITTVDCEKQVRSWRLFRSLLKLLIPTCNNTFTEHHPQVHQKNCMQYHDYYPSNPIISNPTSTTITGTIFGQRKGKVNFCIQTNPKSSTTPILLLDLAIPTTILAREMRGGLRIVLESTSNLRSSLDSNNSLLSMPVWKMFCNGKKVGFAEKRSPSKADKEILERMESVAVGAGVLSGKDLDRDDETMYLRGNFQWVRGSLDSDTFHFIDPDGNVGQGLSIFFLRSRN >KZN12105 pep chromosome:ASM162521v1:1:51052104:51053441:-1 gene:DCAR_004761 transcript:KZN12105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKLIKIQTLSLIKANNSITSTPIPHFSFIRSMTTSKRVQDRSEKKRIHDLEIATEKWKIISKVLFLIETMKQEPEQVISVRSLDQYRRQINLPKPHKISDFLRKSPKLFELYKDQRGVLWCGLTRQAEELVEEEERILEVTSEKAVEYVSRLLMMSIDKRLKLEKIAHFRRDLGLPYDFRKNWVYKYPDNFRVVKDEDEVEFLDLVSWNPCWAITELEKNVLGLSTLDESVKDHVPGLLSLAFPMKFPPNYKKIYRYGGQIDHFQKRVYLSPYADARELKAGSQEFDKRAVAVMHEMLSFMNEKRLVTDHLTHFRREFVMPQKLMRLLLKHFGIFYVSERGKRFSVFLTEAYEGSELIEKCPMAIWKEKVQKLIGYRGRKKKIETFDDLPDMEDRDLFESDSEEDIISIAPVHDETLNAYNDASHSDNSEMEIGDVCSAYKDT >KZN09752 pep chromosome:ASM162521v1:1:29056817:29058121:-1 gene:DCAR_002408 transcript:KZN09752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPCSILLILLCSLLSSSLAKTPTHSKQVFLPIHKDPSTLQYITTIKQRTPLVPVRLTVDLGGRYLWTVCLSGYNSSSIRTAGCGTTQCRLAETSKYCFYCIPGPFGPGCTDAPTCWRYPLNTVTDKTVLGEVATDVIAISSTHGEGRAGNEVTVPGFIFVCASKKIIAGLAKGVTGMAGLGRTKISFPAQLASALNLKKRFGLCLSSSTTSKDGGVVIFGDGPYNLKGNSSVLLEYTPLILNKVLTGEERIIKSEISADYFIGVKSIKINENTVKINSTFLKITKGGYGGTKISTVHPYTLMESSIYNAVIKAFVTELKNVTRVPAVAPFKACFSSKNIGSTRAGPAVPSIDLVMRNGVSWRMLGANSMVKINGDVLCLAFVDGGEPPFINAIVIGGHQIEENLLSFDLEKSRLGFSSSLLAKETRCSNYKV >KZN08298 pep chromosome:ASM162521v1:1:5055423:5064449:1 gene:DCAR_000844 transcript:KZN08298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRLFELARDALNSEGFSVIGGYMSPVNDAYKKKANQSTFQRTLSVLSRIRSSLCDNGLVPSAYLKVMLICGSDLLESFSTPKVWIPEQVRTICRDYGVVCIRREGQDIEKLIASDEILNECKSNIKIVDEIVPNRISSTIVRYPASLPSTLFSMGDFTIQISSNLVKQLADDGVKIKKKTRKPKTKAPREQFPAKEQQKHNVDDSETLKSPNAVGWPVQPPLFMPITPPQLPAQAEIDAIRSVLQDSERVLERLQKHEDDMVKQVTQKAKDLHEKEFKIPQPKPIPCLVEKDACLECYKENVKDPLKCASLVEQFSNCARMARQQVSSVEK >KZN10291 pep chromosome:ASM162521v1:1:34277949:34278002:-1 gene:DCAR_002947 transcript:KZN10291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKIPTDIVVSSFKVG >KZN09811 pep chromosome:ASM162521v1:1:29618253:29619506:-1 gene:DCAR_002467 transcript:KZN09811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTSSQNHNSKLHMHKHITSAPNNQVSRHTHTPNAKPTVNAPKSVLVDPSNLSKLDNMLLNVQPGMMVYVPQNGVVHNMFLSAKWENMIFYRGQNYFVHLIGEFYGNMVVQKGIDDVLKISTVVHNKNMLVDINTLNRCLKLGDQVPFQPCINIYEKFVFDKKEFELLVSYFCDADVPVNLCDKNCAIEFHHFTPLYQQLAIIIRSNLLPKPKNTQFFDYVDLKVMFQLVTNQIEFNINYVILINMIMAFEVEYMPYGLLLTSLFELYHIAMPRVLAERIEYCNISTLVKHQVSLNDCKPLDVTPVCITPDVMIIGSKQGTNKAKDELDKIKEEVNNLKEINLFIMARLDQLENKSKEDSTVGKEEGIDDKIDRLFNEEMVTEMAGNGNSEKVELPSLNDLADDLGFVAVEEPEKA >KZN09818 pep chromosome:ASM162521v1:1:29669467:29670168:-1 gene:DCAR_002474 transcript:KZN09818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVVDDDYGDASMQCTYHPYKNNSPSGGICAFCLQEKLGKLVSSPFLVTTKATASSSSTSHHSSSVLPINANNNPYHHFKKSRNPFLPTPKNKHQNHPPSSSSNAVTFNRSKSTVMRGTNLVESSPVDDLSTPQKRGFWSFTHLSKRHKIKQLASIAKIRNEEGFAVEENGSGLDRKVSRSRSVGGGNWSISGDILGKLSTRFGECALRRVESQREGNPRLGLGLSVSDKDF >KZN10281 pep chromosome:ASM162521v1:1:34171085:34181713:1 gene:DCAR_002937 transcript:KZN10281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISSTVVAPPSNPPALTHLSSLSSSSTSLLSLTHHTKTASRARTKWAAHGKLLAAGPGPRRPITAMASLGGLLGGIFKGTDTGESTRQQYGSSVAAVNKLENEVSGLSDAQLRERTSLLKERATRGDSLDTLLPEAFAIVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAVLPAYLNALSGKGVYVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTSEQRRENYLCDITYVTNSELGFDYLRDNLATENFFIVQSVDELVMRKFNYCVIDEVDSILIDEARTPLIISGPADKPSDRYYKAAKIAAAFEREIHYTVDEKQKSVLITEQGYVDAEEILDVKDLYDPREQWASYLLNAIKAKELFLRDVNYIIRAQEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFSKLCGMTGTAATESAEFESIYKLKVTIVPTNRAMIRKDDSDVVFKATSGKWRAVVAEISNMHKTGRPVLVGTTSVEQSDTVSMQLHEAGISHEVLNAKPENVEREAEIVAQSGRLGSVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKPDEGLDVSAKKPILKKTWKVNESLFPCELSKENFNLAEEAVELAVKTWGQSSLTELEAEERLSYSCEKGPVQDEVIAKLRDAFLEIGKEYKVYTDEEKKKVVSAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALESDDLQPLLIEYAELTMDDILEANIGSDAPKENWDLQKLVAKVQQYCNLLSDLTPDLLASKCSNYEELRDYLRLRGREAYLQKREIVEKEEAGLMKEAERFLILSNIDRLWKEQLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFIEMMAQIRRNVIYSIYQFKYKMVKEKEQADNSSKLDTNGKGGSSKTLNPVGAVETSSSTVTDNA >KZN11809 pep chromosome:ASM162521v1:1:48275219:48275620:-1 gene:DCAR_004465 transcript:KZN11809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKAEDFETLTRIDEVTLAACECCGLEEDCTPNYITKVKTSHSGKWLCGLCSEVVKEKMSKAPSTEMDEAVSNQRSFCQDFNTTTRVNPKLSLTSSMKEIVKRSCESRNCCPATSSKLKINRSRSCIPKIDI >KZN08921 pep chromosome:ASM162521v1:1:17709963:17710487:-1 gene:DCAR_001577 transcript:KZN08921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGSLGYLYQSANKLWALLLGLQLSHRIRKNLVELETESEKALREWDDWRWYVDPCHNRVIQQLNQRVRDRSLVLRKKSMVESQNRLARYLAEDGAATKFRQIQIFRPFERVTDEDEYQQLQQGEWVMEGLDENGSDGEEGEAANAAVGFLDID >KZN10639 pep chromosome:ASM162521v1:1:37830065:37831997:-1 gene:DCAR_003295 transcript:KZN10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNQGNSASNGNISMESEYEDETMASFRAKEEEIEKKKMEVKEKVEYQLGRAEEETRRLAQVWNQLEVLGDPMRKEVAVVRKKIDITNRDLKLLGQSCQKKEKEYKETLEAFHEKNNEKAKLTTTLVELVKESERLRMSKLEELTKIMNSSAA >KZN08935 pep chromosome:ASM162521v1:1:17860497:17866404:1 gene:DCAR_001591 transcript:KZN08935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICATILTIPNPVVDLFSLKDLQPMEEDKRFLCDVCGVLKDPQDFRDYMNDSDEAKQQKKFTLTDGGVTLFDDMAKTFEEAIKNITTGNIVFILSSAKIGKFQGPKIRLLVEKEVTVTQLEKVVIEDMSIAAIKKMNKEAKVNCKVTVKKIEEHLNWFFYICTKCNLELDLIDGRYKCSGCSHFFLWLQKRFRVFALSCDKTGVLPLMLADREIRRLTGKMVFDVELDLTEDRDVETEGSHNVSKQKKLPAKPDKEKNANAGVISSQKPSFNREKAIKIKKNFRMHAFVPGKVFEEQETKLKDGNICIISNFTIKEYDTSKKFRCVNHDKQIILTNFTQIEKVDLEDGLVQRNMFDFYDLIQLENIADQNLYLTDVVGIIERDTPIGDLVNRFGKKQKQIKFNIVDGRTSVNVCFWDAMAEKFNEAIQCVEEYPTIIIIASAKITSWQPPRQTSKQYEVANVTATKFYINYDDESMAVLRKMYAQGKFSKYNFVNHVKQKDEKLALADVKKLPIQYAEKEIICKVKIKKVLETGVWFRYHCTSCYKIIEMKNGTLKCYRCSDRNVPEPYLRWEIHVQAEDKTGEINILLLDREIRTIFNLVVMDFDEEVIQNKKVPQIIKALENQHFAIKLEIKDSNILKQLGTYYATGIYACPTNETIAEEELLTPQSTFPTTVTQAASARISTAKSIQYGVQPC >KZN10364 pep chromosome:ASM162521v1:1:34944513:34946427:1 gene:DCAR_003020 transcript:KZN10364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLGLLPNNFTYPFLFIACGNLLVVDHGRLGHSMVWKLGLVVDGHVRHSLITMYSKCGQVGCAREVFEEITDRDLVSWNSMISGYSKMGFARDAVSLFGRMRDEGFQPDEMSVVSVLVACGDLGDLSCGKLIEGFVTESEINLNSYVGSALINMYGKCGDLVSARSIFDRMKQKDVVIWNAMITGQVSFSKNIRYAQNGMSNEVISLFKSMIEAGVSTDRITLIGLLSACATIGLLDVGNWVKAYASEIGLLSDVYISTALIDMYAKCGSVEQACTVFENMPQKNTASWNAMISAFAFHGKAQKALALFERMCKEDTAACPDDITFVGVLSACVHAGLVNEGRQFFDLMGSSFGLVPRVEHYSCMVDLLARAGLVYEAWDFIVKMPEKPDEITLGALLGACHKVGNADVGERVIQILLETEPSNSGNYVISSKIYANSKRWDDAAKMRLLMRERAVTKVPGYSCIEICTRIHEFYSGDSSHVDSEYIYQVLGMLYEEMKMES >KZN07973 pep chromosome:ASM162521v1:1:1125338:1125772:-1 gene:DCAR_000642 transcript:KZN07973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNQQPQHHHQEQPQQLSRKVAKTTTAVTLTGSLLLLSALTLAATVIGLVLATPVFVIFSPVLVPATIAVFLLGAGVFTAGGLGATATFVLTWMYKYVSGQHPVGADQLDRIKSKIVGTAEDIREKSAQLGHEALKGTKGTNY >KZN08971 pep chromosome:ASM162521v1:1:18465285:18466505:1 gene:DCAR_001627 transcript:KZN08971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAGQVRAIAAEASVTNKRRRLHSAQPNSSTVHHPLPNHCCCSSVSVSPKNSVSPTTPFEFPDQVPQTSLCFNNQSITTISVLSDLKADENSGTDEISKSTSDAFSDEKTEQVMESITKKKRKISQARSTDASKMPSTEEIEDFFSVAEMLQKKRFMEKYNYDIVKDVALEGRYQWVRVKPC >KZN09650 pep chromosome:ASM162521v1:1:28145080:28146689:1 gene:DCAR_002306 transcript:KZN09650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMASSVGILSSRTHLSSSTRPPISPSLKTTSFASVADLRFVCSQLSGLQISHTHSAHLVRPICVPPTKLPLQPVARRICPFTGKKANKANRVSHSNHKTKRLQFVNLQYKRIWWEAGNRFVKLRLSTKAIKTIEKNGLDAVAKKAGIDLRKL >KZN09320 pep chromosome:ASM162521v1:1:23153852:23155387:-1 gene:DCAR_001976 transcript:KZN09320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAGMFFVQQTVGSVLCCKCGIPMAPNAANMCVKCLRTEVDITEGLQKHVIIVHCPECDTYLQPPRTWIKAQLESKELLTFCVKRVKNLNKVKLVDAGFIWTEPHSKRLKVKLRVQKEVLNGAVLEQTCTVEYVVQDQMCESCTRVQANPDQWVAAVQLRQHVSHRRTFFFLEQLILKHDAAARAIRITQMDQGIDFFFANRSHGVKFVEFLGKVVPVKSRSDKQLVSHDTKSNNYNYKHTFSVELCPICREDLICLPPKVSASLGHLGPLVICTKVSNNIILLDPFTLRHCFLDADQYWRSAFKSLLSSRQLIEYIVLDIESCASEVTVGGSTYLLADAQVARLSDFGKNDTIYFVKTHLGHLLSPGDYALGYDLHAANTNDMELNKYKGFVLPDVVLIKKSYEEKRMKKRGKPRAWKLKSLGMEIDDSAKGKFDEEKMDAEYEKFLEDLEENPELRFNVSLYRNKEYQPSDMASVTDGEDAPTVPLDELLGDLNLTEAETDYDDMKE >KZN11126 pep chromosome:ASM162521v1:1:41957153:41957434:-1 gene:DCAR_003782 transcript:KZN11126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEVESGAVPAECVRQYKSLLQCHRRIPAGPGRDAACRHLNQSLANCLVATICPDESEAVKSLCGSGGTALKRSQCQQAQLSLAVCLSSHQN >KZN09342 pep chromosome:ASM162521v1:1:23466575:23468458:-1 gene:DCAR_001998 transcript:KZN09342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKFSNVNKIISNSKPSLSLQSNPVYASKSPDFNKTTLHHIAFGIGASAKLWDRRKEYIKLWWRPNQTRGHVWLDKPLVEGDGHNDDHLLPPIRVSSDTSKFKYHNKKGDRSGIRISRIVSETFRLGMEDVRWFVMGDDDTLFVVDNVVRVLRKYDHNQFYYIGSCSESHTQNIHFSYNMAYGGGGFAISYPLAKALERIQDACIQRYPGLYGSDDRIQACMAELGVPLTKEIGFHQLDVFGNIFGLLAAHPVTPLVSLHHLDIIDPIFPNMKQLEALERLKAPMKLDSAGLMQQSMCYDKSRKLTVSVSWGYVVQIFAGFVSARELEMPARTFVSWYRKSDEAGFSFNTRGVGRDGCERAYVYVFTNAVFNTRTNETASEYVRSAGKDRWKCREKGPDFFHIHRVLVYKQRDPHLWNKAPRRNCCRILQSDKADTMVIHVGVCREGEGMGR >KZN07885 pep chromosome:ASM162521v1:1:472754:473335:1 gene:DCAR_000554 transcript:KZN07885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYILNIHSIAAQGLSKASPYYARVSILGDHQITEWTTHVDTQGGNNPRWNQQRTIMLNKSHVHRELVYVVVKIFKKRSVLDKCVGQVRVPVGQTIDYETQRFALSRSKFQINQAKGAIKLACRIQPLQVTAAETHDAHHAQASSSSYQQGFWYPPPAAYYQPMPQHPQRPNKLGLGAVVPLVMMAANILFQ >KZN08684 pep chromosome:ASM162521v1:1:13458393:13459473:-1 gene:DCAR_001214 transcript:KZN08684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPGAEAGAAAAQEDDDEDEVPELVPGETFEAVAEETPTS >KZN11624 pep chromosome:ASM162521v1:1:46446347:46446532:1 gene:DCAR_004280 transcript:KZN11624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPDGNGFITVGELALLGHASTAEELRGVIREADTDGDGRISFQEFSLATTSAAFDNYWI >KZN11295 pep chromosome:ASM162521v1:1:43471070:43477640:-1 gene:DCAR_003951 transcript:KZN11295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVMLHIIIFLIIVFFEFLIISQTKDSTESRFRSGSNTSNRGARSGTDRYGGRGGSTQFSSSDSGALHGKVGYRKENGANHYTNSSSTAPGIASRGKFQRPPAPSDFVTSENKASALSMGDGNITASQPSTGYQPAWVGGHGQKSMADIVKMGRPQSKVSSIPTPSQYSGDHGSKVSDVYTEPVSAPDEWPIIEPQQASMSVYNSHTDSQLHPDQSTMHPDDINQQTHIEPDEVEVEEDSSVENLDHVGSATVSSRNMQADNSGDASLFDNDMYKNMSSYQAHPHAFQSEEVEDIGASVPSEIANMHQLSIQDEDHEVAYEEDVPSVVIPNHLQVQSADCSHLSFGSFGSAPVTGYSDPFTSRSSRSNIEETLVETDAPVIEQSDNRNSEYYGDESIRTVADGNLVHRTSGGDAGFESQSVPQADVLKQENSEAAHTSQYAFPSSSPGYTFENAQQLNAAFNYSQTSAQMQNLTPFSNSVASLPNTLLASNIHPVRESELPYSPFPMSQSMPTKYGNTVSSIGGSSISVAEALKGFQSAQAAPQNPSGTSVATGPALPQHLVHPYSQPTLPLGPFANMISYPFLPQSYTYMPSGYQQMIAGNNTYHQSLAAVLPQYKSSVSVSNLPQSASVPSGYGAFGSSTTIPANYGMNPSAASPGASTMGYDDMLSSQYKDSNHLISLQQNDNSAMWLHGPGSRTISAVPASTYYSFQGQQGQQPGGFQQGQQPSQNYGNLGYPNFYHSQTGVSLEHQQQNPRDGAHSGSQGQPKQSQQIWQNSY >KZN09294 pep chromosome:ASM162521v1:1:22673986:22676947:-1 gene:DCAR_001950 transcript:KZN09294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENISTKMLTSCDIVTVKYITRPIKLGGHTGRGRDAMLLLKHKILKSILLRRTKVGRSADLALPPKMIFLRRDSLDIKEEDYLRSLYNDTQAQFNTYVTEGTVMNNYANIYNRLTRVRQALNHPYLVVYSKNALSQSSVANDDGEVKCGLCHKSVEDPVVNACGHTFCQSCLINFSASAGQGSCPTCFITGFKSSSILNRIRLGDFKTSTKLEALREEIRFMVQRDGTAKGVVFSQFPSFLDLIHYSLQKSGVQCVQLDGSTNMKARDTAIKRFNEDPDCRLFLTSLKAGGTALNLTVASHVFLMDVWWNPAVEQQAQDRVHRIGQFKPVRQVPWLEPS >KZN11251 pep chromosome:ASM162521v1:1:43028783:43029706:1 gene:DCAR_003907 transcript:KZN11251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDPRVCVMGEDVGHYGGSYKVTKGLAPKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKEKIPDEEYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFQDYLDAPIVCLSSQDVPTPYAGTLEEYTIVQPAQIVTAVEQLCQ >KZN11329 pep chromosome:ASM162521v1:1:43719679:43719939:-1 gene:DCAR_003985 transcript:KZN11329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRALLALLLILAFSFSSARCRKVLIIHEGVYVHPLHEEPAATTTPSKRGQAFIMNENLVDQQLKSISRRHDQSVPSPGDGHGH >KZN11160 pep chromosome:ASM162521v1:1:42199106:42202497:-1 gene:DCAR_003816 transcript:KZN11160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQTHYSPLHFRALLINLFLVHSFWAVISAQGNGQPIGFGYIVRKVSVVNSSSSSLFADLQLIKSSSVFGQDIQNLRLTACFETSDRLRIHITDADHTRWEIPQDVLPRPPLPSAKNYLHMPVRHKSTPKSYQLSSPNSDLIFTLLNTTPFGFTITRRSSGDTLFSTEPDVENPNSTFLIFKDQFLQVSSSLPANQSSLYGLGEHTKKTFKLAHNQTLTMWNADIGSANLDVNLYGSHPFYMDIRSPDSGVAGSTHGVLLLNSNGMDIVYDGDRITYNVIGGVLDLYFFAGTSPKMVMDQYTQLIGRPAPMPYWSFGFHQCRWGYKNVSDVEGVVDSYAKAKIPLEVMWTDIDYMDAFKDFTLDPINFPADKMIKFVNKLHQNGQRYVLILDPGISVNKTYETYIRGMKADIFIKRDGTPYLGQVWPGPVYYPDFINPHGQTFWGDEINRFRGLVLYDGIWLDMNEQSNFNTSPATSSSSLDNPPYKINNFGSQSSINTRTVPASSIHYGNITAYNAHNLYGFLESQATNKALIQTTGKRPFILSRSTFVGSGRVTAHWTGDNAATWDDLAYSIPTILSFGLFGIPMVGADICGFSGNTTEELCRRWIQLGAFYPFSRDHSAIDANRQELYLWSSVAATARKVLGLRYKLLPYYYMLMYEAHSKGTPIARPLFFSFPEDTHTYEINTQFLIGKGVMVSPVLSPGAVTIEAYFPAGNWFNLFNYSLSVSLEQGKYVTLDAPSNDINVHIREGNILAMQGEAMTTTQARMTPFHLLVAVRRTENSTGEVFLDDGEAVEMGNEGRNWTLVRLHSQERGKKVELQTEVVNAGFALSQKQIIDKVTFIGLKNVDKPVNYKLTNSAGATLRRKSSVTANHDGNGEFMCVELSGLSLLIGEEFKLTLSLSEE >KZN08077 pep chromosome:ASM162521v1:1:2073036:2075495:1 gene:DCAR_000746 transcript:KZN08077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEVGDYTIFMGLDKHENEELIKYGFPEDIWFHVDKMSSAHVYVRLRKGQGIDDMSEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWQNLKKTASMDVGQVGFYNSKMVRTVRVEKRLNEVVNRLNKTKVERTPDLKAEREAVNAAERAERKLQLRDKKRREEIERLEKEKQAEVRSYKNLMVAEKMTSNKEIAATQKSLQELEDDFM >KZN08521 pep chromosome:ASM162521v1:1:9664156:9671195:1 gene:DCAR_001051 transcript:KZN08521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLGEPPKSTPPMDSHNFPSIMFSCFPITLKFLDVCYRVRVQDNVSTTNLTKMFNLPDPTQTSQEKIILTNITGTVSPGEILAVLGPSGSGKSTLLNALAGRLHGQNLTGSILANGRKLTKPVLKRTGFVTQDDVLYPHLTVKETLIFCSLLRLPSTLSKPEKIAIATSIITELGLDKCENTLIGNSFIRGVSGGERKRVSIAHEMLINPSLLVLDEPTSGLDSTAAHRLLSTLKSLAAKGKTVVTSVHQPSSRVYQMFDKVLVLSEGRSIYFGKGSESMNYFESIGFSPSFPMNPADFLLDLANGVCQLEGATETDKPNVKQVLVSSYNNLVAPRVKALCMEATNLIPTETKIQSTGVTQNPNRNACSTCISTWFNQFGILMQRSLKERKHETLNSLRVFQVLAASLLAGSMWWHSDYHDVQDRLGLLFVISIFWGVFPSFNAVFVFPQERAIFIKERASGMYTLSSYFMAHIVGDLPMELILPTMFIAITYWMTGLKPEVGAFLLTVLVLLGYVLVSQGLGLAFGAIIMDAKRASTIVTVTMLAFVLTGGYYVHKVPSCLAWIKYLSTTFYSYRLLINVQYGQGNEIASLLGCSHGHRFNRASCKFIEEDIGNQIHPGMSIMIMFFMFIMYRMIAYFALRRITS >KZN10068 pep chromosome:ASM162521v1:1:32317905:32318369:1 gene:DCAR_002724 transcript:KZN10068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHPAPIQEHRNARCRYNKKQLRRLPHIFAKVLELPFHSNADVLVHETSDSLRFVITTEDAAIGDDIRAHSVNIHPGITKIVVRGGGEDVEDLSVDELELDVWRFRLPEATLPEMASAAFSDGELVVVVPKGEEVEEVEERWGERSGQFVFVH >KZN09591 pep chromosome:ASM162521v1:1:27447653:27455480:1 gene:DCAR_002247 transcript:KZN09591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVRVIEARNIPAMDQNGFSDPYVKVKIGKQKFKSKVVKKTLNPMWCEEFSLKVEDLKDVLKVYVLDEDKFRKDDFVGLVEFPVSQVFDAEGQSLGTTWYTLKPKNKKAKIKECGEILLTVCFSHSNSVMDMQSLDSNASGNFDTQSDYSRLRSLCSQPSSVKLDEVAPPKEEKPQKQKFAEKIAHIFNKNSDVSNKISDALSRTSSKATNLPEVPETANKESHGEKSEESSSSGSYEEMMKTMENRDQGSEMPNNLPGGVVLDQLYAIASSEMNSLLFSPDSTIIKSLADVQKTTELQIGPWKFENGGETLKRVITCTKSATKLVKALKATEEQTYLKADGRGFAVLASVSTPDAPYGGCFKTEVLYCITPGPDLPSGEQSSQLVVSWRINFLQSTMMKGMIENGAKQGIRDSFEQFTTLLAERVNPADVKGNASEKEQALASLQGEPQSDWKLAIQYFGNLTCISAIVIGLYVLVHLFLATPSTIQGLEFVGLDLPDSIGELIVSGVFVLQGERFLALISRFMQARRQKELSDVWFPLQGNLAQACQSKLHLRIFLNDTRGSNVVKEYLSKMEKEVGKKIKLRSPQTNSAFQKLFKLPPEEFLINDFTCQLKRKLPLQGRLFLSARIIGFHSDLFGRKTRFFFLWEDIESIQVDPPTLSSMGSPIIVMTLRPGRGLDAKHGAKTHDTEGRLKFHFQSFVSFNVAHRTIMALWKAKSLTPEQKVRIAEQEAGGKELHILEDESFSKSQHVSEDESDTKGLQSEDNGSLFGFGDVGMSVIYSSVLSVPVDSVMELFGGNELEQKAMETAGCINYSQSPWESEKADIYERQIYFRSKQISQYRGEVTSTQQKSRLSDIDGWAIEEVMTFHGIPLSDHFNVHLKYHIEDDARGMGCNVQVFLGIAWLKGTKQKKRFTKNIFSNMQEKLKVMFSIVEKEYASAK >KZN10460 pep chromosome:ASM162521v1:1:35893562:35906687:1 gene:DCAR_003116 transcript:KZN10460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVLVERATSDYLIGPDWALNVHISEFCNRDPMEAKHVVRGIRKRLGSRTPKVQLLALALLETLMHYCGDYIHLQVIDKGVLHKMVKIARKKPDYHVREKILILIDTWREAFGGTTSRYPQYFAAYEEMLYLGLVFPRRSDISTPVFAPQVQPHLSLPPNTRSQEIGKKEAESSAEPDFPTLSLTEIQNARGIMDVLADMLSAISPGNKERLKQEVIVDLVEQCRTYKQRVVHLVNSTSISTITVILLLLPRPLPPVLASMTTTTTTTDMLVDESLLCEGLALNDDLQRLLAKHEELSDGNSDAGAAGAPLIDTGDVNNNMGEAKHVVRGIRKRLGSRTPKVQLLALALLETLMHYCGDYIHLQVIDKGVLHKMVKIARKKPDYHVREKILILIDTWREAFGGTTSRYPQYFAAYEEMLYLGLVFPRRSDISTPVFAPQVQPHLSLPPNTRSQEIGKKEAESSAEPDFPTLSLTEIQNARGIMDVLADMLSAISPGNKERLKQEVIVDLVEQCRTYKQRVVHLVNSTSISTITVILLLLPRPLPPVLASMTTTTTTTDMLVDESLLCEGLALNDDLQRLLAKHEELSDGNSDAGAAGAPLIDTGDVNNNMGIVAVPEASGSADPLIDLLSGDINPSKAEDSLAIVQVEESQSNDAAPQQNALALVDMLSEHNASTLQPPQNDQIPQEQQPPLQDNGNTLSFPRPPWEEQPEADNSSLAENGYQEPMQASQVGFTQGTIPPQVYQHMENGQAGNEYIQPTMQGHLLAAINPSMNPPHPIQRSQSLGLHPQQMQYAQMAYMYPQQMYNNQMAGYAYGYSQFPNNQYPDQRMSGLSGSDDGVSNSSTTQLTQSYVPSGKPSKPQDRLFGDLVDIKKFKPTNSTHAKTESM >KZN10442 pep chromosome:ASM162521v1:1:35724901:35730875:-1 gene:DCAR_003098 transcript:KZN10442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALNSSERAPILPVSDPSTPSRSNERRSAMTRRGVYAALSYMTCAVLLVLFNKAALSSYNFPCANVITLFQMISSSSFLYALRRRKLISFSAGESLTGTDASTKLVPVKTLIHTLPLALSYLLYMLVSMESIRGVNVPMYTTLRRTTVVFTMLVEYFLAGQKYTSSILVSVSMIVLGAFIAGARDLSFDSYGYGVVFLSNISTAIYLATISRLGKSSGLNSFGLMWCNGIMCAPLLFFWTLIRGDLEKTMNFQYLLSPGFLPDVTLSNA >KZN10534 pep chromosome:ASM162521v1:1:36685102:36685507:1 gene:DCAR_003190 transcript:KZN10534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKDGDNMGKGWTSLNPLTPGFFDRKIEPKTHHPTNASEPRAPVCSEPGKENSLTPSPEMATKPLAFAPAVNGATCPNGVPNVKDAAAAENGSDIDLDLKL >KZN09031 pep chromosome:ASM162521v1:1:19275897:19277056:1 gene:DCAR_001687 transcript:KZN09031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYESILGSEWSALNAMHSTEESDFMAQLLNYCSIPNDLHNSGSQNTDVHHFPGGTNFYHLTSDHGSCYAGNFDHILATYDDFILCNEEVIEENKSRVQLEQEDQVPESESNKDAVFRNPLQKSKKRTTNSREVQKNKRNVKAKKKQKHTKTSCTNKEQNGSSSCSSENDSIASHELNGVALNSSESKEARTINSNGQPRAVRGSATDPQSLYARKRRERINERLRTLQNLVPNGTKVDISTMLEDAVEYVKFLQLQIQLLSSDDKWMYAPIAYNGTDVRT >KZN07965 pep chromosome:ASM162521v1:1:1062763:1064963:1 gene:DCAR_000634 transcript:KZN07965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGKNLLSKIATNDGHGENSPYFDGWKAYDSDPFHLTENPQGVIQMGLAENQLCFDLIQEWILQNPKASICSAEGVNDFKDIAIFQDYHGLPEFREAIATFMAKVRGDTVTFDPDRVVMSGGATGAHETMAFCLANPGDAFLVPTPYYPGFDRDLRWRTGVKLLPVVCESSNNFMITREALEEAYQKAEESNINVKGLLITNPSNPLGTILDRDTLQGLVNFINEKNIHLICDEIYAATVFTRPMYVSIAEILEEDKKCNRDLIHLVYSLSKDMGFPGFRIGIIYSYNDKVVNSARKMSSFGLVSTQTQQLIANMLSDDSFINKYISESRKRLAARHGVFTRGLMQVRVGNLQSNAGLFFWMDLRRLLKESTVEAEMDLWRVIINEVKLNVSPGSSFHCSEPGWFRVCYANMDDETMRIALRRIKKFVLQADEREAAAKKHMWHKNLQLSLSFRRWDESIMKTPRIVTPHLMSPHMIMSPHSPLASPLVRARN >KZN10285 pep chromosome:ASM162521v1:1:34202613:34208288:1 gene:DCAR_002941 transcript:KZN10285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCRSFGSNYHPSSQPKKISIGIVVDQSMKAKFSKVQQDDAAAAFPVTRNLGSSKVDATEDMHNYEKVKNPTKEKQTDVAVQETSPWASTRSFQQNLPSSQGVCDAHQAPSTLFNQSQRSNNGPQTDDGLQKKVSIGIRAIKGQGDGSSNRVEEVPLTTAQEIKVPGKEVAQEKAENTENKANDTLRMKIWEVLGTVSTPAKTFSRSPTLKTCTDNLEPELNSNKKKCSNVKPRQNSDTIESDSDSLNHTIKRPVTRSLTRKRPTKVQQRKAKITPSSSYKHRKPEKSIFSFEEGRSGRISPAMVGGSSVSKSEKKKSSRVEPRKLQFSKLDNADEICQVTDSSKTKFHVEGSPGFKNGAGGFFGFLKANRDTCQYPIKNRDDTLGINSETVFPKNMDEEDVVDPTVKTIIEPQFDFNTPLLGTNPPTETYICGSPPKSNNEKQEDVYSPVKGVKGVLNMEHIRSFKGFFASTLNSDKKNKETETLDEVVHEESPVEKSLPNLQVNNTVSSPSTSSSEEDGSESSDSSSTEGPSEAEPPEIVTAREPEILFRQTKRCRISEAADAITYSPSTTPPQVKSKMNSAASKRSAEILTSVAMEMQLQLQNAESQIQKDVGKLIGIGKTKRRRLETTFTEQQGKLKDIYARFKNEVNVHLQDCKSTLEGLELQQTEFKDIIEEQNASHGKLLLQAEEAIYTRLNDAEQKVKTIQKSARQKMLQLKYVIAECLKEDAIY >KZN09347 pep chromosome:ASM162521v1:1:23874686:23879075:1 gene:DCAR_002003 transcript:KZN09347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRNLIWVATKMQVMVNRYPTWGDVVQIDTWVAPSGKNGMRRDWLLRDYYTGKTLTRASSTSYLSLINSNLPSFQKIVMDTPAFDRISKLTCNKTSWTIKVRLTRLWKSINAITGDFKGYNMILLDDDKTHIHAFAGLDFVNEMDDVPEERKVYNISEFNVDDAKYSYVAVSNKSEIYFLKRTNMTLIEEEDDMIPKYKFELVSLNALKLKVGNTKILTDLIGLVVNVLPMQSRNTPTGPKDILLIDITDGRLNNANEPIIVDDIPSATHFTVKKIDTYNLKQISDLRSSDLQNLEVICHVLVCSIDAQSRWWFYSCDSCPEELSFVDDTYKCECGKIVSYPDKRPGLTTSKSLLEEGRGDQLPEKLMNITRKRLKLTLSLNAKNLTYGNSVYFASDVVEEVEASENPTTKELLEMKNTVPTSHLEGCAVHDTPEIKSPSNKRTLKKDDGSNKITIVLSHTFRLCDLFFQDYVLVLIWFLV >KZN11756 pep chromosome:ASM162521v1:1:47894812:47895531:1 gene:DCAR_004412 transcript:KZN11756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPAGGSSVSQACAACKHQRRKCAPECVLAPFFPPHRQAEFLNVHKLFGVRNIMNTVKKVEMSRRGDAVRSMVHEAYFRAVDPAGGAYRILSHLQHRYNHMKAELDLVRQQLAIFRSVQIDGIGSEHMQHPPLNYSNFGRKESAEAINQTDDITSPRINILRGDIIKKEGSSSNRDKKTMRLEKKGSASNLDNGTFESAPYDGNGNTKIDNIDENHSSSRSHENETNSLEDVKLNVRD >KZN12087 pep chromosome:ASM162521v1:1:50897502:50902179:-1 gene:DCAR_004743 transcript:KZN12087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYERKPRPDDENLLEKEKRKERKHKKEKKDKNKKDRKEKKDKEGKQKKEKENSEDKHRERKDRKERHKHKKDKKKEKEKKRASEDKISTGPPECSTVDNPGSGCTQSDETSDIKFLVELGKRTRDDEKATENLMVKKINLTGKICSEYPEKMRVGKNGNSDGNAMLTEKRTNDTQYHGQSNNNDARCTENGIVQKIVLGQQRKVEGVAGLVGNNVEKRMVHNKIDEHIGSKSRGGRSNEKDRDGKIKSGAARNSEKEPNKEQLLVAREKSGQAACNNSNTGISPLPNEGNKNFNLGKRKELRINKFLHDDEIRPSKLQRPLSCAKEAVEDGNYLKLSKALTKSVPELAGMVNNHKLNSKQPSSHRTTEDRSKSKATSSAIQFASLGQQTGDNHKVDNSLASSHYTLENGRTLETLKAASLSASECFRSSINHKVDDKVGSARPVLENGVVHKAATLTALKEHEAIGHSKVDNKVNNNVPLSHSTSINGRILETSKAGSLPASECYRSSIKPKVDAKVGVAHPVLENGVVHGAATPTAVGGHESIDHSRFDSKEHKPNGLVKDKRANGSSTRPSSSTAKQIVGEYPKPPHPDSKYLSKILAIPSIEWSGFEEQEWLLGSNSESTKENPSSSQLKESKQVWDKALQLESADITALPYVIPY >KZN11133 pep chromosome:ASM162521v1:1:42002836:42004134:-1 gene:DCAR_003789 transcript:KZN11133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIMPRAVSYYLSPTFFYRNIPCIINQTSRMSWADLPVDILFIIFGFVHNRKNFNMIDLYQCLAVCHSWRSVAKQIWRNHILPTTPWLVSTIDASTKINLKTDLYNFYKHPPSLDINKSDASFSFTLDLFHLRTFASCDGWLLLGNYDNLPFLYNPITLVLLQLPVLPQIHMLYHMKFVSSGASPTDHECMICLKFSNKRGHWGGYDDYNNYLAFCRPASSTSWVILHEKSEDYTFSGGKFYTISNSGELFLYNSEILNGNTSVGIGHPWKEIKIAGPVFNGNLLLGFNDRCFFYLLESKHKVLLMVMRLVEDNKVSRSFRIFKLNSSDNNYDIRRSNYYPYYWKEISNLPEKESIILLWNEGMSISVDDHNGYKSNCIYFYDEDGVGQLTTYGIYEVGTRKIKSKSANHYSGDAGCYNFHLFTPSKISNF >KZN09839 pep chromosome:ASM162521v1:1:29861227:29863642:-1 gene:DCAR_002495 transcript:KZN09839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKTRTGSLEVPQRSSPATPRSNKQQKTTGADSDSVPSPATRTPVARSPKIADRRSPRSPASEAKKRPGRISDLENQLSQLQEELKKANDQLKSSESLKKQAQLDNEEAKKQLDAMSAKLEESEQQIHELSASEDSRVQELRKISQDRDRAWQSELEAVQKHHSIDSAALSSAMNDIQKLKMQLERVAESEATYARRAESAHAEVQSLRQELSETLNLVESLKHELSDCEESKAQALEAVKETESQLVMARSTEETLRSEGLKAKEAYNSVAVELEQSKNQVLHLEGLVSKLQKEFASESSKSTADPSGSITVAQESDEYEKSGEVEKELNNVKSEVVQLRSELEAAERRYQEEYIQSTLQIRSAYEVVEHTKLESRKREAELEAILNSAKSDVEELKSKLMDKETNFHTISEENQGLHLKVMENQLSGRESELELEVKKFEQDLVELKASLLDKEIKLQGITEENETLKMEIEKRELEKDKVNDETLELAEAARDAEREALMKLSYLTEEADKSSRKTARVTEQLDAAQAANAEMEAELRRLKVQSDQWRKAAEAAAAMLTTGNNGKIERSGSLDNNYHTIGGKLSSPFSEDMDDESPKKKNGNMLKKIGILLKKSQK >KZN08161 pep chromosome:ASM162521v1:1:3352363:3352749:1 gene:DCAR_001226 transcript:KZN08161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQRYNRPHEIGTELVAASILVAGEKLLNVHPFDRSKILIVKLAELNSDRTARKGSSALFNNSGLACIALQYIKAYGGDCDSVGGKNAKKPPDAEIAETFAPNCGVEVSSLSLHWTSTRMPVKVYPA >KZN08315 pep chromosome:ASM162521v1:1:5161871:5163063:1 gene:DCAR_000861 transcript:KZN08315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKELAASKSLNRSSAAGVLRGESNSESHVRRECGGPVTIPKKLPRQASPPRSTNKKLTKLLINVNIQRSFGPVHIIISIENTVGDLIKAAIEVYLKEKRRPLLTHSDARCYDLHYSQFSLAHMRVHGSRGGCLLSGLKPEEKLTNLESRNFFLCPKPNNINSNTTSAASKTSCSNEANTTVADTHAEDLQFPCTRFMDFLL >KZN11163 pep chromosome:ASM162521v1:1:42221800:42223013:1 gene:DCAR_003819 transcript:KZN11163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTSETPGDDESYHGWRIDKFDFESPVVSGTPVKALKVDRTGLAAACGQCSSSMPCRTPLADVSNKPFLGGRQSLIAGHLDGRTDNEIKNYST >KZN10185 pep chromosome:ASM162521v1:1:33395358:33395621:-1 gene:DCAR_002841 transcript:KZN10185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLVGEAGHVQTDPLRPICTCSPSPTRIPHHIYMCSNAHLLISHSSMCVVLSGHACLFKARHAWFGHACLFKARHAWFMQIADVK >KZN10741 pep chromosome:ASM162521v1:1:38570156:38574642:-1 gene:DCAR_003397 transcript:KZN10741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKDSISDTDLLDGSFSSHFERHRSSLPSVIVIGGGISGIAAARVLHNASYKVTLLESRDRIGGRIHTDYSFGCPVDMGASWLHGVCNENPLAPLIRRLGLTLYRTSGDNSVLYDHDLESYTLFTMDGRKIPQQIVIEVGEAFKKILKQTETVRDEHANDMSVSQAMSIVLDRHPELRQEGIAHEVLQWYICRMEAWFAADADMISLRNWDEAMRFLDKQEHVLSGGHGLMVQGYHPVIKALSKDIDIRLNHRVSKISNGPGEMVVTVEDGRSFVADAVILTVPIGVLKANLIKFEPRLPDWKVNAISDLGVGNENKIALQFDTVFWPNVELLGVAAPTSYACGYFLNLHKATGNPVLVYMAAGRFAYDLEKLSDEEAANFVMLQLKKMFPDATEPVQYLVSHWGTDPNSLGCYSYDLVAKPTDVYERLRAPLGNLFFAGEAVSMENQGSVHGAYSSGVMAAENCKRLLNEKIGRWEKLQFVSFREEFIEATIPLQISRM >KZN11006 pep chromosome:ASM162521v1:1:41034224:41035662:1 gene:DCAR_003662 transcript:KZN11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKPTYDKLLSEAPKFKLITPSILSDRLRINGSLARRAIADLMSRGLIRMVSTHSSQQIYTRATNT >KZN07918 pep chromosome:ASM162521v1:1:660701:663420:1 gene:DCAR_000587 transcript:KZN07918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSKLSSNSKKWGFKKDTKQMTKASMTTIRGVITMLQENLDKDDVRSVVPLSHGDPSAFPCFRTTPVAQDAIAEAVRSAKFNGYSPTVGIPPARIAVAEYLSKDLPEKLSPDDIYLTVGCTQAIEIILTVLARPNANILLPRPGFPYYETRAAFSHLEVRHYDLCPETGWEVNLDEVEALADENTVAVVVINPGNPCGNVYTYNHLKKVAETARKLGILVIADEVYDHLVFGSNPFVPMGVFSSITPIVTLGSISKRWLVPGWRLGWLVTNDPNGILKEQGIVDCIKGFLNISSDPATFIQGALPQILGDTKEDFFLETINSLKMNADFIYSRLQEIPCIVCPSKPEGSMFVMVNLNLSLLDDIKDDMDFCVKLAQEESVMILPGIAVGLKNWLRITFAIEPSAIKEGLERVKAFCDRHAKKQ >KZN08536 pep chromosome:ASM162521v1:1:10072188:10072724:1 gene:DCAR_001066 transcript:KZN08536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELNFPKDMFNIWQANPMGAALLQRKNRLIQDFAWWNHDFIGYTDGAWKFKNNSHTAGIGGCILDKEKNLIFVFSGPSSASSPRDSEKEAILFLFQIFHSQKAIQGRLQINTDCITIVEEFQRQRAGLGKIPESQEWATLINSPGFTLRYTPREHLVGAHELANSGAKRNNMLHAWC >KZN11191 pep chromosome:ASM162521v1:1:42429750:42436815:-1 gene:DCAR_003847 transcript:KZN11191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQENPVLALKQKTLTPKAIIHQKFGANAVYKVEEVKKSADDGGPRLNLPQKGPCLFQCSLQLPEVTVVSELCKKKKDAEQSAAEKAIEKLGITLSIPTAQEAGDEVVGRLSYLFSDTFYTTVHPLSGHFRAALLRKDQFYGYVPVSVISFFDSKLLNLCKCINPEVETNSWLAVPLILKAAARVPLLISEDHLSIRRPSPYPPEVLQAVDNQLSNLPESTCIRAVRIPCLVDKIVEPLLFNISINGYYLDAIAQELGVTDASKVLVSRSVGKASSETRLYFCTPQSYMLNVSSELGEEITTDGTLNTRATFLSGQKIYGDAILASVGYTWKSTDIFYEDASLRSYFRILINKVPDGVYKLSREAILAAEMPTAFTTRSNWRGSYPRDILCTICRQHRLSVPEIISLENAEVPGVHKKMKLTDPREQETNGGGVALGVAGVGGTFKCKIKIFSRSQELIMCCTPKESFKKQSDAIQDAALKILTWLNNYFQNPEISVENLTTSGHEIDIQFYPQCFFKEFKLYQSVHNSSRLLNSDCLSRNTGFLKSTMFSVNDNDQMLGVSLSTGSLACISYSIYLLAGECMKEQLESSEEFEFEIGVKAVSPHFEAVVTQMSLGQSISYNKEVPSIELIMAAATDPERILSLLNSGGCSLEYSITLLRVTEPLEERMEQALFSPPLSKQRVDFALQQIKESCAASLVDFGCGSGSLLESLLAYPTSLETIVGVDISQRSLARAAKTIVVSSATFVIPHTEGFSIKSGYKRYGCTSKILHTKLTTKTEAGSSRIKSALLYDGSITSFDSRLYGFDIGTCLEVIEHMEEDQAFLFGDVVLSSFRPKVLIVSTPNFEYNVILHKSTVQGPEDDPDEKNQSQSSKFRNHDHKFEWTREQFNDWATKLAERHNYSVKFSGVGGVDGVEPGYASQIAVFRREGDDFPENVSSAHHYDIIWDWSSNSSSSLE >KZN08122 pep chromosome:ASM162521v1:1:2914054:2917691:1 gene:DCAR_000791 transcript:KZN08122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSAVMGSVQQPIWVKGSSVFHPKGSAAVVGFPHQARLSVLKPCRCSSQLQASLVTGRPPSSASVPVPDMGGDMINFQDNPLNEVDPEVRSLIDNEKQRQFRSLELIASENFTYRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQERALAAFHLDGEKWGVNVQPLSGSPANFEVYTAILKPHDRLMGLDLPHGGHLSHGFMTPKRRVSGTSVYFESMPYRLNESTGLVDYDMLEKTANIFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVADPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKYAQTPEFKAYQKQVVSNCRSLASKLISQGYELVSDGSDNHLVLVNLRPFNVNGAQVEKILDMASITLNKNSVPGDKSAIVPGGIRVGTPAMTTRGFTEKDFMLVADFIHEGVKITQEAKKLFSTPKLQDFLKFITSPDFPLRDQVLDLKTRVEGFATQFPLPGV >KZN08256 pep chromosome:ASM162521v1:1:4333193:4336895:1 gene:DCAR_001321 transcript:KZN08256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTRSDSKHNPFGSAPSAESSLDLERNYCKHTTRSSSPLQAYPSCCQFSESSAAYFSWPTSSRLNDAADDRLEYFRNLQKGVLPETYGRLPEGQQATTLLDVMTVRAYHSKILRRFSLGTAVGFRIRGGCLTNIPAILVFVARKVNSQWLNYNQCLPTALEGPGGVWCDVDVVEFSYFGAPAVTPKEEFYTELADGLRGSDPFLGSGSQVASQVTYGTLGAIVKSRSGKRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIAFAESFNISNVSTSVKGIGDIGEVNTIDLQSSINSLIGRQVMKVGRSSGLTTGTIMAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLILLAGQNGDKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTAEALQASVRDQRNASTAGMNLAFGESSPHEMQQLQACAEKVSKPLETIPTEGASHCRITRQFMRREFHIEGGSTLAPNVEHQYIPSAAGTSIMHQNNKQDNVDSESLPALRSCEDISISLQLGEPGQKRRKQFDYLSVTEDQN >KZN10213 pep chromosome:ASM162521v1:1:33614297:33615249:-1 gene:DCAR_002869 transcript:KZN10213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPKDKSLRDGVSDDYEDLQIAVGGGVAIGKNSIGLGNATDARTLQASEVQDTCIDDLTYDLEEFEQNNSPLTGSPEVFELPKKKLPTKRARSDNEGSSNSSSNNPKSDILENLAKLTTTFEGVYGLLQKRENERMYTAWDAIKEVPNLSEDVRLEAFNLLDTKTKKDGFLRMTPEERANWILRMMGK >KZN12078 pep chromosome:ASM162521v1:1:50820752:50822187:1 gene:DCAR_004734 transcript:KZN12078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRESKSPVTYARSSRRSRKRPLEVDLNVPPPIENREQGEIGAGMEADVGSSVQQRSSVPPPPIDLEALDDDVIISSPRAFAEAKNKAGRPRGRAIRVDVDLSVSDGTSSRDARSKRGRGSVNQTVINGDLFINLEGNGNPMRENVRPSVPPPPPPPKELVFTCPICMGPIVEEMTTKCGHIFCKNCIKAAVAVQSKCPTCRKKISMKDTHRIYLPRSN >KZN10543 pep chromosome:ASM162521v1:1:36771853:36772875:1 gene:DCAR_003199 transcript:KZN10543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGVIGNGRGRNKRFWTAEEDTVLVSALLELASDPHWKCDNGFRNGYMVRLEEIIGKALPTCGLKATPHIDSRLKTLVSKFRAIAQMLSTSGFMWDDDRKMISVDRSVYDEYCKTHTTCKNLYGVAFPHFHELMTIYGKDYATGKPAEGFVDAVNSMEKAAPIQVTLDSSDEEIDVSGNVTQLDESEAPPSKKAKVEKTKKIGKKSESVAASEISSLQSFMKDMNVHLSTMANVMSRADEREQELAEKSEKVIEELLSFNLEGVTTTQVFEVADILTSQPNKLMIFNKCPSSLKAAFVKNLIGENSRRSD >KZN08376 pep chromosome:ASM162521v1:1:5859714:5865010:1 gene:DCAR_000922 transcript:KZN08376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSTGEDLDKYFPIRPECQADVPKPRFKPRVGKTLSERRWNAAFTKDGHLEIGGVLRRIQRGGIHPSIKAAVWEFLLGCFDPNSTYEERNEIRERRREQYAAWKAECTKMVPMFGSGKFITTPIVTEDGEPVEGEEGNLNGPMPDVAGVTDKEVIQWKLSLSQIGLDVARTDRTLVFYESEANQAKLWQVLAIYSWVDSKIGYVQGMNDICSPMIILLENEADAFWCYEHAMRRVVLLYFPQ >KZN09359 pep chromosome:ASM162521v1:1:24118784:24119153:-1 gene:DCAR_002015 transcript:KZN09359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLGPDNMGQLKPKYLLVPNPSIRDALCLQEIIEIERARERRMSFLTPFKRANQYLRTHRTVDRTLSLMAIVAIGSAFWISSGPDKKPDKDEAVRTRS >KZN11464 pep chromosome:ASM162521v1:1:44886591:44886983:1 gene:DCAR_004120 transcript:KZN11464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDCLALMVHERDSIDTLVEVYSLDEEGCGVWNKMYNLGPFNIHESVLLSQGFKYGGEILCHVYGKLLCYDLKTGTIKHIQDTTGIRVVYGYTPSLLSLPGMESVYLQTQTRTHGLGSRTPRRLINSLRG >KZN09301 pep chromosome:ASM162521v1:1:22758137:22763783:-1 gene:DCAR_001957 transcript:KZN09301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRVLVVLVFSALIRGILSNTDDGDVAVLRSLKDQWQNTPPSWGKSNDPCGKAWEGVTCEKSRVTALSLSTMGLVGKLSGDIGGLSELKSLDLSFNKGLTGSLSPGLGNLKNLNTLILAGCGFTGTIPTELGNLPELSFLALNSNNFTGGIPRSLGNLSNLYWLDMADNQLSGSIPISSITAPGLNSLKKAKHFHFNKNQLTGSIPKELFSSDMVLIHVLFDGNQLSGSIPSTIGDVQTLEVLRLDRNGLTGRVPPGLNNLISVVELNLAHNKLTGPIPDLTGMNSLNYVDLSNNSFTPSEAPSWFSTLSSLTTLVFEYGSLEGLVPQDLFGLNQIQQVKLRNNAFDNTLNMSISISQQLQLIDLQDNNISFVTLGSGYKNTLMLIGNPVCNNGLANMDFCKLQQQTSQSYSTSVANCAKKSCASGQKLNPQSCECAYPYEGILYFRGPSFRELSNATIFHSLEMSLWVKLELTPGSVALDNPYFNSDDYLQVQMGLFPSDGKHFSRTEIQRLGFAFSNQTYKPPNEFGPYYFIAFPYLFRGEGGPSISSSVLAAIISLAVIMVLVLVGLGLYAVRQKKLAERAIGLSKPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSDTNEIGSGGYGKGYLDPEYYMSQQLTEKSDVYSFGVVMLELLSARQPIEKGKYIVREVIIMLDKSEEENYGLKELMDPSLKTLTNLAGFERYLDLALQCVKESAADRPTMNEVVKTLETILMNDGLNTNSTTSASSSATDFGHTNGGPPAHPYDANAFTRKDVNDSDAFQYSGGFNISAKLEPK >KZN08786 pep chromosome:ASM162521v1:1:15385097:15387406:1 gene:DCAR_001442 transcript:KZN08786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNISPTEKKIEELQLEDIVHIDAHTSGHPPPSNRGASPSFNLPHSGMSQLEMENKVAMLTSEVDDLEKTIGNMRSHFDLEFSKLRAIVEKQNGISLDPLSYMNQSAVNNEAWACWPQSNQDISANDVSMTGWDNNVDDIVEPVVGVHEIRDEVGVGEGRKEVVEDGTGGPNVVEEGEASIMSETEVIDLDRTIKMAAIINQDHDINMNDDSFLEYIGDEGWKSLSQHGFGNS >KZN11628 pep chromosome:ASM162521v1:1:46465238:46468419:1 gene:DCAR_004284 transcript:KZN11628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEKGRSAGIRRGGIANGKQDPTQAVASGIFQINTAVSTFHRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHHAEVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYSPFVQQAVPPSSYQESEIDERSDKHPEQRALLVESRRQEVLLLDNEISFNEAIIDERDQGIQEIQNQIGEVNEIFKDLAVLVHEQGVMIDDIGSHVENAHAATAQGKSQLVKAAKTQKSNSSLTCLLLVIFAIVLLIVIILLAA >KZN10994 pep chromosome:ASM162521v1:1:40935053:40935811:1 gene:DCAR_003650 transcript:KZN10994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSAVSYVISDEQDGFVAVDFDLFDGHDDEIRDWEIVDDCSSIAEKSDDDVAFTGSGRSDVASECSSDEITVQDLKSLPEYSPEMFASQRQSITGDGDVVEYVPGGEVEEEEEDDNLCENGEDLHELFASEDSKPVADIIVGDRDLDSVESIQEMIIRERRLNYELPLIQPYRDYDYEYDYERQEEEVDYDLDDELVPYSAKVKLGRERMRKLGKRSCSKLVKSKRLTYNYNKAGCLRGKHGLGLKHSLI >KZN11607 pep chromosome:ASM162521v1:1:46219748:46222285:-1 gene:DCAR_004263 transcript:KZN11607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCTVYNVKSLNSNYSISAPAKTHLGFHQKQVFFYSTGSKKANYKRSGSSEITCAADSGTIVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPKANDFDLMYEQVKAIKEGIAVQKPIYNHVSGLLDPPELIKPPKILVIEGLHPMYDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVQFFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFAYGPDSYYDNEVSVLEMDGQFDRLDELIYVESHLSNISTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQLIASKSGTPVATKA >KZN08215 pep chromosome:ASM162521v1:1:4032221:4033605:1 gene:DCAR_001280 transcript:KZN08215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDLKIPIMFLKALIFFPRGTLPDKLRLPRGFVDLFGESLPEMLNLKTMEGFNFAVKYSKEDGCFSDMHGLLAKLLPKECQFFLFKHLGGANFEVFILDVGCVLQGRNNSFECMFIRIFGKSSSEWSPLKLSEDVIDKFGSQVPSGIRFYDVSICDILVFTYTGGDLFVVHAFGKDCMPKRSCKDAGLYFEVEIKQSHLQDYDFGVTVPVKFKAATKNLGEAETLKIRHGQKSWNVVLKKRTNRVELHSGWSLLWKDLDLMTGDICVFNNAGSKLKFNLEVYKKSI >KZN09152 pep chromosome:ASM162521v1:1:20943764:20945855:1 gene:DCAR_001808 transcript:KZN09152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDAEYRCFVGGLAWSTSDRELREAFDKFGGLIDAKVVVDRESGRSRGFGFVTFDDRQSMEDAIEKMRGIDLGGRNITVEKAQPQGQGFLASYGLFGYMIRFVSW >KZN11812 pep chromosome:ASM162521v1:1:48305113:48309953:1 gene:DCAR_004468 transcript:KZN11812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVASNSDDLALIPHSPTNSHTIALHHTVKPPISRLSIAWARGNSLRVAVIRKPQAGESDDEPGGQVVEVKLGVDEADIYEAQWRRIAYGSVTPFAVLQSRKNLNTNAYNADLPAYVIEYSREINSLLGNQKVLPDPVIEDPKTVLQRVDEPTFSKAAWELMEIFFADKQSDGWIPERLVDWLSDYDNLIHGEQPTIYSRLVDFQTELVNLQAVEEDPKYWDVLSAALAVGWLDIVVKLLRLHSSYQHNQIGSRETENGLVETVVVLVSKMPRLRPNLSAGKLGECFDNKPDFVKAWEKWRGQITKLDCSAFWLQCGHQDTREGLKKLLQIMLGNASVLSATTFHWVELFIGHFLYIRPFTVGMESMYNLAQKCMQFKPGSSPHKLMELLNGILGENTEVVLAECSRSFGSWMITHAIELLTAGSTQADILLHEERNNLGGICIEELHRLVYAQVLSSHALTWQIAPIYLASCKKQGMGLLEILLYKQPVGHNQVLLKNIEICRMYELDNVGANAMKIAGVHHWKHGKKGSGVFWLQQAQDEFRLNRIAQQLFDFVGKSVSDESFKQWEGLIELLGSQSKAAGGLDFLHKYRDFKRSLQQVTDIACIDAARKASEALILLMKNPSTPQRFWLSLLYDSVKLLNWKERTLLNVPQTNLLLNKLQELSMARLRPDFIEADLPPQALSSVRLALATNLGRAILEE >KZN07957 pep chromosome:ASM162521v1:1:969982:971456:-1 gene:DCAR_000626 transcript:KZN07957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDLFVYDPSYFSSDSFSPYNEAVSINEILQTLPDDENEINELAATLFSSSSASPPDHQMENLTISQETHVGNTNGLTDYEVLDVKSEDFQNPFGFSYGYGGSFGTSGGECENAVKMMQRSFSSKPRFLYQPFMGSSSFDYGQEFSSPGNSSMKIRKVCSTGDLQSIKTREKLSCSPLGTEKSFIEEANTKVVKYSAEERKEKIDRYRAKRAQRNFNKTIKYQCRKTLADNRPRIRGRFARNDEAQGNPKTVNFNRYEDADDYSWAEELRRGDESNEAVFDSSASSSSQFQCFSYH >KZN09953 pep chromosome:ASM162521v1:1:31117809:31118012:1 gene:DCAR_002609 transcript:KZN09953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTHLRDAKPDYISFSMHIIYKRTSVDNLYAVNLIGITSTLFHIFIINYKPRNIILITSPTPRYIE >KZN11563 pep chromosome:ASM162521v1:1:45779553:45783088:1 gene:DCAR_004219 transcript:KZN11563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHQYRSPFGDTTFTKVFVGGLAWETPTHVLQEYFEQFGEILEAVIIADKNTGKSKGYGFVTFRDAESARRSCAEPNPVIDGRKANCNIASFGRPRPSPPRGRTQSGSSSSSTYSGVPGVALAPPVAPPPPVIYPPYGYVPYPSDYGYHQGMYNPQVQQQQQAQYYQQAYGVGVSSPSAISSPYYYGYPMQATRGTFYSGPQRIPPPQSYPYYHHLTQMQHQQQQEMFSFPGYPPPPRHSFPPPNPAVVRAEPLCNESRPPRPPQNLTPHVHTAVRDSEDNSPSR >KZN11063 pep chromosome:ASM162521v1:1:41404638:41406367:-1 gene:DCAR_003719 transcript:KZN11063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFVMSMLDHQEKGVLESDDMMVINGEKKNDGCFLSSCSRDSSSIGKNSDEENENGDEEEVQSSYKKEEHGSFDSLQALEEALPTKKGMSRFYKGKSKSFSRLSDASLCSSITEISKPETQYDRRRKNSLAKSLVIDKHCSSLLKTNAKVFKKSKFSNSRQSTLALAVAMTNSPKNSDTVENQDPPSYSSVSPHYSQVRRSKFHSLCSTSFADLEQCASLKTSAPL >KZN11330 pep chromosome:ASM162521v1:1:43721927:43725174:1 gene:DCAR_003986 transcript:KZN11330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKEYGWANKSMNQQKKLDIARSGPDLKLLISSATLDAKKFSDYFDCAPIFNIPGRRFPVEINYTRGPEADYLDAAIVTALQIHVTRSLGDGDILVFLTGQEEIEMAEEILKRRTRGLGTKIGELIICPIYANLPTELQAKIFEPTPKSARKVVLATNIAETSLTIDGIKYVIDPGFVKMKSYSPRSGMESLLVTPISKASANQRAGRAGRTGPGECFRLYTSYNYQNDLEDNTVPEIQRTNLGNVVLNLKSLGIDDLVNFDFIDPPPEASLLKALELLFALSALNKKGELTKVGRKMAEFPLDPMLSKMIVASEKYRCSDEMISIAAMLSIGGSIFYRPKDKQVRSMKSARDIRDQLEGLLERVEIEVMSSSGDLEAIRKAITSAYFPNCARLQKNRSYTTLKHPQTVHIHPSSGLAQVLTRWIVYHELVLTTKEYMRQVSEIKPEWLIDAAPHFYQLKDVEDTGSKKMPRGQGRR >KZN08119 pep chromosome:ASM162521v1:1:2880899:2881240:-1 gene:DCAR_000788 transcript:KZN08119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKALQTSFQKLNLNPNSNKSTSAHTIQSPILTVEKRKPVPTLVTLCIQVIGSHYALPDFSTARVISTPTHVTHPVYSVLKSNLAPSVPVGAPKVPGQRWFADWWLFFQED >KZN08531 pep chromosome:ASM162521v1:1:9824754:9825355:-1 gene:DCAR_001061 transcript:KZN08531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSSETTTPEGATKNMDPLYSTLEELVTKSLSEHQEFKCAVTMMEVLVPEHRTYVICNACTGIQNSSEENNYYAQCGRTNCSTIQKLLILVLVRDGEVLAKPLITGKALKQLTGMHIKDYINYYDMVIITL >KZN10629 pep chromosome:ASM162521v1:1:37770455:37773436:1 gene:DCAR_003285 transcript:KZN10629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRGSLNDLTYKSAPGSVKDSSIGLTKLMLFLITFVVGVVIGITSSSHFDRYFQYQAEQFDGNQAVTPEPDRSSVTVVPCPVVPKCEKEDCLSMGNFLAPKNLSHLMTDKELFWRASLRPLKAQYPYPRKPKVAFMFLTRGPLPLLPLWERFFVGQSKKMYSIYVHAIPGFELDVANTSAFYKRQIPSQKVQWGTISLFDAERRLIANALLDFSNERFVLLSESCIPVYNFPTVYRYLTGSQHSFVQSYDEESRYGRGRYSHHMEPHILLRDWRKGSQWFELSRSLAVGIISDTKYYTLFKKYCLPSCYPDEHYLPTYIQKFYGSLNSNRTVTYVDWSQISPHPATFTAENITEDFIQYIRNNGTLCHYNFDRTPICYLFARKFAPTALEPLLNLSLKVMGF >KZN11796 pep chromosome:ASM162521v1:1:48148141:48154650:-1 gene:DCAR_004452 transcript:KZN11796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDDEPWGPHGTVLAEIAQATKKFSECQMVMNVLWTRLTETGRNWRFVYKSLAVIEYLVANGSERAVDDIIEHTFQISALSSFEYVEPSGKDMGINVRKKVENIVALLNNKDKIQEVRDKASATRDKYFGLSSTGITYKSGSAALGSSSSRGGDRYGGFGNSRDADAFSDSYKDRDRFGEDSFQKSTSKTRRGATGDNFDSSLKKGTSQEGRFQGTSAASKQVKNKNDNHGSPPSQSSTAPSNKYEDTFDDFDPRGTSSKPAAVIPNEVDLFGLLDAPASVPTENHGASNTSADVDLFADADFVSAPSNVDSGANVDLFSSHPASSTVDFFAAPDPVTTKVDKPFASQHEVKPLQSEQMNTTAVDPFAAVPLNNYDSSDFFGSFTSQTDSSPKEPVQKGSSDVSPSSISDKTQPPPKTGGFQVKSGIWADSLSRGLIDLNISAPKKVNLADVGVVGGLTETDDNAKATQPSYHMGRAMGSGSGLGISGFTSPSGDDFFSSSSSQDYQFGGFQK >KZN08101 pep chromosome:ASM162521v1:1:2739084:2756516:-1 gene:DCAR_000770 transcript:KZN08101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVYINDDDQSHDLYCDNRISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWPLITPVNPASTWGPLLFIFAVSATKEAWDDYNRYVSDKKANEKQVWVVKKGVKKHIRAQDIHVGNLVWLRENDEVPCDLVLIGTSEPQGLCHIETSALDGETDLKTRVIASACAGIELELLHKIKARCHGVIECPPPDKDIRRFDANMRLFPPFLDNDDDVDILFSGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFVFQVVVVIVLGVAGNVWKDMEARKQWYVQYPNEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDQEMMDTKTGTPSHAANTAISEDLGQVEYILTDKTGTLTENKMIFKRCCISGTFYGNETGDALKDVKLLNAVSGGSSDVIRFLTVMSICNTVIPVQSKNGVLSYKAQSQDEEALVRAASQLHMVFVKKNASILEINFNASLLQYELLDTLEFTSDRKKMSIVWRVSEVCQRLEVGLEILGVAAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFVSPEPKGQLLLINGKTEDEVCRSLERVLLTMRITNSEPKDVAFVIDGWALEIALKHHRKAFTELSILSRTAICCRVTPSQKAQLVGILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFIPVLVSVLDKDLSERTVMQHPQILFYCQAGRLLNPSTFAGWFGRALFHAIVVFLITIHAYANEKSEIEEVSMVALSGCIWLQAFVVAIETNSFTILQHLAIWGNLLGFYVINWIISSVPAAGMYTIMFRLCGQPSYWITMFLIVVCGMGPVIALKYFRYTYRSSKINILQQAERLGGPILSLGSIETQQRSLERELSALSISQPKSRSPVYEPLLLDSPSALRRSFGPGPHTDFRTRNYKDN >KZN11533 pep chromosome:ASM162521v1:1:45515891:45516645:-1 gene:DCAR_004189 transcript:KZN11533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQLIVLPFLFCLAEGQGLKVGFYDKTCPNAEAIVKETMDRVMAVAPSLGAPLLRLHFHDCFVRLKGPYWQVETGRRDGRVSMIDEALVNLPSSLDNITTLLQRWHQKGLSRKDLVVLSGKGMNLHS >KZN09884 pep chromosome:ASM162521v1:1:30479699:30482154:-1 gene:DCAR_002540 transcript:KZN09884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTQAYGEEWYWDKRYQQESGPFDWYQKYPSLSPLLRLYIPKSSQMTTSSVLVVGCGTSAFSEGMVDDGYEDVVNIDISSVAIETMRERYSNRPQMKYLKMDVRNMSAFQSDSFVAVIDKGTLDSLLCGHNSRENAAKMLQEVARVLKANGVYILITYGAPTYRLHLLREAHSWSIKLHVIGKAFLEASSEHHTQELTSPVPLNDDLSLTLVKNPDVHYIYVCIKDDSRVRL >KZN11283 pep chromosome:ASM162521v1:1:43404543:43405337:-1 gene:DCAR_003939 transcript:KZN11283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASMALSSPAFAGKAVQVAPSSSELFGNGRVSMRKTVKAPVPDSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >KZN10944 pep chromosome:ASM162521v1:1:40500104:40502500:1 gene:DCAR_003600 transcript:KZN10944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEEEIDRLREEIQRLGKIQADGSYKVTFGVLFNDDRCANIFEALVGTLRAAKRRKVLTYDGELLLQGVHDNVEIILKPTTTVAAA >KZN11310 pep chromosome:ASM162521v1:1:43616444:43618824:-1 gene:DCAR_003966 transcript:KZN11310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDEQLVEGKVDWKGRAVKRNTHGGAKSGFFVLATFAFEQMATLSLAVNLVTYFNTVMHYNLSDAATHLTNFMGTSYILSIVVAYLTDGYLGRFKAVLLSLFIEFLGLGLLTIQAHYPKLQPPKCNIFDPTAKCERASGEDTALLFLALYLLALGAAGVKASLPTHGADQFDDKDPKETKQMSRYFNCLLLMVCVGSSVSITLIVWVEDNKGWDLGFFISTITLFLGIIVFSGGLPHYRIHVTEGNSPMAELIQVYVAAFRNRKLELPDDAAELYEINMDKEAALELEFLPHREVFRCLDKAAIQTSTEIDNPEQLQSPWKLCRVTQVENAKIILGMVPIFLCTITMTLCLAQLQTFSIQQGVTMDTSLSKSFNIPPASLPIIPLVALMILVPTYDLLFVPFARKYTGLPTGITHLQRVGVGLLLSSLSMAAAAIFEVKRKEVAIENNMLDAIPVLQPLPISVFWLSIQYFIFGIADMFTYVGLLEFFYSQAPKGLKAISTCFLWSSMALGYYLSSILVQIVNGSTKKFTASRGWLAGNNINRNHLNLFYWLLALLSLINFFVYLIIAKRYKYRPQSSTDQIDIKVHEINDSGDMENYSK >KZN08757 pep chromosome:ASM162521v1:1:14834037:14835791:-1 gene:DCAR_001413 transcript:KZN08757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVEEVVIVGAGICGLAIAVALRRYGIQAVVVERAESLRTTGASITLASNAWLALEALGVSHKLNPHYPTLNKSVVTNLSTGAVQISPFPPNQSGRVGPKTVHRKALLEVLGEELPRENIRFSCKITSISTELDGQGSSIALLLMEDGSHIKAKVLIGCDGVHSQVARWLGLGEPVDSGRFGLRALSVYPQGHGLDHDVFRFTHTGFNGGFVPFTKTDLYLYLTTLKSRDSGEEGITAKTPPELIQKYVIEHYCKDFPPEYLDVVNHVDVSTLTWAPLKLRLPWNVIFGKLSKGTITVAGDAMHPMTPDLGQGGCCALEDAVVLGRCIGNTYLKNGQKLVSSEVAKAIDEYVEARRWRVAGVITASFISGWVQDGSSWLKRFVRDAIFYRFFAQYASTVINYDCGSLPRTSAESNPKQD >KZN11122 pep chromosome:ASM162521v1:1:41945845:41946165:1 gene:DCAR_003778 transcript:KZN11122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQLLTRKIVAKLSGEHSAVAYASVKALVDKAVVLAPRGAENHVPITEIPVCRNRNFGDMTREARVSKRLKATTKDHLEIPKHAELHAREEWYDKGSKDGLMPGR >KZN11473 pep chromosome:ASM162521v1:1:44989248:44991905:-1 gene:DCAR_004129 transcript:KZN11473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFLILLVVLLGCSWGYEVLSPDTANHTIMKPEVFTKPGCQRQCGNLLIPYPFGIKSEGLDCAISPAFEVQCSTDINPPLAFPLDPYMTHHIHDISDTELRLNSFQASKCYNQSGPPADGIEQLIHVEYGLDETSFAYSTVNVLTVVGCDDYANLYNDRSLNHTTSKPSQVCSATCPPDKEIREDECSGTGCCQIPITSHANFSIDLLTYKRYRNVSYFNQCGFAFVGEKSRFRFQGMSYLNDSYFRQKIRDIPIVLDWFIANQTCGEAQRDQTSYACKFKPRIVNEGTPDQLEKAALLVRRCLNLNGAERPTMMEVAMELQNLRGSTKHPWANQQGHEEIMSLKGHSEIRHSDLYDIKMSSGSNLGNDSELLSPR >KZN11860 pep chromosome:ASM162521v1:1:48817754:48820570:1 gene:DCAR_004516 transcript:KZN11860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFHIFKVKKRGKSSPELRNQENSKSDDLPSSSRVVKSTGSMPSPRSIPEMYKEKEHILRVFSYTDLRNATNNFNRLLKIGEGGFGSVYKGSISPSNGQGDPVMVAIKKLNKHGLQVLPWVTRLQIILGAAQGLAYLHSGLEVQVIYRDFKSSNVLLGMDFKPKLSDFGLAREGPVGNNTHVSTNPVGTYGYAAPEYVETGHLTTHCDLWSFGVVLYEILTGRRALERNRPTTEQKLLEWVKRFPADSKKFSLIIDPRIRNQYSLSAARRIAKLADACLNKNPKERPTMDQVVEILKQVIQESEEANLTGKSSAESSRTNPNTRITGTSRRPVHLAKVKFGEHEN >KZN09467 pep chromosome:ASM162521v1:1:25595019:25602491:-1 gene:DCAR_002123 transcript:KZN09467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVKFGVDHDDDDEYDMYDDDYDDCGDDYDYEDDTPRVEEKGVESRTKHVSIDSAIWRCPICTYDNEDNMPCCDICGVFRNPLVKSGSNSNINSVGGICKDSGVSVMAKSLFASLPHTKKGATIQPEKVEILAKEDNNTYKPGNTKGLFHDINKTFSSSNYYKFKKAPFKFDVPSPDDLVSTGMRSSRLGSKVADSKLSTSQVPSRARDSSKNSCEPLVTTKQSGAPSIVSKTNSKRAENDALTSLEGREKKSLSDNLNHMSLNVNSEKLKDVNGERATSQSQYKPEKWMLPNQSEDSLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKQMHKYEKDAKNQGKGSFAYAWALDESTEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFIPNMISGATQADAAVLVIDASPGSFEVGIDSTGGQTREHAQLIRSFGVDQLIVAINKMDGVEYSKDRFNMIKQQLGTFLRSCGFKDSSLYWIPLSAIENQNLVASASDVRLSSWYNGPCLLDTINSIQPPTRDYSKPLRMPICDFIKSQSQGQGSASGKLEAGALRSGSKVLLMPSGDIGVVRSIERDSQPCVVARAGDNVALSLQAIEGSRVKTGDVLCHPDFPVAVAKHLELKVLILDVTTPILAGSQLEFHVHHAKEAARVVKILSLLDSKTGKVTKKAPRCLLSKQSAVVEVVTQETVCVEEFSSSKSLGRVFLRSEGRTVAVGVITRIIE >KZN11981 pep chromosome:ASM162521v1:1:49875093:49875395:1 gene:DCAR_004637 transcript:KZN11981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQHIVKVWERAGRFEDVQRLEEGGVDVKVWDCNRGEEFVLVLKKHVSTDCYVFCGNWRSQFVSPRGLNKGDEIGLFWCNYSHSFFFSVLPRAPAQPSV >KZN08942 pep chromosome:ASM162521v1:1:17979262:17981954:-1 gene:DCAR_001598 transcript:KZN08942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRSGSGVNDVKDVKSSDNADLAVLKGVMKSRLMLQTVGNKEGDAFKWKERRTKCKYCKELGHNARSCTAKKLDSEKMRQEDEENEETLQQKLDDLQKAQNEMFADQDLEMKKPPRFKKLAGIFPRCSPLKDGKQLLVHGVIVKHFKPPAQTGPSGVEYFERDGQNYTAPKQLQAALRNNKGPMV >KZN08900 pep chromosome:ASM162521v1:1:17465476:17471146:-1 gene:DCAR_001556 transcript:KZN08900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFDRRMASLQPFYKTLSKSASSYPVDDGHDTTKQSNIGDDLDELDNGNKRRDAAHHIIIIDSDEEPEDQIVAQVHQDDLLTESPANVLKASIDGDPSVSDKSRKDNGFNVHMEDNMVEKTKEPSLVPETYIQEKDTYTSVKDDLAAENKEPSLFPETYIEKKGTYIGVEDDFTDEDDEQYENNGAELAGMFQEMTFALESCKDTSVNDSPHEHETDDEEDCDHSYILKDDIGYVCRICGIIQKKIDSIIEYQYAKKTSNRTYKYEKDSIRDEEVDDILPGKMRSSGHDLVATEFYAHPRHSKVMKPHQVAGFNFLARNLVNDNPGGCILAHAPGSGKTLMIISFIQSFMAKDPSARPLVVLPKGILPIWKKEFLSWQVDKVPLLDFYSVNATGRTQQLEVLKQWVNTRSILFLGYMQFTSIVCDDSSDENTSTCQKFLLKRTSLLIMDEGHTPRNEDTDQLASLERVETPRKVVLSGTLYQNHVKEVFNILNLVRPRFLKLESSKDSKRRILSIIETTKKGNLFKKRDHEFYEMVEESLLRDGNLDLKANIIQGLRDMTSKVLHYYKGDSLDELPGLVDFTLFLNLSPQQKREVVELKKSGGRFKMSSDGGSIYVHPGLKSLIKCTARKDRLDEIRIDKILKNLDINSGVKAKFYLNLLRLCESTGEKLLVFSQYLPPMKFLERLTMKLKGWSLGKEIFMITGDLENNVRELHMESFNNSSDSRVLFGSIKACSEGISLVGASRIIVLDVHVNPSVTRQAIGRAFRPGQVRKVYTYRLVAAESPEQEDHSTCFKKESIPKLWFEWNGVCRPEYFQLEKLDVTDCGDNFLETPSLHEDVLSLYKRSGKVLAFTFSFFCFWYPFQTKILVP >KZN12128 pep chromosome:ASM162521v1:1:51250146:51251118:-1 gene:DCAR_004784 transcript:KZN12128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSEPPRQPESVCTFFKKPTKNKNIRKRNLIEDDGVEEDEGSKSETVTVNRKKSSLPDNKLHFSTAPTKQLKSSDVETKKEQTLFQFESSSAIQVQNDSRATATLETETDFSRDARAIRERVLKQAEAALKGKNKGEGDDKLYKGINAYTDHKAGFRREQTVAGEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWDEKEKARKRNLALKQFESDEEAEQSDEDDDSLPFACFICRQPFADPVVTKCKHYFCEHCALKVSHR >KZN09972 pep chromosome:ASM162521v1:1:31255003:31255320:-1 gene:DCAR_002628 transcript:KZN09972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEKKQLNGIKSLEGHEVETKLNELSKKNYRFYAISSICNFPLYDVMDFGWGKPINATLVDTPLVDNITLMDTANDGIRAMIGLGEQDMKFFLSHKELLTYASL >KZN09659 pep chromosome:ASM162521v1:1:28196069:28202257:-1 gene:DCAR_002315 transcript:KZN09659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVVGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESAKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNAMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKFRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFDDKPDYSYMKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSKSRQPSGKLPLNPGPTIDRAEKTPVKREMRDRLSGAVEAFARRKGSSSGANLRGDHLRHRSSDNVPSSKDMQAEPVRGRVSRAASASKRAVASSSRPSSSGEPSENRLNRLGSGSGRLSTTQRIQPGFEPKPSSFTRALPSRGGRDDALRSFELLTIGSGKRK >KZN11080 pep chromosome:ASM162521v1:1:41570495:41571851:1 gene:DCAR_003736 transcript:KZN11080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCISFFLILFLVVVSAKEYDGVDDDDEQSFPGNSITTFRDIPDYSDFEDDLTFSYYHKSCPDAEAIIQRKVDEWIKKDDTLAPSLIRLQYHDCVVRGCDASILLDYEGSERNANQSKSLRGFEVIDDIKAELEKKCPKTVSCSDILVGAVRDAVRIVGGPFYMVPYGRKDGRVSKAKEAESLPTGREKITDLVEFFQSIGLNILDLVVLSGAHTIGRTSCGSVQERLYNFNGTVGRSDPSIDPKYLNFLKRKCRWASEFVDFDGITPKNFNNEYYKNLQKNMGLLSTDQMLNTDSRTSVLVSALASQPEVFTSQFAASMVKLGKIQDPSSEGNGEIRLNCNYINA >KZN08202 pep chromosome:ASM162521v1:1:3896782:3897147:-1 gene:DCAR_001267 transcript:KZN08202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGKWLMVHLLKDHSNVSSRTFLMMLMLILRILSQWPQSLIVSFFSGDQPLLAMNPQATEAVPPAAEVNDASFKIADIGKGKDKMYDGYVFFANGALSKRAIWKSLTRVLCYTILMSLVN >KZN11785 pep chromosome:ASM162521v1:1:48081858:48082963:1 gene:DCAR_004441 transcript:KZN11785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLSELQSAAAQASKYVAKNGPSYYKQLMEKNKRYIKNPPTIESCQLLAKQLFYTRLASIPSRYDAFWKELNSMKQVWNKRKEFKNEDYRIAALFGVGWVVECYAWFFLGEVVGRGFTFTGYYV >KZN10111 pep chromosome:ASM162521v1:1:32694582:32696483:1 gene:DCAR_002767 transcript:KZN10111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSQLLIIIIVTIAGICSLSSSQQQPNTVECPLDFNVLRKLAQGSRPSNNSGSDPCRLILQGLHLVQSEYLQRTNSFLPPSSSSESCWNDYQNLFDQSSNSLNIQKDCGFETEWISQGCENITTRSDYENRNLNSTLKNVLQACDQPLQINGAACATCTTSISTLLPADVSKKERVGGNVTDCTAYRFIYAAAFANSYGPTNRGTAGCMFALTFNSSKSKKKHERITFISLFSTIGLLILVVVGFGWFCWVRRRKKQLQKRVRQEEITTKWSHMNSSALDSITSSTNLIKFSFDEIQEATKNFSRSNIIGRGGYGNVYKGVLPDGTEVALKRFKNCSDDGDASFAHEVEVIASVRHVNLVALRGYCTATTNFEGHQRIIVCDLMKNGSLHDHLFDSDEKKLSWPIRQKIAVGTARGLAYLHYGAQPTIIHRDIKGSNILLDDDFEPKVADFGLAKFAPEGVTHVSTRVAGTMGYVAPEYALYGQLTERSDVYSFGIVLLELISGKKALLEAEEDHHTLVADWAWSLVRTGRPLDVVEEGIPELGPPDILEKYVLLAVLCSHPQLYARPTMDQVVKILELHLPVPVIPERSIPITADIDDIERSIGSISAAQLSTYSGYQPYIDNSVPSTPKL >KZN11036 pep chromosome:ASM162521v1:1:41222595:41223994:-1 gene:DCAR_003692 transcript:KZN11036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISPMEQGSNLFHLLQSKSEHWKENGEKRQTSFTLGERLGLDELFTLNLWRASVGELLGSAVLVFAIDTIVISSIHTETKTPNLLLSFLIGITVTILLLAVHPVSGGHINPVISFSATLVGLISMSRAVVYILAQCAGGVLGALALKAVVSSQIQNAFSLGGCTLTVIAPGPNGPLTVGLETEQAFWLEFICTFIFLFASIWMAYDHRQRNELGLVLVFTIIGLVLGLLVFISTTVTGQKGYAGAGINPARCIGPALVRGGHLWDGHWVFWAGPAAACVAFYIYIKIIPSQHFHIVGYEKHDFFNVLRALGGSNGGH >KZN09646 pep chromosome:ASM162521v1:1:28095658:28099223:-1 gene:DCAR_002302 transcript:KZN09646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSTPISSSPSTPPTSSPQTLKIKQPISQNSSKNPKRALLTAPPSSISPKWVPLNISKSELFLPLTFPTGQTFRWKQTGPLEFTGVVGSHLVSLKHLDNGDIAACFHHSKDGVFDAKLALLDFLNVGICLGDLWEEFSGSDSRFAQLAPYLGGARVLRQDPLECLVQFICSSNNNIKRITQMVDFISGLGVYLGTVEGFRFYEFPTLDRLSIVSEEELRVAGFGYRAKYITRTVDALRAKPGGGVEWLASLRDLDLQMAFDALSTLPGVGPKVAACVALFSLDQHHAIPVDTHVWQVLGPLCHLISERILG >KZN10883 pep chromosome:ASM162521v1:1:39911639:39914563:-1 gene:DCAR_003539 transcript:KZN10883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGEDLNKMAKFVRTNNKSLESLLDMDKSAQVKKNMVRQNSRKIVPGQGLEFSNLSYSVVKKLKKDGVWINKETYLLNDISGQALRGEVMAIMGPSGAGKSTFLDALAGRIHQGSLEGSVRIDSKPVSTSYMKMISSYVMQDDQLFAMLTVYETFMFAAEVRLPPSISRSEKKKRVYELLDQLGLTSSAHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAFSVVEKVKDIARSGSIVLMTIHQPSFRIQMLLDRITVLARGRLIYMGNPSALPAHLSGFGRPVPESENSLEYLLDVIKEYDESTVGLDPLVLYQCDGIKPDQAARTPVQRMPRTPKTPRQKTPGPKHISLRSHQFTVGNATPRAESGRSFDYNDDDNDEDFDNSLERSKTVTQIPMVWKWLHYISAITYPFEALLINEFKNDKCYNGDPADLSPGPLGEVNISKAHSVYGVFQNCTLIGEDVLFSMGITKENIWYDIGILLAWGVLYRLLFYVVLRFYSKNVRK >KZN10564 pep chromosome:ASM162521v1:1:37062065:37067024:1 gene:DCAR_003220 transcript:KZN10564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLKSSDDISSYGDKGSSKDWGRRDDDVNLHRSLSNRNVYYKSDNGKKGLSSSSSRYDRLDDDRENSRSVRKRTDYDVESYDRRKGYDRYRDGGERGIISSSPRGGYSGDRIHRSESFSVPRREFPKGFRSERDRPRREGSVSSWRRFGAAKDVDENGSRSGHEFTRGIRVVSDDAGKVKSPGGSLGEMGRPSSWQSVRTPDHPQGLRDTKSPSRSRSARSPSCSKNARSPSCSKNVRSPSCSKNAKSPSCSKNAKSPPRSKDSGSEQSKSVEVKKSEDLPIESGDNSEMEEGELEPDPEPIPVVEHLPEEEAVNELKPIQQEFSKDNLIEAKSLGDTVISEANLQFNQGTVHEEKTEAQVSEATHNVEENVDNLSGSPNTSVHKTSKVEVANATTKVVEVANDQQSPLENSISAERAIDIVKEPCTSNYEKKDNKGVDLEVKSENIELPGLIEGAEDKNVTSRVVLSFLDDRVGQSLKDKGKGLAILPSTDSTEDVIRSESETFKEGPSPRGFQLFFVDPVKKAAVAEKSSSSKLKDEQITTEPLELSLSLPSVLYANNSQNTIQATGSQNTVQAVSSPSHARSVQSFASSFRTSSDAFTASLSFSGSQTFTHNPSCSMTNNSYDNCEKSVGSRPIFKGVDQVTPAWQGQSSNETENKEQPMYQRILSNGNGLTHHSQISQDIIIGRVVQLQHQPEEGSSKLPRGLDRQLSHNKQLASVQLRHPTDVRSPTQSTVSHGTGPDYWNEKRQVMRDKASGDVQDEKHSFLGNGADFMEPIISMIISEPIHTAARKFNEMTRQAASRLKESVRDVILNPGKRSKLHEFQKALERRSDITLEILLQSHRAQLEVLVALKTGLQDFLQQNYEISSSDLAEIFLNLRCRNLTCRSQLPVDECDCRICVQKNGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHADCGLRESYIRNGHSASRAQGTTEMQFHCVACHHPSEMFGFVKEVFQNFAKEWTAETLSKELNYVRKIFHASEDARGRRMHELATQMLTRLANKSDVQEVRSGIMALLSKINSSESSRLIVPGKESLKKKMEEGTSIIAGSSQEPTWLKAAYSDKGPQRERSANLLPSFDFETNDKHVASSDLLRRTKKEPGFDELESIVRIKQAEANMFQSRADDARREAEGLKRIANAKNEKIEEEYTGRIRKLQLIEAEEIRRQKFLQLQNLEREHEEYFNMKTRMKTEIKDLLLKMEAAKCNFTL >KZN08567 pep chromosome:ASM162521v1:1:10591971:10593687:-1 gene:DCAR_001097 transcript:KZN08567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKDIMDVDVISALEKNGMSINRYAKNRVERIKRCVEEELLTKAAAGDLKAQEEALKKQMLCNWDGPIIRFSDRKLQKRLEEGESIHKRFILQCDEWVDKNVLKMVKDGCEEGLRMATNQAHYKSLRNMKAQKNYKEAVKLDRIMEEVRVQLADVQIKVKLYEFENAVRLEDNDQSSFPEAKLNTEELARTNQNMAIVTSSNKHNEKKLDTSSPQSNSEQHVAKEKKALEKDQSCANGN >KZN09585 pep chromosome:ASM162521v1:1:27400551:27404755:1 gene:DCAR_002241 transcript:KZN09585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQQQDTAVPEERCSFDLNIAAYEKYSKLYLSPLFALSIGSGFLRFTATLTHVALFNGSTSNSLNHNQLGEFVVSVVQGYMESKQNEGINLKWWGTELDHCPLATCPTAPGISVPGCPVF >KZN09977 pep chromosome:ASM162521v1:1:31279722:31281773:-1 gene:DCAR_002633 transcript:KZN09977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPPNTFHRHHQQPPQRHHLPPLLHGANINITTSSATNTPTSPPVIYRDYRKGNWTLEETLVLITAKRLDDQRRSKPNNVDPTTPRHTGELRWKWVENFCWNNGCLRSQNQCNDKWDNLLRDYKKVREYETKSSQSNLPSYWAMDKQQRKDHNLPSNLTHQIYQQLQEVVQKKSPLKILPPPTPQPPMHHQQPPPPPQPSTVAPAPVVSEASVSSETEWGSHLDPDTKRKRVRDIGSSIVHGASSLARALKRSEEKKEKRHRELMELEEQRIRLDEAQNEAQREGVASLVAAVNNLSGAIQSLAADQRPGS >KZN07968 pep chromosome:ASM162521v1:1:1091394:1092637:-1 gene:DCAR_000637 transcript:KZN07968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFLRSLSRTVHEVHEEHHEEDYVIDEASYKSLTVWRKSLVLSCNGFTVIDSEGDLAYRVDNYCEHPSEIILMDGCGKSKFTLCRRKKLRLVDKWLIYNDEDDDNNSADDTKHPILVAKKQINHMLQQNGNVLAHVYAATSSNKMHAYVIEGSYAHRSCKFYDKSRKVVAEIRKKEAAIGGEGVSFGLDVFVLIIRPGFDQGVAMAIVLLLDQMFS >KZN09687 pep chromosome:ASM162521v1:1:28492502:28492807:-1 gene:DCAR_002343 transcript:KZN09687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLKNSSKILCISVTIMFLFSDFQSANAMRPLGEYKAWLKELPVPLVESLQRGPVPPSAANPCTHIPGGKSPGHCTLEEMNVAGRVYSPPPVFPTGVKAA >KZN08991 pep chromosome:ASM162521v1:1:18744411:18745694:-1 gene:DCAR_001647 transcript:KZN08991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMRGVYFFYFLLVSLLLIPKSLSSYSYGTSRKGNEKVFLPPQIDESKLSGPSPKGKGHRPGALDSSSEGNKFLPPQLDESKLSGPSPKGKGHRPGALDSSSEGNEFLPPQIDESKLSGPSPKGKGHRPGALELSSEGNKFLPPQIDESKLSGPSPKGKGHRPGELGSSSEGQQDPPKTEISQKAFLPPQIDESKVSGPSPRGKGHRPGAYNKVELDSSSEDNQVPPETETPQNPDGNDEQSNQDKQSGMISNDKEDSKDSTESGSNSQDQDDTKDSKESESSLKDNEEENNKEEDNKNDEEQKDKEQKDKNDKKEKEKEEKDEKKKEEKEKKDKEKKDKEEKKKKDKEEKEQKDKEEKDKKDKEKKDKEEREKKEKEEKQKQKEQKDIEAKEKKDKEKKEKEDKEKDKEEKKKQAKEEKDKKDSK >KZN10699 pep chromosome:ASM162521v1:1:38263536:38265151:-1 gene:DCAR_003355 transcript:KZN10699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQINDSNQQKVQTEEEKLKYLEFVQVAALHTVLYALRAYTYAKENSGPLKNGVQTVEGTVKTVVAPVYEKYHDVPSELLKLADRKVDESVHKLDSHVPPAVKQLSSRALSAAQNAPATARAVVSEVKNVNLVDTASGLAKTAYAKWEPAAKGMYTKYEPVAEQYAASTWHSLNQLPLFPRVAQVVVPTAAYCSEKYNETVQRTAKEGYKVSSYLPLVPTKKIAKVFSGSNAQTEMVDTGVAAGVAN >KZN08418 pep chromosome:ASM162521v1:1:6509946:6515467:1 gene:DCAR_000964 transcript:KZN08418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKESEQNWRKQVNCNVERLHSLLFASELALQKRDFSCAQTLCLRLIGFLDSRVANDVDESFVLPIRRQAMSNLLAATTSLVPISDSQAFEQAATSPGIIFRKQQHFDINKIMQLNYSQLVSNQLENENQIGTRDNNLSGNTSKLLIQKNLDDFFGNLSSANATSAKASVTRNNNTSDDCIVVEKPPSRHINSRDLGASTSIKAEEEERAHGTILGSKRAYVETRSTRNETAMSPSCDGQGDTDVSGNGFVTARAKLEMDARKRRGLGGMQSPTVSPLSDNSGNNRGCGNRSFGYTRRGIRGSFVPPIRSKEGGVGNVTSRIARPAGKGNDALDDSTQRCLDMLCGPDGELPEKLRNLEPRLIEHISNEIMDQDPNVRWEDIAGLDHAKTCVTEMVIWPLLRPDIFKGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGSDQVLLIGATNRPQELDEAARRRLTKRLYIPLPSSDARAWIIRNLLQKDGLFKLSEEDTNTICKLTEGYSGSDMKNLVKDASMGPLREALRQGVEITKLNKEEMRPVTLQDFESALQEVRPSVSLNELGIYEDWNKQFGSLSL >KZN11216 pep chromosome:ASM162521v1:1:42725642:42725857:1 gene:DCAR_003872 transcript:KZN11216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLRDFYQEILTVSSMITDNGERIMVIRHPSRIMWVCGCGCGWVGGGGGGGGGGAAQRLPCSVCRHIQMD >KZN11326 pep chromosome:ASM162521v1:1:43703655:43703936:-1 gene:DCAR_003982 transcript:KZN11326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRAFLALFLLTVVFSFSSADCRKAPGIHEKQTNTAHQIVCLYHHAGEPDATKTPREGAYAFIMDKNLVDHPFASIKRRLDQSVPSPAAGH >KZN08712 pep chromosome:ASM162521v1:1:14142892:14143614:1 gene:DCAR_001368 transcript:KZN08712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPNRISNGSSRSSVSVPPSPPPPPTPGTTLLEPTDSKKHHKRKAFRVFKNVFRTFPIIQPHCSLPSLPGGRIPDSGNKVCGTLFGFKKARVTLSIQENPRTLPIFVVELGIQTSVLQKEMSAGLVRLALECEKRGEKDKTRLMEEPVWTFFCNGKKTGYGMKREATDADLRVMELLNAVSMGAGVLPSIDQEVDGPENEMAYLRAHFDRVVGSKDSETLYMLSPDGNGPELSIFFVRL >KZN09396 pep chromosome:ASM162521v1:1:24581508:24583806:1 gene:DCAR_002052 transcript:KZN09396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTQIVDSNAKRLKTGITSYATGLNYSPYQVVRQEQEVNTKQTPVVDDSSQMALKTQIVDSNAKRLKTGITSYGESVGVAANIKNKKEAVDEAGSGRQSLPKRVQKEGQEDNTPQFKKK >KZN08464 pep chromosome:ASM162521v1:1:7332086:7332723:1 gene:DCAR_001010 transcript:KZN08464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEFIAAISFLQPGQVRDLDPALSFKSVKRSLSKDKENFHSSAQNQWAYFSTRTPLSTVDTNQFSSHSIKSRLSWNQNQTGTNSGVVVRDLDSAIGFKSVDVTLHKDEENVPSSAHHQGNSGGCG >KZN09065 pep chromosome:ASM162521v1:1:19746589:19750485:-1 gene:DCAR_001721 transcript:KZN09065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNNHRKTSSTSGANRSSDGVKFQRRTSSGRLVSLSRDEESENSGEYAGPNDYINYTVMMPPTPDNQPGASSNKADGQGSSQSGTQDSQRPGKTKRAGGGDDAGGESGKMDRRMSVMKNSNNNKSMLLRSQTTDFDHNRWLFESKGKYGIGSAYWPPEDDDYANENGVSPADFLDKPWKPLTRKINVPAKILSPYRVLICIRLVVLVFFMTWRIKNPNKDAMWLWAISIICEMWFAFSWLLDVLPKFNPINRATDLNALKEKFETPSAANPNGRSDLPGVDIFISTADPEKEPPLVTANTILSILACEYPVEKMSIYISDDGGAILTFEAMAEAVNFAEVWVPFCRKHNIEPRNPDSYFSQKTDPTKNKKRPDFVKDRRWIKREYDEFKVRINGLPEVIRKRCDMHNSREGKKEKQLAKDNNGGVMPPDQDIKVTKATWMADGTHWPGTWYSAVADHKKGDHAGILQIMSKVPEPDPVMGQLDEKKLDFTDIDIRIPMFAYVSREKRPGYDHQKKAGAMNALVRASAILSNGPFILNLDCDHYLYNCMAIREGICFMMDRGGDRICFIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRYALYGFHPPRANEYSGLVGQNKAKAANVPMNADDAETQPLTEDHPDLGVPKGFGNSTMFFESIAVAEYQGRPLADHVSIKNGRPPGALLTPRPPLDAPTVAEAIAVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGGAPINLTDRLHQVLRWATGSVEIFFSKNNAFLASRRLKFLQRVAYLNVGIYPFTSIFLVAYCFLPAFCHFTGEFIIKQLDTMYLLYLLTITVTLALISTLEVKWSGISLEEFWRNEQFWAIGGSSAHLAAVIQGLLKVIAGIEISFTLTTKATAEDDEDIYAELYIVKWTSLFIVPLTIIIVNLAALVIGCARTMYSEIPEWNKLMGGCFFTFWVLAHMYPFMKGLMGRKGRLPTIIYVWTGLVSITVSLLYITIAPHDGLRAGSDGNLQ >KZN10330 pep chromosome:ASM162521v1:1:34636850:34637674:1 gene:DCAR_002986 transcript:KZN10330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPECYDTYETLSRKKSNSKRRFTDDQIKSLETIFECDTKLEPRKKLQVAKELGLHPRQVAIWFQNKRARYKSKQLEKEYSVLQAKYDNLATQFDILKKEKQSLVLQFQKLHDMVGKSGEERQEMYKNISIGKSDDDDDNECQMQPDLLSCGSEHGLGLLSDDYNIMKAEYFKLDEEETERLNMVEVDLGDGSLTSTEDWENLESSVIFDQPSSSDSQWWDFWA >KZN11328 pep chromosome:ASM162521v1:1:43714544:43715220:-1 gene:DCAR_003984 transcript:KZN11328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDCRKAPGIHEKQTNPGHQIVCLYHHVGEPDATTTPREGAYAFIMDKILVDHQFASIKRRLDQSVPSPAAGH >KZN11994 pep chromosome:ASM162521v1:1:49935270:49938839:1 gene:DCAR_004650 transcript:KZN11994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGTSTFIIRWINFLTLLLAVGVLGFGVWMSMHHDSCRKSLTLPVLGLGAVIFLISIIGFLGAWKKNSILLWIYLVMLFLVLVAILVFTVLAFIITNNGSGHTVNGLKYKEYELHDYSSWFLKQLNSTHNWKKLKSCLIKADDCNNLSKKYKNLKQYKLAKLTPIEAGCCRPPSKCGYLAVNASYYDLSFHPISADKDCKLYKNTKNIKCYSCDSCKAGVAQYMKTEWRVVAIFNLILFAVLVLTV >KZN09632 pep chromosome:ASM162521v1:1:27834498:27834917:1 gene:DCAR_002288 transcript:KZN09632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSVSVFAYILITVLLSRTCFADLHVDIFNRLPGNGPPLGVHCKSGDDDLGHQSLGLDQMYTWSFLENFWGSTLFWCNFWWEGKYAGFHVFDENMIRDVIHGLTNFVYEVRPDGFYFYVQDPITHDYQWQLVHKWQY >KZN11169 pep chromosome:ASM162521v1:1:42255507:42255833:-1 gene:DCAR_003825 transcript:KZN11169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQCSSTMLKAIFLLSFLVATALIGTSEARQLTEKPEENAGHAAKVMEPKENDAVAHVTQTSEGPSSPSFPFNIPPFPRLQIPGLPPCPLPTFPFPLLGGAPPPPRN >KZN08104 pep chromosome:ASM162521v1:1:2774844:2780221:1 gene:DCAR_000773 transcript:KZN08104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILLMTRSRSETECRMPGQVLTSGITRVLSCVIALIVLYKVLKDKCSKYPLVLRIWWFCNFFMFLARAALKAFSSFTDGGHSMMPDYVDCTVLLTSACLLVISVRGKTGIVLKLQNGIIEPLLNGDSAENSEKKRNCLYGDATILQLLTFSWLNRLLAVGNVKPLNQDEVPDVHIKDSAEFLSGSFDEILNCIVQRDGTSKSSIYKAMYRFVRRKAAINALLAIISAGSSYVGPYLIADFVKFLGEKNVQSLESGYILALGFLTAKIVETVAERQWNFGARQLSLRLRAALASHVYKKGLALSNQSCQSHTSGEIINYMSVDIQRVSDFMWYINSIWMFPVQISFAIFILYTNLGRGSVVALAATIIVMTINKPITKIQKQYQSKIMKARDDRMKSTSETLRNMRTLKLQAWDSHYLQKLESLRKIEYKWLWKSIRLRAILDFIFWVSPAFISVTTFAGCIIMGIPLTAGRVLSALATFKMLQDPIYNLPDLLSVFAQAKVAADRVASYLQEEEIQQSAIEFVSPDQTEFDIEIKRGKFSWDAESTIETLDGIELQVKRGMKVAICGTVGSGKSSLLSSILGEVTKLSGTVKISGTKAYVPQSPWILSGTVRDNILFGNSYNSSKYEETIKACALTKDFELFPAGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGRQLFELIQNGRIAQVGKFEELLNQNIGFEVLVGAHSQALNSVVTVENASRAPANGFSEGKSAEPTLIDDLPKSKEDCKTILCAETNRGKGNLVNKEERGKGSIGKGVYFAYLTMVKGGAFVPVIVLAHLGFQGLQILSTYWMAWACPTVEAEEVKDINYILFIYLIISIGGSFCVLVRASLLAVTGLATAEKMFHNMLHSVLRAPMAFFDSTPTGRILNRASTDQDVLDLGIANKLGWCAFSTIQLIGVILVMSQAAWEVFIVFLPVTAICIWYQQYSMPTSRELARLAGVERAPILHHFAESLAGAATIRAFGQKPRFINTNLCLVDNHSRPWFHNYAAMEWLSYRLNQLCNLVFAFSLVLLVTLPEKLIDPSLAGLAVTYAINLNVQQTYFIWNIINAEVKMISVERILQYSNIISEAPLVIEDHRPPKNWPDTGTICFENLQFRYAEHLPSVLKNITLTIPGKKKIGVVGRTGSGKTTLLQAICRIVEPKEGRILIDDVDISKIGLHDLRSNLSIIPQDPTMFEGTVRRNLDPLEQYSDAEIWEALDKCQLGDIVRENKEKLESTVVENGENWSVGQRQLFCLGRALLKXTASVDSAIDGILQNVISREFKDRTIVTIAHRIHTVIDSDLVLVLSDGKIAEYDTPKRLLEREDSFFSKLIKEYSTSSKSFNSIVNL >KZN10115 pep chromosome:ASM162521v1:1:32715833:32717544:1 gene:DCAR_002771 transcript:KZN10115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKEDAYSPTGVLEDYLKNTDSDSVSTKESTSEIKDQHFSKPPSRWAGFVQLLKTKSKRHIGTLHPLNLSKRLSSSMRENVAASPPADSDLNYFKPHWKNFTLSQLQSATNNFCHENLIGKGGYAEVYKGCLRDGQLVAIKKLTKGSQQDRIGDFLAELGIMGHVNHPNTAKLIGYGVDGGVHLVLELSPHGSLASVLHATGRRLDWSIRYKVALGTAEGLVYLHEGGQRRIIHRDIKAANILLTKDFEPQICDFGLAKWLPEHWTHHTVSTFEGTFGYLAPEFLMHGIVDEKTDVFAFGVLLLELISGRRALDYSQQSLVMWAKPLLKKNDIRELVDPSLLDDYNSLQMNLMVLSAFLCVQQSSIRRPQMSQARTAIS >KZN07951 pep chromosome:ASM162521v1:1:934977:935441:-1 gene:DCAR_000620 transcript:KZN07951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRRRLCQAETNADMVARRMDVLNQICQPRNQLTRQQMEQLGNDNCRFCQLNIGINRLRSQITARRESVARIETAFRSSIMNSPMIEVNDMESATFVWHLHSTGFWTGTICICTNMVPDNLQQQILVRIEGIPRRFFTRDEQGNITFQAVVDS >KZN10262 pep chromosome:ASM162521v1:1:33988141:33991082:1 gene:DCAR_002918 transcript:KZN10262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKDEKSVAEEYVYRISTAAEWEALQSSGSIFGGELDKSTGCIHLSNLNQVQPTLKNFFPKSKTDLYLLQIDAKKLGDGLIYEDVDGSNVFPHFYGPDRSFSPLPLDAVKKAEKLVPSDSGYVCSLLN >KZN10995 pep chromosome:ASM162521v1:1:40937980:40938558:-1 gene:DCAR_003651 transcript:KZN10995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRVSVYIQPHGNFDTSKLMYNGGVTNMVELDIDELSFRDLEDFAKDFKYDIETSIVYFKTNGRDLTDGASIVYDDASVRKLIDVCVPYGRIQLYVDHLIGGIDFEKNLNQTEISQKSPVIDDEVEDSDEDSDYKIETETDSTEILFDNSDFPISDEEEMTCKAQSRLLKKKLSNASIRTEVLKKPRTNDL >KZN10966 pep chromosome:ASM162521v1:1:40700944:40701600:1 gene:DCAR_003622 transcript:KZN10966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKCAELVMLFLVAATFFVASNASNRKLTNGTVKWDSGFNFTAGWPWNRPPFNFTSGWRQNIPQCSGKIIVGGDQKWQFGFNYTDWAIKTGPFYLNDTLVFQYDPPSNHTFPHSVYLLPDYQSFINCDLTSATELATVTQGGANGYELVLKNWKPYYLACGERNGFHCSVGLMKFSVMPLIRYGY >KZN11438 pep chromosome:ASM162521v1:1:44647461:44650180:-1 gene:DCAR_004094 transcript:KZN11438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPNEKAAVKVLRNGEEHEFTITLRPLGSLVPVHQFDKLPSYFIFAGLVFIPLTQPYLHEYGEDWYNTSPRRLCERALRELPKKAGEQLVILSQVLMDDINAGYERLAELQVKKVNGVEIENLAQLCQLVGKCKEESIRFDLDDDRVIVLNYDRAKVATSQILKRHRISSALSVDLISQQDVIR >KZN09226 pep chromosome:ASM162521v1:1:21845245:21849203:1 gene:DCAR_001882 transcript:KZN09226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAGVADHPTSSEQAQEVHEEVKACEPEIISPAEVEKPELNSLPVPVAPLSESEDKIEAKPIQAPTNEELKERDEEEKAPIAPVADAEEKIEGKNIESSVVTQEKITVEEEMSTVEMEPTSEEKLKSEDFTSPSVVLAEEVQPLASEVLPSIAPESNVEDKSFEPSIIELNVASETHVAAEAKIVAEPPLVEENIVTEPFVVEEKLVQSLVTEEEKNTTESPVVEEKISTQTPLVEDKLVQTPVVEEEKDTIEALAVEDKLSTETPAVEDKLVQTPAVEVADDTIEKLVLETKTETETPIIEEAKITAEPPVVEEKIVIEPLPVEKILHTFAVKETEETNEQLVTNEEILSEAGQEKLVQAPVVEETKVMTEQIIDEEKTATETSETKEENSGIEPPPMKEKFETEPPVEEKNVKILTVEETRNSAETPIVQEVKSMTGTPLVVEENYATGAPLVEQKIMTEPSVDEKIVTETPVEEKIVENPVVGGSKNKTETPNVEEVKNETKPPVVEEENDAIEAPIAEEEKSFTEPPVVEEANHISESFVVPEEVKKAVNTPDAFISVENESIVAQDCAAETDSPDVSEPEVKIAESKPVTDNSESQEAEEAKVELVEVKLGENEKAVEILELSTKIDDKKEEEVVGPVKDLEQELVKDEGIPEPQPIKEEVHETSTTVVDEAQVHVVVEAQKSVEVPKQDESTEETSVEAISLGEALLPTKEDQPDAKEEISAGKADLDEELPKQEAHTLMKVEAENEVRDVKAEDCSQLETTEAEEVVKSIPNTEVAENLLEGIISSRDVEPVAENGNKDKKSESVTPAETGSNGTMEDKTSEKPDDNKLEKPDAEEKGEDNETKDLDDKATLQDLPQEVVTVQSTQKQSKTILSKVKNTFVKAKKAITGKSNGKTPSFDPKGEIKV >KZN11555 pep chromosome:ASM162521v1:1:45689658:45691556:-1 gene:DCAR_004211 transcript:KZN11555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHLKNLFSRFQEQFGAGPGLGPGSGTCLVNVDGVTPLAIKSLYRAAAALFRTDPWKRFRPGHLFGVRVGKDSDWSRKKQPFPCIQFIGGDGGDLGFHMFRSESDAVRMTGSRETIRVPNVEVFRVTYMEEALIVPSQRRMIKSLALEISGDNRFPVIDVARCTSAGGLQFRNPSFEELKYVFAVMKAISWVHPLLKHQTDCGQKWSRFIDFESFIETVDVQWPPEMMEGNNDLVAVTVSHPPGHGYDERTSSTANSTPTKYAEPAEENFVIIKENFPDIVRQCAVCNKDVQGEELVCCASCRAVVYCGTPCQDLHWEEAHKNMCGLYKAMMAREKELAMEIFLFPCSTEQPCKWLELLGIHQKGMWRRKCNCYSQCPYGSLPVDDRLSDSWGGLSEDDYPHDSPFSSQSRDGMLSPVLLAGWSDYYSLQSLPLSSPVADILSHPFTVYHILTAVDINSKNLLLQGKEVTVHYVGPEAELDWMPAFAEISHVLNGMGNINMVMVGPGIPTHLSGTTFGIGSRIRVNLVRGLYQEESMYLPAPNVIVALNCKLESYSSWDGTLELIKLMGIPSFFTDQTEILCSNAKQVLCNFGLQVTHPVTPNPFRSPVRNYEPSDNLPSYSNAFLFGVNT >KZN08994 pep chromosome:ASM162521v1:1:18790922:18791182:-1 gene:DCAR_001650 transcript:KZN08994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRVILFINFLLLISLLSSTKSSSRPLAETFSAKAVQLAPSKSSAIGASEQSGPSPRGVGHRKHKRLPTVGSMDQSGPSPGVGH >KZN10729 pep chromosome:ASM162521v1:1:38497861:38500587:-1 gene:DCAR_003385 transcript:KZN10729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALSLLKPCMPLCTIHGLKNDNSHASGTPYSTNRCPGLQFKTKLNRRDLLNPVVLTFLGGAIAQQPARAQGENTDEVTSSRMSYSRFLQYLDSGAVKKVDLFENGTVAIAEIIIPELNKSQRVKIQLPGLPRDLVRKLREMNIDFAAHPMEMNVLAVFLDLLGNLAFPLLFIGSLLLRSSTNSPGGGPNLPFGLGRSKAKFEMEPNTGVTFNDVAGVEEAKQDLQEIVEFLKIPEKFAAVGAKIPKGILLTGPPGTGKTLLARAIAGEAGVPFFSLSGSDFVEMFVGVGASRVRDLFNKAKMNCPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEIDGFSGNSGVIVIAATNRPEILDPALLRPGRFDRQVNVGLPDVRGREDILKVHSNNKRLDKDVSLAVIAMRTPGFSGADLANLMNEAAILAGRRGKDRITSKEIDDSIDRIVAGMEGTKMTDGKSKILVAYHEIGHAVCSTLTSGHDPVQKVTLIPRGQARGLTWFMPGEDLTLLTKQQLFARIVGGLGGRAAEEVIFGESEITTGAAEDLQQITRIARKMVTMYGMSEIGPWALTEAQSSDVVLRMLARNSMSEKLAKDIDTTVRSIVDSAYEIARNHIRDNREAIDKLVEVLLDKETLTGDEFRAILSEFTEVSSDKAYNRSLPHEMNKV >KZN11009 pep chromosome:ASM162521v1:1:41061494:41063315:1 gene:DCAR_003665 transcript:KZN11009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIAVLFKDLSGLSGFASMEGVGGEGASATAPLNQWRRDVWKLFQFYLDKSTPHAVYRWVGTAVLAFLYALRCYYVQGFYVVSYGLGIYLLNLLIGFLSPLVDPELEPSNGPMLPTKGSDEFKPFIRRLPEFKFWYAITKAFCVAFLMTFFSMFDVPVFWPILLFYWLVLFLLTMKRQIMHMIKYKYVPFNIGKQKYKGKKPSGSGGSTSRGD >KZN11610 pep chromosome:ASM162521v1:1:46262173:46267610:-1 gene:DCAR_004266 transcript:KZN11610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKFLRFNIILIFVLGTSTTFVYSFSNNVTDQQALVSFKLLITEDPSGVLESWNNSFHFCHWTGITCSRSRQRVTVLDLSSLGLAGTLSPHIGNLSFLRTIYLYENRLHGLIPSEIGRLFRLQHLSMGNNTFQGGFPANLLHCRDITYMNLESNDLEGKLPTDFSSWSKLNVFSVARNHFDGSIPPSIGNISSLRALYLADNTLVGEIPQEVAHLTKLEVLGLSGNRLSGMVPLPLYNISSLLSLGLEDNRFKGTLPPGFGFTQLPRLQQFSAGNNTFTGPLPPSIANASNLVILDLSFNNIIGPIPKNLGSLLNIEWLALGHNPLGENMQSNEWSFFNSLVNCTHLRTLGLHENSLRGELPSSIANLSTTVEDLYLYGNHIYGSIPHEIGKLVNMIHLNFEVNFLTGSIPQSIGRLSKLGGLYLSENNISGVIPTSFSNITNLVELYLDGNVLQGSIPTKLFNISTLEDLFLANNMLRGVIPEEIVFLSHCISLNLSQNLVTGPLPSNIGSLKHLARLDLSYNKLTGDIPATLEGCLMLEELYMGGNLFQGKIPSSFKALKNLAYLDISNNNISGSIPSFFDGFHQIIFLNLSHNKLEGEVSKEGLFSKVSTFSVVGNWELCGGVQALHLPSCPDKVSRKKKKTFALRTILILVLVPLGVLLACLALISYRRLNYKKLNEPVSGLKDDHYPKLSYQDLLLATNEFSPDNLIGEGRYGSVYKGHLESVEHLVAVKVTDTRNLGKLFVPHASLPAPCILLLEDIPEDKYMGGLLKVNGSVA >KZN12044 pep chromosome:ASM162521v1:1:50524153:50546955:1 gene:DCAR_004700 transcript:KZN12044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPSTFLAILILVIFSTTNTFAAPVRAAKPGAAKQPQTPQRPRAFFVFGDSLVDNGNNNYLLTTARADSPPYGVDTPTHLPSGRFSNGLNIPDLISQKLGAPPTLPYLSPQFNGDNLLIGANFASAGVGILNDTGFQFANIIKIGQQIYYFEEYQRRIISMIGIDEARRLVNNALVLITLGGNDFVNNYFLAPITARRLQFNLEDYTTFLISEYKKVLQRLYDLGSRRVLVTGTGPLGCVPGELAFRSTDGTCAAEPQLAANLFNPKLVAMIKELNKELNSDAFVAVNALNRQNDFLNNPLAFGDDDLSSLYQLPTEIIDCLIPRLPALALQKFQDALPLNYGNEHEVASGSQRKRKRFGRMEYCLKNTILLSMGYKQCGSYLEVDYSKLAYHCQHFGLYARRLTLHNVLLQTETCVSSTTKESFPLNLCLSSSSRYLVNVYRELFLLQHLLRNSKLEELVVQWIKSKEQVEGVCKLLSQNVETLSSLHFNHCKLSAEFVNAICDSLFLKDIPTHRIQHFTVNTSRLLEFNSISIPTGLKSLLSSARCLCTADFRDSELRQNSARTVFSALFDASSNIAFLDLSENNISGWLSHFKWKPSSQLHLNPEICALKSLRVLKLRCLTLSSVLLQEETSHLLRESKLEALEIHDVNSKKQVTFSNVCVHDEVSMIFITIYAFSD >KZN12061 pep chromosome:ASM162521v1:1:50693883:50696167:1 gene:DCAR_004717 transcript:KZN12061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCLQLSQNNAKKRPKSRFSSNLRPAATKPHSRQQPSYPPTYYGKKHEAPRKAPTETSVTRPTSRKSSVEAADNKDDNGNKNITSQSFSFRELATATRNFRQECLIGEGGFGRVYRGKISKIGEVVAIKQLDRNGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGEQRLLVYEYMSLGSLEDHLLDLRRGQPPLAWSSRMKIALHAARGLEYLHDRAHPPVIYRDLKSSNILLDEDFNAKLSDFGLAKLGPVGDKSHVSSRVMGTYGYCAPEYQRTGQLTVKSDVYSFGVVLLEMITGRRAIDTSKRNEEQTLVAWAGPMFKKQSRYSELADPLLQGDYPKKSFNQAVAIAAMCLHDDASARPLMSDVVSALSFLGDTAEPVIASPVTPPSPQAARHVSENHSDEVNKTERELAISEAKEWCTKSRDQQVSEGSVYR >KZN10165 pep chromosome:ASM162521v1:1:33154042:33163814:1 gene:DCAR_002821 transcript:KZN10165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMIHSSSFLLPELPADQTSGAGPTYALVLLNQHLPRFTPLLWKHAQLRVCADGGANRLYDELPGLFPDEDASSVRNRYKPDMIKGDMDSIRAEVLEFYKNMGTKIVDASHDQDTTDLHKCVAFVRDFYADTETSTLCILVVGALGGRFDHEMGNINVLCRFSTIRIVLVSEDCLIQLLPSTHHHEIHIQSSIEGPHCGLIPIGTRSGSTTTTGLQWNLTNTEMRFGGLISTSNIVRGGIVTVQSSSDLLWTLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEEKSRADRKPPGAGGRGRGRGREDGTGGRGAKGMGRGMDDGLARGSTGGRGRGGPGGRTGGSRGTITSWKDLEIGWAGKYSLFSPCSVSYLEVAKRVGRLGLTCLGSPKNRLKPACSRMCRNRHKPYKLTRRSRVGIYRTVIRHSLPRDLAGLANRIPARLVKLSVPFTSQAVAKVARWRTGTARPGPLVEVEVGRFRLRSWRKIGYWWLLLMVYLLPIHVRYT >KZN10730 pep chromosome:ASM162521v1:1:38506752:38507825:-1 gene:DCAR_003386 transcript:KZN10730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYKNLLKIYSIQDLILANPTINPPSISINFLTRLSQKLRLNKGPTAFLLSKRVPLRAVFKVWRELGLPDDFEDSVISKHPHIFRLSNNVNEVNTHMLELVRVVDKGSLVSAVENWRVLEVCKEDCSVDRSDIRFSFKHGYPPGMKLKKNFRAKVREWQSLEYIGPYDEMGVKSKSKRGMKVLEKRAVGIVHEFLSLTVEKMVEVEKISHFRSWFGIELNIRDLFLDHPGMFYLSTKGKRHTVFLREAYERGCLIDPNPVYVARRNLLELVAMGKRGFSGNKALLRTGYENESESDEEESD >KZN09413 pep chromosome:ASM162521v1:1:24752356:24755708:1 gene:DCAR_002069 transcript:KZN09413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLTLPSPNPNPPPSLLPPGCRFFPSDQHLLSVYLTQKHAPRSSRDNSSIPDVIKEINLYDYEPFNLPDSICFRFGKGGRKRHYYCFVVARVRDEERRRGGGAGYWKRTGRVRDVVLGDVVIGTRRAFVFYLGDLGKGGARTDWFMYEYALVEPHLASFVLCRIFVKSRKSNTNTSERPLSSCAQDSVAKVRHIGIQHDGTVDLVPSESNMLGRNSPHRNNQVVRYPMELVRGSDNVVVGWPVDLQLGLSGHASARGMMADPLTAEQLTAILEDDFLELNDLLSPYPGID >KZN09285 pep chromosome:ASM162521v1:1:22570478:22572160:1 gene:DCAR_001941 transcript:KZN09285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTPDNHHFNNSAEEQDEDVKLSSLRSRVRKAQVQALNSSPSWPDKQQQLVLDGDDVDEDGDESAASDSVRFEIGDLVWGKIKSHPWWPGQIYNQAFASVEVRNGGIEGQVLVAFFGDGSYGWFYPAQLVPFEYRFSENCRQSGSRNFGRAVEEAVEEVTRRSALPFVCRCRNWDGFRPSDVEGYFCVGEIGSLYSGDQIRKGRDGFQPREMVAFLNKIAAAPTGDEDRGIGFMKTKAAVMAYRKAVYQEVDDTYAREFGHIPDVRSSLKKALGTGRSPKVPIRGGTSALLYVVFAFDCKLISRIYCLGTG >KZN11069 pep chromosome:ASM162521v1:1:41450653:41452821:-1 gene:DCAR_003725 transcript:KZN11069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSCLSFTSLYATYLRRCLISAGLTSKHITIDDQTRIHLWAPKHTTSDAILPKPAVLLIHGFGPAAMWQWRMQAVFLAKLFDVYVPDLVFFGESFTSGPERSEVFQAECMGKMMEKMGVESYAVVGTSYGGFVAYNMAVRWPERVEKVVIASSGVNMRLRDNKELVKRANMERIEEVMLPKTAAQLRSLTALAVFRRTRVPDFFLNDVIRTLYNENREEKLELLKGLTIGQNDIVNLSPLKQEVLIIWGDNDRIFLLEKAQELKEMLGGNVKLEVIKNAAHVPQLEHPKQFNTILKNYLCGL >KZN08021 pep chromosome:ASM162521v1:1:1502815:1506522:-1 gene:DCAR_000690 transcript:KZN08021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSNKMNKIPSEIDLEDIFNQHINDQDVKAHRPITPPGFADDAVGYRDDHPSQLPTFPFPDQEIMNGFSNCAEVTEASLWCQNFSAPKHSSISVTMDSQSSICAGSPTSAANNLPKGCENQAMGVTSGSYDQSDDDDIDTEAGPCEQSDQAGVRRIKRMVSNRESARRSRRRKQAHLSDLEQQVDQLRGENSTLFKNMTSANQQFKDATNNNRVLKSDVEALRAKVKLAEDMVTRGSLTSSITNIIQNHLYNTPQSFGTQNIHRMGNVSPTITVQRDDPSYPGLTGSGQTDNVDHFNGNIDNNIINDSVSCTSEIWPWGSHVPTMSK >KZN09221 pep chromosome:ASM162521v1:1:21790374:21795834:-1 gene:DCAR_001877 transcript:KZN09221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTILNISSPPTHQNPLKQLPKKPTSILPQSSQKWPHFTALKASFKPSFQTSSFVASAVATPSSSVLSEEAFKGLGEIERYGDDLSLSESDDGFGDVGSNKIQVNVDEIDIIKLGLPQKLVQTLADKGITELFPIQRAVLVPALEGKDIIARAKTGTGKTLAFGIPIIKRLTEDDQERGSMRHSSRLPRALVLAPTRELAKQVENEIKESAPYLSTVCVYGGVPYGQQQNALSSGVDVVVGTPGRIIDLIDRNTLKLGEIQFLVLDEADQMLAVGFEEAVEMILQKIPTERQSMLFSATMPSWVKQLSRKYLNSPMTIDLVGNQDEKLAEGIKLYALASTSTSKRAILSDLVTVYAKGGKTIVFTQTKRDADEVSLALTSSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDAETFVHRSGRTGRAGKEGSAILMFTNNERRKIRSIERDVGCNFEFISPPAVEQVLESSAEQVVATLGGVHPGSVEFFTPTAQKLIDEQGTSALAAAIAQLSGFSRPPSSRSLISYEQGKVTLQLTRDPAFAQGFLSAGSVIVFLSSVYTTAADEIGKIHIIADERVQGAVFDLPEEVAKELLNKQIPPGNTISKINKLPALLDDGPVNDNYGRYSNRGGMRGGGSRGGSGYGSPRGRRGGRFSDGDDERRGGSWGAGRGGARGGGGSSRSRSSGIDWLISDRGSSPLRSYGKIDRSLGGACFTCGQPGHRASECPKKRVPSSSPLLAAPSTPIQTTCLLFNMVTL >KZN11512 pep chromosome:ASM162521v1:1:45313895:45314554:1 gene:DCAR_004168 transcript:KZN11512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNTYLLLILFVLSTFTSSNFGSIIQESLHNLLDTYGLPKGIFPANVKFFSLDENNFLEVQLETSCLTKYETMIFFDRVVRANLSEGKLWGVEGLSNKELFIWLPVKGIIANYPDPGVVMVDITLANKTLSLSIFQKPPTCQPQGENLSQVSSKIDRGDMKDLEFAFRRSEKDASFF >KZN10567 pep chromosome:ASM162521v1:1:37086008:37086607:-1 gene:DCAR_003223 transcript:KZN10567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVAQPVPRFLEYTQIQTSFLETHGATSHDKFYRCSKEKLVMLENKNLAKQYDVQSEEQQRSEVSSSLEYSQEKRSFPETHSNLGPDTVCRSGAENSGLFTTLELGTPFLKQLKRKEPEQETAEIETFSGTAECQFDM >KZN11035 pep chromosome:ASM162521v1:1:41221109:41222086:1 gene:DCAR_003691 transcript:KZN11035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTLLSGVLLSAFGYLYPAYECFKAVEKNPPNKEQLIFWCRYWILVALLTVCERFVDVFVSWLPLYDEAKLVFIIYLWHPKTRGAEYIYENILRPVLMKHEKEIDRKLMEVWVRGKDFAVQNMQTVIYYGQIKFFEIMRFLSTQAAATQAAASQAAPAAAAAEREKAK >KZN08257 pep chromosome:ASM162521v1:1:4338040:4346421:1 gene:DCAR_001322 transcript:KZN08257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLEDLVRAIELWLKLLKKPEPYVDPNLDPVLLVPGIAGSILKAVDDKGKEERVWVRIFGSDRKSVSLDQKTNIVVPEDRDGLYAIDVLDPDMIIGRDGVNYFHDMIVEMTKWGYQEGKTLFGFGYDFRQSNRFKETLEQFAAKLELMFTAAGGKKINIISHSMGGLLVKCFMSLHSDLFERYVKNWIAIAAPFQGAPGYVTSSFLNGMSFVEGWQQNFFVSKWHMHQLLIECPSLYELMACPDFSWEHVPLLQFWKENLDVDGNSSILLESYSPVEAVSVLTQALSVNKINYDGADIPLPFNLEILKWANETKKVLSRAKVPPGVKFYNIYGTNLDTPHTVCYGSEDAPITDIREIPLLLVANYVCVDGDGTVPMESAKADGLDAVARVGVPGEHRGILCDRHVFRIVKHWLKADHDPFYNPINDYVILPTSFEIESHQEKGLQVTSLKEEWEILTADEAEDDTFANSKPMVGSIAVSHKGDDQSPSETARATLTIHPQSEGKQHIELNAVGVSAGA >KZN08798 pep chromosome:ASM162521v1:1:15642955:15645737:-1 gene:DCAR_001454 transcript:KZN08798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFCTNYNIHERNLVTQWHKLWVGKLRGSTFVCPNFGSDYIAGILILPKEFPIENSGTIQERLLLHVAPGVVWNGKYSVERNWIEGLEKMMLYYCIKPYYLIAMEYIGGPSFNLQIYNPYGVEVNYTVTDKSETLSSMDRSFFNFSDIEVDKLCGTMFSNVYNSGLHVYNLLISSSHLLKKDHTKILNRYACKQLGLLEEMKSVTLFFKNMSWVVNLKWDNGKAYMDRTWYDFARASRVKEGDICAFMLSGPPGKFRVCVYERDLLTKCNEKGIGHASKVTNWFKIVNDVVLYAGQMEIPRVFMEMNGGVLEETVNLIMGDGCSVAVNFSRSQSFFHGLKTLVDTYSIQLNDVMVFHFLSDSTFAVSLFKATGMEYKLNSSHGAATKKTGGIREEEVIILSDSSGDGEPDLAMDIDGGIADAQNLELADDGNNEGNEFGDGNPLNMSFRVTLKKSHVDKRDHGARNICRFGKG >KZN07847 pep chromosome:ASM162521v1:1:125771:127215:1 gene:DCAR_000516 transcript:KZN07847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKECFTTNDRNFATRPTMSVSKYLGYDYAAFAISPHGPYWRDIRKLVNLELLANRQLQKLKHHQSSELNMGIRELFLLCSENGGLASHVTISKWIDDLTFNTTIRMLVGKRFDSSSSPEDLHIKEAIKRVLYLGGVFVVSDFIPSLEWLDLGGYLNSMMHTSKEVDGILKKWLEEHVQKRKACGANVIDTDFMDAMLSTIGVDDKSMGYDRDTIIKATIQFRPERFVEENKNVNHKGQNFEYIPFSSGRRMCPAMTYGFEMVQLVLARLLQAFTISTTLAVDMEEGLGIALPKVNPVQVVITPRLPLELYHQNI >KZN10975 pep chromosome:ASM162521v1:1:40769356:40769619:-1 gene:DCAR_003631 transcript:KZN10975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIQPVAEPDKIFKGGTFNFSIILKICYLISFTNPNSNYYKLEQTDLAKHPNLQILFKNQPTTTTDLNIQILEKHDHAETTARTEID >KZN10299 pep chromosome:ASM162521v1:1:34327394:34327744:-1 gene:DCAR_002955 transcript:KZN10299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAPTDAAITHLAELNAHLIPYHITPNHHLLFSHLLNLKPLSLLPTLVPDKTILITSTVPWSYKVDKAIITQPNIYISSRLVVHGIDHVLDLHPQRSSMPPLLRAGNQARISPSPA >KZN11429 pep chromosome:ASM162521v1:1:44577123:44580173:-1 gene:DCAR_004085 transcript:KZN11429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKCGMQQSVDTSKLRRSTRIRQSSVAFSPEKEVKVQGEMGIARCGYNKRKQKALAVEEDQHQTHLAPSAGQKMQPVETRDEVPVVELPASPIEEKPKVDLLFLLEHAMKPNSREEVLPEDRKHLENAAFVLKSQLVARLTCSTEKLKTEDKIDLANRCYRALRELGDDYRTFNMEVYKFIAQQQELEFAAKDKENWNDGDLKARYNKQQQVFYDVTGKLFSAQDKLSRTTSHVDLLKFKKAELTSVLLTITKELFEEEKKLEALKAERDKCKEVHLKAEAGIQNLDAEKNEASMALEAINVQYDAAKEEFERMSNHLLQLVRRSPSNQAAAPSTTSFSTAGLTKAPSTVSPQPIGVSSETQACGPAIGASPGMTSPSATQQSWCCDPSLDMFL >KZN10189 pep chromosome:ASM162521v1:1:33413566:33416127:1 gene:DCAR_002845 transcript:KZN10189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTEGQNPADNSSSGGRTTTHSNKDEDREKMIMSEKEILQHWFFLETEMVEAIMKGNKSLIPAARARVIFLSKVVKPDLVQEGVNGEEDALWKIHKVLHNKGWWEKARNLVISPPAQGEKTSDIDPLLLGFLRTNERYVHPNTRILLNDVEILSNVSEFECVDHTGTPLETKSSSENFHENQSQARSESHSSILDNNRNDETAIDSQDNGLHSVELLDGEDNAASENEVSTSLLNPPTPRYNVIPFPPYPKLDEAVTDNTIHTNLSNWKPRDHDSSVSLDCSPSEEEKSIVDDASVEDIDSLADSHPNIIKDLYNLKVKSRRGRPRNFAAKHINKHFRLPKRKKKKGEGLKQITHFCLNNNLDEGEAIYETGVLMGLLPVHSKEKSMELIRLNLKK >KZN11647 pep chromosome:ASM162521v1:1:46649395:46652934:1 gene:DCAR_004303 transcript:KZN11647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPHQMIDQVLCRLPVKPLLRFRCVSKGWCSLIDSNAFVKKHLETALECNTGCGLIINDDAGKIYLADFDSLDDGSSAIAEISDSLKSILSGAEFFGAAKGLVCVAKEEMNEIVLFNPATRKARKLPSALPEFPRSFHSVETSICGFGYDHVNDDYKVVKIAECQIQFRGFMVIVYSLKSNSWTRVRNVPSNVVFYLKWGVFASGAVHWLGSKNPGHGLETIVGFDLGLERFTEIPFPPLNKKYITMNYRSLGPFGESLCILDNYPDFSSDVWLMKEYGVENPWYRAFRFKQPSPLEFWGPRPLALSKSRKNVLLEVDHAKLMWYDPTRKTVKKVRIRGIPNSFYTYLYTESLLQLTEDKPHQKPSQDKQPKKEQKKRSLVRILIIIEGCFSFQRIQFKAIRYADDKETEEYDKKECFGKGREAEYWIFLERSELT >KZN09518 pep chromosome:ASM162521v1:1:26589258:26591248:1 gene:DCAR_002174 transcript:KZN09518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALHMGAVFPQRSENAPVFTPPQTQPLSSYPQNLRNSEPGQEAAETSAESEFPTLSLTEIQNAHGIMDVLSEMLSAIGLENKERRGKVCALQP >KZN11898 pep chromosome:ASM162521v1:1:49213771:49219214:-1 gene:DCAR_004554 transcript:KZN11898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANDVLARLNSSGFLRTQGFIGGKWVDAYDGKTIQVNNPATGEVIADVACMGTEEANDAIASAYEAFQTWSKVTAAERSKLLRKWYDLIIANKEQLGELITLEQGKPLKEAIGEISLGASYIEFYAEEAKRVYGDIIPTNMPDRRLFLLKQPVGVVGAITPWNFPFAMIARKVGPALAAGCTVVIKPSELTPLSALAAAELSLQAGIPPVRKITFTGSTAVGKKLMAGAAGTVKKVSLELGGNAPCIIFDDADLEVAIKGTLGTKFRNTGQTCICANRILVQEGIYDKFAKALSDAINNLKVGDGFTEGVVQGPLINEAAVQKVETLLQDAVSKGAEVLLGGKRHGLGFTFYEPTVISNVNNEMRISREEVFGPVAPLLRFKTEEEAISIANDTNAGLAAYLFSSNVQRTWRVAEALEYGIVGINEGLVSSEVTPHGGVKQSGLGREGSKYGMDDYLEIKYVCLGNMC >KZN08543 pep chromosome:ASM162521v1:1:10133071:10134756:1 gene:DCAR_001073 transcript:KZN08543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRFACFIMFFSGFLDFPSALASNPPGANLASAKSEPFTDLFGAFTKWDSQVGCSQFKHKHRGLMINNGSASVQDVDGAMKCGELKMNHVGVLLKGWTWLPDYLDNLYSCRCGLSCLWTKYPVLADKPDALLFETTTSKFLRKNGDPLQVYMDLEAGRVRSGEEDIFISYHAKDDVQATYAGASFHNDRNYRVSSSKRNDVLVYWSSTRCRLKRNQLAHSLLKLLPHHSFGKCLNNVGGENMALSLYPKCVMNPKQPPKWYDHLHCAMSHYKFVLSIENTNTESYVTEKLFYALDSGAVPIYFGAPNVWDFVPPHSIIDGTKFGSMEELAYYVKALANDPVAYAEYHAWRRCGVLGNYQRTRAASLDTLPCRLCEAGC >KZN11801 pep chromosome:ASM162521v1:1:48200822:48203554:1 gene:DCAR_004457 transcript:KZN11801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGFRLNFRWASSVRYPYYCTTSSSWPSSYSFRAMEASYDTTRVSFPYFVLGEIETMHNDLPRVHSCASAAQTLSNNYLETEHISIRKSSGGDLSCIISSSFRKPGSATSAEASKLSHFGVLMNNLSMLEKTFADSDVLRLENDILSQLDRLGALKLFHTCLSRTRSRPGNSSVSEDIEEHQMESHVNADQMAKIVVSSGKKEKRKAKRVKSSWNEKDFYLLPSNSKPKHQHQNLSSPGKSSKYSGSRPKVARNEAELTVGVKLVANLERIKMQLEKETGETASLSSWAEAANIDKKTLQQNLHFGCYCKDELLRSTRSLILYIARNYRGVGVGFEDLIQAGSIGVLQGAERFDHTRGYRFSTYIQYWIRKSILMLVERNCRGVRIPYALSKAVKKIQKARKTLSNSLGTYPDDNEIAESTGLSLSKIAAASNCLRVVGSLDQRIGDFTSVKYLELMPDTSIKGPEETVMREHMLKDMYDLLAELDPRERQVLLLRLGLQGHHCMSLQEIGRLFSVSKEWIRKIERTALRKLRDQETLHSLSHYLYMNHMNQ >KZN08126 pep chromosome:ASM162521v1:1:2948523:2950291:-1 gene:DCAR_000795 transcript:KZN08126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATCGGEDDELETCRDEKAAFILKMLAIAAILIFGVCGVATPLYYERKQEKQSQPVHVDYVNIATGPEIVSAEVNESSGKLFGEEAGGGMHIVGMHAHAAHHSHNHSHGQGACEGHSQDRPDSLSHSHSHSHSHGFGSGDEENNIRHVVVSQVLELGIVSHSIIIGLSLGVSQSPCTIKPLIGALSFHQFFEGFALGGCISQAQFSTFHSTMMACFFAITTPVGIAAGTGLASFYNPNSPRAMVVEGIFDSVSAGILVYMSLVDLIAADFLSKRMSCNVRLQVASYVALFLGAGLMSSLALWA >KZN10599 pep chromosome:ASM162521v1:1:37381162:37388439:-1 gene:DCAR_003255 transcript:KZN10599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHILYILVAFPCMVGAIALAIYHIYMHLLNYTEPTYQRYIVRIIFMVPVYALMSFLSLVVTESATIYFNSIREICVVNGQGNEYMALLYRGLLIKESRRYEAWVIYNFLSLCLDWVGGPGAVVLSLTGRVLKPNWCLMTCCFLPIPLDGRFIRRCKQGCLQFVFLKPILVVVTIILYEKGKYHDGNFSAKQSYLYLTIIYTCSYSAALYALYLFYVACRDLLQPFNPVPKFIIIKSVVFLTYWQGVIVFLAARTGYIKDTEEAAEFQNFILCVEMLLAAMGHYFAFPYKEYAGANIGSSRNFKESLAHALMINDLYHDTVHQFAPTYHDYVLYNHHDGDVGSTRYRTRTFVPTGQEMDMVRKRNIDSQQTSGGSGPNSPLHQSATRDTSKSEAMNSSLLMESSSISMPYEVSLIDLDIPSYSAKINKWL >KZN09186 pep chromosome:ASM162521v1:1:21489600:21494411:-1 gene:DCAR_001842 transcript:KZN09186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLELQPPYSTATADSTDIGKYEDEDDVSPVEEVRLTVLNTDDPSLPVWTFRMWFLGLLSCCLLSFLNQFFAYRTEPLVITQITVQVATLPIGHFLAAVLPETKFRIPGCGSRSFSLNPGPFNIKEHVLISVFANAGAAFGSGSAYAVGIITIIKAFYFRSISFVAGWLLIITTQVLGYGWAGLLRSYVVEPAQMWWPSTLVQVSLFRALHEKDEERMSRAKFFLIALICSFSWYLIPGYLFSTLSTISWVCWAFSGSVTGQQIGSGMRGLGLGSVTVDWSVVASFLFSPLISPFFAIVNVFLGYFMIIYIVMPIAYWGLNVYSAKNFPIFSSHLFTHDGQKYNISNIVNDKFQLDFENYNEQGRIHLSTFFALTYGFGFATIAATLTHVGFFHGREIYERFRASYKGKDDIHTKMMRRYKDIPSWWFYLLLVVTVAVSLVLCIFLNDQVQMPWWGLLFACVIAFIFTLPISIITATTNQTPGLNIITEYIMGIILPGRPIANVCFKTYGYMSMAQAVSFLSDFKLGHYMKIPPRSMFLVQFIGTIIAGTINLSVAWWLLESIENICQDDLLPADSPWTCPGDRVFFDASVIWGLVGPKRIFGSQGNYSSMNWFFLGGALGPIVVWLFHKAFPKQSWIPLINLPVLLGATGAMPPATPLNYNAWILVGTIFNFFVFRYRKQWWQRYNYILSAALDAGVAFMAVLLYFSLSMEDIGLSWWGTNGEHCELATCPTAKGINVAGCPAN >KZN09671 pep chromosome:ASM162521v1:1:28290965:28291909:-1 gene:DCAR_002327 transcript:KZN09671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNWNYVTCSILISLISVVWYSKRKNSYARSNLPPGPRGWPIFGNFFDLGKLPHRSLEKLRDRYGPVVWLNLGPAKTMVVLSSGAAEELYKNNDLSFADRFQNDAMTSHDYYKSSMAIGLYSSYWRILKRLCTIELFTIKRINEAASIRRKCVDDMVSWIEKEAEKGESGGIEVVNFVFSAIFNIVGNLTVSRDLVDPQSTMSSEFNNSLSSLQECMLRLNISDLLPWLRRFDIQGIRKDMDECLGKAIEIMTGFVKERKEQRRRKQLDVSSEQRKDFLDVLLDYRGTSKDEPVKLTDHQLTIFLMVSIITLS >KZN11632 pep chromosome:ASM162521v1:1:46525601:46525975:-1 gene:DCAR_004288 transcript:KZN11632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEISAEGDVYSYGILLLEMFSGKRPTESSILMGDGGNLYDYVNKALPDRVMDIADPRIILDQEDLGLIESQSYNKATMEACLASIFEVGIRCSVETPRERIDIAVVIKQLHVARDKLLRRRQ >KZN11320 pep chromosome:ASM162521v1:1:43669846:43670649:1 gene:DCAR_003976 transcript:KZN11320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVHPNDLLISTTTTHADNPRTIKDKYMCFNDCVIIFTVMACFVLPYLIIILFHIILNDKVKDLKVLEIDSLTASNFNLSSQPNQISADWNVQMSFKTMNKLGYFKFYRTKVSVYYDDKRIALKKIRSFYLTSKHPPMHFGTGDFSGSSGYIDDSVIRNIGRGIKAGTVRFNIVFEALVEKSVVKGHMEDDIVNHVGYCENVEMFFGLPNAFKARMLNGSQICQLHTKRRPHSLFDPYEPLCFCTSGGGGLYVCSPTSDGRRICV >KZN08784 pep chromosome:ASM162521v1:1:15376898:15379510:1 gene:DCAR_001440 transcript:KZN08784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLWNIPAHRKLQVHQILCRKKERDRDQIHPYKVIEITPPPTSLGVRCLSPNLQCGESVTIEGKTYTISAVTHRYQLRKGKYQPSEKRLDVLSTGRYILNLYLENLLEQS >KZN10048 pep chromosome:ASM162521v1:1:32091798:32095518:1 gene:DCAR_002704 transcript:KZN10048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFRRSTSKDDPLLWNLFLSMLETCSNNLYDVDADDDEVDVKNKIPDILKSLEKKQYRLDILLTEENVKQGSNVYNATKISKPLEITDNHDPNPNVHAVNEQTEITNDISKEPTSNINSPATEKSTNKTKSRLKADIIETPIKKPDLKKVKLEKMSTNACDSLRNINSSRADWKVKARVIRQWRGSTNSGIVFKSYNILLLDAKNCRMHVFIPAAIADKMSRIIEEGKIYLIKNFTVKDFTEKDNYRVVHMDKQISFTTETRVKELDDSEIFIPTNNFDLFQFSDLKSQATQDVYLTDVIGIIKSKEEISRIPNKQVKVKFVITDGSKNVNVTFWKSFAEEFEQAMSEELQQPVIIIIASARVTQYKDQIDLCNYSPTKYYLNYEHHSVAKMRKLLEDPNFSDSNLGRIKKEVTTFNIDQILNLSKDYNQEEVICKGTIKTVEEKTDWKRYICTACYRQTYTKDGLQYCVTCTRFVPYPLKRFHITTVVADNTGELKVVLKDREIRNLIHKYVEEVDSEDDSFPEAVRSIQGMQCSFQLFVTANNVEEKSSKFMATKIIKGFNTEEDVAEQEQTLETMENLGSQEWTCG >KZN09257 pep chromosome:ASM162521v1:1:22179876:22195403:-1 gene:DCAR_001913 transcript:KZN09257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVIPSCSVCENRYNEQERCPLLLECGHAYCKDCLFKMFSASTDTTLPCPRCRHVSVVGNSVQSLRKNFAVLALIQEDDDEDEDDEDAEEEGEDLGSNRRCTTTSGLIELGSHQDLRLVSQIGEGRRSGVEMWNGVVSGGNCRHNVAVKKVVIGEDMDLVWVQTQLESLRRASMWCRNVCAFHGLIKNQGCLNLLMDKCNASVLSEMQRNGGRLTLEQILRYGADIARGVAELHAAGVVCMNIKPSNLLLDANARTVVSDYGLPLILKKSTCRKARSECDSSRIHSCMDCTLLSPNYTAPEAWEPAKKSLNLFWDDAIGISAESDAWSFGCTLVEMCTGSVPWAGLSAEEIYRAVVKARRQPPQYASVVGVGIPRELWKMIGECLQFKASRRPTFNAMLAIFLRHLQEIPRSPPASPDNDVANFLETNGTEQSLPEMETPQDESCHLHQLVSEGNLSGVRDLLAKIASRSGTKSLSTLLEAQDADGQTALHLACRRGSSGLVNAILEYEEAYVDVLDKDGDPPLVFALAAGSPECVRALIERDANVRSRLRDGFGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAVTKKYTACAIVILECGGCKSMGITNSKNLTPLHLCVSTWNVAVVKRWVELASAEEIADAIDIESPLGTSLCMAAALKKDHEVEGREIVRILLAAGADPTAQDNQHGRTALHTAAMSNDVELVKANAGVDVNLRNVHNTIPLHVALARGAKSCVGLLLSAGANCNLQDDEGDNAFHIAADSAKMIRENLEWIIVMLRYPDAAVEVRNHSGKTLRDFLEALPREWISEDLMEALVSKGVHLFPTIYQVGDWVKFRRSVLNPTYGWQGANHKSVGFVQSVPDKDNLMVSFCSGEARVLANEVIKVIPLDRGQHVQLKADVEEPRFGFRGQSRESIGTVLCVDDDGILRVGFPGASRGWKADPAEMVRVEEFKVGDWVRIRPSLTTAKHGFGSVTPGSIGIVYCIRPDNSLLLELSYLNNPWHCEPEEVEHVEPFRMGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIDIPNRPIQWQADPSDMEKVDDFKVGDWVRVKASVSSPKYGWEDITRSSIGVIHSLEEDGDIGIAFCFRSKPFSCSVTDVEKLPPFDVGQEIHIMPSVTQPRLGWSNETPASIGKISRIDMDGTLNVKIAGRHSLWRVSPGDAEKLSGFEVGDWVRSKPSLGTRPSYDWNSIGKESLAVVHSVPDTGYLELACCFRKGKWITHYMDVEKVSGFRIGQYVKFRDGLAEPRWGWRGAQQDSRGVITSVNADGEVRVSFFGLPGLWRGDPVDLEIEQIFEVGEWVKLSESASSWKSVGPGSIGVVQGIGYETDQWDGTIFVGFCGEQDQWKGPITHLQRVDKLRIGNQVKVNLTVRQPRFGWSGHSHTSIGSISAIDADGKLRIYTPYGSKAWMLDPSEVVLVEDEELHIGDWVKVKASVSTPTHQWGDVTHTSIGVVHRIEDSDLWVAFCFREKLWICKASEMERVRPFVVGDMVKIREGLVAPRWGWGMETHASKGQVVGVDANGKLRIKFQWREGKPWTGDPADIALDETYPPAQPTLK >KZN09960 pep chromosome:ASM162521v1:1:31190042:31198592:-1 gene:DCAR_002616 transcript:KZN09960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSITSPRKNQSSGNNNKIDGFALIKSMFFKNPDAISGAADAAFSKPLPLFSPFANSVISRCATILQKPTEELQHCFDTKYPDIVKESLIYARSLLEFCSYQALHIMTRRPNYLADKDFRRLTFDMMLAWEGPSPQDEELKIETASSSNQEAEDDGWSLFYSNAMNMAVQVDDKKTVGLEAFARIAPACTVVADVITVHNLFDVLTTSSGHRLHFLIYDKYIRSLEKVIKSTATANTSSLVSSLQLTEGEIILDIDGTVPTQAIFQHIGISAWPGRLTLTTHALYFESLGVGIYDKAAKYELAVDTKQVIKPDLTGPLGARLYDKAVMYKSTSVTEPVFFEFTEFKGSSRRDYWLDICLEILYAHRFIRKYNLKQIQQSEALARAALGILRYRAVKEAFQNFPSNYKTLLCFNLAESLPGGYTILQTLSSRLSLLNASSSQPDSVRSPTASRQIRHPISHLTLCRHGIISRNEFGIDEEELQQVGDVCVGEINPLELAVKQSKQDIGRAEAARATVDQVKVEGIDTNLAVMKEMLLPILELFNHLQRLASWEEPAKSTVFMVLMSYIILKYAKRGKTLEAFNITVPPSQNAVEQLLALQDAISQVEALIQAGNIFLLKVRALLLAAIPQATERTALVLVIMALVLAFVPVKYLILMGFNEAYTRQMPLRKESSERWMRRMRDWWYSIPAAPVQLIKLDDKKKKKYLPPSSFSTKATSFSINLGHLSVSLCYSFYQKRTMTNKDDDSRRLFTPEDMTDGHKSLKRRKTTKKPNQENVSGITTGTAQTNSSVNRTCKPVPTGSRQSTFHSTDQLIRTPVTDRFTSYASYCNASHTQQTSKPVESGSCPSTFQSVTELPRTPFRDITNVSRNQKNAQQQQKPKGKAKQKKWEDVPLNAWSRNLFQQEFSQNHTNSNSVVYDEICEETRLKNATVTDEDLFEVEDSYDTNDDSSDEDIMEQTTPGLFNVFLKF >KZN12123 pep chromosome:ASM162521v1:1:51209219:51216055:-1 gene:DCAR_004779 transcript:KZN12123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISSAHHHATVDDFLFFKLHGFLNFKLKKLAGLDEIKPEKQLGLIKLISSEGFQYLYRLEDVDRHRPCIRYGDLIPITVRGPSFPFFDHVFIEFDLFCGAYKGKKQLHWEPLPHEVSVKSVFITSNDGTGQILIHMGGYANATVADLELRLLDNSAPRNVRGVVSASNSDLELDHCVNMLFMKESDNGVVGHDGLISLSRSLIGVPLHSELNVEISLTVDGHNHTRIISFDPVKEGVCEKFISNYHEKVVLVKITWIDISEYDSHKDLIHRMYDQDSPSQRCVTPLSVAKGLFEVEEEVAHEFALLKDQIKDTLAENPTSHLSPVTVNIWSKIIYYCKIHVDPSTAEISFDPQFVRQLDLVGLFNLSQIRLVHDTCYTPHFIAGCNTLLNQSRDTVPGRAANHLQIKSLMNLTCRTLVNKVKTKITEDIMKEFNVDDANIWSAKRLKRSFPSEDISHSEEDIPHIDSILRQRGKETFINKPLLVDVPISPVANARLDRWNPYFERCFSIFMDSANSVPSDPAQFEEATEWLNDLVNTDSGLACCLSSNDVDRLLMILAEIDDRKDLDVTIQSLAVRILSRALTHRTFHEYSTGAVPALVKLMSNPLTDLNIAGLMALTRLAYACPMCTQCILENGALEQAQEIANKIRYLPLPELRQWVAKFFAGICYRDLPDDKVKVALIISEDLFTKDSISHRHIVSTCYALQYLTYKRTVAIQGKAWDKLIRRLIGFIANCFRIDATAVACSPPVLFGSTVIGSSALGVVGNIARWGHFNQIQTLANDSLLLQCLRMMLCFKFKKFSKEACQIISNIAARSQPWIQDMLKANLIEPLYDLLENDVYESDVKMEAAWAIFNGICGNDYGQIDHYYGDSLPC >KZN11097 pep chromosome:ASM162521v1:1:41688798:41694490:1 gene:DCAR_003753 transcript:KZN11097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAAARVKSIYVYPIKSCRGISVPQAPLTPTGFRWDRQWLVVNSNGRAYTQRVAPKLALVDVELPTDAFAEGWKPTNNSFMVLKAPEMAELKISLSKPNGTADGVSVWEWSGSALDEGAEASKWFSDYLGKASRLVRFNEESQSRMVDPNYAPGHKVMFSDGYPFLLLSQGSVDALNKLLKQPVPVNRFRPNIFVDGCDPYSEDLWKEMTINKFEFHGVKLCSRCKVPTINQDNGTAGPEPTETLMTYRSDKVLRPAQKSQGKVYFGQNLVCKEFNTEGKRMSIKVGDPVYVHKLFSSCADAAA >KZN11854 pep chromosome:ASM162521v1:1:48745317:48748222:1 gene:DCAR_004510 transcript:KZN11854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCMKAFALFVFLSLAGLSLSERVQVKVRSVTSIAETDNNYICATMDYGPSTMCNYGQCPWQKVGILELDLKNKILANAIKAFNPLRLRVGGSLEDQTVYKVGLVTKCPHFKHNMNVRRFGMSNGCINMERWDQLNHFFNETGAKVTFGLNALRGKKLENKNSTLWVGNWNKLNAQEFMKYTISKGYKIDSYELGNELSASGVFARVNAGQYGKDMIILSNLVKKLYANFSDQPKIIGPGGFYDKEWFNEFLRVSGPGIVDGLTHHIYNLGAGDDPKLIKRVQDPFYLDKIAKTFKDISESIKRYGPWSGAWVGESGGAYHSGGRNVSDTFADGFWYLDQLGMASTFDHKVYCRQVLIGGNYGLLNTTTFAPNPDYYGALLWHRLMGPRVLQVSHDGSPYLRSYAHCSKDSERGDITVLLINLSNSTSFVVNTVNDLNLYPSEHIESFPPREEYHLTPEGGNIQSQVVLLNDTPLKLTKTMEIPNLNPALVSPLEPIKVAPHSFVFAVLRGFGAPACSSS >KZN08296 pep chromosome:ASM162521v1:1:5036047:5037015:1 gene:DCAR_000842 transcript:KZN08296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQLKKGSSLVDLYDINRGLLKKPPLKKRTKHHNSCGAPKCSTKLVNPERGSKRFLKWEMGKFFVAVLKILFVSAVALMTKRMVLGATVSAFCLFFLEYGGRFLYRLMRGLLICRTKKTSVSFTRTEWDSSEGGFVSGCSSLTVSSEEVCDIPADLDMCFSDFTNSDSNKKLEFEDRGSGEGILVSDQNCNCDGLGTKSRGSRRERVKSKMKKLVPKKIKRSMKKRSAVKRGGTDVLEDDNCEAKEYDTEVDASDDSEDSDVEAKAEKARDVRRFISKYWFLCFIALAGLFQGRSLAVVLTLFWCLIVKLVECTIKHRKLS >KZN11123 pep chromosome:ASM162521v1:1:41946976:41947469:1 gene:DCAR_003779 transcript:KZN11123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAIKIATTLLLIIMVVQFEFAATSDHQISQHCEYVCNEQRGDSKGGLDLDCYFPCAEQCGYYDTTFAFTLGSRKLGKGSYEGVQSH >KZN08120 pep chromosome:ASM162521v1:1:2906324:2907797:-1 gene:DCAR_000789 transcript:KZN08120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCISTASSGIYDIEHGPENVVYYQETGKTGDGIDRNGSLHSQQGSKGLNQDCGIIYQGYGMEHGTFCGVFDGHGANGHVVSKLVRNRLPSLLLNQMNNIMKYDTTSYDKKDFEKWKEACVSAFRVMDKEVKLLENVDCACSGTTAVTVTKQATNLGDSRAVLGKMGKNGIQAVQLTTDLKPCVPLEAERIRACNGRVLALKDESHVQRVWLPHEDSPGLAMSRAFGDFMLKNHGVISTPEVTCHRLTFSDQFLVLATDGVWDVLSNNEVVSIIWAAKSEDAAKAVVDAATAAWEHKYPTSRRDDCTVICLFFHSLHHNTESQTQPS >KZN11962 pep chromosome:ASM162521v1:1:49693597:49696035:-1 gene:DCAR_004618 transcript:KZN11962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLSHKLSPTTLKSLLHQNLYSQALKSSLSHQTPLLTDSIYALFIKSGSFLDHFLASTLISHFSLSGDFSRATLYLNDTHHPDTVVFNSLISGFAKHARPGPAFGMFRRLRKMGLFCDSYTPSCLISACVSFQENVVAHCVFLKLGFFCNVFFVSGLIENYCRLGFVREGERCFEECAVVDCVVWTAMINGFVVNGWFSEAREIFLKMRWLGLEINEFCLSGMLGGLFDVVEGEQIHGFLVKMGILCGCSSHVNNALMNMYSRFGYRVCAVKVFDENPRPDVVSWSGRINAAYDGLEAYSLFKMCVDRGLEINEFCITNTLSVVGGADVLGWGKQIHGLCCKDGYLTESSVCGALIFMYGKCGEMSDAERLFDEMVFQDSVSWNALISCYAENGLQDQAIALFSEMQKFRVEINKYTLASVLRAASRSDSSKQAMQIHSIIVKLGFVSDESMQTCLMTTYGKCNAITESKRLFSEIDRVNTVHLNAMLSTCIHAGCHADVLEFFQARWSQCLAVDHVTFTVLFKACGFLTNLDHGKTIHSLILKLGMDKNIFIKSAVIDAYCKCGSINDAEKALRDKDQHNLAAWNAMMMGYAQCGRYHEVCNLLSTMSECGVQPDEISYLSVLSSCCHAGLVNEAKYHLSSMFDLHGVVPCLEHYACVVDVLGRVGLLEEAERTISQMSIVPDAQIWQILLSACHVHGNIDLGQVAARKLLELQPENDSVYVLLSNLYASAGMWNVVSKLRRRMKAKIVDKEPGSSWTQVKGAIHYFFAADASHPECKEIYFNLQLLSNQMAEIPDEKEDGISCYADIE >KZN09832 pep chromosome:ASM162521v1:1:29804203:29804805:-1 gene:DCAR_002488 transcript:KZN09832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSVVHCCVIFVILLVNGVLANAVVNDDVLESKKDGKATAAEVESSKPRWFGGCGGNGGGPGGGAGGCAGYAGGGGGGYPGGTPGQPGWGGRGGNGGGIGGGAGGAGGYPGGTPGSHGKRPREGHGGRGSRHGGNASGGRGGGGSYSMPNPNGPRCGNIQMAEIAYGYLISYDCGNCNYQYTIDYTGMTTGYATVTCS >KZN10954 pep chromosome:ASM162521v1:1:40614961:40616222:-1 gene:DCAR_003610 transcript:KZN10954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWWSGAVAAAKKRMGQLEYASAPSRYNSVALVVGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPPWKVDERVDYIQCDICDLDETRLKLSMLQDITHVFYVTWANRPNESENCEINGKMLRNVLNALIPNSPNLKHICLQTGRKHYVAPGSEDHDPPYTEDLPRLDSPNFYYILEDILFEQVGKKEGLTWSVHRPAPIFGFSPYSLMNIIGTLCVYATICKHEGVPMRFPGTEEAWNCYSDASDADLIAEHQIWAAVDPYAKNEAFNCSNGDVFRWKHFWKVLGQQFEVECGYLEAGERLSLAEMMKDKGPVWDKIVKEKGLVPTKLEEVAAWWFADGMFSGPCPLISMNKSKEHGFFGFRNSKSCFIYWIDKMRSYRVVP >KZN12031 pep chromosome:ASM162521v1:1:50436706:50440231:-1 gene:DCAR_004687 transcript:KZN12031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSIIALKLKVLVNMAMMKKCFMLVLFCCFLCSFIVTSSAGDTLRANQTIENNETIVSAGDEFELGFFSPGSSKNRYLSIRYKKAGQAIVWVANRDAPLTDVSGTLVLSSEGTLKISKGTNSTIWSSNSSYSIKNPVAQLLKTGNLVIRAGDDPDAGNYLWQSFDFPDNTLLPGMKLGKKIAKNSSTARDWSCRSWKSSDDPSPGNFQVDLDISGYPQIFLLNGSVRYFRTGPWNGVRLSGVPTSGPNDVFVSQFVLNEHEIYYKYELVSSSMLTRAIIEPDGRVIRYTWTDQSNVWEQYIFLQADYCDVYAHCGAYGSCNIDKPSRCECLEGYQPKNLTSWSRLNFTEGCVPVTKFNCSTRDNFVKQLNKKLPDTRTSQYNFSLDLKACQKKCREDCSCTAYANTDISGKGSGCLLWFGDLIDIRDQGQSAQDFYVRFAASGSGTNSRSRARRIALMTWKSYNEDRLSELIDASILESSDQDEVFRVIAIGLLCVQQYPEDRPTMSSVMKMLTSNITLPRPKQPGFFTERKLEEADTSHSMLCLSSSNHSITTLAPR >KZN09716 pep chromosome:ASM162521v1:1:28727323:28732774:-1 gene:DCAR_002372 transcript:KZN09716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDDGVGLERESEDVDATEAGDVATDTPQRKQINTPVSNFEESPVFNYLNNLSPIAGVRSVHITQTYNSLNLASLPSVFTSPHVSTIKDSKFLRRHHLRDPSKLEFSSENVNKASKQQEVAGIFDDSSEVQNFSPVSASSKPPHLPNELSRTVTYEPVNLDSDVALCSRELDSGICQIGSEINIEGESHIDEEKEVSDCDWNNLLSDEGGLSIFESPIDSKSLNDPSQKSHEAGMSFRTSLFDDLPKNLAIQAVEPCQLSETDDMSFQHKESNMNEIAENHDLLVSLSSEAGDTNEIVDGELASNIQRGLRRRCLLFEMTGSRRKPLTDISNVGPITLSHSHDNILSNDKCLVPNKPKHDIRRCNLSGIGLHLNALASTSVNHKVGKYEELNTDKQPLSVFPSAVCLNPSNRQEQQKDSLVASSSEMDMLPAGEYISLVEDAGQASEDVLNEELVDNSAKKKRHVWQKVESGGEGESCKRCKCKKSKCLKLYCECFAAGLYCVEPCACHECFNKPIHEENVLAARNQIESRNPLAFAPKVIRSSESMIDVGEESGKTPASARHKRGCNCKKSGCTKKYCECFQGGVGCSINCRCEQCKNTFGRKHGSSYIRMEVELEKDVEGEACESVIEKNLQIRTVQNGAEQNTRFGLPETPLPSDRQLIAPPLSSKSMRPPRSTFLAVESSSQEFGKLNRSQSKFKKHINAQEDEMSENLQPIMGDVQSDSPNSKRASPLHGKAGFTPGLRSSRKLILQSIPSFPSLTPKH >KZN10476 pep chromosome:ASM162521v1:1:36070293:36072587:1 gene:DCAR_003132 transcript:KZN10476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFGAANSNVALSTPLHRTYVPRYQNCSRWNSGNDIIFSSQNKPLKLRWTSSTDLRSNLSSNGNLLSITLLNKYSVKLRAVSEEGEENAVVAAAAAAIKSDWASTLQLVVMFGLWYSFNIYFNIYNKQVLKAFPYPATLTALQFGCGTLMVLIMWGFNLHPTPKLKRSQVVPVLTLGLIHTIGNVLTNISLGKVNVSFTHTIKAMEPFFTVMLSFLFLAQRPTLWVVSSLVPIVGGVALASTTDTSFNWIGFSTAMASNVTNQSRNVLSKMFMIRDKTNQGLNLKDLLLKAIFTGICFHSYQQISYMILHKVSAVTHAVANCVKRVVLIVASVIFFRTPVSPVNALGTSLALAGVFMYSRAKLIKPKPKNA >KZN11737 pep chromosome:ASM162521v1:1:47614935:47624370:-1 gene:DCAR_004393 transcript:KZN11737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQEVLGSSLTMEKVAAAKQYIENHYKAQMKNIQERKERRWMLERNLASSDVPVEEQKNLIKDLERKETEFIRLKRQKTCVEDFDLLTIIGRGAFGEVRLCREKKSGIIYAMKKLKKTEMVKRGQVEHVRSERNLLAEVASNFIVKLYYSFQDDDYLYLIMEYLPGGDMMTLLMREDTLTEGVAKFYIAQTVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLSKPLECRALSTLNENEPMYDENLRDPMDIDGSIPNTDNGSRWRSPQEQLQHWQMNRRKLAYSTVGTPDYIAPEVLLKRGYAMECDWWSLGAIMYEMLVGYPPFYSDDPASTCRKIVHWRTYLKFPEDAKLSHEAKDLICRLLCDVEHRLGTGGAHQIKAHPWFKDVLWDKLYEMEAAFKPEVNGELDTQNFMKFDELIPPAPARTSSGPTRKIPSTPKDLSFVGYTYKNFDAVKALRNSSGVFHISILQSSLAMSIADSDVMRTKFYRQFFPAILTDFMSAPPEQPVIDSIHGNSTVDHTIKWKVEEPDVQMLATTSGAMSP >KZN11116 pep chromosome:ASM162521v1:1:41882126:41896812:-1 gene:DCAR_003772 transcript:KZN11116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDNDSSTMINRNWVLKRKRGKHPYSPDTSNSIKSNPLPPEPAENASSILEGKSETISCRISSKKKGNDGYFYECVICDLGGNLLCCDSCPQTYHLQCLDPPLKRIPNGKWECPTCSVKSDSQDLKNNSDPLPKRARTKTTLGKSDVGNRSVDTDKKSIILGSSKLQKNQSSSKGKSLSSHLTQSIGENLVSANVIPSNKPSRLSSDGSAEGSSPVLKVANDNLPELSPTATTKQAKSVSPTEASGARLGTDKNEVILEKMSDASNIVESLEKEVVPVLDAATRKFRKRKHKFYIDDNQKKPKAGKNSSAVNTLEKQEEENSGSCQIKKPHSKPALKGKSSRTRASNKPQRKHVAEEKSFSSQPSRTRRKHKKVSDGAPASLLKNDLSTEIDIPSRDECCNYLIKRKEANITNEWKDQNSEMKMVSEEPGQNESHAAKHPLVEPLISEDIPPGAQQVDRVLGCRVRGSESNSSHCSTLVDTHDLPAKTSLSSDDFNNISENNTSYDTHMDGGATVHRSKAAQETLNQFNEVKNVNKGSDANKINVYRRSMIKECREGGSMDTMPNDKQCTESNALNTKNEVISAWSTEDLEQTAEKKSMGESTNNTSLDNDAGSGSPQMARPQGHEDAQKVEMEITTDCVPETIVKDPLMPQLACSNGVMVEYEFLVKWVGKSHLHNCWISESHLKVLAKRKLDNYKTKYGRSLINICDERWKRPQRVIALHSIADDSKEVLVKWTALPYDECTWERVDEPVITRFSKLVDLFNQFECRTVENDTANDDMLRGKGGLHHGKIEPLTEQPKELAGGSLFPHQLEALNWLRKSWCKCRNVILADEMGLGKTVSAAAFLSSLYFEFKARLPCLVLVPLSTMPNWMAEFTLWAPELNVVEYHGSAKARAMIRKYEWHASDPSGSNKQTTSYKFNVLLTTYEMVLVDSSHLSAVPWEVLVVDEGHRLKNSSSKLFGMLNTFKFQHRVLLTGTPLQNNIGEMYNLLHFLQPASFPSLSSFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDVMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIQGSEPETGSPEYLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTRLLDILEDYLNIEFGPGTFERVDGSVSVSDRQTAITRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKRKLMLDQLFVNKSGSQKEVEDILRWGTEQLFNDSSSTSSKDVGENHISKDEAGVDIEPNRRRRTGALGDVYKDKCTDGSSKIVWDENAILKLLDRSIIQSSSPDNADGDAENDMLGSVKSLEWNDEPPEEQEISESLTVVNNDNSVQHSGNKEDKVVAVAADNEWDRLLRDRWEKFQIEEEATLGRGKRQRKAVSYREAYAPNPAETPSEMGTAASSYSLVLVVCSSAAYLGSVLFPLAANHRVSFITLFDGRHTLPGGGNGGGAGGGDEVREPEREYTPAGRALKAKFAKLRARQKERLSRSSAINASGPALGSSLIETSMVPSSSAPERHQMTKLDHRKSEDFVAIDIEGNNRHQKLEAQKTQPGLVARLSKLPKNKMRSYEDFPGQAGENSLPNNNLLPVLGLCAPNASQMESSERNISKSYRKQNKQGSRTGFPFDIAPLRETSTETDGKPRDLASENFILPKASLEALQHGLKLSKPDTYAQGIDQGVLEFEFETLNSFPFPQGNGPNHLDTRGPSSSEFQEKSALPKLPFDEKLLPRFPFPATNVPHPHPDLFPNLTLGSRAGNISDSVRGLPEMPFLPNLKLSREEPSRYEQHGIEVNPLLGLGQMPHTYSSFPENHRKVLENIMMRTGSGPSNFLKRKGIKDIWTEDELDFLWIGVRRHGRGNWDAMIRDPRLKFSKFKTPEDLLIRWEEEQHKILDIPTLPVQKPFKSSKSAKSPLFPGISDAMMTRALHGSRFAGPKKFQPHLTDMKLGLNNQPSYLPHFEPTEQHDLPSEHIPSDSTWKANNHQKRFLGSSSVRQYDRPGTSNLHNEQPFLLDMLGGSSFGSPGLNCSSSFNIQKQENELCVSRSSKLPTFPEKSHNILRDSDNMGSGKLKTSALLADPDKDQIMVHSKLKDIAGSSSKNELPHWLREAVGGPAKPVPGVPPSVSAIAQSVRILYGDDNSTISPLFTPALPPAEPKDPRRTFKKKKRRRDRARKLKKFSQDIAGTSHRFQCNVQAENVDSPHPLMPQLSAAMPGLPCLEPSVNMPPPRVNVSTLSAASVYSLAQKNKLTETVPSSEVLQLVASGVDPRPPETSSKSLVDPRPPEPSSKSLESSFPLPDSAEQVILPVSESAFEEQKGKEFQSSVMSPGTKEKAGQIKSRDSSETHSDPIQREAGETSSEGTVSDHCRSEQEP >KZN08331 pep chromosome:ASM162521v1:1:5368869:5370533:1 gene:DCAR_000877 transcript:KZN08331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYDVNVEEGGQIEVNIDELKGEYVNMREVEGQHGQSEGGVGSNSDSMMMTSGRWWCLIWWWAKLILLILFLGVLAAVFFKWVGPFFMDKEIVPILNWETTTFSKPVLAAIVFASVALFPVIFLPSTPSMWVAGMTFGYGYGFLLIIGGVTIGVSLPYFIGLLFHNKMQEWLQRYPKKARVIKLAGEGNQVYQFQAVTLIRISPFPYIVFNYCAVATNVKYIPYLLGSMVGMVPEILVAIYT >KZN08867 pep chromosome:ASM162521v1:1:16938905:16939330:-1 gene:DCAR_001523 transcript:KZN08867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPASSHQWTPDVYERLQFIR >KZN07856 pep chromosome:ASM162521v1:1:183445:192352:1 gene:DCAR_000525 transcript:KZN07856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTSGFGHLVNADIGSMGIIDHKGGAPTELPPHIAVIEDTISKLKQHNVSYEEAKRQLDFLEKGGDPLDFRANSASSLSAQSTLLMDHRHDHLGTSIVKDRLAVAASPPKDSIESNSKTQAPLDDNTGRNDFLKGERNCKHSPRRIIGQFEKSFRLGGIENSKESIDSAASGLRRKAYRQRNRSRSSRDGTQSSLSDMVSSHGVHTHLPSQYAARDAHGLVINEDNQKDYDVCLKSILNSTSPNYNSAPKKKKLNRFEAAGGVQSLHSNVDMVRGGVPDANASNSLPDNQHNEYSDSALRVTHVNKAQFEDSQEVHKKADLAGHKCASFLGKPKVEGQVNLAQMKGIIRIKGEKMSTLNEHQIGNAVCGARGLNLESSCNQTSLVLERNLDSKFCTSIRIGCSKGNDDQETVAIEDTPYKGGNKLKETDVAKFKEARDITSDNNNCFREQKNGYILKNKQALNENLSGSLIELDRVPTVGVVPDSFTSQGNKRLSNSLSDPGFISKYGNAYTSELRSIMESSIQETSDTKLSSRVCAVLPEHQNCHQVTSKLATMECKDLILEDERMTERITDISAHTLSLEFCRRSQWDFVLEEMAWLANDFAQERIWKISAASLIGHKASAAFPLKSHEQKSCKRQKEVAHYLAEAVVNFWRAIEERNKDQNLHKPGKDFSHAIQRYALRFLVYNTCTVQPAQADSPIILHRISDQNIQDMSWDTYLKEENLFYSVPTGAMEAYRKSVVSSLLQYEKTGSMKEEVETTCHDTLAVGNLFSVVNAKRCADTLNVSIPTKRVRTASRQRVPSQFTETSGCVQAQSKTYASSGDTTSFLDDQSTLYGGLHTPNTYDSAEILHEPKKKEANIMAPGGQPGLGSPWSLFEDQALMVLVHDMGPNWEFVSDAIGSISQCKPTQNLDHQRQTMISKLHTQVSQGSTIGVPPTGGSSAYPNLKTQTPSHLYPLHHLPHPMSTHQSRVLGNSDTPHLQKLNQTSNTDHQACVSRLAREKHIKQQPLLQQQYATSCALMPAVQRKSQLPLSSPQDISFQPQTSSPPVSLSQMNPFSKHSRGHQLPPQGAGINPQVGRGLTNQMGKQQECQPQQQQFQQAVRNHPQLHEQSRSQQQTKTIKGIGRGPKEHAVVNGLSIDSGSQFEEKGELAMQLVTTSQHTLPQQDMYPDQFYPHSNTDNSIQNHVVSTMDATVQTVASPFVPSFEQQKSLLQPQARPQLHAEPNSNIADQTHANIKNIPDWNRQVNASPPKKKQDVEAEPDLSSVNNYSPQMGTSTSVPVCVEAAYANSVVSAKVPQWKAPETESDSHVLHQAEFCSIVSSCLTSSGGEPRPLPFPGQGLVQSQSSVSFTPVTETVTAAAVNTIGSN >KZN09551 pep chromosome:ASM162521v1:1:27015329:27016054:1 gene:DCAR_002207 transcript:KZN09551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFGELLGLSLGLQGREEGKTILEFLKERMDGVDRLERRKSLAQRLGFKGMGCCGATWGVRDSDISVMDDDDRSYDFDSGPPLTNNQTEQQAEDVESGRVDPVVSPDPGCESGAPVVAGMNLADALAAERQFRAGDEGEDGSGLSPTRPDNVPDTPLRVSLMRLLEETDGGDGEEVEEKGVVGSTGSADSVCCVCMGRKKGSAFIPCGHTFCRVCSRELWLNRGTCPLCNRQIVEILDIF >KZN09557 pep chromosome:ASM162521v1:1:27080258:27082930:-1 gene:DCAR_002213 transcript:KZN09557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVGDSRGDTQAKKAKSSFGEDRWISLARRARQGRDPLAEEEIVDLQNCIMKFWFLLDSDLLGVITKGDLRWADVAMARIDYLEAHIDQVLLGKCLEGDEESLCELHRMLMNEGWWDRAENLDLRKTVWRRDESWDEMVGLQERELKEYILSRSELVHPNVISMVRKEDREGIRMALNHIHYNSIRESRSVKQMKAMVKEGKELKTGNHDSKAVEGEGINYKSALLKTRGSMSERPIEVPKEHKKVVRPQLGINVENERKNSTVFLHNLPEDLNRMVMWRFVRQWGRVIDCFTPNRKDRLGKRFGFAKLQSMQEAELFKNKINGKILAGNVIRAQFARGKKVSNLKQKKGNYDKEYKEPSHELNNRQTESKDPRQPSEDALVERKEKPLRLEAVNKELVNDLGRSVVVQTWKDSSVVEVLNTAEVLGYQGVLVRGLSSRKFLITFPTMESFLNMDQELFGLGYLGCHQARLDDLVLPRKVVVQCLGLPAVLWELSNLTKLLMGIGDITAIGEMLDEELRFQNPLIELETKETTRINRQILVEYDDLAFQMQILEVENAMIQVNVLEELRKEELVEDMMEGGDVTKDKDNETSKEGDGSAHGNSDNALEFGDCASDDYLVGNVENEREESEHEMEDAEGSVEIVYETPVNAVEVTGKKQSLSRESSIQDCQKEMCNSHGLTNSSKEVLTCYEDQITSKEGVLSNCREIIVKETRAEEDVQLDDCMGGDHIRNSNANGEIYNKEIVVASAQAGDQTQLSDCSIDDRIWHTRDDDLSSVSSKLGQTLSWEDSNVSSREFEDEPRSDESVRIMEGKMIRMNLGRKRGRPAKRKTNKVNLPFALKNKEQPVANITGKSEAEKIYETCLLMGLEGQVNRDEAIRKIADRLSVR >KZN10682 pep chromosome:ASM162521v1:1:38139326:38145802:-1 gene:DCAR_003338 transcript:KZN10682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFDQIDAIEKERSQKDETLQRIRAWRESKNKQKGEGNVGSDGLGSGASGVKVNEVEEREKGVGIGGGGGLMSKEVELVHPWPEWIELMERLVQQNYFDHKRKDEDKMIEDLGFKMEEVVDQGFDFTRDWRTVQTACLNFGKDRFDILRSLSRQDIQVLVGHGCPSTDKKVVFSAKLLRKHVHLDEGDVCSSCSLRSSCERGYLLTNKEDEARTIDIMRVLLAYGFDPVNRSVVNESILKIKSVKTVVRKLLHHVVKLSAVPIDPNLPPPVIKRPPPKVKQPPPPPRRRVGRDDIEMKKGDWLCPKCDFMNFAKNSICLQCDSNRPKRQLLPGEWECPECNFLNYRRNVVCFHCECKRPPDEYTENKMQEKQYGSRTRPEKISTRKDSWNFDFDDNESDGADVASFEHADSHKLNEDFPEARWDERENRRRSEIGFQTSNMPPRTLAKEYSDLGQQPGTGFDDFHDEEDDLESYELDNQSRDQGQGTGTIDFSEIEADSESDDTKGPNDRFRATRRTSLPRNAKAPRPMRRNAAFSGSEGNEIDLDSDQDIPVHPNWKSSHVANSQRRLKGRAAKDFDSDEDIGLTSGSEDERNHQSRNSIGNKRGTNRRDFGRRASHSSEDFSGIESDDEDLKSHRDQGNKESFDRKKNLARGRGGFDGSERSGFKSRGIMGDRSRSSRDKFERPSRSPRGNDKRFERDDFDGRARFDRSERSGFKSRSTMGDRSHSFRDKFERPSRGPRGNDRGFERDDYDGRKKGSGGDLRNFNSPRREGFGKDQRGRNNFDRRAAVGGSDSYYEDERPRRPRNNVR >KZN10282 pep chromosome:ASM162521v1:1:34184501:34187208:1 gene:DCAR_002938 transcript:KZN10282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSAIVILLLALASFSQNELVVSGHSICQKTSSGADRPHSVTITEFGAVGDGVTLNTKAFINAMYYLTSFADKGGAKLFIPAGKWLTGSFILVSHITLWLDKDAVILGSMISDNWPVIDPLPSYGRGRELPGGRHRSLIHGSNLTDVVITGDNGTIDGQGIIWWNWFHSGMLNYTRPHMVELIDSKDVVISNLTFLNSPFWNIHPVYCSQVVVQNVTIIAPLDSPNTDGINPDSSDNVCIEDCYIRTGDDLIAIKSGWDRYGIDFARPSTNITIHRLIGETNVSAGIAIGSEMSGGVSEIFAKDIQIFNSKRGIAVKTSLGRGGYVRAVYISGVTLKNVQVAIEFNGQYGEHPDETYDPQALPKILEVTIHNVTGDNVTVAGHLEGIKGDDFLNICLSDINLRVTSGSPWRCSYVGGFSDFVSPDTCRPLKRKIYPESNCYLLSNNLRSVSIPNRIPRWKSW >KZN10074 pep chromosome:ASM162521v1:1:32364644:32365869:1 gene:DCAR_002730 transcript:KZN10074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKMTLEIFSKLEQKWLYEGKKTRILSIDGGGITGIVAGESLIHLEEQIRAKSGDSNARITDFFDIIAGTGIGAVFATMLTADDGNGRPLFTATDAVKFVTEKHNSLYKAQSSGVFHRKRMFSGGSMEKVLKQALTREDGKVLTLKDTCKPLLVPCYDLNSSAPFVFSRADASNSPSYDFELWKVCRATSATPSLFKPFSLSSIDAKTSCLAVDGGLVMNNPTAAAVTHVLHNKLDFPSVSCVEDLVVLSIGNGQLTSPAQTKLRRNGGCVADSVVNIVLEGVSETIDQMLGNAFCWNRTDYIRIQANEGNGGVRGVLKERGVESLPFGGKRLLTETNGERIGSFVQRLVASGRSSLPPSPCKDNGVDVLVNGR >KZN12094 pep chromosome:ASM162521v1:1:50952644:50953161:1 gene:DCAR_004750 transcript:KZN12094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLEIDSKNVKALYRRAQAYINLVDLDLAEFDIKKALEIDPANRDVKLEYKVLKEKVKEINKKDAQFYGNMFAKLNKLEPFDTEKTAPKEEEPMSVDSKA >KZN10867 pep chromosome:ASM162521v1:1:39712470:39714482:-1 gene:DCAR_003523 transcript:KZN10867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWRGVSTKGEPFTSFNLLLLDNKRCRVHAFVPGSVAASLEPILEIGKIYLFNNFTAKDYKADEKFRPVHKTWQIVLGQETKITSLDENEVAIDKAAFDFYDLADLKDLANQSTYLTDVIGVVHEREIQLGDIKNRFGVQQRQIKITITDGRRMVKVTFWDDFAQLFADAVKKNTFEYPLILIVCCGRAQEWQKQINITNVTATTFYINCNHSSVAHMRKMLSQPEFEEYNKSVPKWKPIQMLSINQIKNVKADDSETEVLCKVIIDKVMQDTWYKDICTSCYSKLQVVGYEMNCLTCPRTVPYADKWFEIYCIASDATGSIPIMLDNFSAMKCFGKRAYDVYNKGNEVFPDIIKSLEKKLYTVKIMITIHNITGRNKVYTVKDMVPGHILKTEASESEDTTPKPVQESFAEPSSSSYHLDSVSENI >KZN11552 pep chromosome:ASM162521v1:1:45670890:45673430:-1 gene:DCAR_004208 transcript:KZN11552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLGLFTFTAAYLPWVLLGFSVLVGANAWVDLLRIEIVGMIAGHAYYFLEDVYPKMTGRRPLKTPSFIRSLFADDPVVVARPANVRFAAPPVDEGH >KZN09391 pep chromosome:ASM162521v1:1:24505443:24505664:1 gene:DCAR_002047 transcript:KZN09391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILSNKNIPKQPFSSLPLSLLLLSLPLPVSKPESDFLVVLSPPSMSNLHDHDKANPTNQSSGVAQKSLNQNG >KZN08302 pep chromosome:ASM162521v1:1:5089284:5090937:1 gene:DCAR_000848 transcript:KZN08302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDIQAVIFDTWSWLWLLIHTNDSAYAVAVTVTVLLVFSLFSWIFLKSKKISPPLPPGPRSFPLVGNLLSLDPNLHTYFASLGKTYGPIVTLWLGHKVGIVINSPVIAREVLKDHDTTFANHDIPVAGFESTYGGKDIVSTPYGSKWRMLRRVAASEMLSKTALDAVHGFRRKEIRETIGHLYSQKGLPVDVGEQMFVTLMNVITNMMWGGTVKGEDRAGLGTKVRLMVSEMTQLLGKPNVSDFYPGLARFDLQGVRKKMKVLAKKFDNILETVIKKRQEMDGKESRKDFLQFLLKLKDTQDAKEPLSMTRLKSLLMNMLIGGSDPTSNSVEFALAEMMNKPQIMQKLQEELDSVVGKDNVVEESHLPKLPYLYAVMKENLRLHPVLPLMVPHCPSETCVVGGYTIPKGSRVFVNIWLIHRDPSIWENPLEFIPERFLDGSWDYTGQDLKYFPFGSGRRICVGVAMAEKMFLFSLASLLHSFDWKLPEGQKLDLSETLGLVLKKQVPLVLIPTPRLSNPELYQ >KZN10297 pep chromosome:ASM162521v1:1:34313615:34317891:-1 gene:DCAR_002953 transcript:KZN10297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDGNMVDPSNGYSASTKTFHSLRPVVPLPAENTPLSAAAYSLSLQRTTTATHPTAIIDSETGHRVSYSEFTHLTQNLASYLSTQLKLTKGQTAFILSPNSKSIPVLYFSLLSLGVIISPANPVSTAAEISRQIQLSRPVIAFATSDTSHKLPALKHRTILLDSPEFDSMMRSPRNSSYKQAVHVAQSDTAAVLYSSGTTGQVKGVALTHRNLIAITCSYQVDEPRENPSVIMLTAPFFHVIGFFYCVKSVSLSETMVVMKRFDMSKMCRAVQDFKITQIVGAPPVVVAMVKDSTTDGFDLGSLEMVGSGGAPLGKDMIAAFKAKFPDKELFQGYGMTETAGAIFRSTSPEESLHRGSVGKLTGHCQARIVDPESGNALPPGKLGELWIRGPLVMKGYIGNPQATSETLVGDGWLRTGDLCYIDNEGFLFVVDRLKELIKYKGYQVPPAELEQLLQSHPEIVDAAVIPFPDEEAGEVPMACVVKRSESRINESQVMDFVAKQVAPYKKIRRVSFVNSIPKSAAGKILRKDLRKAATLGSLSKM >KZN10753 pep chromosome:ASM162521v1:1:38648598:38651610:1 gene:DCAR_003409 transcript:KZN10753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATRAGYWKATGKDRKVNSQNRAVGMKKTLVYYRGRAPHGLRSDWVMHEYRLDERECETASGLQMQDAYALCRIFKKSLVAPKVEDRNYCVTANHNSSRNIQIYSEGRGEENMDISDYAMPPLSPYTSTNNFVRHGPPHCSSSRSTADARWMQGLSDDSNPFTNPAFSDCNPGSYQPSNGVQLECARMQQGLSLPPLQVHDFPQAGFVSLNNTQPSSAMYGNTVTNHQQDILNEIISVAQVSEEMMNQNSWIKENGSAQDDFSFLNHNNQDQGMNMARSIEASGSNEQFNNQRMAENLRWVGMSGNDYEKTFLEEYKTVPIQNIPDFQRAEHEVKGETSHLYNFDDPEGNEDFSLGLLVDDNPDDCNFLLDEADLGDFSSSQVIEVYEKIEVNQGLLVSTRQVPETFFHQLHPSETVKVYLNPVKLNHFPIAKVLASTTKPKDIHLSDKFKATKPCRKRDNPVISLIAVIFTYFLHFGQHCDRSVKGWNGIETRDYDEEQKVGFVGRMVGSSSVVVNKLWHCLSFALAISTIWMQDQMYSMITGGPIYSLIPVRPVKSRGSMPCKI >KZN08396 pep chromosome:ASM162521v1:1:6119513:6126768:-1 gene:DCAR_000942 transcript:KZN08396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATHLLFSASSPTFQDLELQAKVTSKCFFEVEIGGESVGKITIGLFGEVVPDTAENFRALCTGKFSLANTYIIGEKGFGYKGCSFHRIIKDFMIQGGDFTAGNVCASLPLPLFLLPPPSLPLSLSVIKNVISQVTGSLPPSFPPSMCDTKCDITGTGGISIYGSTFKDESFALKHVGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVIDGMDIVEKLESQETSSCIMGKTVAMAFELSACLLLLFSIGGATALSSSGNSLEDGLPQPQALMVPLTIISSDIASPKGAVCLDGTLPAYRISRGFGSGADNWLIQIEGGGWCDTVRDCVYRKTSRHGSSNYMEKEAPFIGILSNKAEENPDFFNWNRVKLLYCDGASFMGNSEDKEARLFFRGQQIWSAAMEELMSLGMNKAKQTTKVKCLSDAGMFLDVIDITGGRTLRNIYNSVVNLHGLQGMLSKTCRNHLDPTSCFFPQNLIADIKTPMFLLNTAYDAWQVQSSLVPPHSDPHGYWKDCRSNIALCNKSQLQFLQDFRNQMLQQIKAFSSSDQNGLFINSCFAHCQSEIQDTWFASNGPKVNNKGIAQSVGDWYFDRTGVKAIDCPYPCDKTCHNFVFE >KZN09426 pep chromosome:ASM162521v1:1:24869148:24890532:-1 gene:DCAR_002082 transcript:KZN09426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNFNSNNNASASSQENGFVDSCAPLNSEKAVQEIIKPLVQGTDDHLLEFSEVMRTVTKALRQVAEAKASAKAEAAEWKRKYEIERARYMNAENRGNKICAKLGSCCTQACTNISRGFLCIILPCLVFWDIWKECIGQVSGVLSEEHISEPNGQRPENLANHVSLSKKAGDQSENICGNNGIFSHEVLLDGETERDSKVVQCKFKSKASFKLSWCCKGEKSDQHKHDIVSFDKGNITTAARSSKQISLKWESPPQTVLIMTKPNSASVRILCADMVRWLKEQKHLTVLVEPRVKSELLAESSFYNFVQTWTNETEILLLHMKVDLLVTLGGDGTVLWAASMFKGSVPPVVPFSLGTLGFMTPFYSENFRDCLASILHGPFNITLRHRLQCHVIRDATRNEDHSEDAILVLNEVTIDRGISSFLTNLECYCDNAFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVFVPLNSRSNAWASFDGKDRKELAPGDALICSMASWPVPTACQVDSTNDFLHSIHDGLHWNVRKTQSFDSPRVG >KZN11362 pep chromosome:ASM162521v1:1:43998977:44005828:-1 gene:DCAR_004018 transcript:KZN11362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSELPKAKGDRIEGNGNTSRVHFSKSRGGISSEEFESKTKPILQYWFLLETEMYEAIMKGNLSLMPVATARVNYLKKVIPANLLGEGIRGEEDALWRIHRILRNNGWWERAGNLVISEQSQGMPTNSDPLYQFLQLHSHLVHPNTRKLVAKGVREGIRMALNQIHYGSIEEARKKKTGLSPKDDTNVARPSKFPTSVTTIPSKIMVEFISSFRHLVEPSVYRDAMRGHDKALSLALGQIHHKTLPLDSQQVHKNSYKDILNLEREGSIKMDKSEPQQNMTPPSGKEITDTIFFTGMNSSVKVIEIWQHFKKAGKIRDIILPRKRDRFGNRIGFVIAHSVSEAEKIIRSLKGSKIGSSELYVAHAKKFSSASSSRKATSRGSTFPAQSPKKGLDKPNPHVVDSNCAKSKLPPYVVPPIRLEANEDLKSELDNCLCLITAKPETVESVEFIVEGLGFRDAVIRGMSSTKFLAYFDSLALISEEDLDFLSIGFMEVRKVKDTDLIPPRKVWLEFRGLPIIGWTEQNFAQLVKNWGPVISFGQILDKVDCYCLPKLLIETDCLSTIEEEVEVEISQKKWCITIKESHADELQYEVGPSVLPDIEKDISEGDLNGEVMDNAATHNSAHLNDRIMDDIISHNPDIEGDNISEINDTSDVEVEDSLLNPLTPRPSTSSDHIPTSGTRDQINQSDHSVEKVEIFQTANWKPREKESSISMVQMQSDQDKSTSDYNPVDDLSDLCSSHPSILKDLKNLKVQSKRGRPRKPSSKHLNKHFKVPRKKKHGVSVFTLLDSSFILAKFVGGNQAAKFPAQLVG >KZN10098 pep chromosome:ASM162521v1:1:32610886:32615085:-1 gene:DCAR_002754 transcript:KZN10098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLFQLTSSAPSALFPPFSSPPLTLSPTFFNFNSVGCVRLRVVCRSKKAGEKEQQQVEGLPEEYYDDEWQARQREKTKEFHRKRQEEEDEEERKIDEYREIGFRLKNYPEEEVRNAKKLVSSFIKSAEEVEEKIEEAAEKGELNELVLMVIWNRLDLARRDEEKDAVRSLDLLYRRVETEILKREATPAMRLLNDLLIMHDGFDDDGWLKACKKRMIDTFPREDPFSILVPAGFDIDKHEGPLTPPLEADDILLRVDFVREVDELLREVRHEQSQTPGGQGFDAESVARRLKQQEKQKAIHQVEAVLELAINLQW >KZN10369 pep chromosome:ASM162521v1:1:34992178:34995370:-1 gene:DCAR_003025 transcript:KZN10369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCSLMAAATITTSTSLRASKYKYPSARLITRASSSTSTSPLSAAAASAPSPLVSSILSKVVQTDRGVTLKTDEHKKVAELAQALKEFCVDEPVNCPLIFGEWDVVYCSNPTSPGGGFRSALGRIFFKTKEMIQAVEPPNIVKNKVAFSAFGLDGEVSLNGKLKALDEKWIQVVFEPPELKVGGLEFTYGGESEVKLEITYVDEKIRLGKGSRGSLFVFQRRQPSS >KZN08810 pep chromosome:ASM162521v1:1:15726809:15728264:1 gene:DCAR_001466 transcript:KZN08810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQTLQVLTPYKMGNFHLSHRVVLAPLTRQRSCGNVPQPHAMLYYSQRTTKGGLLISEATGVSDTAQGYTDTPGIWTKEQVEAWKPIVNAVHAKGGIFFCQIWHVGRASNTGFQPNGQAPISCTDKGIDEAEFSTPRRLSTEEQRKFLKL >KZN09744 pep chromosome:ASM162521v1:1:28991541:28992735:-1 gene:DCAR_002400 transcript:KZN09744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFQHYLLLSLVIFSYLSIHHTAKCDDEEESLLLGINSYRATLKLPTLTDNDKAECLAEEIAEQFKNTPCSNTTGANTVPGTEPQFSDFPALLNKCKLNVTTTRDGTIMPACVPNLVQDVVLSNYTQSQYAAFLNDTKYTGIGIGSDEDWIVVILTTGTPTGNFATGSSVPDNFAAKLSPIQPMLCIVIGIFYLIGAY >KZN09061 pep chromosome:ASM162521v1:1:19717237:19718130:1 gene:DCAR_001717 transcript:KZN09061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQADDVESLSMVGRSREERLEMFMSTFNDEFKACWDSDDAGVDPHTGRRPMKFRDFASHQLDMIETDGFDMQDYSEFVVPGTLVRLYDPPKILANAKCVTKLQDCSCEAIIEYNKKHGTHYSNVCVLKANSEPLAPCRYYITFQATDRKRKVETFQARVNICFAEFRTDVKFEKEVELVRIKTAPKFFCYS >KZN09423 pep chromosome:ASM162521v1:1:24851384:24853489:-1 gene:DCAR_002079 transcript:KZN09423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCTDNKPQLMVKYQSFKEEENTSNISTDLVVVGNDSSIERKPNIRRQAAVRINEKNDIECLPGYRFNPFDHELLVHYLWRKVRNQPLPHNKIAEIELYKYNPEDITQVEQGLVDKEWYFFTPRDRKYKNGLRPNRAAGNGYWKATGADKDVTCKGVIVGHRKALVFYNGKAPKGDKTNWIMHEFRVKEEQKEQQRIRTSDNDMRLDDWVLCRIYKKDDRTRRAPKQSHADNSFDNSLDESSPSNQNAVAATRNDNYETGGDLCYDIGGNNPPLMGQNVVVQNPQLMGVNDLRSITDLRSAIAMNNNAHFTNHYVDTSMHTPYLANPPAYSNPQPLRYIAQSSFPTYSLENLPYLDSSFENWGNTGQYYSPVENSFLNDSPNDPVLVQSNTGHVLQSISRNNIIVSENNSDIITPSNDNSPS >KZN12146 pep chromosome:ASM162521v1:1:51443309:51443614:-1 gene:DCAR_004802 transcript:KZN12146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQQPTATDIFDQKVQEYVFSGSKLSPAEMALMEKRIEEREKLQNRKHDDFLECETNTETEYDSEPDDTDVFMMQGIKNPGSGEEVGVTDPAAEGFIDQ >KZN08295 pep chromosome:ASM162521v1:1:5028336:5029958:1 gene:DCAR_000841 transcript:KZN08295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISLTLSTLLFSTLVLHVTSNHIQDSFYHCLALNSKASPIPFSSAFFTPNISSFSTLLQSSAQNLRCLAPSVPKPQLIFTPLHESHIQAAVVCAKDLGIQIRIRSGGHDYEGLSYVSEMEPPFVVVDLSRLRSVSVDIEDNTAWVQAGATIGEVYYRIAEKSKVHAFPAGLCTSLGVGGHITGGAYGPMMRKYGLGADNVVDAKVIDANGKILDRKAMGEDYFWALRGGGGGSFGIIVSWKIKLVPIPENVTVFTVPKTLEQNGTKILHRWQQVAADKLDEDLFIRVIIQAVNSSKKGQRTVQHLYQALFLGSTDRLFKVMGEGFPELGLQAKDCMEMSWIKSVLYIAGYPGTTPIEVLLEGKSSFKNYFKAKSDFVKEPIPESGLEGIWTRFYEEESPLMIWNPYGGKMSEIPESEIPFPHRKGVLYKIQYVTTWSDAAKDSVPRHEDWMRKLYNYMSSYASKFPRTAYVNYRDLDLGMNKYGNASFIEASSWGNRYFKDNFNRLVRIKTKVDPENFFSHEQSIPLLPEPNYEQLL >KZN09996 pep chromosome:ASM162521v1:1:31482229:31493780:-1 gene:DCAR_002652 transcript:KZN09996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHSLAVVLQAALSPNPDQRKAAEQSLNQIQYTPQHLVRMLQIIVDGNCDPAVRQVASIHFKNFIAKNWSPHDPDEQSKILPSDKDLVRQNILVFIVQVSPLLRAQLGECLKTIIHADYPEQWPSLLHWVKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVHHIVQETFPHLLSIFNRLVQIDNASIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFLNILERPIPLEGQPADPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPENRAFAQMFQKGFAGKILECHLNLLNVIRVGGYLPDRVTNLILQYLTNSVSKTNMYNLLQPRMDSLLFEIIFPLMCFNDDDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKENLQKFVLYIVEIFRRYDEAAIENKPYRQKDGALLAIGALCDKLKQTEPYKSELERMLLQHVFPEFNSPVGHLRAKAAWVAGQYAHIKFSDTNIFRRALQSVVAGMRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWKCMHTAEADDEADDPGALAAVGCLRAISTILESVSRLPQLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFYSPTISMDMWTLWPLLTDALSDWAIDFFSNILVPLDNFISRGTMHYLTCKEPDYQQSLWNLISTIMGDQNMEDSDIEPAPKLIEVVFQNCRGQVDHWVEPYIRITVERLRRTERAYLKCLLMQVIANALYYNAPLTLNILQKLGVATEIFNHWFQMLQQTKKSGVRSNFKREHDKKVCCLGLTALLQLPAEQLPAEAMLRVFKATLDLLVAYEDQVAEAAKELETEDDDDMNGLLSDEEDDVDDSDKEMGVDAEDGDEANNKTLQRLAAQARAFRSNDEDDDTDDDFSDDEDLHSPIDEVDPFVFFVDSTKVLQASNEVRFQSLTQALDIHYQALANAVFQHAEQRRVEIEKEKLEKAQGTVPP >KZN09878 pep chromosome:ASM162521v1:1:30281715:30285823:-1 gene:DCAR_002534 transcript:KZN09878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFRGIKNDVKGRLMCYKQDWTSGFKSGVRILAPTTYIFFASAIPVISFGEQLERSTDGKLTAVQTLASTAICGIIHSILGGQPLLILGVAEPTVLMYTFMYNFAKDRPELGPNLFLAWTGWVCIWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLIDEFRVPNRENRNSTEYLPSWRFANGMFALVMSFGLLLTALKSRRARSWRYGSGWLRGLIADYGVPLLVVVWTAASYIPSGNVPEGIPRRLLSPNPWSPGAYSNWTDMIQVPVLYIIGAFIPATMIAVLYYFDHSVASQLAQQSEFNLRKPPSFHYDLFLLGFMVIFCGLTGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVKTARECLGKNASLVQVYGKLQEAYQEMQSPLIYQEPSARRLNELKESTIKSASIIENINAPVDESIFDVEKEIDDLIPVEVKEQRVSNLIQSLMVGGCVAAMPLLKKIPTSVLWGYFAYMAVESLPGNQFWERILLLFTSPSRRYKILEDNHATFVETVPFKTIAFFTIFQTAYLLITFGITWIPLAGVLFPLIIMLLVPIRQYILPKFFKEGHLQDLDAAEYEEASALPYNLAMDTELGSSASFADDREIFDDVITRGRGEIRRMCSVKLTGCSEKPRKSFVKSFSDKGYSPRLSELRGDLSPRFGGRGPFSPRVEGKPSLLGKQI >KZN12073 pep chromosome:ASM162521v1:1:50792714:50793890:-1 gene:DCAR_004729 transcript:KZN12073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSENKRFDENYVVTWGNEHVMYTNGGRDVQLSMDQSSGAGFASKLSYGTGLFHMRIKLPSGGSAGVVTAFYLHSHTSKHDELDFEFLGNKKGKPITLQTNVYTNGQGNREQRVILWFDPTADFHNYQVLWNEHQAVFFVDDVPIRVFKNNTDVGVGYPSQAMKIEASLWNGEDWATDGGKTKIDWSLSPFKVHFQGFDIDGCPAATDHKNKNKLSNSTNQSCYSSDEFWWNAKKYRKLTHKQQEKYENVRAQYMNYDYCSDTNRYPVAPPECESNS >KZN07897 pep chromosome:ASM162521v1:1:523659:529829:-1 gene:DCAR_000566 transcript:KZN07897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGFVKDGLFAPVTPGKQLSNSVLINGRKEANFDGESENSGFQFFVDLGDLSKSDSISINIPFPAMQNDDASHQVEKMNGDDLAFSTLETNMSGNAQINVNDLASSTREANMSENAQINEDGWAFSTPETNNVNYDTQFNGDGLAYSTPKVNNVNYNTQFNGDGLASATPKVNNVNYNTQFNGDGLASSTPKMNNVNNYDTQFNGDGLASSTPEANNVNYNTQFNGDGLAASTPEKQQSSKKRLNKATDINTKTPQKPRRHRPKILDERKKMKVAKAQVPGFQPKTPLKRSTPKPHTKKSHVKKNSSKPVDSPDDFVQRHVPTQSCKRSLNSVFDREAEAENICDLSDHGDAQFLNLNREEEKRFAKQYQRRRKKRISINSLNVAKPVIGANADHVTPESNVSDADPQSSQGTAHSSQVACEMDHSPSEMKECETSLPSSSVVWRRKRTAGCTRRRIYASQPMKFKCSRSPLVNLLEHLTGKKPRPRGRPANKKKDTKRRQRKVKPSSEHRMLKMIQDHLPQDCLIALKGPKEQSLLDFIGAKMGTLSINQENGQRSVESINQEYQEYDQLVVEDRSVDRINQENGQLVVAHPSVDALVVYQGKFDPRKKSKKSPAKIVLDKESERAWTMLMAKAGSTTFDEQDPDKEYWDRERKAMIERVESIVSILREFQGNRRFSKWKGSVLDSIIGAFLTQNVSDHLSSSAYMYLAAKHPLRDSKGSSRNHESKCDRPLLDLFPQEDGGAERFVHRSASQEIEQSPSTSENGSPESCVSSTSAASETEISVPLKGTPTKGKSAAKNKKEKDIDWDSLRRTYSTHRERNDENMDSMDYQSVKDAPVDDVAQSIKIRGMQNVLAGKIKRCLDRTVQLHGDMDLEWLRDVPPKDAKEYLLGIYGIGLKSVECIRLLTLHHIAFPVDVNVGRVAVRLGWVPLQPLPGGLQMHLLEEYPIIDTVQKYLYPRLCTLNHETLYELHYHLITFGKLFCTKQNPRCEGCPLKSQCKHYASLSASKRYALPAPEKKKDSTAKNGVGRTTDRNSHTEAEPVVELPASPEHQMEEIELEDIEDLCRDHNVALPDLNDYPADSDDDILSIRLDDEAYDDQHEAENSTQVGDMSQALAIPSGPSATQIPNFRDRLKSVHQVYVLPDSHPILVQLRVDVRDPDDPSPYLFAPWTIQEDGSATRSPFPRGSGSLEVCRDIVCCSTLSNNEEHDFSTTISGTLMIPIRTANKGRFPLNGTYFQVNEVFADVESTNVPIIVPKHWLYGLERRILYCGASVSSTFRDIPMDHMHYAFTQGFYCSRGFNRKTRYTTDLHQQFHIKTSMQVKKTKGKKSEGNGR >KZN11256 pep chromosome:ASM162521v1:1:43069927:43075644:1 gene:DCAR_003912 transcript:KZN11256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDIQKIQSKELASDAITYDSNETLSLDCPSVLLPHISQWTKQFGKTFSFALGKTQFLYIGDGELVREMNLCKSLDLGKPSYMYKERGPLLGKGILTTSKEVWVHQRKTIAPTIYVDKVKNMFRVVLESGNTLVKSWESLVETEGGIADVRVDDYVKTFTSSIFSHVMFGRYDAAEKLLFSKCRDLMEVSGSPTVVDGRPFYRYFPTKMHRRQWRLEKEIYRIIRDLEMKCEGEGEGIIHTLVDSAKHGELGSSTPQQFVVDNCKELCIVGMEVPGITAIWGLMLLALHPEWQERARAEVLEICGGQTLDAEKLGKMKVLKMIIQEVLRLYSGVRFTAREALADVQIGKVCVPKGVNIWVWPAALHRDPQLWGSDALKFNPDRFANGISGACKIPQAYTPFGLGPRTCPGMNLGMMELKVMFALLLAKFSFSLSPNYQHVPKFDVLLEPKYGLKLLVRRV >KZN11514 pep chromosome:ASM162521v1:1:45325957:45326817:1 gene:DCAR_004170 transcript:KZN11514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGLNMRNWGYYEPSFKEHLGLQLMSPIGGDHRDTKPFLSSRDPVMLNANGAYHPRNCVVSEAPVPMNYMMRDSWIQRDRLMHVLPGNSSFGVNPEAHSSHTMQIMQPMDSLSKDQVLTEDVKPDIRKGGSSGHTKKRQGPVTPKAPRVKKPKKGPAVSNDNRKSSTQRPKAVKKSVEVVINGIDMDISGIPTPVCSCTGTPQQCYKWGCGGWQSACCTTTISTYPLPMSTKRRGSRIAGRKMSQGAFKKVLEKLASEGYNFGNAIDLKSHWAKHGTNKFVTIR >KZN08045 pep chromosome:ASM162521v1:1:1695129:1706750:1 gene:DCAR_000714 transcript:KZN08045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP707a-1 description:abscisic acid 8'-hydroxylase 4-like MDSIFTTIFCILFPIILSTILLKTLLKFLPSGYRKLPLPPGTMGWPYIGETFQLYSQNPNVFFASKVKKFGSIFKTHILGCPCVMISSPAAAKLVLVTKSELFKPTFPASKERMLGKQAIFFHDGAYHAKLRKLVLRAFMPESVKNVIPDIESLAIESLKSWDGGLINTFQEMKTYTFNVALLSIFGKDGIHYREDLKKCYYNLEKGYNSMPINLPGTLFHKAMKARQELAKIIANIIAFRREMKHTYTDLLGSFMGDKEGLTDEQIADNMIGVIFAARDTTASALTWIVKYLAENPSVLQAVTEEQEAIVRSKKESGEESDLSWADTKKMPLTSRVIQETLRVSSILSFTFREAVQDVEFEGYSIPKGWKVLPLFRHIHHSPENYSEPEKFDPSRFEVSPKPNTFMPFGNGTHSCPGNELAKMEILVLVHHMATKYRWCMMGPQTGIQYAPFALPQNGAVENHFYVDSMKLFVQMIENNEFDSATLVIVVSVLCRSNKLSQGREVHGLSVKAGMMLDTYYCNALINMYAKCGDLRSSESLFANLECRDVVSWNSMISGCLNNDEPVWSLLYFKKMSCSGVLADNVSLSSAVAACTRLEEWDAGLVFHGLGVKLGFDGSAHISVSNSLIPFYSGCGEINAACNVFRRLICKDVVTWNAMIDGFASNGLILEAFDCLSEMQVTGHVRPDTVTILTILPLCAELNLSKEGKAIHGFTLKRALKLELSIMNSLIDMYMKCNRVKEAEQVFRAMPETDLVTWNTMISGYSQSGRSGEAQALFKDLLHWCSRCSLSTMLGVALSCNSPASLNFGRLLHGWQIKLGFVNHMLVVSSLMLMYINCGDLIACFILVWRYYAIMDTACWNAVIVGCT >KZN09002 pep chromosome:ASM162521v1:1:18906004:18906213:1 gene:DCAR_001658 transcript:KZN09002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKFSAVLDLKISDGTGQLHVADDKKINVKGADWAVFCLAASSSFDGHSLRAFPTAFPLEAFSLTSKT >KZN11265 pep chromosome:ASM162521v1:1:43230698:43243779:1 gene:DCAR_003921 transcript:KZN11265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLCNLGFCPRDSYQLPKISGVRISCDSFAPSSSCFNLKLRRYGCCSKYRLVHVTAMDEDSVSGFDDWVGSDGAVVYSFSSSDGEDSDGEFILNPLSDVDMPTIRDKLRPEALTIMSNRLALTGKAHKKSWQVYLLKALYRILIQYGVVINLGLITFLIVLLLYADWCAWRIVRLPLSQFHLMRPFSTSAILVACAGYIGVPLLRRMKMKSIINKEGPNRQALKRGTPTMGGLLFVPIGVIVAEVIVGFSSIEVSAAAVATLSFAAIGLLDDSLSLINKHNGGLSSWTRILLEIAVGACFCYWLYTSNVSSPYSIKMVVPLPVPVGLVCLGNLYLFLTSFCFVSLANGVNLTDRLDGLAGGTAALALIGMSIAVLPICSDLAVFGASMAGACVGFLLHNRYKASVFMGDTGSLALGGALAAMAGCTGMFFPLFISSGIFVLETLSVVMQSRRKTREPKEENVTLGPAVREGENVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSTRCKELGITALHIKLRATGGNKTKSPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KZN07889 pep chromosome:ASM162521v1:1:479662:482557:-1 gene:DCAR_000558 transcript:KZN07889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEYVVLDASSDEDVGLDEKVVFDDSGEDDCDWIQELLKEVDRQTSTEDSDESDDVVLVSEVVVSPKSKLKNSDTGLKDLNDEEDDDDCVVLDGDPDKPEAVENNQLVDESDELQIVAETGQLSSTPNVMNNHMNMMPSQPMVSPPSSTANFFVSSVTEHQVPTQAYHYGNTFLRPPQSQLSLQPIVDNSYTNRMPSEPLIYGQLNAAPNEYQNINLNQDHSFLGSTEEDIDLSCINLTSESNQEPTPQHQDIGLVTEHEALPRTEQQDDLFGGSPNLDALNFQYDSWNLGSSDKPVHSPEPDISDSSFFFGL >KZN07876 pep chromosome:ASM162521v1:1:365441:368098:-1 gene:DCAR_000545 transcript:KZN07876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGTQMRGKASSYHFFRSLLQKHRFPDFRDEEIFIWIGFFTNDIYQVQGVAVATPPLQAENEVFVNEQYFALPPLTNPTPGVVQDEADYNYWIGNMGTADEQISRGAEAPLWEVETNHQFHQAVTSPAAVPQAGDGLWALELPPLDDDLYPLIVSPADDFAPVFTEPTSQVAAPAPAFTAAQATSVGAAVEANQEEAEAQVEEEADADLGSSTQAMKSYREHHNERERRRRDKISDRIDQLRELVPGCKKPSVYLITGISQVEDGIQRIGASASFQFK >KZN08067 pep chromosome:ASM162521v1:1:1969203:1969415:-1 gene:DCAR_000736 transcript:KZN08067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEADWGHSNLMHFPAHDEASLHKVMQQCIMKVANAHQHDSANIAEPSPYIKHWAHRHQLALRNKNATT >KZN09795 pep chromosome:ASM162521v1:1:29425893:29431984:-1 gene:DCAR_002451 transcript:KZN09795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAELNVKSKYALPAIYNHTLATILVEYSSAVYMSDLTELFTWTCERCNGLTKGFEMIELIVDVQACLQAFVGVAKDLDAIVIAFRGTQESSIQNWIEDLYWKQLDCNYPGTSDAMVHHGFYSAYHNTTVRPGILSAVKSARELYGDLQIMVTGHSMGGAMAAFCGLDLRVNFGVHNVQVMTFGQPRIGNAAFATYYSKLVPFTTRVTNEHDMVPHLPPYFYLFPGKTYHHFPREVWLHNLGFGTLVYQIETVCDSSGEDPTCSRSVSGNSIADHLTYYGVEMGCDAEVACKMVMHPALAAYSKTDEAGNFVLSRDGAISVLRINRESDAQSTTS >KZN11629 pep chromosome:ASM162521v1:1:46471932:46473038:1 gene:DCAR_004285 transcript:KZN11629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELEKRYGVGYALAKKKENSFIQASLLSLTRERGIDLIKIDTNTPLIDQGPFDCVLHKLYGEDWKKQLNDYAVKYPNVLILDSPDAIQRLHNRISMLEVVSELCGAESVHNVNKEDSFGIPKQVLIYELERLLDPSLWGEEIMFPVIAKPLVADGSAKSHKMSLVYNTEGLKKLRPPIVLQQFVNHGGVIFKVYVVGKYVKIVKRKSLPDVSEDKLRSLQGSLSFSQVSNLTQSERSDNTYYKTMNLEDAEMPPQSLISEIACGLRRVMKLQLFNFDVIRDTRIGNRYLVIDINYFPGYAKMPCYEKVLTDFFCDALNIKQSGSFDGQLGMNCEKEVRILVDNNGLVEDEGVLPVSPLKENGNQIQV >KZN09356 pep chromosome:ASM162521v1:1:24061754:24064213:-1 gene:DCAR_002012 transcript:KZN09356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRFSKLLLLPASTKGDVVGVPPVCGGGGSGGGGGTVGGTVCGGGGSGGGGGTVGGVPAVCGGGGSGGGGGAVRGVLVVSAGGGGGSGSGCGGGGSGGGGDRFCDYVPPTLIEQVARLVVGSLTVAVSLDYYYDKVTSPETTEVVQKMIEKAVVEMKETVEASHQKTVACVSEMLAADKKEREELAASKTKKYWFW >KZN08938 pep chromosome:ASM162521v1:1:17920469:17921549:1 gene:DCAR_001594 transcript:KZN08938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSNQRQSVKRARKALQNASNAFPGQGDLQMHKYNPWWCSADIWAQMCAQWTELEFVHKSNTTSGNRCGGAEKAKGTYKGGSISQGQHMANKVCKHSFDVCNHHHMFTSQLLEICFVQESESLGTINWLDVYVATREGIPAAQEVADRALLRVLGGHLGLMDPDELARVVAEAAASQQNDVRQISLL >KZN09089 pep chromosome:ASM162521v1:1:20113750:20118771:1 gene:DCAR_001745 transcript:KZN09089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTASQTHGLRPTGPIHRPSKTIFFGRGLNSHSLTFLNPRPSFPKLETPRYRNRKPFTVFAEKVVGIDLGTTNSAVGAMEGGKPVIVTNVEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVNAESKQVSYNVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTQLGGDDFDKRVVDWLASSFKNDEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVQNSLRDAKLSFSDIDEVILVGGSTRIPAVQGVVKSLTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAAEGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTALDKGSGKKQDITITGASTLPTDEVERMVSEAERFSKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVEAKLGELKEAIAGGSTQPIKDAMTALNQEVMQLGQSLYNQPGAAPGAGPTPNGADGSSESTGNNGPEGDVIDADFTDSK >KZN09628 pep chromosome:ASM162521v1:1:27802577:27804241:-1 gene:DCAR_002284 transcript:KZN09628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENDKNEKRFSWKKRKGKEKVEEEEQGSCDPLVVFGSDVMLIILSFLDARSVALSLLVSRDWYGVASSDRLWSTLCEKLWVQKAHIPRISQARGLSKLEAYSLSVMDGKRTRIMKEDLWDHAWEFHYNMVAPEYFRDLDPYWKGTGKLMRRYFHPDGSQTADANDMIWGGHEACYCVVTSFLDCGQIRDHYVRINRWPQLCVRRKEDWSWEMSNHLYSYTSIQDAYKKGGTGPLFPGFRFTA >KZN09264 pep chromosome:ASM162521v1:1:22251807:22253133:-1 gene:DCAR_001920 transcript:KZN09264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKERSGSRDEEEHEPPLMALNHVSRVCRSVKDSVEFYTKVLGFVLTERPPSFDFDGAWLFNYGVGIHLVQSKDEHKLPLSDQHLDPMDNHISFQCEDMEAMEQKLKEMDIEYMKRTVGDEEGAAIDQLFFKDPDGFMIEICNCENLKLVPAESFRRIKLPFDRHTPPVDLNNRDNSNAEGS >KZN08070 pep chromosome:ASM162521v1:1:2012089:2012295:-1 gene:DCAR_000739 transcript:KZN08070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARDMGLLFDAHPPANFGMGEIFDGELLQEETNDLNNDSSNADHEASAPLMWRLLSLPARMWKALVK >KZN11530 pep chromosome:ASM162521v1:1:45504738:45505997:-1 gene:DCAR_004186 transcript:KZN11530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVINFSYITPLVFFILSLVFLHDIVHADPPYKLCQGENFTENSAFQINRDDLLGSLSLNAASLPKFYNTSSGNDKNTVYGLFLCYNYVKHDECTKCAEVATKDIRNLCKTSKEALLWSENCQLRYSDQKFFGLLDVADNVKQTNPKPFSDPGRLQSILNPVLLSMSKLVASSIDMVATKNISFPGDNPIYAFAQCTKDLSSEDCNTCLRAAISNISSCCYSYRGARLFSRSCFLRYEFNNFIDLDDGGITVPEIPKHSDGRNKQMIVALIFGAMAVVIAVISFYLYCLAPRKQASNSNAHNIGKGRFFHQQVQLPEANEHSDTYAMLQKFQALNNLDPQEFPFFPMESVLVATNDFSDSNKLGQGGFGPVYKVIA >KZN08130 pep chromosome:ASM162521v1:1:2975014:2977197:-1 gene:DCAR_000799 transcript:KZN08130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCAEKNCSGSSSPSSVLQPYVLQPEDSTPFSYKFKFSTEHAGIRKVTSETFMAGGYKWALDFYPNGTRPGDDQYISLFITLESNTTDVIARFELILVDQSRKGKHKMQTNFTMETPCLMKHQGSQWGFRRYIKKSHLKKSYLKDGFLVVKCRVGVLFPGLAAVDYLEPMHSPMLVEEVEELEDGKISVLDSAVSILFETESGALFNGERDKFDQYLHAVDEIQQSIKLRNISDYKIRGVNLIQMASERFLLEFQNILEWSINTVEDDTLCCTDCSSCIATSCSLDLLEGDHIGRGALSSEQIYRLRCMSERLNSAGRLQECVDMYKNLRKSMVDASFLRFGIGNWLNCDIRSLAWDEFAKKIKIWIRAAQMCFVIIIPREKQTYEQIFDGFEDVSSDSFLVIVEDFAIQLCNFAEIISYSPVLLQKLFDVLDLYKFLLLYLPDFKATFHSASTKCITSQAERTLQRLADLVRQIISNFEDTVLHEQSNTTISRGTLHSSTRYTMERVIGMVHYNELLTEIITSEPTTSFLGSEEMQYLKVGGRKPLARHLLWIIISLKYNLKSKFKEYKDTHLGHLFLMNNIHYIIEEIKGSYEMLEMIGEDYVTKLNEDVMDEAKSYLGSWDKVLYCFRDEGLQYRVFYSGFSRNALKDRFKILNATFEEIFQTQSTWFVPDLQLLEQLHRSIIEKVLPAYKSFLVQFQSERYIEKYIKYSPEELQNVVCDLFG >KZN10557 pep chromosome:ASM162521v1:1:36941285:36943013:-1 gene:DCAR_003213 transcript:KZN10557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRERCTIECPNGIKLDGSVFKPEKKNDTIGVVLVHPYSVLGGCQALMRGIARNLSDHGYPALIFDMRGVGKSTGRASLTGFSEVNDVISACKWLSTTLSLPRILLVGSSAGAPIAGSAVDQVEEVAGYVSLGYPFGLTASILFGRHHKAILQSPKPKLFVMGTRDGFTSVKQLENKLKSAAGRVETHLIEGASHFQMEGAGYDAEMTNLITKFISSL >KZN11437 pep chromosome:ASM162521v1:1:44644301:44646326:1 gene:DCAR_004093 transcript:KZN11437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVKFELVLGLLLISCLFLRRVHGEDPYLFYTWNVAYANLSPLGVPQQVITINGEFPGPMINGTSNNNVWVNVFNNIDEPISFHWHGIQQRKNSWQEGLPGTTCPIPPGTNYTYKFQVKDQIGSYFYYPSTALHKAAGGYGSIRIHSRDLIPIPFDPPEAEFAVMIGDWYSKSHVELKKQLDGGRTLAKPDGVLINGKAGKGDNKDEPMFNLQPGKIYRFRFCNVGFKYSLNVRIQNHKMKLVEVEGSHTVQNMYDSLDVHVGQCYSVLVTMDQEPKDYFMVVSTRFSKSVLTSTATIRYVGGNGPASTDLPQAPTGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGGINITRTIKLASTAGKADGKLRYAFNGLSHTDPETPLKLAEYFDVPDKVFQYDNIKDDPPAVDDENVKIEPVVLNSTFRNFVEIIFENHEKSTQTFHLSGYSFFAVGIEAGKWSPDKRKNYNLLDALSRTTIQVYPKGWAAIMLTLDNAGMWNVRNMALERSYLGQQFYISVLSPERSLRDEYNLPENFPLCGIVKTMPLPPPRYSGT >KZN08232 pep chromosome:ASM162521v1:1:4177965:4178213:-1 gene:DCAR_001297 transcript:KZN08232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKYDVLIANDASMAQGWIVGGGDAEEELSQDSNITGEEIGTEVTRRNVRELDEEDFESEDETNDNENLTFDFKDYDQQGW >KZN09658 pep chromosome:ASM162521v1:1:28177803:28187199:-1 gene:DCAR_002314 transcript:KZN09658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFDLYILANGKKEKLTSGLLKPFLPHLKTAEEQIAKGGYSILLEPPDADNATWFTKGTLERSGQSKLKVLRITFLLLLTANFSFMESPTIISCSKLFKFYLQFVRFVSTPEILERVYTIECEIVQIEKAIATQGNNDIGTDIIEDDHVKPVPAIEGSSSKLDSNEEKAIVLYTPGSQLTEANGSTITQENNPKVELLKVLDTRKNVLQKEQGMAFARATAAGFDMDHMTPLVSFAKCFGASRLLDACLRFTDLWKGKHESGQWLEIEGAETITSKSEFLTMHTAGIMLSSIANKHELQRESASESDDKAGTDKSAGLRPPASYQVPLGQQEYFPGQFPHPMFTPWPMQSPSSGTPFYPGYPMQGTPYYQNYVGSGPYQQPFHQVVEDSQVSTIQKEKLRRQSLDSSDGNSGSDTTEIDASGIKSQNDLHKRSTNSELRKKAGRSGKKQGDVVVIRNINYITSKQKKSTGSQSNSDSGSETDGEGRDLLSDVNNSYDKGESVTADGGHWQAFQSCLLRDTNEDSLVASDAMFASEKNVKMGRNQKTVNDDQLAFTKRDSVESQGRWSTKFDKASGNVSHLTRASNDELSTSRVEDPYRNGRLATENMQFSDMNGRNILRTTGNDEFMVAGRRHNSELRSSSDLAVNKYEVATDNMAYMADESFIVPFRSMSLDQVGSNGRANYDMDSEIPSKHESLVMDGNQLNYESAEFSLLPERGSEKRSVGYDPALDYELHFGNVASMATKHEETVIDAKEGSKNIEKKSKVVSETLDKKKFGGPVRRGKQSKLSPLEEARLRAEKLRTYKADLQKLKKEKEEAEHKRIEALKMERQKRITARGSLTAAQSSLPSMSTRKSLPAKSSPISHRGSKFSDSEPGSSSPLQRSKIRTASLGSADSKLASKISKSIDFNHLAGNRLTRSVSSLTEAKNEPSSATPDKASMARIRKLSEPKTTSGHPATSVKSGVESASKLKASNGSEGRKKNAIVNLDRTKAATLPELKTKVSKGTLNAKQKKLVEKDKTLKINGRKSSIPSGSGEQLTSGGIILDNTDDDPVIEKTVVMLECQKSSIPAVDKSEGVSGQYNDVNDSQITNLVVPENAIIHALPSPVNTVDQEPSLVRSQEKPGFLKVATNSAAMESSTFSSIGVSEEPYQAPFARASSLEDPCTRNSESGKVPASSLLSAITKTTEKPSSHFENMKFEPIKGSEKPQIKESSKGFRRFLKMGKRNHSSTAVEQNTEIDDSSINEVEQGKVGKDAATSNEGIVSFIILYECSRDDEYYHMETIVKNFHNK >KZN08988 pep chromosome:ASM162521v1:1:18688991:18690721:-1 gene:DCAR_001644 transcript:KZN08988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEISLGMDRSTIGWAARDPSGVLSPYSYILRETGPEDVYIRVICCGICHTDIHQIKNDLGASSYPMVPGHEVVGEVVEVGSDVSKFKVGDCVGVGTIVGCCKTCGPCKADVEQYCNKRIWSYNDVYTDGKPTQGGFSRAMVVDQKFVVKIPKGMAQEQAAPLLCAGVTVYSPLAHFGLKHISGLRGGILGLGGVGHMGVKLSKAMGHHVTVISSSAKKKAEALDHLKADAYIVSSDATQMHEAEDTLDYIIDTVPVFHPLEPYLSLLKHDGKLILTGVISTPLQFMSPMVMLGRKVITGSFIGSMKETEEMLDFCNEKGITSTIEVVKMDYINTAFKRLEKNDVRYRFVVDVAGSKLD >KZN07952 pep chromosome:ASM162521v1:1:939161:940666:1 gene:DCAR_000621 transcript:KZN07952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRIVKGGGIKLDFSSTRCGEFPGFQQMRTMFTSYFWKEFVARGAELRYNCKANTKAAFDQAESSASAGKVEADTGSGKRKRSPVLHAVVESQNAAP >KZN11134 pep chromosome:ASM162521v1:1:42012437:42013437:1 gene:DCAR_003790 transcript:KZN11134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVAKIDVAQTVKMGEKLPPVADLKQQMDVVPAKDLTYANPQSWKFHGETAEFVGKGDPTVHGTYDVKKLDKGLVYEVYFYVTMKDNQVTSPVKLILELPNGTKQEKTEQITLDPLVWKAVSVGKFLNLFQTGDIKFTFSGVTGDTWKGMLLECVLISPSF >KZN09133 pep chromosome:ASM162521v1:1:20560580:20560780:-1 gene:DCAR_001789 transcript:KZN09133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKHKQQFGEAENKGEMAAGGPPAAETTASRREGSAKLIVSDQISQAVQSTSNLLQLMLQSSPSH >KZN08625 pep chromosome:ASM162521v1:1:12555174:12560380:1 gene:DCAR_001155 transcript:KZN08625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICSNKVVQDRRSWMGSVGWRVYKGDFVIEYCGEIISSEEAKQRSQAYEAQGLRDAYIISLNANYFIDATKKGSVASQPNCETRKWTVFGETRVGIFAKQDIAVGTELAYDYNFEWYGGANVRCLCGAPNCSIFLGAKSHGFQEHNHVWEDGDDRYTVDMFPLYDSAEDEPFTKHFDSASLANTMYTACGNKDNPMKVDGFAETNHFIELTNIISEPFGSAAVEGPLPINAVKSEVKKETDLFPEKTQQDISQPRLSYNSGSGSTPKSRSQHVPKSRSKQASRKQVNGKNVAKLFASKQAQEEVIRCEELRTEATKKLNDLYDEIRPSIEEHAKDSQDNVPTAVAEKWIEATCTKWKAELDLHFSIIKNVVCPRPHANEQTTSAEGDHLVKQLIM >KZN10777 pep chromosome:ASM162521v1:1:38849703:38851340:1 gene:DCAR_003433 transcript:KZN10777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLPRLRPLFSPSISAAALRLIHTALPPEPEIIASICGSLRKGSNFPTPTKQFTNLNLNKNTQIIPKILLQLKQPHDAHCALKFFHWAALNFEIQHSPFDYCVTIHILAHAKLVKDAQALLESVIVKTSSGIMVLDLLFDSYEICESTPFVFDLFVQSCAKLKMIDDAVSACELLDKKGFNLSVISYNTLINVVLKSDSAGLVWKVYEGMMERRICPNEFTMKVMVSGLCKEGRLGRFVDVVKRIHGKKCSAVVVVNACLIYGMIDEGRIEEGLGILKWLLQKNMILDTVAYSLIVLARVKSGDLASALRVYGEMTRRGFSANPFVHTLFIGAYCDEGKVEEAIELFCEMENVGLKPYDETFNHLILGCSRAGRLEDSLKFCESMIDAGLVSSCAAFNELVHQMSDNGFTKRADEMLTSLLEKGFLPNELTYTHLIAGYQKEGNLEGVLKLYYEMEYKSLPPSTSVFASIIAALCHCGDLKEAEKYFKAMKDKSLDPCSYTYENLINAHIEKGSKVRGDQLYHEMLDSGHTASIETHLRDVAKS >KZN08965 pep chromosome:ASM162521v1:1:18240242:18259583:1 gene:DCAR_001621 transcript:KZN08965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAVLRRSKSNTTSPLCARASSRRLSSLPSRPHPRRRSFLRQHLKLIPTAKPPPFNAHFRPLFTRSVATSSPQSSPDVYDAPEKLGFEKLSEQFIEECKSKAVLFKHKKTGAQVMSLSNDDENKVFGVVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPKCVEDIQTFQQEGWHHELNDPSEDITYKDNTYGVDSGGDPLDIPKLTFAEFKEFHLKFYHPSNARIWFYGDDDPMERLRILSEYLDMFDVSTAPEESIVKSQKLFLKPVRIVKKYPATEGGDLKKKHMLCLNWLISDEPLDSETELALGFLDDLLLGTPASPLRKILLESSLGEAIVGGGVEDELLQAQFSVGLKGVSKEDIKKVEDLVMDTLKSLAETGFDTDAVEASMNTVEFSLRENNTGSFPRGLALMLQSIGKWIYDMDPFEPLKYQKPLMALKARIAEEGNKAVFSPLIEKYILNNPHRVTIEMQPDAEKASCDEAAEKKLLDEKKASMTEADLSKLARATRELRLRQETPDPPEVLKSVPSLSLQDIPKKPVQIPIKIGDINGVKVLHHDLFTNDVLYSEVVFDMSSLKQELVPLVPLFCQSLMEMGTKDMDFVQLNQLIGRKTGGISVYPFISSVRGKADPCSHMIVRGKAMSGRIEDLFNLINHIIQDVQFTDQKRFKQFISQGKARMEDQLQGSGHNIAAARMEAKLNVAGWIGEQMGGVSYLEFLQSLEEKVDLHWNEISSSLEEIRRTLFTKSGCLINLTADAKNLTNSEKHVGKFLDLLPSSSSAESKIWKARLPTISEAIVIPTQVNYVGKAANLYETGYEFNGSAHVISKHISNTWLWDRVRVSGGAYGGYCDFDTHSGVFSFMSYRDPNLLKTLNVYDGTSDFLRELEMDDGALTKAIIGTIGDVDSYQLPDVKGYSSLVRYLLGIEEEERQKRREEILSTSLADFKEFAERMNLVKDNGVVVAVASADDVDNANKERPNFFEIKKAL >KZN10977 pep chromosome:ASM162521v1:1:40790990:40795318:1 gene:DCAR_003633 transcript:KZN10977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFISEDGKTLDQQCSTLVLPALSIGNVGQLGVDLLISSTRAQRIGYLDDPNVLPCVGNDAYTPSLKPQLALSLEAYDSSSNSLTFVQQRSPVVKGMMLEYAKNLADFAAANGKKHVVVLSSLDFGRWQTIDMSSGLQIYYLSSANMDGTDDDCERLGWKRLQEYNPAQRRWKYLDDLAEGKMVQEDTSTFEDDMQDEDYLPSLPFAAMFSCFKAKGLKVTCLLCYCSEGDNMPDSFQLAEAASKLVGLRDTDIQALTGNEGGKWTIPFSWQSVYGPPADMSIF >KZN11683 pep chromosome:ASM162521v1:1:46974176:46974886:1 gene:DCAR_004339 transcript:KZN11683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHPNASTDHHQWLHYYNQQTPDAAYDPAAMTSVGGGGNGSGFSDTTSTIVTTSFTTNNTSSPSKSTSLGNTDVHLNPQASVGKPIRRRSRAPRKNPTTLLNASTTNFRSLVQQFTGCQNTKATSFGSRKGPVNLSFGMPRNMQNDHHIISTSSRITPVGSEYYHDQLSNPSGHDQKQGQQWQQQEMFEKLRINSTKNMQSGGLDDFGIDDMHGLINESSSFSSSVNKQDGNYYF >KZN10363 pep chromosome:ASM162521v1:1:34932335:34941272:-1 gene:DCAR_003019 transcript:KZN10363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQLLPVSWSKCLSLPHPNSNFISSRPNFPIFRSVFPRRPIGCSMKSYKLSDLTQTEINNLSARPRIDFSSIFSTVQPIVDDVRCRGDEAVKDYTVRFDKVELDTVIETVKDLPDPELDPVVREAFDVAYNNIYAFHAAQKPVEKVVENMEGVRCKRVARSISSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTIVLATPPTSDGNVCKEVLYCAKKAGVTHILKAGGSQAIAAMAWGTKSCPKVEKIYGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHANPVHIAADLLSQAEHGPDSQVVLVIAGDGLDISTVDAEIEKQCNNLPRGEFASKALSHSFTVFARNMVEAITFSNIYAPEHLIINVKDAEKWESFVENAGSVFLGQWTPERVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYITVQSLTEEGLRKLGPYVAVMAEVEGLEAHKRAVTLRLQDIEVQQLSSRR >KZN09007 pep chromosome:ASM162521v1:1:18984524:18984745:-1 gene:DCAR_001663 transcript:KZN09007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILAAYSRPGSNSEILKVLILSVTFIHASAVVYIFNISIYLILLQVNLLASSDYDKEYLCKEKRVQSCSMGG >KZN11244 pep chromosome:ASM162521v1:1:42966334:42966999:-1 gene:DCAR_003900 transcript:KZN11244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSILIFIFKYYFFNDLNVSHSSFLSTTKFWFLVSNIIVLIIALDFGAFCSSSNDGLYKDHPNDDNYRHERENDLKYHTPALKIAETVHNEQVKDIVVYKETKSSTYNKADHQMKPIMISEKDDIVHQLGSKVDHKDVEKNDQEKKKAPPCRHLSMSDGDAAVALKDHKEKNTSVLYRSKTENFDMNAEDNEFSSMSNEELNRRVEEFIKRCNRQIRLEP >KZN10658 pep chromosome:ASM162521v1:1:37974128:37983638:1 gene:DCAR_003314 transcript:KZN10658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIDEPLYANFLRATGIERPYRDELLSKMESDGNKVVKDVIYGPGEKKYRFCKHIAKQRLPGLTDDLVKKGKHFILIRNPLDILPSFKKVVPPSFFELGLSSLVSIYTELCEAGNPPAIIDSAELQEDPEAALRGLCEDMDIPFQAEMLKWEAGPKSADGMWAPWWYRSVHKSTCFQAANKYPSPFPSSLYELLEQSLPFYNMLRQKARRKCSTTSRLPQPDLPVPANKKLLCWVGDEILPRDSAKVSVFDSVVQGGDSVWEGLRIYKGRIFKLEEHLDRMFDSAKALAFKNLPTREEVKEAIFKTLIRNGMFDNAHIRLSLTRGKKVTSGMSPGFNLYGCTLIVLAEWKPPVYDNTRGITLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNAKADDAIMLDKDGYVSETNATNIPGWLRIVYTPLVMQFLVKKGRVLTPHADYCLPGITRATVMELVEKEKFVLEERRISLSEFHTADEVWTTGTMGELSPVVEIDGRIIGAGFVGPVTKRLQNAYRDLASDLGVPIPTYQET >KZN10933 pep chromosome:ASM162521v1:1:40404616:40404949:1 gene:DCAR_003589 transcript:KZN10933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSIATFLVVVLIIYSGYEMGVNPVEALTCCIDNPVGSNCIPGSAQEQRCSAMCNLRCGKGLGGYCVQYPPSPARYCHCNC >KZN10715 pep chromosome:ASM162521v1:1:38378746:38387348:-1 gene:DCAR_003371 transcript:KZN10715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSCLVSLLTDDLLVNILDKLSGDDSATKSFRSVCKAFHQAESAHRTSLKVLRLEFLPTLLNNYTSVDTLDLSDCPRLDDGSIAALLGGDTSIDLSWTRRLRRLVLSRCVGLRWAGLELLLGSCTRLESLDFSSCGGFGDREAAVVSCVVGLKEIEMDRCFGVSDFGLAKIVVGCERLEKLSLRWCDEISDLGIDLLSKKCVFLKHLDISYTKIGGESIRSISTMQRLEVLAMVGCGLLDDVGLHHLQDGCPSLQVIDISRCNNVTSLGLTSVIRGRNNLLQLRAGHYYFELSTIVLNCFMGLNNLQTIRIDGAQVSEHVLQIIAGSCKSLVDIGFSKCKGVTDFGILQLVLGCFRLKILDLTCCDKLTDLAISAIAESCRNLLCLKIESCNMLTEKSFGYLGSCCFLLEELDVTDCSGVNDEGLRHISNCSNLKSLKLGHCINISDKGLSNIASKCSNMIELDLYRCKGVGDEGLAALAMGCKKLKKLNLSYCIQITDEGMQCIGYLKELSELDMRNLSKVTSAGFSYFASGCMKLAELDMKNCDNITDSGFLALSCHSKNLIQINLSYCRISDVGLYKLMGNLTCLQDAKLLNLTNVTMNGFDLALRASCFRLKKVKMLALVSPSKSLSDELICDSTPFPSFCNSMRLQYDFGSIQEYGRFILKQSISSTENVLSMVNGYLELRIGLQEYTIHALEDCQLLTSLNIDFFVKTLESLNLTNKIDGPTASELLSLLSATLTNYQTCLDGLEAINPLSAIRIALGTPLSDGNMLNSVALAIFKYAWNPSTTEGRLLKDRKPLNSGLKLYPGGNSVNVNQSVVVNPDGSGDFTTITDAVAAAPNNTDCTNGYFLIYIAAGVYEEHVYIAKSKRYLMMIGDGIDQTIITGNRSVVEGWTTFNSATFAVTGVGFVAVNITFRNTAGAVMHQAVAVRNGADLSTFYHCSFEGYQDTLYAHTLRQFYRECNIYGTVDFIFGNAAVVFQNCNIYPRLPMQDQFNAITAQGRTDINQNTGTSIQNCTIREAEYMASAKTYLGRPWKQYSRAVYLNSFIDNLVDPAGWIAWSGDFALNTSYYAEYNNRGGGSDTSKRVTWEAYHVINYSDAANFTVSNFLAGDFWLPSTGVPYNAGLF >KZN11545 pep chromosome:ASM162521v1:1:45612308:45615124:-1 gene:DCAR_004201 transcript:KZN11545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEHYPTIIDEMSSNEKHSEIDIGRSGEKALLQSTVGLVEADKAFIVERAIAAMDELIMMAQAGEPLWIPIIHSGIECLNKDEYLSSFPNRIELKPVKTKSEASRESVVIFMNPTKLVEILMDVEQWSTVFSGIVSRASIIDVLSTGMAGNYNEALQVLSKRMMLSYCNSFGVSAAHTCNTLCGDGATKAKIMAKKNTDDPGMPYGTIVSVASSFWIQFSPEKVFDFLNDETSRNKWDMIASTGQVYEIMRISNGCDPSSCISLLNLHNSRESNTHILKETRVDSTASYVIYTSVDTTPLNVVLSGGDPTYVALLPSGFVIHPDGPRHNVGGIIEVGSGGSLLTVAFQIMVHSALPEDLTCERVAIVNTLTQSVVERIQNALVSSLSI >KZN09669 pep chromosome:ASM162521v1:1:28283429:28284977:-1 gene:DCAR_002325 transcript:KZN09669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEGSRLMTIYRRFKPHLLMVLAQLGYTFLYLITEASFAHGMNPHVYITYRHIVAGFVVLPFAYFIERKTRPKLTMLLFLEIFVLSLLGVSLTLNMYFASLNYTSPTFLASVVNTIASLTFVLAVVLRLEEVNIWKLRGLAKVLGTLISLAGVMTMTLYKGSILRNIGHPLIHINRSSAAQEEWLKGSILTISSCITWSIWYIMQAYTLKRYPAQLSLTTWMNFVGAAQSAAFTVIVAHKPAEWAVGFNIDLWSILYAGIVVSGLIIYIQLWCTEEKGPVFVTMFNPLSTILVAVLTYFALGENLYMGSIIGAVIVILGLYLLLWGKEADQKLQVIRKCRPDIIYESDGDSPGGGKGTLNMKEEP >KZN10798 pep chromosome:ASM162521v1:1:39108543:39109028:1 gene:DCAR_003454 transcript:KZN10798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEEQRIRRELEMDVEKELAAEIKGEICNLSLRLIQLYQQQKEREDREFSQNKAPSEAKINIKVEGGTKVEIEETEKNVRKICAKPKSSKAETYVPKTSILSKEITRRQISDSRKKFDWVKTLRSGTSSVASHQPKKTARMWDDSVQIVGVRTKCMLRRR >KZN11849 pep chromosome:ASM162521v1:1:48716969:48718665:1 gene:DCAR_004505 transcript:KZN11849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKVVHFVAILSVAFVASHAAVSCEDYWRSVLPNTPMPKSISELLRSPEWMDDKSTAVDVGEGNVGVETGTTGGPGTNVQVGKGTGVGVSTGAPGDETDVGVGKGGVVVRSDHKGKPVYVGVSPGSNPFIYNYAASAAQLHDDPNVALFFLEKDLHQGANMELHFTRPTTQTPFLPRYVADSIPFSSNKVLEIFEKFSVKQNTLESEAIKNTLKECEAPGIKGEEKYCATSLENMIDFTASKLGKKVSAVSTVVEKESEMQRFSIIGSKKLGEKAVICHKQSYPYAVFYCHETNNVKAYTVSLVGNDGTKAKAAAICHTDTSSWNPKHLAFQVLNVKPGSVPVCHFLPEDHVVWVPY >KZN11651 pep chromosome:ASM162521v1:1:46670690:46671820:1 gene:DCAR_004307 transcript:KZN11651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISHDLLEEILCRLPVKHLLRCRCVAKGWCSLIDSNAFVKKHLKNTLDCNASGVHVINGFDGRFWVVESDDGSTSVVEIDDPIKMLLSDSEYCIAANALLCVFKSQMNDIFLFNPSTRKVRKLPSAPPEFPRGFNGTEDCVCGFGYDHVNDDYKVVKIVQSFVQSGGTMVVVYSLKTNSWKQIQNVPSKYFLTIWGIFGNGALYWFLVKDLAYGFETIVGFDLGLEQFKEVPFPPVNKNYIMENKRGLFTPPAGESLCLLDTYSDLSVDVWLMNNYGEKNTWYKAFSVKQLCPLAFGILRPLAFSKSHKDVLLELDCQKLVWYNIERKTVKSVRLPRILVKFDSHFCTESLLQLTEDKSLQRPSPDKQKKQQKKR >KZN11489 pep chromosome:ASM162521v1:1:45156407:45156815:-1 gene:DCAR_004145 transcript:KZN11489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILNNKAALLVLVLSIFVIITIAESRPVFHVAAKPKAPPACDTVFGVRKGDTCFDIAQNFKLSTPEFDSINPNINCAALFVGQWVCVDGTA >KZN08715 pep chromosome:ASM162521v1:1:14177093:14181659:1 gene:DCAR_001371 transcript:KZN08715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTQKNKATAHHLGLLKAKLAKLRRELLEPSTKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVITYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKEKGGINFTSTVPNTHLDLDTVKAICSEYKIHNADLTLRNDATADDLIDVIEGSRGSMPCIYAVNKIDQITLEELEILDRLPHYCPVRAIARCKVDDGKYIAGQSIHECQPFRCILQNASIAHLEWNLDGLLDMVWEYLCLTRIYTKPKGMNPDYEDPVILSSKRRTVGDFCTRIHKDMLKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >KZN11318 pep chromosome:ASM162521v1:1:43663570:43663893:-1 gene:DCAR_003974 transcript:KZN11318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRISGCPLLFLLLLLLLFVTVFRSPPFAVAGNLLKAGDATSRNLLITATQRKLDRAAQNCTEASTKLQCLMNSKCRWCQSEVLDDTCFTKSEAFRLPSQVFSCE >KZN09513 pep chromosome:ASM162521v1:1:26491238:26491546:-1 gene:DCAR_002169 transcript:KZN09513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEHPDEKERERLENFRSKLIGIGLGKLFMPTPGFKKADYQHNGTPAADTPPAQEDASSDEQTESDEEGSLIVRRSTRLSMKTKFKLNNSPDTTINLDAD >KZN11581 pep chromosome:ASM162521v1:1:45966891:45967079:1 gene:DCAR_004237 transcript:KZN11581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTYMQEVEEELEAMEAIDVEDKEEDFISTARSTNEWTEFRDQLAKTMFEEYLVGGTGVL >KZN08218 pep chromosome:ASM162521v1:1:4061464:4064414:1 gene:DCAR_001283 transcript:KZN08218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFSSSALLITFFVLFFLQDSSSSPFKQQKFYKFQTRFQEKFVSPNLAPVTAPLPIQDTPKSSGRVFFPIGYGADPSGAQDSSDAILNAVADALMVENDGHQLLPGVSDLGGVIIDLQGVSFKIGKPIRLPPGAGNLVIQGGTLRASDTFPGDRHLVELWSPSSVKRDTDDLEDNAQTGGIYYEDIVFRDILFDSEYRGGGLLVLDSARIRVTDCYFLHFTTQGILIQNGHETFISTCFLGEHPTIGGDRGEKDFTGTAIDLASNDNAVTDVAIFSAAIGITLRGQANIITGVHCYNKAKYFGGVGILVKAAQTRLDNCYLDFNSIVIEDPSQVHVINGFFLGGGNIVLKSIRGRISGLTIVNNMFTGNEKSTWTPIVSLDGAFSSIDQVVIDHNNVNGMSLRSTVGKMAVSGNGTEWVADFSSVLVFPNQINHVQYSFYVPGFSGGFPGHVLTNVSNNIVVVGSEKPINGVVSVVVDQHNMVGERNFFM >KZN08278 pep chromosome:ASM162521v1:1:4916285:4922799:1 gene:DCAR_000824 transcript:KZN08278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSEQEPMDTETVISLVGKEKIKRLLTRRNRMNSPQTGVSPKVEIDVKNIKDMPLKPTQKSANNIECSGSPRSCKMPARWNPLEACRPVIDEAPVFHPSTEEFQDTLGYIAKIRPIAEAYGICRIVPPPSWNPPCPLKDKSVWENAKFSTRIQQVDLLQNREPMRKKRSRKRKRRSLFGMGNKRKRPNSEASESNMASDPEEKFGFQSGSDFTFEDFEKYSANFKECYFKLKDEDIIDENVTGTKKKWEPSIEDIEGEYWRIIEQPTDEVEVYYGADLETGTFGSGFPKISPSATELESDQYLSSGWNLNNFARLPGSILCLESCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGIPGTHASALEAAMRKHLPDLFDEQPGLLHELVTQLSPSVLKSEGVPVYRVVQHSGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLEHGQCAVELYSEQHRKTSLSHDKLLLESAREAVRALWELSVLNIESPKNLVWKSVSGQEGILTKAVKKRVDLEKNRIEHLPTHLKLQKMEKDIDLTNERECFSCFYDLHLSFACCKCSSDRFACLKHANVVCSCGGDNRIILLRYTLVELNTLVEALEGSLVALEAWASADLELITINGKNNITAKLDPEETSKSSSSEPNHDPPCSLKTEEIMSTDKPSSHMPMNVCTEDVQVVQEDTAEKHAPQNMADEQNVDLCKADNNMLEHIYSIDLNHYSMSDEHDSMLKQLSDRCDNNITVKVDEIYGDICMVKAAFKSDSQREVEIAQHCSDSGSSVSHVNSGKHYNHSPKLFGFDLTINNPELGASLHSSKKSEDLDNLDSNACQSGQSYSSQNFSYAVDSVNIGSAVFKKFWSNDQMIFPKGFRSHVKFFNVLNPTEMSSYISEVLDAGFLGPLFQVTLEESPDQSFTDVSAQRCWELVLHRLNQETTRLQALGKAFSLRFPQNIDGLKMFGFYSPSIIKAIENLDPDHNCMEYWNNKLSVKENYIENIHKQSEMSARPKVAEKYPCGSNSSEGETTPGTSGNTTTKEQDLPILPNNNGSLDEESTKILRSLLKKANPEELQILHRIMSSEHEGPQRKLALTTLSEEIWRTCK >KZN10510 pep chromosome:ASM162521v1:1:36450799:36459501:1 gene:DCAR_003166 transcript:KZN10510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEAPPSSSSGVSTRASKRRRLTSAVANSRILTKGVSMDESSGSGHRGRRGKNHVVDKVKESSDKGKEKEIDVRDSSDKGKEKEIDVRVRGNEVERMLGLKIESNRDDDEDGDSEDEDSDEDGVGMLHSNLTSASSALQGLLRRLGAGLDDLLPSSAMAAASGSHQSGRLKKILVGLRADGEEGKQVEALTQLCDMLSIGTEDSLSTFPVDSFVPVLVGLLGYESNPDIMLLAARAITHLCDVLPSSCSAVVHYGAVSCFVNRLVAIQYMDLAEQSLQALKKISQEHPTACLRAGALIAVLSYLDFFSTGVQRVALSTAANICKKLPSDASDFVMDAVPILTNLLQYHDAKVVEHASICLTRITEAFASSSDNLDQLCNHGLVTQAASLISTTSSGGGQASLSTSTYTGLVHLLSTCASGSPLGAKTLLLLGISGILKNILSGSGLVAGMSATPALSRPPEQIFEIVNLANELLPPLPEGTISLPASCNIFQKGSHLKKPPASSSEVQVDSSRCSYEISTRKKLLNEQPELLQQFGMDLLPVLIQIYGSSVNGSVRHNCLSVIGKLMYFSSADMIQSLLSGTNISSFLAGVLAWKDPQVLVPALQIADILMEKLPGTFSNIFVREGVLHAVDALILPGSTSTLISQASLCELANDSITGSSRSRRYQRRGENSSLNVNSTDESKYSIPTIGSPPSATEIPSVNSNIRMAVSTCAKTFKEKYFRTNPGVVDAGVTVDLLNLKNLCTRLNAGIQDGRTKSKGTSRSTGSRIANISDSEEDKLVRVISEMLIELSKGDGVSTFEFIGSGVVDALLNYFSCGYTTKEKMSEANLSKLRQQAIRRYKSFVALALPSGVLEKNEAPMSILVQKLQNALSSSERFPVVLSHSARSSSGNVRLSSGLSALSQPFKLRLCRSQGEKSLRDYSSNVVLIDPLATLAAIEDFLWPRVQRADSVQKPAESIGNLESGKAPTGAGASSLFTPTPAYTTRRHSTRSRASIVINENTKKESLQERSTSLSKGKGKAVLKTAQEDARGPQTRHAARKKAALDKDAEMKPAEVDSSSEDEDLDISPIEIDEALVIEDDDISDEDDDDHDDLLRGDSLPLCTPDRVHDVKLGDSTESSPIGPTPSSSQTNPARGSSSRATTDKGSDSGNFRSGNSFGSRGAMSFAAAAMAGLASSNGRGIRGARDRQGRLIFGHNEQPKLVFSAAGKQLNRHLTIYQAVQRQLFLDEDDDERYNGSDFVSSDGSRLWGDIYTITYQRADSQADRGSAEAVSSTSPGKSSRTGSALNSTVNPSLQHSSLLDSILHVELPCDLEKSNPSYGILALLRVLEGLNQLAQRLRVEAATNSFAEGKISSLDEIIVTGVRVPIEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTRACPFLFPFETRRQYFYSTAFGLSRALHRLQQQQGADGLGSMNEREVRVGRLQRQKVRVSRNRILESAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSYDKSAVESDTVHQKDGKRISNISQASRDLIQAPLGLFPRPWPPSDGSDVGQFNKVIDYFRLLGRVTAKALQDGRLLDLPLSTAFYKLILGQELNLHDILSFDAELGKSLLELQALICRKQYLQSTRGQDHEAADIHFHGASVEDLCLDFTLPGYPNYVMKPGDEMVDINNLEEYISLAVDATVFDIAALQIFSPDELDYLLCGRRELWKMESLADHIKFDHGYNAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAALNPKLTIVRKHSSTVGNMSNVPGVSESADDDLPSVMTCANYLKLPPYSTKEVMYKKLLYAISEGQGSFDLS >KZN10856 pep chromosome:ASM162521v1:1:39595657:39603785:-1 gene:DCAR_003512 transcript:KZN10856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDTDHRAYCGHLRHPSSFSSDPQYEIQDVSISELVNHGSISFGRFAAETLDWEKRSVFSNNRYQDELEKFKAPGIVAQKKAYFEEYYRKIRAAKKSQTEEQEISQPDPCEDKLSCTSQAQNTAEICNSNDVKNSDSTSQITVLVEKMNEQQHIAPESNKGNSLVTGKQKVNFKDDVVKKVQQSNNTLDSSGLKCLKKAVKFPASSQKTCYTSSLPNKVVSVSLNAKKLKARTLLSEVKGPVPLAKEETMLNNMARRNAAKLPEKGNPLSGGDGSVNGSRRLVMAEKTSHLLQRSVKCKNLAVHKSSSRVQSSTEVSQPAVSRHIPTTCLNTKINSDKSNLNFGSRSKSSSRHANVTSKVNNMSRERSSARIAGKTSESSSRGMTWRSGQSENQKPKIISINRQTETNPNIRVGTQIKVTRAVDGRVPKTATTTPCNANKATSSTAEKKVMARTNFQLGAPTSGRREQRFVFTKASRAGTSCQAGDERWSLGKTVAIGSVMDVIQTYSATKDVDSLHEKENVLVQIKVLFFARARDLTGLTEMSLDVLQGSTTHDCLNKLIAKFPQLDEIRGCMVLALNEEYTTESATVKDKDELAVIPPISGG >KZN09550 pep chromosome:ASM162521v1:1:26995661:26997629:-1 gene:DCAR_002206 transcript:KZN09550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAASHITLECALQTHPNITLIGEEVQHLIAELNEILANDIVDEDGLWKKKLAPQSLELFALLPPANQGKFKPVIRKAMVELDAAPFKKFASLHEEWAQTNCYISPGPIQFVGLVSDKVNHTLLLELGVEV >KZN08955 pep chromosome:ASM162521v1:1:18113930:18114211:-1 gene:DCAR_001611 transcript:KZN08955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSNDNEANTQASSAKRKRKPIEKRSDVWDHFTKFTDAEGCLKSRCNYCDKEYHWIVYMQLNYCLILVFAIFYALSLKEIAQTNTIDLDMEW >KZN09894 pep chromosome:ASM162521v1:1:30557356:30559141:1 gene:DCAR_002550 transcript:KZN09894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLVLFAVAGVAVVGAVIGLLSSDVVHKKSSSTKAESKSDPLAYTSKPVASVCHSTDYKEACVNSLGILVNNQTASPKEFIQAALDSTLKEVKLALDRSGIIGKSVKGPQEKMAVDDCQSLLNFASDELENSLSSVGNTDLHKMRDREAELRNWLSAAIAYHQTCIDGCANSRELNETMSNGLMNATQLTSNALAIVSTMQDIFKSFNVSVNKTEPTRRQLEGLEGDKAGYPSWLSSADRKLLASDYAGRTPNAVVAQDGSGQYKTINEALAAYPKNHQGRFVIYVKAGTYNEYVTVTKDQVNVYMYGDGPRKTMVTGNKSNAKGISTMQTAVGAGFVAKSMGFQNTAGPDGHQAVALRSQSDMSAFYNCRIDGYQDTLYTQTHRQFYRNCVISGTVDFIFGDGAVVIQNCLIIVRMPGPSQKNTITAQGRTDKREPTGIVIQNCRIVPEQKLFPQRFQIQTFLGRPWKQYSMTLIMESTLADFIQPAGWMPWQGSFALDTLFYREYANRGPGSGTTQRVNWRGYKVITDKNEAQPYTAGPFLQGDQWLQGTGAPFVLGFAH >KZN10178 pep chromosome:ASM162521v1:1:33349791:33356745:-1 gene:DCAR_002834 transcript:KZN10178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNGFKLLRLLSRQSLRPTFLHDSSPISTGQPFLAFRKFSTSILTPESSEGTFPSDLLSRGQVLTPERKIGLYQDLVIPVTNFNNEDKGYMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWPQKGTGQARHGSRRGPQWRHGATMHGPKPRSHEIKLNKKVRRLGLKIALTARAAEGKAKTEEEDFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTELPKAGKGKKKSLPINKERFISKMFLRGDSVIIVLRNPK >KZN11457 pep chromosome:ASM162521v1:1:44815624:44816343:1 gene:DCAR_004113 transcript:KZN11457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVSDDDSQVYNNWLPPSESPKPAQSNKRSHQQLQQPEPLNCPRCNSSNTKFCYYNNYNRTQPRHFCKACKRHWTKGGTLRNVPVGGGRKNKRHKPSQPAATAATTNPPLQSSYQNINSFHSQQPSFLSNDDSAFIYNSESFMNHQPMQFSFSGWNCEKNNRSLNLNNIATSYQKLENMDKSVINEAWEFEVPGIENNVNSSSVTELGSCSYWNWNEFDSTVGDFSIPNWDETEDIKP >KZN08087 pep chromosome:ASM162521v1:1:2545600:2545788:-1 gene:DCAR_000756 transcript:KZN08087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKSGPSILIRLSWKRRAYECGGYEYNNKYSCGCKREKNINNEVNFRDFVLNNQHESRGRQ >KZN10717 pep chromosome:ASM162521v1:1:38404231:38405436:-1 gene:DCAR_003373 transcript:KZN10717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRDYINLLPKELLSDVFIRLPAKSLGQCKCVSKSWKSLISDPNLIQTHLIRASVTKLMLISFHSDPCGMYTTDFFHDARYDYKNPNCKKLLKTVLARMKAQRETKKKLNFKNSSNRWTKVWGSCDGLILVQNDLLHPALYVLNPTTLESRKLPLLPLQFYQLSTCNTFGFGYDFSCDDYAVVAISSLSGSATVAYVSMLKASQWKRVADPPFDLIKRVAGIFLEGSLHWLSEKSMIIWAYNIAEKEFSGVPLPIGVFDSNSVFPRLGVLKGCLCLFSNVINSVSELYVMKEYGVVGSWTKLSVVLSDVSHVASLNFRDDSSGFPEHGDSVLLVSNAKFSDLYDTEVLGLPNDFRVGMTFVESLVSPEKDGNKNTREKKSETIPRPRQCRYDMSGWLKAW >KZN09579 pep chromosome:ASM162521v1:1:27359313:27360886:1 gene:DCAR_002235 transcript:KZN09579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDPKIKDFLRIPKSLLSFSFSAYLLQDVNLLIRRMASNAAKEALLPVSSSPSDFRKRNLLKGSKDRNHGSSSSVDNSTQFERGNKAPPELAESIFDQQVHFKKVIIIFLSYISIGSLCFYLVRNQMRGKKTNGVLDSIYFCVVTMTTIGYGDLVPDTVLAKLLACVFVFSGMALVGYVLSKVADYILEKEGNLFFKTINASDMFDPTDIAADNNKSRLKFFTTLTILLILVFVGTLFLHKVEGLDLFDAFYCVCTTMTTLGYGDKSFSTGGGRLFAIFWMLTSTICLAQLFVYFAEFWSEERRKLLVDWVLERKLTAVDLESADLDNDKVVSVAEFVVFKLKEMGKISKEDVAMAMKHFRNHDIDHSGTLTASDLA >KZN09754 pep chromosome:ASM162521v1:1:29062201:29063505:-1 gene:DCAR_002410 transcript:KZN09754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHYCHVIFFCTLFLISVANAQPSFRPKALILPVTKDPATLQYLTTINQRTPLVPISLTLDLGGQFLWVECYTSYVSSTYRPARCRSAQCSLARATSCVTECNSPPSPGCNNNTCGLLPGNPIGQLATFGDVGSDVVSVQSTNGKNPGPFVSVPQLLFVCGSEFLLGGLANGVKGMAGLGRARISLPSQFSAAFSFNRKFAVCLSASTSSKGVVIFGNEPYVFLPNVDASDSLIYTPLFINPVSTASSYFEGDPSTEYFIGVKSIKINEKVVPINSTLLSIDKEGYGGTKISSVNPYTVLETSIYNAVTNAFVQVISGVPRVASVAPFSACFSSKNIGSTRVGPAVPQIDLILQSQSVYWRIFGANSMVQVSNDVMCLGFVDGGVNPRTSIVIGGHQIEDNLLHFDLAASRLGFSSSLLFRQTTCGNFNFTTA >KZN10289 pep chromosome:ASM162521v1:1:34240943:34241910:-1 gene:DCAR_002945 transcript:KZN10289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFSDLLNSGAGDVNNAVSYGLGDRVAQRTGSGVPKFKSLPPPSLPISPPFVSPSSYFSIPPGLSPAELLDSPVLLSSNNILPSPTTGSFPYQAFNWKKDNSNNQQDDVKQEQNHYSDFSFQTQTRPPATSSTTIYPSQTTTITTVCR >KZN11109 pep chromosome:ASM162521v1:1:41779304:41780397:1 gene:DCAR_003765 transcript:KZN11109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQVHNKLFARGSDKILPALYMLRKGLHCGQPRLAPRSFFGVEDFLDDNNSRPYTYQKEKKSKNPNKHVSFKQRTVAYMEPFTLDVFISKRFVSASITHRVTSKQVAVAGTNSKDIKAALKSRSDIPACLSVGKILSERAREADVYTASYTPRDRDKFEGKIRAVVQSLIDNGIDIKVYLD >KZN08180 pep chromosome:ASM162521v1:1:3596737:3602539:-1 gene:DCAR_001245 transcript:KZN08180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPERWCVVIGGRGFAARHLVEMLIRYDMYSVRIADLAPSIKLDPYEEKGILADALHRGRVQYVSTDLCDKAQVLEVCHGAEVVFHMAAADSSIDNYQLHQPVNVQGTVNIIEACVEHKVKRLIYTSSPSVVFDGVNGIYNGDESLPYPTKHNDSYSATKADGEALILKSNGVNGLLTCCIRPSSIFGPGDKLLVPAIVNAARAGKARFIIGDGKNMYDFTYVENVAHAHICAERALASGDKDAERASGQAYFITNMEPIKFWDFVSLILTGLGYKRPRYRIPVRILMPFAHMMAGVYTAFAQYGMKAPHFTPSRVRLLSCNRTYNCAKAADRLGYTPVVPLEEGINRTINSFSHLKAELHRKEGPSKASVYLGRGRVADILLWKNKKQTLATLLALFVFYLNFIAPRYTIITAVCKILSWAAIFLFIHGRIPKKLSKYTVEKIPESNFHLSDDWPHRAASAMASYWNSGVNNLKSLCCGNDWSLCLKNLVILPELYQLRR >KZN08427 pep chromosome:ASM162521v1:1:6586801:6598420:-1 gene:DCAR_000973 transcript:KZN08427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDMPAAMSQSMRSGRSSFGSSNGFDTPSRSFANSNRDGYDSDGSNFAPPTPTTLSRALPEEFSSAIPIIDKFQVEGFLKAMQKQIQSAGKRSFFSKRSVGPQVREKFTFEDMLCFQREPIPTSLLKMNSDLVSRAVKLFQIILKYTGVDSTDRVSPTSLDESVELVGKLYKQALKRSELRDEVFAQISKQTRNNPDRSFVIKAWELMYLCASCMPPSKDFGGFLSEYVHNVAHGATTDSEVQALALETLNAMKRSIKAGPRHTIPGREEIEALITRKKLTTIVFFLDETFEEIAYDMTTTVVDAVEELAGIIKLSAYSSFSLFECRKVVNASKSLDPGNEEYIGLDDNKYIGDLLAEFKASKDRSKGEILHCKLTFKKKLFRESDEVVADPMFVQLCYVQLQHDYIWGNYPVGRDDAAQLSALQILVEIGVVLSPESCTDWTSLLERFLPRQIALTRARKEWETDVLSRYGSMENLTKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAANAPVNGDVSNNVKSPIVNANEKHIEELSKALEESQRNAKQGLKYMLNTERENLAAVTCNRDELRSLYDENNMALQDALLENRSLEARVAKLSKPELENNTKKELVEATNQVYRKHTSTHVLQKIQDELRTRSLELHAAEDAKKRLVNEKLLLEEKLSVTEKKKIEEVQILEKNFAEQRKALKLQVSELEKELDVVKQKLTQAELNIAAKDKDLLSLQINLNELEELREMKEDIDRKNEQTAAILKMQGAQLAELEALYKEEQVLRKRYFNTIEDMKGKIRVYCRLRPLNDKETAEKERNVLKSVDEFTVEHIWRDDKVKQHCYDRVFDGNASQYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNHPGLTPMATSELFRILKRNHNKYSFSLKVYMVELYQDTLIDLLLPKKARPSKLDIKKDSKGMVSVENATIVSVSSYDELKAIIERGSEQRHTTGTLMNEQSSRSHLILSVIIESTNLQTQSVARGKLSFVDLAGSERVKKSGSTGSNLKEAQSINKSLSALGDVISALSSTSQHIPYRNHKLTMLMSDSIGGNAKTLMFVNISPAESNLDETYNSLTYASRVRSIVNDPSKNVASKEVARLRRLVAHWKELAGKRDGDEDLEEIQDERPKKDKTDGRHSM >KZN11856 pep chromosome:ASM162521v1:1:48785029:48794427:-1 gene:DCAR_004512 transcript:KZN11856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQDDSVPGSKTFVRGGTINPNDKLKTDTEGGNSKDGVSGSKKGSRYVPSFLPPPMATKGKDFEKKKEDERPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHTDNSSLSSRFDELPDDFDPSGKPGSFDDGDPQTTNLYVGNLAPQVDESFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVIVYEYELKIGWGKSVSLPSQALPAPPPGHMAIRSKEGATVILSGPSGPPVTSVPSQNSELVLTPNVPDIKVTLPEDDHLRHVIDTMALYILDGGCAFEQAIMERGRGNPLFSFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPPLPIAKSPELEKESGSTYAAGRSRRIEPERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIVESFNDLYRSISGRITAEALKERVLKVLQVWADWFLFSDAYVNGLRATFLRSGSGGVVPFHSICGDAPELEKKINPGDTSDAIKTNQDAALAMGKGAATQELLNLPIGELERRCRHNGLSLVGGRETMVARLLYLEEAEKQRGYELNDDLKYAQIHSSSGRYAGGQKETITEMESAGSSALIRNRVDELPSQGKGSGILAPTIPIPQPELRAFTDKGRNEPMLTASKWARDDDERDDEQNTSTRDLGLTYSSSGSENANDEIHKSVEVDMTTDASVLVHPDTGITEEQRQILRRIEVSLIEYRESLEDRGIKDVAEIEKKVAIHRKHLESEFGLVHSNENAPISKKPSSDRRERRDESRESSRKRTRSHSRSRSPQRKSSRDKERENEGDRDKERHRDRAHELESERRRDREKSGSRERDDGRDKGRERDRDRRRRMK >KZN11354 pep chromosome:ASM162521v1:1:43903334:43903809:-1 gene:DCAR_004010 transcript:KZN11354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSSLDCRSEPIPQIIYRCKKCRRIVASQEQIVSHEPGAKKGFRWTGKKGGPLHMNEEPAECSSIYVEPMKWMEAVQEGFVGQKLQCIGCKGRLGSFNWAGMRCNCGAWAIPAFQLHKNRVDECSL >KZN08520 pep chromosome:ASM162521v1:1:9657744:9658556:-1 gene:DCAR_001050 transcript:KZN08520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSMNLKIVNVWDDSFQIHFDNFISTIRNYNVIVVDTEFAGILEKIRYKKKDDVYAQMKRNINETKCIQVGFDDSMPRYRKRIPCIWNFNLQFDMETDTYCPDAMELLQTNEMVLRKHARDGISAHKLCEAMLNSELLLTSALSVYPKTWLTYGWNYNLGHCVKFFQPTNVLPNSRLVFEDMARSYFGERFFGVKLMSAYIDLAYGKMKLATVVARFNVDNGGVSHKPVADALITLKLYQILKIKDGNVNCVNMVTDLFYGATYS >KZN08391 pep chromosome:ASM162521v1:1:6037269:6041222:-1 gene:DCAR_000937 transcript:KZN08391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSELLVSSWCKNAKSLPADYIMPPEKRVTDFTVSKEIPVLDLEHEAGPGRSALIQQIIKASQEFGLFQVINHGVSDELMHETMSLYEEFFNLPVDDQEKLFSDDFFSSVRLYTSGYNYANEDFHLWKDTLKHLTHPLEDVVQGWPDKPSRYREVMTKYSVEVNKMTTRILDLICEGLGLEEGFFRGEMTKGIGMVINNYPPCPEPDLAMGIHPHCDPYILTIIQQQVYGLQIKKKEQWIGVEPIPNAFVIMIPYQLQVINHGVSDDLMHEAMRVYEEFFSLPVDDHESLLSDDFYKSVRLYTSGYNYANEDHHLWKDTLKHPACAHPQDEVVQGWPDKPERYREIITKYSVEVRKMSVRILDLICEGLGLEEGFFRGELSQGIGMVINNYPPCPEPSLAMGIHAHCDPYTLTVIQQQVYGLQIKKSGKWIGVDPLPNAFVIMIPYQLQIISNGKLSSCEHRGVTNTSEARISVVTFCGPSKESVIGPAKEHVSASNPPQFKTYKYLDFQMNYLYHLSKKNLCNETALGPYLL >KZN09899 pep chromosome:ASM162521v1:1:30595551:30597069:1 gene:DCAR_002555 transcript:KZN09899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLYVPQFVKHLDCIDCSVDEMPIPSSFSSRFGHRVTDSVKLKFRNGYKIRVTFDRDGMCVRPDGWRFVKHLNLANGFGDAVVPPQSFLGKFAATLPTRFKYFLNNGAEFRGHYNRQDGILTGLSSIPEYLRLEDLNFFDLVVFSFDNLKEFDVTCFDGRNVELVFHTYTIHSGAMLQSIRPASFFAVVVQPFHMLEYCHGVDISAEYRTLTDWWGKREEISIFRGRRCWELEIRKRADNKRTTIHDGWIQMRDDLQLEVGDTCWFKWKDESYHRFTVVVEKASG >KZN09466 pep chromosome:ASM162521v1:1:25591747:25592331:1 gene:DCAR_002122 transcript:KZN09466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEKNLIEIVEEDHPIDMSKYIKYVQSPSCGAIATFSGTTRDTFQGKEVLELRYEAYVPMAIRCLESICSSARSSWSLNSIAVAHRLGSVPVGETSVFVAISSVHRSDALDACKFIIDEIKASVPIWKKEVYTNGEVWKENSEFLDRRVELAEKGTLQISGDLSKLEGNAHNRKGCCGVKVRVDDGNITSHC >KZN10234 pep chromosome:ASM162521v1:1:33750928:33754659:1 gene:DCAR_002890 transcript:KZN10234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGSTRLDGITNAVRRKRSQTSRRPKPESLSSLSSTPPSEDIAKASSDENTGYDGSSKRKTFNLNQCISRSSASRVDGETAFQKMEGESGILYGSGGLEDDNVTGQGPNEGVNFSSKQPDVVVHEPKFKKVKLKVGGVTRTIQTSQATSESGSSTKSVRLPEAPRPLQKQSLQDDLDDDNFPTSGKPSGLRGIPWKDFSRVGFTLKKDDHSTGTNKEQRVKSDLQKSKRESKKRDISGMFNDDDDDEDDEIRYLEKLKTSRVSGGLKDVGKESSSKHKSLSRVSKGARYESLKDFGHSKSGKDGKKNRSDGLSDDTDYEEELLSDSELEGRELEGRKKKIPRKDSPDLPTESKREFALTTRQRARLSGKDSSSLSASNSIEFPNGLPPPPPRKQKEKLTEVEQQLKKAEAAERRRMHNEKAARESEAEAIRKILGQDSSRKKREDKIKKRQEELAQERAANSQTLPPNTVRCVIGPTGTRVIFSEDIGLPHIFDPKPCSYPPPREKCAGPSCDKPYKYRDSKSRVPLCSLQCYKAVHDNLQAETAC >KZN11942 pep chromosome:ASM162521v1:1:49570566:49572090:-1 gene:DCAR_004598 transcript:KZN11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNSNSMVQYEAFSSVVPHKPTFPRPSFRISAEKDLEPGNLKRCFHMLLCYERTSSEDSGWIVAGWTKTSMAMALSDKPMLAGRLRGVEDGGLEIVSNDSGIRLVEARIPITLSEFVGLRYKGEVTNFKCGGYAIGISCSILLADPFLMSSFLKKWSKIHMNLFSESQTQKLPLQYLPNFGKSDSTPVYDPNYATPQATTEQTLIFKFANLTMDNEMQSIVALSCIEKAHRRLGKEVTSDFSLLVKDPFNNVFKIVTIIVKDVISLESFENYTANHGEDCSWGDYVDAGGINIAKNNNPVDTVCWISSPVEQDLVMIISSGSNVTVVVTVSATDHAVEIA >KZN08828 pep chromosome:ASM162521v1:1:15929751:15931355:1 gene:DCAR_001484 transcript:KZN08828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNYELIMLLVLFLRCSIHDSFVEASEVPAMFVFGDSIVDTGNNNFINSIAKANYYPYGCDSISGLPTGRFCNGRTVVDFMGEMLGIPAPPPFADPATTGDRLSGGVSYASAASGILEDTGKHYIERFTLSQQVVNFQTTLDQLRILMTPEDLTKYLANSFTVMVFGSNDYINNYLMPSMYPSSFRYSPPDFANLLLDRYARQIVALHSAGLRKFYLAGVPPLGCIPSQLAIGQPPPGKCVDYVNQILGTFNEGLRSLAISLNRDHPGAVFVYGNIYGVVGDMIYNPSKYGLSVVSRGCCGSGRNQGQLTCMPFQPPCYNRNSHLFWDAYHTTEAANYVIAQRAYSGPPSDCFPMNIQQMASIKI >KZN10075 pep chromosome:ASM162521v1:1:32383819:32393877:1 gene:DCAR_002731 transcript:KZN10075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQKKRPEQPSSSGRKSLKRKLEEDFEDDRDVFSLTCDQDLLLEIRAQVQILENTFSSIEADRASAKRAIHVISEFAKTGVFAEEYVNMIVKSGVVPVLVRHLQAPPPQSDYSPRPYEHEVEKGSAFTLGLLAIKPEHQKLIVDAGALPHLVDLLKRHKNGDNSRAVNGVIRRAADAITNLAHENSSIKTRVRTQGGIPPLVELLEFSDAKVQRAAAGALRTLAFKNDENKNQMVKCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLQAGALQPVIRLLSSCCPESQREAALLLGQFAATDTDCKVHIVQRGAVGPLIEMLQSSDSQLREMSAFALGRLAQDSHNQAGIAHRGGIVPLLNLLDSKIGSLQHNAAFALYGLADNEDNVADLIAVGGVQKLQDGIFLVQPTRDCVAKTLKRLEEKINGRVLNHLLSIMRVAEKSSQRRVALALAHLCSADDQKAIFIDGGGLDLLLELLESPNSRHQRAASVALYKLADKALSLSPVDAGPPTPICQVYLGEQFVNNPTLSDVTFLIEGKRFYAHRICLLASSDAFRAMFDGGYREKDAKDIEIPNIRWDVFELMMRYIYTGSVSVDLDVAQDLLRAADQYLLDGLKRLCECAIAKDIAVENVSLMYDLSEAFNAMSLRHACIFFILEKFDELSVLPWYSQLISRILPEMNNYFVRALTRNIQA >KZN08027 pep chromosome:ASM162521v1:1:1550346:1552006:1 gene:DCAR_000696 transcript:KZN08027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSTAQGDEGENNNRAALQSLPNFVGKHRMTAAIALLNQQIQFLQEEIDQLETLGGSSVVCKELVASVESVSDALLPVTNSMSYAEPKGQQKLLGRDGSKDPLKTARSGYEYHLSPESD >KZN11420 pep chromosome:ASM162521v1:1:44490897:44498981:1 gene:DCAR_004076 transcript:KZN11420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEEEYDPAYNEDEGEDITQEDAWTVISAYFDEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQNDFAETIYKISFGQIFLSKPMMTESDGETATLFPKAARLRNLTYSAPLYADVSKRVIKKGHDGEEVTETQDFNKVFIGKVPIMLRSSYCTLYQNNEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVGEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFADTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRMDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYVTVGSAAYPILEFLEEWGTENFEEISPAVITQATKIFVNGCWVGIHRDPDMLVRTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIRRKDIEALQQKESPEDGGWHDLVAKGFIEYIDTEEEETTMISMTINDLRSARENPEEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNFQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRANTMGMRHGSYDKLDDDGLAPPGTRCSGEDVIIGKTTPIAQDDAVQGQAASRYTRRDHSTSLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCEKCGLVAIANLKKNSFECRSCKNKTDIVQVHIPYACKLLFQELMSMAIAPRMLTKDVKLAKDLKKRGA >KZN09893 pep chromosome:ASM162521v1:1:30544093:30549151:1 gene:DCAR_002549 transcript:KZN09893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDKSLFLTYFYLLVYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMGFSGAVAFFLIRVIKVVSPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLMAVLCGTDKLRWDVFLNMLLVSVGVVVSSYGEIHFNVIGTAYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFAFLFVPWYFLEQPGMEVSQIKFNFWIFFSNAICALALNFSIFLVIGRTGAVTVRVAGVLKDWILIALSTVIFPESIITGLNITGYAIALCGVVFYNYIKVRDVRSSQLPVESIPEGMTQEWKFEKKPTDSYDDDTNSRGLGSASDQSVDEEAPLISSSRISHIGRSQLSNRDV >KZN09962 pep chromosome:ASM162521v1:1:31202427:31203449:1 gene:DCAR_002618 transcript:KZN09962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPADSISTSKPISKKPVLNLITVQKAIKALLRWKELQKPQSLTDPQNQSDDFMYLLVTLKKIPRKDNRTAFKIPLVHPLQDSLLFKDVCLIIDDRPKSNPKFKVNVEVANKMIKNEDLPVTKVLKFSTLKSEYKAFEAKKKLYEEFDVFLVDRRVEKFLPGVLGKVFYGSKKKVPMAVNLGKCSWKEEIERGCRSVMLSLSGGTCSLVKVGKSSMERNEIFENVVKVIEEVVEVVPRKWAGVRSFHLKFTESLAMPIYPALVDGKLGVEGKKENVEGKVLEKVRSLKSVGLVDNDDEGGSRDGEERGSKELGSGKNVGKKRKDGGSIISGNKRVKNDLL >KZN07986 pep chromosome:ASM162521v1:1:1221052:1223300:1 gene:DCAR_000655 transcript:KZN07986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLNQPAASTNVCFSSYIHSLSETPHRLRNRMLATWTPEQELNQMRLRSGANMKRKLMWYDLVALGVGGMLGAGVFVTTGRVARSNSGPSVFISYIIAGISALLSSLCYTEFSVQIPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVARSFTEYLCCAFGENDPNSWRIEVDGLVKGYNMLDLPAVALIILITICLCNSTKESSMLNLIMTVFHVLFFVFIIVAAFYNGNTENLVKPAGLAPYGAKGVLDGAAIVYFSYIGYDSVSTMAEEIKNPTKSLPIGIVGSVVIVSALYCLMALALCVMVPYDQISESASFSLAFHKMGWKWAGNVVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPLWLAKVHPSTGTPLNATIFLGVCQATIALFTELNIVIEMISIGTLLVFYLVANALIYRRYVISSNNPPQHTLLYLFILSCTSLGFSLSWKLEHQWWGLPLCGGSMIILTAFFQYMVPCDPQSTEWSVPLMPWPAATSIFLNVFLMTTLPKLSYQRFGLWACLVTLLYVFYGVHSTYQGEAEDASISSTNHSNFSTQQEKLDIQVL >KZN10440 pep chromosome:ASM162521v1:1:35703313:35703660:-1 gene:DCAR_003096 transcript:KZN10440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSTKSFRRQGSSGVVWDDKLLPEEIDIILRRDGGNGGSGNPELRHCYSIGGAGMIVNNNVEGEDGIAPLVYSRSYSTPVTTKPSSPTKSLSASGKPPANKFSFKLGNKKL >KZN10176 pep chromosome:ASM162521v1:1:33320185:33320751:-1 gene:DCAR_002832 transcript:KZN10176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRSRASEMIDLIDFKQQGLNDVVSDHLKPRVPKMMHHILLAPSEKIIHHNHTIPPRHQPVHQMAPDEPGAAGDHNPPPLGLEPQRDLPAGAHEFIGEKVAAGGGEGGQVGGGGVEVGGGGGGAGGGEGEEEGGDDNADEGEDEALLAEDVAEGTSDGEPGLGGLGGVGVGGELGFVAPEDQFGSHV >KZN10805 pep chromosome:ASM162521v1:1:39170570:39174421:1 gene:DCAR_003461 transcript:KZN10805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTRVLVPLNSKSFSSSAAPRPRFRPVFRDRTGSPANSSASLSFRQNFGCGCGCISSSIKINPVTVQKKIYRKGWVVGILLSMVLPFYRTKLTSFLALKKEVETVVDTAELVLDVVEKVVAEVVEIADVLEENLPQGAKLKNTIERVESVAREIGKDADLLEDLLQKVEKAEKEVGNMIEPVIDQINKEAGSQTIALKDLGEAPKSKLKRWIAGVAVSAITLPQLNSFLEWKNEVEIIVEDAEIIAEVVEKVAEEVAEVADLLDNQLPEGGKLRNAVDVIEDVAKEIVKDTNIAEDILNKVEDFGKEVDSLIAPANDQVNLNVPQEATDVKGAT >KZN10902 pep chromosome:ASM162521v1:1:40165434:40170756:1 gene:DCAR_003558 transcript:KZN10902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNKLFDGESAKVMVKGLRETFSSGKTKSYAWRISQLRSILKFVRCHEKEILDALRSDLAKPDFESSIYEICLIKNSCKLAIKKLKCWMKPEKVSTTLLTFPSTAEILAEPLGVVLIIAAWNFPFLISLDPVIGAIAAGNTVVLKPSEVSPATSALLAKYVGEYMDSSAVKVVEGAIPETSALLEQKWDKIFYTGNSKVGRIVMSAAAKHLTPVVLELGGKSPVIVDSDIDLKVAVRRIISGKWGCNNGQACIAPDYIITTKDFAPTLVDCLKLYLEKFYGEEPLKSKDISRIVNSNHFNRLIKLLDDDKVSDKIVHGGQRDINNLKISPTILLDIPDDSLIMSDEIFGPLLAILTVDHLEDSFKIINSRPKPLAAYLFTNNKKLKEKFVSDVSAGGMLINDTTLHLSVPTLPFGGVGESGMGGYHGKFSFDTFSHKKAVLYRTYLGDAPQKYPPYTPQNLKFLKAAIKGSFCDILRAVFC >KZN11115 pep chromosome:ASM162521v1:1:41870376:41878034:1 gene:DCAR_003771 transcript:KZN11115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIGAQQAQIEGNNSNGSGNGNGGDQFNDGGGMAGRVGYVTCNGIYSIRDLNEMREIGAQQAQIEGNNSNGSGNGNGGDQFNDGGGMAGPPQGLIERLKDYGQEDAFALWDELSSDERELLVKDIENVDLSRIDRIIRCSLQSHALPAAAIEPVPESSVSTVEDRKLVDRESWWKMGMKAISEGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAEKILRVQRLAALSQNEGSTDIVPIHWYIMTSPFTDDATRKFFESHKYFGLESDQVTFFQQGTIPCVSKDGRFIMETPCRVAKAPDGNGGVYSALKYSRLLDDMTMRGVKYLDCYGVDNALVRVADPTFLGYFIDKGVATAAKVVRKAYPQEKVGVFVRRGKGGPLAVVEYSELDSSLASAINQETGRLRFCWSNVCLHMFTVDFLNQVANGLEKDSIYHLAEKKIPSIHGYTMGYKLEQFIFDAFPYAPSMTLFEVLREEEFAPVKNANGSNYDTPDSARLLCLRLHTRWVVAAGGFLTHSVPLYSTGVEVSPLCSYAGENLEAICRGRTFHAPCEISY >KZN08171 pep chromosome:ASM162521v1:1:3453632:3455613:1 gene:DCAR_001236 transcript:KZN08171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDEVAVGIDLGTTYSCVGVWQHDRVEIIANDHGNRTTPSYVAFTDTERFIGQAAKNQAALNPVNTIFEVYLGRNVNNAVVTVPAHFNDSQRQATKDAATIAGLNVLQVLVEPTAAAVAYGLDKNLTSSVGEKTVLVFDLGGGTFDVSLLKIKKDSFEVKATAGNTHLGGEDFDNRLLNYFIEEFERKHKKDIRKSAKSLRRLKNACEKAKRVLSHNTVTTIDVDSLYEGIDYCSKITRARFEELNMDLFESCMDTVKKCLEDAEMDICSVHDVVLVGGSTRIPKVQELLQKFFKGKELCKNINPDEAVAYGAAVQAAILSGEGGNNIKNLVLLDVTPLSLGIEIKGGIMSVIIPRNTTIPISVLQRGFSNPDGYGTDVAINVYEGERTRAEHNNLLGTFALSDLPPAPEGTIAIWVTFTVDANGVLHVSAENTATGLVNSITIDKRGTLTKEEIERMVKDAEQFKAEDEDFRRKFDKMQELKDYVYKTRDFAERNYNLDASDKTMISFYCKEAIEWLDANKNAEIHEYEYKKQHFKALCERFNISGSSGIKIEKIE >KZN12015 pep chromosome:ASM162521v1:1:50238830:50241197:-1 gene:DCAR_004671 transcript:KZN12015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTVCLCIAALVALSFVHWIHRWSNPRCNGNLPPGSMGLPLLGESLEFFASNSSSDIPPFVKERMKRYGPVFRTSLVGRPVIVSTNSDLNYYIFQQEGRLFQSWYPDTFTEIFGKQNVGSLHGFIYKYLKGMVLNLFGPESLRKMLTEVEQAANKSLDMWSRQETVELKDASAAMIFDLTAKKLISHDPEKSSENLRENFVAFIKGLISFPLNIPGTAYHKCLQGRKKAMTMLENMLEERRRRPNKVQRDFYDYVLEELQRKDTVLTEAVALDLMFVLLFASFETTSLAITFAIKMLAENPHVLKELTEEHDAILRKREDSELGLTWKEYKSMKFTFQVINETARLGNIVPGIFRKALKDIKYKEHTIPAGWAVMVCPPAVHLNPSTYKDPLQFNPWRWEESELKGASKNFMAFGGGMRFCVGTDFTKVQMAVFLHCLVTKYRWVLMKGGDIVRTPGLQFPNGLHIQISEKHKIRARGGRNHPSRQDDEEADHVYC >KZN09253 pep chromosome:ASM162521v1:1:22105463:22151501:-1 gene:DCAR_001909 transcript:KZN09253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRVVLFGLITFGTTRVRAHEESGPWGCDPDPEKRVGAEFRPGLITLDGHADDWEDIDGFEFSLLPALDPDQENEFKGGKMTVKALHDGKDVYFLLQVGGDYAYSKGDNSKCPSVALMFGVGDSASYHNMGGCKESPQACTSKSCRGHEVDIMHFSLGNAIPGRLYGGNPVDNKKGNGGDSFGHLVDLYAWNPHCRNLDGIKTGNDSGAQNDWKGAWWHSSLMTHTGFTEEDTPYGSSGQKGTYFFEFSRPLRTMDRFQQDVQFTIGQPSKFSAAFWYPVDGNPWHGSAHYSVGCDWVPLDMTPGSSAQTKLASRNSSGIVSAFALIVSVISLCVSVFIGYAMTRNNNTVSFIPMDRL >KZN09723 pep chromosome:ASM162521v1:1:28775649:28777373:-1 gene:DCAR_002379 transcript:KZN09723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIGKSSIKIVWSFLAGLFVYYASSADRWGGLGKGVGIQNFELHAFRAAFQMVLFQYYPKILVTSPAIGCQDCKKNFTWMNKNAETEVTLSENLKGPDHTDGMIQKVSSKISSGCEKTTYAEKYMLFKKFIQSALDRLGVHCLKEVDLAGLWKKNEPFAELIGPYWSLRDASGLVLETILPLDRLQEQGNSLKVLMLPIFDPALSPGNVALISWKI >KZN10769 pep chromosome:ASM162521v1:1:38755986:38759144:-1 gene:DCAR_003425 transcript:KZN10769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTDLINLDLSDTTDKFIAEYIWIDAVGGLRSKARTLSGPVDDPTKLPKWNFDGSSTGQAPGDDSEVIIYPQAIFKDPFRRGNHILVMCDTYTPAGEPIPTNKRCNAAKIFSHPDVAAEVPWFGIEQEYTLLKKEVNWPIGWPTGGYPGPQGPYYCGIGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITEIAGVVVSLDPKPIPGDWNGAGAHTNYSTKSMRNEGGFEIIKKAIAKLETKHAQHIAAYGEGNERRLTGKHETASIHKFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTIL >KZN11056 pep chromosome:ASM162521v1:1:41320213:41322156:1 gene:DCAR_003712 transcript:KZN11056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVKQILAKPIQLADNVVKAADVTNNFKQECAEIKTKTEKLAGLLRQAARASNDLYERPTRRIIDDTEQVLDKTLVLVDKCRASGLRRIFTIIPAAAFRKTSQQLENSIGDVSWLLRVSAPADDRDDEYLGLPPIAANEPILCLIWEQVAILCSGSIEDRADAAASLVSLARDNDRYGKLIIEEGGVPPLLKLVKEGKMEGQENAARAIGLLGRDPESVEQIVNSGVCSVFGKILKEGHMKVQIVVAWAVSELAANHPKCQDHFAQNNAIRLLVSHLAFETIQEHSKYAIASKHNMSSLHTVVMAKNDNTGSHEAEEDMSSRVSRPSAVTNPSQMHNVVTNTMALKSTSQKHPNFQANSNDAWQNNQQQQQQQQHHHPNVALNGTSIKGREYEDPITKAEMKAMSARALRHLCANNVAICKSVTESRALLCFAVLLEKGPDDVQYNSALALMEITAVAEESSDLRKTAFKPTAPAAKAVVDQLLKIIEKADSDLLGPCINAIGNLARTFRATETRIVPPLVRLLDEREPEITREAAFALNKFAGTDNFLHVNHSKAIIAAGGAKHLIQHVYFAEQMVQIPSLILLCYIALHVPDSEELAQEEVLIVLEWGLKQGHLVQDPDIETLLLESKSRLVLYQSRGTRGFH >KZN12006 pep chromosome:ASM162521v1:1:50170636:50172814:-1 gene:DCAR_004662 transcript:KZN12006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKNSFLNQGSQRSSRVPTQNNSPHLNQDYQESPMPQNNSSGLDHHSQEFSDFRVLPNNSRGLYHDYQEFPDFHVPRNNSLGWTPQQFPNFPMYRTNSPGWTPQQFPNFRRTRNNSPAWTPQQFPNFPMTRNNSSGWTPQEFPNFPMTRNNSSGWTPQEFPNFPIATQQLYDFPTTRNNSLDPEHGSQQFPYFPTTQNNYLGHDRSPQQFPGFPMAPENYLSPEEASPENYLSPEEASELFTIFPAARNNYPGLDQGSRKNQVDVSLTLAKHLLLNYGKDSNLVFSPLSIQVVLSLLAAGSSGETRDQLLSFLKAESVDELNSVYALLVDVVFADGSSSGGPRVSIANGVWLDESVSFKPSFQQVAETMYKAASHRVDFQNKAEEVKNLVNSWVEKETRGLIKNILNAVGRSTQLILANALYFKGAWSSPFDAFYTRNYDFYLLNSSSIQVPFMTSNEDQFISVFDGFKVLELPYKQARNQSMEKRLSFSMYIYLPDAKDGLPALIERAGSESGFLDRYVPSRRVEVGKFRIPKFKFEYYIEASEALQSLGLVSLFGPSGGLGEMVSNSLPLFVSQIVHKSFIEVDEKGTEAAAATVVLMLGSSCVPRVKVATDFVADHPFLFVIRENATGMVEFIGHVLNPSVHA >KZN08738 pep chromosome:ASM162521v1:1:14731666:14736257:-1 gene:DCAR_001394 transcript:KZN08738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPGNVNSEEHSARDSGDESTGMFLFRQPGHEFKILFKKVFNHGDATGRKRFIRTSDDENESGAKRRGGGTALHDFLQKRMLSMASSKDKTRTESNSDSEFTLRQPLGNIDSNSRGVQRQVSMHECVQEGTHRQPCITQNFTTGGGSRVVHPHVQDKAFNVRTSSDKENNPSFADGVANSEAPRQRGRGPGVNNLINSRETLNSPNKEPPAAPKRRCRGPGVDKMYRQRFGHEDGNLNNDKTPLKNASDISSYSMRGTHISLNNTDVMGIVEDLEPIQEFQTNHGPVEIIKFTIYDGSVRHKVHISGPFNPDALSLYDDQFANPKIVIMASTRISEFRGTIKITNLSSTKIYVNLECPEVTTFRQWLINDGFMEFWPII >KZN09428 pep chromosome:ASM162521v1:1:24897631:24901936:1 gene:DCAR_002084 transcript:KZN09428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLELEVSSGSRGGGADVEHGAPANDEGGAYLVWEDLNVVVPNFGSNGESKRLLQCLNGYAEPGRIMAIMGPSGSGKSTLLDTLAGRLSNNTIMTGQIYVNGAKRRRDFGVVAYVTQEDVLLGTLTVRETITYSAHLRLPPTMTKQEVNGIIEGTILELGLEDCADTLIGNWQLRGVSGGEKKRVSIALEILVRPQILFLDEPTSGLDSASAFFVVQALKSVARDGRTVISSIHQPSSEVFALFDDLFLLSSGEVCYFGEAKMAVKFFEDAGFPCPRRRNPSDHFLRCINSDFDVVTATLKGSQRIQETENIVDPLMNMATADIKASLVKNYKRSEYSAKTREKIIEFKNYQGMEIELLSGSQAGWWKQLRTLTKRSFVNMNRDVGYYWSRIVIYIVVSLCVGTIYYDVGTGYTAILARGACGGFITGFMTFMSIGGFPSFIEEMKVFYKERLNGYYGIAVFILSNFLSAFPFLVAVSFVSGTMTYYMVKFGHGVSHYAYFCLNLFGCIAVVESCMMIIASLVPNFLMGIITGAGVLGIMMMTSGFFRLLPDLPKVIWRYPVSYISYGSWSLQGSYKNDMIGLMFDPLIPGDPKISGEDVITKTYGLSLDHSKWWDLCAVYCILVAYRLLFFIILKANERAAPYFRSLYVKRTLHNLNKRPSFIRKPSLLSRRYSNLNSLSSQEGRNSP >KZN11908 pep chromosome:ASM162521v1:1:49312544:49317408:-1 gene:DCAR_004564 transcript:KZN11908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMDLNAPHSMGTTIIGVTYDGGVVLGADSRTSTGMYVANRASDKITQLTDNVYICRSGSGSSYLYGFFDQAWKEGMSKDEAEQLVVKAVSLAIARDGASGGVVRTVIINSDGVTRNFYPGDTLPLWHEELEPQNSLLDILNAPAPEPMNI >KZN10613 pep chromosome:ASM162521v1:1:37590370:37590969:-1 gene:DCAR_003269 transcript:KZN10613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSSNVSNSSFQEESRDVYEAALLLYNMKHITLDPQAAHQLEQEKARQKYILQCSRPNYQDHLPPVQGLVGNYCSKPFQKQLTKSDLKKDQQRLLLNKSHVKQFLYPLLSSGEVKDVENREIGVHVYDAEGKVYEMKFKLWAEKAYVLKTNEWLRFCSEHGLVETKDWITIWMFKHATDTHQLCFAIIPNYNLLPSL >KZN10454 pep chromosome:ASM162521v1:1:35831102:35835980:-1 gene:DCAR_003110 transcript:KZN10454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSKDLNLVQQIEEIGHSLGELPTEVDVLLPVLDRVDVLLSQVEQSPSRQLLDACSIAMKALVKEPLLKHCDDDVKVYLASCLCEVFRITAPELPYEDNEIKEIFRLVVSSFKDLSDMSSRSYSKRVSILDNVARVRSPVVMLDLECDSLIVEMFYNFLGSIRDYHPESIFKYMESVMTLVIEESEDVSLDLMTPILGVLKKDNEDTQTISQKLGEKVLETCTVKIKPYLLQAVKSLGGPLSQYSDIVSYICEEAGVVEHHDDNGSINQLDKAEESLDEPAQVIPPNVHNALLNVDVTKDSKAEMESQKLNDDRDNDKKDSLVDQDSHVSPLPSLAIEKEVAWVSSPKQFKNKEAEISSEILNDQSLCAKENPKEGTPYVDPVGAVVGDGNGDLQVTQPKDCKIVNNNSSKEDKLVEDKMVGESMPLGKGTSSDVVVVKVLSDDVTGKISDSKIKAQKIKLKDSTLDAPCDQSSLKDADRAFNMSVKRQKRSERKQMNLNQDDPRQEILAKKDGKTEKDGNRIDAHILTDPINVLTDTKSDETTSDSETKPLIMEIAKRLSGKKRKGMTLNKPEAANVKYEKEKATSAQSPKEKKVTDNKKAQPSVKEGQNETNVIEPEEKLIKYFKRGKKGNDESKGKENTDMKEEKVSLKRKKLKAETVPDAEILKQSTKRRQKADIKDDCKEVISSPKTELSSKSVKDGKYSEDSKIKDNRKQTGKNKANVAYGANLVDSKIKVWWKDDHEFYEGVVVSFDPENKKHKVLYDDGEIEILTLKNERWEFVKDGTIKNKQEGKTSNEDVQTKSSSSKAIKSKKGGTKSPPDNEETLTPISTSESNKGKSASKSKSKTLRGGSKSDGKGTKRS >KZN08772 pep chromosome:ASM162521v1:1:15185789:15188167:-1 gene:DCAR_001428 transcript:KZN08772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINTNSSNKANSLSSLLLLLSAVYFVTARQKVTNIISQGSSLTPKGDSSWLSPSGLFAFGFYPQGQNRYGVGIFFAGLGVNKTVVWTASRDDPPVSDSVTLRLTADGRLILLQKSPDSKSDHATGIFRLKMQIDSNLVLYPADAIDASQFSYWASNTYGVNGVNVALNLEPNGNLYLLNSSATIVKNLTDGFSEKQRVYLLKIDPDGILRLYSLSLDKKGNGSLIVWQSSADRCSPKGVCGLNGFCTLYDNEPQCECVPGFDYVSPGNWPAGCKRNYTVDRCKNNDRRIPYSMRSLENTQWEDDPYSVLKMTTEECRTACLEDCNCEAAFYKDGKCRKQRLPLRYGRRLLTDSNVAYIKWASSTAVIAAAPTYPKTEKKKVRRLVILLICVSLVALAFMVFVIFGFLAYRHQRRAYKKISAKSNYQSMEDIAPRSFTYAELEKVTNGFQEEIGRGASGTIYKGTLEFNNKVVAVKRLEKPLAEGEKEFQNEMKVIGKTHHRNLVRLLGYSHDGPKKLLVYEYMVNGSLADILFDPQHPPRWDERIRIALDIARGILYLHEECETQIIHCDIKPQNVLIDAYRSAKIADFGLAKLLKADQTKTYTGLRGTRGYVAPEWHQNKAVTVKVDVYSFGIMLLEIICCRKGVDFSLSEEEAVLEEWVYQCFQNNELAKLITDSTTNMLKFERMVRVGLWCILDEPSLRPSMKKVLLMLEGTVDIPVPPSFNSYLTAI >KZN09430 pep chromosome:ASM162521v1:1:24914070:24914615:1 gene:DCAR_002086 transcript:KZN09430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSITSSSTHSHPKTHKFFIFINYILLGASCSCIFLTLSLRLIPSLVGFFLILLHILTIAGALAGCNAATSGAGKWYAAHMVATVLTAIFQGSVSVLIFTSTDNFLGNLKSYVNEENGAKILKLTGGLCVLIFCLEWVVLTLAFFLKYYAYLDNDNSDLGAKRSSKVQGEELAYLPYQV >KZN09985 pep chromosome:ASM162521v1:1:31409797:31409949:-1 gene:DCAR_002641 transcript:KZN09985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIREGPVSFTVKIQTHKNKNLKYKKHTLLSSYFPIIRVKTLEEAIYCYL >KZN11964 pep chromosome:ASM162521v1:1:49734803:49739431:-1 gene:DCAR_004620 transcript:KZN11964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAQVEKAQLRQTYRNEWHSDLISTITADAPYCCFTVFCPQCASYMLRKRALYDDMSRYVCCGGYMPCSGRCGESKCPEFCLCTEVFCCFANSVVSTRFLLQDQFNIHTTECDNCIIGFMVCLQQLACICSLIAMVAGSEEISEASQLLNCISDWVYCTKTNPELSLIYKTVVAARVNVLVLIQKKLTMSSQAQLEKMQIRQGYRNVWHTDLISTMTADAPFCCFAALCAPCASYMLRRRALYNDMSRYVCCGGYLPCSGRCGESKCPEFCLCTEVLLCFANSVASTRFLLQDQFNIQTTKCDNCIIGFMVCLQQLACIFSLVAIIVGSDEISEASQVLNCLSDMVYCTVCACMQTQHKIEMDKRDGRFGPQPMTVPPPQQMSRTDQPYPPPVGYPQAYGQAAYGYPQGPPQGYPPQGYPPQGYNPQYQPQAYPPQVYPPQAYPPQGQPQGAQPQGQPESHPGQPQSQPSQDQTQSHPPEGQPQDSAPQGQPAQPQMHPPAGHPPSNHPSSEHQK >KZN11201 pep chromosome:ASM162521v1:1:42528871:42534489:1 gene:DCAR_003857 transcript:KZN11201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTMHRDQGMDSSKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSHLVYENGYMRTQLHTPSTTTTDTSCESVVVSGQQQQQNPTPQHPQRDANSPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIVAVSRNCSGIAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQTYAPTTLASARDFWTLRYTTTLEDGSLVICERSLTSSTGGPPGPPATCFVRADMLPSGYLIRPCDGGGSIINIVDHVDLDPWSVPEVLRPLYESSRILAQKMTMASLRHIRQIAQEASGEIQYTGGRQPAVLRTFSQRLCRGFNDAVNGFLDDGWSILGSDGVEDVTIAINSNPGKFVGSQYNNTLSMLPTFGGVLCAKASMLLQDVPPALLVRFLREHRSEWADYAIDAYSAASLKSSPYAIPYARPGAFPGTQVILPLAHTVEHEEFLEVVRLEGHAFSPEDIALSRDMYLLQLCSGLDENAAGGCAQLVFAPIDETCADDAPLLPSGFRVIPLDPKSDKPGASRTLDLASTLEVGPGGARPPGEADLTNYNVRSVLTIAFQFTYENHLRDNVAVMARQYVRSVVGSVQRVAMAIAPSRLNTHVGPNPKQLPGSPEALTLSHWICRSYRVHIGADLLEVDSRVGDAVLKQLWNHSDAILCCSVKTNISPVFTFANQAGLDMLETTLVALQDIMLDKVLDEAGRKILLSEFSKIMQQGFAYLPAGICVSSMGRPISYDQAIAWKVLADDNSSHCLAFMFLNWSFI >KZN08013 pep chromosome:ASM162521v1:1:1434097:1442448:1 gene:DCAR_000682 transcript:KZN08013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSEACQKSHWNSEHKKTCKSFQLSNKANLKPSGSGVQLKIPSTARRQSFKNLKDPNQVLFSYDEFVQLFKRERTGTRPCGLLNCGNSCFANVVLQCLACTRPLVAYLLEKDHRRECWRNDWCFMCELQSHVTRATQTSQPFSPLEILARLPNIGGNLGYGKQEDAHEFMRFAIDTMQSVCLDEFGGEKVVPPRAQETTLIQHIFGGQLQSQVKCTKCENVSNQFENMMDLTVEMQGDATSLEECLDQFTIMERLHGDNMYKCDGCNDYVLAWKRLTIRRAPNILTIALKRFQSGRFGKLNKRVSFPETLDLSPYMSEADDDGNVYKLYAVVVHVDMLNASYFGHYICYTKDFSGNWYRIDDCKVYKVEMDEVLSQGAYMLLYSRVSARASCLNPIEPASKHGGEKLEVALEVGPSAKQAVEEFSAVDSIDSPIVSGPCLSVTNSQEMNSGCEDAKDLEMVDSEASSSALTDLDIHGSTCCNEAEGSLSDLEMSQGQISEVSSSIITQPNNEPEQPFPVFEVENKDMAVESRGKMHALINPLDNDIEPCSNGVCGNGDHADAGATLNTGEVLNNTCLCSSTGKVEKVKESGKDMPSSQITAGAGKYNGRNSSGLKPKPLFASGFLEKHPLNKQSKEEIKAPVQIGQLASVCNYNGKKNSDPDLSSKNENGTGGGGPHILSGIPRKQTAKSEDGATSKVTDSHTPAMRSSDSIDVPLDSLDNGNSSSSGEVSIPVSNGVTFNSTTAGVLTELHFSFSNSDGKPKKLEINKDSLALPVNKDVSAGGKDGRKHSGSESKPLLRPGFLGKHPREKYSKQEAVVPAEIGNASSNSACKLNGTSSNRYVLPGISREYMGDSEDGDSYVGNSSSSDI >KZN11088 pep chromosome:ASM162521v1:1:41625658:41628543:-1 gene:DCAR_003744 transcript:KZN11088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRLSLAQESFNSTCTDSEREALLSFKQGLKDPLGRLSSWTGVDCCRWSGIKCNMSGSVMKLDLRNRFPSTISRRYCLGGKINSSLLELKYLGYLDLSLNCFEGLEIPQFFGMLKSLRYLNLSFSEFGGEIPPQLGNLSSLQYLDLNTHDYVTPISYSLSSGHLQWLSGLTSMKYLNMGNTILADLGSELLQVVNMLPFLEELHLHRCGLYDLPISLPYVNLTLLSVLDLSDNQIQSSIPNWIHNLTSLTKLDLSNDYYNLNGNIPRECGDKDSKEDPDPLLYFGFEGRIPGSLGSLCGLKVLNLSGNLLTGELDEFVDSFTTSCPNNSLVSLSLNGNQLAGGLPSSLGKLKYLKQLHMNHNCFWGSIPESVGNLSFLQELDVSLNEMNGTIPRTLGQLSKIIDLNLEENHWQGVITEDHFMNLTGLKYLYVSTDRATPLVFNVPPQWNPPFRLLSLELMNCMVGPTFPAWIRVQNELNNVVLHNTGIEDTIPGEWFSNLSAQLTHLELSDNKIKGKLPQKLKFPKLIIMDLRKNQFEGLLPLWFTNAMWIFLQENNFSGPIPDDISKMTQLNILDVSENHLTGTIPSSICAMTSLEVLSLRENHFTGQLPHCWHEAQQLWALDISSNNLSGEIPSSIGLLISLIKLSLSNNSLRGEIPLSLQNCMKLQSLNLGDNNLSGNLPLWIGNDSVELRILRLRSNKLRGTIPKQWCILLNLHILDLADNSLSGVIPNCLGNLSSLTSTNTFWTTVPNSMERYIFEEQMFMVTKGREMEFSSTLGIVTVINLSNNNLTGEIPQGITNLTALGTLNLSRNYLTGGIPNEIGNMRLLETLDLSNNKLSGPIPDSISYLNSLNHFNVSHNNLVGRIPTGNQLQTLTDVSMFDGNPLLCGKPLLLKCPGPEAGYDVPTMQSPVRDFQSELENLWFYCGGYVCGICGVWLTLWKKDTWREAYFRVLKLT >KZN11229 pep chromosome:ASM162521v1:1:42853566:42855349:1 gene:DCAR_003885 transcript:KZN11229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMQQQNFSNSNAIPAASPVVSPLHSLLGSFPQDEASQLINFPRSSALLTSSGWPAKRVAVDPLLSSAAAQGGMPSMEQLGSLHSNFVQSAVSLPPFPGKDCAIDQDGSNDPQSHLLFGVNIDSTSLLMQNGIPSLRGVGNDGDSTTIPFASNYMSTSSADYSINPSMTPTSCIDESSFLQSPDDAGQTNPSTKTFVKVYKSGSFGRSLDIAKFSSYHELRSELAHMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVSSVWCIKILSPQEVQQMGKEGLELLNSVPVQRLSNTGCDNYASQQESRNLSTGIASVGSLDY >KZN11165 pep chromosome:ASM162521v1:1:42234052:42234423:-1 gene:DCAR_003821 transcript:KZN11165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKLPFVMRYSERNIAAKMEFFLNKLQWTPFRLSSCPVVLGYSLEKRTISRCSVLQVLVIKNITSESYRLLTILVMSEKKISEDFVNAYKDEVPELIEAYQGKLRFDEYTFKQRGQLSLMQL >KZN11969 pep chromosome:ASM162521v1:1:49773472:49775894:-1 gene:DCAR_004625 transcript:KZN11969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGLSGKSGFSARSTAEQVTKGVDGTGLVAIVTGATNGIGLETTRVLALRGVHVIMGVRNVKAGEKVKGEILQKHPGSKIDVMEIDLNSQASIRKFAADFIATGLPLNILINNAGIMAPPFTLSKDNIEQQFAVNHLGPFLLTNLLLDTMKKTANECGKEGRIVNVASELHRYGYKEGIRFDKINDKASYNPNSAYGQSKLCNILHCVELTRRLKEEGANVTANSLHPGVIATNIAQNSSLLGCIFGCAQIFLKNVPQGAATTCFLALSPKVNGVSGEYFMDSNKAKASSMARDPELAKKLWDFSLTFTENK >KZN11708 pep chromosome:ASM162521v1:1:47164969:47165507:1 gene:DCAR_004364 transcript:KZN11708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIMITKLISVLVFVTFFISSTNAGSCDDPYTASPIITQKLTGQKVQGKPQWQVTAQAPCSCCQIPVRLACSGFQTVEPLDSNVITRDGDVCSYLNSICPGHPTTFTYAWDQSYNFTVQSFTTACS >KZN08562 pep chromosome:ASM162521v1:1:10507644:10509954:1 gene:DCAR_001092 transcript:KZN08562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNSKILFWVLVILFIFTTLFTSFTFSTPLVNKDFTFLGDAHLKNASLILTQEAPSCSSSMSSPYASGVGRAFYKYPIRFLDFSTNNVASFTCKFSFRIDPSAPYCAFGDGLAFLITSNVDSVSLSDGYMGLPQSNALDSFLAVEFDTSFNANLGDINENHVSVDFNSLLSVATVDSLARGIDFRSGRKMSVWIEYSHSEKVIRVWVGYYGFLRPLSPLLVGNVDISKNFKEFMHVGFSASNGRGSAFHVVDEWRFRTSDLESSMVVDRFTDELEECLICFPGEDSSTSMNVDDSDHLGQKHKSPLQVALVVGGLVLSVISVIGFLRLFFMFLQQRKRDVAIKCSERRVSKIQANKVPKRLSLTEVRSATKGFSRKRIIGAGASAVVYEGLLPSLGSVAVKRFNEKTLVNTDETD >KZN11759 pep chromosome:ASM162521v1:1:47912146:47913834:1 gene:DCAR_004415 transcript:KZN11759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESDCEDIEWQDFESAVKIIVESRSPFQLQKFFCQQLICDGEPKSFDEYLDAVDKFEELIPAAETRGTWATRTLQMAFQGILDCSISVTSSAVYSSSVSSSSGYELQVSNYTGHGELSSGQIYRLRGIVERLKSTEYLADCIGAYTKSRRTAVVARFRRFGINDLQYLDDQEFAAKIRLWIHTAKTFYSSIFPGERQYYNNIFAGVAEATYDNCFLAIVQLVASELNNLVNISPAVSFQSLFAILDLYKALLVILPEIKKMFHTVSCAYISDGASYTINSLETIVRRLFSRFKDAVLNESSNTLSPLGGIHYMTDYTMNFVTSILLYKDVLTNIIVTRPTESLGNEADEQFLEASGGTPLQLHMIWIMISLRKNLESKSTNYKDPSLGRVFIMNNLNYMTKIITGDPELLEMIGTEYQTELSKDISQAAQDYVSSIWHGVLYCSRLDGLSYKIPFVKGILRNSVKKRFKSFNTAFEEVCQTESTRIIPDTHLRAQLHELILSKLLPAYESFSEKFGKHIQSERYKERYIKYSSEDLQNKIQNLFLEYLIVPSGAQDIATVI >KZN12070 pep chromosome:ASM162521v1:1:50768049:50770553:-1 gene:DCAR_004726 transcript:KZN12070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRPVVPKFGNWESEDNVPYTVYFEKARKGKTGGKMINPNDPQENPEMFPDSAQEPPVRARSAPEELVGGKAAKPPTHERHSSRESADPRQYHDAPARNDNAGRRGSTGSAYQNRGGHGSGSGRPSRASTGSEHSVDRSPLHPQYQAKLAGKGSSSPAWEGKHSYDSSHGTPGRSRMKPVSRGDESPDKGAAVPRFGEWDENDPASADNYTHIFNKVREEKISGTPNNITADPSYATRRKQNDRDKSKRSCFPCFGN >KZN11655 pep chromosome:ASM162521v1:1:46694463:46709956:-1 gene:DCAR_004311 transcript:KZN11655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQPSQSSGRFSLDQFNNTPKRSSSSSLSHSLSKSISDASTQSLSAILNNPQSNSDATWASWWSPSTVVSPAEFASINVTSAKAGSEISKSQFEPYSLSIFEQFNRFNDIQSHRIRESSVDSDGGQGEALVACLREVPALYFKEDFELEDGGTFRAACPFSTANENLVLQEKLSQYLDVVELHLVKEISLRSNSFFEAQEQLEGLNVRIVEGCGRIRELKETIRILDSDLVDSARQIQEHNSTRSNLLVLQQKLRLILNVNHALSALKLLVASADCAGALDVTDDLQQLLDGDELTGLHCFRHLGDHVSGALDSINRFAVVIQKFACKVECIIYVFKVKGESQQLCSRNCVLINSNDMMQVKINEEEMSNIRDQLLPLIIGLLRTAKLPTVLRLYRDTLTAEMKSAIKMVVSELLPVLVSRPSDSDSAQGERVTDSDSGGSSLVSKLKTLSADNFVQLLRTIFRVVWAHLVRAAEVKKAIEWIMCNLDGHYAAESVAAAIAVGAVTAEASQDSNAQGSSFLPYHLPRNTAVPPSYQGRGKDATSLSNISRNFRADVLRENTEAVFAACDAAHGRWAKLLGVRAGLHPKLRLQEFLSMHDITQEFITATERIGGRLGYSIRGTLQSQAKAFVDSQHDSRMAKIRAVLDQETWAEVDVNDECQAITNSLYFEEPLDGGDLDDASNSVARSENETVSSSSSVIADGQETNSVQTLQRTKSTEVSPDIAAQIKVSSSSGPVDNSKADGTAPGPTNSATKKDRTLTFKGVGYHMVNCGLVLLKMLSEYIDMNDSLPALSSEIVQRVVELLKFFNTRACQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRKILFTKVPEIRQPLLLAEIDRVAQDYKVHRDEIHTKLVQIMRERLLFHLRGLPQIVESWNRTEDNDSQPSIFARSITKEVGYLQRVLSRTLHEADVQAIFRQVVVIFHTHISDACALLEISTPQAKNRLYCDLQQILGCIRSLPSDDLNKSEIPNWGKLDDFIVQRFGGEDSQIKVDV >KZN12089 pep chromosome:ASM162521v1:1:50909457:50912406:-1 gene:DCAR_004745 transcript:KZN12089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAAKLMKAVWYDTYGGGGAALKHVEVPVPTPLKDEVLIKVEASTINPIDCKIQSGLLRPVLPRKFPFIPLTDIAGEVVELGSEVTKFKAGDKVVAKLGDTAGGGQAEYAVAKGNLTVARPPEVSAAEAAALPIAGLTALQALTETVGLKLDKSGPEANVLVTAASGGVGHYAVQLAKLGNIHVTATCGARNFDFVKSLGADEVIDYRTPEGAALISPSGKKYDAVINCTTGIPFTTFEPQLKDHGKVVDLTPGAGTFANFALKKLTFSKKQVIPFLVSCKGENLEYLVNLLKEGKLKTVIDSKFPLSQADQAWAKSIDGHAVGKIIIEHC >KZN10087 pep chromosome:ASM162521v1:1:32524203:32534196:1 gene:DCAR_002743 transcript:KZN10087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNAPPIPEGNEAMYSEVFSEDSTRIEPRMTAAETNQLVADGRHPKPKRKYPYDNSMQGSHSFRKKNEGYDRYSLPSGWLDCPAYGDEVDFFIPSKVPLGDSFRERISPDKRYTPRDVIYEQRRLGRELGLVIDLTNTNRYYPESDWLDAGIKYAKIRCQGRDAVPDSDSVDRFIQEVMQFRSYQTLADKFVLVHCTHGFNRTGYMIVRFLVDTMSLSVTEAVDKFARARPPGIYKLDYIDDLYNFYQETKPQSLVCPQTPEWKKFSDQEDNGATHLQDTVTASVNMTNDDILGDSVPSNQIEALRQFCLQALKLNVQGRGKPQFPGSHPVSLSRDNLQLLRQRYYYATWKADGTRYLMLITWDGCYLIDRNFFFRKVQLRFPCKNVNEGIDGTTHHYTLLDGEMVIDTEPSTRKQERRYLIYDLMAINNVSLVERPFHERWMILENEVIKPRNHERNMLYKSRNPYYRYDLEPFRVRRKDFYLLSAVTKLLREFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKFPEMNSVDFLFEVENSRRLLFLNERGKKKLMNGHRVVFKDESEIYSFSGKIIECSWNPEDRVWIFMRIRVDKSTPNEFNTFKKVMRSINDNITEEVVLNEISEIVRLPMYAERISKDCRAFVRTAQRK >KZN10932 pep chromosome:ASM162521v1:1:40402408:40403185:1 gene:DCAR_003588 transcript:KZN10932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVEAEDSLQQIDNLNDNLNSEGMALNGYYGSHQNVHGMIQLNLMEPPQDGYYVNPQSMQGLGQLNSLASNHDGFFGTQPSLHGLV >KZN10988 pep chromosome:ASM162521v1:1:40877614:40877913:1 gene:DCAR_003644 transcript:KZN10988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKTCQCLFSINSSLCSAVVDADVERISEYCGETAAQTCCGDGLELIDEPKVEELCYGSDVVETFDDAWINSIFDEENEWETMNSLLEKEDIGQASLD >KZN09333 pep chromosome:ASM162521v1:1:23367104:23368860:-1 gene:DCAR_001989 transcript:KZN09333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSDMSVATILAVLVVMNFAGLGAADMEKDRNQCGSQLEALLPCLAYAQGQQKSPPLDCCTGLKQVLQKSKVCLCVLIKDRNSLGPGLELNATLALGLPTRCNAPSEVDQCPSLLQLKPGSPDAKIFEDFAKNNNGSISTPTGKENGTSDGSGSSAIKSDGGMERKWLGIEMALGLVLSVFLLISPFSV >KZN10031 pep chromosome:ASM162521v1:1:31855916:31860533:-1 gene:DCAR_002687 transcript:KZN10031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHQTVVLAKTPLQWAPKLTHNTSLLFLLNSRTNRHHQFPRKTLTCSNQYSSNSGSTSSHDNINNGQYYEYERHAAQTTQFSRPTEIEWRKELCNSVQLIGNVGTPVQIKNLSSGKVVAWSRLAVRKSPTDTAWINLTFWDELAQIAYQHVEKGQQIYVSGRLVSDTVEGDDGKQQTYYKVVVSQLNFIERSASPVALYDGDSNSGKRPKNYAASNTGSVEELWQAFFVNPAEWWDNRKNKRSPNYPDFKHKDTGEALWVEGRNNPPWVKSQLSILDTRMESFPERNTGVSNFIVGDDFSPF >KZN08908 pep chromosome:ASM162521v1:1:17538466:17538798:1 gene:DCAR_001564 transcript:KZN08908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSSSFCLSAIFLVLLLVANTGMVREVDGALCEKPSLTWSGNCRNTQHCDKQCQSWEGAKHGACHKRGNWKCFCYHAC >KZN09481 pep chromosome:ASM162521v1:1:25796838:25798553:-1 gene:DCAR_002137 transcript:KZN09481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNRTDESLKLLRDDQWPLALFSFQEVNGSDIDKQATSTHVVIGAVIDNTSRAGMETNVSLQMTIDDISRQTNPSLVLRMMNSIGEPATAALAGKLLQLFFPYAKETM >KZN10925 pep chromosome:ASM162521v1:1:40335091:40338182:-1 gene:DCAR_003581 transcript:KZN10925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAIVSTAIEKLSDFLTQEINIRIGVKDGVHWLKDELVYLQSSARYAESRQHEELIRIWINNVNEVAQEAVIILERFSDHQKKHSAPRQGVLDCLRSSICICTKEVELYDIGKEIESVKGRVNEIKNRRAEYGIGNILDTANVQQRKRALLRATAVENKVDVVGFEDDVRILLAELTEDPALKYVSIHGMGGLGKTTLAGKLYHSSELSHLKSRAWVCVSEDYKTEDVLRKIIKSFMKQKLDGELAYLDKMDEADLLQHLLNLLQERDGFLAVIDDIWDIKVWKKIKSAFPDKRDGSGVIITTRYKKVAERVDDRCVVHKLRFLNEEESWQLLCKTAKQTPNLDKLGREMVSRCRGLPLAIVALGGLLFHKKSYQDWSKVKNDLWRQLKGESAEIDEILSLSYNELSPQMRDCFLYLARFPEDYTFGVEKLKLLWIAEEFITDKGDGLVMEDVAEEYVNELINRNMILIATFKFDGQVAKCQVHDVVRDLAIQKASADKFLGVFDSSKKHPNPIRGHRRQAIYNGTGDYLELPGSCSDDLKVCSLSVMNESEELTIEEVKLIYTRFENLIVLDLADFSRYKFPREICELEDLRHIRFHKGGFKIQSNQTKLQTVDTIKYSDWIQIDTVNLLDLRALSITSVEGEEVSEEGTAYSWESIAKLTSLETFNFYFSHYLMIPSIRPLSYCENLQSVCLSGPIKDPSELRFLPESVTNLSLSKTKFTQDPMPTLASLSNITALDLGEGTYTGEKMVCSDNTFPCLQFLKLVGHPNLKEWHVEDGAMPSLRGLYIDDCDNMMIPERLNCVPPTPDFTELIYEKKKREIVAQHARELLEGYLMRSPTGEVIYSIQ >KZN10039 pep chromosome:ASM162521v1:1:31919894:31923068:1 gene:DCAR_002695 transcript:KZN10039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMLIGADNLALFKPNSISPNGLASVRSDLHGKAQFPRISMVSCGKNPIFRHKNLVTQCNVSASRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLSDRNMIVVDVGGGTGFTTLGIVKNVDAKNVTILDQSPHQLAKAREKNPLKECKKIEGDAEDLPFETDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSRFFADAWMLFPTEEEYIEWFEKAGFKNVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQMGPKVEDVSKPAHPVVFLIRFILGALAGTYYVIVPVYMWLKDQAVPKGRPI >KZN10636 pep chromosome:ASM162521v1:1:37797804:37802044:-1 gene:DCAR_003292 transcript:KZN10636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METELLDIQPQELKFIFELKKQSSCSVRLVNKTNQHVAFKVKTTSPKKYCVRPNTGIVKPKEICDFTVTMQAQRVVPPEMICKDKFLLQCAVVPVGMAEEDITSATFAKDGRYIEEKKLRVILVSPPNSPIHSPVNGTAKQVYDVPTPEEQHPNYTGNFSPPQTGTDDLRETKVVASEDLKPVRHEAAEELNPVKHDAAEDLKPVKHETAEDLKPVKHEADEELIRSRDFEQGIKNHVVSKTVKDVELKVVKEFEEQKPPTNMMKDGDELKLVKDIEEVKFKLREFESKLNEAERTISKLTEEKRVSTHDREILQQELGLMRSRRSGQKAQSGFPLLFVCMVALVSVWLGHFMHK >KZN12108 pep chromosome:ASM162521v1:1:51072885:51073664:1 gene:DCAR_004764 transcript:KZN12108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNVLYSIVFLLFLCTSRTASESADSVGNFCNNSKNISSSTVSANVQSLLAQLVETTARNGFASLSYGKGDNTVYGLAQCRGDVSSKDCSDCVQDAAKKIGKACPNQADARIWYDYCFLRYDTRDFRGEVDTVGILYANVEDVKDPEYFKQKLSSIFNKTNSDALVPSNKGLGKAESKISTFVTLYALVQCTRDLPERGCARCLTTALTNFDGFCDNKKGCRVLYSSCFVRYEEYPFYFPLETQNSNMSNYVSKVSRP >KZN09086 pep chromosome:ASM162521v1:1:20069824:20074684:-1 gene:DCAR_001742 transcript:KZN09086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWWHIRLKISFTASIIVSTVILHGVPEAIILGFQHYLVMLGTTVIISTTVVPLMGGGNVEKAQVVQSLLFASGMNTLLQTFFGTRLPVVIGGSFRFILPALTVAFSNRYSIYINPRDRFHRTMRGIQGALLIASLLPAILGFLGIWRIVVRFLSPLSAVPLVTLVGLGLYQQGFPLLAQCVEVGLPELIILILLSQYIPHVWKSSRFDRYAILFSVALVWAYASLLTAAGAYKNKSPSTQFSCRVDRSGLIGGASWIRFPYPFQWGRPTVNASDVLIMSAAAFVALVESTGTFIAASRYGSATPAPPSVLSRGAAWLGVAMFLDGLWGTASGSTASVSFGFDKSWKPESGSNFSYIHVALFCSRYVGALDVPNIELVFQHLNIGKFGAVVASIPLPIFGAIYCVLFGYMSSAGLGLLQFCNLNSYRTKFILGISLFMGLSVPQYFNNYVVTTGRGPVNTPSFWFNNMLLVVFTSPATVAFIIALFLDSTLGRNHSSTRKDSGRHWWGKFRHFDDDPRSAEFYSLPYGLSKYFPSI >KZN10462 pep chromosome:ASM162521v1:1:35934419:35939801:1 gene:DCAR_003118 transcript:KZN10462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSIADGKNNHPGSIVTHGSRSAASHVKIDSISIELGKAMDKKVTERCHHFSIRRYVAEMREKDIRKCAPFGHDDDDETKLRGQLVPLNVPEFRWWQCESCVQNISVASALESQNIAEKASGSLIRGHGNSVKDVSSLAIGKQTSCLGTCPPCQIPERHTDGTVGEKLTEKDLTDVCVPEPGGDVVASDVLAIDYPSQKSSSSGDMCPAERTDALNNGKSTLVVANEVTNKDGDMDISRSAKEAGPVEQPSIELKTKQVVSKTTASCPRRRKKVRLIAELLNGNVEERSEQRISKKAIPKEVAPPASKKAIPKEVAPPASKKAIPKEVAPPASKKAIPKEVAPPAWTSGQRKRKITQEPSRGTKPPSREAKKARKYTGDAKTTIATIHISDSDSEEDGASAGSGYRNHMPLQQTENRPSSSKLNSCKSMPREDFKDDIGLELSLNSYMDVDKIHTTAPQQKTVLSNDLWRTEGNCIGQLSAPNLSLNEEVNMTGKNAYSSDMMHEGENSLSLHKKLDLSLGCKNKKTNVPERFSEVSRRKTAQGSKKVLEQGNNDDVPMDIVELMAKHQYERGLFKNERNSFLAKRFDERNNAVMGFSAGHGIEVRSMQKEHNKWNPVLSQNKNNQLVAGNGDGSHVFKKLGTQNSASRTLIGNDTRTRLWNGDKLVHRPSLTDPEVFDTYNKAHDGPQPKGMKGKNGASGSSGLVNSKPHFPERMASQLSHRPTSVPSLDFRKGKRIWDLDLNRADPDDSDLGVLLPCPFVGNNKANQKEMESAPSYSNEAKTAMQLLSLMDAGMQSRSPFSVDRKKVTDQPLIPFNNHRDLSMDQRANLIEKPFFPQNQLLKVYSGSETAAFKSSPGAGPSLSTTGKNPLQDKQIV >KZN12024 pep chromosome:ASM162521v1:1:50380881:50381372:-1 gene:DCAR_004680 transcript:KZN12024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQGGEGEEQQEGLLSGSAGAGDSDTRVGAIVRIVNGAYCGSNAKLLAVDTSKFCAKVGIGKRLYDGRVLPAVEYGDICKILQCSDRVCKLCCSVDLIIRTPCPKLKKFADVLNVLYT >KZN09588 pep chromosome:ASM162521v1:1:27433754:27435942:1 gene:DCAR_002244 transcript:KZN09588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSGQLLKVVVVRGKRLVIRDFKSSDPYVVLKLGNQTVKTKVINSCLNPVWNEELTLKLTEPAGVLNLEVYDKDRFKADDKMGHAHVSLQPFVSAARLRQILGVSTEGETLRKVIPDGDNCLAAESCINFKDGEVVQDVWLRLSGVESGEIELKIKCKNLHVRA >KZN12025 pep chromosome:ASM162521v1:1:50382259:50383575:1 gene:DCAR_004681 transcript:KZN12025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKMLSRKMVKPANPTPDHLVASKVSFFDQMQPPVYIPSIYFFKSGSTCRDRLEASLSETLTRFYPLAGRLSVDGTSVNYNDEGIEYLEAEVLDVTLAELLEKAPTNLELLHGLVPWDVQKATLDKSAIMGIQLTMFKCGGLVISTVTSHIMLDGFSGSTFFREWSVACRAGLSEVMVPNFGMPLVFPRKDLSGLIKPSGSPFMRNVKIVTKRFVFHQKTIVGLKSEVRASSKNVLSPSRVEVIACAVWKVLISIDQSNHGILRDSTLCTSVNLRGRTGIKEIPQNVFGNFYFQIPTRFKTENITKPEDLELHQLVSLFSSTIKTEIMDCSKISDPNELMAKVAKNINIIREDRGNNRVNSRFFNTLCNFPMYDVDFGWGEPEWVGVTNVPVELVVLMDSKCRTKVEAMVSLTEADMHRFENHPDIVALTSLMESSP >KZN08041 pep chromosome:ASM162521v1:1:1660232:1663106:-1 gene:DCAR_000710 transcript:KZN08041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARLAPWLLLLVCVLSVLRTESVDVVNTIVTDAVKKGAVCLDGTPPAYALHKGVGDAANNWLIYLEGGGWCATEAECVFRTTKDVGSTKRWAVEKSSLIAMLSDQESENPYFYNWTRVYVRYCDGSSYVGDREKPYVGNNTTVYFRGARVFKAVMEDLLEKGLKHASNALLTGCSAGGLGALLQCDNFRSILPEKAKVKCAADAGFFIDGTDIYGGHMHRERFAQIVQTHIENILMPVKKDQLAVHDEFASCGHDIENCTCDQVKLWRGFQSEFYQALAQLNSSSSNGMFINACASHCQTQKQETWFGTSTMPKLRDRPAGSLQGVGEAVGEWFVNDAEMRRVDYRTKTPLVCEYNPEEPHWNNHYTFRGTNLFNPAYTLADEIKRKTSLIPRCPAEIPAYFQQPLLCIAGLC >KZN10876 pep chromosome:ASM162521v1:1:39828974:39833716:1 gene:DCAR_003532 transcript:KZN10876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGSSQIVGNSSSVKFPASFPAWRRCSSRRSVGFRVFASETQGEPDLSVTVNGLNMPNPFVIGSGPPGTNYKVMKKAFDEGWGAVIAKTVSLDAAKVVNVTPRYARLRADANGSGLGQIIGWQNIELISDRPLETMLQEFKQLKEEYPDRILIASIMEECNKASWEELIDRVEQTGIDAIEINFSCPHGMPERKMGAAVGQDCELLGEVCEWINAKATVPVWAKMTPNITDITKPARVALESGCEGVAAINTIMSVMGINLNTLHPEPCVEGYSTPGGYSAKAVHPIALAKVMSIAQMMKTDFTDKDYSLSAIGGVETGGDAAEFILLGANTVQVCTGVMMHGYDLVGKLCTELKDFMKMHNFSSIEEFRGMSLQYFTTHTELVRMQKEAVQKKKAMKKGLASDKDWTGEGFVKESESMVSN >KZN08116 pep chromosome:ASM162521v1:1:2865001:2866484:-1 gene:DCAR_000785 transcript:KZN08116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDEQIKPKLMFFREKGFSSSDVCHIIGLDPKIMRRNVNDLIIPSYEFLKSVLKDEASLIGAVRRCTWLLTQDLEKSLRPNVELLRSYGVRNDRIAGMLRLRPRALMQNADGFKMVVEEVWEMGFDPVKSHFIIACQVKFGLSKTMWKMKWDYFKKWGWSDNEIRSALMKQPKIMSVSQKKVEKVMDFLVNDMRWEISKVASCPTVVMHSLENWTKPRCWLVQFLLSKGAVKKDFPFSTVIASVESRFVKNYVKKYCAEFPEVLELYASLTLQKKPKQPVNYFSFMGMRAAMPTDNLSLKPLEENLGFLCCSYKSYLIVDRNDASFQPSYDIMAFELLKLI >KZN12080 pep chromosome:ASM162521v1:1:50830583:50832912:-1 gene:DCAR_004736 transcript:KZN12080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDANDDILCAKLHLVDFAGSERAKRTEADGLRLREGIHIKKGLLAFGNVISALGDEKKRKGPGPKLRNFGTSSVVYILSYAEKNELKVGEGKVVIATDNLIKLTTDGVTWSPGSAGYDVHGNLAFMVCDPMKLATSPNTKSTSTSSSSSSRKRDPLMQFGIPIPIICDWLNQHWEGNLDELTRPKLPVIRLMSAGPKSEQSCASFTKRQVFKSTEDEDNGTPTLSTLKTKDIPGPSSSHVAKAHEEDTPNAESQTVPHLQGIPTPEIYESPKLTSAPFRKNESALIQLLDINFPPRIIKPAVLHQPARKLHSKLDENIVKAIPLRHDVREEHSRDQRHDTVADAEIASTGSVNASGSGVESSSYPVEVSDVPDGYSSEGEITMYSAETAESLNYPSPREVKFQQVGRSQSCGNYSRWGSVQRNPIARRTSLEEQRSFLQGRKVYSQGATSQKSNDYFSPTVSSIMKKRNNLEQQPTRPHRPSKVQFIHLLNGHSD >KZN08383 pep chromosome:ASM162521v1:1:5924618:5925940:-1 gene:DCAR_000929 transcript:KZN08383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMEVQVVSKKMVKPSVPTPDHLRTCKLSFFDQIAPPDHVPIIYFYSASNIDNIREKLEKSLSKTLTQFYPLAGRFVLDGFYVDCNDEGVLYIEAEVSSPLDRFIGEAAKNMKRINDLVPRKNFGKTNDYTTPVTGLQVSYFKCGGIAICMHLSHVVADGYTAAAFIKEWASSTTGLIRGDQVISSSAISFDFASLFPARDLSMAIKPPQLPLSKRRDLKIVSKRFLFNENAISSLKANVNKSENINRPTRVEVVTSVIWKALIRISKLPHSTLYLHLSLRGRTGIITPELNNPSLCGNFYLQVPAKFKGENETKHEVELHELVKSFRGSLRSTLKNCSQISSPDELFSEAANNVNEVHEDMGSEEVHVRLLTSMCRMPVYETDFGWGKPEWVTIPEMTLEIVFLLDTKCGTGIEAMVSLDEAEMLQFELDPTILAFTS >KZN09670 pep chromosome:ASM162521v1:1:28285786:28287445:-1 gene:DCAR_002326 transcript:KZN09670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYERFKPHLLMVLAQLGYTFLYLITEASFAHGMNPHVYITYRHVVAALFILPFAYFLERKTRPKLTLYLFMEIFVLSLLGVSLTLNMYFASLNYTSPTFLASIVNVIASMTFVMAVVLRLEEVNLRELRGIAKILGTLISLAGVLTMTLYKGSTLRNIGRPIIHFKRSAAEQEDWLKGSILTVTSCLTWSIWYIMQAYTLKRYPAQLSLTAWMNIVGAAQSAAFTVIVTRKPADWTIGFDIDLWSTLYAGIVVSGLIIYIQLWCMEERGPVFVTMFNPLSTIIVAVLAYFALDEKLYMGSIIGSVVVIMGLYLLLWGKEADQRMQLLPKGKPEDTYSDEHCPRDGELNLGMKEEA >KZN11293 pep chromosome:ASM162521v1:1:43459548:43460651:1 gene:DCAR_003949 transcript:KZN11293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTMGLNLLLLVAMVATNILSLYHLSSTLQSKPPLPPPVPDHLLHQLTTIRATINHLTRLHPPPSSTPKQPSTIPSDLLLYTHISTIASACHNYPDLLHKYMNYTPLKSCPLDSDVAESLMLRGCHPLPRRRCFVKTVSKIPNSLPVSPFGGLQDNAVLWNVYKCKSFGCLSPFLGFDMKREKDQLMGYKTDLDLPIQQLLQVAKSHGVVLRLGLDIGGGTGTFAAHMKLHNVTIVTTTMNLGAPYNEAVALRGLVPLHVPLQQRLPVFDGVLDLVRCGHAVNRWIPVAAMEFLLFDVDRVLRGGGFLWLDHFFSKAADLEKVFTPLIGKLGYKKVKWAVGNKMDSSGVKNGEVYLTALLQKPVSK >KZN08815 pep chromosome:ASM162521v1:1:15786361:15786987:1 gene:DCAR_001471 transcript:KZN08815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFFNQNLGFDGVEIHGANGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEIAEAVSKEIGADKVGMRLSIFANYLGSGDSNPEALGLYIVKSLNKYDILYSHMIEPRMKTLAEMAECPQSLVSIRKSFNNTFIVAGGYGREDGTKAVAENRADLVAYGRQFLANPDLPKRLELNAPLNKYNRNTFYISDPVVGYTDYPFLDETITA >KZN08425 pep chromosome:ASM162521v1:1:6559426:6568069:1 gene:DCAR_000971 transcript:KZN08425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAIGAIGAAGAIGTGTKIKVSKAIGWNRANGSAGKGTKNSPDTTNRTSLAEEHPRVQGSINKNKTQDDEHHLQGFSTLPQGHFSAENPKEAATEACKFWFLLDYDIVEAFKKGNYRLAPMAWARINYLTKVIDPKLLQEGLKGDEESLWEIHRKLLNEGWWDRAQNLKVRGVDSEHQDSHDNALRSFLLANESLIHTNTRKLALNGDQEGIRMALNQIHYNSIRASRQNSETQFQSEVHNRSPKAEQWNIIRSFMESLAHFIEPSVLQDALSGDEKALSLALGQIHYHSLEETAHPDEVSHPFKDALLKNPVQHSTTTHLTGAQRHAIPLKNKLIGKSHPRKKAEHSVFFSGIQDDAHPKDLWQFFKRAGKIKDIILPSKKDKYGNRFGFLIMENEGEVQALISKLMGKNFGAKKLYLARAKGKVVKAASPSGHLGRSGGRPGKHKSNPPHCYSPPSTTGFKEQPQKNTPTEKDHSSLKEPSTKSFIIEETNDCTQQDTNFQEGKEVSIPPSEEMLKVAQTSVFLRTAKNETVQSVTMIAEGLGARNLQIKGITGTTFIAYFANKEDLECLDRDFLEIGFMEVRNITVEDMLPARKTWVEVRGLPLTGWTETNFKTILEDLGSILHYSKIYDEEGFYQHPKFFLETSHMEELKIHKNILLMGKKWRVRILEVQGDNIQVNDILSPASSDFVPSQKFQNRKQFNNSPITLVTIGNDQPETTLDANAIEEQEVNSQPHAVAKQDNGSNHFNGETVAEPGKVVIVIEQSGEDETLAAKGSSPTNLEASTQSSVNPTTPRTTQDLDQSRKEYMIDSQSCHKEPDVFIHSSISNWKPRDDQHSSSNLCSIQENDIIHEATESSEEDDHSQDELSQDCIREMENLRSSMEHLWENPEKDSVKINVFCLMVQQPSAIGNIKSIGALMRDEHGGKVWGAMGPFNNSTEEQAIMAGIQSACIYAQKNNLQLTHIETSHYDVFDLIRLQEHVVIPDDQLEGIRLFNTVHANHFVEGVTDRHISWVPDHMNSPARCMAEYALENLSDYVETPGPHVVGNLQFYLDRDMGMVIANPEIELLPNMGHGEVIDGSPPPSNKRKCWECYYDLPCYEYPPFSASGRDLVAADGSSLRATKTRAYGGESSVVVLHEQSSNYSLAQSAKGKGKSYDDLAFFVNGSLSKRAIDILDSGALLEFSDVFGSHVLDLEAHIANGLFAKDVLHHACMDTLGIISCMLKKPVDKGANIHELEDLPLMSVEKVMTAMDFEKDQLSGDGPSDK >KZN08980 pep chromosome:ASM162521v1:1:18598446:18598976:-1 gene:DCAR_001636 transcript:KZN08980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFAESQGIPMDEIHFKAFFGKGFVGGVPVLLAKPQTYMNLSGESIGPLAAYYKLPLNRVVVFHDDMDLPCGVLRLIPKGGHGRHNGLKSVIQHFRGNTEFGRLRIGIGRPPGQMDPRAFMLQNFNATAQGRIDAALEEGVVALKELVAKGLTEAARCFNTQQKYKHIRLQTMPV >KZN09635 pep chromosome:ASM162521v1:1:28019216:28019452:-1 gene:DCAR_002291 transcript:KZN09635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSNRQKKSFGLFSIFRSTRPRRGKDINGTDDFVKLYKVYRSDEDRGQWVAEPGIDRKASAYIAKSQRNWNLADVSN >KZN11577 pep chromosome:ASM162521v1:1:45900788:45902874:-1 gene:DCAR_004233 transcript:KZN11577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGWGLTLDNCDQSAGFFLNNNKPSSAGFCLTPRRPNISVNMFSMNNTGKDSPASSPSGDNRKAVPGELDFFASKPTNYDHHDNSVNYPVMNSSSIVVKQEKSHGEASQMDVNTGLHLLTANAGSDQSMVDDGVSSNVVDKRAKNELVQIQSELERMNIENQRLRGMLSQVTNNYAALQMHLATIMEQQNARAGRTQEHDQIAEEKLEEKTDDRSGDQGQIVPRQFLDLGPSNQAHSSSEERTQSESGINNVELSHNGNRINSMGKRLGRDDSPESESWNPNKTPKLAAAAASNSNSKAIEQATEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGTHNHPLPPAAMAMASTTSAAANMLLSGSMSSADGILNPNILARAILPVSSSSMATISASAPFPTVTLDLTHSPNPLQYQRPPSQFQLPFQAPQSFVGLPPTPQIYGHPIHNQSKFSGLHVSSQDMESSQAHQAPPQFHQAQPQTSFADTLSAATAAITADPNFTAALAAAISSIMGGNSSHQNNNNNQ >KZN10828 pep chromosome:ASM162521v1:1:39385576:39386616:1 gene:DCAR_003484 transcript:KZN10828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGDLRHFPANTITSSSLLTADPSIPLLNLAAVQTRIDAVRTILSNSLQTQTLIGKVQLQSISDEITGSIQQIVVNGTALISSSIPPTSPARPDPTLMFLDPELQSRSDIKPDIKLDTVSDDWETIELGSEELLAEFAHFCDVCGKGFKRDANLRMHMRAHGNEFKTLEALAKPEKGLNFGVKKIRFSCPMIGCNRNKCHDKFKALKTVVCVKNHFKRSHCPKSYVCSRCNKKSFSVMSDLRNHLKHCGEVKWKCTCGTSFSRKDKLFGHMALFEGHMPAIMEDEEKGKEVVEEKDSGVNVSENRKFEGLIGEYGSIEEFCLDDMLNTSNDCSLVTQMDGFFDF >KZN09325 pep chromosome:ASM162521v1:1:23246373:23247095:-1 gene:DCAR_001981 transcript:KZN09325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQFPKQQIQVSIDNKETTRKTVNKTKFLGVRQRPSGKWVAEIKNTTQKIRMWLGTFDTAEEAARAYDEAACLLRGSNTRTNFVGQISPSSALSLKIKNLLIQKKSQRQKQQGPGTPKIIPVDRGLKQGSRICDDAYKPDLSNFTGGFDASNPQFDHLSCHNRIYKAFDHEYFPHQENEFKTETNSYVNENETMDFERMKVERQISASLYAMNGVNEYWDNIHDCTSDALWDLQLLCQS >KZN07993 pep chromosome:ASM162521v1:1:1279397:1279690:1 gene:DCAR_000662 transcript:KZN07993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNDGGGSSSDQQSGGRKKVLVHLPTGEVISSYKSLEKILIELGWERYYGDIELFQFHKRSSIDLISLPKDFSKFTSVYMYDIVVKNPNVFHVRSS >KZN10840 pep chromosome:ASM162521v1:1:39447276:39448678:1 gene:DCAR_003496 transcript:KZN10840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGNLRCWDELLPDALGLIFKNLSIQEVLTVVPRVCRSWGRAVMGPYCWQEIDIEEWSRYQRPENIDRMLQMLIIRSCGSLRKLCVSGLSSDQMFSFIAAHAKSLQTLRTSRSSISDPIVKQVAGLFSTITSLDLSYCINIGATGLEEIGKHCKFLSTLRRIMHPLEVIGKISQDDEALAIAATMPKLKHLEIAYLLVSTQSVMEILTNCRLLELLDVRGCWNVNLDEKYIKSYQGLKVLGPLVVDYCHEVNGWDACSDYSGSSGYLAWDFVAGDMDDYSDETVSDGFSEDEQSIEDVEMWFYDGPDAEDAGYDWPQSP >KZN10173 pep chromosome:ASM162521v1:1:33280604:33282540:1 gene:DCAR_002829 transcript:KZN10173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-20 MVKNMGRGLIMVDVVQRLGIDYAFEEEIELVLDSQYKAMDQVEDLYFVSLCFRLFRQHHYYVSADAFDKFINNKRELEIAGNSNEALMSLYEASQLRIEGEGVLDEAEFLSRQLLEERMKFLDYDQAITIRNTLSHPYHKSFARISGKHLLGNVFDNEYGKALQELATMDLTVMQIIHEKELSTFSRWWNGLGLAQELKLVRDQPLKWYMWTTALLTDPSFSEERIELAKPISLIYIIDDIFDLYGTIDELTLFTEAVNRWDIAAAEQLPDYMQKCFLSLLNITHEIGYKIYKKYGLNPIDYLKISWSKLCSAFLDESKWFFSGHLPRAEEYLNNGIVSSGVHVALVHLFFLIGDGSTREQADQLINSDASMLSSTAAILRLWDDLGSAKDENQKGHDGSYVTCYMKEHQEVSVETARKHVENMISDTWKRLNKECFSPNPYSKTFIKGCLNLARIVPLMYNYDDDQSLPQLEEYMKGMFL >KZN08754 pep chromosome:ASM162521v1:1:14825360:14826218:-1 gene:DCAR_001410 transcript:KZN08754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFLAKLCFGLSQFLMFRVLTAYDPTKGFVSLPFNTSVYHIQKPYDKQENQRYSFKNGVHKLWVFANDKPHTTTSHTKPRTEIAIQGYGYSSGVWEFAADAYIPKGTTGVCIMQVFGAAPHATTLMLRVYDGALMYYQHTVLVGNIYNRWFHLNVVHHVEAAKVMVYIDGKLKLTADGRGGHSHAFKCGVYAQNSDSFRMESRWKNIQVLKHI >KZN08503 pep chromosome:ASM162521v1:1:9087789:9088283:1 gene:DCAR_032173 transcript:KZN08503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKFMFVRHRNALRFSLKYQASMANKNKSAKEKEVSSQSVNLQMPMSLDSDEMIERPIGRKAAKKLKRAANEAKAEEGLEILKTIQNDALAIASSRRESIQVSLQLQKELVQLRLAKEDRERQNEERERQIYEASIMAMDTTKILPDLAKYYDALKVNIMKKM >KZN11226 pep chromosome:ASM162521v1:1:42824435:42829740:-1 gene:DCAR_003882 transcript:KZN11226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSKSEQLLGRRFKLTHLLFGIAALYLIFICYKFPEFFKTGGVLSGDDGYDKLGSSGFMDVNGDIISKPKLRSVSEETIHRRLDDENEVVPFIMPGKALIDKNKGLPPMKPFKNHYGRIASEILRQMNKTNDLSVLERMADEAWTLGLKAWEEVKHYDGKEADQNSILEVKQESCPSWVSSSGKELAKGDQIMFLPCGLAAGSSITVVGTPKYAHHEYLPTQAKVRNGNSLILVSQFMVELQGLKSVVGEDPPKILHLNPRLRGDWSHLPVIEHNTCYRMQWGAGQRCDGLPSKGDDDMLVDGYLRCEKWSRNDNRDKESRTTSWFQRFIGRAKKPEVTWPFPFAEGKMFVLTLRAGLDGFHIISGGRHITSFPYRTGFTLEDATGLAIKGDVDVHSGFTLEDATGLAIKGDVDVHSVFATSLPTSHPSFSPQRVLEMSPKWKSQPLPNGPIPLFIGVLSATNHFAERMAVRKSWMQASAIKSSIVAVRFFVALNPRKEVNAVLKQEAAYFGDIVILPFLDRYELVVLKTIAICEYGVQNATAAHIMKGDDDTFIRVDTVLKELDKVSVKKSLYMGNLNLLHRPLRSGKWAVSYEEYPEEVYPPYANGPGYIISEDIAKYIVSQHVNQKLKLFKMEDVSMGMWVEQFNSSRPVEYSHSWKFCQYGCVENYFTAHYQSPRQMICLWDKLARGQAGCCNF >KZN10085 pep chromosome:ASM162521v1:1:32476147:32479283:-1 gene:DCAR_002741 transcript:KZN10085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENTFTKVLKPTAHLEFFKKLKRLKPLEPSYGVLGCFFVSVCLILSLFLLDYRTLGFGGQESRLISWFGLNGSAGVEERVEFLDESGDGCDIYDGDWVWDENYPLYESTDCLFLDEGFRCSENGRRDKFYTKWRWQPKNCNLPRFDAKIMLEKMRNRRVVFVGDSIGRNQWESLLCMLSSAVRNKTSIYEVNGNPITKHTGFLVYRFTDFNCTLEYYRAPFLVLQSRAPAGSPEKVRTTLKLDQMDWNSWKWRGADLLVLNSGHWWNYEKTIREGCYFQEGAEVKMEMSVESAYRRSIAKVVDWLSSEVNTSKTQVFFRSFAPVHFRGGDWKTGGSCHLETLPDLRSTSVSSETANQLRIVSEGFSKLTNTTIHSRKLDFLNVTLMSSQRKDGHASIYYLGPETGPAALHRQDCSHWCLPGIPDTWNELLYAVFLKKELAQTGNKTEHTLSPTVERK >KZN11146 pep chromosome:ASM162521v1:1:42122213:42126919:1 gene:DCAR_003802 transcript:KZN11146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSIASRSATRRNIFESPPDPKLPSNASDPVADPPPAPRPVSQLLAFGVIIVLALLQFLPATHFRDASDPFRKWVAFDSFSVVKDNDPELAPVHVEENKMVHIVSWMDCLDLRSLAILANSTLSSSRYPELVHFHFFVPEELDEKVSYYKLKVLFPHSNLEVLGQRDVKEAILAASSAGEHTDPSIAEIAPFAIPIIHPSLSKFIYVSQNVIMKGRVEELLHVNLSNYGIAMAEDCSKRLSDYANSDVLDVIQRSASKPWVSSTSYATNACMPELGLVLFDAAKLEKDLVEAILWWSRVLNNKKSGPNPAIALAVWTRHMKLSSSWKLGMYKAAEMNTDNSVVRYGHEGNMCTTFSSGTVSQTDIEEISNTYLPPKSDQILHN >KZN09990 pep chromosome:ASM162521v1:1:31464119:31464706:1 gene:DCAR_002646 transcript:KZN09990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKRSIHKVKNVFHRRLLNIKSLVSKRYNQLSRAPSFKSIPSCNSTRKILQVDILYRDISEESEADHDEVVEAKKSSSVTKSIQKVSNRSVRSNAEKSISKSTREERVKEEKKLARGRGRVLAEKIKELEMVDAYDDDHIMDIEEALHHYSLLTCPAYQDIVDNFFMDMYSEFLLPEPSASINNSMRRLHSMRL >KZN11497 pep chromosome:ASM162521v1:1:45211978:45213888:1 gene:DCAR_004153 transcript:KZN11497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGFNLPGRKRNKENAADGSFSGIREYAGGRALDSFLQKRAENIKKSRTNPPGFAPVEGSLQNSSGSNRSPLSTVDHNSPLGFVMGTTTKGSERTPVGSTGPNNAAGHVNDTQERGNKKAFCFASYGCHCPVMNQYQYILNERITHSTTLLHFTHLSPIFSVNQLTGMTRLD >KZN10409 pep chromosome:ASM162521v1:1:35410946:35428879:-1 gene:DCAR_003065 transcript:KZN10409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQERVDDYKFLPIADALIAINQKMKTHNSEVYALFNKRSASFALYEGKGGSKFVPYQVSSKFRGREQDKKFIAGLRQWLGDSQLDSGEDDLLSLKDIRENEHLNLVCKVLHICEVTKDERILFVWDGTDTPVAKQKKQEDNVEDLLPLQLECPPLQLDVIRMFPTVGTVLRVYIDSGSEELGLHILKTGRWVQFMKMKCEIRKGMWCGVLMPFTKIRYLVDDNKHVFMCQRDYDERLKLEFGRMPWMSFPWPSHITETDYPNVSFCTLMDVLTYPEVTAKFKCVVQVIDVYPWRVEDFHSPLGTCRIRLTLEDPTARIHAYVYAEDGVHFFGGSQPIDVLKRKRNMLLGICSCDSNESKNALRDTPWVQCCIKSYYLDKHNTWGSRRYRIFGTRLVNELLSGPN >KZN08710 pep chromosome:ASM162521v1:1:14128826:14131946:1 gene:DCAR_001366 transcript:KZN08710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLSSMEITDYTRLLEHDTRKSXISQGSSLTPTENPVWLSPSGVFAFGFYRQGDNRYGVGIFLAVADLPLNKTVVWTANRDDPPVSNDVTLRLTTEGRLILQQKSQDLITDVVKVDQSISSASMLDSANFVLYGSNQEMIWQSFDHPTDALLPGQILAQDQDLVSSRTESDYSQGKFRLKMQGDGNLVLYPAKTIDSSLNSYWSTGTFGSGAVNLTLNLEVDGHLYLSDARFGDSFVLKNLTDGFSVKQRLYLMKIDFDGILRLYSLSLDGKGNSSSIVWNAPENRCDPKGLCGNNAYCTLINDGGAKCECPFGFIDVSLDSSNEGCERNYTVDNCNNKDQTVRYNMTQLQHTWWENDPDSVDKMKTKEECEADCLEDCKCEAALFKDGDCKKVSLPLRYGKRSVNDSTIAYIKWAPPKAEKNNKDRSVIPVLIAGIGGGLAVMLVILLVSYWGVRQHRQKQSVKLKENFFKQNGGIMLQQLLYKAENTAERAKIYTEEELKKATNNYNENNVIGQGGYGTVYKGVLDDTLVAIKRSKVVDRSQIDQFVNEMIILSQISHPNVVKLLGCCLETPVPLLVYEFVTNNTLFYHLHDEGCASSIPWDMRLRIATETAGALAHMHSAPVHIIHRDVKSANILLDDEYTAKVSDFGVSRLFSPEETHLATLVQGTFGYIDPEYFHSGILTQKSDVYSFGVVLAELLTGARVVSFGREEKNRNLGMYFLSALEDDQLYTILEPRVREEGHAEQLEGAAEIAKKCLRIEGAKRPTMKEVEEKLLQLTDLRIKIHTSIESH >KZN09456 pep chromosome:ASM162521v1:1:25409372:25411862:1 gene:DCAR_002112 transcript:KZN09456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPNAYFKKGALVEISSDEPDFRGAWFLGTVISRSRAKQKNQVFVQYHTLMSDHDETKFLREKVDVIQLRPPAPREMGRAFSLGEEVDAYHLDGWWEGVITRISGQDSAGGARYSVYFRGTREELDFGADELRLHREWVRGEWVPPFEFMSNTNVEEPSKNAVEKLGEETFAEVANSITNVEEPCIDIVENFGKGTLGGVASDNANEESCIGIGEKFRKGAFVEITSDEDGFQGAWFAATMLEKLSSDEYMVEYQSLTNDDGTELLKEKANIKHIRPFPPETAVADYYSLLQEVDALYNDGWWVGVISKVLQGKKYLIYFRGTNEEMEFNHSDLRPHQDWIDGKWVMAPKV >KZN10298 pep chromosome:ASM162521v1:1:34321470:34326264:1 gene:DCAR_002954 transcript:KZN10298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCFQISSIPCSTHRLSLRSCVFTSRNSSQFGGRSVCLALNSDTLVAGNSAEIVSKKEDEIADLKSWMHQNGLPPCKVVLKDRPCRVIPRPIHYVAASQDLQAGDVAFSVPSGLVVTLERVLGNETVGKVSYMSVLFPLGLACASHGWHGRHALVCGPHAVITWGWWRVLPNWVMVVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSDVELNYLTGSPTKAEVLERAEGIRREYNELDTVWFMAGSLFQQYPYDIPTEAFPFDIFKQAFVAVQSCVVHLQRVSLARRFALVPLGPPLLSYGSRCKAMLTAVDDAVQLVVDRPYKAGDPIFVWCGPQPNSKLLINYGFVDDDNPYDRIMIEAALNTEDPQYQDKRLVAQKNGKLSVQVFQVNVGKEREAVLDLLPYLRLGYVSDPSEMQSVISSQGPICPVSPCMERAVLDQLASYFRARLAGYPTTLDEDESMLTDPSLDPKKRVATQLVRLEKKVLGTCLEVIVDMITQLPDVTVSPCPAPYAPILK >KZN11601 pep chromosome:ASM162521v1:1:46122075:46122775:1 gene:DCAR_004257 transcript:KZN11601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPVLTPESRRYLSGWRLDYQGNIFDEDRPQIAGVDNCYGYNTRSKPPHTFYAGCKLWEQPTCRDHLQYEIQTGYFENNVSYLNDSNTSLGYTDCKVKCWEDCNCYGFMNNGDTGCIFYRGSNLKFHSSGNYPKIYVLPPASPSEKRKKLITMIVIVIVSLFLGLLSSLLYYQRRKNKQGTRKVTGPAECTTW >KZN11289 pep chromosome:ASM162521v1:1:43438049:43439299:1 gene:DCAR_003945 transcript:KZN11289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVIVIITVVLMSLVFRILYVIYWSSKPFQTKPRKPVRTLIILGSGGHTAEMLNLLSVLQADRFCPRYYIAAATDNMSLQKASVFENLLADKTGGKSGKTAEFMQIYRSREVGQSYVTSIGTTIYAVAHALLLMMKIKPEVILCNGPGTCIPLCAIAFFFKVLGMNWSSIFYIESIARVKRLSLSGIILYKLHMADQLFVQWPQLQRKYPRAHYVGRLM >KZN11834 pep chromosome:ASM162521v1:1:48539199:48539486:1 gene:DCAR_004490 transcript:KZN11834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPEPGSGSGPAKTAARQLDFTAICRASAATAILPDHPQAQLQSKLLALALKRQAEAEPKGNAARPDPEVDPKVKRAVQGDGVVGSGGEKANLR >KZN08431 pep chromosome:ASM162521v1:1:6675471:6684329:-1 gene:DCAR_000977 transcript:KZN08431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDKLARELDRTPTWAVAAVCAVIIIISIALEKILHKLGAWFTAKHKKALFNALDKVKSELMVLGFISLLLTFSQYYIAKICISQSVADTMLPCKVGEKKGHVKGGEGEEENRRRLLWYDHRSLSVSNNKSTCKEGHVPIITVDGLHQLHILIFFLAVLHVVYSAVTMALGRLKIRGWKVWEQETASHDYEFSNDPSRFRLTHETSFVKAHTSFWTKLPFFFVVGCFFQQFFRSVSKSDYLTLRNGFISVHLAPGSKFNFQKYIKRSLEDDFQVVVGVSPVLWASFVVFLLINVNGFKALFWASLIPLVIILAVGTKLQSILTKMALEITDRHAVVQGIPLVQGSDRYFWFGRPHLVLHLIHFALFQNAFQITYFLWIWYEFGLKSCFHDNFQLLMIKLSLGVGVLFLCSYSTLPLYALIAQMGSNMKKSIFDEQTAKALKNWRMAVKKKHGGRSPTRSLGDSSPTPSMASTSMSSTLHSGTSKLHRFKTTGHATRSYAYDEQDMSDLEADPVNTESTTAKLIVRVDDDDIDPETTVFAGEENRDEDDFSFSKPPPPK >KZN09233 pep chromosome:ASM162521v1:1:21909765:21914221:-1 gene:DCAR_001889 transcript:KZN09233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKYLWTILCLWAFTCTLINASSDGLLRVGLKKRQLDRDSIKAARSSKLDSKYVKTGLGDSDIDTVSLTNYLDAQYYGEIGIGSPLQKFTVIFDTGSSNLWVPSSKCYFSIACWLHPKYKSKKSSTYTENGKKVTINYGSGSVSGFLSQDNVEVGDLLIKDQVFIETTKEGSLSFVVAKFDGILGLGFQEISVGNVVPPWYNMVDQGLVKEEVFSFWLNRDADAEEGGELVFGGVDPKHFKGEHTYVPITDKGYWQFDMGDFLIGNMSTGYCDGGCSAIVDSGTSLLAGPTNVVTQINHAIGAEGIVSMECKEVVSEYGEMIWDLLVSGLGPDVVCSQIGLCSSYGKHQSSIIKTVVEKADQGEASSSDDLGCTACELLVSWVSTQLKQKGTKESVLNYVNQLCESFPSPMGESVIDCNSLSKMPNITFTIGKKTFSLTPEQYILKTGEGVATVCLSGFIALDVPPPKGPLWILGDVFMGVYHTIFDYGNLQLGFAEST >KZN10554 pep chromosome:ASM162521v1:1:36904627:36906455:1 gene:DCAR_003210 transcript:KZN10554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPADQTEKASNKGNVKLRKGLWSPEEDEKLMVYMLRNGQGCWTDIAKNAGLQRCGKSCRLRWINYLRPDLKRGSFSLQEEQLIIHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKDSVISTTSPNNSISSDPINGITGGMISFEEHELMNFCMDSISATTSSSSMQNSITSATYQFDPFPPLQDNSHEINIAPRLFNVPNHSVEGGILDDYGGFEQYVTGFENDLSVPSLENDGNAELMFDKRSSNGKYLIDVDQSIKVEDFDFGTNNHWQGGQNLKTGSDQLDWESLLANVSFSPYLDFQIE >KZN08270 pep chromosome:ASM162521v1:1:4681875:4687974:1 gene:DCAR_001335 transcript:KZN08270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKRTSMKFKSVKNQAGVLVNSYLLSDPFILYTSVLSGVYDLSHLVSTSYFRTYAGLTKIQRIEWNNRGMSTVHAIFIAMMSLYFVFWSDLYSDHYLAGTITFRSSPLSSFALGLSVGYFISDLAMICWLYPALGGIEYVIHHSLAGIAVAYSLFTGEGQLYTFMVLISEVTTPEINMRWFLDTAGLKRSNAYLINGVVIFFAWLVARILLFVYLFYHVYLHYDQVLRLLNKIYTGHADAHCWIPFDICCAICTGYHEPNVVWEDYQGIDINSGEENIEVIMTDHIAVSH >KZN07866 pep chromosome:ASM162521v1:1:270745:272812:-1 gene:DCAR_000535 transcript:KZN07866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSWNTSKDEEQHLFHVVYKVPSGDTPYVRAKHAQIVQKDPEAAIVWFWKAINAGDRVDSALKDMAVVMKQLDRTEEAIEAIKSFRVLCSKSSQESIDNILIDLYKKCGRIDEQIVMLKQKLRMIYRGEAFNGRPTKTARSHGKKFQVSVKQETSRILGNLGWAYMQKSNYMAAEVVYKKAQMIDPDANKACNLGYCLIKQARYDEARSVLEGIQQGTTPGSDDMRTRRRAEELLAELELNRPEIVASDIMGLDLDEDFVDGLEKLMNAWGSSRPRRLPIFEEMAQSRDQLAC >KZN08728 pep chromosome:ASM162521v1:1:14517844:14521443:-1 gene:DCAR_001384 transcript:KZN08728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHNVFYTAFSLSSHKLFLLFYLVCAVFSSVLGANSDLQQLMSFKSLISDKTLLPNWSTSTSLCEFSGVTCNINSRVVAIDLSTKKLHLDFVKVEPFLLTLPNLETLLLKNSNITGKLSLSARSQCSPVLTKLDLAENSISGPVSDVSSLSSCTKLKSLNLSGNFMEFPASDSKGLSLSLESLDLSYNKIVGPNFMPWLLSGGCSELSYLALKGNKIAGPVAHIQDCKSLEYLDLSANNFSVGVPKFDDFLVLNHLDLSLNKFYGDISGSIASCKNLTFLNVTHNQFGGGVIPVIPSRSIKFLYLSANEFQGLMPPHLADLCPSLVELDVSVNHLYGSVPENFGACSSLEKFDISHNNFSGKLPIDTLLKLGSLKDMLFGFNYFVGDLSDSFSKMTKLKTLDVSSNKLSGVIPVGICQDPRNSLKVLYLQNNELTGPIPESLSDCSNLESLDLSFNYLNGTIPPSLGSLSNLRDLILWLNQLHGVIPEELMNIKTLENLILDFNDLTGSIPASLSNCTSLNWISLSNNQLSGEIPASFGQLTNLAILKLGNNSLTGNIPSELGDCRSLIWMDLNTNLLNGTIPPSLFKQSGNIAAGLLTEKPYGYIKNDGSKECHGAGNLLEFGGIRQEQLNRISTRHPCNFTRVYWGITEPTFHNNGSIIFLDLSYNKLEGGLPKELGSMYYIQILNLGHNDLSGPIPQELGKMRCAAILDMSYNRLNGSIPQSLTGLNFLGDIDLSNNHLSGLIPESAPFDTFPENRFLNNSGLCGYPLPRCGPGSNANSSGQHKKSNRRQASLAGSIAMGLLFSLFCIFGFILIAVETRKRRKKKEAALEAYMESHSHSGAANSGWKLTSAREALSINLATFDKPLRKLTFADLLEATNGFHNDTLVGSGGFGDVYRAQLKDGSVVAIKKLIHVSGQGDREFTAEMETIGKVKHRNLVPLLGYCKVGDERLLVYEYMKFGSLEDVLHDRKKIGIKLNWAARRKIAIGAAKGLAFLHHSCDPHIIHRDMKSSNVLLDENLEARVSDFGMAREMNPMDTHLSVSTLAGTPGYVPPEYYQSFKCTTKGDVYSYGVVLLELLTGKQPTDSMDFGDNNLVGWVKQHTKLKIIDVFDPQLLKEDPSLMIELLEHLKIACACLDDRQWKRPTMIQVLASFKEIQAGSGFDSLSTIGADEVNFGAVEGIEMSIKEDVEMGHDD >KZN07996 pep chromosome:ASM162521v1:1:1291949:1297855:-1 gene:DCAR_000665 transcript:KZN07996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMLKSYKPSFPLCSSPTLYSSKASILTHTHKFLAKNLPKSTAKIQFCSSLSDAEPRSRNGLGLNGAERKGESGGVKRELWLYNTLSKQKELFRPKVEGKVGMYVCGVTAYDFSHIGHARVYVSVDVLFRYLRSLGYEVNYVRNFTDVDDKIISRANQLGEDPIGLSRRFCEEFHQDMEDLHCLPPSVEPRVSDHISHIIDMIKQILDNGCAYRTDADVYFAVDKFPHYGRLSGRKIGDNRAGERVAIDTRKKNPADFALWKSAKEGEPSWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLIFPHHENEIAQNCAACDKSDISYWIHNGFVTIDSEKMSKSLGNFFTIRQVIDLYHPLALRHFLIGTHYRSPINYSDLQLESASERIFYIYQTLHDCEDFVGRNDATGLDNIPPQTIDCISNFENILFTAMSDDLHTPDILAALSDPLKTANDLLHTKKGKKASLRRESLGALEKTMRNALAILGLMPTGYTEALQQLKEKALKRAKLTEEEVLSKITERDTSRKNKEYEKSDAIRKELAAAGIALMDSPEGTKWRPAIPSRLQEQLAAATT >KZN10094 pep chromosome:ASM162521v1:1:32577623:32582019:-1 gene:DCAR_002750 transcript:KZN10094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYYKLPVAKRTRSGSDRFIEEEFKKLKSSGRQVAVEADVVVPVVLVEDDDEGLSGNARGRGGDGRECKGKSEKGKELDKDSESDCDVIVVGERDLCKDDDDDSDVVEVLAFDKGEEREIERVVDGGGGDDVEEEERIDSLSSEDASEESNEDTNDESDESFRDEGFVGSDHAESSDDSSSYAKSEDEGDNDSDDGCGTDRRIEGKNGFKRKGREKGKFAEGSGRENDRGEKETVDGRFEDKVETFELEESSESDDEDGNSSCHFDDSDEENDSSKSETSVGRKNGKRIVKSGFLKRTQNVADRLRSDALITSRSKKVSLRKDGSQIQPLVETDDKSRDIYGGNRRKNLKMTTGRNADEDIDPVKAFCAFFAGKREGLKKIEKEEIKHKFWFPEKKPVEKSAFDAELDRLFEDLNTALTCEDIGSTQLEVDNGDHCKMQSDCNRDKHDLVLDEQIGLVCKYCSHVSQEIRHILPTFSTSDPQSRRRRHFVQPECPLFSDYFQGMVSERHDYDDYNTIGSVWDLVPGVRSSMYEHQREGFEFIWKNLAGGIMIDELEKPLSSSGSGCIISHAPGTGKTRLTIVFLQSFMRMYPDSRPVIIAPKSMLLTWEEEFKKWNINIPFHNMDNLEFSGQENSAAVKISRMGGHNRNNKTLARLVKLYSWKRDKSILGITYALFDKLVSAETSNAECDPSEELMGNVLLQLPSIIVLDEGHNPRNDQSRIYNSLLDVETERRIILSGTPFQNNFVELYNTLRLVSPKFDSKSSKFDDTLEKKLRKISKELKSNWSGRKLMELKHMISPFVHVHKGKIIQERCPRLKDALIRLQPTDLQEELIAALKTKDHKNGHFEIAHEISLVSVHPSLLPKQCFRLHQFSSYKDKLQRLKKDPYSGAKTKFVIELCRLSVALKERVLIFGQFIKPLKLIKKQLQSYFGWTEGREVLYMDGKLEAKQRQSSISALNDPKSKSRVLLASIKACSEGIHLVGASRVVLLDVVWNPSVERQAISRAYRIGQTKIVYTYHLIAEDMEVRKYKVQTAKDRLSEMLFSSKDTDSLQKNSPDMVSEDRILQEMYQQNDKLGGLFKQILYQPKESDLIDTFDVVA >KZN08933 pep chromosome:ASM162521v1:1:17856077:17856330:-1 gene:DCAR_001589 transcript:KZN08933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGCSVWIAMAGSSTGSIGSIGSMNICDCGKRAAMYTSWSLKNPGRRFFTCSEKSVSILLYLRC >KZN09176 pep chromosome:ASM162521v1:1:21268604:21271306:-1 gene:DCAR_001832 transcript:KZN09176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFFVQCHQVASLSPHSQKIALFRFKQSFKIAPPSNCSYFSYFELKPMTSHLSHPKTMNWSMSSDHCTWEGVSCDQETGDVIGLDLSCGQLQGAILPNSTLFQLSRLRFLNLSQNDFILSTQFPREFGFFAKGLTHLNLSGTGISARVPSEISHLYNLVSLDLSRNYFPQLDEEVFKSLMQNLTHLRVLNLQWFNIPSVLPVNISTSLRVLNLGGSFLLGKVPQEVFNLPNLEALILSYNFNLTAKLPEAKWGSSATLQHLDFSYTNIDGGIPDSIGHLESLDGMELTSCNLSGPVPRSIGHLNYNRFTGELHEFDFSQSQLRYFSCSNNLLYGSIPHSFSQLVNLTFLDFSSNNFSGVLDLEMFSGLEFLESLELSHNSLSVRSTIMATLPPKLNRLGLSSCNMKDFPHFSRDADISLGFLDLSDNNIEGEIPPWIGSVGRGSAPYLNLSHNVLIGSLEQLPWAYITYLDLQDNKLNGSLPTLMCNSSSLQILNLSHNNLSGVLPSCPTNLTRLKVLDLRMNKIQGYIPATLSNFRNLETINLNGNGLQGSIPSSFAEFHSLAVLDLGSNQINDTFPRCLEALPNLQVLVLKSNKFYGFINTSSNIVHPFPSLRIIDLSFNEFSGPLPEKYFRNFKAMMNGEVNKEKRSYMEQEYYSDSTALVIKGMEIVLVKILTVFTTIDVSKNKFEREIPEYLGNLVSLRYLNLSYNHLTGRIPRSIGKLSVLESLDFSSNQLEGEIPQELTSIYSLALLNLSCNHLRGRIPEGSQFDTFENSSYAGNFGLCGKPLSKKCEKYVRAQEEDEEDEDEYYFFSGLCWEAVAIGYGCGVVPAFITGYLMLLAGKPKWFAGIIARELGLKIRRMEIRMP >KZN10963 pep chromosome:ASM162521v1:1:40672833:40674908:1 gene:DCAR_003619 transcript:KZN10963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTALLVGRMTNFTYLALLLLLCIVSSVHGYGRDGFDPLGKLIEEQKSSEKAFQSDNKVLQNEYSSVYIGPQDGLKEADKIVALPGQPEGGSLNQYSGYVTVDPTAGRALFYYFVESQDSSSKPLVLWLNGGPGCSSFGNGAMMELGPYRVNSDGKTLSENKYAWNNEANVLFLESPAGVGFSYSNRTSDYITGDKQTALDTYTFLANWLERFPEYKTRDFFIAGESYAGHYVPQFAQLVLHNNKNTSQTVINLKGIAIGNAYIDYRARFEGMYDFLWTHAFISDEVHDGITLNCNFTSDATISDTCQSFRSQAKIGRIFIYDVYAPLCTSPPSSSPSISAFDPCSDDYIDAYLNTPEVQKSLHANVTNLPYPWESCNFNINIDWTDKPLTVLPVIKELMASDIRVWIYSGDTDGIIPVTSSRYAIDRLETSVTTPWYPWYTQGEVGGYAVGYQNLTFVTIRGSGHFVPSYQPSRALTLFTSFLAGKLPPSH >KZN11548 pep chromosome:ASM162521v1:1:45648409:45648895:-1 gene:DCAR_004204 transcript:KZN11548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQVELVGKAFVDHYYHLFDNDRPSLSSLYQPSSILSFEGQRLEGNEEICNKLNQLPLGQCQHAISTIDTQPSSFPGGIMVFVSGSLQLPGQDYPLRFSQMFHLIPTVEGSFYIQNDIFRLNYG >KZN10437 pep chromosome:ASM162521v1:1:35690885:35691922:-1 gene:DCAR_003093 transcript:KZN10437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSNLSDGVLKKIILSYTYVAIWIFLSFTVIVYNKYILDRKLYNWPFPISLTMIHMAFCSTLAFLAIRVFAFVEPVTMTADVFMSSVVPIGALYSISLWLSNSAYIYLSVSFIQMLKALMPVAVYTIGICFKKEAYKGETMANMISISIGVAIAAYGEAKFDVFGVVLQLGAVAFEATRLVMIQILLTSKGIQLNPITSLYYVAPCCLAFLFVPWVFVEYPVLRETSSFHFDFLIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLIGYGLAFLGVGYYNHAKLQAMKAKEAQKKAQTQGGNDEEGGRLLAEKDGGEDG >KZN09318 pep chromosome:ASM162521v1:1:23130265:23130871:-1 gene:DCAR_001974 transcript:KZN09318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAMVNCWICLATLYSHRMMLVRAGHLFMFNSKLQELNNAVVVTSLTIKFTKEQLSGFEPSWADTS >KZN11946 pep chromosome:ASM162521v1:1:49589621:49591210:-1 gene:DCAR_004602 transcript:KZN11946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLTLPPQNLGFFETRRASFKLRKSPLNPCAPRFFNSASVSVSNTTLTRKHLSNLDKLLPVKSEPVPVFPPRSDPNPISNEEAKTRGILEALNLPRFWKESKAAEEVSPRHLNRLRRLLSKSAEYSPRNILGSKWRQYHGSDNWAGLLDPLDENLRREMVRYGEFIQAAYHCFHNDPATSADHAPADRHVALPDRSYKVTKNLYATASVGLPKWVDTVAPDLSWMTQRSSCIGYVAVCDDRREIERMGRRDIVIALRGTATCLEWAENMRDLLVQMPGQDDKAPSQAKVECGFLSLFKTSGPHMPSLSESVVKEVQRLVELYKGETLSITVTGHSLGAAMALLVADELSTSVPDTPPVAVFSFGGPRVGNRGFATRLESQNVKVLRIVNSQDVITKVPGMFVSEGLDKKLRESSACSVLNILDNNMPWAYTHVGTELKLDTKMSPFLKPDADVACCHDLEAYLHLVDGFMASNCPFRPDSKRSLVKLLDEQKSNMKRLYTNKVNALSFKPRKELLRMPSVLPSPSSS >KZN10868 pep chromosome:ASM162521v1:1:39714966:39717174:-1 gene:DCAR_003524 transcript:KZN10868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNQFHSLLEIGTERSEWSISVRVQALWKSINRTTNEFRGYNMVLTDVQMNVTFFNLFGESFEKALKAMAEAEVVIVIACAKVNKYEGELYLTNYPATRFYLNPKHYSLIEFENSITRKKAEEQLLSKMFTIAEIKNLTDTHIQKDVRCSVKVKKVEEQYNWYENCCPGCGEEVNKVEGRFRCTTECKRNIPWPDKRFRLTTVCSDASGILAIIFPDDEIQRIIGKEVFDIENDESQVGADGSTFPPLLKQFEKRDYIVTITISALNINKTCKVYKAKKLDNPEENLGENEPAELKSAETVDHTMETVSETVAEPRTSSPPTEKSSNRPRGIKNKIPVKCGILAETPNTKMKKS >KZN10604 pep chromosome:ASM162521v1:1:37495567:37497259:-1 gene:DCAR_003260 transcript:KZN10604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNHNNQENKDHDDDNDEHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTENLRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERLQKANMLSIHLSYIPTFQVKNMLLSSHFISVNIMQAEISLCKVYKRPGVEDHPSLPRSLPTRASSSSRTHDNKQYQDAAQHQAMEKFHVFGDQTLPQTSEKISETISGNSTTEDVGTALGLSNQNPYIQLPPITPMLPPPLDCNTIYNPNPNLVMTSPNTIDDLHRLISYQQASVNQPHQLFPNHNNPNHHXPATPVVSQS >KZN11074 pep chromosome:ASM162521v1:1:41522670:41524418:-1 gene:DCAR_003730 transcript:KZN11074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRGTIEMAKTVMEMAEVAFTALECCHMQHQLHQGDQPLPSLSSDEEMELLRLENRHLKNLLRQNLELLPDLSECSPVLPDCPPDLHDRLVASLDSAKFLDQLKSLQEELVNDSGCEFSSREASGTDLEKAESLIDLTSVEPSWWGWVNGEMVPSCVEERSSIDNENYVVVSEESVVDGIANFMAKCVLSNPNAQKLSPEELQKTLAKALCGNGMRKVETMLDIWHAGAMFYTLSTWGLALIGLYNTPAVVRFAAMGAHKSSKLILNAL >KZN09554 pep chromosome:ASM162521v1:1:27051723:27056154:1 gene:DCAR_002210 transcript:KZN09554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVSTLIVPCSKHNHKIYQHWFSFADSGLNEFITAMQKYRTNLLRCSFFIVDFDNLQPPVMEGLSSLLNNKKVSTTTAETELNGTRTLQQSPSFNLLTSKFTKKKPQASINAVTSINDGLKRLYVEKLKPLEVAYRFNEFGLPLLTDSDFNAKPMVMLLGQYSTGKTTFIKHLLKCNYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVHADLPFSGLTTFGGAFLSKFECSQMPHELLEHISFVDSPGVLSGEKQRTERSYDFTGAISWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYVGSFNDKPVDETAVGPMGKELFEKEQSDLLVDLMDIPKKACDRRINEFVKRARAAKIHAYIIRQLKKEMPTMMGKAKTQQRLIDNLEDVFTKVQTEFHLSPGDFPDVDHFRKVLSTYNIDKFDKVKPKMIQAIDEMLGHDIPDLLTSFRNPYQ >KZN11037 pep chromosome:ASM162521v1:1:41227828:41235666:1 gene:DCAR_003693 transcript:KZN11037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPHDDTNLKASEESRPVSTILIIIAMQTEAMPLVNKFQLSQAVDSVHSSPLHSVGASWSGKWEVGEGECGVKSGEWQLCPLLSVLTQFLGLPQTEHTMALWDGAVQPVPKGPVLCRALCNGLGKTGLQQLVQFPKGVPWVRYHGTYKDLNINIIWPGKDEVLGVDSVGTVSSALVTYASIQALQPDLIINAGTAGGFKAKGACIGDVFLVSEVAFHDRRIPIPVFDLYGVGLRQTCSTPNILKDLNLKVGKLSTGDSLDMCTQDESSIIANDATVKDMEGAAVAYVADLLKVPAVFVKAVTDIVDGEKPTSEEFLENLAAVAAALEQAVAQVIDYISGKCISEL >KZN09345 pep chromosome:ASM162521v1:1:23728446:23729239:-1 gene:DCAR_002001 transcript:KZN09345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVKITLDAARGLAYMYEEANPSILHRDIQSTNILLDDQWNAKVADFGISKPVRDTAKEYITTPVKGTMSMIINLAMKCVEDTGAERPTMSKDVRELDNIMQLAIPGLDTEFYWSSSGLERSVNQDLYHPYDNDGYDRIFRD >KZN07894 pep chromosome:ASM162521v1:1:509308:510710:1 gene:DCAR_000563 transcript:KZN07894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGTEQNGMFSRRCVWVNGPLIIGAGPSGLAVGACLKEQCVPFVVVDRADCLASLWQNRTYDRLKLHLPKQFCQLPKLPFPEDYPEYPTRKQFIQYIESYADHFGIKPQFNESVESAKYDEAARAWRVTTVSTRGSVRSEVDYICQWIVVATGENAEPVIPEIAGLGDFGGDVIHGREYKSGKDYSGKKVLVVGCGNTGMEVSLDLCNHNAKPSMVSRSPVHVLPREIFGRSTFDWAMMMMKWLPIWLVDKILLALTWFILGDLEKYGIKKPSIGPMELKIKDDGKTPVLDIGALEKIRSGGIKVVPGIKKFSKTMVELVNGQELEIDSVILATGYRSNVLSWLQETEFFSKSGYPKTPFPNGWKGKNGLYTVGFTQRGLLGASADAMKVAQDIAELWKKELKQKKPNV >KZN08240 pep chromosome:ASM162521v1:1:4213110:4214447:1 gene:DCAR_001305 transcript:KZN08240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEILSTELIKPYTSTPLSLRHYNISLVDELSPAMNVPTILYYPAEENVTTNSICMHLRKSLSMALARFYPLAGRYMKESFMVDCSDQGAEFVQAQVDIRLDQLIGLGKNVQVELLNCLLPRPVGACDEDTDPLVAVQVSAFACGGYAIGILSSHRVADMSTTSSFVMKWAREAKQLLEALDLDHDFNRAILKIREKARLDSSSQKLPTRVQSVFGILGKAIIDINCVNAGRPRGFLVSQTVNMRERTDPPISKKQCGNLYLVASARSVAGEAGVELESIVELLTDSVRRELANCEQIVPIKGEKNKMMITQGFNEVAKTFADPEISSVVMFTDWCKFPLYEADFGWGKPSWVSSVHVPLRNMIYLLRDKSGEGIEAWLNLSVDDMPKFEQDANIMEFTS >KZN11643 pep chromosome:ASM162521v1:1:46627566:46627895:1 gene:DCAR_004299 transcript:KZN11643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSARIVFSVMLLLSLVLMISTFASAARQLNETPKFRDQNANSEKTSGEIGNEANDKTLDDKKFFLPFPSPDSPLPELPPFPFPFQNLPGFPPMSLPALPNFFTPPPRN >KZN09248 pep chromosome:ASM162521v1:1:22062698:22066349:-1 gene:DCAR_001904 transcript:KZN09248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINVYLSSGKLYEGKVLTYDFYYNIAIISIKSDALLSTAKMRCVDDSIAIDSNTSMLDGAISLLHGDEETVSTRYNLFPGKLVIALARNFEAPFEIMAAPGYFSCNDFHHDCKELFWTTCKVTKIVVGGPVINCYGEVIGVSFALSPVNAFLPINIALKCLEDLKENRQVPRPYLGVGVANLYTAKLEKLDELTGTFPHVTKGVIIEQVENMRIHARVKRRKVRYAIGLFGLLGNGSKQGSYFKM >KZN07982 pep chromosome:ASM162521v1:1:1184018:1186746:-1 gene:DCAR_000651 transcript:KZN07982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNDGNTMRGYPPGVLVIRNYRGKDWSIKTYRYVVLLVTFVAYTAYHASRKPSSIVKSVLDPDPMCEMTALYPWPVGEIFSKRGSLGEGLNVYKDKGWAPFDGKQGTSKLGEIDVAFLACYSMGMYVAGHLGDTLDLRLFLTAGMIGSGVFVGLFGMGYFWNIHVFWFFLVMQMIAGLFQATGWPSVVAVIGNWFGKTRRGLIMGVWNAHTSVGNISGSLLAAGVLEYGWGWSFIAPGVFIFVGGIIVYLFLPAYPEDVGFPFLNGSVENDIRISSDEEALIQNAATGTKEANSIPRSGSVGRSSVHLIEACAIPGVIPFALCLFFSKLVAYTFLYWLPFYLSQTAIGGEYMSVRSAGNLSTLFDVGGIVGGILAGYISDKLKARATTAATFMYAAIPAMILYRKYGNISQTINIVLMIIAGLFVNGPYALITTAVSADLGTHSSVMGNSRALATVTAIIDGTGSLGAALGPLLTGFLSTKGWNFVFGMLSLGALIAGLLLSRLVIAEYAERKNKPAVFGQHSPGELCVYAASCTP >KZN08325 pep chromosome:ASM162521v1:1:5270637:5273118:-1 gene:DCAR_000871 transcript:KZN08325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRSWFQKFQHREKNKDKSGTPTKREIEETTNSPMEDEPPSSATQQKVAAAKEYIENHYKAQMQCLQDRKERRWVLERKLADGDVPAEEQMNLLNFLEKKETEYMRIQRHKMGVDDFDLLTIIGSGAFGEVRICREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSGCIVKLYCSFQDQEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDRSNFPDLSENENGDGKNSRTLAGGVQYNNKTSAPMRSQQEQLLHWKNNRRMLVCFLSILH >KZN10124 pep chromosome:ASM162521v1:1:32802234:32803078:1 gene:DCAR_002780 transcript:KZN10124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGATATTKGGRGVSKTKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHSTLLPKKVGKGKDEIGSASQEF >KZN11895 pep chromosome:ASM162521v1:1:49180503:49187013:1 gene:DCAR_004551 transcript:KZN11895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRLFMLLCVVFVLIVSSVSGESDASSTVDHVVGSDGSDDVKIQVEQLKAKIHSLESHVDLKTQEAKSKDDKILEKEKIIKEKADTIASLHSEIASVQKKGNSVVEEQLAKAHARAAELEKQVENLKKETELRAREKGALESRVSEAENKVREISSKLKSLKKSIDENKVKIKKTERALQVAEEELMKTKFEANAKIKELTEVHSAWLPHWLAAHVSSCQSYVETQWNMHGKPAAQLVIEKAKVKKEQVEKWAEPHVEIVKTKWIPSVKDQWFVIKSKAEPHVQSFTTKFIEVYETSKTAVSPHAIKAQEVVNSYYQEAKKFSKPHIDQVASAAKPHVDKVHGALEPYLKEVLHAYGKFVEYATAYHHEASALLALPVIILFKICSTMFSKKQQKPNRTGNTNHSRRKAKRGHAEK >KZN09536 pep chromosome:ASM162521v1:1:26762797:26763724:-1 gene:DCAR_002192 transcript:KZN09536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDGHNDQDKGLFSNLAGGLAGGGHYPPGQYPPAAGGYPPQGYPPAGGGYPPQGYPPAGGGYPPQGYPPAGGGYPPQGYPPAGHHSGSSAPHHSGHGGVAGMVAGGAAAAAAAYGVHHMTQGHGSHGGHGGYAHGAMGMMPGMGGHGHGKFKHGKHGHGKFKQGKHGKGKKHGYKKWK >KZN12069 pep chromosome:ASM162521v1:1:50760352:50762145:-1 gene:DCAR_004725 transcript:KZN12069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREQNIETFYSKLRQSALSSASNTPLLIFPSTSDVDSLCALKIIGHVLESDSVRYACYPVSTFKEIHKYAGSSLSSVEEGEFVTILLINWGCHRDLRRVLDVGPMARVFVVDSHRPIHLHNLSDLNERVVVLYTRDDEHQADLAYDFDVSALANAGDLDSDDDIEEDEENSDDEDGSDGEEEEGGGNRKKRRVSDEGEKDPVKLYRKLKKEYYYMGTFHGKPSGCLMYELSHLSRKNTNELLWLACVALTDQFVHERLTNERYQAGVMELEQHINSSGNLDGVHTVTLKDGTKVSAPDASRIAYEDEPRLMLLQEWNLFDSMLCSSYMATKLKTWNDNGMKKLMLLLAQMGFSLEECKQKFQYMSIEIKRKMKGMFEHFLPEYGLTDFYYRGFLLLHGYSSKISAADVVYGVTALLESSVESDGSCASKQFGVAYDSLSLNKLDMLASGMQHAIKIQRAILRQGSTAITRKGSIRSGSKFRWVKLEDSSDAKLLGYPQALTKFGYFLMDALREKGATLKPLICVCYTHERTKVLMVGVCGKPRLGAIQGNAFGIAFRNAAEETGAEFFHELFESSWLVLDAVAVNSFMIRLTEKLW >KZN09627 pep chromosome:ASM162521v1:1:27795286:27800309:-1 gene:DCAR_002283 transcript:KZN09627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFAANLASKARIATSSTQQIGSRLSLSRNYAAKDIRFGIEARALMLKGVEELADAVRVTMGPKGRTVVIEQSYGAPKVTKDGVTVAKSIEFKDKVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMERVGKEGVITIQDGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIHEKKISSINAVVKVLELALKKQRPLLIVAEDVDSEALATLILNKLRAGIKVCAIKAPGFGENRKANLQDLAVLTGGQVITEELGMNLDNVELDMFGSCKKITISKDDTVVLDGAGEKKSIEERCEQIRSSIELSTSDYDKEKFQERLAKLSGGVAVLKIGGASETEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYAAKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDDPDLGYDAAKGAYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAIVVELPKDEKDIPMGGGMGGMGGMDY >KZN11370 pep chromosome:ASM162521v1:1:44070118:44075238:-1 gene:DCAR_004026 transcript:KZN11370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGWLKKMIGSKKEKNGNAKSLEVYSQKDSSNTVNNIADEEPVTVAKSIEDIAATRIQCSFRAYKARKILRFLKGTLKLRTLTLDSSVSKQASATLRHLHTWSRIQSEIRARRISMATEARIRQKNLENQLKLDAKLHNLEVEWDCGTKTMEESVSNVYLREAAAVKRERTMAYAFSHQWRANKNPVLNNNELGNAIWGWSWTERWIAARPWESRALVQSSPKKSMSRQSSKTSKNLKSPTMKPMRSVKSISPNGKGTIKSRKLSYGEPGQQAAANKEQMAWEIALPGGKTEQGDADDVQTALREAHEEIGLDPELVHVVAVLDPSTTRYGVTVVPVIGILYDKRKFNPVANDAEVEAIFYAPLEMFLKDENRSETQTEYIGEKYLLHFFDFETDNTKYQIWGLTAGILITVATVVYQRPPAFTELRPRFWSGNHQCKF >KZN10002 pep chromosome:ASM162521v1:1:31539398:31541444:1 gene:DCAR_002658 transcript:KZN10002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGVWNLDCCHAHPHSRPGLPRTSIYITTRPATNHHLYYHNCIICIVIWNNFSPEKLQQQTYQDFVESIRSMANELIDTKLLFDTELNLALQKYPTNEQLLDIRNIVFNVFHQQGTEKITNTGPPEQTTKTLPPEQTTNTRPTYSRPSEQCTDSSPPEENTDTEEFQDCYEEEDFQLTIEDVEELDLLSFVDSAKINAQQTDLFETDTNATLDEKKTLATTYKLFAENVDSMLIQCNRTKLDLIDMAFFPICAFEHYYLIVYNLKNWTYEIIDNIDISKIDPRKCYGEKPKILHSHFVKYLHAKGHVGISAKVKKMKPSYLNLPWQXFVH >KZN10352 pep chromosome:ASM162521v1:1:34849464:34852429:1 gene:DCAR_003008 transcript:KZN10352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDKWAVKALKDDSIVVSLLLQIKQHHNPFPSSLRWGHRQLRSKQTHTSSATRCSPTTPLSWSASDTSAPRSKATCAYEAGTSTSKKSRKKKTFTELREEESYLMNERVHLEKELASVNVTLNEQRYRGDNLKRIKLDFHVPSTSEMPAIRDEPMRTMFFQYQQSKASSDNQNSLILPRQEIDNFPDFSSSCKLPEENKSRERCFILPDLNMAPAEDPGCGSLF >KZN11911 pep chromosome:ASM162521v1:1:49343387:49351438:1 gene:DCAR_004567 transcript:KZN11911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSDIPCVGKNVDRISDAAVDALFRGFSYMFSYKNHVQVLETEIQKLDAEMDRMSRKVREEKDNGKMIVDGVSTWQADVEEVKKSAEEILEKYKNRSSWRCIQWMPIPKPVSRSRLGREAAHKAKRVIELSDSANNLLANGIAYGSPAENAPNTVYQTFKSRSDAYDKLWKALVSEGGSTVLGIYGMPGVGKTTMMESLWKEALEKKIFDKVTRADVGNEDLDVFKLQKQIADHLDCHLNPEDDEQHRASQLKKRLTNGEKILIILDDVWKEIPLHRIGISLGDGDSSTHRKILLTSRKKRVCMDNKCLHPVKIAPLGPEEAWQMFRNTVVPDNIYLLPDESLALEVCNQCGGLPLLIHAVGKALQFTSPDVWKDALNQLVMGNFEKIADIDSNVYACVKLSFDRLPDDAKSCLVLCSLYPEDASIYINKLIPLATGSRLVRGGEARIRSMVEILRSSSLLLDSEDHIIKVHDLIRDVARSIAVKDPKYSFSLVRCGSLLPDDVDYHTRKFLRLHLEKNDILFPDGLVCQDLHNLWLQCNKHAQQFLGDFFGMFVNLRFLLIEDMLFSLEPQFSLRPLVNLRTLILDGCEITHVSQTNASFFPENLVALYIWNCDLPRPLNLPILKHLRKLEIQGWRSNNIQMVPNTNVDRISDAAVDALFRGFSYMFSYKNHVQVLETEIQKLDAEMDRMSRKVREEKDNGKMIVDGVSTWQADVEEVKKSAEEILEKYKNRSSWRCIQWMPIPKPVSRSRLGREAAHKAKRVIELSDSANNLLANGIAYGSPAENAPNTVYQTFKSRSDAYDKLWKALVSEGGSTVLGIYGMPGVGKTTMMESLWKEALEKKIFDKVTRADVGNEDLDVFKLQKQIADHLDCHLNPEDDEQHRASQLKKRLTNGEKILIILDDVWKEIPLHRIGISLGDGDSSTHRKILLTSRKKRVCMDNKCLHPVKIAPLGPEEAWQMFRNTVVPDNIYLLPDESLALEVCNQCGGLPLLIHAVGKALQFTSPDVWKDALNQLVMGNFEKIADIDSNVYACVKLSFDRLPDDAKSCLVLCSLYPEDASIYINKLIPLATGSRLVRGGEARIRSMVEILRSSSLLLDSEDHIIKVHDLIRDVARSIAVKDPKYSFSLVRCGSLLPDDVDYHTRKFLRLHLEKNDILFPDGLVCQDLHNLWLQCNKHAQQFLGDFFGMFVNLRFLLIEDMLFSLEPQFSLRPLVNLRTLILDGCEITHVSQTNASFFPENLVALYIWNCDLPRPLNLPILKHLRKLEIQGWRSNNIQMVPNTVSSMPSLQELHIPGGYKIQDDGCGKSLDAVSAPILGEISKLTGLKSLQMFFFSNSEHFQDTNIFGNLLQYNISVGAKPHDRPLIESSVSIKRLIELQGSGLESLKGLIERAEEVSLDCTDIHVGSIYNSNREAFTDLKNLYIRNCNTIEYLARISCGEIQHSRSFANLGVLEIKDCSALKYLFSKSVAKCLVQLQELAIVRCPVMEAILMNEGTSDRDGVISFCKLKFLSIYNIPRLKSFCMQNKDLHSGSTTHNSAISNIQAQPLFDKTVAFPSLEVLTLEGSGDTVYDIWGNSEYDKVGSSFHKLKIISLYWCKKLERVIPLAMSNNLRNLESLTVWNCPGLKNVFQHSSVARDLIHLKKIEIYHCHRLRNVFQHSSMVRDLINLQKLNISHCEMMRVIIDGKEEEEDEAGGEEEEEEAGEEEEEEEEEAGEEKEEAGEEEEEEITDDKHVTIVFPKLTTLHLDGLQCLTSFLCYRSGEANPKLKFQCFKRLKVLKVEKSGCSTLFSFSAFESLGLLQKLEIKGCALLEDIVEDVRSEKHCGTNKRTVTLSRLISVSLKNLPNLKSFFHSGNYECHMPALEVVQVDNCGISTLFTCSVFRTVHQLKELKVYNCELLEDIVEATRGAEPLNTDDRLITTPQLSVVALKDCPNLKNFSSNSSYAFNMPNLSIFFVIGCPQIEYFTSLKTTTPLVSVYSDWHKWVRSPDLNDYIRENCKRKDDSSSSAGEVSNSNQEPETDPVMFGEQQLQETEENAQQQQSSQEHMGSPVVLH >KZN08914 pep chromosome:ASM162521v1:1:17608045:17611497:1 gene:DCAR_001570 transcript:KZN08914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTDEVYLSNSLFCTRLFLNSDLQEVTSFTERYNIQVGVVDSSCTASFVMFDREVVRFTYKGNLQTITRIDNGNISTDPTSSHAGFRFPTASMDQTYLSARSRKQICEGPDTQQVSTLNISNISNILTTSQSRYPGPEVAEELMPPINQVD >KZN09865 pep chromosome:ASM162521v1:1:30117839:30118132:1 gene:DCAR_002521 transcript:KZN09865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERDNRSKQKARHKFLQGKESLAAKLCLTNSSLIISCTSTYVHTVTVPVLLKSRDIRRRLSLETVSNFNLNFQDTLEQKVMYMDKFLPSMMMKVTQ >KZN08582 pep chromosome:ASM162521v1:1:11004719:11006286:1 gene:DCAR_001112 transcript:KZN08582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVLHSAFGIFGDLTGLFLFLAPVITFKRILLNKSTEQFSGIPYVMTLLNCLLSAWYGLPFVSPNNILVSVVNGTGAVIEAVYVLIFLIFAPKKEKMKIFGILMVILTIFGTVAFVSMFALHHNKRKVFCGFAAAIFSVIMYGSPLSVMRMVMKTQSVEYMPFFLSFCSFLCGTSWFIYGLLGKDPFVAVPNGFGCGLGILQLILYATYCGNKGQTKKTADGSSLELGQANGDTPDKPNLK >KZN08183 pep chromosome:ASM162521v1:1:3615430:3616904:-1 gene:DCAR_001248 transcript:KZN08183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSSKQGSPKSEVKTDKHLPKNTQEPHLSGAYIRNLVKQLTSSRGKDTMNQKEHLSSSLVPDGLDGVASQHQNLGESQETQSPQPPQHKKQVRRRLHTTRPYQEKFLNMAEARREIVTALKLHRASMKQANENHSQPTYQNRSEPLQTSPPPQLKSKSRRNSRIYASSSNNFSNNLGSPSGQSFPSQPARTSYSWPICSSAPPPIPENFNLMLPNQPLGLNLNLQDFNDLDTTIFQNSYIPSYYSPSSPSTSSSPPLLVTKEETPYTGFSQDLSVADPSIIHEGDLGLHHAMDDEEMAEIRSVGEQYQIEWDDTMDLVTSAWWFKFFNNMEIEPNGFPSFDEGMELPPWLNANDNCFAQIDEHDSGHYHQDPMLPQMDIGEIEGMDGEWLG >KZN09849 pep chromosome:ASM162521v1:1:29949317:29967005:1 gene:DCAR_002505 transcript:KZN09849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHSPLQLVKVYHLNTDEKWDDQGTGHVTVDYLERSGELGLFLVDEEKNETLLLQRISSEDIYRKQGETIISWRSRERSSEIALSFQEKTGCSYIWDQICCAQRSLQFSTINNDAHHAMNNDLRELPPVELSTLALIHKTVVEGSITDQCRVTELIVHDQGFLRKLMDLFRVCEDLEDIDSLHMLYRIVKGIILFNSLQILERVLGDELIMDLTGCLEYDPEILHTHHRKFLRDHVVFKEAIPIKDPRVLSKIHQTYRIRYLKDVVLPRVLDDATIGSLNSMLHSNNAFIITMLKDDSTFIKELFARLNAPVNSAESKKNMVLFLHEFCCLIKSLQTVHQFRFLRDLINEGIIDVIAGILQSEDKRLRLTGADILILFLNQDPNLTICSLTRQKGVPLVGLLVKGMLTDFGDDMHNQFVEILRNLLDGSASGSQRDTIIDIFYEKHLNQLIDVITSSIPSNGARKVASSQCMPRESRVKPEILLIICDLLCFCVSHHFNRIKCNFLTNNIIDKVLILTRRREKYLVVAAVRFFRILVSWNDDRVMNHIVRNNLLKPIIDAFVQNGRRYNLLHSAVLELFEHIRKNDSKVLLKYLVESFWFQLVVFNLPSIHSLKVRYEQSLEIREEHGPTNVLYSRRRTDEIALDKEEEDYFNGDSDEEDSASGSLSRGERSIFVSNGSALSQPSVSSRSCRPVNHADNGDVEKYKPPPRKRSEESEENAAEPVRLKRKFSSMEEPRHFKRQRLCINPKSKDSVFAALCSTLSQVVLPSKKIASSVHTVPRSASIKIFSELNNEEGSICCSPKSSDVENHADKEPLPSPKSCPDSLLCLPAKKESSEDDFPLVSPNSSPEMTVNGFYEQKL >KZN10993 pep chromosome:ASM162521v1:1:40917120:40928391:-1 gene:DCAR_003649 transcript:KZN10993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSRGRSSSPFTHRKPSSPSPSTSSSFINGKLMPRSSSSSTTSFYNSDNASRSVTSAAYSKGFGIYAPVDFPASDEFVEPVDSERSGGDSISVTIRFRPLSDREYQKGDDIAWYPDGDKLVRNEYNPMTSYAFDRVFGPNTATQDVYDVAAKAVIKNAMEGINGTVFAYGVTSSGKTHTMHGDQNSPGIVPLAIKDVFTMIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFLAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLGGHGHVSLICTITPASSNSEETHNTLKFASRAKRVEINASRNKIIDEKSLIKKYQKEISVLKEELDQFRKGMLGVIHPEEIMTLKQKLEEGQVNMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNAIPDLADTSSDQRSISVEDNGGMTMSDQMDLLVEQAKMLAGEIAFSTSTLKRLKEQCANDPENSIPQIQELEREIKEKTRQMRVFERRMLESGESSGTNASLVDMQQTVTRLLAQCNEKGFELEIKSADNRILQEQLENKCAENKELKETISLLQQKLSARANDKSFLSFEQRGSEEYADDLRKKIKFKEIENEKLKVEHVRTSEENSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLLNAKLEKELLSARDLISSRGPGLQAGNGGNRKYNDGTKPGRRGRLSGPTNEISGMFNDDFGSWNLDLDDLKMELHARKQREASLEAALAEKEVVEDEYRKKVEEARKRETSLENDLANMWVLVAQLKKERGPIHDLNNNEKHTNGLEHAGHRFDDGDSDNLVLKGSQVLNSNRVQDIPKEEPLVARLKARMQEMKEKELMHLPNGDANSHVCKVCFESTTAAMLLPCRHFCSLTSV >KZN11880 pep chromosome:ASM162521v1:1:49032584:49043927:-1 gene:DCAR_004536 transcript:KZN11880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGGFGQSKEFLDLIKSIGEARSKAEEDRIVLHEIETLKRRIIEPDIPKRKMKEYIIRLVYIEMLGHDAAFGYIHAVKMTHDDSLLLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNFLVVCAALNAVCRLINEETIPAVLPQVVELLGHSKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLFDLVSVDVSSYKDLVVSFVSILKQVAERRLPKAYDYHQMPAPFIQIKLLKILALLGNGDKQASGQMYTVVGDIMRKADTTSNIGNAILYECICCVSSIFPNTKLLEAAADAISKFLKSDSHNLKYMGIDALGRLIKISPDIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIEYMININDNHYKTDIASRCVELAEQFAPSNHWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDTADSQLRSSAVDSYLRIIGEPKLPSAFLQVICWVLGEYGTADGKYSASYITGKLCDVAEAHSTDDTVKAYAVTALMKIYSLEIAAGRQVDMLPETMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDTADSQLRSSAVDSYLRIIGEPKLPSAFLQVICWVLGEYGTADGKYSASYITGKLCDVAEAHSTDDTVKAYAVTALMKIYSLEIAAGRQVDMLPECQSLIEDLCASSSTDLQQRAYELQAIIRLDAQALENILPLDASCEDIEVDKGLSFLNSYVQQSLENGAQPYIPESARSGVLNMSNLRSHDQQETSGHALRFEAYELPKPTMPTSRPATIMPSTELVPVPEPSYTREIHQTPAVPSISHSGSAELKLRLDGVQKKWGKPTYSSPVQSTSNSDFQKTVNGAAQPDATGSTKQKARDVSHDIKKQEVEIPSEKQRLAASLFGGVSRSEKRQTAAGNRGAPKANSGATESPHMTKVATSSEPSTVKTAPVQPPPDLLDFGESTATSDAPSTDPFKQLEGLVDVTQDGSAANSGSVATKASDFMSLYSERPGNVPSNLIDPSIDVNMSSGLLNASNNFDHGAGVVAQSPQSANKGPNLKDALQKDALVRQMGVTPTNQNPNLFRDLLG >KZN10981 pep chromosome:ASM162521v1:1:40805868:40807622:-1 gene:DCAR_003637 transcript:KZN10981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGYVNSDGYEGHAVKLFLEMQVVGDKAVIDEFTLTALLNLTAKLGIVSYGWQLHCRMLKTANNFSGFATSALIDMYSKCEWFEEARRVFDGCFGGDLVTKNAMVAACCREGEMEMAWDLFWRELELADTVSWNTLIMGYTQNGREEEAIELFRCMGEEGFRWNDHTIVGLLSACSGLKNLKLGKEVHATVLKNQMNYNPFVSSGIVDVYCKCGDMNSAESVYSSTGMENTFSVTSMIVGYSLRGNMVDAKMLFDSLTERNSVVWTAMFSGYVRSQQCDEVFELFNQFIVKEATLQDPLILMSMLGSCAVKAIVDPGKQIHAYLYRMGMEMDVKMLSAMIDMYSKCGNIKYAQSIFRKISARDTVLYNVMIAGYAHHGFEYQALRHFEEMKERGLIPDAGTFVAVLSACRHCGIVELGEMYFTYMTKDYAILPEIDHYACLIDLYGRANQLEKAVALMREMPVEPDAVILGTFLNACKMNRNLELARETEEKLLKIEEGNGARYVQLANIYASEGKWDEMGRIRKKMRGNEVKKSAGCSWAHVGNKVHIFTSGDKLHSETDAIYDLLDSLNPKLVEGGCIECR >KZN07841 pep chromosome:ASM162521v1:1:86708:90840:1 gene:DCAR_000510 transcript:KZN07841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSGQRTQLGVLSQSTVRKRSSDTSESDVQGVSKRRGCRNALDAFLQNRVENMRNNASPFGSCHQGVHGHSPQSQSIMSQSGVTKKRRGPNVDSLFRKSDSGTMTNQNKENRTPDTGVQHRGRGLSIENQIRSVSKRNGRGLGIEKQIQLAATTNQHNILNGKQASSSHYDCAGQRIGEKSRTMTNEGTIPYYGGGAAALKRVETPVPTPQNDEVLIKLEASSINPIDFKVQNGLLRPIAPSKFPFIPVTDIAGEVVKLGSDIKKFKTGDKVVAKLQDMSGGGLAEYAVAKENLTVARPPEVSMDAAALVTAGLTALQALTESALLKLDKNYPEANVLITAASGGVGHYAVQLAKLGNIHVTATCGARNFDFVKNLGADEVIDYKTPEGAAVISPSGRKYDAVINCTTGISWSTFEPQLKENGTVVDLTPGAGTLANFALKTLTFSKKKVVPFLANCRCENLEYLVTMVKQGKVKSMIDSKYPFSKAEDAWTKIMSGHAVGKIIIEYS >KZN11967 pep chromosome:ASM162521v1:1:49755983:49757620:-1 gene:DCAR_004623 transcript:KZN11967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTVMMVLAMIAAYLMWFRTMVRPLKGPRVWPIVGSLPGLIENSNKMHDWIAENLRACGGTYQTCIAAVPFLAQKQGLVTVTCDPKNLEHILKIRFDNYPKGPTWQGVFHDLLGEGIFNSDGDTWKFQRKTAALEFTTRTLRQAMARWVSRAIKNRFCPILKTAQLEGKPVDLQDLLLRLTFDNICGLAFGKDPETLSPGLPENRFASSFDRATEATLQRFILPEMIWKLRRWLRLGMEVSLSESIGHVDKYLTNVINTRKLELISQQNGGGVVPHDDLLSRFMKKKESYTDQFLQHVALNFILAGRDTSSVALSWFFWLVTKNPRVEEKILTEICAVLMDSRGKDTSKWLEDPLVFEEVDRLIYLKAALSETLRLYPSVPEDSKHVVSDDILPDGTVVLAGSSITYSIYSSGRMKYIWGEDCLEFRPERWLTADETKFETKDQFKFVSFNAGPRICLGKDLAYLQMKSIAAALLLRHRLTVTAGHRVEQKMSLTLFLKYGLKVDLHPRDLTPIVAKIGENYACMGNTRDEELEYVQLVAEVA >KZN08741 pep chromosome:ASM162521v1:1:14752543:14754102:1 gene:DCAR_001397 transcript:KZN08741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWSRVVGLFSEMRLGGVEADSITIIGLVQLGCLVRDVRFVSSVHCLGMVVGVEVDVKIGNTLIASYAKCGDWDSAEKVFDGIGFDCLTVVSWNSLIAGCAYVGKSVESIGLYRMMLSHGYRPDGGTILNLLTLCDQDGALNCGKSIHSHGIKVGCNKDMSVLNTLISMYSKTGDVRSARNIFDAIKEKTRVSWNAIIGCYAERGDLDEAMALFRSMEASGHKPDLVTALYIISGSGKIGALETGRWIHSYVVLNKFHSNLVVSNALIGMYAKCGCMTLAREIFNTMHEKSIVSWTTIISGYALHGELKEALDHFELMLELGLTPNHVTFLSVLQACNHAGNLNKGWEYFYLMAIVYNLSPEIEHYSCMADLLGRRGKLKEAVEFVQNMRIRPDAGIWGALLSACKIHRNIEIGLYAAERLLAIEPQVGAPYVEMANIYASVGRWDGVSKMRTMMKNNQVTKYPGQSVVQVNGKTHTFTVEGRCHTADVLIYETLDGLNLQLQEKFDSAFIEEMVFLP >KZN08705 pep chromosome:ASM162521v1:1:13990997:13991783:1 gene:DCAR_001361 transcript:KZN08705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINGHRFSNPTWELEMAIEDQLIHDHHKIPLTTLYPIQDHHHIPAHPQPSSSSFVFETMAKYNTHQLEQVQEEEEPEEEELGAMKEMMFKIAAMQPVDIDPATIHKPKRRNVRISDDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLEEAGRYIKFLKRQIKQLQANHQPQCNMGMVSTNEILQPHHLSFNHEKVVRIVLTTRGIGIMITVIA >KZN12139 pep chromosome:ASM162521v1:1:51352485:51366365:1 gene:DCAR_004795 transcript:KZN12139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNNNNNTCMNEACRATTSSGEWKKGWVLKSGGLAALCYNCGSAYENLIFCDTFHREESGWRECKFCGKHIHCGCIASKSLHDYLDSGGVGCLSCIKFSETQLIRQIQNPSDDIFGGLGTLAAICDPQSSVIENYMEGKIVTKGKLTKPSKSMEGSQSNGHDLFLQSQQGEQNLSSVPTKKEVNMLPKGEVGTGVSHVSQHSNKLTIVSKPDATPQPQGPKDMYESLAQPSLNFSFGAHLSTSNSMRPLPGEGVEGRDKNKVPPFQQGQRSRQILPKSSKPGIVIRAQGSNGTASQTRVARPPVEGRGRMPLFEKVLSASDAGRIGRLVLPKACAEAYFPPINQSEGVPIRMKDIKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDTVTFSRIDPEGMLVMGCRKAANSGDNRDMQDSSTPSLPNGGGIADDLVSAVKVDQPTDAFDWMRKEEQRGQINGESTQQQMGQPEKKKSRIIGSKSKRLLMRNEDANELQITWEEAQELLRPSPSAKPTIVLVDNHEFEEYDDPPVFGKKTIFTARESGEQEQWAQCDNCSKWRRLPADVLLPANWICSDNIWDSNRCSCSAPDEMDPKKLENCFRDTKKKKLLENGQECEPSRLDALASAAVFGDPTEDSGEPSLVTTTRHPRHRPGCTCIVCIQPPSGKGKHKPTCICNVCMTVKRRFKTLMLRKKKRQEREAEINREKTQIPLRSESEVDGTSEYALLHVNGSETDKERSVVKVDLALSSNKGDLDLNCDPNREDDSQIAEATGTSLASFVPVASLTSDICTGGDSVPPTLGACLISQAVDENEEHLPEETNVTSMDVEEEQGDEEF >KZN08108 pep chromosome:ASM162521v1:1:2798191:2802452:1 gene:DCAR_000777 transcript:KZN08108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKDDDKSVEPMFPRLHVNDTERGGPRAPPRNKMALYEQLSIPSQRFNSGMLPNNPNSSNSLNPPSSSQGSGHERAMLFPRQLPSSVHRVEELNRNFGPSVEQTGTKRRQEDDFTVPIFSQPNMTQDPCVNNNGMDRNRPSPFSNFQKTCEKGSKQLDTSRVNRRQEDNRGSEGKSNELVELRANLKYSNPNQSCRERSESPPKQFSVSSTFKHSDHPTHLSNRLNNGHDSLQPDYREESQTMKTGWGAGVSLNHSRGIGYRDSSIYTGDLQHNKQRSPSIPTNDAESREDARRSVHTEPLDIGDDVSENSMVDSMSGTDLCPDDVVGIIGQKHFWKARRAIVKVFVAPCIYSLCYHDSLFCLKYPCRILDTRTWLSPGNKDCSQQRVFAVQVFELHRLIKVQKLIAESPHILLEDAFIGKHLKGSSEKKNTSDCIVKTTPKTIRHNDVSEKPDNRLESSAENAVEKTSISLLEKDSQPLNYGSFSGNPPPIPAMSDTNMSPWGFNQPSGHQWLIPVMTPTEGLVYKPYPAPGFVNPTYGPQGSTPVMGNYPNYGLPAPQYPYQGLGPASFPHPSGHGYFPPFGMPVMHPPFAGPMENMEHFNVQNQASCNVPSQKNVDIPKVVKLNPSKTTEVQLSTASSPSERKQKSRSAQTAEKTAHTEERSSDALPLFPTSPVVNQVANAGTDRPTRVIKVVPHNARSATESVARIFQSIQEERRHHDGA >KZN09876 pep chromosome:ASM162521v1:1:30267190:30274484:1 gene:DCAR_002532 transcript:KZN09876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGLHPHHRIALGVSGGPDSMALCVLAAHWKKQGLENQGLGSGIPELIDGILGIIVDHGLRSESGTEAKLVQRRLVKMGISCEIACVDWANGKPKQGHVQEAARAVRYQQLQRICNQYQMSALLVAHHADDQAELFILRLSRNSGVLGLAGMAFTSQVFNTNSNCIIGDSNSILLVRPLLNFTKDDMYKICQGDNQEWVEDPTNQSQVYVRNRIRKSLTDISSSILKRELQMVISACGQTRMYVDQFCHYLIMQTVTITTHGYAVVDLEILNSMEISYLCLSKFVTLLLKFISQSHRPVRGSALKQVMDYVRTYPCKTSFTAAGCYLCAAPGSKGTKVLVCLSKNSALPLKMQLLYRDSCEGKKFHTFSEVEKHVEHVESYSDKMILNASDVHFLDTTSSTSLLNEAKKLSLLSESTHTTIISLRDDEIEKFVSKTSKVPKIESETEALHTASTSFGNSLPSNTIGYYMNRFLLEWKLTKDISNNAYSADKDRQGQGSGETVHQYYCKCCLVKFDMELEVRCMIDADWLYLADLVKCCDVNDFLNKQLLDMGMSKEAESVETYSEFSRSSAERALLSLKSIPVAARRGLPVLVHPQGLLLSIPSVDFKHCPGLEVSAIWKPRAPLEGGQCSYI >KZN11417 pep chromosome:ASM162521v1:1:44451145:44452965:-1 gene:DCAR_004073 transcript:KZN11417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVMTRIPMSHRIFTPYNSRDIAFPGELALSMSDTVFGFLHEESEGSPESILYEEYNRNDQEEDEEAENSTSNAEDHKKFWENQYQLLQATLYRTSSLESKIRNCTKEVLREAQAAGNECTCRNPVFGGCRICLMKQVSASLQNAGFNAAICNSKWKNLPDMPSGEHTFVDILDSNTKKGEVRVIVELNFRGEFEMARASEEYNRLVSKLPEVFVGKIERLLSITAILCAAAKKCMKEKKMHLGPWRKTRYMQAKWLRVTERQTAVPRLISTTAGYSIRPQRPRASMLTVDLLEKLPSVQCAC >KZN11706 pep chromosome:ASM162521v1:1:47151533:47151877:-1 gene:DCAR_004362 transcript:KZN11706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLPSDEFFCLEHPVAEEAYVQLAVLTKVRKFNQSEFFTVEKPDKLQAEGTFAREKHNSVDAVSMKESGKLQHVVTSPKDYGMPPLPLPPGKSSFLSYSLPGSAASSPNFLLY >KZN09497 pep chromosome:ASM162521v1:1:26036603:26037214:-1 gene:DCAR_002153 transcript:KZN09497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLLRIANPTRIPTLPHPLRSTLPTPTLRRFTPHLKPLKISASASSTPVPDRLISAAAYFFPLFNGLQYGSFLFQQYPRILGPILGPILPFFNAYRSIPYASYVAFLALYVGVVRNEGFSRYVRFNAMQAVVLDVLLVVPLLIQRIFSPGRSGIGFNLTVIGYNFLFCFVVTCFVYSLVHSVLGKTPYLPFVADAAGRQF >KZN09511 pep chromosome:ASM162521v1:1:26441029:26441578:-1 gene:DCAR_002167 transcript:KZN09511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSSSPGQMSVGRDKRCLFGLWLPNSIISTGEKMKCYRDVLRMFVVVVGPMQLYFLSKREDVKPPFLPRNPSR >KZN07966 pep chromosome:ASM162521v1:1:1071853:1073816:1 gene:DCAR_000635 transcript:KZN07966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDWGLQAIVRACSHDQCTTDSVLDQYNYRDDLFYDFPEFEELKNNDCSLIVDELDDRYKPFFHDPTPTPISSFSSHLENSDSQGCEVKLEQEVMLKVMEQHETAPVAKPKALTPAAKYKRVVVQVTSDGLSSDLWAWRKYGQKPIKGSPYPRSYYRCSSSKGCPARRQVEESCSDPGMYIITYSAEHNHAQPTRRSSLAGTNRQKFSTLKRTCSDESCVSSMHVDQVQSLKKIKHEKKDDTIDEQSRGQNLSGLDREFVIEDSILTENFFEVLDDLDGLVSYSSLHGFYSQ >KZN08330 pep chromosome:ASM162521v1:1:5363281:5364257:-1 gene:DCAR_000876 transcript:KZN08330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLTTLQLTPKHSNLHKTKPLTTIPSCNTHFINNFPFICNTKNATSAALIGTGLAITALVGPATAGELALIEPSNALSLPTWAIHVSSVAEWVIAMALVWQYGEKSGYESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVSILPYVLVIVCMFGVHCTYVKQQCFIRDCRHKCSKLFIFLWTCT >KZN10905 pep chromosome:ASM162521v1:1:40175817:40179114:-1 gene:DCAR_003561 transcript:KZN10905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDQRRMTWEFFLIYLLVIFATIQESLCDLNQQQKNATNQVGSSVILPVTGNVYPKGYYHATLKIGNPPRPYFLDIDTGSDITWLQCDAPCTKCFPAPHPLYKPSKDIVKCKDPLCALFHLPKNVPCESPEEQCDYEVEYADHGSSMGVLVKDIFPLKLTNGETSVPRLAFGCGYDQEVANGVHPPYTDGVLGLGNGKSTFLAQLRNLGVMRNVFGHCFSSREGGFLFLGNDVVPSSGIVWAPMSSKSGEIHYTLGPAELRYDGQATGVEGLPVLFDSGSTYTYFSSRSYEVLLNQLKKTLSQNQFKNANDDKTLPVCWKGKKQFKSIHDVKNLFKPSVLSFTTSKNAQLQLSPEAYLIVSDRGNLCLGILNGKDVGLGESIIIGDISMQDKMVIYNNEKQQIGWAPANCDRLPKS >KZN11334 pep chromosome:ASM162521v1:1:43751319:43752536:1 gene:DCAR_003990 transcript:KZN11334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNPLPFLLLLLLFTTATASTTSTLDPKQIRALQSLNIPTTKDPCALNSTTTTCDSSTPFHHLLSLHLTNCSDDLEISTTALTTLSTLTSLSFTNCPIAPPPHFPPLLTSNLHSFSCINSLQKLTGVFLGRFKNLTQLTLTGVSIKASGPTIILGPMKYLQSVTISNTNLHGILPKHWHLNLTHIDLSANNLSGTIPSSLTHLENLKSLNLSNNSFTGKIPFSIGNLVYLQNISLASNSISGAIPDSISEISGLSHLDLGSNQLNGTIPKFISEMRNLKYLNLEKNKFHGIMPFNGSFIKRLVVFKIGGNGDLCYNHTSISKKLKLGIAACDKHGLPIPPPPAKEDSSSDDSKSYENDSDEDESDGNNDDHHGPSKVVIGVAVGLSSIVFLVIFFILLSKCCRR >KZN07879 pep chromosome:ASM162521v1:1:407037:408138:-1 gene:DCAR_000548 transcript:KZN07879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCNSICKAAASLSISITTPSLSTSSSHLLLPSLSLLPNSSRKLSASSFRLTHVVKNPGRITVRAMSSDSGLEDSVKKTIADYPVVVYSKSWCSYSSQVKQLFKKLGVQPHIIELDQLGSQGSQLQDVLGDLTGQYTVPNVFIGKKYSNHHFFIKIRYYLQVSIYLFLITIKNGILEW >KZN10784 pep chromosome:ASM162521v1:1:38934883:38942738:-1 gene:DCAR_003440 transcript:KZN10784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMEINLTGAVPETLIPDPFVSRVSDFDQICDRQLEIAPMDEDEESSAEYMVCDSRSRLVASGFTKSNCTEEVVMFVNAGGEVSAETDADRLLADNFFDGGDVFQTEESIIEAGDYPSVYQSARLGNFCYRFTSIDPGEYFVDLHFVEIINTCGPKGMRVLSDFDIFSVVGANKPLQLVDSRVSVKDDGVVVIRFEGVYGSPIVSGICIRRAPKSEANEVTSEHLICTNCASAIEVPAAQLKVLGMKSTAKYEKKIQDLSSQCQVKADECYQAWMSLAAANDQLEKVRMELDNKLFQTYSLDQTVEKQAEKLKDVSSKYECDKKFWVETIRELDQKIKTMKEEHSKLSREAHECADSIPELNKMSFAVQELEEVVMFVNAGGEVSAETDADRLLADNFFDGGDVFQTEESIIEAGDYPSVYQSARLGNFCYRFTSIDPGEYFVDLHFVEIINTCGPKGMRVLSDFDIFSVVGANKPLQLVDSRVSVKDDGVVVIRFEGVYGSPIVSGICIRRAPKSEANEVTSEHLICTNCASAIEVPAAQLKVLGMKSTAKYEKKIQDLSSQCQVKADECYQAWMSLAAANDQLEKVRMELDNKLFQTYSLDQTVEKQAEKLKDVSSKYECDKKFWVETIRELDQKIKTMKEEHSKLSREAHECADSIPELNKMSFAVQELVAQCEDLKVKYSEEQAKRRKLHNEVQEAKGNIRVFCRCRPLSKAETSVGCSTVVDFNASKDGELGILGVGNSKKTFKFDRVYTPKDDQVDVFADASPMVISVLDGFNVCIFAYGQTGTGKTFTMEGTEDNRGVNYRTLEELFNMAEERKETFRYHISVSVLEVYNEQIRDLLATSPSSKKLEIKQAPEGSHHIPGIVEAKVKNIKEVWNVLQAGSSARAVGSNNVNEHSSRSHCMLCIMVKSKNLMNGECTKSKLWLVDLAGSERLAKTDVQGDRLKEAQNINRSLSALGDVISALANKSNHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDSSETLSSLNFATRVRGVELGPVRKQIDTAELQKVKLMLDKAKQESRSKDESLRKLEDSLQNFENKARGKDQLYRTQLEKIKELEGQLEMRTALHSQSEKQISSLSERLNREEDVCSDLRLKIKELESKLRELEQEHMKCFTYQEKIEQLERSLREQEQSTDSSLLRQKIGELEEKLREQEEQLHLQQEQQLKLQAQEQQTGSTLVDSTNALRSNMPQEASKSQRDESMIDAEHCILKSSNSMKRPMSQGSTLPKGRDSLIETRRRRLSRNSETENIASNLRSGDTKGRQSDPLRPMSRTARITKPATVSQRPITNTRVTGRDQQTQGTKATESKKRVWTR >KZN09147 pep chromosome:ASM162521v1:1:20880563:20882852:-1 gene:DCAR_001803 transcript:KZN09147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSVVQIRHLMNAAMKAFFIILFFLFKVVISEIAFTYNGFKNANLSLDGDAYLWSNGILGLTHDEPNLIGHALYPSPLQFRQNQTNSGNRYYIPTFSTTFVFSIKPMHPGIGGHGIAFVLLSTNKLKGCLANQYLGLPNATSNAKYSTRVLAVEFDVTQSFELKDINDNHVGIDISGLVSITSKPAAYYFDNTTTPNTINLKDGDPVQVWIDFNSQEKLLNVTIAPLGTLRPPKPLICLPIDLSSVLDDYMYAGFTASTGLLSASQNVLGWSFRIGGKAQNLSLHLPSLNKPVRVVHTKGFIVGITLATITLAFLILLGVIHTIHHIGNHNDILEYWEVDYGACRFNYSELVAATNGFSEKNLIGCGGFGRVYKGVIPSTGLEVAIKRIAQDSRQGMREFVAEITSMRGLRHRNLVQLHGWCRRQDELHLVYDYVVNGSLDDLLFNKHEQEKKLLTWQERFKILTGVAHALLYLHEECEQMVVHRDVKPSNVLIDADLTPKLGDFGLSRTYGHGLNPQTTHIVGTLGYLAPELTRTGKATTSTDVYGYGALMLEVACGRRPIEPRRIAEELVLVDWVRELHSKGEILRAVDPNLEEYNQYEAELVLSLGLLCAHPNPDHRPSMRSVVQVLLGDASLPILPSNLHLENAGVITNYSVIYDDDLTASSNIEPSSQSTSFTRFNQLQFNTRPTAVTL >KZN08549 pep chromosome:ASM162521v1:1:10216453:10217848:-1 gene:DCAR_001079 transcript:KZN08549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLVNYIRLHGEGCWRSLPTSAGLLRCGKSCRLRWINYLRPDLKRGNFTEDEDELIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLISRGLDPQNHRPFDSTAATPTASAIAITSLDFRKNTSNSTVPSKILPSQSLVPLQNNQLNSIYKFKTESLEEGNCSSSTTEETQEYFRKEQNKPVLDLELSIGLPMTRTDQKTESVWPELRTVKPPTQAAPRMCLCWQLGFQNKAGEFCSNCRSTTAFYSYC >KZN11633 pep chromosome:ASM162521v1:1:46532013:46534713:-1 gene:DCAR_004289 transcript:KZN11633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPGDPSPETTQHGGLSLSTTDPIRSLMLSSLSPDDDLYSQSSIPYTSLRSMWFSADPCNRPELLSLLKGSILIFPSPKPREKSEELKVRLRKLEEVAERKAYDELVKDITPRKGVEEPFSSYKDQLGFGLHVVLTMFTGYLVGYAAFRALFGHSPVMNAAGGILGLVVGMLVETLLFIVRATTMEKKTTFTTSPAKKNQ >KZN10279 pep chromosome:ASM162521v1:1:34158655:34164146:1 gene:DCAR_002935 transcript:KZN10279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMKGLNGGSTELCIAPTSGVRNLENEAGNSNEDQKKAPEVIKVVPFNKLFSFADSMDVILMIVGTVGSVANGLCMPLMSVLIGELTDAFGQNQNNNEVVDKVSQVSLKFVYLAAGAGIASFLQVACWMVTGERQAARIRSLYLKTILRQDVSFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFTQLVATFIAGFAVAFFKGWLLTLVMLSSIPPLVIAGGMMSLLISKMSSRGQEAYAKAAIVVEQTIGSIRTVASFTGEKQAVADYAKSLINAYKSGVGEGVATGFGFGTLFSVLFYSYALAVWFGAKMILEKGYTGGDVLNVIVAVLTGSMSLGQASPCLSAFAAGRAAAYKMFETINRKPEIDAYDTRGKKLEDIQGNIDLRDVYFSYPARPDEQIFNGFSLSIPSGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIRERIGLVSQEPVLFASSIKDNIAYGKDGATMEEIRAATELANAARFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKNPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNANMIAVIHRGKMVEKGSHSELLEDPEGAYSQLIRLQEINTEGAGGKDKSETSADGRSLSQRMSSQRSISRDSAGLGNSSRRSLSVSFNLHTGPSFTEVTLAEPESPSGKALEQAQKVPLRRLMYLNKPELPILVVGSIAAILNGVILPIFGIVLASMIKIFYEPPHELRKDSKFWALMFVVLGLATFIAYPSQTYFFSVAGCKLIRRIRHMCFEKVVTMEVGWFDKPENSSGAIGARLSADAASVRALVGDTLAQVVQNGASAVAGLVIAFAACWQLAFIVLAMLPLIGLNGYVQMKFMTGFSADAKLMYEEASQVANDAVGSIRTVASFCAEEKVMELYKQKCEGPMRTGIRQGLISGIGFGVSFTLLFCVYATCFYAGAQLVDSGKTTFNEVFRVFFALTMASVGVSQSSSLTPNSSKAKSATASIFAILDRKSEIDPTNESGETLENARGEIELRHVSFKYPTRPDVQIFRDLNLTLRSGKTVALVGESGSGKSTVIALLERFYDPDSGHITLDGVEIQKLQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGGATEAEIIAAAEMANAHKFISGLAQGYDTIVGERGTQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDHVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIAEKGKHEALININDGVYASLVALHMSAGK >KZN10498 pep chromosome:ASM162521v1:1:36309858:36315166:-1 gene:DCAR_003154 transcript:KZN10498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKVVVETVLAGNYVEMETDSGKPKDLKASISQLFWHGGSAYDAWFSCSSNQVAQVLLTLPYSFSQLGMLSGVLFQIFYGLMGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLIMTTYTAWYLTIASLLHDQVEGVKHTGPAKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKSIYLLATLYVMTLTLPSAIAVYWRFGDLLLNHSNAFALLPSSRFKDMAVILMLIHQACFLTYHTAYLLLIITFGFACTPLYFVWEKAIGMHDCKSMFKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAYIFTFKSAAARENAVEQPPKILGRWAGAYTINIFVVVWVFLVGFGFGGWASVTNFVHQIDTFGLFTKCYQCPPQPSQFPPPPHLNATAAHPSPAFHGHH >KZN12111 pep chromosome:ASM162521v1:1:51089509:51091203:-1 gene:DCAR_004767 transcript:KZN12111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVKNGDAHDVSKTVSFSAFKPQMFVEEGKVGDAVHFYKAAFGAQEVNHVTQTKRKADQELPLVVSAELKLGSTIFLVSDLSDHSSAMNKTGSNGLWFLLETDDIEGAIANAVKAGAVSEGEIEEGEGASPVGKVKDPYGVIWAITSPTIVKKMKKSEEEVQAA >KZN11395 pep chromosome:ASM162521v1:1:44280921:44282805:-1 gene:DCAR_004051 transcript:KZN11395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTKQEGSASSVPAKRKPVFVKVNDLKPGTKGHNLIVKVVSSTTVLDKKSIRSSGNTRIAECLIADDTASILFTARNQQVDLMKPGTTVIIRNSKIDMFKGSMRLAVDKWGLVEVTEPAKFDVKEDNNLSLVEYELVNVEV >KZN08313 pep chromosome:ASM162521v1:1:5148179:5152132:-1 gene:DCAR_000859 transcript:KZN08313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYTTLAAAEASLGRALTYPEALWLKYSATKSDYFLYCHNLIFLFLVFTVVPLYYVGLEIVFKNIQVYKIQPKIKFSYSEVFGCYKEVMRMFILVVGPLQLVSYPSIQMIGIRTSLPLPSIYEIIAQLTVYFIVEDYTNYWIHRFLHTEWGLYEKIHKVHHEYTAPISYAAPYAHWAEVLILGIPSFLGPAMAPGHMITFWLWIALRQVEAIETHSGYEIPWTLTKYIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRCQKRLLRQLKEGMKSNSEQNQVPDSTSPPDFKFD >KZN09433 pep chromosome:ASM162521v1:1:25140566:25141859:-1 gene:DCAR_002089 transcript:KZN09433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILVSERELLQEIERLQSLQREGLCCISRFEKHEKPSSPERSQAGSDLVDKLASSKRKFSTSGASTETIDTQCGVGELDQAALGNSTDRSSKRSRFSEKLLESDQPKCCKQKTSLSGGEENVPGTTICMFQELVECLVGMKVSIVTKADETCISAVHQSSGYSFSLTWMKNSQGDDELLYRVLSLGTIESIAPEWMKEILMFNTKMCPVFFERMSRVVKLY >KZN09143 pep chromosome:ASM162521v1:1:20844476:20850973:1 gene:DCAR_001799 transcript:KZN09143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGVADTNPNKSFEVTHPPTDSVSSLSFSPKANYLVATSWDNQVRCWEVMRNGNNLGTVPKAQISHEQPALCSTWKDDGTTVFTGGCDKQVKMWQLSSGGQPVTVGMHDAAVKDLAWIPEINLLVTGSWDKTLRYWDLRQPNPAHVQALPERCYALTVRHPLMVVATADRNLIVYNLQNPQTEFKRISSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDSQQTKNFTFKCHRDGNDIYSVNALNFHPVHHTFATAGSDGTFNFWDKDSKQRLKAMSKCSNPIPCSAFNNDGSIYAYAVCYDWSKGAENHNPSTAKTCIYLHATQEAEVKAKPRVTTGGRR >KZN11286 pep chromosome:ASM162521v1:1:43419866:43420663:1 gene:DCAR_003942 transcript:KZN11286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMALSSPSFAGKAVQVAPSSSELFGNGRVSMRKTVKKVTVSDSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEVIDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >KZN11851 pep chromosome:ASM162521v1:1:48728497:48729429:1 gene:DCAR_004507 transcript:KZN11851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNLFRFYALLSVAFVASHAARTFEDYWRSVLPDTPLPKSISGLLQSPEWMNDESKVSNVEKQTGDRPIQLIICCLCNQLHNYPNVALFFLEKDMHQGARMNLHFTKSTIPIPFLPQNIANSIPFSSIKLPERLSKFSVKQNTLESETIKDTISECEAPGIKGQEKYCEIK >KZN08499 pep chromosome:ASM162521v1:1:8967649:8968821:-1 gene:DCAR_032177 transcript:KZN08499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVLVDFLVGASIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKQKGDGLVYFRDIYGGTNTIVMHNMCEDSLLAAPIILDLVLLAELSTRIQLKVEGERKYHSSHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENILR >KZN09637 pep chromosome:ASM162521v1:1:28029720:28031210:-1 gene:DCAR_002293 transcript:KZN09637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGSCSVSHLAQLFGANTTDPTGAAAFICNHFSDVNSRFIDTNYAIDSTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGSPSNTFIGRHFFGLKDVPDSSFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGLVYPVVSHWFWSPDGWASPAREDLLFGSGVFDFAGSGVVHMVGGIAGLYGALIEGPRIGRFDQSGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFNKISTVYVSGSYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITGGCSIVDPWAAIICGFVAALVLLGFNKLAEKLKYDDPLEAAQLHGGCGAWGIIFTALFAREKYVFEVYGGEPGRPHGLFMGGGARLLAAHLVQIVVIFVWVSVTMGTLFFVLHKLKLLRITADEEMAGMDMTRHGGFAYAYDDEDESGKNGTQMRRIEPSLSC >KZN11996 pep chromosome:ASM162521v1:1:49943954:49945706:1 gene:DCAR_004652 transcript:KZN11996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNNYFDQGSQGSSTFPTQDNSPRLNQDYQESPMPQNNSFGLEHHSQEFPRFPVPRNNSPGWTPQQVSNFPMTQNNSPDWTPQQLPNFPIAPQQLSNFAMTQNNSRYHEHGFQQFSYFPMTRNNYPGLDQGPRKNQVDVSLTLAKHLLLNYGQDSNLVFSPISIQVVLSLLAAGSSGETRDQLLSFLKAESVDELNSVYALLVDVVFADGSSSGGPRVSVANAVWLDESLSFKPSFQHVAETMYKAASHRVDFQNKAEEVKHLVNSWVEKETCGLIKNILNSVERSTQLILANALYFKGEWSSPFDEYDTRNYDFYLLNSSSTQVPFMTSNKNQYISVFDGFKVLGLPYKQARNQSWEKRLSFSMYIFLPDAKDGLPALVERAGSEPGFLDRYVPSRRVEVGKFRIPKFKFEYNIEASKALKSLGLVSLFGPSGGLGEMVSNSLPLFVSQIMHKSFIEVDEKGTEAAAATVVCMATGSSCVPRVKVVIDFVADHPFLFVIRENATGMVEFMGHVLNPSVHA >KZN10067 pep chromosome:ASM162521v1:1:32308655:32309305:-1 gene:DCAR_002723 transcript:KZN10067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIVVVAHHRNQCYEKNQSNLGSPTFRDFKELSCRTFQSGSGGAGILPTPIKACTTPDGKRGFGFSPSSPFSKTPFPASFDYFSEDVESKNLKGNALKKSGSTPIPIKGGSERERSLFCNDFSYSELWAGPAYSNSPPPSSLPIPKFFAPPKRTASLDFPSSAVSDMDLHPLARSAPPSPKREHTVSARKLFSNADHALATQDLRRILNLDVTDD >KZN10137 pep chromosome:ASM162521v1:1:32939936:32945848:-1 gene:DCAR_002793 transcript:KZN10137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAMQNDCEKHVEGEDKDETCDATAHKFKFRWSEHQSCYSKLMVHKLKIGALTSASLVCVLMFVGAFLTTRWLDVSIFTGNSFFGYKSQKLGSRSVAYELQCSDGNTTQICPLNNPEIFDTDNLSAPQCPEYFRWIYEDLRPWKRTGLTREIVESGIDKANFRILVVDGKLYMDKYSDVFQTRDVFTVWGILQLLKLYPGKVPDLDFLFHCGDLPVIPRSDYMGANASVPPPVFHYCRDDSTLDLVFPDWSFWGWPEINIRPWVSLKNELKKGNKKIKWRKRKPYAHWQGNSWVSDNRGDLMKCNVTDKNDWNARLYQVNWEDEIKTGFKHTNLADQCTHRYKIYIEGRAWSVSEKYILACDSMTLLVKPQFYDFFTRSLQPLVHYWPINNNNKCQSIQFAVDWGNSYQNEAQRIGRAGSNFIKEGLKMENVYDYMYHTLNEYAKLMKYKPTVPPKAIELCSETMACSEHEEPNKRFKVESMVKNPSESSPCILAPPYSRNEIDAMKEKQESIRKQVEEWEGSEDILWQHSMKGTLENDDVKNVDEEKDESSTETSCRFRFWWNDDQSFSAKTMVQKLKTGALTSTILFSLFMFIGTFLATRWLDVVSFSISIPLNSVIPSFIFLSGKDGELTPGDAFQNSIFASKNGRSDSRPATYQLRCSNSSTTQTCPVINPKIFDRNDSSAEKCPEYFRWIYEDLRPWEKTGLTREIVESGIKTANLRILVVDGRLYMEQYTGVFQTRDVFTIWGILQLLKFYPGKIPDLDFMFHCGDLPVIPRSDYMGVNASIPPPMFHYCGDDSTLDLVFPDWSFWGWPEINIKPWAPLKNELRKGTKKTKWRKRKPYAYWKGNAWVSKNRGNLMKCNVTDKNDWNARLYQVNWDHESKKGFKQTNLADQCTHRYKIYIEGRAWSVSEKYILACDSMTLLVKPQFYDFFTRSLQPLVHYWPINNNNKCKSIQFAVNWGNSHQNKAQKIGRAGSNFIQEGLKMKNVYDYMYHTLNEYAKLMKYKPTVPPKAIEVCSETMACNEHEELNKRFKMESMVKNPSESSPCMLAPPFSRNEIDAIKEKQESTRKQVEAWEGRGNVRKVKF >KZN10607 pep chromosome:ASM162521v1:1:37515565:37518146:1 gene:DCAR_003263 transcript:KZN10607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFAGNATDRANEIYKKVEDQKSSRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLELEKGQSVEMGTIHAGDFMRRFCSNLGMTNQTVKAAQEAVQKSEEFDIRRSPISIAAAVIYIVTQLSDEKKPLKDVSLATGVAEGTIRNSYKDLYPHLVKIIPSWYAQEEDLKNLCSP >KZN07845 pep chromosome:ASM162521v1:1:107292:107924:1 gene:DCAR_000514 transcript:KZN07845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQQSPACSWNLSPSSNKLNLESRSFVSLNTHLQNPGISMNKSSGWRKSSSVAAMNSSVYAPPAEEETGSFYELLGISESGTLSEIKKAYKQLARKYHPDVSPAERAEEYTQRFILVQEAYETLSDPQTRALYDRDLSRGFHFAFSARNRGGAAAEEEMGEWKNRWESQLTELKRKSMYNHYNYSNSNAHDDARQSWGARMRSQNRESQ >KZN11070 pep chromosome:ASM162521v1:1:41455903:41463670:1 gene:DCAR_003726 transcript:KZN11070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYQAMMGNLTAEDRRILTSLNTGASSLSLLGSSFIVLCYLLLKDLRKFSFKLVFFLALSDMLCSFFSIIGDPSKGFFCYAQGYSTHFFCVASFLWTTTIAFTLHRTVVRHKADVEDWEPMFHLYVWGTSLLMTVMRSISNDHEHIARVGAWCWTESGRAAKAIIKSVGYELVVHFVTFYAPLWGAIIFNGITYFQVIRMLNNAARMAVGMADRAVHTNARTDMKALNRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLSVLDVGMAALMGLFNSIAYGLNSSVRRAIHERLDLLPESVKRWLPKMAKSRGQQEESELLSLKIQDQQ >KZN09997 pep chromosome:ASM162521v1:1:31496284:31499459:-1 gene:DCAR_002653 transcript:KZN09997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRVIGLEINLDSGIVLFLLVEKVVRYVDDVCGEANAPSHGHHHHHRKSSKKLKDDKDATDDDLQVPSVTKKGENLAKGSSEGTEFDKITDDCANGERQSGIAVLRKRNITTGGAEEQPNMDDVKNISSSAELMDVKKLAESPSNLVFGYLNLFSDGVHNFTDGVALGSAFLLYGSVGGWSRTLFLLAHELPQEIGDFGILVRSGFSVSAALSFNFLSALAALAGTALALMWGQDPGQSSLIEGFTAGGFIYIAVAGVLAEMNNGSSSLRSTAIQLTSLILGMTVALFISLYE >KZN12112 pep chromosome:ASM162521v1:1:51095899:51097223:1 gene:DCAR_004768 transcript:KZN12112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGLSTLPEPEVYFLTKLVALKPGKTVREMIKNVMQGKDEGAEESDDEEASKNRKRVSSRIAGRATVTGVKPLPVRPGMFLETVTKVHQNTLQVLQEIAF >KZN08931 pep chromosome:ASM162521v1:1:17845920:17846572:-1 gene:DCAR_001587 transcript:KZN08931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADAANHAAKRKRYEDMKNNVVDEIYNLVIASDAFVTDVETATEELSSIFQNMEKRQSELIKQAVLINERYGSIADLVEENKRPKIGETSSSFQTLPMSYCKSTIRFLDDSESSLERHTEKTCKAVDNLIKKFNESVKSWSKKCEELKHEANVIANNRTQNRKKVNQFRSVLYGFIPGIDSDDSDESG >KZN09707 pep chromosome:ASM162521v1:1:28640076:28643528:-1 gene:DCAR_002363 transcript:KZN09707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGNNNVSGLQEEEKARTEEDQGKFLQDDNHADELKGENGTKPEAQEKDLHGNVSVEDTKGEDNTYLIGESTNFHGVLSPSKTPLEDLAVEKPLEGNETSNGNEEHDTEFKPVFVPVEIPPDSNDADLRSEDQEFVSSEIADFLEKTNLDDNLLTAEHGSKKEMLIEGEDIEAKNQIIDSKAGSGEWDPNSDQQEVVQSEQVAEGITIPESENGHFASNKVTDDMYLCVKLENGDQNVATIMDTSLVKAKGDEDEFQTLPCKDKIDVINPDEINSQNEHNVDQSPLNALQPPHEAHESTAEASYQQQEALNLPVEGSDAPIDTMNSPLETLQPPLEALELPQEASHPQPLALPLLMKASDSPLEESEAPLDALNPTIKTLEIPLEASESPLEASDPEPLALVLLVKPSDPLLEASNLPSEASEPPRETSESSEALEPPEEAVESRLEIQIEGSKLPLETMATPLEASDTHLEAFPQASLINSSQEVYEPNNKTVNVTEAILITSERQNEETKLANNQAQISEENDQKFETIESETSQSEQMCFGSDCAGYDSGNALVSSVEKACVLIESDVHNDEPQVALHNQTLKPCLKEQAAMEESMPLPEDSIIGSEVESKENQGDHSKTEFCDQELKDLKTDDSLASELEFLATETDSKKSAEQSMHEANFTNGIRENCQLEDLDGKCSDYQVTSADKSLQLISSEVGDIMSEIKAVSTESNATEYKHHEELPREETGDEEKESIGKSMSPTQDLPPYYPDSEALEDKNELIVDSKSEISITGESFHASPIVAIMQTMTPTPEQDAEQNIQETSVMAETSLLFDEKNMGQVTVEKLMTEPSLDNASNHVEFRKSPSFHFNLSDESKCEESDQTPLLSNDSSTGRSLKTRDEAMMHKHNAQSGHNAAEDEVALVEEKTIRMERSDSERPSAPFLSFLQKQESAEIVVPPEEEEKRGANRKTKKDPWTVIHKTFALTSPRESNGKRKAKSSSIFSTCICCASKIT >KZN10358 pep chromosome:ASM162521v1:1:34900162:34904464:-1 gene:DCAR_003014 transcript:KZN10358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEELQISSEAEEVQHYESNKGPSAGFWGPINWFRMLVTEMHWTFVFAVLMVYGVSQGLGGALARVGTEYYMKDVQKVQPSEAQVYSGITNIPWLVKPIWGLLTDVVPVFGYRRRPYFIFAGIFGLVSMLFLSLHKNLHILFALLSLLVGSAGVAIADVTIDACVAQKSGSHPVLAADMQSLCSLSASIGALIGFSLSGIFVHLIGPRGVYGLLTIPAGLLLLVGFLLKEPHTPNFAYGQVRQKFLDAASSMWNTLMLPEEAIGYMLSIGSVGSLLGATLYQYSLKDHAFRSLLFWTQLVLGLSGMLDLFLVLRLNLKLGIPDYVFAVIDESIYQMTAKLKWMPLLVLSSQLCPSGIEGTFFALLMSIDNAGVFSSTWGGGLLLHLTNVTRTQFDNLWLAILIRNVLRLVPISLLFLVGGGDPASSILPSEEQDAKAVEYVPDIKDIELVSLVKNAEGRY >KZN10501 pep chromosome:ASM162521v1:1:36338050:36341429:-1 gene:DCAR_003157 transcript:KZN10501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKYSPASPAGKWLGFVTAVWVQAISGNNYTFSNYSDALKSLMSLTQLELNNLSVAKDVGKAFGILAGLGSDRLSPPVLLLIGSLMGLVGYGAQWLVISGRILPLPYWAMCVFLCMGGNSTTWMNTAILVTCIRNFRKTRGPVTGILKGYVGLSTAIFTDLCASLFSDDPATFVLMLAIIPFVVCLTAILFLREIPPSSTSKEDKQEVKFFGIFNVVAVIIALYLLAFDVSGPHGSMLSQAFAIILLILIASPLCVPVYLMLQNSIRSNDSTISNTENNVTEPLLVQEKAEVERTPETTVAEVVVEEETEKIQPVIGEEHTIMEALKTVDFWILFSSFLCGVGTGLAVINNMGQMGLALGYADVSMFVSLTSIWGFFGRILSGSVSEYFIKKAGTPRPIWNAASQILMCVGYILMAMALPGSLYVGSIVVGVCYGVRLAITVPTASELFGLKYYGLIYNILILNLPLGSFLFSGMLAGFLYDSQATPTAGGGNTCIGAHCYRLVFIVMAITCVIGFGLDVLLTFRTKSVYSKIYTSKKGKKSVTLS >KZN08250 pep chromosome:ASM162521v1:1:4297666:4298452:-1 gene:DCAR_001315 transcript:KZN08250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRQKPATSLDTKSVKKKDAWIPGRGRYPETYVLKDQETRYRLRCLDLMVNMEVRHIFQTRAKVISYVRRFLENLNFLETPMMTMIAGGAAARPFETYHHDLHMRLFMRIAPELYLKQLVVGGFNRV >KZN08345 pep chromosome:ASM162521v1:1:5474817:5476079:1 gene:DCAR_000891 transcript:KZN08345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFIAFLVFVLATFLRKSLAVQIYRPGPWSLAHATFYGDETASATMGGACGYGNLFNNGYGVDTAALSSTLFKNGYACGTCYQIKCVNSPWCYKGSPYATITATNICPPNWSQDSNNGGWCNPPRTHFDMAKPAFMKIAQWKAGIVPVMYRRVPCVKKDGLRFTFQGNGYWLLVYVMNVAGGGDIANMWVKGSRTGWISMSHNWGASYQAFASLGGQALSFKLTSYTSRETIIAWNVAPANWNVGLTYKAWKNFH >KZN08475 pep chromosome:ASM162521v1:1:7470550:7479421:1 gene:DCAR_001021 transcript:KZN08475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLTLISLFFFLHSAASAMYSFELLHRFSDELKAFGPVKSAAQWPKKGTLAYYKMLAANDAWRRNNMMKLVSDSSVVFPVDGSDTQNLGNAFGWLHYTWIDIGMPAVSFLVALDTGSDLSWVPCECVQCAPLSASFYDLDRDLHMYNPSSSSSGKILPCTHKLCELGTSCGNPKSQCPYNVTYLSENVSSSGLLVEDVIHLVSRRAEALNHYVRSPVIIGCGKRQGGEFLDGIAPDGLLGLGVREISVPSFLAKAGVIKNSFSLCFSEHYSGKIYLGDQGPSTQQTTSLLPLDGKFTTYITGVEACCIGDSCLNQTNFKALIDCGTSFTHFPSDVYEIVVKEFDRQINSTKISNDPWEYCYNGSSSRSSKVPSVVLKFGKNNTFVVHTPVIDIFDDQGISGFCLAIHPTDSNVALIGQNYLRGYRMVFDRENLKLGWSPSDCGDDDSSESSPHSPPQNGSASNPFPDLQNAIHHANAGPDESIATRLTAGRLINMSYLENLLLLLLAFHQLVCIA >KZN08713 pep chromosome:ASM162521v1:1:14154500:14160339:-1 gene:DCAR_001369 transcript:KZN08713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIVGLSYKVCLVCSILLLGSLVATLFMPQSKSGTECKMPGPILTSEILQAIVCAITLIALYKVKKDKCGKYPLFLRIWWMCSFIMSLAKAVLEAHHALVYHSDITILNYIDFFALIACTCLLVISVRGKTGIVINLPNGISEPLLNGDSEKNPDEKRDCPYGKATLLQLVTFSWLNQLFAVGIKKPLDQDEVPDVDIKDSAGFLSHSFDESLNHVRERYGTAKPSIYKAIYSFGRKKAVINAFFAVISAVASYVGPYLISDLVTFLNEKNTRSLKSGYLLALGFLSAKIVETVAQRQWIFGARQLGLRVRAALIAHIYKKGLVLSSQSCQKHTSGEIINYMSVDIQRITDFMWYINIIWMLPIQISLAILILHTNLGMGSMVALAATMVVMMINIPMTKIQKGYQSKIMEAKDDRMKSTSEILRNMKTLKLQAWDSHYLRKLESLRKTEYKWLWKSLRLQAITAFIFWGSPTFISVLTFGGCVLMGIPLTAGRVLSALATFRMLQDPIFNLPDLLNVIAQGKVSADRIASYLQEEEIQTGAVQYVSRDESEFDIEIEGGKFSWDFKSSRATLDGIELKVKRGMKVAICGTVGSGKSSLLSSILGEVTKVSGTVKVSGTKAYVPQSPWILTGNVRENILFGNSYDSTKYDRTIQACALVKDFELFSTGDLTEIGERGKNMSGGQKQRIQIARAVYDDADIYLLDDPFSAVDAHTGRQLFEECLMGILKEKTILYVTHQVEFLPAADLILVMQNGRIAQAGTFEELMKQNIGFEVLVGAHSQALDSVLTVETASRATQNASNDGELTTEPTPVDEFPHTKQDSEHNLSVEINEKEGRLVNEEEREKGSIGKEVYLSYLTIVKGGALVPIILLAQSSFQVLQIASNYWMAWACPTDDAEKVTGMNYILFIYVLFSVGSSLCVLLRASLVAITGLAAAEKLFHNMLHSVLRAPMAFFDSTPFGRILNRASTDQSVLDLEIANRIGWCAFSIIQIIGTITVMSQVAWEVFIIFIPVTAICIWYQKYYIPTARELARLSGIERAPILHHFAESLSGAATIRAFDQKRRFTDANLCLVDNHSRPWFHNVAAMEWLSFRLNQLSNFVFAFSLVLLISLPEGIIDPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYSNITSEAPLVIEDSRPPNNWPETGTIRFKNLQIRYAEHLPSVLKNITCTIPGKKKVGVVGRTGSGKSTLIQAIFRVVEPREGSIIIDNIDICKIGLHDLRSNLSIIPQDPTMFEGTVRGNLDPLQQYSDTEIWEALDKCQLGDTVRAKDEKLDYTVVENGENWSVGQRQLFCLGRALLKKSCILVLDEATASVDSATDGILQKIISQEFKDRTILTIAHRIHTVIDSDFVLVLSDGRIAEYDTPARLLERDDSFFSKLIREYSMSSQSLSNLAK >KZN10433 pep chromosome:ASM162521v1:1:35670697:35671268:1 gene:DCAR_003089 transcript:KZN10433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIQLSRKGQKRHVYRMFIFAAGHAREHDLARAVYDMMSASNITPDSERTSSADKLFNDLKGERNAGKKLCQCLIIGVCRMNKAEMALSYVEEMRRNKETPSLRCYEELVPVLCNNIKYDEVFNIVDDTQSEMEDLFQLLLVISFCYILPGLKISVVTGFDQDITPRHHWFQLGTW >KZN11786 pep chromosome:ASM162521v1:1:48084020:48088182:-1 gene:DCAR_004442 transcript:KZN11786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTCKQSYEGECTSKVSTQKVSVLSVSGSTEQRNSMLGGLELKGSVSAKPLVGKVFSSAGSCTYPGSSIESHAHVAEEKIGVLLLNLGGPDTLQDVQPFLFNLFADPDIIRLPRLFRFLQRPLAQLISVVRAPKSQEGYAAIGGGSPLRKITDEQANALKMELEAKEVPIKRDGISKLVVLPLYPQYSISTTGSSVRVLEQMFREDATLSRMPVSIIQSWYQREGYVKSMADLIGKVMIFFSAHGVPVTYVEDAGDPYRDQMEECIYLIMQELKSRGINNNHKLAYQSRVGPVQWLKPYTDEVLVELGQNGVKSLLAVPVSFVSEHIETLEEIDMEYRELALESGIENWGRVPALGCTSSFITDLADAVIEALPSATAMSAASTSDDSELDPLGYAIKMFFGSILGFFLLLSPKIKSAFRNHT >KZN08714 pep chromosome:ASM162521v1:1:14170877:14175183:-1 gene:DCAR_001370 transcript:KZN08714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAKASQCMQFSVSIASERTLRRKECSLQFPRRCREERFPILVSSYLQPKKDLAYHSSVALKLSCYPQNNEASVLESGTYPATFDEAVILKNKSEEIETYLQGRCIYLVGMMGSGKTTVGKILSENLGYSFSDSDSLIELSVGGTTVTDIFKFHGESFFRDNETEVLKKLSSMQRLVVSTGGGAVIRPINWRHMQKGISVWLDVPLEALARRLTSVGTESRPLLHNESGDAYAKTLKRLCTLWEDRSEAYTKASVRVSLENIAAKLGYNDVCNITPTAIAIEVFLSFSNICIYNARYSIYVRQCAHIKISLLAIISKFCSVN >KZN10194 pep chromosome:ASM162521v1:1:33443229:33443816:-1 gene:DCAR_002850 transcript:KZN10194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFFFFLSLICFLTLLPCPIISARPASTLAAASPVDLLTKACSLAPNKDLCQQTINSAKDKPKKDLNDLAFIAFEAASIASTSNAEFVSQKLEDIEESEEPDDVVVTQSFQDCQEQYQGMNDEVDDAVSALATRRRDDDGKIETWLKDSIVAVETCQKSATGKGQKTDELTGMNQKLLELLNNAMAVFQVLKQN >KZN10642 pep chromosome:ASM162521v1:1:37844648:37847254:1 gene:DCAR_003298 transcript:KZN10642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVSESCIDSLLTEIVSSYSTRFYANKPELAARTIEAIGYQVGHQLSERYTMDRPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLSRVSNPILDEASAKDPEALAQNKAAQAETSAAQATSMLLYFPCGIIRGALSNLGIPCAVSADISNLPTCSFVVRIKA >KZN11353 pep chromosome:ASM162521v1:1:43901315:43901521:-1 gene:DCAR_004009 transcript:KZN11353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEHGFSEVRSGPRRGNLGGQQNSHDQQEKSHAQGILRSHSTVYLTRLTKLSSNNLCSLQCMHAAHL >KZN08862 pep chromosome:ASM162521v1:1:16811381:16818947:1 gene:DCAR_001518 transcript:KZN08862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNGLVAGSHNRNQLVRIRHDSDSGHKPLKNLNGKLCQICSDDVGVTATGDPFVACDECAFPVCRPCYEYERRDGNQSCPQCKTRYKRLKGSPRVDGDDDEDDVDDLENEFNYTQGNKQARGKWQGEDIELSSSSRHESHQPIPLLTNGQSVSGDIPSATPDTQSIRSTSGPLGPGDKHVHSLPYIDPRLPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNLVQVTNRYNEGKGGDIEGTGSNGEELQMADDARQPMSRIVPISSAHLTPYRVVIILRLIILGFFLQYRVTHPVNDAYPLWLVSVICEIWFAVSWLLDQFPKWYPINRETYLDRLAIRYDREGEPSQLAPIDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCRKHQIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKRTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLSEADLEPNIIVKSCCGSRKKGRHANKKYVDKKRAAKRTESTIPIFNMEDIDEGVEGYDDEKSLLMSQKNLEKRFGQSPVFIAATFMEQGGIPPTTNPATLLKEAIHVISCGYEDKSEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCVPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGKLRPLERLAYINTIIYPITSIPLIAYCVLPAICLLTDKFIIPEISNFASMWFILLFMSIAATGILELRWSGVTIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYIFKWTALLIPPTTVLIFNLVGIVAGASHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDPTKVSTDGQQCGIDC >KZN09790 pep chromosome:ASM162521v1:1:29398466:29399336:-1 gene:DCAR_002446 transcript:KZN09790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINPEPTVRALEPAKSVPGVRTIIKYSGGIDLVLRVNLFVTTLAPLILITTSKQTVFFRTPYPPYGSSLSAKFTDAPALIFAVSALSLACLYSIITALISFLALRKQAARSTKLMFSICVMDLVLLGIVAAAAGAAGEVSYIGLRGNKGARWQKICNVFDTYCLHIGFSDLFTSSAALTLIFLIILFILTLLPNNNG >KZN11775 pep chromosome:ASM162521v1:1:47987529:47993249:1 gene:DCAR_004431 transcript:KZN11775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQSSTPALSSSSSSIQSEAEALRRNRVLSSKLYFDVPRNKAPIIYSPVYDIAFFGIEKLHPFDSSKWGRICKFLIDNGHLNKNCIVEPEEATKDDLLVVHSEVYLKSLKSSLNVSVIIEVPPVALLPNFLVQKNVLYPFRKQVGGTILAAKLAKERGWAINVGGGFHHCCATKGGGFCVYADISLCIHYAFIRLNISRVMIIDLDAHQGNGHEKDFSGDSRVYILDMYNPDIYPFDYEARGYINQKVEVRSGTSTNDYLMKLDAALKVAGSMFDPELLIYNAGTDILDGDPLGKLKVSPDGIASRDEKVFRFARENSVPLVMVTSGGYMKSSAKVIADSLVNLSKKCLVDMGGSP >KZN11243 pep chromosome:ASM162521v1:1:42960538:42964705:-1 gene:DCAR_003899 transcript:KZN11243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGCLRVQSIPQLVSNLKQSSSCSSSTSCWLCLGSESNDARKKSNIIVTRRKALIFTATSLVSTPFSFQLLANAQQQEDEDTLDQEEDRVVQLFEETSPSVVFIKDLEIVKKPKSSDEVVMIDDELAKVEGTGSGFIWDKFGHIVTNYHVISKLAMDSGGLQRCKVYLVDKRGNSFSRDAKIIGVDPAYDLAVLKVDVEGNEPKPVTLGTSDSLRVGQSCFAIGNPYGYENTLTTGVISGLGREIPSPNGSPIRGAIQTDAAINSGNSGGPLIDSFGHVIGVNTATFTRKGSGMSSGVNFAIPIDTVVRTIPALIVYGTAYRDRY >KZN10972 pep chromosome:ASM162521v1:1:40748408:40754455:1 gene:DCAR_003628 transcript:KZN10972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLQHQQQQLAALVSAALPKDTATANSAASSSASASLTTTQSQSQSESEQDDVNSRIGAINSLHRAILFPPNSLLVHHSASYLFQAFSTLLSDKSNVVRQAAATAYGSLCAVLCSVPITSNGRQNHALLTNLVDRFIGWALPLLRVGDGPSELALESLREFLSVGDIGAVDRYALPVLKACQELLEDERTSLTLLHRLLGVLTLISLKFFRCFQPHFVDIVDLLLGWAMAPDLADTDRRIIMDSFLQFQKHWVNNLPFSLGLLSKFLGDMDVMLQDASPGTPQQRQRLIVLLSCFSTVLQSTASGLLEINLLEQIWEPLGKMLPPLLAGLSMVGKKFGWSKWIGESWRCLTLLAEILGEKFSSFYSSAVEILFQSLEMDIANQRYGVAKINSFQIHGVLRTNLQLLSLQKHGLLPSSVHKNLQFYGPISQLRLHPNHLVTGSSAAAYIFLLQHRNNEVVEQAVDSLIEELELLKSMLLNTSANAEDLESAVASKSYSRSELFSFLKFDLKVLVSCVSLGGDSNLISQPEIVNFHISRSDKLIQFIIKKLNPFECPIKDYVELQATVVNILSRLTAIDFLNKCATKGHKDFPAPGLEIDEVIPINNYRDELLMIIFDYIEKYTVTIVNALQISSPLAVKLEAMQWIEKFCESVSTEYEKSKGTIYPCQAYECTNVVSNLVMSLLDAVLDKEPKVRTRVSLVLEVLLQARLIPSTHFYIITKVVLERLGDPDKDVSNAFMRLLADILPMTLFACGMRDDGLGSACTFGTRNLLNRSNLHWKQIFALKQLPQQLHSQQLVSILSFISQRWKVPLSSWIQRLIYTCRHSKDLGLTLQEETGNDSVTNALWWDKEDEDNILERICSVNIIAGAWWAVHEAARYCITTRLRTNLGGPTQTFAALERMLLDIAVVLHLDSEPTDGNLNLVGSSYAHLLPLRLLLEFVEALKKNVYNAYDGSIVLRCASRQSSLFFRANKKVCEEWFSRICEPMMNAGLALQCHDATVHYSTLRLQELSNQVATSSTEKNFHNMKDRFSGDVLRVLRHIALALCKLHDPEALTGLQKWACMSFFPLFSEDNRILVDHGAGGPLSWITGLIYQAEGQYEKAAAHFTHLLQMDDSLITMGSDGVHFAIARIIDSYTAVSDWKSLDSWLSELHKLRAKHAGKSYCGALTMAGIEMNAVHALAHFDEGDYQAAWAYLDLTPKSSSELTLDPKLALQRSEQMLLQAMLLHNEGKADKVSTEIQKAKSILKETLSILPLDGLSEAAAHLSHLHCIFALEENCKLGGDQEKQLQSLLGSYLEVTPSPIKQVHQDCKLWIKILRVYKSILPTAPVTLKLCKNLLSLARKQSNVMLANRLNTYLRRKVLNCSKGVSLDFMTKSVEYEGILLMHAEKKFEDALTNLWTLVKPCLLSPENTVTGGDDNFLLADACLKLSDWVQREYSDTSMENFVLQVFAELNVNLSSKLRINIVIEELVGTFTKLSTCLCPTMSSSWISYASWCFRQAKADLFGPSETALGSCSFSPNLISEIVPDRFKLTEEELIKVKNIISQYLFEINSPKDSDQAQEICNFDSESSEQARDVLVQQVINIIEAAAGAPGAENVSGECLSATLASQLQKCLQCDNVWTESTRSKSFVSDLVDIWWSLRSRRVSLFGHAAQAYVNHLRYSCLRDSDSQKSASHSLRATLYVLHILLNFGVELKDTLGHALSTVPLLPWQEITPQLFARLSSHPEHVVRKQLEGMLVMLAKLSPWSVVYPSLVDVNSYEGKSFGIYWHVWYVNL >KZN08369 pep chromosome:ASM162521v1:1:5725446:5725997:1 gene:DCAR_000915 transcript:KZN08369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKDFKGDSQVSGGPSQPAPRKDLHTKVEGRGSRIRMPTLCATRVFQLTKELGLATDGETIQWLLQQAEPAIIRATGTGTVPAIATVTADGSLRVPETKEGAAEDVSKTSGLAPVGPSPVSVPGFGMAAENGMRMGVPHGAEPNQNQTSVSCEARVSSDAEEEYDEVVLMGKKIRFRKGGI >KZN09441 pep chromosome:ASM162521v1:1:25273777:25277153:1 gene:DCAR_002097 transcript:KZN09441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILFFFITCLSFLIFYKSLTLQLLPPWAYEMRLVGIKLWRTLSFTQLASYIKINFYFLARMTKSSSRTISSDPKFELLDSEIQEDMMSLLDLPDLALECILEKLPPDGLTRVSSVCTSLRTTCMSNHLWEKHMKQKWGNVIGPAAYREWQWHVATRNEFGFLDQGNERGFFGFLQKTWPWPLLFSRGKNTGINSTKKKNSTRSCYSAPADSVMAWYMALETGKFWFPAQVYNRENGHVGFMLSCYDAELCYDSRTDTFQARYPPHGRRPVAIENGVTWDRLRAAPIDNSPHDLHISECLNELHPGDHIEIQWRRNKEFPYGWWYGVVGHLELCDGNQNYCRCFESDMVALNFNQYTPGSRWRQTTVSRKHHREEGNGADGFYGGIRKLKTSNEISTWKRLWPVDVLE >KZN10270 pep chromosome:ASM162521v1:1:34044258:34045136:-1 gene:DCAR_002926 transcript:KZN10270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKVALVTGAASGIGEQTVRLFAENGAFVVVADVQDDLGHQVVASIGSEKVSYQHCDVRNEQEVVQTINFAIEKYGKLDVLFSNAAIMGPMTSILDLDMEEFDNTMATNVRGVAITIKHAARMMVAKKTRGSIICTTSVAANLGGAGPHAYTTSKHALVGLVRAACSELGAHGIRVNSISPFGVATPLSCNAYNVEPSEVEANGCALANLKGIVLKARHIADAALFLASDESAYISGHNLVVDGGFSVVSNSFSSF >KZN09059 pep chromosome:ASM162521v1:1:19648505:19652937:1 gene:DCAR_001715 transcript:KZN09059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERDSDQDNKHNDDDHDDYEAVDIERLVRKEGRSNASSFLEREPLLRSRKNNTSQIAIVGANVYPIESLDYEIVENDLFKQDWRSRKKIQIFQYVVLKWTLACLIGLSTGLVAFFNNLAVENIAGFKLLMTSNLMLENRYIMAFVTFAGWNVALATCAAVLCAYIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIANLLGQGGSRKYHLTWKWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRSLMVFCQSGKCGLFGQGGLIMFDINSAVASYTAPDLVAVILLGIIGGIFGSLYNYLVDKVLRIYSIINERGPAYKVLLVISISLLTSCCAYGLPWFTGCVPCPAGLEEQCPTVGRSGNYKNFQCQPGHYNDLASLFLNTNDDAIRNLFSSRDAHEFHLSSLSLFFGAMFCLGIITYGIAIPSGLFIPVILAGASYGRVVGSILGSISDLDIGLFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLISKTVADSFNKGVYDQIVKMKGLPYLEAHAEPYMRQLVAGDVVSGPLITFSGVEKVGNILHYLETTGHHGFPVIDEPPFTAAPELCGLVLRSHLLVLLKGKRFTKQKVLSGSDLLRRFHAYDFAKAGSGKGLKLEDLDIKQEEMDMYVDLHPITNTSPYTVIETMSLAKAAVLFREVGLRHLCVVPKTPGRPPIVGILTRHDFMAEHILGLYPDMKPQKHV >KZN10914 pep chromosome:ASM162521v1:1:40251345:40253939:1 gene:DCAR_003570 transcript:KZN10914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSFKSDALSVAMDGSMSSSDSLKSPDVEYIDNTDVAAIDSIERKTCSKLFISDDEKTTGSICKRDIIAEMDTGDEIVDVDENTMDPQLCATMACDIYKHLRASEVKKRPSTDFMEKVQKDINTNMRAILVDWLVEVAEEYRLVPDTLYLTVNYVDRYLSGNSMDREKLQLLGVACMMIASKYEEICAPQVEEFCYITDNTYFKEEVLEMETTVLYFLKFEMTAPTAKCFLRRFVRVAQAVTEGPAMQLECMTNYLAELTLPQYHMLRYAPSLIAASATFLARYLLFPSRRPWNSTLLHYTLYKASDLLECVSALHILCRNNLSCSLPAIREKYSQHKVSKFSCP >KZN08223 pep chromosome:ASM162521v1:1:4097784:4098612:-1 gene:DCAR_001288 transcript:KZN08223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSGAMCVGGASKRAVGRGIMFSSSQWSHPLQRPQRDQSWGCSQGSRPELRDAGPILADGGSSRGNHLCIYYM >KZN08140 pep chromosome:ASM162521v1:1:3100721:3102085:-1 gene:DCAR_000809 transcript:KZN08140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTGFRSFESSRSDNYGSFEFSELLEFDEWAEADPGVMVSGYPVDPVYAAANDGRSIVGGSSNVEASNARGNIGSRAARKEKVAFRTMTEIEILDDGFKWRKYGKKMVKNSPMPRNYYRCSIEGCAVKKRVERDREDPRYVITTYEGQHNHQANML >KZN09013 pep chromosome:ASM162521v1:1:19058496:19059616:-1 gene:DCAR_001669 transcript:KZN09013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTTHLVAESVWKEIESTRSVNSQHLEILHFLFGKNLESAARIVDLKGVKKISGQLSGRTVFQVMGESKRKEEYFCFPEHYCSCHSFFYDIVKNGRQLFCKHQIAARLASSIGFCVDVKVSDEQLAVMLSNL >KZN12106 pep chromosome:ASM162521v1:1:51055613:51064444:1 gene:DCAR_004762 transcript:KZN12106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSLHQRVESWIREQRTKISWAVKWPVVVINWPWTNGQQQRKRIKEEFEKRKRQLVKLCHAVKADSLSDLQDILCCLLLSECVYKRPDTELLRSVNKFKADFGSQIVSIERVQPSSDHVPHRYLLAEAGDTLYASFIGTKQYKDVMVDANILQGAIFHEDDEDHDGSKTSESVEVDNQNKNAADTNIHGVRQLKSSVKPAAHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAVLATLAILRVIAASSSTKEYEKVQVKCITFSQPPVGNAALRDYVNRKDWQQYFKTYCIPEDLVPRILSPAYFHHYNTQTPTVRANGDDETSSVLLPKSDDKLDSKKPEKLRESNGEQLVLGMGPLQSSFWRLSKLVPIEAVRRHIYRFKEKSEDPTEMSFSIDPSRASSIEDVVAAPQSLEIQEDSDGISLTPISEKNREGSKTERSSGKNYRAGGDIRTWRRVPYLPSYVPFGQLYLLGSSSVEALSGAEYSKLTSVKSVISELRERLQSHSMRSYRSRFQRIFDVCMNDSFSTFLGIDQLQQFPQLQKWIGSSVAGSVELGHIVESPVIRTATSVAPLGWNGIPGDKNSDALKVDITGIRLHLCSLVQARVNGIWCSTTVESFPPEPTCTTNHHELKPDIQSIRILVGPPLKRPPKNQRLIDSLLSKFSSADSNSTNLRSDQNIRASHLGKIICPQGLDDVVVFCTTDFSTIFKEVHVRTRRVQLIGLEGAGKTSLLKAILAKGRLPAAARAEDAHTEIVEEGVSGGLCYSDSAGINLQDLSKEVSSFRDKLWLGVRDLSKKIDCIVLVHNLSHQIPRCSHANAQEQPALSLLLDEAKALGVPWILAITNKFSLNAHQQKAAVDAILQAYQASPSRTEIINSCSYVVPSVANTTPSGLSTERDSDAKLAALNLIFAPINLVRKPFQKKSASLPVEGVSALCRIVKRVLRDHEEAALQELARDRLLLESAREHAVAADAIREAQARANSLTAAAVGGSLGAGLGIVMAIALGAASALRKP >KZN07850 pep chromosome:ASM162521v1:1:143588:145698:1 gene:DCAR_000519 transcript:KZN07850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQVSTSLKTEEDENELSLELSIGRKCYDKNSTTMLPRKSSDFAICPKSQLDDLVFKPDIHALRRQEARKKRDQKLRFKSTLGGGGGGDRNGNACCVMNHEDHGILDDEEEEEEMPPRKKEKCLGLSGDNNITHNNVVSNCGPRKQEKLLVNDFKLKMNREPGLMGESPCPYPMQYVPFTNGFVYHPHGVMPSVVDKDKRVGFASAAGGNECLDKDRRVSFDVAACRSFRPYDTCRNLEMSVVNGKSDASSGGSSPAVSDHHNSALQGGSSSISFQQESRNVFGAGNGPKRSEIKSWNAMGMCSNEVKVRTKANHIVNTTLLGNIQVRELRCNEEPKPAATLEDPTLSTKNPIDPALLKKNISNAVITKPLKTQSECNSASPLPQMPCVSTTGNGPNGKTITGFLYRYTKTEVCIVCVCHGTSFSPAEFVKHAGGVDVEHPLRHITIVPSDLT >KZN09343 pep chromosome:ASM162521v1:1:23598648:23600957:1 gene:DCAR_001999 transcript:KZN09343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADENPTNSPPETPANPPEKPHFPAAEPISVTEKETPLDPSPTTPEQDQKEPIQQEHSIPEAEKPQKVPESQGSFKEESNKVTDLSDPEKKSLDELKTHVQELGEAQIYGVPLLVDERSDVILLKFLRARDFKVKEAFLMLKNTLKWREEFGIESLVEEKLGDDLDKVVFSDGLDKDGHPVCYNVYGEFQNKELYKKTFGDQEKRNKFLKWRIQFLEKSIRKLDFSPGGINTIFQVSDLKNSPGPGKTEHRIATKQALQLLQDNYPEFVAKQVFINVPWWYLAFYTMISPFMTQRTKSKFVFASPARTAETLFKYIAPEQVPIQYGGLSVDFCDCNPAFTNNDPASEITIKPATKQTVEILVNEKCSIIWELRVVGWEVSYSAEYVPRTEGSYTVIIHKARKMSPTDEPVICNGFKITELGKLLLTVDNSTSKKKKLLYRFKVEPLCD >KZN10463 pep chromosome:ASM162521v1:1:35950166:35958050:1 gene:DCAR_003119 transcript:KZN10463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNICSSLHNTNTSSPFYSPNSALIHSSKLFKPSLNYQNRRQFRRTTIQSVSNIDANVAEPQIKPVYTPTPTDRNLRTPHSGYHYDGSARKFFEGWYFKVAIPECRQSFCFMYAVQNPLFRKKLTALEEAQYGPRWTGVAAQILGADDKLIVQSSEESQNFWGSRHELMLGNTFVARDNLKSPKKETPPQDFNKRVLEGFQVTPLWHQGSISDDGRNNFAEVVKTARWEYSTRPVYGWGNVGSKQKATAGWLAAFPVFEPHWQICMAGGLSTGIILIPYFLMICWIEWDGERFEFENAPSYSEKNWGGAFPRKWFWVQCNVFEGATGEVALTAGGGLRQLPGLSEKFENAALIGVHYEGVLYEFVPWNGVVEWEISPWGCWQISAENKTHKIELEATTQYSGTPLRAPTTESGFAPACKDTCWGDLTLKMWERSSNGSKGKLILDTTSNMAAVEVGGGPWFNSWKGRTFTPEVLNRALNVGVDVEGLFNFVPFLKPPGL >KZN08603 pep chromosome:ASM162521v1:1:11600691:11603050:-1 gene:DCAR_001133 transcript:KZN08603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQGGAAAPGLIGSSADTTVAAAAAPEAREPGGGGGGSGGSGGGMNEEEKGRSEESERNSGGSRWPRQETIALLKIRSDMDVAFRDSSLKGPLWDEVSRKLSELGYHRSAKKCKEKFENVYKYHKRTKDGRNTKNDGKTYRFFEQLEALDTHPSLSLMSWSSPMKSQPPSAHPTTVVTAATMLGQHNNSAHMNTIVTSSPVVTNVTVSSTPSPISTVFPSNAIHPINISSHNVSSQPPVAMNPSIPAQKPIGLMNNHNPNMSFLSNSTSSTSSDEQPERYGKRKRKWEDFFGRLMTEVIQKQDELQQKFLDTLEKREKERIAREEAWRVQEMAKMNREHELLLQERSMAAAKDAAVISFLQKITEQQQQNSPNQAQVQPPPTQLPVVPPPQPLVQVSQPHPMPPTQAPAPVILTPQAPAPAPTPVKSRDEMNAQSSNYNGGAENSNFMSPSPSRWPKAEIQALINFRTTLDTKYHENGPKGPLWEEISAAMRKIGYNRNAKRCKEKWENINKYYKKVKESNKKRPEDAKTCPYFHQLEALYKEKAKTETSGSNINLSFNSTPVPAALPIMAQPEQQWPLQQNKLMPKDLDDHDSDNMNEDDYEDDEDDDDGAAFEIVTNTNKQQQDQTRPE >KZN10284 pep chromosome:ASM162521v1:1:34192025:34198309:-1 gene:DCAR_002940 transcript:KZN10284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFTKLHHFTCNSNLTLIPTTNRPLSSATSVSPSFPAKFAPAGAGKHQTRLRRWRSRCSVSTFNPPAKDLNSDGGKAVLDCVIVGAGISGLCIAQALATQHKDEFSNVIVTEAKERVGGNITTVERDGFLWEEGPNSFQPSDPMLTMVVDSGLKDDLVLGDPEAPRFVYWKGKLRPVPSKLTDLPFFDLMSFGGKLRAGFGALGLRPSPPGREESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWKLEQNGGSIIGGAFKAIQEKKSSSKPPRDPRLPKPKGQTVGSFRKGLTMLPNAIAKRLGNKVKLSWKLSSIEKLENESYTLTYETPEGPVTVQSKTVVMTVPSHIASTLLRPVSVVAAEALSKLYYPPVAAVSVSYPEEAVRTECLIDGKLKGFGQLIPRTQGVETLGTIYSSSLFPNRAPPGRILLLNYIGGATNTGILSKTESQLVEAVDRDLRKMLINPTAKEPLALGVRVWPQAIPQFLIGHLDIVDSAKAALSNGGFKGIFLGGNFVSGVALGRCVEGAYEVASDVNKFLQQYQYK >KZN11068 pep chromosome:ASM162521v1:1:41447352:41448512:1 gene:DCAR_003724 transcript:KZN11068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSTNCSDGRQQYCGSAYPTRQPNLVPENPFFADESNLKDYPSELKAIHGKEFTFKIELNEDNILLKSTVYNATDAFDREFTVSSKSEASTSDLEITGYKDKAEDVADNGNTPGNLRKKMARTSYALIDSVRKDLAYAYLFLLNMISS >KZN07872 pep chromosome:ASM162521v1:1:326425:326736:1 gene:DCAR_000541 transcript:KZN07872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVMKVEKAPLETYANIGGLDAQKREIKEAVELPLTPPELYVDISTKPPKGVILYGGPGTGKTLLAKVCIAKK >KZN10496 pep chromosome:ASM162521v1:1:36304499:36304867:1 gene:DCAR_003152 transcript:KZN10496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILPTLVAASAATAAITATTTISAAATTVAASATTIAAAASTTAAAASPSTSLDRSTIWASDINGLGPAITAILDDKLNRLPFSEAAKPIRLDGRLMNKQILTAFVRGDESKALGVIEPLD >KZN11945 pep chromosome:ASM162521v1:1:49584240:49587534:-1 gene:DCAR_004601 transcript:KZN11945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGNPFDLLVDDDNDDVSQLLQKLPPPVKKAPVAEKVAPAAKLPVKPLPPAQAVRESRTDGQRGGGRFGGRGTGRGRGGRFGRDFADNGNSYNNNNGGFSEGYRQPEQGDLDKSSERRAYGGPRGGGGAPRGGRRGGYNNGEVTEGERPRRVYERHSGTARGNEFSKRDGAGRGNWGTPTDDIAPVNEEPVNDGEKNAEAEKEPEQENTDDASKENPVTEPEEKEPEEMTLEEYEKVLEEKRKALLALKSEERKVDLDKDFESMQLLSSKKNEEEIFVKLGTDKDKRKEAEKEEKAKKALSINEFLKPAEGEKYYGPGRGRGRGRGPRGGFGGNMGNNVRAPAIEDQGQFPTLGAK >KZN11692 pep chromosome:ASM162521v1:1:47037871:47040953:-1 gene:DCAR_004348 transcript:KZN11692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSMAMLFVAVVGVVIACYVVEGRFVVEKESISVLSPSDLRYTRHDASIGNFGVPDYGGSMVGSVVYPQKGSNGCSSFDGDKPFKSKSSRPIILLLDRGECYFALKAWNGQEAGAAAVLVADDRDEPLITMDSPQESSDANGYIDKIGIPSALIEKSFGDTLREAIQKGKEDVVIRLDWRESMPHPDQRVEYEFWTNSNDECGIRCDEQMKFVKDFKGHAQILEKGGYTMFTPHYITWYCPQPFILSDQCKSQCINNGRYCAPDPENDFGKGYQGRDVVIENLRQLCVHRVANESHRSWVWWDYVTDFHIRCSMKQMRYSKECAESVMESLALETNECLERNGGCWSDVRSNITACKDTFRGRVCECPVANGVQYRGDGYKSCEAFGPGRCAISNGGCWSETKNGQTFSACSDSDLSGCRCPQGFRGDGHKCADINECTEDHVCRCDGCSCKNSWGGYECKCKGDKLYIKEHDTCIAKNASKFGWFLTLLVLGTTAAAATAGYLFYKYRLRVYIHFTQQNRVKFMKTST >KZN08876 pep chromosome:ASM162521v1:1:17121344:17121971:1 gene:DCAR_001532 transcript:KZN08876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSLICNKCAHNNILIPMYMVKAEVKDSTSETTFTLFERHVLKLINVSAQHVLNNDKNASPDVVPAVLNNIFGRKYVFKLSITRKNTIERYKGYIVIEVEEIANEQSSSASLQIVDPTHKRKPPAQEENFPISNDEVGKVCRGTTDNRINDSYTSTSTQNQLSDGNAPNITKRHKLE >KZN08807 pep chromosome:ASM162521v1:1:15705214:15708358:1 gene:DCAR_001463 transcript:KZN08807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRLIRMTKRDHGENNNGSKSKRMGRSQRKMAAEVEMLHKQALAMAIHQHQLSQRFEGSMSRRIGSRRRNQTEANNVNSGPKMLPENLENIKTKSIVLVHGEGFGAWCWYKTIALLEESGLLPTALDLTGSGIELTDTKDVNTIADYSKPLIEYLQNLPLTENVILVGHSTGGACISYALDQFPQKISKAIFLCGTMVSDGQRPFDVFAEQLGSAELFMQESKFLIHGNGKDQPPTGFMFEKEQMHGLYFNQSPSKDVALAMVSMRPIPLGPIMEKMSLSPEKYGTSRRFYIQTLEDHALSPDIQEKLVRENPPEGVFKIKGSDHCPFFSKPQSLHKILVEIAQIP >KZN10888 pep chromosome:ASM162521v1:1:39946365:39949829:1 gene:DCAR_003544 transcript:KZN10888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAVVPRMKLGSQGLQVSAQGLGCMGMSAFYGPAKPDADMIHLIHHAIESGITFLDTSDVYGPHTNEILLSKALKDGVRDKVELATKFGIRMDSQIREVRGEPEYVRSACEASLKRLQIDCIDLYYQHRVDVRVPIEVTMGELKKLVEEGKIKYVGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLSGGSDMVASLSNGDFRKHLPRFQAENLEHNKKLFDRVNEIALKKGCTPSQLALSWVHHQGTDVCPIPGTTKIENLMQNIGALSVKLTTEEMAELESIAASVRGDRYSSPASTYLNSETPPLSSWSTK >KZN07848 pep chromosome:ASM162521v1:1:128365:131180:1 gene:DCAR_000517 transcript:KZN07848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRGSSAVNKLFAWVRRQSIKVKVFLAVTALVSSLLALKLLVKDHSHFFVASEAIHVSGIAVLIFKLTTQNNCSGLSLKTQELTAIFTAVRLYCSFLMEGDIHTVLDFATLVFTAWVIYMIRFKLRSTYIAELDNMPLYYLVVPCAILSVLIHPYTQHSFVSRILWAFCVYLESISVLPQLRMMQNAKMIEPFTAHYVFALGIARFLGCAHWILSVYDTAGTYLYLLGSGYFWLPMVLLSEVVQTFILADFCYYYVKRLPLYFCAPTSCV >KZN10514 pep chromosome:ASM162521v1:1:36491267:36493254:-1 gene:DCAR_003170 transcript:KZN10514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDHISDMFDCSGRSSHSKYKKRKQLQTVEVKVKMDCEGCERKVRRSVEGMKGVSSVDINPKQHKLTVVGYVEPEKVVARVAHRTGKKAELWPYVPYDVVDHPYAPGVYDKKAPAGYVRNTEYVDQRTSQLARASSTEVRYTTAFSDENPQACAIM >KZN12026 pep chromosome:ASM162521v1:1:50383733:50386504:-1 gene:DCAR_004682 transcript:KZN12026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKFTLLVFLQYQLVAASAVILLSPAMQKSAVFQFKLSMAISAPSSCNYGIFSHPKTMNWSMSTDYCTWEGVTCDDKARNVIGLDLSCSQLVGAILPNNTLFQLSHLQFLDLSWNDFSLSNQFPQEFGFFATGLEHLNLSHTRIPGRVPSGISHLYKLVSLDLSRLYNSNLDDQVFKLLLQNLTQLQVINLEWVNISSVVPVNLSTSLRVLNLYNAGLYGVLPREVFHLPNLEVLDLSLNSNLTAILPDTKWGSSGSLQNLHLLDINVYGGIPDSIGFLGSLATLSLVACNLSGPIPRSIGNLSQLTDLQLGGNNLIGQIPEVLANHTNLRSLDLSYNSLSGPVPSWLFNLTSLESLGINYNRLTGELHEFDSSKLVLRVFSCGNNLLFGSIPQSFSQLVNLTQLDFSSNNFSGDLDLAMFSDLVDLKYLDLSHNSLSVRSTSMATLPPKLYYLGLSSCKMKEFPHISENVEYFVYLDLSNNQIDGEIPRWIGTTDWLLFPYVNLSHNHLIGGLEHLPWNTIWHLDLQSNMLNGSLPSLICNSTSLRILNLSYNKLSGVLPICQTNLTRLTVFDLRMNNLQGNIPATLSNFRSLNTINLNGNNLEGRIPSSFSEFGSLEVLDLGRNQINDTFPQCLEALPNLQVLVLKSNKFHGHINQSSMIKHPFPSLRIIDLSYNEFSGPLPTMYFENFVAMMNGSVDETYPTYMGEYYYKDSTGLVIKGAEIKLERILTIFTTIDVSRNNFTGDIVESIGNLVSLRFLNISHNQLTGHIPSSIGKLSKLESLDLSLNQLEGEIPQQLTGIYTLARLNLSCNQLTGHIPQGSQFNTFENDSYVGNLGLCGYPLSKKCEKYPRTQGEEVNEDDDYFFSGFTWEAVVIGYGCGVVPAFIIGYFMLLAGKPKWYAGIIASELGLKIRRVEIKWR >KZN08237 pep chromosome:ASM162521v1:1:4205817:4206566:1 gene:DCAR_001302 transcript:KZN08237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEILSTELIKPYTSTPLSLRHYNISLVDELSPAMNVPTILYYPAEENVTTNSICMHLKKSLSMALARFYPLAGRYMKESFMVDCSDQGAEFVQAQVDIRLDQLIGLGKNVQAELLNCLLPRPVGACDEDTDPLVAVQVSAFACGGYAMGILSSHSIADMSTTSSFVMEWAREAKRLLEGLDHDQDHELSVSPSWNSALLFPGCKLPGLPLGFSRDKENVEDHKIVTKIFSFSNSAILKIREKARLDS >KZN11098 pep chromosome:ASM162521v1:1:41699005:41699544:1 gene:DCAR_003754 transcript:KZN11098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNIDIRFGVPVLVKICIYLINRSSYTREDTADLILVWDYLSSHKSSLFSLGLAFLVLLLVVAIKLSISVAVFAQPIILRFGDFNPSLPLVFLLIASFLFPPQGLLYAYFVCVFIWMISPWPSYVLAALVNWLQHNVPVFIIIAQQRAPSPGPSLYLEEVDVENNVDNREMNMIFGHA >KZN11433 pep chromosome:ASM162521v1:1:44613288:44613509:-1 gene:DCAR_004089 transcript:KZN11433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGQVRLKRIDLAENRSSEEYTNPLSWHYVDIMLNVTRIVPYLYNAGEDNYGVEDGVVKATLYSLIVEPIPL >KZN08318 pep chromosome:ASM162521v1:1:5185343:5188681:-1 gene:DCAR_000864 transcript:KZN08318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMEWPAYLDEYEKLVIRMTTPRVIVDNAGCSNATRVMMDSARKHGILLEAVQILVDLNLSIKKAYVSSDGRWFMDVFHVTDLAGNKITNDSIISYIEKSLSTLHYMPTLSKCVNSLTALELTGNDRVGLLSEVFAVLADLQVNVVESKVWTHNGRIASLIYVKDCDSGYPIEDKQKIDTIVTRLRNVLEGDTDIRSAKTVVSLAATHTERRLHQMMFADRDYERKPIIWSNGDAPAVSVQNCLERGYSGVNIHCKDRAKLMFDVVCTLTDMDYIVFHATVKTAEDRANMEFFIRHIDGTPISSDAEKQRVILCLRAAIERRASEGVQLELSTDDRPGLLADVMRTFRENGINVTRAEIATAFDTAQNVYYVTDAIGNPVDQKIIEAVRQKIGIDKLKVKELPSTYHEKEERDDEATAGVAGAVLVSLGSLLKRNLFNLGLIKSLT >KZN10928 pep chromosome:ASM162521v1:1:40361825:40364620:1 gene:DCAR_003584 transcript:KZN10928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKRGSIAFFTTYRPPVALDIYSCPLPPKSPHEELSMTDGDSFNYDGHSIPPAALKLIIKRPKLIPEGIKDADVDNGSVSGIVFVSERDDLETLQIAIRISASRKVTTKVFSFEDVYPRSDGVRMEDSPCVAGARRDILVYISTKEPAPRRRQPWTVVYKTNLRTGETGRLTPSLQADLSPSVSPSGKRIAVASYQRKAGWAGEIQDLQTSIFVMNVEKPFKRRLIVEIGGWPTWGSESVLFFHRKVDNFWAVFRVEFGDSYISEPIRVTPDESNAMTPLAIDSTTVAVSMIRDLAKFGIDRTPDHYRHIIVFDSKTKKPVMDVTQVTKPLADHFNPFLIMDAKTNTKRIGYHRVNTGLVKPGENIERQFRKIESPVPDVGLFRLSGAFPTFSNDGQKVAFVDNEFKSVWVADDKGLRMVFEMDSADKIFSPVWNQNKDLDILYVCVGPAFSADKLLEIHRIPNASKARQHTQCLTDGFNNAFPSSNPEGTKLVFRSTRDHPIDSSLPATERNDFKNLYIMEDAEEGDFGEGKITRLTEGDWVDTHCQWSPSGEWIVFSSTRDKPKTAPLKDNKLDSGYFAVYLVNPKHKDVVVRVFGSADDLAGHVNHPFFSPDGRSIVVTADLAGISVDPISLPLVEHSARAYGDIFSVDIDKDDIKKNENVKAFKRITHTRYENSTATWTMFSKDDPNASWNLQFSEEYTPACPHAPASGAESWHMSGHLCIPKRCC >KZN08729 pep chromosome:ASM162521v1:1:14548054:14551052:1 gene:DCAR_001385 transcript:KZN08729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQAKGLQYSPPQTQSLEKKKIQNGYVKGGSGVKSNGQKVAEKDDIKADDQKSVDGDRKIGKEEEGKNGNGVGNVSQRIVVKKIGSDELVDGWPKWLVDNMPGDVLASLVPKSADSYDKLAKIGQGTYSNVYKALDRDTGKIVALKKVRFDTAEPESIKFMAREIIVLQKLDHPNVIKLEGLATSRMQYSLYLVFDFMLSDLSKVISRPEGRLTETQVKCYMQQLLSGLEHIHERGILHRDIKGSNLLIDKNGMLKIADFGLANFYQPKQKRPLTSRVVTLWYRAPELLLGSTEYGVGIDLWSAGCLLAEMFMGRPIMPGRTEVEQLHRIFKLCGSPPEDYWKKIKPPTTFRPPSQYKPSFYEAFGSLPDSALALLPVLLSLEPAYRGSAASALQNEFFTSNPLACDLGDLPIMYKDDDVLPRAAYRKRRRKVKKRQQPLNNLQGHQRKDSSTDDGKDISESSREEKHDETSSRSQEMVVGAYASSGRNLSGGNRERPPSLPYPRPRSDLNRSATTEAHPNALKNIKNFPILMASITETVNHPIEDNRINRLHRRSMSTVDIRTLDMEKISKLFGLEDR >KZN09792 pep chromosome:ASM162521v1:1:29404453:29408717:1 gene:DCAR_002448 transcript:KZN09792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEKISNGESKDVRVASKKSVRFSDLFLRLMALALTLAAAVTLGLSKQTAIVPITLVSTLPPMNVPVTAQWHDMSAFKYFVVTNAISCGYGALSLILAVANRGKRNGLAVLIIVLDILVLAVLASGIGAASAVGILGYNGNKHVHWEKVCHVFGKFCHQVAAAIVVSLLGSLAFLFLLVLSALDLQKKNYY >KZN09521 pep chromosome:ASM162521v1:1:26614283:26618648:1 gene:DCAR_002177 transcript:KZN09521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKVLKLLFAHKIAGALDTDLSYCHCDDEGYWWSLHSILECQKVSDFLIAVAYFSIPIELLYFISCSNVPFKWVLVEFILFIVLCGMTHLLNGWTYYGQHSFQLMMSLTVFKLLTALVSCATAITLLTLFPLVLKVKVRELFLAQNVRELDQEVGMMKRQTDAGWHVRMLTQEIRKSLDKHTILYTTLVELSKTLDLQNCAVWMLNETRTEMNLTHELKPSNSNGYHPAVPVNDPDVLDITKNDGVRILSDQSRLGAASSGGSGDSGPVAAIRMPMLQVSNFKGGTPVLVETCYAMLVLALPNSNGRRWSYDEMEILEVVADQVAVALSHAAVLEESRVMREKLEEQNRMLKHAKENAMMASQARNSFQKVMSHGMRRPMHSMLGLLSVVQDETMSSEQRTIVDTIIRSSNVLSTLINDVMEISAKDDGRFPLEMKPFHLHSMIKEASCLVKCLCVYKGFAFALDVSNFLPNQLMGDERRTFQVLLHMVGHLLNVNEGEGPVILRVSLENGAEGINDNILGSRRPNTTDEYVSIKFEIGIVDGGFQSDSSSIHSGGRRKAGTEAKDVLSFRMCKMLVQMMQGSIKVSSNPQGLVQSMNLVLRFQKLPSYRRPLYELGLFPDRPLSNSMLRGLHVILADDDNTNRMVTKKLLERLGCQVSSVSSGQECLSALGPHVNAIPVVILDLHMPDMDGFEVATRIRKFRSRSTWPVIIALTASAEENVWERCIQVGMNGVIRKPVLLQGMAEELRSALQRAGERMT >KZN10961 pep chromosome:ASM162521v1:1:40661161:40665427:1 gene:DCAR_003617 transcript:KZN10961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPSNGGDMSQQQQQWLAMQQQYQQQWLAMQQYPPAAAAALQQQQMAAAAMFHQPPHYLPYHYYQHQQAQVAVAPPPPPQIQSSSEENKTIWIGDLQPWMDEHYLQSCFAPTGEAIAVKIIRNKQTGQSERFGFIEFLSHAAAEKVLQTYNGTAMPNADQLAFRLNWATFSTGERRQDAGSDLSVFVGDLASDVTDTVLHETFATRYPSVRGAKVVVDANTGRSKGYGFVRFGDETERSRAMTEMNGQYCSSRPMRIGVATPKKPSTQQQFSQAVVLSGGAAANGAHSENDTSNTTIKMIIYLLCRSSAEDAIQQLNNTVIGKQTVRLSWGRTPANKQLRMDSGNQWNGNYYGKQGYGYTVPQGQDPNMYGSGAGYGAPTNGYGNH >KZN08348 pep chromosome:ASM162521v1:1:5483172:5484979:-1 gene:DCAR_000894 transcript:KZN08348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCICIYSFVFLWDFFSIIGTIERYQKIGNDQETGKCLAVDETKQHDMEGVVATMSEKIKQLEECQEKLLGVGLESYTVDELQELEKDLDLSLKNIRARKHELLKQQIDHLKKEERRLAQQNAELKKSGSQDSSWENKFEKNSKGEAEDVEIGLFLGPTPERRRSH >KZN10353 pep chromosome:ASM162521v1:1:34854716:34859094:1 gene:DCAR_003009 transcript:KZN10353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLTVAMITYNTITSTQSLKQELSVESKAHVLSADPVIEMPTHSKSSEKKKKRMFHTAVTASGGVYNLWQCRIMYYWFQKFKNGPGSEMGGFTRILHSGFADDYMHEIPTFVAQPLSDGVDQGYIVLHRPWAFVQWLKQANIEEDYILMAEPDHIIIKPIPNLSQDGLPTAFPFFYIEPKKEEAILRKFFPEENGPITNIDPIGNSPELLQKIAPTWMNVSLAMKKDHEADITLGWVLEMYAYAVSSALHGVTSILYKNFMIQPPYDMELGDKYILHYTYGCDFDLEGQFMNGKIGEWRFDKRSYEETWPPRNLSLPPAGVPESVVTLVKMVNEATSNLRNWGS >KZN09439 pep chromosome:ASM162521v1:1:25246852:25248657:1 gene:DCAR_002095 transcript:KZN09439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEVGAQTMPGTPGTPGTPGGPLFTALQLDSLTYERSDRKTVPGCMCFPVDAPAWSAPQTCFSTAVSVPYVSLTRKLGAEFIGTFILIFVATAGPIVNQKYNGAETLIGNAACAGLAVMVVILSTGHISGAHLNPSLTIAFAALRHFPWVHVPAYIAAQVSGSICASFALKGVFHPFMSGGVTVPSIGNGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGAVVMVNILIAGPSSGGSMNPVRTLGPAVAAGNYDGLWIYMVAPTLGALTGAGIYTSVKLHEDEAPLGSRRSFRR >KZN09774 pep chromosome:ASM162521v1:1:29225295:29228202:1 gene:DCAR_002430 transcript:KZN09774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGVLTDGDIIVVGNKIIFSASSISLSPEELGIKEDEEDSIGESPEIESEDATESSNDSTPTHHKHGSQLRGLHFLTRLKKGPVKGIHHLQNNIHKPNIHKPNIHKPNIHKPNMHNIHKPNIPKPSIPSIKKLSKKKSRHLRNSVPELPSTMDSEFYCFESSWLNFSLSDIKNATNDFNRDNLIGEGGYSEVFKGVLEDGTLVAIKRLIRGSVEEMTTDFLSELGILVHVDHPNISNVIGYGVEGGMHLVLPLSPHGSLASLLTGLFPGPISDFGLAKWLPDEWSHITTSQYEGTFGYLPPEFFLNGVVDEKTDVYAYGVLVLELITGRPALDKSQKSLVMWAKPFITNESVEDLVDPLLGSVYDKEQLSSLISVISMCIEESPTERPRMSQVVKILNEGKGGLSRWKKFQKIPALRRGSSVDVSAEDDIDSSVHD >KZN08890 pep chromosome:ASM162521v1:1:17309502:17316832:1 gene:DCAR_001546 transcript:KZN08890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLRLGGVITTSKSIHFPCCSIQSRITPTNTEKNGPDFEQLKSELRGGGTPASASAAAALRADTNNRGGVEVPRQKYIATSKFDLLDAIVMDEEDDVKQQFLLLSSCLDSILHAEHKSILEEMRVDYDLLQATRYKENISGKEEDDLKLSSDVSEPNNSEVAAYEPNGIGEEHLEGQFESILAMPSTVAFDLKYLFDSFKTKNVKKIHDEESRIAIATRFQRAFMQLLHNAQFEELSEADLMLTSALNTDYLLTLPIYVDWKKASDSKAIIFRRGYTTEKQKGLLIGEKLDYLQSRLLLRIFFLVAKPLRKVGSWVAEAVKQSIQRQSTEVWVNKLKLWLEDMPLSRWPYSFDENEFEKEQNVDQISKEDLPIWLAAQRAVNRYEGILSSLGPRSRLLRKFLTWMELIPSVPKRPSKLDPIANTIESHLRPISLPRISLGDIWEPATRKVCGNDIWKMLKAAFSILSSKSSLQEPAFQELILLYTEEMDEIEAMDTSEIPSLQLKIYETIPIPELPVRLDAATIVGLLAFFINYKFEDIKSSPSAVLLDVIAITALIISVSRIVLGYKQTWDRYQLLVNRTLYEKTVASGFGSIHFLLDASEQQQYKEAILAYAILLKEENGQVTCIEVAKDRCERFMYNMFKEKVDMPIHKAINTLARLGLVKETCIDGQTEVEAVPCPAAYEILKQRWNNLLTDIP >KZN10077 pep chromosome:ASM162521v1:1:32404419:32406035:1 gene:DCAR_002733 transcript:KZN10077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAVRNRTISIKLWPPSQSTRLMLVERMTKNLTTPSILSRKYGLLDIAEAAEDAKKIETVAYEAANQHFEKEPDGDGSSAVQLYAKESSKLMLEVIKRGPQPKENGKAIESEEVAVSHNTFFDISGGRRAFIEHEEATELLKPLGEPGSKFTKICFSNRSFGLDAARVAEEFLSSLKDQLKEVDLSDFVAGRPETEALEVMTIFSSVLDGCDLRFLNLSDNALGEKGVRAFGSLLKSQRNLEELYLMNDGISEEAAQAVRELIPSTEKLRVLQFHNNMTGDEGAIAISQLVKNSPLLEDFRCSSTRVGSEGGVALAEALEICTHLKKLDLRDNMFGVEAGLALSKTLPGFGNLIEVYLSYLNLEDEGVIALAEALKKSANSLQVLEMAGNDITVKAAPALASLIAGGDSITKLNLSENELKDDGAILIAQALEQGHSQLKEVDLSTNAIRRVGARALAQTVVAKPEFQLLNIDGNFISDEGVDEVKVVFKSSPAKLGPLDDNDPEGEDNNEEDEDAASDEDDELGSKLQGLEIKHDD >KZN09199 pep chromosome:ASM162521v1:1:21598164:21600710:-1 gene:DCAR_001855 transcript:KZN09199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAESSVSDNGLCTLLEYVGHKGANMSDDLVILFGHLEYASKRIAALVASPFNSSLANYSVDNVQSSERDKPKPLDIVANEIILSSLRKSGKVAVMASEEDDAPVWITDGGPFVVVTDPLDGSRNIDASIPTGTIFGIYNRLAEVDHLPVEEKATINSLQSGTQLVASGYVLYSSATIMCISFGSGTHAFTLDHGTGDFILTHPSIKIPPRGQIYSVNDARYFDWPEGLRKYIDTVRQGKGKYPKKYSARYICSLVADFHRTLLYGGVAMNPRDHLRLVYEANPLSFLVEHAGGRGSDGKQKILSIQPVKLHQRLPLFLGSLEDMEELESYEDVQQKVNPGYEV >KZN10736 pep chromosome:ASM162521v1:1:38541417:38542264:-1 gene:DCAR_003392 transcript:KZN10736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEVLPREYGYVALILGFAGILNFWMSFQVNFARKKYDVQLPTMYALESENKNAKLFNCVQRGHQNSLEYLPMFFIFMTVGGIKHPIIAALLGCIYVVARYFYFIGYSTGDPKNRLTYGFYNFLALMGLILCTISSGVEFLLA >KZN08362 pep chromosome:ASM162521v1:1:5659023:5659697:-1 gene:DCAR_000908 transcript:KZN08362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFRFSEPGCSSQGYGEVEELGPFLTQKGKPELSFNKHSWNKDMGWNTVQEGSKFEWTSNKDRGASLEYMHFIFRKQRQEYPSA >KZN07875 pep chromosome:ASM162521v1:1:349997:351893:-1 gene:DCAR_000544 transcript:KZN07875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMNDCYRADDRILELSPETAGRYLETSAPQLVDWGEIQHLDDSVFEPQQDDLFLNHLHFLTFVSAPTPTPVADLLISAAAPTQQEEQLLFIKEVEYSRKGKGESNSSSAKKLRRTPNFSEKKRRVRINEQLKNLQELVKLNGKVQQLSILDAAITEIKLLQQEVQNAAPAPSGNSDFPNN >KZN07958 pep chromosome:ASM162521v1:1:981134:981598:-1 gene:DCAR_000627 transcript:KZN07958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINMRFPLSYSDFSIPKIIHNTSCFLGLIKELLYVILFYQPCVEAFLDPDIDWPTRLKTRHEKTPLLAVLTRELLPVVKYSELVNPPENCAVCLHEFSDSEEIRKLTNCRHVFHRECVDRWMDHEQKTCPLCRTPFISDDVEEACNNKIRNAA >KZN09693 pep chromosome:ASM162521v1:1:28526008:28526211:1 gene:DCAR_002349 transcript:KZN09693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRLWNLVFSTDWTVYYSPILFQDAGISDKTRLLAATDAVGFTENNIHIGSYILIDKIGRKPCFM >KZN10916 pep chromosome:ASM162521v1:1:40264438:40266210:-1 gene:DCAR_003572 transcript:KZN10916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTNNWWALVFCLITLFSFSAYSSCPIKFSYVKTFPWNTTVCVEPTAKTCCQAIQGLLNLGLSRYLKDTSKFYLPNQDAASSCLTDFKDELASLDLFPSCPNTTKDLVSNLSNCAGIETTENWDEKVRSSGELDLSCNTDFIGLTQCKSCLDAVSKVSSLLTAFDQNSTKCSVYTLLYTAGVVNKRVPDDVRATFCMFQLPLSSSATSDGSKGLSRTSILKIVFGLFGALTGVLGAGVVIIVYRKSESIRKQNALHEEYVRGVKAKVLPNTGAKWFNIGELEQATGGFSQRNLIGQGGYGVVYKGVLSDGTEVAVKQLLDKDTNGDDVDFTNEAEIISKIRHRNLLILRGFCVTSDARGNRRFLVYDFMSNGSLDDYLFNRGSISKREGLDWPVPKSIILDVAKGLAYLHHEIKPAIYHRDIKPTNILLDSDMKARLADFGLAKQSTEGQSHFTTRVAGTYGYLAPEYALYGQLTEKSDVYSFGIIILEILSGRKVLGTSDSSTVLIIDWAWHHVKSRNVGEIFDPIVRDDGPKIVMERFVHVGLLCAHVMVTLRPTIADALKMLESDIDIPELPERPLPLGHESFRSS >KZN10900 pep chromosome:ASM162521v1:1:40132492:40132713:-1 gene:DCAR_003556 transcript:KZN10900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTMTVEVSSVNRTASTEPRHLRKDIPVRTISTRSHTSKDITNYRGKKFRKKRYIEKGLSVLTKKKKVYQKAA >KZN08272 pep chromosome:ASM162521v1:1:4702231:4703030:1 gene:DCAR_001337 transcript:KZN08272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKDMLGRNRVEDVNWLCCLSEPELDLLIDLKMMVLQRAKKIDCDSLVKKFDLKILRALGFILMEHFKENIKNMTNTPDLADICASLDRCNLLKLDDQDGSGSASIEELKILTGNRKRRAVEL >KZN09608 pep chromosome:ASM162521v1:1:27543068:27545521:1 gene:DCAR_002264 transcript:KZN09608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVEYILKLFQEDGDSFIKKAEMYYKRRPEFIEFVEESSRAYRALAQRYDKLSTDLQNANTTIATCLPEQVQFSMEDYDDDYDKINKALKGGGPPKDIGKGPPPPNAPKVPNAPKAPTRSLKGILSDASKKLVKKPVKPTYTQIKPKPPPKSGLTETEASEEVDKLQKDILALQTVKEFVKSSYENGLEKFRKIESEIMEKQTRASRLSDEFGITSVIEDHEARDLMAKTALKSCQEKLVQLQSQQDKSGEEAEAEIKRLEKANLRLKALRQKFLPDEPLDNEIPDDPKALAGTSINQEVGLEGQKLEAMIEKIKELDESSGSMTVTELAEKINELVNQVIYLESSVSSQTVLRNRLQTEADDLDAHIQHIEDGKATTEDGTLSVSKRVKDLEKKLKSVQTLNQNVVKNNTKLQTHFTAVNSGLDKLSEKLQTVKPDEEGTQETEADETKKIQSASPSENQKGEGGAINSDKLTSINISDIDFDDEANLPQMLLNGVEDKDKLLKKEYTTIIRNYKEIKKKILDEEKNSDALFQTTLQVRELRNAVAKRDQEIQSLRNKLQENAPEAGVSTEGEDAHTQPEVEVDVEINSLINETNPVSPFEEKLKSQIDEMLDENLDFFLRLSTTFHQIQKFRSGITDLQEEISAFKTRESKRADGIDIEFKSDVRAVFRHLNEIKSELKVWLEQSESLKEEVESRLSSLCTIQQRITEALKEGAEEDEIAFTSYQAAKYQGEILTMKQENKKVNVELQGGINNVTSLQTECEDAMKKLDEEYGLTENAARNVNKPGIPLRSFIFGGKEKKKKPGLLSYMTPKK >KZN10707 pep chromosome:ASM162521v1:1:38320916:38321538:1 gene:DCAR_003363 transcript:KZN10707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLCFQFYRRLLNGSRRAKLLEKCMLTMVLGAAKILKTREKHLKGIVVVIFQPAGEAGNGEKNMIEDEALNDVETISAVHVFDGCDRFKTGSFACCLWNFQSGHYWKTRPCRESTPLC >KZN08508 pep chromosome:ASM162521v1:1:9417573:9418117:-1 gene:DCAR_031711 transcript:KZN08508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEREIEPSVQMQPWVIVRPRPDITQGTVKEQVKRKFVTAHQLKQQQQKKMKMKHKQTRPPRMILSPRQYKKPVAGNTPHEEPTGNKEPPVNKEPTVNKEPPVNKKPTVNKNSTVNMSKKGSTVCSKTGQSLKNLD >KZN08056 pep chromosome:ASM162521v1:1:1822816:1837920:1 gene:DCAR_000725 transcript:KZN08056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVWTINRLCWCVSAGYFFLLAVSLSSAQYGGIPAESQFNNSESRSWKYLSPSNGSVSCESLEGVGSFDTTCVLNADLYINTDLYVFGHGNLEILPRLSIICPLEGCVLSFNLSGNIKVGEYAAIVAGSVILSAARLSFGPNSLINTTSLGGSPPSQTSGTPSSYEGAGGGHGGRGASCLKSNQTKYWGGDVYAWSSLSEPWSYGSKGGGTSDTHQFGGSGGGRVKLVVKEILYLNGSVMAEGGDGGSEGGGGSGGSIIVHAKKLQGFGTISASGGRGWAGGGGGRISVYGYSNQEAVKVTVHGGLSLGCPSNGGAAGTYFDASVLSLRVGNDNITTETDTPLLDFSTSPLWSNVFVENYAKALVPLLWTRVQVRGQISLLCGGILIFGLSDYQVSEFELVAEELSMSDSIIKVYGEFRVAVKMLLMWNSKITINDSGDTIDASSVLEVRNLAVLRGNSVVSSNSNLAVYGQGLLSLTGQGDVIKAQRLSLSLFYNVTIGPGSLLQAPLDDENSKIEVTKSHCGSQSCPKDLITPPDDCHLNYTPSFSLQICRVEDILVYGIIKGSIIHIHRAATVIVDNDGTITASELGCRNGIGKGNYLNGASGGAGHGGRGGSGIYNGKMSGGGDTYGSPNLPCELGSGSEGPHASYGHVAGGGMIVIGSGQWPLSKLYISGSMRADGQSYDKAVENINGSTVGGLGGGSGGTILLFLQALALVQDSHLSVAGGHGVPFGGGGGGGGRVHFHWSNIDVGDEYVPIATINGTISSRGGTGDSGGLPGEEGTVTGKKCPKGLYGTYCTECPVGTYKDVEGSDASLCTPCSVEYLPSRASFVYVRGPWSFALLLSSVVVFLALSLSTVRVKLVGSSSSYDNANSVERLSYNHFPHLLSLSEVQGTRADETQTHVHRMYFMGPNTFREPWHLPYSPPSSVIDIVYEDSFNRFIDEINSFAAYNWWEGSVHSILTVLAYPCAWSWKQWRRRNKVQFLEEYVKSEYDHSCLRSCRSRALYKGMKLGATPDFMVAYIDFFLGGDEKRRDMVTIIQKRFPMCIIFGGDGSYMSPYTLHSDALLTNLLAQHVPPSVWNRFVAGLNAQLRTVRRGWIHSALIHVINWIQSHGNSQLEFHGVKIELGWFQATASGYYQLGILVVVGDYSPHSLHQSDFLECSEDCPSPSSQKVAPFVQKSFLQPQASQLYTNHALCRKKITGGTNGGLINDATLKSLDVRKDFLFPLSLLFHNTRPVGRQDTLQLLISIILLGDIFVTLLLLLQSFWISLATFLAILLVLPLSLLFPFPAGLSALLSKGPRRASLARVYALWNATSLSNIVVAFVCGLIQYGISSSQPPEEADMWNSMSGEDEKWWLLPATLMLFKLIQARLTDWHIANLEVQDFSLYCPDPDAFWAYEPTL >KZN11051 pep chromosome:ASM162521v1:1:41294555:41297131:1 gene:DCAR_003707 transcript:KZN11051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSISRVLSRSLSSLRVKSPSCHHSTISRPRPLAAVVDTFRQISPAATIARGFATRQTTSSLNDSSPNWSNRPPKETILLDGCDFEHWLVVVEKPEGDPTRDEIIDGYIKTLASIVGSEDEARMKIYSVSTRHYYAFGALVSEELSYKLKELPHVRWVLPDSYLDVKNKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNNDRSRNFERRGNNMQQQRGGPPGGMGGGPPGGMGGGPPGTMGGGPPGNMGGGPPGNMGGGPPGNMGGGPPGNMGGGPPGNMGGGPPGNVRGGPPGGMGGGPYGNMGGGPPGNLGQMQNNMGQMQHGNMGPMGGPSQNMGGAQHRQTESFEQRNMPSNGGYPSGPGPDRGYQNSYPPNTAGGNYQ >KZN07959 pep chromosome:ASM162521v1:1:1005973:1009370:-1 gene:DCAR_000628 transcript:KZN07959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPASYEDISLPKFIYNISCFLDLMKELLYVIFFYQPCVEAFLDPDIVWPTRLKTRHDKLLPSPPAVLTRELSPVVKYSDLVDPPESCVVCLYEFSGGEKIRRLINCRHGLIVYVYRGDLQTLVGHLVNYMVILLVGAPDLAPNTPRVILSDMNNSKRLARDESPSPTLFSRIWDHDLGMMSDKSAKKKSRRLPPRYESKTRSPFNASLLQTPLQTPVCQTERSTFTTSRSPLTPLSVNTNILNNDSLIPQKKHPSSASAKKHSVCVANGDKLKERIIKQSRQQNFSFPETQEHIGFSDTVELMSDDSDAYSDPDYREEFLDSDPPTAASGNVYNS >KZN10827 pep chromosome:ASM162521v1:1:39381039:39382058:1 gene:DCAR_003483 transcript:KZN10827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQGSSFPTDEATQRKHAAMLDRLSRLHDSRNSSKSRDPNDPSFESTQTFLTNFNNSKLSIESQLSQIPKSCDPRSDIATLSVSISELETLISQNSHFLPPYELRASLKSVADLRQNLDEVSCRVIPKKKFSFKSKKASGNVSLESARKVLDEVPAAENVGVFGVRDSVGFKGKENEVLVKEFKSGEEEIGEFVLSDLVNCEVRLIGCFRALFVHKLVNCRVYVGAVFGSVLIEEVEGCVFVMASHQIRIHHARGTDFYLRVRSRPIIEDCSGVRFAPYCLGYNEIERDLKKSNLDEETGNWANVDDFKWLRAVQSPNWSILPECDRIATVNISGSEQ >KZN10672 pep chromosome:ASM162521v1:1:38098266:38099613:-1 gene:DCAR_003328 transcript:KZN10672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVLKDQDASCCNRPDSYGMRRLSYNRKDISFSPYGEYWREMRKICVIELFTVKRVRSFQHVRDREIAKFVNILSEEASDPDNKSIQLDHKIYSLAKNIICGIAFGTDFEHEKLKEQEIHKTLQDALLVISGFCAADFFPYYGWMIDILTGFRQKLENCFHEFDKFYEAVIQEHLQPSRPRLDHEDITDILIALSNDKTGPLRLTKDNIKAVFMDLFLASIDTTSGTTVWAMSELAKNPRVMSKVQTEIRKVIGNKNQVEESEIEKLKYLKMVVKETLRLHPAAPLLLPRESMQYCKIGGYSVYPKTRIFINAWAIGRDSNTWLNPEEFYPERFEDSEIDFKGQHYELIPFGAGRRICPGMTTGLTSVESILANMLHCFDWQLPRGMKPEDINMEEVVGLTINKKFPLQLLPVRRIN >KZN09476 pep chromosome:ASM162521v1:1:25644967:25645872:1 gene:DCAR_002132 transcript:KZN09476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRPDTLSSSKHEMPLLALNHVSYVCKSLATSVKFYEDVLGFVLVKRPSSFDFEGAWLFNHGIGIHLLEVKSAETNKGQKINPKDNHISFQCTNMDLIIGQLEAMRIEYVTAVVTEGGVVVDQLFFHDPDGYMIEICNCQNIPVLPLSDHASCPLPKSTTPANKTFASSSGKMSSERQICREVEAVMMENLIVGMMDIYF >KZN11598 pep chromosome:ASM162521v1:1:46101094:46107936:-1 gene:DCAR_004254 transcript:KZN11598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVHKNDRPKAVDILVKDLKVFSSFNEDLFKEITQLLALDNFRQNEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLAFPTFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFIDHTCASSNGARAPPPANSPLAGPVPKAGVFPPLGGHNPFQPIVSPSASAIAGWMSSPNPSMPHAAVASAPPGLVQSPGAAAFLKHPRTPPGGPGLEYQMGDSEHLMKRMRTGQSDEVSFSGHPPHMYSTDDLPKAVVRILNQGSNVMSMDFHPQQQTVLLVGTNVGDISIWEVGSRERLVHKTFKVWDLSACSMPLQTNLVKDATISVNRCIWGPDGSILGVAFSKHIVQIYAYNPAGELRQHLEIDAHIGGVNDIAFSHPNKQLCIVTCGDDKTIKVWDAVSGRRQFIFEGHEAPVYSVCPHFKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKEGESHLVEWNESEGAIKRTYSGFRKRSLGVVQFDTTRNRFLAAGDEFQIKFWDMDNNNILTVIDAEGGLPASPRLRFNKEGSLLAVTANENAIKVIANSDGQRMLRMLEGRAFDSSRGFPEAVNIKPPFSGSLGPNVSNPIQPVLDHSERMQTPLSIGNLAAAESSKMADTKPKILENADKMIAWKFPDVTESTQLRAIRLPDPLSASKVVRLIYTNSGLALLALASNAVHKLWKWQRSERNPSGKVKIKLKGHQKPITGLAFSQALNVLLCVWNIDGWEKRIARPIQTPSGQSAPLAGDTRVQFHNDQTHLLVVHESQIGIYDSQLECLRLWSPRDSLSAAISSAIYSCDGLLIFVGFSDGAIGIFDVDGLRLRCRIASSAYIGSSISRYANRTSE >KZN11753 pep chromosome:ASM162521v1:1:47869606:47870034:1 gene:DCAR_004409 transcript:KZN11753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVKRFLPPSDGGVRLELSPTTASPLALDVSETTETRIQRLISENPVIIFSRNSCCMCHVMKRLLSTIGVHPTVIELEEDEIGALSAAVDENDRGGAGAPVVFIGGARVGGLESLVALHLSGHLVAKLVEVGALGGMNMVL >KZN09594 pep chromosome:ASM162521v1:1:27465884:27466033:-1 gene:DCAR_002250 transcript:KZN09594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFLDPSIGECRFLVGVTEYSKSLGCGLDNRWATGLLLIIWVVWVLMK >KZN08972 pep chromosome:ASM162521v1:1:18472410:18474802:-1 gene:DCAR_001628 transcript:KZN08972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP707b-1 description:abscisic acid 8'-hydroxylase 4-like MGLPYFGETLQFYSQDPNVLFAAKQKRYGDVFKTHILGCPCVMLASPEGARFVLVTHADLFKPTYPKSKEKLIGPSALFFHQGQYHAQLRKLVQSSLSPEAIRKLIPDIEATAISSLELCAKQRVANTFEEMKKFSFEVGILSIFSQLNSSYKTELKKNYCIVDKGYNSFPTNLPGTAYQKATRARKRITQILSEIIRERKEKKLLEKDLLGHLLDYKDGNGQSLTEDQITDNIIGVLFAARDTTASVITWILKYLHDDHTLLEAVKNEQRMIFESNNRGMLPLTWAQSRNMPITSGVILESLRMASIISYTFREAVVDVQYNGYLIPKGWKVMPLFRSIHHNPEFFADPQKFNSSRFKVSPKPNTYMPFGNGVHACPGNELAKLEILILIHHLVTKYRWEVVESNDAIQYCPFPVPLHGLPAKFRKDQGHEVLK >KZN10903 pep chromosome:ASM162521v1:1:40171081:40171644:-1 gene:DCAR_003559 transcript:KZN10903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFDRAKTVRLRTVQDKFLVAEDDEETVSQSRHDSSKNSIWNVEVIIGGKYLRLRSCHGTYLTASDQLFPGMIAKKVVQSELKSIDMSKTDWEPVRDGFQVRLRTRTGSFLKPNGGVPPWRNTVTHGMPQTSRTHEKVLWSVDVVEALPGPHRRSHSADNKSPSGCCDDRKSALRESHRFTTTEDL >KZN09994 pep chromosome:ASM162521v1:1:31477875:31479095:1 gene:DCAR_002650 transcript:KZN09994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARLKSCTSNKSRSQLKSFRSHEAVLNNEDLLTLILFRVPWTQLKLAALPKHPDNVYFMDHIGLAFEPSKSPHYKVIAFIMTTRGNLFGDFHIYSSETGTWRVSVQSFSTRGMHFKHGVYWNGSIHWLSRLESPLHSKSSLSECLYFNVDQGRLGTFPRPPIHLRSRTERTVYFGESEDHLHVTEVRVGDTSLSVYEMKSDYSEWFVKYQIDLDPIFKVFPQRRARSFYKATSDDKIAYEFNVLSLIRRENFREDSFLVLEIHGQVIRYNLINRSFKLVRDLGVCLRATVSYWPFTTIHVWPYIEFL >KZN11026 pep chromosome:ASM162521v1:1:41148817:41152432:1 gene:DCAR_003682 transcript:KZN11026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTFSTSLHRLVLIFLTILPTYDSSYLNYPDALSKSILFFEGQRSGYLPGDQRLSWRQNSGLSDGYAETTELTGGYYDAGDNVKFGFPMAFTTTMVAWSVVEFGDLMPPGELRNALVALRWATDYLLKTVSIPDRIYVQVGDPVIDHNCWERPEDMDTARTVYKVDAPNPASDVAGETAAALAASSMAFRSSDPGYADTLLRTATRVFAYADTYRGAYSDNANIRDGVCPYYCDFDGYQDELLWGAAWLRRASQDGSYLNYLQNNGKTLGADDNINEFGWDNKHAGLNVLVSKLAPDIFIKMNWDFDCMQEVLQGNIYELQSYKASADSFMCTLIPESSYSHIDYTPGGLIYRPGGSNLQHATTISFLLLVYANYLERSSQTINCGSISGGPSMLRKIAKRQVDYILGENPKGMSYMVGYSNYFPQRIHHRGSSLPSLKDHPQFIGCKEGSVYYNSSDPNPNVLVGAVVGGPGEDDTYEDDRVDFRKSEPTTYINAPFVGALAYFAANPNPV >KZN09593 pep chromosome:ASM162521v1:1:27464457:27464760:1 gene:DCAR_002249 transcript:KZN09593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQNKTLSISLIWLIVAASFMMCAYASAETSARPQNADPRFPSLAGCRCCNFILVKNFIQCGTVCCKDGCCGRK >KZN11255 pep chromosome:ASM162521v1:1:43061592:43062864:1 gene:DCAR_003911 transcript:KZN11255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPTGFKERLEQMELCRNQRLSLLQAEKEVQFTKSQVLASKISAIKLADHRCLNLQQQIASKHFVISSLKSRIDCLDSQYVDVSQQFRALKSEVQELEELEREKDKYFVSETKEMEGFKVELEKFAVESRKQVQELKNQVEQDDYCNGRSRGDDSKMYVVYGHLVIISGFQDFKVDVENICTPLAELEVL >KZN08397 pep chromosome:ASM162521v1:1:6145122:6146280:-1 gene:DCAR_000943 transcript:KZN08397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSKTKLEEGKIHVYRPLHYLGLAAVALAIFPIFMKAVVALRYFNLSNINILVLITVIAETGERVNADQVQLNTILSIKPGDVIPIDGVVVQGNCDVDEKTLTGEWSHSLTPLQLMDDAIMMMISWILQMELVVHHVAMLIVQVQK >KZN10472 pep chromosome:ASM162521v1:1:36015017:36022315:1 gene:DCAR_003128 transcript:KZN10472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNAGVNMQIPNGAVHAIGVALNEVSVNEIEALYELYMKLSCSIINDGLIHKEELQLALFRTPFGENLFLDRVFDLFDEKKNGVIEFEEFVHALNIFHPYAPLGDKIDFAFRLYDLRNTGFIEREEVKQMVVAILKESETQLSDELLEAILDKTFADADTDGDGKISKDDWKAFVLRYPTLLKNMTLPYLRDITTVFPSFIFNTEVEDAESKAL >KZN11317 pep chromosome:ASM162521v1:1:43655511:43655765:-1 gene:DCAR_003973 transcript:KZN11317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKLVHILNPPLKDMMNKGVCNSGGWLESNPNEYMHHGHLQLTLLMTPLDCPLISTIPSGVEIRNFTFTPPNAYSVNCDMEH >KZN11526 pep chromosome:ASM162521v1:1:45462863:45480771:1 gene:DCAR_004182 transcript:KZN11526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDEASDKTLVASSEVGSENGGVLEVGAGVEGDMGDGAKKVSDSDASGEVGSENGGILEGEIGVKGDMGEGVKRGLDIVASGEVGSGNDVILEGGVGIKDDNGDGAKRGFDIVASGEVGSGNGLILEGGVGGKDDKGDGVQRGSDVVTSGEAGSGNGVILEGGVGINDDNGDGAKRGFDIVASGEVGSRNGVILEGGVGGKDDKGDGVQRGSDVVTSGEVGSGNCVILDGGVGVKDDKGDGVQSGSDVVASGEVGSGNGVILEGDLGVKEDSSDGVQRGSDIVASSEVGNGNAVNLESEIGVKDDGVGRVSDLSGEDEEMKSGVGRVSDLGGDGKEMKSGLGNDIGLQVLKGTVAKQKGEVNGVESDESDYGDYDDDGDDTDIGDQSCEYRVGDFVWGKIKSYPWWPGQIYGESDASEYALKLKQKDRFLVAYFGDGSFSWCGPSQLKPFVENFKVMSEQSNSKNFTYAVEAALDEFSKVVERDMACSCLAEKNGKLEASMASNSGLKVGVLVPAGVGISFIAQYNPKKLIDSLRNYAETASMKNLLELTVIKSWLTVYGRAKGGYPLPLYHYPRGIEGLEDKSGNPVKVSAQGPNGDEWLSIPVEAADSGLDLSNQSLLSKCQEASGDIQYQRRKQKSVAELIEDEMRKEEGFVNESVSNVVSSGKRGRRQKAVASRSPRTGGEKITARESKVFGEKEADEPPPSGTLQSKILSGGESEGDEETAKSNRLRERKRSKYLSPPFTNPSQIMKISSFKRDSQTESVKNSRVGERMARVAGQLIGLQSPSQTEFPIVSSKNNAKSGSSSPETALQDDRKTNISMHLTASPVQLLSQLQSAAADPSCLIEKSEVDSITENIISFRNKVQQKGEPGSMKRKPECVFQTIENGIDGQDFKMPPAKRAASGCETMTNVEDASEETPVTLILTFPPAYPLPSRNELIKIYGKFGPVDNSETGVWYNSFSARVSYLRRSDAEEAYKASVEKNPFGDANVNYRLRERSSLDNGKATQSCDDEATQLGLIREKLKMVTSIVETCGGKISVEFKSDLESEARKNWREGTPSNVVDPIRRNTSGSIHEMQFTSGYCKDGHKTRTIIIVVVVIAGVVLVLLAVVGIFKRKQKQRTPSNNRFPNENVDDMSTAKSLQFEFNSQKRSYLDWERRYKIIGGIARGILYLHEDSRVRIIHRDLKASNVLLDAEMNPKIADFGMARLFNLDETQAVTSRIAWKNWREGTPSNVIDPILRNSSGSIQEMIKCIQIGLLCVQENVADRPNMASVVLMLSSFSITLAVPSQPAFFMESRIGQEMPLSADRTNSRASDRSSSSKGNSDKYSINEASITDPYPRKREKTFPVDLSVKFTSVHDSFHASCTDGTFTKSVSVIRSDFYNVACGRDNKVNYTSTSIYKRNLDTVQATLISAAKKSSSGFYNASVGEGLDQVNALVYCRRDVQPDICRSCVKDSMNKLRELCPSTKEADIWYDECILRYSNASIFNKVETWPTVYWQLQNNVTDVIQFNKNLRDLLDKLKGRVAQEKFATGNVTAEDVFSTLNHEYFFSTIYGLMQCSPDLSLKQCTNCIDQLEVFLQSCCSGKIRGHITNPSCDIRYETYRFYNETLVNAPPPQSQLPLKPPLVPPPAAGKDDSNKRMVLIIVVVIVGFVILLFVMVCVVKRRREQRTYIGRSRIAVIPKIILETAQKILSSTLSMRLQSQIYIHDNKTQKRGNDPEVTLVTDNISSRGSNRSSISKENSVNVSVIRSDFYNVACGRDNKVNYTSTSIYRRNLDTAQATLISAAKKSNSGFYNASVGEGLDQVNALVYCRCDVQPDICRSCVKDSMNNLRELCPSTKEADIWYDECILRYSNGSIFYNVETWPTVYIQFQDNATDAIQFNKDLNDLMDELKGRAAQEKFATGNVTGEDVFSTIYGLMQCSPDLSSTQCTICIDQLKGLFPSCCSGKIRGHIFNPSCDIRYETYRFYNETLVNAPPPQSQLPLKPPSVPPPAAVKDESNKRMIIVIVVVIVGFVMLLFVLVFVVKRKREQRTYAGRSRNDNDDVEDISTIESLRYDFSTIQAATNSFSDSNKLGEGGFGSVYKGAFQNGQEIAVKRLSTGSNQGQQEFINEVILVAKLQHRNLVRLVGFCFEGSERLLIYEFMPNASLDHFIFGCISVSWSKYIIHSCGTSGNYTSNSTYEKNLDRALATLSIAANLSNSGFYNASVGEDQDRVNTIVLCRKDFQPDVCRSCVNDSIIRLKELCPDQNEAVGWYDECTLRYSNRVILNNIETLPAWSYYNSESATDVAQFDQDLQKLLSNLKVQAAGKKFATGNVSGPDFLTIWGLMQCTPDLSSTQCSDCLDTVTEQIPLCCSGKIGRQIFNPSCKLIYDIRHFFNEISPVNAPPPRQQLSPPSPPSVIPGRDKNTKRTVLITAVVVSGLAMLLIVLFCIFRRKTKHRINTETVHHGSVEDIISIESFQYDFGMVESATKSFSDSNKLGEGGFGAVYKVNK >KZN10190 pep chromosome:ASM162521v1:1:33421948:33422418:-1 gene:DCAR_002846 transcript:KZN10190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLPLFFLLSLLPLLSSLAAAAATPTVYEVLQQYNFPVGMLPVGITNYELDRSTGKFKVYLEKTCEFFVQDYRLRYKSTISGTISDRKLKNLSGISVKILFLWLNIGEVSREGDDLEFSVGVLSAGFYIDNFVESPQCGCGFDCNGGAGLLKREM >KZN11309 pep chromosome:ASM162521v1:1:43612166:43615016:1 gene:DCAR_003965 transcript:KZN11309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTQDVEDKQLGEVPEDALPKVEETREEMLSRHRKEISQLQNKEISMKKAAAKGSKAEQKTKKKQVEEEIAQLSTKLKEKHAEELALLGYSSNNGKEKGNLDTLVKAIAGVSVKNQADHSKPSKSVKRREKRAQEEAAREQRIQEEQSSIVSDRMIEDEKLEKKLEPLGLTVNEIKPDGHCLYRAVENQLAVLSGGSSPYSFQELRKMVAAYMRKHAPEFIPFFLSENQASGDSDDAVAERFENYCKEVESTAAWGGQLELGALTHCLRKHIMIFSGSFPDVEMGKEYRSDNWTASSGSSIMLSYHRHAFGLGEHYNSVVPV >KZN11695 pep chromosome:ASM162521v1:1:47052357:47056893:1 gene:DCAR_004351 transcript:KZN11695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKNARYLNGTRSDERGVFVEPTESFVITDDLHVIPNIPASTIAILESSGFTDFGVLKEKTFEIGYTEMLDLLKYSILSKTPLTNMFLRKNNRIMNNVVPTYNCQIKTDGINSFKNMTVKVLVQKSSKKILLAHCTEDFIDFLFSFLGVPLGRVISMLSKNNEPALCVQNIHQSASELEVGEFLKSQEKKDMLLCPQLSMLYLFSKQLFKDDKFPKLYGSPSTLKEYYYLSSYDDSSSREMILANPTGEKGFLKGTEKFMVTDDLVVTPFTTMSCIKYVQSCGVPPNDSEEQVISIGAKEDLKNKILANAIKAFNPLRLRVGGSLEDQTVYKVGLVTKCPHFKNNMNVRRFGMSNGCITMERWDQLNHFFNETGAKVTFGLNALRGKKLENKNSTLWVGNWNKLNAQEFMKYTISKGYKIDSYELGNELSASGVFARVNAGQYGKDMIILSNLVKKLYANFSDQPKILGPGGFYDKEWFNEFLRVSGPGIVDGLTHHIYNLGAGDDPKLINNVQDPFFLDEIAQTFKDISDSIKQYGPWTGAWVGESGGAYHSGGKNVSNTFADGFWYLDQLGMASTFDHKVYCRQVLIGGNYGLLNTTTFAPNPDYYGALLWHRLMGPRVLQVSHDGSPYLRSYAHCSKDSERGDITVLLINMSNSTTFTVNTMNDLNLFPSEHTERLPLREEYHLTPEGGNIQSQVVLLNDTPLKLTKTMEIPNLNPALVLPLDPIKVAPDSFVFAVLRGFGAPACSSS >KZN11931 pep chromosome:ASM162521v1:1:49485134:49490420:1 gene:DCAR_004587 transcript:KZN11931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVASAPGKVLMTGGYLILERPNAGLVLSTNARFYAIVKPLYDELKPGSWAWAWTDIKLTSPQMSRETTYKMSLKHLLLQCTASRQVYDSRNPFVEYALQYSVAAAYANFDSDKKNVLHKLLLKGLDITILGSNDFYSYRKQIEALGLPLSPESLATLTPFTSITLNAEESNGETSKPEVAKTGLGSSAAMTTAVVAALLNYLGVVNLSSSSEDQDMMETTDLDVVHAIAQTAHCIAQGKVGSGFDISSAVYGSQRYVRFSPKVLSPAQDAYEGKPLDEVISEVLKGKWDHERTKFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKSDPQRSRDTWTKLSDANSALEEQLNLLRKLAAEHGDAYQCVINNCSIRKSEEWIEQASEPRQVEIVKALLESRSSMLEIRNHMRLMGEAAGIPIEPVLQTQLLDATMNTEGVLLAGVPGAGGFDAVFAVTLGDASRTNLTKAWSSHNVLAMLVREDPRGVSLESNDPRAREITSALSAIHVE >KZN11421 pep chromosome:ASM162521v1:1:44508677:44509897:1 gene:DCAR_004077 transcript:KZN11421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEQCFNKDRKPISGAASQNSEKTLPCFDCNICLEFANEPVVTLCGHLYCWPCIYKWFYYQSASLASDEQPQCPVCKAEVSHTTVVPLYGRGESLSETESQGKASNRGINIPQRPPALGTETLVTASNHTHQRLPYRNPYQNLQHSSLAYDDYEEDNNSSSARLSTRMDPSNVAVQMFGEMVYARVFGNSQSLYTYPNSYHLVPNSHPRLRRQHMQADKSLGRVLMHTAYDQKLTVCEGDMERQCQFRGSENSSF >KZN11843 pep chromosome:ASM162521v1:1:48667232:48667785:-1 gene:DCAR_004499 transcript:KZN11843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKGTHDEGPYNPEIRISCDDELYFLHSPGPHDTSHGCSFSRKSEGEPLSARMRAVARFLKDRSSFTPVIERD >KZN08411 pep chromosome:ASM162521v1:1:6390307:6401172:1 gene:DCAR_000957 transcript:KZN08411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQIKVAQAVQVLNHDAESCNRVAANQWLVQFQNTDAAWEVASAILTCDHHLPFVSDFEAEFFAAQILKRKLLTQICLALSALILHMMEHSKPIEKLFYSLQNLQSQENGNIAVLEMLTVLPEVVEDQSTYIGRCLYGQELLSHTPMVIEFLLKESEKYIDGVVQLHERNRKLLRCLLSWVQAGCLSEISAASLPAHPLLSFVFNSLQVSSSFDLAVEVLVELVSHHKGLPQLLLCKVGFLKEVLLHPALNNRDEKVIGGIACLMSEIGQAAPSLIVEANPEALALAESLLSCVAFPSADWYIADSTLPFWSSIVSSILELDIHSEKRKSVEDVFFSIFTALFDALVLRAQVDDSTLDDADETVDLPDSLLQFRSNLVEVLVDICQLLKSAPFIQKIFFGSWVSSNLHIPWKEVESKLFVLNAVAEVVLQETQTVNLSVVTHLMTILTSRNSEELKGFMCIVYKAVADVVGSYSRWFTAFQTNVRSLLLFFATGISKSMCSNACALALRKFCEEATIVMFEPSDLDILIWIGEGLEKSHISQEDDEEIFNAIAVVLASVPNKELKNNLLVRLLSPSFEALAKLTAEDSQNSLSRNNGINTREINSAARGLYRMGTVFSQLASRGLVGCALDDSILILLGVFWPLIEKLFCSEHMDNTNISTAACRALSQAIHSSSLHFVSLLPKALDCLSTKFVSSRSHDCYIRTDTVCTATSSA >KZN11342 pep chromosome:ASM162521v1:1:43814731:43816474:-1 gene:DCAR_003998 transcript:KZN11342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQQDLNSGEGKKNPGIDIMVDQSEDEVESALRMKDGKQPRSNAFPSPISQSSGYLQALDEFENALTGSGRNYGSMNMDEFLQGIWSTEGNIIQAQNSDIAMPDVVPIISASNNNPLYPSCETSNERGNTAGQMSLKRQGSLIVPPPLGQIRTVEEVWSEINRTQQSINSHDGSEKSYPANEKLTLEDFLVRAGVVREASGRQAQPPPPPLPPQLPQLQPQPLFQIASGIQIGGDNLAACFPGGIGSVSANQLAYSVYGGGVTDNGYGAQSSENMSHVSSDGIGMSQLGRKRNGPGKKVIENRQKKMIKNREAAARSRARKHAYTIELEAEVNHLKVENAYLEHRLAELRTGPMKQYAEADKAEAQARAKAKLGILRRSLSSTF >KZN11522 pep chromosome:ASM162521v1:1:45437427:45439486:1 gene:DCAR_004178 transcript:KZN11522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKLNPNRKERAIHRDSLPSATVMFPSLLFLFFLEYCNAEFVESFGNSDGIKEHGSRKRFQELSSILEPGRPPKMDKCVILSDAVRMVNQLRDEAKKLKESHEELQDKINELKAEKNELRDEKQKLKAEKEKLEQQVKGLHPQPGYMPPPVPSPFPGPGQFFGGKMVPFMGYPGVPMWQFTPPAAVDTTQDHVLHSPVA >KZN09697 pep chromosome:ASM162521v1:1:28553751:28555811:-1 gene:DCAR_002353 transcript:KZN09697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTELCLGLPGGCEAGEIIKTTGKRGFSETVELKLNLQSDASPQDLKHDKPKNSTMEKNKDQVIKPPAKAQVVGWPPVRSYRKNVMAVQKSTTEEKSPETKVASAGSISTGAAFVKVSMDGAPYLRKVDLKVYKSYQELSDALAKMFSSFTMSNYGSQGMIDFMNESRVMDLLNSSEYVPSYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIVLAPRAMEKCKRRC >KZN10885 pep chromosome:ASM162521v1:1:39929769:39932077:1 gene:DCAR_003541 transcript:KZN10885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQSNCAALSLVLIKIRPYLAMVSLQFGYAGMYIITMLSFKSGMSHWVLVVYRHLVAAIAIAPFALVFERPVIDQNLYYVGMTYTSATFTAAMVNALPALTFVMAIAFRMERVNLKQKHGLAKVIGTCITLCGAMVMTLYKGPIVNMFGSHQVNLHSSSSASAGAQQHWVAGTVMLLFSVIGWSAFFIVQSITLKEYPAELSLTALICVMGTVEGGIVALIMERNNMSAWIVGFDSRLFAAVYSGIVCSGIAYYVQGFVNKIRGPVFVTAFSPLSMIITAILGAIVLGELLHLGTLIGAIIVVTGLYSVVWGKSKDENLVTEKGKAMELPEVWEDVSRPGNFVDDVINGHPGKPNFAVKHLHPEEP >KZN10275 pep chromosome:ASM162521v1:1:34114714:34116594:-1 gene:DCAR_002931 transcript:KZN10275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADEAIHLLGFPPNSRPSPSQVKEAYKKLVWETHPDRFPLPQKPQAECRFKLVSEAYTYLLSGVRQEGSATGY >KZN10987 pep chromosome:ASM162521v1:1:40875932:40876771:-1 gene:DCAR_003643 transcript:KZN10987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHSYNISIICSLSPSPNHGSQSTSIKNPHTYLKFRTSHMQNLRYLKTIGIINPETTPHKNPSPETIAHLLSTVNFLKSRGFSEPDFPRLAFLCPMLFSATFDPAQVEPVFEFLYSDVGASLEESCSLVLRCPGLLESNVEFCLVPTLVYLKSLGLEKLNLPTTLNAHLLNTRVEKLEEKVMFLQSVGFSYEESARVCARLPAIFGYSIEHNLRPKLEFLVREMERSIEEVKDFPQYFGFSLSRRIAPRHFHLKDRNVKIKLNRMLLWSDNRFYAKYK >KZN11777 pep chromosome:ASM162521v1:1:48005769:48007920:1 gene:DCAR_004433 transcript:KZN11777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFTVPTNMDCPNHIIEEDKFLCSTSISGYQSNLHNLNEQSQIMAEFPALCLTQGEFLNHLQWHPHLNYHGEIPSYTAFTSRSSQQERVPDSTGRLYPTSNTENQVKYMGGMATFGAAHANLANFNGKETPPACAQEVMKPFVSNDYDTGRSSLGTFGNHEERNVNVRSKRDSHNNLAPQFARPVPEITEFEPLITMGNMDQNGWVSAENRSMSSDYTSGSSKYSNELSPSLSVSQPAAIRTITFPEHCSEISGSGSEQASCNISNFSPNLSYQPVQLSSLLSGSRFLTGLQEILSELATYCFKNSGRVGYFAGRTSSRINIPHASSQDAERSYTPMDPDSSNDDNYLPTRGQEVETRKNLLDLLQMVDNQYNHCLDEIHKVISAFHSVTELDPHIHAHFALQTVDMLYKNLRERISKSVLALGARLHDGSRKEEEMPFETSFIQKQWALQQLRRKEHQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRIWKPMIDEMYSEMNRRKRHQNNEEIDSHQRNHISIDGHRFRMF >KZN11208 pep chromosome:ASM162521v1:1:42608605:42628439:1 gene:DCAR_003864 transcript:KZN11208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPLVAGEGSVELSSNAKQKLPKLTENAKRTTHIAKPTVTGPIGTAKKRTELKGGSELRSNVAKPTTGKAKLTATSGGLSSVPVTRRKSTGGLPEKQPVSASKRQNNASSAVGGKPTSASSSEPLRRSLPEVRRSSLSSIVAKPSTRPSVSDTRNAISSSQVSRTSRSLLNSDVGKRDATKRSALNSSTSLSTSQMSLDSTGSSTFRRAVSKVSSPSSRSPSISSGPKISSLSTSRDRNSNLSDRKKSTRADSRDSNFIRLPQVELKAGDDVRLDLRGHRIRSLNANGLNLSPELEFVYLRDNLLSTLEGIEILKRVKVLDLSFNDFKGPGFEPLENCKALQQLYLAGNQITSLVSLPELPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNRLTTLKGFPHLPALEHLRVEENPILKMSHLEAACILLVGPTLKKFNDRDLSRDEKAIAKRYPAHSSFCIREGWEFSRPEHAVDSTFSFLVKKWEDQFPPGYLLEEASVDQPFEEDACGCHFQFVKDKNVNTDSELVLKYQWLIGDRTPFNFKAIPEATGEVFWPKHEDVNKILKVECTPILGDIQYPTIFAISLPVAPGTGYPKVLKIDVRGELVEGNIIRGYPEVAWCGGTPGKGVASWLRRKWNSSPVVIVGAEDDEYQLTLDDIGSCLVYMYTPVTEEGSKGEPQYAITDYVKAAPPSVDDVRIIGDVVEGTTIRGVGDYFGGREGPSKFEWLRENKDTGEFELFLTGTTEYTLTKEDVGRRLAFVYIPTNFEGQEGKPLTTTSQVVKQAPPKATNLKIVGDLREGSKVTVTCNVTGGAEGSSRVQWFKSSCAIFEGEKGLEAVSTSKIAKAFRIPLGAVGYYIVAKFTPMTVDGESGEPAYTIAEKAVESQIRVGGLHGVIILKVVMYYHMCCEHLCKLTALKFMFEIDTDSGTLIPEASGSLQYRLSKDAIGKFVSFTCTPIRDDLLVGEPKTCMGQERVRPVEGNLLRVDKKYWGGNEGESIFRWFRTSLDGTQTEIKDVATSSYMLSGDDIGYSISVSCEPVRVDWARGPTVLSELTGPVVPGPPTCLSLAFQGSVVEGARLTFIASYSGGEKGDCQFEWFRVHYNGSIKEKLIAGEYLDLTLEDVGSSVELVYTPVRKDGVKGSPVSIVSTPVTPADPVGMDLVIPNCCQNEMVVPQKTYFGGQEGEGKFIWYRSRIKLEKSAFVDISNVHEDLVICGSSLEYIPSLEDVDAYLALYWLPTRADGISGSPLVSVTNLPVSPALPVVSDVHVRKVSSTTYSGQGVYFGGYEGESLFSWYRESDDGKIVVTSGVDCKTYEVTDADYSYRLLFGYTPIRSDSLVGELRLSEPTEVILPEPPIIEMLALAGKAVEGDVLTAVEVIPKSETQQRVWGKYKKEIRYQWFYTSETENINSFEQMPSQRSCSYRLRFEDIGRQLRCECIVSDVFGRSSEPAYVNTATISPGFPKIDKLEIEGRGFHTNLYAVRGTYSGGKEGKSRIQWLRSMAGSPDLISIPDDQVDVEHGNNLSCNEKKDSTDKRLMEQRIYCTEIVIDSKSQSNVAVWKPSFFMYKTKPTAWLGNYLTIPSVDSLEGETGRMYEANVDDVGYRLVAIYTPVREDGIEGQPVSASTDSIAVEPDVLKDVKQKLESPSSVKFEAILLTS >KZN11411 pep chromosome:ASM162521v1:1:44418676:44419002:1 gene:DCAR_004067 transcript:KZN11411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNYVLLFFLVAFSALAVAESSYFRKNSVILPHKLATPEAHGMGKVHEITERHRRVLAGSSHISYSALSANKVPCNNRGQSYYNCGATGKANPYQRSCTAATRCARH >KZN08557 pep chromosome:ASM162521v1:1:10407052:10411238:1 gene:DCAR_001087 transcript:KZN08557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSVVLKKWHSRTRAMERDVELFTRKLYQQILSGVEVNAELLAPLHSSTTDLARMLSSNVNDPELSFSKIKSRVAPLMFQAFSTIPYVSQVSFIRKDGLLFSYYNQENQQPVAVYTNTSLPSADNSSAANSNLTCYSQPVNRDTGELYGVVTPSRLIDPSLLRLALQSPNGNASVGPSWIDGQDLLFLNTAFVDGRGAVSIGFERKAIVQSLSKGISNDGTLFLAASGGKVLNQAKIQNTQILTNDNGSVSFELNQGSAKVGDIVGSLTCRENDGTLQPKTITISKTKYDAYCSQVEIIGVQAVFVLVMPLEGPERSLHKNFNESYQYLLGAICLMFLFTVVFVIMIFGASQRLIQLRAALVKQREATAQAERKCIKRSTNYASASHDVRGSLAGIIGLIEICMTQVDHGSDLEANIMHIKTCSSDLLGILNNILDRSKLEAGKVPLEEEEFEMSKLIEDVADLFHAVGMKKGVDVVLDLSDGSVNKFDRVIGDRKKLIQIISNIVSNAVKFTSEGYVSIRAYARKPSLSSRLNSTRKGPLSWLSCFQFPNIDSFTEDEVTVNNIRGDENCMEYVFEVDDTGAGIPKDKRETVFENYAQIKETAAKQEGTGLGLGIVQSLVRLMGGDIEIVDKEVGKKGTCFKFNTYLLTCETDLRTSSSRAQYEDIESNVGGYRSSAESYSVRNMQSNSPSTEGSQVVLFVQNEERRKVCKKFFERQGVKVYAPRNLEELSTNLKRIRHRRVLSQSRSSKRSDALLQSIAVVRNTSTRSKEVPLSSLDGTDQETPPTHRRSSARGSVVPNFILVVIDTSGGPFHELSRTVAEFRKNISPGCDSVVWLDRPGSGFIQLQGLNEDKLPPTDIIISKPFHGSRLYHVLKLLPEFGGEMPEPIPPSVNSDSLTISSATDNAANEASTSASQQRPVESPSASNLQPHQIVENRDVDKPLSGMKVLLVDDEPLLRKIAIAVLSSLGVTTEICVDGQEAVQTVCKALNDQGPSHALPYACILMDCEMPVMNGIEATRRIREEEAKFGVHIPIFAVSAHTDGPEIRQMKEAGVDYNLTKPLNAARFEEAIKTFQDN >KZN08558 pep chromosome:ASM162521v1:1:10486562:10488659:-1 gene:DCAR_001088 transcript:KZN08558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYPRTMAQEEHKFKEKPPWLDSFVKKTFGDPCRVHVLIKNDRNRYCINCDLAVCQYCIDSGSHNGHNILRLYRHVYQDVVPVSDMAHYIDCSKIQPYKCNKLWVLSLKPFPHNDSGSKADTKSTCDTCRRILSYPYLHRYCSLDCKVQAFSRKTKSSDPPFLSVRKRAAADDRESVAASTNPSITHEFKEGKRNRRRKGTPQRSPFF >KZN10859 pep chromosome:ASM162521v1:1:39613051:39616706:-1 gene:DCAR_003515 transcript:KZN10859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFNAFLDFVVPPASLVMLAFAWPTLCFVNTCEWIYDTYFNPSDMEDKVVIITGASSGIGEQIAYEYAKRRAKLVLVARRESRLRGIGENARLLGANHVLIIAADVVKEEECRRFVNETVRIYGRVDHLVNAASLGHTFYFEEATDTSVFPILLDINFWGNVYPTYVALPHLRRSNGRIVVNASVENWLPLPRMSLYSAAKAALINFYETLRLEVKDEVDITIATHGWIGTEMTGGKFLLEEGAEMQWKEEREVNASGGAVEEFARMIVSGACRGDSYVKYPSWYDIFLLYRVFAPNVLKWTFSFLFTTKGVRRTSLMGTGRPLLEETIYTATKESDIEKGGAEEEEIEWIAEKDEEQDAKVSLWLVKKNWKKPKIQMLGWRYNQEKA >KZN07971 pep chromosome:ASM162521v1:1:1113968:1119393:-1 gene:DCAR_000640 transcript:KZN07971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQRSTRLLTRSTLRRFSHSAAALVQPDPPQPDPFTYLPDLPKPDPKHAETILAIPRAISGKNISAKERKAGRVPSIVFEQEDGQHGGNKRLISVETNQIKKLVKHLGKSFFMSRLFELEVRPEFGSDQVVEKVRVLPRLVHLQAGTDAVLNVTFIRAPSHAWLKVEVPLMFIGDDVSPGLKKGAYLNVIKRKVKYLCPADVIPPYIEVDLSGLDVGQKLVMGDLRVHPALKLLQSEDEAVVKIAGARVSEQQKKEKASEKKK >KZN09520 pep chromosome:ASM162521v1:1:26604329:26609508:1 gene:DCAR_002176 transcript:KZN09520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNESGLSPYYHPHHHSSQPQHASPATATNNGILPNSPRMVYPHSVPPPPVSSALETVRRKRGRPRKYGTPEQAAAAKRMSSAASTAVKKDAAVMGGAGSSSGKKAQLGSLGGAGQGFIPHIITVAAGEDVGQKIMFFMQQSKRDLCILSASGSISNASLRQAATFGGSITYEGRFDIISLSGSYIRNELGGRSGGLSVCLSSTDGQIIGGGVAGPLTAAGPIQVIVGAFLMDTKKDIVGDVKTDSSGNKSPSPIGGISVSNAGFPATVDSSVNQYMIQPRSMQMTPPHTNEWTGTDTRNSASYDFSGRMGPVENHSPENGDYDHIPNRD >KZN08371 pep chromosome:ASM162521v1:1:5742517:5742684:1 gene:DCAR_000917 transcript:KZN08371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSSSSELPRVPRKEKNIKGQGRGRRIRVSAPCAARIFQLTEDLGLNSDGDTIQ >KZN11703 pep chromosome:ASM162521v1:1:47135938:47137415:1 gene:DCAR_004359 transcript:KZN11703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPKLKLQETGSFDINGRRVLQPTCNRVPLSERRSSLKKNFTKSVITPKIQPSNITTTTNVSPKVRPSVITPPISPKLKSPQQPATKLVNDPNGLSSSTENVVTTPRSTSKVVTPVKRSKKSVPSVDTTTLNYSSSSIVEAPGSIAAARREQVANMQVQRKLKISHYGRTKSARFESAVVPLDDASTTAPEEKRCSFITSNSDPIYVAYHDQEWGVPAHDDGLLFELLVLTGAQVGTDWTSVLKKRQEFRDAFAEFDAETVSKYSEKKITSISAKYAIAVSQVRGIVDNSIRILQIKKDFGSFDKYLWGFVNHKPIVTQYKSSHRMPAKTSKAESISKDMVKKGFRLVGPTVIHSFMQAAGFTNDHLTTCPRHLQCLALASQTGTFVAAAL >KZN08107 pep chromosome:ASM162521v1:1:2795632:2796131:-1 gene:DCAR_000776 transcript:KZN08107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHSPGPGGLGSDNINILSRSRFSCIRVDSWNELVIPDSFSGKGFAQNPAVVLKGCPYWTAGARIWK >KZN09367 pep chromosome:ASM162521v1:1:24261450:24261938:-1 gene:DCAR_002023 transcript:KZN09367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSEMAELHYLVPPDPITVLPSQFSYSQNNTPTFDQFSRFSNPLLYDLQINPQLQNFNPQPTSFSSNSTSDEADEKQLCLINERKQRRMISNRESARRSRMRKQKQLDELWSQVVWLRNENQHLIDKLNKFSEQHEQALQENAQLKDETSQLRQMLSDMQL >KZN08377 pep chromosome:ASM162521v1:1:5866862:5867688:1 gene:DCAR_000923 transcript:KZN08377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIYFLAEELDGGEYLFAFRMLMVLFRREFSFLDSLYLWEVMWAMEYNPHMFQCYEKSEEPAVEKVNSKMLKQYGKFERKNVETGSVDHKSELAIFLVASFLETKNKKLLKEAKGLDDVVQIMGDMTGNVDAKKALNEALKIHKKYIKAAKK >KZN10615 pep chromosome:ASM162521v1:1:37601878:37603947:-1 gene:DCAR_003271 transcript:KZN10615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFYSHLDAHNDVLKLFDEMSQCGVRPDAFVYPILLKSAGKDGRLLHAHLLKLGHCCDGYVRNAIMDVYAKNGPVEDAHKVFDEMSERSVADWNLMISGYWSWGKRAEACGLFELMPDKNVVTWTAMVTGYSRVKDLVRARWYFDHMPERNLVSWNAMLSGYSRNGFAEEAVRLFDEMLKARMQPNETTCVAVISACSSLGDPCLAESLVKMLNEKGVKLNCYVKTALIDMYAKCGNLENARKVFDELELQKKMRSAGYVADDNCVLRDLEVEEKEEIVGSHSEKLAIAFALLVSEAGSVIRVVKNLRVCQDCHTAIKVISKMEGREIIVRDNNRFHRFSEGLCSCKDYW >KZN09917 pep chromosome:ASM162521v1:1:30756051:30761227:1 gene:DCAR_002573 transcript:KZN09917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKREAEEAAEQVPAKKQKQNEAALKQAIAKKQAEAKVTKKSKKVESSSEDDSSSESEEEVKEIVVPAKTAKPAPKAKAASSSSDESDSDSDSEDDEPKSKVPAKKAPAAAVVAKKKAESSDESDSESDESDSEDEPKSKVPAKKVPSAPAAKNGSVAAKKKVESSDESDSESSDSEEEDEPKGKAAVKKTPAAPVAKNGSAAAKKKADSSDDSDSEDESDDEDETPAKVAPKKATAVSSNGKDESEDSDSDDESDDSDSDEKKPKAAAPKKAESSDEESSEEDSDESEDEDKKAPKTPKQKGGDVEMVDAISAKSNIKSAPKTPNTPQVEATGSKTLFMGNLSFSIEEADVKNFFKDAGEVVDVRFATDRETGDFKGFGHVEFATVEAAQNALNLAGQDLVGRQVRLDLARERGAFTPASGNENSYQKADRAPASTIFVRGFDTSGGEEQVISALKGHFGSCGNITRVSVPKDYEGGLKGIAYMDFADSNGLSKALELNNSELGEGYLTVEEAKPRDSSFGSGGGGGRFGGGRSDRGGRFGGGGRFGGGGRSGGRGGGGRFGGDSGGRFGGRGRSGGDRGGRGRSGGFSSRPSMATPGTGKKTTFDD >KZN10130 pep chromosome:ASM162521v1:1:32865479:32874970:-1 gene:DCAR_002786 transcript:KZN10130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFKNQLLVCATLECESIEEMVSSMEKAKQEGADLVELSVHSLNFSHISQLQHLLQHRPLPAIVSYRSKEVKNSKIEDSKKTCLQVLRLAVELDVEFVEIELEVASDTLISELMDKRSNTKIIVSSYVNGGHPSIEKLGNLIAFMQCTGADIIKIVIDVVYITDVAPILHIITHCQVPVIARAAGDRGLISQLLGPKYGSFLVFGSLGGKSIPGLPFVESIKQIYELERVNADTKVFGVISNPVGHSKGPLLHNPAFRHLGYNGIYAPLLVDNIKEFFRVYSGNDYAGFSVGLPHKEDAVNCCDEVDPLAKSIGAVNTIVRRPTDGKLVGYNTDCEACITAIEDGLRERQIANGEASQASPLAGRVFVLVGAGGAGRALAFGAKSRGARVVIFNRKFERAKALAQSVSGEAFPIESLGTFCPENGMILANASAIGMEPKINETPVPKEALRSYDLIFDAVYTPRNTRLMQEATEVGAMVVSGVEMFIRQAMGQFKLFTGGLEVIKTFIPLLRVADGYKCQCTCLLYKRRDVVMNLDDEKVYSRLGFYYFDPWKEQSNSTASLLHLDGRMIKNNPMMLPSCDSVPAASSPGIKDEASDGIEYQDTEPFDDIIIADSPLSETQLEILHADTEVLDSDIDKVVLDSDDEGIQSTETVSLMNNLPSGKTDISLEGDTEVMDKRQLSPASNQSYVGYFRRRGSKIQSCSIYKGSDTGSKSIYAEATWKKQHIVGGYENAVECQAAMQGNIEEVAVDNRNWGCDGKITSSADFIKSIDVASNFSTADARSEREVPLSKCEAVEGREGTSWVSNGHDLSPLSYVDSQEPGEHSQANALSVVDLYLSGIDVGMSPDVQRGKVTKIVSPPSLFRKGSQALARQANLRSTYGKFEVFDWSGNKTDELAGFHVKSNKEGLRERSTKSQHFSDLNPQKDNNFGVEKLQMAVAHNEHKDSTQMTLKINETSESMQATELKSDTNITNQLDNHADVESFAQQSNMDVLERDAYDMFDVGLDTQMAAEAMEALMYVTPPSKNINAPPALENSPEDTLRSEVVTGASKCSSFHVGDDSEVTAKDPNRTAQSLDMLNKIKFSPSELDANENKTREIKKLMSQTLPVKEKNIKEAGSSKSVKRDSYIVKCRGKVKSSPFACSTRQFLVHSPQNVEGPPCNSRESLNCIVKEVDCLERGTKRRKLNSVNSQAVDIKYKLPKPVTNAHCGATNSNSKKQMQTDSSAAVTTSHLKLDIWTYPKRKRTHPKVLSYLLKSGNHYASSSPGLAKNISTRSVGISQKSEADFSANHSILQAVRKPRSICQVQPLLNKKFVESFSRENAGKDLIPDVSSIAEYNLLHAHGGAGVGKQLLKKISPRSPLMKELSRLGFAESLPEFVSKDLRRRRNMANVQVLFSQSLNDDIRKQQKKILARLGISVASCCSKATHFITDRFVRTRNMLEAVALGKPVVTPLWLENCAEAGCLVDEEKYILRDVKKEKEIGFDLSVSLNRARHHPLLKGQRVLITQSVKPCKEMIESLVKSVSGQPVENILTTAQDKLIHEDLLILSCEEDYKTCVPFLEKGMPVYNSELLLSGIVTQKLDYLSHQLFADYAKKNSMKVYSRRAKIQI >KZN11662 pep chromosome:ASM162521v1:1:46787953:46791858:1 gene:DCAR_004318 transcript:KZN11662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKRAAMKFKSVQNQAELVKSYLLSDPYLSYTSVLSGIFASKMAMIYYICYRVVGSFNPKSFPSQVYDFSHLISTSYFRTYAGLTKIQRIEWNNRGMSTAHAIFISTMSLYFVFWSDLYSDHLIAGNITFRSSQLSSFALGLSVGYFISDLAMICWLYPALGGMEYVIHHSLSGIAVAYSLLTGEGQLYTFMVLISEVTTPEINMRWFLDTAGLKRSNAYLINGVVIFFAWLVARILLFVYMFYHVHLHYDQVMQMHIVGRNLIFVVPAALGIMNLMWFGKIVKGLRSTLAKKL >KZN08669 pep chromosome:ASM162521v1:1:13296665:13297336:-1 gene:DCAR_001199 transcript:KZN08669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHQNNNGREVVVEDERHLIAGAPSPESPCSKSYCNNTNNNSNNNNNCNCQTNTSNTSNNKEQDRFLPIANVGRIMKKGIPANGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITILGFEDYVTPLKHYLAKYRELEGEKLNVPKQQRLHDQKAGMQYPSSSVYSPPNLISQPSFVPSDPTFSLPFPQNSMQPQYKQESIDAVGHW >KZN11224 pep chromosome:ASM162521v1:1:42803225:42808395:-1 gene:DCAR_003880 transcript:KZN11224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFSWLSKKPGNNVVYYPNRILKGLDPYDAGYKTRNPFTWIQEAFSSTEKDVICISGVDSAVYFVFLATALGILVFAGLILLPLLLPLAVTDHGKSNKTTSNGTFTDLDKLSMGNIKEKSNRLWGFVFAVYWFSFVTYYLLWKAYSHVSDLRAAALKSPEVKAEQFAVLVRDIPAPPAGQTRKEQVDSYFKALYLDTFYRSMVVTDNEKVDEIWQELEGYKKKLAHAEAVYAESKEKGKPEGTRPKNRTGFLGLIGAKVDTIDYCTKKIDELIPKLEAEQKVVLREKQQASALVFFKSRLSAASAAQNLHARMVDTWTVVNAPEPRQVIWSNLPKQFYSRQMRQYVVYFIVFLTIAFYMIPIGLVSAFTTLANLKKIIPFIKPVVKQDALRTVLEAYLPQLALIIFLSMLPKLLLFLSKAEGIPSESHAVRAASGKYFYFSVLNVFIGVTLGGTLFSTFKTIQKSPDDIIPLLAKSLPGNATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEAELKEAWTPGDLNYATRIPGDLLILTIVFCYSVIAPIIILFGVIYFGVGWLVLRNQVLKVFVPSYESYGQMWPHIHTRIVAALILFQLTMFGYFGVNKFYYTPILIPLPIFSFIFAYVCSKKLYRSFHDTALEVAREDLKEEPDMEFVFKSFIPPPLAAEKPDDDQFEDALSQISRSASLA >KZN11978 pep chromosome:ASM162521v1:1:49850260:49854133:-1 gene:DCAR_004634 transcript:KZN11978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLYIEFALAFILLLHNYQPVAGWWFSSREAHEKYQYRETEANSKDMIAEFSMEPLNNQKGMKLVENARRMVVSSNSCWQNAYKKLFAGCSEILAGEEMRARFAWHLSDCFQRDSGRPDFPYCDVKSSMISCRNHVDLVLTNSESIYELSAGIATSQGELREGQGKMLETLEEGMTMLYNSHNKLDQDISHLGNKTVEIRSHIIKVGDSMSSQLSSLQTTAEDIGNVTEVTLDKQNELLAGQSAAVEGLRRLNDFMSQALQESKVIMQQLAEFGHKQQEELLNRQKQLGQTHDHLVQNSEKILAAQEAFESKQATMFLAIDKLFALHNAILLESRLIKTFIVYSIAIFIIYMFTSTKQTYTVRSRLYMGLCAAFLIEFIILKYWTNSIDRRESVTNSVRLVFMLLALVQLLHAIYKYRDYETLNHEMLLTLVEKFNVMQKQKDLSHDMDSDEEWSAWIDSDLPEDVGLLEDPDFVIPEEVGENSIVSSTTTRRYNLRSRHR >KZN08488 pep chromosome:ASM162521v1:1:7998382:7998927:-1 gene:DCAR_001034 transcript:KZN08488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPPTPITHSGSNSFSRQSVKKDEYGTCFCGRRARICTSWTLKNPGRRFYKCASAKGMEGCHFFEWFEEDFSPKVSEVITHLNQRRIFLEEKLELVEANLSEMTGKKKVLKEEKKHLCVEILRVQAEKNKLKKQLIFCLCVVAVFFFVTVVNM >KZN09380 pep chromosome:ASM162521v1:1:24432747:24433179:1 gene:DCAR_002036 transcript:KZN09380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRQSAALNTKLVLAPSNLPSAFAAFSSKSSSPYLVKVGIPEFLKGVGNGVETHVQKLETEIGDFQKLLVTRTLKLKKLEIPCKHVILPSLLSYLIIVCVFLIFGLILSDDMG >KZN08072 pep chromosome:ASM162521v1:1:2023726:2031646:-1 gene:DCAR_000741 transcript:KZN08072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPEEALQTILNVAQRLPPISVPIHDALDKVLAEDIRAPDPLPPYRASIKDGYAVVASDGPGEYPVITESRAGNDGIGVTVSPGTVAYVTTGGPIPDGADAVVQVEDTEIIRSDSVGLSRVKILVKTSPGVDIRPVGCDIEKDTVVLKSGECLGAAEIGLLATVGVVLVKVYPTPVIAVLSTGDELVEPTTECLGRGQIRDSNRAMMLAAATQHHCKVLDLGIAPDDEEETKRILDRAFSSGIHILMTSGGVSMGDRDYIKPLLEKKGKVHFDKVCMKPGKPFTFAEIVAESGENTKVDKILAFGLPGNPVSCLVCFHLFVVPSIRYVAGWENPYPLRVLARIKHSIKTDAARPEFHRAIVRWETTDGLGNQGFVAESTGHQMSSRLLSMKSANALLEMPASGGLISAGTSVTAIIISDLSDLAGSSRSLPVSNQAPQPVVSQVNGNASQGSEFTVAVLTVSDTVSSGSGPDRSGPRAVSVVNSSSEKLGGAKVVATSVVPDDIPRIKDILQKWSDVDKIDLIITLGGTGFTARDVTPEATKDLIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAQAVPTDTWEQSLKSASTVDKEAGCSCSH >KZN08721 pep chromosome:ASM162521v1:1:14243178:14244658:1 gene:DCAR_001377 transcript:KZN08721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPQRSLLKVIVLGDSGVGKTSLMNKYPFGSLRKYVYNKFSQQYKATIGADFVTKELQIDDKLVTLQIWDTAGQERFHSLGVSFYRGADCCILVYDVNIHKTFETLQTWHQDFLRQADPINPELFPFVLLGNKVDVDGGNSRAVSEKVARDWCASRGNIPYFETSAKEGYNVDDAFLCVAQTALAAEHHDQDIYFQRIPTTVSEIEERRGGCAC >KZN09242 pep chromosome:ASM162521v1:1:21989124:21996619:-1 gene:DCAR_001898 transcript:KZN09242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSSEDNATATPTVVINNLRFTYPGIDGHPPPGSKPLIQDFSLTLNPGHRCLLVGANGAGKTTILKILGGKHMVDQDMVRVMGRSAFHDTSLISSAQLCYLGGEVLDVNLSWRLHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLSFLKKDCEERGATIIYATHIFDGLESWPSHIVYVAHGKLQLAMPMDEVKKISKLSLMRTVESWLRKERDEARKVRKERKAKGLPEFEDQVDGTRVIGDPARPVNNGWAAGRLNSTVAGEENFVLSSNRVIRQ >KZN11101 pep chromosome:ASM162521v1:1:41712086:41712238:1 gene:DCAR_003757 transcript:KZN11101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFDNVTIKTTKKIMYNSAILSHLFHKSAKKAQFSNNSVKTLVGSLNMIH >KZN08319 pep chromosome:ASM162521v1:1:5189711:5189863:-1 gene:DCAR_000865 transcript:KZN08319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQRHFTSTPLVEPTCHVVTPHHAWSRDEAKDIIRGLPRGVVGPDERV >KZN10597 pep chromosome:ASM162521v1:1:37345635:37347327:1 gene:DCAR_003253 transcript:KZN10597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASNPLTLSNMIFFAFALVFISTAQGQTCDKPQQASTLQVFHVNSPCSPFRSQNHVSWEESVLQMQSEDKSRLQYLSSLAVAKKSVVPIASGRGIIQSPTYVVRAMIGTPPQTFLMAVDTSSDAAWVPCSGCIGCPSSTFASEKSTSYKTLGCQAPQCKQVLNPTTCTAIACSFNTTYGGSTVAANLSQDSLKLALDVIPSYTFGCIQKTTGNSVPPQGLLGLGRGPLSLLSQTQSLYKSTFSYCLPSYKSPNFSGSLRLGPTAQPTRIKYTQLLKNPRRSSLYYVNLVAIKVGKKIVDIPASAFAFNPTTGAGTVFDSGTTFTRLVQSAYIPVRNEFRRRMGKTATVSSLGGFDTCYNVPITIPSITFMFSGMNVTLPQDNFLIHSSSGSTTCLAMAGAPDNVNSVLNVIASLQQQNHRVLFDVPNSRLGVARETCT >KZN08340 pep chromosome:ASM162521v1:1:5449652:5450575:1 gene:DCAR_000886 transcript:KZN08340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFLLISLLSLSFLLLVIHHVRALCHSKNLPPGPRGLPIFGNLFDIGSKPHESLAKLAQLYGPLMTIKLGSVTSVVVSSPEMARQVLQKHDEAFSGRVVPNSITELEHSSHAVAWLPIGEEWRLIRRVLTSYLTNSKTLDLLRGIRHDVVQEMVCHLKDVCTKGESVGINKIAFTTIMNMMSRTCFSVNVDEYELGNEKGFRNAVTTIMKITAKFNIADYFPCVRCVDPQRARQKAKAAYGCLEQLCDYFIHQRLNQRSSGISRRGDILDSLLDFSQENQSDFNLKHIQVLLVVLFLDPLSYWTST >KZN08133 pep chromosome:ASM162521v1:1:3007565:3009694:1 gene:DCAR_000802 transcript:KZN08133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLISTSCSISIPSRSNFCSKEQNIVGTKVSFASFGSQVSSFSLKKLIHRGERSQRVCTIHATTLPLTEEAKTQPSSASTNISHEGSKKKRVMIIGGDGYCGWATALHLSKKNYEVAIVDNLVRRNFDQQLGLDSLTPISSIHNRIRRWKSITGKTIDLYIGDVCDFEFLSETFKSFEPTSVVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTINVLFAIKEYSEECHLVKLGTMGEYGTPNIDIEEGYITINHNGRSDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETDMHEELYNRFDYDGIFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAQQGEFRVFNQFTEQFSVNELAALVTKAGAKLGIDVKTISVPNPRVEAEEHYYNAKHSKLTELGLKPHLLSDSLLDSVLNIAVKFKDRVDTKQIMPSVSWKKIGVKPKTIAA >KZN08526 pep chromosome:ASM162521v1:1:9750170:9754256:1 gene:DCAR_001056 transcript:KZN08526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVVKRRLLCEAPSIKGSGECGTLYKADPLDACSPLKNIVPKIKEGGLSLFALIVRGGCSFEDKVRRAQIAGFKAAIVYDNEDGDLVAMAGNSAGIRIHAVFISKASGQLLTKYIGATNMELWIIPSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRAPRTREFHGMSSQLVKAMPCLIFTAVLDDNCTSSTCAICIEDYTVGEKLRVLPCRHKFHATCVDAWLTSWRTFCPVCKRDARTSTGEPPASERTPLLSSAASSILSSFRSSLASSPAMQIGSARSNRFASISRVGSYGSSYYQQSLPSYQQSPHLSASQSSLDLRNASSQRSIAPHLASSNSLGYPSLSPLNARYMSPYIPSPGNASSYIGSSNQAHPLHLSESTASFSPYASAHSLPGC >KZN10290 pep chromosome:ASM162521v1:1:34245270:34248311:-1 gene:DCAR_002946 transcript:KZN10290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLSKIHSYFTGFTELKRSLYALFSQYGRILDIVALKTPKLRGQAWLVFSEVTAASNAVRQMQNFPFYEKPMCQLTIIIAERKKQESQPAGTNGVRAETNGGPMGSRQGKPSAQEAAAPPNNILFIQNLPHETTDIMLEVLFKQYPGFREVRMIDAKPGIAFVEFEDDNQSLVAMEALQGFKITPQNPMGISYAKK >KZN09678 pep chromosome:ASM162521v1:1:28378896:28380457:-1 gene:DCAR_002334 transcript:KZN09678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLDMKASLVSSVREKELKAFDDSKSGVKGLVDAGILNIPGIFIRPPDELSAKSTYSRTQLQVPVIDFQGIENSDRRKQIVAQVQQASEHWGFFQVVNHGIPLSVLEGMIDGVRLFHEQDLETKKQLYSFDAKKKVRFFSNYDLYQSRTANWIDTLLLTMFPSDPVTADELPKTCRSTTMEYLKHLSELGDTVIELLSEALGLKADHLKAMDCSKGYKSFAGHYYPPCPQPELTKGVSEHSDSTFFTILLQDRIGGLQILHEDQWADVEPIAGGLVVNIGDMLQIVSNDKFKSVIHRALVNSVEARISVPCFFHGSTAPSIKYAPLEDLLSEENPPIYKSFQVSDYMEKFFSKPLDGEELRDLFKI >KZN10206 pep chromosome:ASM162521v1:1:33516892:33521473:-1 gene:DCAR_002862 transcript:KZN10206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCDCFETHWPPDDLLVKYQYISDFFISFAYFSIPLELIYFVQKAAFFPYRWVLVLFGAFIVLCGATHFISLWTYSVHSKTVVIVMTVAKMSTAFVSCVTALTLVHIIPDLLSVKKREMFLRKKAEALDWERGLIIKQEETGRHVRMLTHEIRSTLDRNTILKTTLVELGRTLDLEECALWMPSQRGSSLQLSYSLNNLIPFGSTLPINLPIVNEVFNSADAIRIPHTCPLVGIKTHVGTYVPPEVVAVRVPLLHLSDFEVNEWPEIPAKRYAVMVLILPLNGVRKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARQEAEMAIRARNDFLAVMNHEMGTPMHAVITLSSLLLETELTPDQRMMIETVLKSGNLLAALINDVLDLSRLEDGSLELDNKIFNLHDVFRESMNLINPVASVKNLSTNLTLGIDLPVHAVGDGKWLMQTILNVVGNAVKFTKEGYISVEASVAKPEYYKYLGNPEFVPMSSDNHFYLLVQVKDSGCGISPQDLPLLFTKFLESGNASSRSNSGTGLGLAICRRFLNLMGGHIWIESEGPGKGSKVAFIVRLGLCNNASEPTIQQTVMQGRAHRGSGDLIGHRLFSRDDYIATYIPRNQRSF >KZN09805 pep chromosome:ASM162521v1:1:29551899:29552496:1 gene:DCAR_002461 transcript:KZN09805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRCASAISDSRGMSVFLHLSDHSRHLVEQTRFSPVHFFIEAAKLPDQPTLSGLTRPAVFLSN >KZN08613 pep chromosome:ASM162521v1:1:12078900:12085700:-1 gene:DCAR_001143 transcript:KZN08613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKGRLVAGSHNRNEFVLINADEVGRVTSVKELSGHFCQICGDEIEVTVDGEPFVACNECAFPVCRNCYEYERREGTQSCPQCRTRYKRVKGSPRVDGDEEEDEFDDLDNEFEYENDHRYHQHVGDPNAPSGRHNIGRVPSNASGITTPLEMDSSTLNPEIPLLTYGQEDDAISADKHALIVPPFRGRAKRVHPMPYTDSSVSFPPRPMDPKKDLAVYGYGSVAWKERMEDWRKRQNEKLQVVKHQGGNGGGKNEDDLDDPDLPKMDEGRQPLSRKLPIPSSKINPYRMIILIRMAILGLFFHYRLRHPVHDAFALWLVSVICEIWFAVSWIFDQFPKWYPIERETYLDRLSLRERRAMKRDYEEFKVRINGLVAMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKAVGKTCNCLPKWFCCCCGSRKKTKKGKSKEKDMKSREPSTHLPALKNIEEGIEGIDSEKAALMPKINFEKKFGQSPVFIASTLLEEGGVLPGATSASLLKEAIHVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEILMSKHCPIWYGYGCGLKPLERFSYINSVVYPLTSVPLVAYCTLPAVCLLTGKFIVPEISNYASLVFMAMFLSIAVTSILEMQWGRVGIDDLWRNEQFWVIGGVSAHLFALFQGLLKVLAGVNTSFTVTSKGGDDGEFSELYVFKWTTLLLPPLTLLIFNIVGVLVGISDAITNGYESWGPLFGRLFFAIWVIVHLYPFLKGMMGKQSGVPTILIVWSILLASIFSLLWVRINPFVSRDGIVLEVCGLDCD >KZN10712 pep chromosome:ASM162521v1:1:38359619:38361959:-1 gene:DCAR_003368 transcript:KZN10712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNHKRIFVLAVSSLLLVAMVVGAAIGLIGSNDNNSSPEISTSNKAINTLCSSVDYQDTCVKSLSSKEYNQTDDPKELIKIGMDVTIKRIKEALNKSVTLENLEKDPMTKEALRTCTDLAKTSINDLERTFIKFADFDITEVNVILADLKVWLSGAMTSEETCLDGFENTTGEASANMREALKLGMQMTTNSLAMVTEIATAFAKMNNEKAVVRGRRLLSFPAWIDPLKRKLLESKHSSEADVVVAKDGSGKYRTINEALKDIPKSSNTTFVIHVKEGVYEEKVEILSNMTHVMMIGDGPSKTRITGKLNRVDGTSTYHSATVAVRGDYFIAKDIGFENTAGSEKEQAVALRVSADKTIFYNCRMDGYQDTLYTHAYRQFYRDCVISGTIDFVFGDAAVVFQNCQFLVRKPLNNQQCIVTAQGRKNVRQPTGIVLQNCTITADSSLESDKSKFKTYLARPWKEYSRTIIMESYIDDVIQSQGYLPWNDTFALNTLFYTEFNNKGPSSSKDQRVKWPGIKELTTERILRFTATHFIDGDLWIPKTEVPYASSLILPPPTDEVVAASPISLEEDVDFNRTNSQQESDLEAALKSPSTSSNEATSASVSPLPSLSESSSDLDSPSPSSSESDSTNAPEYSPLSAPASASESAPELVLAPASDLDAPASAPVPGSSPASMSDSSESTSDSNSDTEPAFSYGKVTDIPSDFAHKLVNNLFHRGV >KZN10233 pep chromosome:ASM162521v1:1:33747810:33748268:-1 gene:DCAR_002889 transcript:KZN10233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGKKKIEIKRKEKQQDRMVAFSKRRKGLFKKAHQLHALSAAHIAILVFSPAGKPYVCGDPCFDDIVDKYYSGATANAAADDNDVVNCNNRSTYGDGNDADEDCKEIGELKLWLKRMDFDGSDNVDDLLLAKNDLEQVRDKLIKNVADYGE >KZN11055 pep chromosome:ASM162521v1:1:41313793:41317443:-1 gene:DCAR_003711 transcript:KZN11055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKIGETLYYEWVVCLFHSYYSVATLSSEGKRSPCCCIASRVYRSRLGDRHIKIRRQYCWSSNSRAQHSEATKQDDVPRETLIWRAIKLPIYSVALVPITVGSAAAYSLSGLFSARRYLVILASSILIITWLNLSNDVYDFDTGADVNKKESVVNLVGSRTGTLIAAYLLLVLGFMGLSWASLEAGSLRSILLLASAVICGYIYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLQSSTRELPVSGTILCASVLAGFTTTLILFCSHFHQINEDIPVGKLSPLVRLGTNAGSEIVKVAVVALYALLFAFGLTRALPFSSVLLCALTLPVGNLVVRFVDENHEDKNKIFMAKYFCVRLHTIFGAALAAGLVVARMIPGRLVAVGH >KZN11960 pep chromosome:ASM162521v1:1:49685612:49685791:1 gene:DCAR_004616 transcript:KZN11960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMRKAASAKSTRSSRGPLPKRGQIKSIIASNALHSIVSVLSRASSDHHHSTGKLII >KZN09978 pep chromosome:ASM162521v1:1:31283808:31291612:-1 gene:DCAR_002634 transcript:KZN09978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDNVAGMEHPLITAFLHIAKGQTAETAIRFLQATGWQLAEAIQLFYAGNETGAVASYSEFPPLVNAKTQIDDSLSGSGKQLDHEHAAPTNDSTVRAPLPVMRDLLYDREMLYHSRRAAFLGYVSNGDRSDVLLPNSSREVKHPGIWEAEQSSASAVDNSRDNLASLYRPPFAIMFRGPFEMAKDAAKDQNRWLLVNLQSMKEFSSHMLNRDTWANEAVAQTIKANFIFWQVNDDTEEGSKVCTYYRLDSPAVLIVDPVTGQKMRSWKGMIPPETLLEDLLPFMDGSPKDHHASFSQKRTTETSQASSLNVQADISEDEYEDMLLSLATGISQYMDITDAEEELRKLKKPACLPLPEKQSRETFQASSQNVQVAADISEEEYENMLLALAAAPESIDDATGGSQDMDTTAAKENICKIKNPAYPPLPEEPKADKDLLCRVGVRLPDGRRLQRNFLRTDRIQLLWSFCNSLLDEEDSRQFRLNLAIPGASRSLEYESNLTFDESGLSDSMISVNWD >KZN10635 pep chromosome:ASM162521v1:1:37792855:37796551:1 gene:DCAR_003291 transcript:KZN10635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNYAMFGLGPYGPYWQKMRKITRELLSVHHIEMFEHLRISEIKESVGDIYDFWLKNRSFQSHMVKIDINQWLGCLTQNIVLRTIVGKRYEWNDKNGIQFSERIRTVTGQVGKFYLRDYVSFLRWLDWGGHEKAMQEAAKETDRILTEWLGEHKLRRKAKDEQHDIMDMILTRMDEATSQDFEGFDPDTVVKATSLLQIAQSTIDKASKKLEIVKTDPMALKISVKESTKTCMLQENRSTVLDESKIEEILRVYLGRVGMMGGEEGGFGVKN >KZN10862 pep chromosome:ASM162521v1:1:39627346:39629572:-1 gene:DCAR_003518 transcript:KZN10862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWREFRASLFAHEQANIGSSDTRKQEVAGLHSKPIGSKWAHPISSPEAGCVLVATEKLDGVRTFERTVVLLLKSGTRHPQEGPFGVVINRPLHKKMKHMKPTNLDLATTFSDCPLHFGGPLEASMFLLRTGHKARHPGVEEVIPGLSFGARNSLDEAAELVKKGLIRPNDFRFFVGYAGWQLDQLKEEIDSDFWHVAACSANLIFGATANSSTEGLWEEILQRMGGHYSELSRKPKQDL >KZN11045 pep chromosome:ASM162521v1:1:41266994:41268587:1 gene:DCAR_003701 transcript:KZN11045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILSVSRGLPTALLVTLLVSVSFCYADDKTVEVVGLGECADCKESNIQTSQAFSGLQVTVDCKTSEGHLQRRGVGELDKEGKFKVNLPKEMLEDGKLKEECYAQLHSASFAPCPAHGGIESMKIIFESKTEEKHTFSTNGKLKFSAQVCTSAFLWSHPWKKYLPPLTPHPWKKYLPPLTPHPWKKYFPHPWKKSPVYMPPVYSSPPTPVYTKPPTPVYTKPPTPVYTKPPTPVYTKPPTPVYTKPPTPVYSKPPTPVYTHPPTPVYTKPPTPVYTPKPVYKHPPKPVYSHPPTPVYTHPPKPVYTHPPTPVYTHPPKPVYTHPPTPVYTHPPKPVYSHPPTPVYTHPPKPVYTHPPTPKYSKPPTPVYTKPPTPVYTHPPTPVYTKPPTPVYTHPPTPVYTHPPTPVYSHPPIYKKPCPPIVPLPKLPPKYFHHPKVPKIPKKFFPHPKVPKIPKKFYPHPKLTFPPLPPYHP >KZN11992 pep chromosome:ASM162521v1:1:49925956:49927198:1 gene:DCAR_004648 transcript:KZN11992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSGTTDKCKACDTTVHFFEMISADGVPYHKNCFRCSNCKTRLSMSNYHSLEGNLFCKAHFEQRIKETGIVPRTVLSGNKQDMMTKTPSRVSAMFTGTQEKCSVCKKTVYPQEKVTVEGDFFHKICFRCAHGGCKLNPSNYAALDGIIYCKPHFSQLFKEKGSYNTLNKTSSIKRSESADEATLAAEVDQKPAEEENAEAEAEAEAEPEPEAEAA >KZN09139 pep chromosome:ASM162521v1:1:20802264:20807922:1 gene:DCAR_001795 transcript:KZN09139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQLRQALKSLCFDTCWNYAVFWKFEHQAQMVLTWEDGYYENHEQPDASKKLAGYSYDGQSSRDSLGLAVAKMSLHVYSLGEGIVGKVAITGKHMWISTDKHVLDSCSLLEYSDGLLPQFSSGIRTIAVVPVVPHGVVQLGSLDIIAEDLMLVNHIKDNFLDFQASLSGHCSNIDSSSCLSSISSRTSVSRNYRHLMSNADKIVKNDKGNMWCPVVASLERPPQRSYTVPAAEVYSRKMVSTVNNDEPEYTVSGVGESSSVLPIASMDRQTQVDGQLTSEKKSDGHTTGIRGVGVVSKSEDNDTPSLSNNCNNKCNFYNTALATGNNGASMSCLPSAFQDTGTGNYCGSFGAHDFSQESRASSVKTSELKAKTNHMDTCKTCFQFTAGCELFEALGPSFKKQYTDLEWETDKTENGALVQISEGRTSSGNLLMINNGTEHLLEAVVANVCQSVSDVKCVNPKLGTAESLLTIDKLLEPCSSDNHTIGSANYSYDRSSLVEDCLAFSEVYGDKSSKGISSASPSACSEQLERPQELTKMNKKRARPGENCRPRPRDRQLIQDRIKELRELVPNGSKCSIDSLLERTIKHMLFLQCTTKHADKLQKCAESKLIDKETGVHVSSNNELGSSWAMEVESHLRVFPIMIENMNLSGQMLIEMLCENCSRFFEIAEAIRSLGMTILKGSTEACGGKIWICFVAEGLNNRNVHRMDILWSLVQILQPKTDV >KZN09472 pep chromosome:ASM162521v1:1:25621415:25623076:1 gene:DCAR_002128 transcript:KZN09472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYECQENLKIELVEDSGDISKVRDRDIVDFKLVDVLGREKNLVGNLELEIERSERIVCGKGIVVGKSLLGKSKLINEAVANSCRSITDLPPALVSEILNCLDPKELGIVSCVSTSLCKVAAEHHVWKEFYCERWGLPVAPAALGSDYSDEKSWKELFVEREYRSKTFLGRYSIDCLYGHREPVRAVFVLNSKKLIFTSGYDSIVRMWDLEEGTAISASRALGCTIRAVAADTKLLIAGGTDGFVHGWRAEEGHPHLFDFSGPQNQNTEFRLWEHEGPITCLQLDFTRIFSGSWDMTVRVWDRSSLKCLTVLRHNDWVWSLAPHVTTLASTSGSDLYVWDIDSGTPVVVVNDAHVGNTYALARSHTGKLLFTGGEDGVIKMYEITSQLCNNVRQVAKWNPHTGPVYSLAFEFPWLVSASSDGKLSLIDIRKLLKKSKNYSSGSISRLNHENKKFVEPPQRMLHGFGCNLFSVDIGSDRIVCGGEEGVVRIWNFSQALETEQRVRALKGIRLENRMRRRKLQTEMNGKGAKKNQMGGDRNGVGIKRGVSGKLKA >KZN09349 pep chromosome:ASM162521v1:1:23921237:23921413:-1 gene:DCAR_002005 transcript:KZN09349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHTQSATSNSSIYSPAPLTRRSPAISASTDHHLNLRAHQQPHKSRFQTPINNRFKP >KZN08293 pep chromosome:ASM162521v1:1:5010103:5011697:-1 gene:DCAR_000839 transcript:KZN08293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNLILFSTLVVVLFQAPWAQVSASNSHDFLQCLHKNSLPSNPIFQAIYTPQNTAYTNVLDIYARNLRFMTPQTRKPLLIVAAMHESHVRATIMCCNETNVLMRIRSGGHDYEALSYTANIPFVILDTFNLRGIDVNLEDDTAWVEAGVLLGELYYRIAEKSKTRAFPAGVCPTVGVSGHFSGGGFGTLTRKYGLSVDNIVDAKIMGLDGIVKDRKAMGEDLFWAIRGGGGASFGVILSWKLKLVAVPETVTVLTVNRTMEQGALDLVYKWQNVATILPDDLFLRMELRAIEGFKLGLGENDCTEMKWIKSVLYWDSIPGGTELQVLLEREWHKKNYLKSKSDFVTKAVSKPELEKIFQKMMQLGDIIMQWNPYGGRMNNISESAVPLPQRAGYLFKIQYIVYWTHDGKANAEQNMQNSREFYEFMAPYVTSSPREAFLNYRDLDIGQNPMCNASYATAKAYNLKYFKGNFERLVKIKTAVDPTNFFRNEQSIPTLPM >KZN09519 pep chromosome:ASM162521v1:1:26594129:26594557:-1 gene:DCAR_002175 transcript:KZN09519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVIQDHRENAEIITDEALCKKKSKELLRSIALPTGLLPLNDIVEVGHNHETGFVWLKQKKKTEHLFRAIGRRTSYDTEVTAFVENRRMKKLTGVKTRELFIWVSLSDIRIDDPESGKITFGTPTGISRSFPVSAFEEEEE >KZN09376 pep chromosome:ASM162521v1:1:24396283:24397792:1 gene:DCAR_002032 transcript:KZN09376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVQDDWVVKVNDEVDQMKHRSIEEIEQHWKKRSIYRLPASITELNKRAYKPQVVSFGPFHHGQPHLQSMEAHKHRAFLIFLKRSNKPVEYFMESLAPLFEDLKSSYDFLDRQQDTDLFLKLLILDGCFMLEILRMSASTDGFYQHHTWNATSSADLYDDDNFVADYAPNDPIFSNHGKLYIMPYIKRDMLMLENQLPMMLLQNLLAIQNDRPTHEEFLNYHMVKFYSPDIPVPNLGECLHLLDVYRKSLLFDHRPHKFRRARPYNSNEEIIRSAMELNEAGIRFKTSRTTSLKDISFHSGVLRLPRIVVDDTTEAMFLNLIAFERFHVGAGNEVTAYVFFMDNIIDNAKDVNLLHLQGIIQNAVGSDKAVAKLFNSLSKDITLDPDSSLDIVHKSVHNYCKKPWNEWRANLIHTYFRSPWAICSVFAAFLLFALTIIQTIYTVVPYYEQDPPLPTPPPPHFRQN >KZN09529 pep chromosome:ASM162521v1:1:26733515:26740329:1 gene:DCAR_002185 transcript:KZN09529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLCTTTSTSTHPFLEFPKLKTPIFSNKFPRRRSITGAPASLTVRMVANGFFRREETKKKSYNKIYTRVGSCLVIPPPKGRKPKGIIKFLGGAFIGAVPEVSYSYLLELLANEGYLIISVPYNVTFDHSRASREIYEKFFGCFDGILKTGLPDFGLTSTDLVDLPIYCVGHSNGALLQVIIGSNFSEKIPRVGFHASAYIFANAIISYNNRPATEAVPYFEQLGPLLNQMMPVVEASPISSMARSASDAWKMLLDTAEAMTSDFDPEARVSLDKFADQLPNVFNQVAEGISEFRPTPLENRNCFKKSYNVQRTLLVKFDSDTIDETDLLEETLRPRVASIGGTLEKVSLSGNHITPCIQEPKWEAGYVYTPADAIAQGLKTISLNDTKVLSRTVADWLSSDR >KZN08647 pep chromosome:ASM162521v1:1:12952929:12953264:-1 gene:DCAR_001177 transcript:KZN08647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSQLSDANCSRLEEVAKKSPGLVSVLCMFLIVHNLVLYQDLGRLKKYVKKLQEETKELKLQVEYCLQKELVAMKDEINEKTKDAIDNAVERLTAVIERVASQNED >KZN08599 pep chromosome:ASM162521v1:1:11422679:11444338:1 gene:DCAR_001129 transcript:KZN08599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIEGVGESSSPPRTVPSFGGYDIRNDVYNRLVEAGNQDFGSNTQLWQVLDSHFNSLPSSYALDINMDRVDDVLLHHKLLTLAKDSDNQLVFNVRLVETFGIRADTNNEEQVTSVLSTSMHCTDEKDSNKGIKSSQHRTLEIDFEPCSKLGDLNLDVKRNSTVKEMAKFDELFPERQEPVLVHEVIFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPVEDINDLHEAVDKAIRRSERSRSGSSHSQPAAVAQTKPGDWDIDVRALMINEKIATGSCADLFRGTYCGQDVAVKVLRSEHLNKTLEDEFAHEVNILREVQHRNVVRFIGSCTKSPNLCIVTEFMPGGSLYEYLHKKHTKIELSQLVKFAIDVCSGMEYLHQSNIIHRDLKAANLLMDAESVVKVADFGVARFQNQGGEMTAETGTYRWMAPEVINHLPYDHKADVFSFAIVLWELVTAKVPYDTMTPLQAALGVRQGLRPDIPKDAPPKLVELMQKCWEASPSKRPSFSEIKIELEKILEESRVSPEAPVDS >KZN10720 pep chromosome:ASM162521v1:1:38434036:38439235:-1 gene:DCAR_003376 transcript:KZN10720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSCPLLNLQVKIADGSLISPVGNNGRPGTIGKCAMFRTTSRSRKEAKVAIEMVVCDFCFIRNRNLLLHSQDYQARPGLAALEVKIADGSLISPVGNNGRPGTIGKCAMFRTTSRSRKEAKVAIEMVVCDFCFIRNRNLLLHSQDYQARPGLAALEETYLEDLEWDDVKAASEETGDHKPVFQSSADSIPSTPTFTWFLQSNQLKKQKFKVFPATLGAKKLNMTQIGSAWITDLFHSISPRKFSSMQDIYGTKTYIPEQGQIFHNFKKRSRSNFRSIHPDYEEHDEPGIFVSQAYNPVRALFCNPDATNLFQRIAAAKTGVKLKKTFGKVEEYVNVIGMTHQGRYGIKDLGFSGTIDVEAPCLNSIKILKDVLFSAQPWQAERRRRIVTSRAEPIWMGVTDQTTFLQFVKVESDPRSHATSYDGFSIGVLEEARKIADLSNDLNNFSSFMGEYDVLVKQITLWVFEEAMNIVDVKNDFTYNYYSFDGEYDDLVKQRALGKFDVVAGDVTILEERQKYADFSLAYTESGMVLVVPIRSRLPIQMWLFMNPFTTELWGLILAITIYNGFIVWLIERNYNPEFRSGTLWNQFGTLFWPASTTLFTLSADKLQSKLSRMVMVVWLFVALILTQSYLSILSNMLTAQRLAPATKDVESLKNMNATVGYCRGAFLESYMINALGFSPAQIKKYSSTSASAKALKTGEIAGIFLEVPSAKLFLAQYCKSFMTTEKIFKVGGYGFAFKKNFPLLPDINKAILKISENGKLLELEERYINSKECAEPVLFSNEDASIGLDSFAILFVLSGCTSTVALAIYISRYIICLLSSTADCSDLFKRVSTFTKRWIDYRRPSSAIVISLENPGNPPDAPCPGARQFVSTTDAESLEDHPQTLGQ >KZN08068 pep chromosome:ASM162521v1:1:1973643:1994600:1 gene:DCAR_000737 transcript:KZN08068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSPRSRVNQFYASKKKSKGASPLLKSKLVEKDARVTLDASPSGKGSLEGFLVTSQDDLPPAGSLQTANCSSARTDFVKRKLELDVRLSSKDENEEVYLGGEGPCQMAKASGEAQQKKLKTSDVGDFSSKGLGKDTSDSSYGLKNPELKQFAADFLSLYCSKEVPPCTAKRQGSPSSIASEEKLQKRRHFSNDECQYLTKGEETCSGEKFNEQVQRQPNVIAKSEVAANNFSREVIVNDSSINFRGSLKKCENTLVDMACCGTPGPLISNGGARETPKSMHGSSIFSPGETFWNEAVKIADGLLAVNKNIPVKVVQQIKDTDKSCKTRNLDMLTDEHHPKQSNVIEYEGPKRICDAGSASLALRRENENNLEKVVSPMPVKHFDFSSEEKAYQKEAQSDQSEHILKADEQGRCYSTNHKPLQRGHIASQMSNELPELHVSTSQKIVDNLLGVNASSTSNTPNNEFRFSSATHSCSEELTPSSFLSPKDRLDIINWLPSEICNTYRKRGISKLYPWQVDCLQVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVLSTGKMALLVLPYVSICAEKAEHLEALLEPLDKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLLNRLLEEGRMSEVGIIVIDELHMVGDQSRGYLLELMLTKLRYAAGDGSTELSSGESSATSTTSGKNDPAHGLQIVGMSATLPNVAAVADWLQGSPSSIASEEKLQKRRHFSNDECQYLTKGEETCSGEKFNEQVQRQPNVIAKSEVAANNFSREVIVNDSSINFRGSLKKCENTLVDMACCGTPGPLISNGGARETPKSMHGSSIFSPGETFWNEAVKIADGLLAVNKNIPVKVVQQIKDTDKSCKTRNLDMLTDEHHPKQSNVIEYEGPKRICDAGSASLALRRENENNLEKVVSPMPVKHFDFSSEEKAYQKEAQSDQSEHILKADEQGRCYSTNHKPLQRGHIASQMSNELPELHVSTSQKIVDNLLGVNASSTSNTPNNEFRFSSATHSCSEELTPSSFLSPKDRLDIINWLPSEICNTYRKRGISKLYPWQVDCLQVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVLSTGKMALLVLPYVSICAEKAEHLEALLEPLDKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLLNRLLEEGRMSEVGIIVIDELHMVGDQSRGYLLELMLTKLRYAAGDGSTELSSGESSATSTTSGKNDPAHGLQIVGMSATLPNVAAVADWLQAALYQTDFRPVPLVEYIKVGNTIYNKSMEIIRTIAKGAELGGKDPDHIVQLCNEVVQEGHSVLMFCSSRKGCESTAAHIAKYLKKFSANSCSDQTEFSDINSAIDTLRRSPAGLDPVLETTLPSGVAYHHAGLTVEEREVVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMSGRAGRTGIDTKGESVLICKPEEVKRILGLINDSCPPLHSCLSEDKNGMTHAILEVVSGGIVQTARDIHCYVRCTLLNSTKPFEDVVRSAQDSLRWLCQKKFLEWSEDTKLYSSTPLGRASFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVDVEPDWELYYERFMELSPLDQSVGNRVGVQEPFLMRMAHGAPVRTSNRSRDITKGFQGRSETRHGVLSHGMLSDDQSLRVCKRFYVALILSRLVQEIPVTEVCGAFKVARGMVQALQENAGRFASMVSVFCERLGWHDLEGLVAKFQNRVSFGVRAEIVELTTIPFVKGSRARALYKAGLRTPQAIAESPIPEIVKALFESSAWAAEEGSAQRRIQMGVAKKIKNGARKIVLDKAEEARVAAFSAFKSLGLEVPHFPPAQLPTAAGSASRKERSTSPVEEAMSSIILEHGKPISTRQSLEMRTIKDKVSSDAGEVPKKLSDGGIEIPGAASEVGNMHDAKNIAVGGKESTTYEFKSSVNCISNTETAVSSPLKATAAGNRLDNKCVNNIRPNPGLEGNESHYGKDTASEKGPINAAKTPGGFESFLDVWDSREDFFFDIHFNKRSEFNSLAPFEIHGVAICWENSPVYYINLPKDLFSSENESNNNVSVNMSNSKKNLFAQKQLIEVAKRRWDRISRILGREDVRKFGWNMKIQIQALKNPAFSIQRFVGLNLGSKSLGLELVDSSYYMFSPIHVKRGVDLCIVAWILWPDEERSSNPNLEKEVKKRLSSEAAAAASRCSRWKNQMRQAAHNGCCRRVALSRALYSVLWKLLTSEELMEALLTIESPLVNILADMELCGIGVDMEGCIRARHLLGRKLKCLEKEAHRLAGMTFSLYATADIANVLYQRLKLPIPEGYNKGKQHPSTDKHCLELLRHEHPIIAIIKEHRTLAKLLNSTLGSICSLARLSMRTQKYTLHGHWLQTSTATGRLSMEEPNLQCVEHMVDFQMSDSEKGECTDGDNYRISPRDFFVPTQENWLLLAADYSQIELRLMAHFSKDPSLVELLSKRKSDVFRIIAAKWTGKMESSVSSIEREQTKKLIYGILYGMGANSLAEQLECSSEDAAEKIQSFKRSFQGVASWLREAVISCRQKGYVETLKGRKRFLANIKFGNSKEKSKAERQAVNSICQGSAADIIKIAMINLHSALVQDVSGSDLSTSLEGSHLKGRCRILLQVHDELVLEADPSVMKEAGLLLQKTMESAATLLVPLHVKLQVGRTWGTLEPFSLE >KZN10662 pep chromosome:ASM162521v1:1:38026622:38037995:1 gene:DCAR_003318 transcript:KZN10662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFMCNGHGALGPKNGKKGGLKVKGKSGGKNDGKNNKGGKEKSVSKFTSSLKGLFRKSDEKKCCKESKKGGCHGGKGSHKGSTIKSVGKKGSKDSGGVKNNKSGGLKGGVKKNGKGKKKGDNNGDGAGEGGHSLGSWDHMINNEAINESSFNKSRKGHNGGSGGRNVGHMGQIGDYPINLMGGDSSAHTNLMGLDQMGRMSNHNQRGQMGQVGQMGINQMGQNMNMGPMGQMGNVPAVHGLPAGAGYYQNMGQAWNQYNQQQQQQLAAMMMNQQQAAALHGSGMYHPAMQGRPQAAAMSYGPAMPPPVTINITEYFSDENPNNCSIMNHQSLIWKLIRV >KZN12058 pep chromosome:ASM162521v1:1:50683338:50686514:1 gene:DCAR_004714 transcript:KZN12058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYQKVQKPRPETPYNENEMRITSMGLVGNYVNYAASLFQERHGRKIVIKGMGQAISKTVAIAEILKRRIPELHQDTAIDSVSLVDVWEPIEEGLLPVETSRRVSAISITLSTEELSKDSPGYQAPTHVEQSRPRQNYQQQRFHQQPREARTGYNVADAYGHGRVRGRGRGRGWNRGGYNNYDGNYRGNYQGGYQGNYQGGYHGNYQGGYQGNYPGKMAGTQIGAKVVLAALVSVVTAIVGLDMEEAGVEVGAEVAVTIFKGWMVSLKMVTT >KZN10953 pep chromosome:ASM162521v1:1:40614530:40614748:1 gene:DCAR_003609 transcript:KZN10953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEVKSQTKKIEEKETSSYWTPDGRTGIYVPRGHESVMDNVPVGAASLKQTYWLRNVQGVDKPDSCYDFHI >KZN11871 pep chromosome:ASM162521v1:1:48928054:48930594:1 gene:DCAR_004527 transcript:KZN11871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYGWLICVMLLMVGSLASSQNVLGHNHSKYPPDLSTICHDDSLTKGYKCEDYDVITDDGYVLRMHRFPQGRINYRGRGKKPPVYLQHGVLVDASNWFTVSHADQALPLILVEAGFDVWLGNTRGTRYSRKHVSGNFSNSDDYWDFTFSEMGKYDLPAFIDFVILQTGQKVHYMGHSLGTTQFFAAFSEWKVEKKVKTATLLSPISFLNHITAKGAIIAAKAYIPEIVGTFGISHINLKVQPLSAITYALCNFPGVNCWEVFAGFSGPNCCMNASTVNLYLHNWPQAAPIKTLIHLSQNIRTGVFSKYDYGDPATNLQHYGVPEAPIYDLQTSIPKDFPLMLCHGGPDALADPTDVQRTLSLLSSHRNIHQIYMDNYAHYDFVNAMTAKDDLYPDIVNFIRTHNHR >KZN11691 pep chromosome:ASM162521v1:1:47035011:47036157:1 gene:DCAR_004347 transcript:KZN11691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNANGEDINDAASNLPGPAAPPRPGMPSIRPLPPPANLAVNIPRPPNPGQYPAPPNSGVLAPPPPRPPLQVRAPPPPMQMNYGQQPFMLNRPPGPPSISVNPPSGLLP >KZN10184 pep chromosome:ASM162521v1:1:33388726:33390978:1 gene:DCAR_002840 transcript:KZN10184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLAGIAPANLLLRPSHFCSYNTRKAFRLNANFNPARPEEEDPLLRFATTRASLQPLFIDTYSACFGPTAELDAEYHSNHYCLATKFIDDKLLAVIKNIDGVKQVVLLTDGMDTRAYRLNWPSSTIIYDISPENVFNRAAQKLKDVGATIPKNCILLHVPVQSFNMEQLCMKGFTGNQPSLWVYQGFPVVNLVHFKEILSLVSSLAMKGCLFLGELPVWLVKTEIGVQWPINQWLDKLFMTYGFRVNIIAYDEVARTYSKHLIPGEHTSILFVAEHLRFSDDQMETWRNVYQRLEEEADEEGFEEL >KZN08628 pep chromosome:ASM162521v1:1:12578142:12578549:-1 gene:DCAR_001158 transcript:KZN08628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDYYSLRYTDPKEYFARVPREWTFCLEESDQLRNDLIGLGAKLHVRDSLSVDPDRNFHGSLGDYRRKVIEAVELRREENNRMLLRHCRFYMLKLAKDSATASGREMTFEEDRQLLQNPHYMSDEEPTDSVDSD >KZN09925 pep chromosome:ASM162521v1:1:30794063:30794994:1 gene:DCAR_002581 transcript:KZN09925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYDYTMERLRDLVMTQYQYAPRQAQMAAHGFFDAMDNDGNGSVSYREFKRFLVSEGFEEFSDKDLFKRLDGDGSRGLDFWEVMSLYYILRSDKPFCTECDDFLLETYFVCNGCRGGPYYFCNSCCQEHNYEMGGDHCYPVYDQPPVHQMQRSQTTNSMVMYTPSRSTSRSSSVGGSSSLRTAYRTFEMALNVANLAVGAAACSIM >KZN11156 pep chromosome:ASM162521v1:1:42178129:42180263:1 gene:DCAR_003812 transcript:KZN11156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSMVCSHLMPGSSAISSIVIRSLIFLSTIQMAATLHFKFTNFNHTNDDQIKYERDAFPANNVIHLTNAVIGQSAESSIGRVTYRQPLHLWDKASRNLTGFTTRFTFVIDSRNDTAYGDGMAFFLVPNGTEVPALATSGGTFGLTNDSQPTNTTANKFVAVEFDVFENSWDPDIDEPEDPLFGRSPDVKIEHVGVDINSVRSNKTVLWDYGRSSVENGWTNEAQISYASSSKNLSVSFRTNITGNFYFVVDLRDHLPDWVSVGFSASTGAAISYNTINSWEFSSTLESHAEAPSPSHRGPVPQLEESRVELVKWVWELHGREQILEAADPKLSGDYDESQRKRLMMVGLWCAHPDWPIRPSIKEAIQVLNFDAPLPVLPPQMPVATYSIPSNLSLEDKATTQRSQTHSSGSSNGTDSSVSATAARLNNTR >KZN08090 pep chromosome:ASM162521v1:1:2564753:2568797:1 gene:DCAR_000759 transcript:KZN08090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELDSGGGQSGGDNNNKAVDADNDDGTTPIPDRVQVGTSPSYKVERKLGKGGFGQVYVGRRLNAPVPHERTGSGAVEVALKFEHRNSKGCNHGPPYEWQVYGSLGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNKSNAMSTEMVACIAIEAISILEKVHAKGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLATKWKDSSTSQHVDYDQRPDVFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPEALCTFCPAPFREFIEHVVNLKFDEKPNYAKYISLFDGIVCPNPDVRPINTEGAQKLQVGHKRGRLALDEEDGEKPKKKIRIGMPATQWISVYNARRPMKQRYHYNVAEGRLAQHIEKGNEDGLYISCVASCSNLWAIIMDAGTGFSSQVYEISPQFLHKEWIMEQWDQNYYISAIAGANNGSSLVVMSKGTQYAQQSYKVSDSFPFKWINKKWREGFFVTSMATAGSRWGIVMSRGAGFSDQVVELDFLYPSEGIHRRWDGGYRITSTAATMDQSAIVLSIPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGQTVS >KZN08694 pep chromosome:ASM162521v1:1:13612334:13613232:1 gene:DCAR_001350 transcript:KZN08694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLLEVIHQSYKRVGTCYLKVGQISWIVQGSETNKSWLPKQRIDEVLLDSTKVKKWLEEKEAEQASSRIKLKRMFSPDSVCVQGTENHQKKRESAAIFLTGNLLETMRRYRGPEATAEYIHSTLNIRS >KZN08590 pep chromosome:ASM162521v1:1:11249792:11257821:1 gene:DCAR_001120 transcript:KZN08590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPVTTTLKDIQEIFLQLVHRETILVGHSLENDLLALKISHHLVIDTAVLYKHSRGSTYKLSLRVLTRNYLDREIQESTNGHDSIEDAKATLELALLKVRHGPEFGAPPSFTRKKLLTVLGECGKTSSVIDNIFVVKRYASDSSNAIPVSSDDEALIKANKEINNEKVHFVWVQFSELNAFLKKQAEDDAKLKETLAEMIALITCKKLTRRKKSIKCQITSELKDTLHGLNTRVKALYTSLPTNTMIIICTGHGDTAVVQRLRRMLTQQVETALQREHIIKVLEELQAQAEVGLCFVGVKH >KZN09239 pep chromosome:ASM162521v1:1:21961032:21976872:1 gene:DCAR_001895 transcript:KZN09239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLVKLDPGSSNSEDSGDPPSTRPIASYDGLECQYQTASYKTNSFKFMEITVMKFLILRLWLKLGRFETRMLKYRSWKPEASTPTSSLGSVKRATQESCIIYFLQEMRRILICSIFVLVFTIMQGVRSDPQAEELLRQCSGSNTKTPLIVGLIGGLCLVLLMLALLLWGYTAPEYAIHGHLSEKVDTYGFGIVVLEIISGRRCSDMMNELRTESLLPYAWKLYESGRHSKLIDETIDPSEYDVENAKKMIEIGLKCTQSPVSIRPAMSEVVVLLGIIKNGDIVAVKKLAIISARINTEFESEVRLISNVHHRNIIRLLGCSAKGPEKLLVYEYMENGSLDTFLYDFKDLLEHARGYIAPEYAIHGHLSEKVDTYAYGVMVLEIISGQRCSDMTNEPGKESLLQYAWKLYENDMHSELIDETLDPSEYEVENVKKIIEIALKCTQSPVSIRPTMSEVVVLLVKEGSVEQKAPSKPSLVQLDQNGFEGQKLPRDDRFTNPNLGLRLAACLIELV >KZN11672 pep chromosome:ASM162521v1:1:46852323:46855030:-1 gene:DCAR_004328 transcript:KZN11672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNEDVEGSVPKFGAIFMSNSATKKECLKRKIFGLPLAMANFVKQVKSGMILFLFEYEKRELYGVFQATSDGIMNLKPQAFTSSGNFPAQVRIAPIWSCRPLSEVVFREAIKDNYYSPKKFRFGLSEQQVRALLHLFYLRRLNDRIPDIHRTRKRKINDDGMLPSHDASESDNWPPWHATSADCGGDASESEVLNKWPFWHVTSADCSGDTLGTINSAPDSAFFSDSRFSTEHVNNSLIGSVGADRESLMNKDRFKSVHNIGDGIEPDFLSSRFSTEHIGKSGGDREPLMIEDRFRSVHNFGEGIEPYIVKSRFSTEHIGKSSIGLVGADREPLMIEDRFKSAHNFGDGIEPGFLNSRFSTEHIGKSGADREPLMFEDRFRSIRNFGDGIEPNFVKSRFSTEHIGKSSIGLIGADREPLMMEDRAKSVLNIGDRIERDHLPVYPSYITLSEDVVADDYTFSKDDGVANACDVESCIKPNFSSGLVSIDTLHRTVPYDPEFPDINYQCPSQIMNSAQDLYSHQVHDEHFLPLSTSKCVPHDAALGSLYSEAPVVKASVFSRLSVAPRTYKKEDKGIHDEDGRLASIDEIMDSLHHAHNRWVKGKPPVGPKSRYVYVRCKEDSEFSNQSQSEPPLVAKEMTTDVDCFLEEDSDIVWKETRVVDFKRRSKKNKVLDDMASKVSAETTRTCKISVNAEGEDLAGKAGKTRKLIRPDFSKKLSHDSTQNSPSALNEATDILGKCEGGEKIDERNSQDHKEVTEVGSGSNECEQKSSLQLGSENRVNVSKQDLVSCPSSGSGAQEQPPYTSRATDVPKNLEEKETAGINHQVSNGDTLEQRCSLQS >KZN11660 pep chromosome:ASM162521v1:1:46770014:46774284:1 gene:DCAR_004316 transcript:KZN11660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRSGNNEYNRGGGGGRGRGGGGRGRGGGRGGAQAGYQQGGGGGRGGAPMGYQQGGRGGGGGRGGPPMGYNQQPVRPWENRPVQGGQQQGGMNPRPVHGGQNPRPVQGGMNPQPGQAWGNRPVQAPVHGRENRPVQGWAQPQQFGGPSSVEAAPEDVPVTGMKSLQISDSPSPALATTPGNKLLPMRRPDRGGKVAVRSTRLLVNHFPVSFNPHSIIKHYDVDVKLDVAPGSKLVKKPVPKSELRSIREKLFADRAEILPMDQTAYDGEKSIFSAVDLPTGSYKVELSDGEDSKSRTYTFTITLVNELKLSKLKDYLCGNLIHKPSEVLNGMELVMKENPSRQRICFGRNTYSREYGREDDLKGGLAASKGFQQSLKSTSQGLVLCLDYSVLAFRKQLPVLEFLTEHLCLRGINDINNCKREVLKALKGLKVTVTHRRTKQKFTVAGLTTESTRNLKFPLEDPEGNEPPREVTLLSYFQEKYGIDIRYKDIPSLNVGKGKRQNYIPMEFCVLVEGQRFQKENLDRNGAMLLKNISVASPFDRKNNICRMINAGDAPCGGDIVKNFEIGVNKNMTSVAGRVIGPPDLKLGTPNGQMKVIKVDREKCHWNLVSNSVVEGKSLDRWALLDFSSASRRNRLNPEKFVWSLRSRCEKLGMHTEEPLAYRSTNMQAFKAVNNLRDLLGYVVEEASRNSNGPLQLIICVMDGKDSGYKSLKWVSETQIGVVTQCCLSEHANAAKDQYLANLAMKINAKIGGSNVELTERLPLLGGQDPVMFIGADVNHPGAMNGTCPSIAAVVGSVNWPAATRYAARVSPQSNRKERIVNFGGMCLDLVNSFAKINRVKPKKIIVFRDGVSDSQFDMVLNEELRDLKAAIYEEHYKPTITLVVAQKRHHTRLFLEDQGGDKRRNISPGTVVDTVVVHPFEYDFYLCSHYGQLGTSKPTHYYVLSDDHNFTSDQLQKLIYQMCFTFARCTKPVSLVPPVYYADLVATRGRMFQEAMLEQYPPSNMSSSSLVSSASSSASFDDASYKLHAALQDIMFFI >KZN11220 pep chromosome:ASM162521v1:1:42768020:42769786:1 gene:DCAR_003876 transcript:KZN11220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPTHVRAQSSPDLPDSREQYDDAALEVVVANVKLLLKLIEDHKEACKKGQNDRRRMLRVAGMMTVLDNVKDRFQKCQSFGNKRPVAKLLTRCNTDIRVSPPRDRRAGEGIIDDDKAKLRKDLHACLVARKSLESMCSSLGKEKEIMAAELARKNYELSEVEQHINDLRAQNRSLLQKVQEFAGDRGDEKGGKGKGKVEGNVGDLQARNKALSEQLLKSVEGYRLMKRKLKSTQEENLVMHESIGGMLEKVGAGLEHTGSSGTGAEFFVSML >KZN10852 pep chromosome:ASM162521v1:1:39564243:39567305:-1 gene:DCAR_003508 transcript:KZN10852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSRKALLERRALKQSFYGRKQFYKVSLSLAVVLWVFLFLLNLWIGHGDGYKEIVFDDNLDGSEVIHAGANTWDEDDLGVNKGSFYAASVEDKPVVQPDSGQNDDTCATGREPAGPDFELQVISESSMNSLTGAEQKAEVESLNLDAEPEPEALKTVLENVELEEANKPKTERLSHAPEPEALRTVLENVEPEEANKPKTERLSHAVPPGLDEFKQKALNSKSKTVNDQAGGIKHRVEPGGTDYNYASASKGAKVLAYNKEAKGASNILGRDKDKYLRNPCSAEEKFVVIELSEETLVDTIQIANFEHYSSNLKEFELSSSLVYPTDTWGKLGNFTAANAKHVQRFVLQEPKWVRYLKLNLLSHYGSGFYCTLSVVEVYGVDAVEKMLEDLVSVSADKVSSEQKSVPTKQVVTEGDQYHDIAEEVESKQPLENSDVKQEGALMDKPDPTEDTRHQQVSRMPGDSVLKILMQKVRSLDVNLSVLERFLEELTSRYGNILKDIDKEIGEKDVLMEKVRADIRSLFDHKESMVRAFLMV >KZN08012 pep chromosome:ASM162521v1:1:1425273:1426692:-1 gene:DCAR_000681 transcript:KZN08012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQKTTSTLDLVPLLAVMLIAAHVLALVYWVYRLATERQQVPRKKKH >KZN11732 pep chromosome:ASM162521v1:1:47592398:47593927:1 gene:DCAR_004388 transcript:KZN11732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTYLNPNYYITVITATSPPNFSFPPFPVSPKFYSSIKFLHCLWYLNHRKPPPRHLVHCHFQMADPNSDVPDYDIPITNFDEMLIPEGSLGFEYEGLNDQPIGENIMLNEDMNQFGHDQPIGENIVSNVDRNQLGHDHPIDENRMSDENMNQFGQSTSQAGTSHVNDQFNARIPGMLNPEISGGENPILVAEWPVPEKPYACSCCQVIREIIHTIEGESRKFEIHGRLGMISHGILEICRGNMTDPNKEHHFYDFCRESIDRVRMFLEQYCEKQKGAGYTIVKDPILTFYQAMSVGIDWNLGFDINDLDFLQGSPLHIGDRGQSNVQQPEAQSNEVRPNTRNYKQQVLSV >KZN09321 pep chromosome:ASM162521v1:1:23161794:23163584:1 gene:DCAR_001977 transcript:KZN09321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLQWGSRKRLRCVKVKESSSSSSVMNGAKSEEESGGNGLIVKKKTTSRVDRRVVAAAAPSTPNHLHDDKNNDIATASRQVQASCPCPPFQSPNRASRDLGTHRSSASGNRKMLSFASPEKEDRYYSTRGSGIGVLDDNSKMFMEATKEKKVVVWPKLYVALSSKEKEEDFMAMKGCKPPHRPKKRAKMIQKSVLFVSPGQWLSDLCQERYEVREKKTSKKRPRGLKAMGSMETDSE >KZN10395 pep chromosome:ASM162521v1:1:35298744:35301791:-1 gene:DCAR_003051 transcript:KZN10395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVNIGVLLLFCTLCNPALAVLDGLLSNGNFELGPKASELNGTEVLKHNGIPDWEISGFVEYIKSGQTQGDMLLVVPEGAYAVRLGNEASIKQRVSVIKGMYYSLTFSAARTCAQEEKLNVSVAPDSGVLPMQTLYSSNGWDSYAWAYQAEYDYSEIVIHNPGVEEDPACGPLIDSIAIKALYPPKATSKNILKNGGFEEGPYVFPNTTWGVLIPPNIEDDHSPLPAWMVPSLKAVKYIDSEHFSVPQGRRAVELVAGKESVITQIARTTPGKTYHLSFAVGDASNSCEGSMIVEAFAGRDTLKVPYESKGKGGFKRAVLRFVATTTRTQIMFLSTFYTMRSDDFASLCGPVVDDVKLLSVRYPRNKVV >KZN09403 pep chromosome:ASM162521v1:1:24625394:24625579:1 gene:DCAR_002059 transcript:KZN09403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKAIIFFFVFVVACMTAAAGQELDLAPAPAPSMDSAASYPAAGAAVAFVSMFVALLWH >KZN08633 pep chromosome:ASM162521v1:1:12686221:12699500:1 gene:DCAR_001163 transcript:KZN08633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGISFNTLSKSNVSGRTEKQKKTVFNGSGDGEASASKKIPPATFMLWVRNLRRFIGFGTGLGSEALMEVRSICDIHRIAMKIEGAKHLNVRKDEVKLRVSPRILSEMIFHLKDEQRKWVHRSGFGLLLNFELEMLPAKLAYNVLQIFDHNSVSLKLKSLDIQITEDDVFDVLGLPYGGLKIQLADETKFKQREECWNAQFSTEKEREQITAQMLVQKMRKQGVSDNFKLNFLIVMSNALIGTTSSSYVDKQLLRIDDDLDHLQRYNWSEYLLHYLVIATEAWNRTASTFFRGSLVFLTLLYVDRVRHMGIKLVERTLPSYIGWTHDELKERQRMEVIDGIFGVGSLVPPIREILKETDCCKADQTKNEYEDDWDDPEVWKQMDEVVKIHKEKKNSKTTQQRDDMAEDNTDEEPPTEDVIEKLLTRAQDLVASKLEFDDDLKKALEMYPDNDSLHFIVEVMDEHFHQRKTSDVEDDEQLWAEDPFFNDQQDDAIIQDDQHDQIIPEKDDQIIQDENLESNQDTDIAKSSTKLPVAKNNQDQNEYEDDWDDPEVWKQMDEVVKIHKEKKNSKTTQQRDDMAEDNTDEEPPTEDVIEKLLTRAQDLVASKLEFDDDLKKALEMYPDNDSLHFIVEVMDEHFHQRKTSDVEDDEQLWAEDPFFNDQQDDAIIQDDQHDQIIPEKDDQIIQDENLESNQDTDIAKSSTKLPVAKNNQDIIQDHQLRRLRIKYNTAILSSGLNAFQKGIVDEAAKLAEKAATYKDFKVAAFEKNPTFPKSILKNTSTSAKKKVIFATNLNTIFEAAAEEQGTQEEQHNDN >KZN11403 pep chromosome:ASM162521v1:1:44371076:44372438:-1 gene:DCAR_004059 transcript:KZN11403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVSSVTKIDFVNIIKAYPQPSFSPKVSTVSFISKSKYSKGRIGSTSEDPSKLGKEFEEFAQKTGEELKGSFEDTRQKVKNLNEKLQAEAHEAVEAGKKNADYLVDKAKEDADKAADLAYELKVKTLDAAVVAADKLKETGGVVAEKWKETGDTVADRVAKAASEAKDVAEVSNQKLGEALDAAKDTAEKIKDSVVEKAES >KZN10849 pep chromosome:ASM162521v1:1:39506989:39526250:-1 gene:DCAR_003505 transcript:KZN10849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGKSFLASRRKTLLLASGIIVASGTAAAYMKSRNSAKRPESFGHHNGVDDNNVGHDKVVGKNSSVKKSRQKKGGLRSLKVLTAILISNMGKRSVKDFLSLLAIVVLRTAVSNRLAKVQGFLFRAAFLRRVPTFFRLIFENILLCFLQSTLLSTSKYITGTVSLQFRKILTRLIHTQYFQNMVYYKISHVDGRITNPDQRIASDVPKFCSELSDLIQEDLTAVTDGVLYTWRLCSYASPKYVFWILGYVLGAGTLIRNFSPAFGKLVSKEQQLEGEYRQLHSRLRTHSESIAFYGGETREESHIQQKFKTLVEHMRTVLHDHWWFGMIQDFLVKYLGATVAVVLIIEPFFAGNLRPDTSTIGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELMVVSRDLSTHDISSLQRSGSKNYVTEANYIEFDNVKVVTPSGNVLVEDLTLRVDTGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVQPLTSNGMVELLKNVDLEYLLDRYPAEKEINWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVQYKSENSDVATGTELEINKMKTSETDRQNDAIVVQRAFVDMKRDSAFSNTKAQSYLSDLIAASPAADDRNLVPVIPRLNVVPRLLPLRIAAMAKVLVPTVLDKQGAQLFAVALLVVSRTWVSDRIASLNGTTVKYVLEQDKTAFVRLIGVSVLQSAASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRKSAYYKVFHMSNVQIDADQRLTQDVEKLTSDLSGLVTGMVKPTVDILWFTWRMKMLTGQRGIAILYTYMLLGLGFLRGVTPDFGNLAGREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFMELLNHSALLLKKKWLFGVLDDFTTKQLPHNVTWGLSLLYAMEHKGNRASVSTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGGINRIFELEELLDAAQSEDVANSTSSSVVKELNSDDSISFSKVDIITPTQKMLARQLTCDIEPGKSLLVTGPNGSGKSSVFRALRGLWPVVNGRLVKPHHVTNEEAGSGRGLFFVPQRPYTCLGTLRDQIIYPLSHEEAEKRVTNFHEKGQTYTSGTNNLDAHLKAILEKVKLLYLLEREGLLMIWA >KZN08478 pep chromosome:ASM162521v1:1:7497418:7497901:1 gene:DCAR_001024 transcript:KZN08478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILGELNSSSDYQRVEGGQAQPRARKVGSNTPIPPKFIVPAPDPPKQYPQKSVKPIISEAPSKAHPAWIKKMQDTPQWEWDHLYMEWDLRELLNIGKVTIME >KZN10516 pep chromosome:ASM162521v1:1:36543031:36546160:1 gene:DCAR_003172 transcript:KZN10516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSYAQIIILFIFVLQTSALANNVTDQQALLSFKAAIKADPSGVLRSWNDSVHFCQWTGITCSHRHQRVTALNLYTLGLVGTLSPHIGNLSFLRRIDLMENNFHGTIPNDIGRLFRLQDLTLEVNSFEGGFPNLSHCADMRNLSLYGNNLTGKLPTEFASWPKLYRFGMGKNNFTGSIPHSIGNLSNLRHLDLGYNNLAGPVPMEIAHLTNLLIIRLAINSLSGVIPLEFYNISSLYVVTLAVNELEGTFPKDLGLTLPNLERFYQGGNRFSGQLPPSIANASKLIDFETAENNITGPIPNNLGSLSNLQLLSLGSNPLGGSMRPNDWSFLDSLSNCTLLRKLGLENSNLRGEFPNSIVNLSTTLEELYLSGNQIYGSIPRDIGKLYNLSSLSLFDNFITGTIPESIAELSKLEGLDLNKNSISGVIPASISNITQLTFLALESNMLEESIPPELFNISTLERLSLANNRLTGVIPEHIAFSSICVYLNLSQNQFTGPLTFSVGSLKHLAILDVSDNKFSGDIPAALGECVMLELLYLEGNLFQDLSSNNLSGNIPRFFYGFNHIQYLNLSHNKLGGEVPGEGCFSNISAFSVAGNLKLCGEYGICNQLSTEGDVYSYGILLLEIFSGKRPTESSIQIDGACNLQDYVREALPKRVMDVAHPRIGLDQDEHDLIVKQSHSRDAMLTCLTSIFEVGILCSDENPQKRIDMGVALKKLLVARDQLLQQ >KZN10760 pep chromosome:ASM162521v1:1:38706088:38707253:-1 gene:DCAR_003416 transcript:KZN10760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPNSKHNVHKPVKNNSQSAVTQTSTPRMGKSATPQQTNKNYFGVVQKHVTKENTKPVDFRLHTQQRAVSRAIYNYTVATKVYLLERQKKQIEKVQKMIEEEEVKMLRKEMVPKAQLMPRFNKPFYPQRSTRPLTIPKEPSMMSDKCSSRFSFYNLFSLRYNEAH >KZN09401 pep chromosome:ASM162521v1:1:24619698:24620322:1 gene:DCAR_002057 transcript:KZN09401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYEVIEQVGRGAFGTAFLVHHKLEMKKYVMKKIGLAKQTDKFKRTAHQEIDNCGHSEGFFFTISRVLVPELGACLPDASYLSSINQYV >KZN09304 pep chromosome:ASM162521v1:1:22777755:22788322:-1 gene:DCAR_001960 transcript:KZN09304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSAAKRNEEEEKALSWESLYKKISPSSDSDLSRSIIQSTDSAIRSARHFQHSSTNFLHSLQDFVPEIRTQCKTYEDALVKNVKDELASARDHPVMACGIAVTAGFLLLRGPRRFLFRNTFGRLQSEEAQYVKAEKNVKALNLSVDLMKKESAKLLERANFAETEMSSGLTALRVSGTQIRQLAKTVHKAEAEAVEFDYVYEEEDGSFSLFRLDSARISKNLNFPYSQGEYQCSIGVTSELVGTDCGIVCVVVRLSNWRAAKKNFDIYLWNPATKHSKLLPSYTTRDEHGTNGTYGFGFDHIDYDFKLVRIVSDTAEVYSSNMNVWRTIESKPISQSSNFHALHGFLFAIHHDSMMAFNLNKEVFIGGIKLPVVSLDEEESSIDITVSKDTVVAITCVLEEGKINLWTLDDETCVCSGGVEASWTKVLCVDIGVPDEFFCVLYSNFEFILIEKDGDRILYNWNTKETTDVAVRPYLERGEIFKYTKSLFSLVGSKRIMWANSYRNLRFSSDWDGGSDAGDD >KZN10676 pep chromosome:ASM162521v1:1:38105596:38107130:-1 gene:DCAR_003332 transcript:KZN10676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSPPKLKKMVDKCVARLQELQYTVTGGRKVISGVSLSPRSTRVYLKTSLRCKQESARIRNATPRKSPPGTLPTNRGEWRRMSLPAMLLGETVGEILQASQFAKDMVAIAPTNTLDATDDPKTPLNVRRKQRSSPENSELKVRRKREKQTALQSIRVDADPPRLQRAKSRINFKISPPKKRENDKENCRFSANRVSPRHKPWAKKAVLFPNPLFHTSPTKNQQKFNKTMSPVIARNRPTTPHKFLIKSPASASKFQVKIKSPPLSLSPTRPSTLIRKSPKISTATKLRRSFSPSRLANKLVSPLRNRKSLSNIDGVKMMMSGLKQRPSSSIPMQFSARRI >KZN10286 pep chromosome:ASM162521v1:1:34209008:34210522:-1 gene:DCAR_002942 transcript:KZN10286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYEGFRPDSVSVAAVLPACSRLESSRNKELGMALHGCAINCGFEGDLFVSNALIDMYCKCGDTVEGQSVFCNMVHKDAVSWGTLIAGYSQNCECRKSGDLYMEMRMSGLRTSAVTVASVLPGFGRLGLLKQGKEMHAYILKQGFEDDNVVGSALIDMYSNCGSSREAEHVFGSTSHRDIMLWNSMIAAHSGNDQFDSAFRVFRGIWGCMLKPNSITLMSILPVCTKLGALKQGKEIHSHAIRSSLLNVVSVGNSLIDMYCKCGFLQLGVNLFNCMMDKNVVTYNTIISSYGIHGYADNAFSFFNSMKAARVKPTKLTFIALLSACRHAGLVDAGESLFNSMIDNYGMQPDMEHYSCMVDLLGRAGHIEEACNFISRMPIEPENDIWLSLLDACRLHNKFKLAEEVGKHILQNELRDSGCHILMSNLYASAERWDDASKVRSMLKENGLTKKPGHSWIQVDREIHKFKARDTNHTKFEIISPMLENLLLEMKKEEYALEPNILF >KZN11306 pep chromosome:ASM162521v1:1:43585366:43587741:1 gene:DCAR_003962 transcript:KZN11306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELNKKKHLVLCVMLILLNHHVNGARAVGDSKDAQQGSKAAEPPTPGTSGSIASAPAAPALPNQIPPAASTAAPALPNQIPNVGAGQIPGDLAGLLNNGSQIPNNGNLSSLLNNGNLSSLLNNTQNPGAGNLAALLNNGTQNPGAGNLAALLNNGTQNPGAGNLAALLNNGTQNPGAGNLAALLNNGTQNPGAGNLAALLNNGAQNPGAGNLGALLNNGAQNPAMMALVGSLFKPVNETSLNQETPNPNVDKIINGMMANKPKPTGPFKLIKIPGVKPDAKPQVPCYFVFGDSLVDAGNNNILKTLARADYAPYGLDFKPQPGPTGRFSNGKTPVDVMSELLGFDHYLPPSELTNGTDMLQGLNFASAAAGIREETAQHLGDKQTLREQMESFKSKIKEMEPLLGGPKNTTDYLGKCLFQFGFGSNDYLNNYFIPNLYPTSKLLTPEQYADDLISKYSVQLKTAYDLGARKFALTGVSQVGCSPNSMAQNSQDGTCVKKFNDANAIYNDRLKKLVDTLNKDHPDAKFTYTNAFGLFQEIKDNAATFGFKDTTDGCCGVGKNHGLFTCLPGIASCPNRNEFLFWDAYHPTEATNIIGSKRSYMKEKPDDVYPHDIHTLITL >KZN09468 pep chromosome:ASM162521v1:1:25604796:25604981:1 gene:DCAR_002124 transcript:KZN09468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLCIWEVKSNKITIWLFEMTENSYGGRSICVNWGKSGTNTKVHSTNLYLLEVNTNKFWC >KZN11125 pep chromosome:ASM162521v1:1:41954587:41956250:1 gene:DCAR_003781 transcript:KZN11125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSALDEMSESGAFVRTPSTFRNLISKDPNSTFPAEAGRYHLYVSYACPWASRCLAYLKIKGLDKAISYTSVKPIWGRTKDSDDHMGWIFPASDTEEAGAGVDPFNGAKSIRELYEIASTNYAGKYTVPVLWDKKLKTIVNNESEEIIRMLNTEFNELAENAALDLYPSTLQEHINEVNSWVYDGINNGVYKCGFAKKQEPYNEAVNKLYEALDKCEDILSKQRYITGKTLTEADVRLFATLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIYQIPGMSSTVHMEHIKKHYYGSHPSINPFGIIPSGPNIDYTTPHDREKFSS >KZN09305 pep chromosome:ASM162521v1:1:22789790:22793593:-1 gene:DCAR_001961 transcript:KZN09305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPKTKIVCTLGPASRSISMTEKLLRAGMNVARFNFSHGSHEYHQETLDNLRDAMDNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKQGQEITISTDYTIKGDENMICMSYKKLAVDVKPNSVILCADGTISFTVLSCDVEKGTVQCRCENTAVLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKLLGEHAKNILLMSKIFLAQKVMVYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVATMAKICVEAESTIDYADVFKRITANAPVPMSPLESLASSAVRTANASRAVLILVLTRGGSTAKLVAKYRPGMPILSVVVPEIETDSFDWTCSDESPARHSLIFRGLVPVLCAGSARASHAESTEEALDFALQHAKTKGLCKVGDAVVALHRVGTASVIKIVTVK >KZN09530 pep chromosome:ASM162521v1:1:26742118:26744893:-1 gene:DCAR_002186 transcript:KZN09530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSECRVSDTGIRGKMKNPEVHNAAFEDKTNVGDVGRSLSEVKKNEIQHMTYLLEKAEEEKRKIGARIDHLKSEKQGLSGSTDAVEKLKAQILNYEASNSNALK >KZN08504 pep chromosome:ASM162521v1:1:9157581:9162189:1 gene:DCAR_032172 transcript:KZN08504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERELSQFSQTLQTPTEGERAAQWVLNAPEPPGLWRELVNSTKEIVLPNGNKSKSSGEHSKSRRMVSVLESVFPILSIGYATLAKLAPQHGLYTNVVPPIIYAFMGSSREIAIGPVAVVSLLISSMVQKVQDPEADPIAYRNLVFTVTLFAGIFQAAFGLLRLGFLVDFLSHAVIVGFMAGAAIVIGLQQLKGLLGITYSTNKTDIISVLAAVFKSLFHNPWSPYNFVLGCSFLCFLLLMRIVGRRNKKLFWLPAIAPLVSVVISTLIVYLTRADKHGVKIVKHIKSGLNPISVHQLQLNDPHATDLVKIGAIVAVVALTEAIAVGRSFATIKGYHLDGNKEMLSMGVMNIAGSLSSCYVATGSFSRTAVNFSAGCETVVSNIVMVITVIISLEALTRLLYFTPNAILASIIISALPGLIDVHEAYNIYKVDKLDFLALIGAFFGVLFCSVEIGLLVAVAMSFAKIIISSIQPGIETLGKVPGTDIFCDVNQYPMAVMLPGVLSVRIKSPLFCFANATFIRERISKWAIEKEEMDAKGIVKAKGKIQLVVLDMTNLTNIDTTGLATLEELYEQLLSQGIMLAITNPKWQVVHKLKVSNLVKKIGGRVFLTIGEAADACLGHRMHV >KZN10422 pep chromosome:ASM162521v1:1:35568749:35572618:1 gene:DCAR_003078 transcript:KZN10422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPPPLPFFPLFFFSFSLTLLSLNVISQPISGDRATLLTIRQELKNPPALSHWNATSSHCDWPEITCSDAGKVTVIDLGNYNITEPIPDSLCDLEDLEDINFSLNYFPGEFPKFLYKCSKLLELDLSQNWFTGPIPADIDRLSKLKWLDLSSNNFTGDIPPAIGGLPDLRALYLHSNLLNGKIPASIGNLTSLLYLGLAYNEFIPASIPPEFGKLSNLTLLWMASTRRVGSIPESFSGLVSLEHLDLSDNELEGEIPGGLFSLKNLDVVYLFKNNLSGSIPKVIDSIQLTEIDFSMNYLTGEIPDDFGKLQGLKILNLFSNKLSGEIPAGLGLLVNLTAFRVWHNDFSGEFPAEFGLHSKLEAFEASENRFSGKLPENLCAGRSLTGVVVFSNNLTGSIPKSLGNCPTLRTVQLYNNNFEGEVPLGLWTSENMYSLMLSHNSFSGELPDGLAWNVSRLEINDNKFSGKVPSGVSSWKNLVVCKASNNQFSGVLPLEITSLTQLTTLELDGNFFSGQLPTEIISWKSLNTLILARNNLSGSIPAVIGTLPNLLVLDLSKNQLSGPIPTALGHLRLTSLNLSSNELTGHIPVEFDNMAYDRSFLNSSKLCATTSELNLTGCYTRIPKSNKLSNKFLALILILAVVVLLATVVSTVLLIGDYRRRKLKRDLASWKLTSFQRLDFTEANILSSLTESNMIGSGGSGKVYRIPIGRVGEYVAVKKIWSNHKLDHTLEKEFLAEVGILGTIKHSNIIKLLCCISSDNSKLLVYEYMDNQSLDRWLHGKRRMESPTASSVHHFELDWSRRMQIAVGAAQGLCYMHHDCSPPILHRDVKSSNILLDFEFQAKIADFGLAKILVKRGEANTMSTIAGSFGYIAPEYAYTTKVNEKIDVFSFGVVLLELVTGREPNDGSDNINLAEWCWRHYGERKPIADILDKEIKKQQNLEEMIAVFQLGLVCTSTLPASRPSMREVLQILRNSSPKEGSEGKKGSEYDVAPLLGGGSPLGATYLSSYRKSKKVLEDESSFIQIM >KZN10969 pep chromosome:ASM162521v1:1:40736980:40739777:1 gene:DCAR_003625 transcript:KZN10969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRSRRNVSQQDEEQQEEEMTEYEKKRQQRIKENKERLEKMGILDLAKNLKPAPKPNKKPLKPAAAPADLTSTRRSSRLTGAERVDYSEKSLKGADYVLIPAPERERRGSLRGPGSRKGRKHSEDEEICIKESSIPEVYTEEHGRLLGDCKMVWILDVDGYDEDGHRIYDQYEGKHCHQCSSLFCYQTKMQCCHLDNVKKQGFKSVAHYLIETRMEHKIKDPNQKDVLEAVADKEFERIDGEVRSDHNSVLEISDDNKQGGMDEDYDATDSHESSGDESEE >KZN08402 pep chromosome:ASM162521v1:1:6241289:6250370:1 gene:DCAR_000948 transcript:KZN08402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPEEELIQLKFRIYDGTDIGPFRYSPSSTVATLKDRVVSDWPKDKKIAPKVANDVKLISSGKILENSKTVSQCKMSFGELGLITMHAVVQPSLAKVKTEKKIDEAPQKNCACTIM >KZN10915 pep chromosome:ASM162521v1:1:40261818:40264022:-1 gene:DCAR_003571 transcript:KZN10915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSRADQYMPEEVITQILSWTKATSLLSCKSVCKSWRSIISQPKFTAAHHPNSLIHPSLLLITSETGYGPDKLDDRLAATIVYSDCSKFNLPLPHFFEAKRFIGSCNGFVCVSDAIGDVIYLSNPVIRTCKQLPRVCLGDAYNREEAPFKVDVVFGFDYVSGGSKVLRIKYRKEGGDSKRVRVSVVELYSSNADSWREIEAGCVMLRNVGEIFDPVVRDGGPKIVMERFVHIGLLCAHVMVTLRPTIADALKMLGSDIDIPELPERPLPLGHESFRSS >KZN09251 pep chromosome:ASM162521v1:1:22086996:22091812:-1 gene:DCAR_001907 transcript:KZN09251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKETSLADIVEEGVDLENAPLEEVFQHLKCTREGLTSDEVTKRLELFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIGLAHGGNKGPDYHDFFGILILLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWKEEDAVSLVPGDIVSIKLGDIVPSDARLLEGDALKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIDAVIIATGVHTFFGKAAHLVENTTHVGHFQAVLTSIGNFCICSIAVGMVIEIIVIYGLQERGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEVFAKGVDKDDVVLMAARASRLENQDAIDAAIVAMLQDPKEARAGITEVHFLPFNPTDKRTALTYINKAGTMHRVSKGAPEQILDLAHNKSKIEKKVHSVIDNFAERGLRSLGVARQEVPDNNKDSSGGPWEFIALLPLFDPPRHDSAETIRRALELGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGKNGNGDSSTLPIDELIEKADGFAGVFPEHKYEIVKRLQELKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRSIFQRMKNYTIYAVSITIRIVLGFVLLTVFWEFDFPPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYMALMTAIFFWAAYETNFFAKHFHVKNFNKHEYDLSNKEVAKDLNEMMASAVYLQISTISQALIFVTRARGWSFAERPGVLLVVAFILAQLVASLISATVSWDTAGIQKIGWGWTGVIWLYNIVTYMLLDPLKFAVQYALSGRAWGLVVDKRTAFSTKKDFGRGAREAAWAADQRTLHGLQSAEPKIFSDNNTYRDLNFMAEEAKRRAEIARLRELHTLKGKVESFAKLRGLDIDNKNQNYTL >KZN10696 pep chromosome:ASM162521v1:1:38240036:38244941:1 gene:DCAR_003352 transcript:KZN10696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNVSGNALKTLARSITCLARIGNELAIQASPSLLTFHSLNSSRSAYQSISLKPDFFDAYIIPGGAQIQCSVLLKAICSVLRTPIASIDHLRVHLPSPDASKLQWTLDCNNGLRKAYWITCNVEPDIQHLSLDRNKLPSSFVVRPRDLNRLLANFQSTLQEITIFATEPTSVPADAASEIGGKAVELRSYIDPSKDNDSSLHTQLWIDPSEEFMQYNHTGNPVDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLQERTDTGPPPAEATTNDESHQGVGSMRQEKTRGNVSEHPSDHTRIWSELSASGIRGGTGAEQRDAQVERNPNTNQREDIHRISELHIARDASGKGNVPNGKEQETPAIVEYCTKSEHIYLTKNFTVNKFASHANDGRAETNNPGFSQHHPSNWVDADEDDDEGDEPDLCIQSTPPYCEER >KZN08306 pep chromosome:ASM162521v1:1:5104881:5106801:1 gene:DCAR_000852 transcript:KZN08306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAWSRWLLMFNTSDFTYALTVTVTVLLVISLITLISIKTKKISPPLPPGPKSLPLVGNLLSLDPDLHTYFTTLGKTYGPIVTLWLGHKVGIVINSPVLASEVLKHHDTIFANRDVPAAGEEATYGGKDIVWTPYGPKWRMLRRVCVSEMLSKSTLDSFYELRRREIRQTMGYFYSRVGLPVDIGEQMFLTTMNVVTNMMWGGTVKGDDRAGLGTELRLVVNGITQLLGMPNISDFYPGLARFDLQGVRKKMKVLAKKFDDIFETAIKQRKEIDEQEGSKDFLQFLLKLRDDKEAKMPLTMTHLKALLMDMVTGGTDTTSNSLEFALAELMNKPQIIQRVQEELDIAVGKNKMVEESHLSKLPYLYAVMKEILRLHPILPLLVPHCPSETCVVGGYTVPKGSRVFINVWSIHRDPSIWENPLEFIPERFLDGQWDYSGKDFKYFPFGSGRRICAGIAMAENMFLFSLASLLHSFDWKLPEGQKLDLSEKFGIVLKKKVPLVLIPTPRLSNPELYQ >KZN09580 pep chromosome:ASM162521v1:1:27361237:27361536:-1 gene:DCAR_002236 transcript:KZN09580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISPKLLKFKAHFVITIFVSLVFAFLLQVAPQFITILTYFWPLFISTALFLVLILVFGKITPTEFYGDKEGEGIMEYVAGQPEYLEESETLEMKSEDF >KZN08770 pep chromosome:ASM162521v1:1:15160189:15161614:-1 gene:DCAR_001426 transcript:KZN08770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETSKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KZN09814 pep chromosome:ASM162521v1:1:29649013:29651899:-1 gene:DCAR_002470 transcript:KZN09814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNAIGQAAQRLSLSVMSPIRYMLREQRYIFILIGLAIPAAVFNVFHVSTPSMVKEISAEPLHSTEVARIPRRMTYELHNPIYVNIGGKVPMGLKRKSLRIVVTGGAGFVGSHLVDRLLERGDSVIVVDNFFTGRKENLLHQLKNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIISFYHSFFTLKNAHKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRVAETLTMDYHRGLNVEARIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGDHIGPFNLGNPGEFTMLELAQVVQDTIDPNAKIEYRPNTEDDPHKRKPDIAKAKKLLGWEPKVSLREGLPLMVNDFKQRLFGDEKESTGGTSLAAV >KZN10423 pep chromosome:ASM162521v1:1:35579563:35579967:-1 gene:DCAR_003079 transcript:KZN10423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPATATKVSRKKAQPTKEGMKRPKPTKVSVVRIKYTDPDATDDSSSDETTSSPKQVVHEVHLRKTSPKYPGARLRKWGNWCAEIRNPITKRRQWLGTYPTAEEASRVYLEKKKEFGEFVKNSKDQIKTSPC >KZN09489 pep chromosome:ASM162521v1:1:25868759:25876188:1 gene:DCAR_002145 transcript:KZN09489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLSLPIKGIEIPQASFLILRHCITVLNWNKKQIYRCVNRPASNQGNMLFSFPQKSSHTRRRDWKVALALNTGGAPGNGSQENLNSDGPGLGQTRLGRLFSAGGRQLLAKLNSTRKNIPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPSSPSTVRQQSLIMMTHKCPSSQDKSQNIVGNLQIAIQPSGVERMSSSRGAKKAGAARMRVGKYEIGKTLGEGSFGKVKYGRHVETGRSVAIKIIARDHVLRHKMVDQIKREISTMKLIEHPNVINLVEVMASKTKIFLVLEYVDGGELFDKIPENLLLDSSGTLKVSDFGLSALSQQQREDGLLHTACGTPNYVAPEIQNADFTCPPWFSPEATKLIKHILEPNPSKRITVPKILKNTWFRKGYKAPNFEKEANVMNLDDVDAVFNSTEENLVTEKKEKPESLNAFELISKTQNLENLFEKQKGLFHRETSFASKCPPNEIMSKIEQAAKPLGFNVHKRNYKMKLQGDETGRKGHLAVATEVFEVAPSLHMVELRKVGGDTLEFHKFYKNFSTGLEDIVWTTEQNQDEKK >KZN08081 pep chromosome:ASM162521v1:1:2380743:2381493:1 gene:DCAR_000750 transcript:KZN08081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETKASVVKVRPTSNQIGSSSSKGKAISSSSSAKHKVTSTTVTKTEVKGKFTSSSSSKTTTSKVTTTTVKKREKKIYSLAGQKYDPPEEREPSRIFYESLSKQIPSSEMAEFW >KZN09074 pep chromosome:ASM162521v1:1:19954314:19955023:-1 gene:DCAR_001730 transcript:KZN09074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVCCQPTESSDADGLYNGWRSEQYTFESSIMSVFMTTYHVGTLVSALKVDRTGLAAGCGKCTGSTQCRSPLLDISNQSFLGSRQKATALPPQKLSQRLAHLLSDENCIARLPT >KZN08617 pep chromosome:ASM162521v1:1:12148019:12148189:1 gene:DCAR_001147 transcript:KZN08617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMMQEVIELQQQHHGTIQHMEAVNEKLQAAEQKQKQMVFFLSKSVPQPCVLGSF >KZN11944 pep chromosome:ASM162521v1:1:49579109:49582304:1 gene:DCAR_004600 transcript:KZN11944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASENVNKLLVGNKCDLTSQKVVSTETAKAFADEIGIPFLETSAKSATNVEQAFMAMTADIKNRMASQPGMNNARPPTVQIRGQPVNQKSGCCSS >KZN08251 pep chromosome:ASM162521v1:1:4307405:4309447:1 gene:DCAR_001316 transcript:KZN08251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSAVDDYCVIPSYTFAPRTLVLVGCTGNGKSATGNTILQRKVFHSKTQSSGVTQTCELHSAVLKDGQILNVIDTPGLFDCSVRTEITGKEIAKCINMAKDGIHAVLVVLSVKTRFSEGEEQVINSLKILFGNKLTDYMIIVFTGGDDLDYDEKTLEDYLGQNCPKPLQMKSDSLLLSVRILNPTQNPKANPKLLHQCEDRRVVFDNRTRDQNKKDEQLQELLSLVNLVVVKNGGKPFKDELFVEWKNEAPKGKDQTDEGTKQENEEKIIMRLTEMIELKFKENISRLEQQLVEERSRFEQQMVEERSRLEQQLAEEKAARQSTEKMMQIAEEKSNDKIHKMKGNLENVERQLREAAGRINCVIQ >KZN09905 pep chromosome:ASM162521v1:1:30639268:30647442:1 gene:DCAR_002561 transcript:KZN09905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVSPLSCPCKRVACPFFASFYSRKIFITHKRKLGVTRLVSRGAKYSLSGDLDGKGLSQEPVAFGTSNITDFREDPIVDKLRTQLGVIHPIPSPPFNSNIVGLFVFFFFVGVVFEKVWTLRKRSKSGSEGGPGMWPQVPSSFSLFLEKDLQRKESVEWVNMVLGKLWKVYKPGLENWVIGLLQPVIDNLRKPDYVERVEIKQFSLGDEPFLVRNVERRTSRGVNDLQVACPFFASFYSRKIFITHKRKLGVTRLVSRGAKYSLSGDLDGKGLSQEPVAFGTSNITDFREDPIVDKLRTQLGVIHPIPSPPFNSNIVGLFVFFFFVGVVFEKVWTLRKRSKSGSEGGPGMWPQVPSSFSLFLEKDLQRKESVEWVNMVLGKLWKVYKPGLENWVIGLLQPVIDNLRKPDYVERVEIKQFSLGDEPFLVRNVERRTSRGVNDLQYQIGIRYTGGARMLLGLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIQSAPWVGAVSWAFVSLPKIKVVLTPFRLFNIMVCCIRFLTKLLTEDLPRLFVRPKKIVLDFQKGKSIGPAPNDYKSEEIQEGNKEYVGELSVTLVDARKLPYLISGKTDPYVILRLGNQVIRSKNNSQTTVIGSPGEPIWNQDFDMLVTNPRKQKLYIQVNDSLGFADLTTGTGEVDLGSLKDTVPTDRIVALQGGWRPFGDRFAGEILLRLTYKGYVEDDEDETTAAKLMDTDVSDDEMFDSEDMYATYEQLKKDSSSGTDKETFMDVLAALLVSEEFQGIVASETGNARSSDDVTASVSTSSSGGPNTEMVPPNSESGNQTFIGSSLFWLAVVTSILVVIAMNMDGGSIFNP >KZN11033 pep chromosome:ASM162521v1:1:41203683:41204702:-1 gene:DCAR_003689 transcript:KZN11033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAHVVKSGFASSVFVGSALVHYYVKLLLINDAASLFDEIPVKNTWCVNALLSGYGEAKMWGEGLKLVQGMRRLNLSCDNFTLTSALQACAGQCAIKLGREVHAKMLRSVFDVERDVFLQSSLVEMYGKCGLVEKAWQVFSMVGYEREGKRMWDVVLWTSMLGVYGRNGNHKEVILLFQEMLMEGIRPDEVALVTVLSACSHTGQVDLGIEYFNFMKSSGLSPMPEHYSCLVDLFCRAGELDKAWTLIDGMPHYKNGKFTVSLWGALLSACSDYGNVSCGRLAAQRALELDPQNVGIYVLLSNMYARHQMWKETDDLRDLIKGTGLRKDVGTSRIEVTN >KZN10618 pep chromosome:ASM162521v1:1:37659432:37659677:1 gene:DCAR_003274 transcript:KZN10618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINWRGIKMKEKMGVVVVKKREKMGDCIYMEGGECIGINEEKAAAERAAFLFLSVLSACFDRWPRFDCCGPCEMVLRPCL >KZN09165 pep chromosome:ASM162521v1:1:21162096:21166005:-1 gene:DCAR_001821 transcript:KZN09165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPCFTRYWNDPDVLKKLGEAMGVAVPGDAATSAEISGVDDSDEVGNEDESIVHNTASVGDVEGLKKALASGGDKDEEDSEGRTALHFACGYGEVKCAQVLLEAGAKVDALDKNKNTALHYAAGYGRKECVSLLLDNGAAVTLQNLDGKSPIDVAKLNNQQDVVKLLEKDSFL >KZN08723 pep chromosome:ASM162521v1:1:14354735:14357588:1 gene:DCAR_001379 transcript:KZN08723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKLLEKEPRNVGALKVLVSGNMKRGNPKEAVKYVERLIDVEPREVEWRLLQALCYEMTGELSKAKKLFKEILKTRPLLLRALHGLAMVMHKNLEGPAVFEMLNKALGTARRRKRVIEERNIRILIAQMHVVKGELEEGLKVYKDLIDENPTDFRPYLCQASYLQGIVYSLLDKKKEAEEQFEIYRSLVPEEFPQRGFLDDVVVAAKTESRQQLEKEFGAEYVHKK >KZN11062 pep chromosome:ASM162521v1:1:41395193:41402445:1 gene:DCAR_003718 transcript:KZN11062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASLFQLLFVLLTFCLSFCLVDSNDGATLLEIKKSFRDKDNVLYDWTDSPSSDYCVWRGVTCDNVTFNVAALNLSSLNLGGEISTSIGDLKGLLSIDLKGNLLSGQIPDEIGDCVGLKSLDLSFNELNGDIPFSISKLKQLENLILKNNQLIGPIPSTLSQIPNLKILDLAQNKLSGEIPRLLYWNEVLQYLGLRGNNLGGSLSADMCQLTGLWYFDVRNNSLSGAIPANIGNCTAFQVLDLSYNNLTGKIPFNIGFLQIATLSLQGNRLSGEIPYVIGLMQALAVLDLSCNNLTGSIPPILGNLTYTEKLYLHGNKLSGAIPPELGNMTKLHYLELNDNKLTGHIPPALGQLTNLFDLNVANNNLDGPLPDNLSSCTNLNSLNVHGNKLSGTIPPSFQRLESMTYLNLSSNNLKGPIPIELSKIGNLDTLDLSNNRITGAIPSPIGDLEHLLKLDLSHNNLSGPIPDELAQLQNIFMLNVENNKLSGDVLSLANCLSLTNLNVSYNNLAGDIPIGNNFSRFSPESFLGNPGLCGSWLRTPCHASQSARRATISKAAILGIAVGALLILFMILVAACRPQNQAPFISGSLDKPVNYSAPKLVILNMNMALHVYEDIMRMTENLSEKCIIGYGASSTVYKCVLKNCRPVAVKKLYTHCPQYLKEFETELETVGSIKHRNLVSLQGYSLSQSGNLLFYDYMENGSLWDLLHVMDTVDPDITTTCKDLNDVKKVFQLALLCSKRQPTDRPTMHEVVRMLSSISIAAVVPTKESTLVPSLTDPSAKVPCYKDEYANLKAPHLVNCSSMSTSDAQLFLKFGEFMKKQVVALAQGYGTSAPVSGTGIWGGFMKLGAHFEVGASTELLLRNNALVEP >KZN09736 pep chromosome:ASM162521v1:1:28878530:28885844:1 gene:DCAR_002392 transcript:KZN09736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSAASQSNHVTDSGLHEKHKQELENLTLTTQPLKTIKFFILAIIQYLKKVILYLLGHGAWLTFLSSFLVLGAALLMNTNGPHEKHFEEIIQYLEFGLWWVALGVASSIGLGSGLHTFVLYLGPHVAFFTIKAMQCGRVDIKSAPYDTIQLNRGPSWLRKNCSEFGPPVFTSSEGLRVPLSSILPQVQLEAVLWGLGTALGELPPYFISRAASLSGGTLDMEELDTSSSEDEGQIASLLNRLKRWFLSHAQYLNFFTILLLASVPNPLFDLAGIMCGQFGIPFWEFFLATMIGKAIIKTHIQTVFIISVCNNQLLDWIENELIWVLSHIPGFDSILPDLVVKLHAMKEKYMTSPPPVNTNVKVNKWELSLASIWNAVVWLMLMNFLVKIVTSTAQRYLKKQQEKELAAVANQEPPAADTSNNTDH >KZN08813 pep chromosome:ASM162521v1:1:15769725:15770544:1 gene:DCAR_001469 transcript:KZN08813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVLTSNRTTLAEVDMVFFPIHRVNHYYVVCYNLKNPAIEILDNRVSERTIQYLYGHQLTILHTHFIEFMKRKNFGKYAEFQRMDAQRLKMRWQTKDNAIDCGIFSMRHMETYFGGGPRNWDSKIQVESYTQKKQISRLRLLYTYRVLTSAINSLSEMIYDEIQDPTLVPDESSYRKALEKLSQN >KZN09789 pep chromosome:ASM162521v1:1:29392436:29393608:-1 gene:DCAR_002445 transcript:KZN09789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDKPDVENVAAPAPEKGAAAPEVPPRSSLTVVEVVLRVLLFVTTLTAVVVMVTSKQTEWVPVPVPPFRRLNSARWTDSPALVYFVAALSVAGLYSIISTLFSISALSKPGNWKFLVSHFVLLDVLLLGIIASAAGAAGAVAYVGLKGNSHSGWVKICTIYDTYCAHVASSVGVAMVAAFVLVLLILLSVFALIKKSLK >KZN08025 pep chromosome:ASM162521v1:1:1538281:1542596:-1 gene:DCAR_000694 transcript:KZN08025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTTPELLNEWIEVQSKLKRKLVTEDDFTWKLASKMRGGDEGESCEVLKYVGGVDLSFSKEEQSVACATLVVLDLKSLEIVYQDSLVVRLSVPYVPGFLAFREAPVLLELLDKMKACSHPFYPQLLMVDGNGILHPQGKSLHLIKLSLYIPSRPSHHIELHHVDGLSKSMVRELFEMDGNSSQDIIPLVGDSGQTLGAALLSTRGSSKPIYVSIGHRISLAVAIDIVKLSCKYRVPETIRQADIKSRQHLHSCRSIH >KZN09431 pep chromosome:ASM162521v1:1:25116249:25117959:1 gene:DCAR_002087 transcript:KZN09431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRWAATAAHMPGRMDNDIKSYWHVHLKKKLGKVNNRGVEGKQNFEDYKHYDDVATFGGLDFIKAYYDKMSHMPGRTDNDIKNYWHVHLKKKLGKVNSRGVEGKQNFEDYKHYDDVATIGGLDYIKAYYDKMCKNVIVVNSITTQQNNQEKAIAFTNKRL >KZN10697 pep chromosome:ASM162521v1:1:38249785:38250138:1 gene:DCAR_003353 transcript:KZN10697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSGVLALLALFLLNILIIQASGSPQNPIGWMPPRSGCRGTVAECLSGGDEDAEFQLDSESNRRILATNNYISYGALQRNNVPCSQRGASYYNCKQGAQANPYNRGCSAITRCRS >KZN10318 pep chromosome:ASM162521v1:1:34533313:34535997:1 gene:DCAR_002974 transcript:KZN10318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDRQSLIPSFLYNSSKTLLLQNLTTSSPVNKSLMIQSPNEPAGKIQMYSPEFYAACTAGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYATKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGLGDGLPKFVKSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKYAVPRPKSECSKELQLGISFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLVGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPVAPAASEVSKE >KZN09944 pep chromosome:ASM162521v1:1:31030073:31032700:-1 gene:DCAR_002600 transcript:KZN09944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLGWIFGRKDTPECQSDPNHEIEILGTSYVDKSNATKNQKHPFSDIHQIHTSYDPFRGTHHLGHNQMFNCLDSSNISSNFKDRSSTLRTEAVVVSSSSDSKSEKVIDDSHSKPNTRVGAFEHRLIDQELSSKHRLHSSLDSSLVSYPSSYEKPLSSPKISPIFKPVLSSDSCSSTTQQNKTKYIWVEKGALPLFTVPEDIKDLIKRDIVPEVLKKPLSPLTYKDYFAALLYSEDYYIEKWDGFEMENVSMEVKMKNVSLELHRAEIHRRNGKSKNLCSSDDNENRTFVQFEMDSIPEKRPFLLSKDFAYVRPSDTDDTPFKGIIYRIEKSKHILVEFDKGFYDQHYSECKYDVKFSLNRVCLKRAHKAVEAASDVVVRNFLFPDIIPRSNNVSLEELHPLHLTFKREQICAAEEIIMHQRPFPYLVEGPLSVSRVRNKLVSKETLTSTGKVIQDAVLQLLRISPLNRILICAPSNSACDVFMINLQKEIPISDMFRSNAAFRGLDEVPGDILPHCPYEEEKELFPCPPLAELRKFRLILSTFMSTFRLHHEGLQAGHFSHIFLVDASSAVEPETLVPLSNLANENTVVVVTGKPGNCPRWVRSKIARNNGLRTSYFERLRNSELYMTLDPQVISQLSLTD >KZN10634 pep chromosome:ASM162521v1:1:37791218:37792771:-1 gene:DCAR_003290 transcript:KZN10634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNYAMFGVGPYGTYWQKMRKITRELLTVHHIEMFEHLRISEIKESVGDIYDFWLKNRGSQSHMVKIDINQWLGCLTQNIVLRTIVGKRYEWNDKKGIQFSERIRTVTEQVGSFYLRDYVSFLRWLDWGGPEKAMQEAAKETDRILTECLGEHKLRRKAKDEQHDIMDMILTRMDKATSPDFEGFDPDTVVKATSLKNKVQQTLALEEE >KZN10273 pep chromosome:ASM162521v1:1:34092723:34094696:1 gene:DCAR_002929 transcript:KZN10273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPNGTIIFSTVGRTEYGFDIFSVNLSGFLHKSPATLPQEQRLTDGISINFNAQFLDDKKNNQSIVYISERNGSAQVYLTEPGLTRPSLLHSVPESKFNDRPIIKDDQLYYISAHEEPDQLFKSWSALYTSRINGEKGKPTRLTPKNEVDYSPAISESGECFAVASYGSRPWSGEFHDLETNIIVFRRSNPEERFVVCHHGGWPTWSGDSTLYFHRQADDGWWSIFRLVLSNNFDETAELCAPQRITPPGVHCFTPAAMHNKNQIIVATRRPDNKYRHIEVFDVELKTFIPVTMLLNADMHHYSPFVSSDSTSLGYHRFRGESCSGESVIPYLERISSPINTLRMLRINGFFPTFSPDGDFVAYNQGLGPDSGLKVVKSDGSRRWTLLKNRIAFNNDWSPTEKNVIYTSIGPIFATVKATVEIARITFDPEDLTDKCEEVEVDVKILTKEVTGNNAFSSCSPDGKFIVFRSGRSGYKNLYVMDAVKGEFEGEIRQLTEGPWIDTMPSWSPDGKLIAFSSNRHNPKDESKFSIYVVSPDGSDLRRIHIAGSDGDTERINHVCFSADGEWMLFAANMAGVTAEPISLPNQFQPYGDLFIVKLDGSGLRRLTWNGYENGTPAWHGRNDVDVGRLSLGGDVAGDELKGRFDEPLWIKCDF >KZN10112 pep chromosome:ASM162521v1:1:32699112:32701601:1 gene:DCAR_002768 transcript:KZN10112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANISGRLPTSANTSVKCPLNFNVLKELAQGSRPSNSTEKPCRSILQGLHYVQSDYLRRTNSFLPPSSASESCWNDYQDLFNQFPNSFDIRKTCAFETPWISQGCENITTRSQYEKINSNSVANVSKACNQTLEISGAACTECTISISVLKPTDQVNKGNQSVGNVSDCIAYRFIYAGAFGHSFGPADRGTAGCLFSLTFDDSKSNNKQRNITLVSVCLASGFLIMAVLGFSWFWWIRRKKQLEKMKRQEEITRKWSKMSSSALDSITSSTTLIKFSFDEIQEATKNFSRANIIGRGGYGNVYKGVLPDGTEVALKRFKNCSDAGDASFAHEVEVIASVRHVNLVALRGYCTATTNFEGHQRIIVCDLMKNGSLHDHLFDSDEKKLSWPIRQKIALGTARGLAYLHYGAQPTIIHRDIKGSNILLDDDFEPKVADFGLAKFAPEGATHVSTRVAGTMGYVAPEYALYGQLTERSDVYSFGVVLLELLSGKKALLELEEDHHTLVADWAWSLVRTGRPLDVVEEGIPELGSPDIMEKHVLLAVLCSHPQLYARPTMDQVVKILESHLPVPVIPERALPITADIDDIERSVSSINSGHLSTYSGYQTYTDNSAPSTPKL >KZN08990 pep chromosome:ASM162521v1:1:18737171:18737884:-1 gene:DCAR_001646 transcript:KZN08990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRGIYFFCFLIFLLLVPESLLAKSFGINRKVKEQALPPSELDGNKQLESDSSSKDNQVPQGTQIPPNSVEDSNHSGIMSKDKGDSKDSKQLGSSFKDTEDTNDKKKSESSSKDEEEKEKMDKTEKDKKKKEEKNKKDRKQNDKEEKDTTQKNKKEKREKDEPEKEGNDKKNKGNKEKKDEEENDKKDKEKKDKMEKEEKDKNGKEGKDKEEQGKKDKEEMDIEKKENNKNKQSG >KZN11145 pep chromosome:ASM162521v1:1:42118874:42119392:-1 gene:DCAR_003801 transcript:KZN11145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFDAYDIQRMPLLLAMKNNIALVTAENYHLELQDLTLTRLHLAQQLNQFGTIQVSTNLDLEQLTRVSGPLSRVKARIGELEKSIIHQTHAAERIESSYMYHLGGAPLLELNDAESADIAKRRLRDTCGWTGTACLDRALDPVVRADIVQHLNGAPHRIFRRTASGDVVLE >KZN10844 pep chromosome:ASM162521v1:1:39482362:39482520:1 gene:DCAR_003500 transcript:KZN10844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLKMTCTSRVSNWTLFLLPADIQHLMNKLPVELNSTFLALEILSSQLKAD >KZN12049 pep chromosome:ASM162521v1:1:50601644:50602336:1 gene:DCAR_004705 transcript:KZN12049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTALSNLSCKVVANAPRIVKPNCIRNSSTYDKAAGAAKQGANEAAKVGQQAKDKASAAAGEVAGKTKDAAKNMSGGKAQKNAEEAWDSVKNKTQKIKDTVVGKAEETKENVKRKK >KZN12048 pep chromosome:ASM162521v1:1:50599412:50599879:-1 gene:DCAR_004704 transcript:KZN12048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLCICMLLVVFACAFRNANAARNVPNKKGDSVSDEKNVVNYSGVGVYSGVGNNGMPFGGIGGAAGTGGDLGGGGGGLPGLGGAGGLPGIGGTPGTGAPGISSGTGFPTVGTGNGFPAVGGFPTIGGAGGLPTLGGIGGFPGTGGGAAGGLPFP >KZN09932 pep chromosome:ASM162521v1:1:30894331:30895360:1 gene:DCAR_002588 transcript:KZN09932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIIETVYRGARKGRGLVIAPRDYSTRYRY >KZN08619 pep chromosome:ASM162521v1:1:12249298:12252140:1 gene:DCAR_001149 transcript:KZN08619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSNKKLSLILAFINFFLLACSEQLNASSGIPPVAYQAKALLAWKAGFDNQSQSVVASWKGNSPCSKWIGISCSRGGNVIRISLTSYGLRGKLHDLNFSSLPNLLKIDLFNNSIYGTIPQEIGKLKYLMYLELARNGLTGKIPDSVGDLRNLRVIQLYENQLSGHIPPTLGNLTMLDSLFLHLNFLSGSIPSELGKLKNLAYLRLSSNNLTGTLPLEFNNLTRLVAFRMSENLLTGPLPDNICAGGLLEKFTVPNNRFTGPVPRSLKNCTSLYRLRLDGNQLTGDISEAFGVYPHLNYVDLSRNKFYGKVSSNWGLCKNLTSLRISDNNMSGQISPELGKATLLVELDLSRNHLVGGIPNSLANLASLLELLLHDNKLSGDIPPDIAKLPNLANLNLGNNNLSGLIPKNLAMCRHLLNLNLSQNCIRGRIPSEIGSLQSLQYLDLSWNLLTGEVPKVLGGLRSLETLNISHNELNGSIKSTFELMQSLISVDISYNQLEGPLPDTKAFREAPVAALEKNKGLCGNIIGLDKCPGIQHDEGKQKQHRKLFILILLSLLAVPIFLYISALIVYYLHHRARNLKTKATIEDTNLFTIWSYDSKIVYEEIVRATDNFNADHCIGTGGSGSVYKAHFPSGQNWAIKRLHAPEDEMLPDLKSFTNEIRTLTSIKHRNIVKLYGFCSKPQQSFLIYEFLERGSLSNILNNQKIAANFEWIQRLNVIKDVVSGLSYMHHDCKPSIIHRDLSSKNILLDSNSVAHISDFGTARFLKPNSSNWTSFAGTYGYSAPELAYTMNVDEKCDVYSFGILAMEVIMGRHPGDLVSSLSTSSARSFQSSVDETLVKDVVDQRLPSPKTHVAEEMVYIIKIALACLHLIPQCRPTFRQVSLQLSKRRPPLQTAFHMITLSQLLDPRRDQSLH >KZN08517 pep chromosome:ASM162521v1:1:9597289:9600074:-1 gene:DCAR_001047 transcript:KZN08517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPSGASSGSKSTSILPYQTPRLRDHYLLGRKLGQGQFGTTYFCTEKATGDTFACKSIAKRKLLSKDDYDDVWREIQIMHHLSEHPNVVRIKGAYEDNVFVHLVMELCKGGELFDRIVQKGHYSEKKAVELMKTIVGVVEGCHALGVMHRDLKPENFLFDSPDEDAKLKAIDFGLSVFYKPGHYLTDVVGSPYYVAPEVLQKYYGPEIDVWSAGVILYILLCGVPPFWAETDSGIFRAILKGNIDFRSEPWPSISDSAKDLIRKMLDRSPKQRITAHEVLCHPWIVDDIAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAESLSEEEIGGLKQLFKMIDTDNSGTITFEELHNGLKKVGSNLMESEIQDLMNAADIDNSGTIDYGEFLAATLHMNKMEREENLVRAFAFFDKDGSGYITIDELQQACKDFGLGDVKLDEMIKEIDQDNDGRIDYNEFTTMMKKGAEGGAGIGSRTMRGNLNFNLAEALGTTDSTGLEGALDSLKVTEAPENSEK >KZN11725 pep chromosome:ASM162521v1:1:47490230:47493936:-1 gene:DCAR_004381 transcript:KZN11725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTDEARLVSAMDLHGTITCHSTSAASSDFGGLHHTKPLAVIRPSGADDISKAVKFAGNSANLTVAARGNGHSINGQAMSDGGFVVDMRSTENCVFSVMRRHRGAADYVADVSGGALWEDVLKRCVSEFGLAPRSWTDYLGLSVGGTLSNAGISGQAFRYGPQTSNVLELEVVDGNGDIVICSKNQSSELFYSVLGGLGQFGIITRARVLLQQAPEMVKWIRLVYSEFSEFTQDAEFLVSLPDGGDSFDYVEGFAFCNTDDPVNGYQSVLLDSSQRFDPTRIPCSAGPVLYCLEVALHYSKSHDSSSVNAVVNRLIGRLRFVEGLRFEMELSYMEFLLRVKRVEQEARCNGIWDSPHPWLNLFVSKMHIADFDRLVFKQILNHGVGGPMLVYPLLRSKTVASIHRNGGRDVSLWIYLLMENEMQSEVAFRDSRVIHK >KZN11338 pep chromosome:ASM162521v1:1:43777214:43777417:-1 gene:DCAR_003994 transcript:KZN11338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFDRQIVSVFRWQIVSVFCMMGHHSPPVLSHLGSFASFTESSWQVVADSFTNLTIVRPIMYIEVA >KZN10453 pep chromosome:ASM162521v1:1:35814311:35825547:-1 gene:DCAR_003109 transcript:KZN10453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVPMDVDYIPDESNYLVDSKGLHEIELKKFLKTATQSFFKQHGLISHQLNSYNEFIRDGIQEVFSSVGEIPVKPLYDSSQYGDGEWRSASVKFGKVTFEQPKFYAGENFPTDDGDCFKDMLPRHARLQNMTYAARMKVQVNFKVYTEKMARSVGFGNENDQYVEKTVSSENNTEMIMGKLPVMVKSDLCRMKGVTEGDCDFDHGGYFIINGAEKVFVAQEQSCLKRLWLSCNPSWTASYRHTFIRKRVQVKLVDSSKIEHTGGRKVLSVKFLTEMPIWILFFALGVSSDKEVVNLIDANAEDGTVVNILRASMREADNQCENFRRRGIALSYIEQQFKTCEFPPEESVQECIDKLLLPKLVGIKQKARFLAYMVKCLLKAYTGRRKFDCRDDFRNKRLELASELLDRELRVHINHAKRRMIKVMQRDLDGDRSMNRIEHYLDCSIITNGLSRAFSTGAWSHPYKKAEKISGVVANLSRTNPLQSISEMRRTRQRVEYTGKIGGARYPHPSHWGKICFVSTPDGENCGLIKNLASTALVSTRVLEPIFDKLLDCGMEELVNDTSTSLHGKNLVFVDGELVGVCQDSASFVAELRSMRRRKELPNQVEIKRDEKQREVRIFCDAGRVLRPLLIVKNIMRIKSIKKGDYGFQSLLDNGIIELIGAEEEEDCRTAWGIEHLRSAYGGDVPMNYTHCELDMSFCLGLSAGLVPFANHNHAKRVLYQSQKHSHQAIGYSTTNPRIRVDTLTHQLYYPQKPLFRTMLSDCLGRPDCNITPFRPEFYNSQCAIVAVNVHFGYNQEDSIVMNRASAERGMFRSEHIRSYKADIYNDEAFSKPQKVEDSMKFGKIQSRVGRVECLEEDGFPYIGASLKAGDIIIGKHAESGADQSLKLKHTERGTVQRVVLSANDEGKNFGIVSLRQVRFPCLGDKFSSMHGQKGVLGFLEAQENFPFTAQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIALGGNEKYATPYSTPSVEVIADQLHKAGFCRSGSERVYDGRTGEMIRTLVFMGPTCYQRLTHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLIAHGASANLQERLFLCSDYAQMHICRKCKHTANVIQRTMGGKHKIRGPYCRYCESAEDIIKVNVPYGAKLLSQELFSMGISIKFETKLW >KZN10576 pep chromosome:ASM162521v1:1:37167196:37170607:-1 gene:DCAR_003232 transcript:KZN10576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTNAAAKGCVESNGSGQNGFAEQKVDDFRRLLGKADGDPLRIVGVGAGAWGSVFTAMLQDGYGQFREKVQIRIWRRPGRAIDKATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFEEISKYWKERITPPVIISLAKGIEAALDPEPYIITPTQMINRATGVPMENILYLGGPNIASEIYNHEYANARICGSEKWRKALGKFLRQPHFIVWDNGDLITHEVMGGLKNVYAIGAGMIASLTNESATSKSVYFAHCTSEMIFITHLLSENPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELSLDMGDSIKGKGTIQGVSAVKAFYELLSHPRLSVLSPEENKNVAPAELCPILKTLYKMLIVRELPLDAILQALRDETMNDPRERIEIAQTHAFYIPSLLGQ >KZN08452 pep chromosome:ASM162521v1:1:6977970:6978583:1 gene:DCAR_000998 transcript:KZN08452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGNGRANGRPLEASHSALNVVKAGKANELCGKESTTECKGKHKRDAREEEARHVFENQDYIYTQSIP >KZN10019 pep chromosome:ASM162521v1:1:31752683:31755838:-1 gene:DCAR_002675 transcript:KZN10019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSLAGPQNLHGAAAVKFSPSRTQPTPKFSPFTVNMSLQKDAPSIAVVGVTGAVGQEFLSVLSDRNFPYSSIKMLASKRSAGKKFTFEGVEYVVEELTEDSFSGVDIALFSAGGGISKKLGPIAAKCGTVVVDNSSAFRMDENVPLVIPEVNPEAMRDIKLGKGAIIANPNCSTIICLMAVTPLHKRAKVLRMVVSTYQAASGAGAAAMDELVQQTREVLEGKQPTCNIFKRQYAFNLFSHNAPVLSNGYNEEEMKLVKETRKIWNDTDIKVTATCIRVPVMRAHAESINLQFENPLDEDTAREILKNAPGVVIIDDRASNNFPTPLEVSNKDAVAVGRIRRDVSQEGNYGLDIFVCGDQIRKGAALNAVQIAELLL >KZN11073 pep chromosome:ASM162521v1:1:41487967:41494723:-1 gene:DCAR_003729 transcript:KZN11073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLILKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDAIQDWIERVAAIPVDGEEGSADVCVIELGGTIGDIESMPFIEALGQFSYRVGTGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRSLGLTPHILACRSTTELEENVIEKLSRFCHVPAQNIITLYDVSNIWRVPLLLKEQKAHEAILKVLNLTGVARKPVLGEWTSRAQLCDMLHHPVRIAMVGKYTGLSDSYLSVLKALLHASVACHRKLIVDWVPASDLEETTGQENPDAYKAAWNFLKGADGVLVPGGFGDRGVEGKILAAKYARENKIPFLGICLGMQIAVIEFARSVLGLEDANSTEFEPNTQNPCVIFMPEGSKTHMGGTMRLGSRRTYFQIKDCKSAKLYGNRSFIDERHRHRYEVNPDMVPQLEKAGLSFAGKDETGQRMEIVELPSHQYYVGVQFHPEFKSRPGKPSALFLGLIAASCGQLDSLLNKGVAKMSNGTSAMAPHQNGYSVKLANGNGSLDGVIYSNGNGLHA >KZN08121 pep chromosome:ASM162521v1:1:2910893:2911887:-1 gene:DCAR_000790 transcript:KZN08121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFANSTEDAGAAPDFSEDAYEIPDPEFYNFNGNKSLEKFEIGQVWALYSDEDGMPKYYGRIKKVDLLPQCKLHVAWLGVCSTSNDIMQWNDKKILVTCGRFQLRKLKPSEYTSTAPFSHKMRKTGIDKEGWDLGQHLDSLNVIFQSMQFCGRVPRSKI >KZN09837 pep chromosome:ASM162521v1:1:29851385:29852587:1 gene:DCAR_002493 transcript:KZN09837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNVPIQSPARLGLPNPNSPAPPKFSSSQIVQSHHSHQQSNTAGAVVTTTSPALLPLLPPLPRAQSLLVQMASLASRLFEVSPSRAHWLSAFRGSLPSFLSSQTQTLAPVPLDASPSSAKEIIALFTTLQTQLFEAVAELQEILDLQDAKQKIIREIRVKDSAVLGFANKLREAEQVLDELVDDYSDYRHLKRAKLDGGDDETYTTSVATRIKVNDILSYAHRISYTTFAPPEFGAGQVPLRGALPPAPQEEQMRASQLYAFADLDVGISKTVEDNETMIEPLIQSPATQPSESALANMATMQGMIPPNFVIPSGWKPGMPVELPIIPPPGWKPGDPVALPPFDSISGPSLVDEQPARPIIAPGISKAPEPIQVRHVQLDIEDDSDYTSDEASSDDDED >KZN10964 pep chromosome:ASM162521v1:1:40675841:40683008:-1 gene:DCAR_003620 transcript:KZN10964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQEKITAPYGSWESPITADLVSASGKTLEGFTLDSSSNLLWLESRPSESGRLVIVREADKVGEQATDLTPEGFSVRSTVQEYGGGAFSVCWDFLVFSNFDDQRLYRQSLCSKDSRPVPLTPDYGGPLVRYADGVFDRTLDRYVAVREDHRENGKQPTTEIVSIGLKYMQDIEEPKVLVSGSDFYAFPRLDPKDERLAWIEWSHPNMPWDKAQLYVGCISESGDIYKRTCVAGADPSIVESPSEPKWSSTGELFFITDRNNGFWNIYKWVGHGKEIQAVCSLNAEFTRPLWVFGMSSYDFIQSNEQGSIIACSYRKKGKSYLGIIDEVQNSFSKVDVPLSYIANIVSGGQFLYIEGASAVIPVSLVKVTLSNQKAEVVDCQIIWSSSLDSEKHESYFSIPELIEFPTDVADQTAYAFFYPPNNLNYKLNEEEKPPLLLQSHGGPTDEAHGILDLNIQYYTSRGWAFVDVNYGGSSGYGREYRERLLGSWGAVDVNDCCSCAKFLVDEGKVDSERLCITGCSAGGYTALATLAFRERFKAGSSLFGIADLSSLSADMHKFECHYISNLAGNEAALHDLSPINSVEKFSCPIILFQGLEDPVVNPEQACKIHAALKKKGLPVALVEYEGESHGFRKAENIKSTLEQQMVFFARTVGQFNVADNITPILIDNFD >KZN10042 pep chromosome:ASM162521v1:1:32003292:32010666:1 gene:DCAR_002698 transcript:KZN10042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEDPSRKNFPYRPAASPFSSPQSTMPFLSSPPVVGSQGPIGGSNSSSVRPSTTPASQTSPFISSRPAVGPEPSNFRPGPPVRSTTPFMNPSQPSYSQTPAGPFQRAPGQQFPSNTQVPPPRTSPMGQQASPMPTNHSAASYTSMPALQNPNYQSSAESSYFARPNLQQSSPSMRPYFAPGTQPNAAAQTAPVPSASFLNHQNNYVQPPPVAPTPFISPQHGYAPPPPGATLGPYSMDKIRAPSSTASLGPAQGLAEDFNSLSIGSVPGSFDPGLDSKALPRPLDGDLEPKSYAEMYPLNCNSRYLRLATSAIPNSQSLASRWHLPLGAVVCPLAEAPTGEEVPIVNFATTGIVRCKRCRTYINPYVTFTDGGRKWQCNICTLLNEVPGDYFAPLDAGGRRIDLDKRPELVKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGVIEVVAQTIKSCLDTLPGYPRTQIGFITFDSTIQFYNMKASSSLTQPQMMVVSDLEDIFVPLPDDLLVNLSESRSVVDAFLDSLPSMFQDNVNVESAFGPALKAAYMIMNQLGGKLLIFQNTLPSLGVGRLRLRGDDLRAYGTDKEHALRTPEDPFYKQMAAEFTKYQIAVNIYAFSDKYTDIASIGTLAKYTGGQVYYYPNFQATIHKERLQHELARDLTRETAWEAVLRIRCGRGVRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLCLEETLLTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVADLGEMYRQADTGAIISLLGRLAIEKSLTSKLEDARNSIQLRIVKALKEYRNLYAVQHRVGSRMIYPESLKFLPLYGLALYKSTALRGGYADAQLDERCAAGFTMMALPVKKLLKLLYPNLIRIDECLLKADALESTWKKVPLAADSLDPTGLYVYDDGFRFVVWFGRQLLPDIARNLLGEDYTTDYSRVSLYEHDNAMSRKLMKILKTFRETDPSYYQLCHLVRQGEQPREGFFLLVNLVEDQVGGMNSYLDWILQIHRQIQQNA >KZN07935 pep chromosome:ASM162521v1:1:773022:775601:-1 gene:DCAR_000604 transcript:KZN07935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNTERYGEIYGVDISAIKEAQVRISPFIHTTPVLSSETLNSTAGRKLYFKCECFQKGGAFKFRGASNAVLSLDNDQAAKGVVTHSSGNHAAALSLAAKLRGIPAYIIIPKNAPNCKVENVRRYGGHIIRSEATMQSREETASRVLQETGAVLLHPYNDKRIISGQGTISLELLKQAPLIDTIIVPISGGGLISGVALAAKSINPNIRIFAAEPRGANDAAQSKKAGRIITLPETNTIADGLRASLGDLTWPIVRDLVDDIIVVEDKEIIQAMRLCYEILKVAVEPSGAIGLAAVLSDSFKGNPAWKDCHHIGIVLSGGNVDLGTLWDSLC >KZN10605 pep chromosome:ASM162521v1:1:37510678:37511046:1 gene:DCAR_003261 transcript:KZN10605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAYCSDCKKNTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVISKPNGVTSDFLSSSLGRWQNRGSNPDRSLILAFKTIATMSDRYFIF >KZN11209 pep chromosome:ASM162521v1:1:42635979:42637064:-1 gene:DCAR_003865 transcript:KZN11209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFILIAGLTKIDTSNFQPFAPFGVHGVLRASAMLFFAYVGFDGVSTLGEEIKNPGRDIPIGLIGSMLITITLYCLLSATLCLMQPYSQLDSDAPFTIAFEAVGMSWAKYIVAFGALKGMTTVVLANIIGQARYFTHIARTHMAPPFLAVINEKTKTPVNATVIMTIANCLVAFFTSLDILANLLSIATLFIFSQVSIALLVRRYYVSGETSSSDRNKLIGFLVLIIFSSIGLAIVWVVSESYIGYTVMAVLWFVSTLGLKLSLKQARKPKVWGIPWMPWLPAASILINIFIMSSIDGSSYIRFLVWTAILLIYYLLVGLHASYDAAVENTKARNVAAEMANVEAGVAPVTAPNAEEASRT >KZN08491 pep chromosome:ASM162521v1:1:8393840:8400201:-1 gene:DCAR_001037 transcript:KZN08491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGKPIFDLNEPPAEDEEENNSFFCFQPQPAVPSSSAHTSDAFTASSSSQILVNNHAFSHASSVSGFQPFIRSKGAQAAETGDDQNNLGDTNFSSVSSKSNNGDDKTASQLVLESVDDQVVEDKESFGDRSRMVNERSISGGKKDVEIKPMADMVIHGDSGPVIEKVSGSTADNIKEGCSNTASVALDTDLNDVKHIQIVETNCKPDNSTNCQEEPASVPKQREIKGAESIHALKLANNSGKRPKVDQHKEAMLGKKRSRQTMFLNLEDVKQAGAIKSSTPRRQNFTPSTTTRTVKEIRPPSLVSAPPTGEKLNKDTKQVNLSYNEGSSLGESNDPKSEGDGDMTSGAAITRSRRLNSTTDLSAEAQMSTIPRQGPWKTPTDLRQNKNSQGTSKKLTASNQSFVDTKAVAKKLPSKKQNTSSVTQYLDTSVERLLREVTNEKFWHHPEEAELQCVPGQFESVEEYVRVFEPLLFEECRAQLYSTWEELTETVSRDLHVMVRVKSVERRERGNVFKSDDDHILRKLHPKGIWYLTVLGTTATTQREYIALHAFRRLNLQMQTAILHPSPEHFPKYEEQQPVMPECFTPNFSDYLRKTFNEPQLAAIQWAAMHTAAGTTNAMAKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLIQYQHYYTALLKKLAPESYKQTNESNSENVATGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKIYRPDVARVGVDSQTRAAQAVSVERRTEQLLVKNRDEVFGWMHQLRAREAMLSQQIGNLQMSLNAAAVAGRAQGSIMGNANALIKSEDWAALINDAKARNNYMDMDALPKDFLAPKAPAYAPLPVKSARGFRSGPRQRPYDMHMESRSGTPSEDDEKSNSTLISRNGSYRSFKPHSENSLDDFDQSGDKSRDAWQHGVQKKQNYAGIMGKRDL >KZN11914 pep chromosome:ASM162521v1:1:49374349:49383260:1 gene:DCAR_004570 transcript:KZN11914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTTPYSRAQTAAAGARSGGKIVKSRRLAAARTPYDRPPPPPPQNSTSNWLTGGVLPKTSRIIAKGAMKLFTFMTDTNSISDEDESEEDDDVGSCDDDAPFEKDGAFTETINEEEPQISGKSPKWVIEKLILQETFSREESDKLIEIIKSRVVDFSTIVEGQGAGLMVTPVRRYGDDIASYTPERCSKAVMEAKSWLEEKKAGSSSKSDMGHELSAFGSVPLQHIANIDKGSPVDMAKSYMQARPPWASPLRHSGSRTTSPMAIDLFKDEKLSSADGSSVPSAQKRGSLSAGSWTIQEEIRKVRSKATEEMLRSVPSTRLDLSTFTSEHKNIQSSGVPDDGSDKMHLSESLPETEPVGASVNLVAGCSTTHDPKGSALEMKQNSLHTEYLPANPDASISEPNEDLNVKEGTTTYSHQSISLEHMVKQHDDHLCAANDINSKSASISTNNNITNSGPPNANGSASPKSSLSAGADIEQLPKELDSKPMTSISAEEVCGFLSEASLEIPVVNDTVDGSQDLQVSTHQEETKNGTPGKGERKSGRIQRKGRGRGK >KZN09796 pep chromosome:ASM162521v1:1:29436953:29445699:1 gene:DCAR_002452 transcript:KZN09796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGSGDGEASASKKIPPATSMLWNRKLRRFIECGTGRALMELETKRTLLDMFKEKQQKNAESGSLPSFYKKKQSDLLLNADDLDAMWMNYEDFCHIASECTEQMGSKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRMQMKTYISGLIPNLAQLRDMPEAFISMYCCIAAHKFFFFCDPHRRGKACIKKLLLSNALQELIELQEESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMSGTLNKHELRAYADGTLTDIFIERAFDEHIGRGKNGGNGREMDFENFLDFVLALKNKDTEEGLTYLFRCLDLHGRGFLTTADIHTLFRDVHRKWIDGGNYELCIEDVRDEIWDMVKPADPLRIPLADLLSCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >KZN11091 pep chromosome:ASM162521v1:1:41642481:41648346:1 gene:DCAR_003747 transcript:KZN11091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLLGVLFCVVLVSVNGRFVVEKESIRVLSPYKLRSKHDAAIGNFGVPEYGGSMVGSMVYSHQNSYACKPFDEEKKQPFKTNSTRLHILIVDRGECFFALKVWNAQQAGAAAVLVTDDRDEPLITMESPGESADADGYIDKIGIPSALIDRSFGETLKAAVQKAEEDVVIKLDWRESMPNPDQRVEYEFWTNSNDECGIRCDEQMNFVKDFKGHAQILEKGGYTMFTPHYITWFCPAPFILSDQCKSQCINHGRYCAPDPEKNFGTGYQGKDVVFENLRQLCVHRVANESNKSWVWWDFVTDFHIRCSMKEKRYSKECAEDVLKSLRKLERTAVLKALCAGFQETTEPPICLSGDMETNQCLERNGGCWRDSKSNITACKDTFRGRVCECPVANGVQYKGDGYMSCEAFGPGRCAINSGGCWSETKYGQTLSACSDSDITGCRCPHGFQGDGHKCEDINECSEGLACQCEGCSCKNTWGGYGCKCKGEKLYIMEQDTCIERNSTKFGWFLALLILGAVVSAAFAGYIFYKYRFRSYMDSEVMAIMSQYMPLDSQHHNQVTQHENGSLRQGSSGV >KZN10396 pep chromosome:ASM162521v1:1:35305284:35306912:-1 gene:DCAR_003052 transcript:KZN10396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSTFTGLPSNLAFSEASPEWMNKADNAWQLIAATLVGLQSVPGLIILYGGAVKKKWAVNSAFMALYAFAAVLVCWVCWGYRLSFGDKLIPIWGKLDVALEQKYLLKQAFSGLFPNATMVFFQFVFAAITLILIAGALLGRMNFHAWMLFVPLWLTFSYTFVAFSIWSLEGWLATMGIIDYSGGYVIHLSSGVAGFTAAYWVGPRLTKDRERFPPNNIILMLAGAGLLWMGWTGFNGGDPYSASTDAALAVLNTHVCAATSLLTWLILDMSFFGKASVIGAVQGMITGLVAITPAAGVVQGWAAIVMGLCSGSIPWFTMMVVHKRSGLLQKVDDTMAVFHTHAIAGCLGGILTGLFADPHLCYLFYGSYKQYVGLFYGFQSGLTHDGIRQIGIQLLGILFVVGVNVIITSIVCLVIQLIVPLRMSDEDMEIGDEAAHGEEAYAIWGQGDRLHENSRFSTYNDLEDPEKKVKAGGNVEMM >KZN08923 pep chromosome:ASM162521v1:1:17762085:17770070:1 gene:DCAR_001579 transcript:KZN08923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLTRVLLGLFVLCLLINPAFQQTQIVPLSSRIERVALLQLRSSLGLRTKEWPIKADPCSVWVGIQCQNGSVVGINISGFRRTRVGSRNPRFAVDALPLFASLLSFNASRFSLPGSIPDWFGQRLRSLQILDLRSCSIVGAIPASFGNMTNLSQLYLADNDITGIVPDSVGQLSSLSILDLSKNGLTGVIPPSFGSLGNLTLLDMSVNYLSGTIPPAIGALSKLEILNLSSNSLSSSIPSQLGDLSSLVVLDLSSNSFSGSVAPDFRVLRNLQKMVFGRNQLSGSLPGNLFASLTQLQSLVLSHNDFIGEIPTILWTMPSLVFLDASGNNFTGSLPNVSSIPSANSAIFNLSQNMLYGGLTIVIRRFSFIDLSDNYLQGKVPDYARSNASLTRNCLQAQFSQRAAAPCASFYGERGLTFDNFGLRNDTQAPPSKPHKKSHKKLIILAAVIGGVGIIALVIIMLVLFIVCTRKGTATSQRGTVVDSVPTGASPPPPGASLNFSSLGEGFTYQQILQATSNFSDADLIKHGHSGDLFRGILEGGIPVVIKKVDLNSVKKEAFMQELDVFSKVSHTRLVPLLGHCLDNENEKYLIYKYMPNGDLSSSLYRKTRSEDDSLQSLDWITRLKIATGAAEALSYLHHECSPPLVHRDVQASSILLDDKFEVRLGSLGEICAQEGETSQSRITRLLRLPQSSEQGASGTPAATCAYDVYCFGKVLLELVTGKLGMSASSDGTMKEWLEGTLPYISIYDKELVTNIIDPSLIVDEDLLEEVWAMAIVARSCLNPKPARRPLMRYILKALENPLKVVREESTGSARLRTTSSRGSWNAAVFGSWRSSSDVAAVPAAATSNKLEGASSFKHSKHSGTSGSQGSGQNNGGDHSSSNRRQQSRDIFPEPLNEEDLERQHE >KZN07923 pep chromosome:ASM162521v1:1:702618:706101:1 gene:DCAR_000592 transcript:KZN07923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWFRNLLGLKTTPSSTATAKPPPKRRWSFVKSTTPHHSPAPPQFYDHAPTDHDQQHAIAVAHATAAVANAAVAAAQRAAEVVKLTSGDINVFKGPEYWAAVTIQSHFRAYLSRRALRALKALVKLQALVRGHIVRKQDTERLLQMQAALRARTRARVLRAQIQETSQSAIKLSHLNHPGPATPEKSEHAIRRSTKHEQSVMMKRNGSRTNFRVGPEKEKYNYHYSMDEGSWDRVYSTRSGSMDEGKSDKILEIDTGKYHVTPKRRSLFQSSLYPAASDPNSHSFTTSKDSIFHQAILSPSSGEVQSLTPLKYTNDVDEDSFCTAETSPRFLSSKGGSFTKSGPFTPSRSDASRSCLSGYSDYYPNYMSYTESSKAKVRSLSAPRQRTQLERSNTAKRLSLHNVYGDMRSSSTQRIPSALHSNFASKAYPGSGRLDRLGLPLGGDGIGFSGGLLNKY >KZN10388 pep chromosome:ASM162521v1:1:35221818:35224884:1 gene:DCAR_003044 transcript:KZN10388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLSSHTGRRVSLSTLPLLHPIKNPNPISQSLTQPHKFFSHFSPKPLLNSPKTQFDPSPTRTHLTTITDLLRDPNISPGKPLETALEQTGIKLTHSLFLEIFEHFDSSPKPLLTLFRWAERQNERKLPLSVFNSMVNVLGKARDFESIWTLILERNEGDEKPDFDTFAILIRRYARAGMFLPLTRAYEYASSLELVSHSKSEVTLFEILLDSLCKEGHIKEASDHFDRKRELNPAWVPTTRVYNILLNGWFRSRKLKKAEKLWAMMKKENIPPTVVTYGTLIEGYCRMRRVNIAIDLVDEMQKKRIEPNAIVYNPIIDALGEEGRFKEALGMMERLTVLESGPTISTYNSLVKGFCKAGDLAGASKILKMMISRGCVPTSTTYNYFFRHFTKFGKVEEGLNLYTKMTESGYIPDRLTYNLLVKMLCEEERLDLALQVTKEMRAAGCDFELATCTMLVHLMSKLHRFEDAFGLFKDMIRRGVVPQYLTYQRLYDQLQKEGMTDMSKKLSDMMASAPHSKKLPNTYTGTRDSSEDRQTYTIQKAEEMCKILKTSKNSGNIMRQRSSVKDYVSHAKRLIDDISKRVD >KZN08672 pep chromosome:ASM162521v1:1:13316127:13322297:1 gene:DCAR_001202 transcript:KZN08672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTRWVDLRSDTVTKPTEAMRAAMAKAEVDDDVLGFDPTALKLEKEMAKITGKEAALFVPSGTMGNLISVLTHCDVRGSEVILGHNSHIHIYENGGISTIGGVHPRTVLNNKDGTMDINLIEAAIRDPAFEICYPTTKLICLENSHCNAGGRCISVDYIDKVGELAKKHGLKLHIDGARIFNASTALGIPVDRLVQAADSVSICLSKGLGAPVGSVIVGSKSFIAKAKILRKTLGGGMRQIGVLCAAALVALQDNVGKLEDDHKKAKTLAEGLDRINGLKLDVAAVETNIVYLDILESSNLNAPKLCKALERHGILVMEDKPYRVRFALHHQISAADVQFTLSCIQQVVNEASDENGASP >KZN08877 pep chromosome:ASM162521v1:1:17124762:17132925:1 gene:DCAR_001533 transcript:KZN08877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRSPPKHRHDGASPLPMGMDWSPSPRNFTGRDTVWPHDPRSGWSFCVTVPSWAVLPKSRESDPIVLKKAFPRKTLPPPPPKGLLRMRSKALLEERRISLEMWMEKLLSDIEISRSVVVASFLELEAAARSSFQDEKNSSADLNSSVHNSRSSLQPHSSSIPTVASSSLTSDYGSDTAYEASEIGTSSLGRDNSSELGIDELSLDEDLTDPIERLVKYGMSNIDDGLFMGQAILDQLEGLPRKKANSVKINTVPNANMSNGNASKGAHVGGDTKELIAHPEHEKAFYHVRNLSSESIGSDVSSLKGSTSSNSGAINVNLPKGSEASQSMEVDSELQFPSDVKLMLPLDHRQVMNRALVTMQRRLVTAKTDLEDLISRLNQEVAVKDYLTTKVKDLEVELETTKLRSKENLQQAILAEKERLTQMQWDMEELRQKSFEMELKLKSSEGDKSGTGSSKDNTTRGENMLPELEANKQKLVELQKQHRELEEKSKADIKVLVKEVKSLRRSQAEYKQKLSQSINEKAEAEKLLQQEKQNNEHSNSVMRKLLDQCELLRNRLQECNFNLVDEDKLIMDSKSSAAACDLQAYDNRISHLLSEVQLLAEEDDDATSTTGNNKFSDDGAKTIDQELRQLLTKIFIDNTNLRKEVNSVMRYVLKQNMSDKDNNEQLLEHIVQNMSIDR >KZN09364 pep chromosome:ASM162521v1:1:24209028:24209375:1 gene:DCAR_002020 transcript:KZN09364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSNLVNATTPASPSAGGHHVNMNSPIPYLFGGLGLMLALIAMALLILACSYRKYSDRSAQNTSDEEKPAKPVILLQPEMEPKINLVIMAGDEKPRYLAMPVSSTNHSHQHLQA >KZN09902 pep chromosome:ASM162521v1:1:30618564:30618806:1 gene:DCAR_002558 transcript:KZN09902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYRSRERRSSSFLSLFFGCCSGRSEHDQYYSWDDAGRICPSDEDKGRWVGDRRIDSKATAFIARFHESRVSDPEQYAV >KZN12036 pep chromosome:ASM162521v1:1:50469016:50469384:-1 gene:DCAR_004692 transcript:KZN12036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREETERKRNLACELLERQGETEGEDRMDSLWEIYTVLDTFQSDFTTKSAQKAVVAVDNKKKRTRSDVVQRRTRQPGCFGLPFKFFSRKNHLGIGRTQIVKITKGLKGIDLLPLHRVMKRRI >KZN07864 pep chromosome:ASM162521v1:1:254358:256120:-1 gene:DCAR_000533 transcript:KZN07864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRAPTKYHYSRSILLVSLATFFIFFLSTLILRCGTVSSMLPTNLQSRRQLVSQANAHFSASASSSSSNTIKTGKNSGKGKVEFQGDEHSVPSGPNPISNSLTISEYDMHHRLPWPIRFLK >KZN10222 pep chromosome:ASM162521v1:1:33671801:33674657:1 gene:DCAR_002878 transcript:KZN10222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLFLKAAPITVSEVRASAPGKAFAPSWGFSFKYPLRALWPRGGRARVALVEDDKKLGDQGNGNWVLKILRLGSFRKEEEILSGYDEELEVEKKKYDDVDDDGEGDRRSDCCCEEKCSVCGNDDDEKEIEMDKKSFLEMLRRVTLAEARLYAQMSYLGSLAYSIPRIKPGNLLKSHGLRYVTSSLDKKEQALNAEKERVLAEEKENAFAQIQDKEGGSVEVQEARVSLEDTLEVIVEKRDVNRTSQSPAYEIAASAASYLHSRATNLLALKSSKTEVSEDPAKGGRSTGNVDMLNNFDMMSGDMASFIANTESVTSVVAAEDEIKQAVADDLNSTSHSPCGWFVCDDDLSATRFFIIQGLDVLVHRGIYEAAKGIYEQMLPEVRDHVKNYGSHAILRFTGHSLGGSLSLLVNLMLLIRGEVPRSSLLPVITFGAPSVMCGGDRLLQKLGLPRSHIQSIAMHRDIVPRAFSCNYPNHVAELLKAVNRNFKNHPCLKNQGFLYSPMGEFLILQPDEKFSPHHELLPPGSGLYILSCPVSDVMDAEKQIRAAQSLFFNSPHPLEMLRDRSSYGTEGTILRDHDMRSYLKSVGDVIRQELKQVRKAQRVHKLKVWWPLVATRGSNSSITMGKPVASTNMDQNQFTFAGVLQNGRESMKRFSRLVASQHMHLLIVFLLPARTIILGAYRIINIH >KZN11079 pep chromosome:ASM162521v1:1:41556813:41558512:-1 gene:DCAR_003735 transcript:KZN11079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSKSKLQQTTTPSFDLNGRPVLQPNQVPLLERRISSKKSVTPPKIQPLRPPHSNVTSPKLRPFVTTPPMSPKLKSPRQPAIKRGNDPNGLNSSVEKLVLTPKSDPKARIPVKKSKTETACVDTSTLNYVSSCIVEAPGSIAAARREQVANLQVQRKMKIAHYGRSKSAKYDPYLNLNDNIEPTLNVTEDKRCSFITPNSDPIYVAYHDEEWGVPTHDDKVLFELLVLTGAQVGSDWTSVLKKRQEFRDAFSEFDAEIVSKYSEKKITSISAEYNIELRLVRGVVDNSNRILQIKKEFGSFDKYLWGFVNNNPIVTQYKLCNKMPVKTSKSEGISKDMVKRGFRLVGPTVLHSFMQAAGLTIDHLITCPRHLQCTALAQRRATVAPAL >KZN09378 pep chromosome:ASM162521v1:1:24407311:24408835:1 gene:DCAR_002034 transcript:KZN09378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKKTKKTHESINNRLALVMKSGKYTLGYKTVLESLRSSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKTLPGDH >KZN10794 pep chromosome:ASM162521v1:1:39071327:39072440:-1 gene:DCAR_003450 transcript:KZN10794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFRARKVSSTLFCNFLRTPIRQFTAASDATATKSSSSNPMSNHAVCSLVLSTSTMQVGTKIHNISAGTSQSALTNPIFSLDISSQFGSCMPISMMRIGTLIHNIELRPGEGGKLVRAAGTAAKILKEPSASKYCLIRLPSGREKLIDTSCRATIGTVSNPEHGTKRLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGKSKSSGGHGGVSRTPWGKPTKSGYKTGPLKRRK >KZN10880 pep chromosome:ASM162521v1:1:39874385:39877096:1 gene:DCAR_003536 transcript:KZN10880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFLILLVLTASIGFVSSDLAGDRAALLTLRAAVAGRTMLWDASQKTPCQWTGVHCDQNRVTALRLPGVSISGEIPAGIFGNLTELRTLSLRFNALAGELPSDLGKCVGLRNLYLQGNRFSGELPEFLFGLENLVRLNLAENNFSGRIPNGFNNMTRLRTLYLEKNRFVGSVPDLNLIGPNLGQFNISFNSLNGSIPKSLDAMPVSAFIGNKLCGSPLKDSCVDAKNISVNGGGDVGNKDDDGGLSGGAIAGIVIGSVVGVLVLLGLIYVCCRKKRSKKTSSVDVANVANVKSRETEDEKMAEIGGGGGGNGFSVAAAAAAAMMGTGKVDTVNSGANKKLVFFGNVVGRDKMFDLEDLLRASAEVLGKGTFGTAYKAVLEAGIVVAVKRLKDVTISEKEFREKIEAVGAMDHENLVPLKAYYYSREEKLLVYDYMPMGSLSALLHGNKGAGRTPLNWELRSNIALGAARGIEYLHAQGPNVSHGNIKSSNVLITKSNDARVSDFGLAHLVGASATPNRIAGYRAPEVTDSHKVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSIVREEWSSEVFDLELLRYQNVEDEMVQLLQLAIDCAAQFPDQRPTMSEVTRQIEALCRSNVLNDQNPKPDIY >KZN09879 pep chromosome:ASM162521v1:1:30290195:30291232:1 gene:DCAR_002535 transcript:KZN09879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVNTMSDFWSKLPQDLLRLLVDRYVVSEKDFLCLRSVCRDWRSALPKSCWKRTPWLLARRYQTPNVVIKGLDSLCSGHGSLSSLFVTDLSWRYWGSFTGWILGQNHSDYTLKLINPLTKAVIDLPELHHYLGKGVVYHAPGSDPRNPVIGVMAVSHSFSGIAMIDNECKEWTFLKDKRYVSNSDFKDLIWYKDNVAAVRGDGEIVFFDEKRVVRSFNPKRTLVREYYDHYLVESSGDLLIVANVEHRYEVYRLSLKTGGWTRVWDLGRHSLFVGKSYSMSHWISADTTGDSWRPSCIYDATYSPTGSCYNIAKKQQECHDVSSFDGLNKCFDFIWYMPAVGSN >KZN10481 pep chromosome:ASM162521v1:1:36123625:36126924:1 gene:DCAR_003137 transcript:KZN10481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNTSGRFKRICVFCGSHPGRRKVFSDAALDLGDELVDRKIDLVYGGGSVGLMGTISQRVYEGKCHVLGIIPKALVPLEISGETVGDVRIVSDMHERKAEMAREADAFIALPGGYGTMEELLEMITWSQLGIHKKPIGLLNVDGYYNSLLALFDTGVEEGFIKPSARDIILSAPSAKELLIQMEQYIPSHDNVAPHESWGMEELGKYPNALLSRAYMVEPHLSCSGPHDLITSMMVKGIWLLYGGPLFLYVFMIKGNSLGL >KZN10106 pep chromosome:ASM162521v1:1:32657009:32662668:-1 gene:DCAR_002762 transcript:KZN10106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNENLRERFYGYTVQCVECLKWRPIPTIKEFEEIRANVSEDPFTCKKLKLTCDDPGDMKPDSSIIWAFDEAGAPKTPPGFDRDGILRKDCSQLDVFYVTPEGMELRNSAEVAMFLEEYPEYKESVSVADFSFTPLKVMAETIPEDYDYLRRNGKLGACWSYVYAVQCKECLKWRRIPTQEVFEGIRANATKDPFVCNKKLEVTCDDPADIEYDSSRTWVIDKPDVPKTPPGFIREVILRKDFSKMDAHYITPEGKRLRSSVEAATFLEEHPEYNQTISIADFSFITPKVMSETIPKDYVRKLGIEKKIVKQNGKKLFGSA >KZN08732 pep chromosome:ASM162521v1:1:14622226:14632985:-1 gene:DCAR_001388 transcript:KZN08732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVVDPDASAAKDDVVSEKADKSHRRRDNDDEERRSRKSRGEEDKERDRERSSRHRSDREKERDRERDRERDREGDRHRSSRDRERVREREEREKSKERERRSKERDRERSRSRRSRSRSRIDRELEREKELIREREREELRESRRYKDKKEAAEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGHLLLGQPVMIKPSEAEKNLVQSNASAGGTGGLSGPYGAVERKLYVGNLHFNMKELQLKQIFEAFGPVELVQLPTEPETGHCKGFGFIQFQQLEHAKAAQSLNGKLEIAGRTIKVSSVTDHVGAQDTGAKAADFDDDEGGGLALNAQSRALLMQKLDRSGTATSFAPAVNGAAPVQQSISMPINGAPLATLPTQMFAPLVAEPIGVPSECLLLKNMFDPATEEDPEFDLDIKEDVHEECSNYGRVKHIHVDKNSAGYVYLRFDSVEGAARAQQAMHKRWFARRSISAIFLQPYEYDAKFKGAV >KZN10008 pep chromosome:ASM162521v1:1:31651747:31657130:-1 gene:DCAR_002664 transcript:KZN10008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKMHGGAEMMAHYQPQWVLDDRDVFIAWIRSEFAAANAIIDSMCDHLKTIGDDGEYDGVIGSLQQRRVNWYPVLHLQHFFSVSEVAHSLDQAAWRRRERLRGYRRGLEPFKAPGRRFDGGKRGDQVFVDGVNMSTKSRVDAVSQSSGNVSVNESAEGEGVDDGVTSDLKGSCEVKPEKGPSISPLPHGRKDISINAKTFVGTEIVDGNSVNAVDGMKLYEELLDSSEVSNLVSLVNDLRNTGRKGHFQGKFINIVIAGSGPTFIASHRPTQGHGKEMIQLGVPIVDSRSVDGTTGRTYRGMFDIIDMLENETMKYDKRRIEPIPGLLQDVIDRLIALQVITVEPDCCIIDFFNEGDHSQPSMWPRRYGRPICVLSLTECDMTFGNVIASEGLGDYKGSIKLSITPGSMLVMQGRSTDFARYALPALQKQRILVTLIKSQPKRSSGHYPSATAATQSQWVTPQSRSPNHIHNSLPSKHHPSVSTGVSPAPAICMPLPPANGVQPMFMPTAVAPVLPFPTPVALPPTSAGSTVAGPGHAPPRLPVPGTGVFIPPGSGKLLNQTSTNESLTSQAEKDNCTTKLNDENNSVPDIDKESEKMSGKDCNGTKMSGKDCNGTNGEQLGEEHQGYVAK >KZN11817 pep chromosome:ASM162521v1:1:48375861:48378024:-1 gene:DCAR_004473 transcript:KZN11817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGNWFRCRNLVLVFAILFAVVMVVRHFELPYGSEFLPLVHSPKASYDKKSYFPVGGESSSEFELERNQSLKGDPGFVSSTNQSPFQRVIGESEDDRNSASNGVTKGRISPSSNQNGNSDSGLAVPPAIPASYTNTDSNLAVPVMPAIDKTDSRPKDANYSSPGDVKPRPVEGNFTGSTDLPPTDFPAVNKSATMLVMPISKMNEFLHMSYSSPHSQPSQLHSKVHKNLVYARSQIENAPVSRNDADLYAPLYRNVSMFSRSYEIMESMLKVYIYKDGEKPIFHDSILEGIYSCEGWFLKLLEANKQFVTKDPEEAHLFYLPFSSRLLQLTLYVKHSHNRENLVQYMKNYVEMLITKYPYWNRTDGADHFLAACHDWAPAETRGRILNCIRALCNADIKTGFNIGKDVSLPTTYVRSPQNPLKDIGGNPPSERPILAFFAGYMHGNVRPVLLQHWSNDTDMRIFSRMPHVKGNKNYIEHMRSSKYCICARGFAVHSPRVVESIFYECVPVIISDNYVPPLFEVLNWESFAVFILEKDIPNLKNILLSISEEKYLEMQNRVKRVQKHFLWHAEPVKYDLFHMILHSIWYNRVFRMAPI >KZN09131 pep chromosome:ASM162521v1:1:20538511:20540531:1 gene:DCAR_001787 transcript:KZN09131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGESRGFAFVRYKYSDEAQDAVDNLDRRVVDGREITVQFAKYGPNAERIHQGRIIEKSPRPGLRSRSPPRRSRDDYYRDRDRDYRRRSRSRSFDRYRGREKHHRYRSRSRSISPDYERECRRSRYDYDERRRRSSRESLSPRRSLTPRRSPSPRGRSPYRRSHHRRSPTPQSLTPRDRKSYSRSPISNNVSSQTHTTSNV >KZN08819 pep chromosome:ASM162521v1:1:15807754:15809185:1 gene:DCAR_001475 transcript:KZN08819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAASTAAASIGVSEMLGNFSVASRPAPSASSPVTFKTVALFGKKKAAPPPKKAVAVTPASDELAKWYGPERRIFLPQGLLDRSEVPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQGYELIHARWAMLGAAGFIIPEAFNKYGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVLAVVAEVVLLGGAEYYRITNGLDLEDKLHPGGPFDPLGLADDPDQAALLKVKEIKNGRLAMFAMLGFFIQAYVTGEGPVENLSAHLSDPFGNNLLSVIGGAAERVPTL >KZN10309 pep chromosome:ASM162521v1:1:34429255:34431550:-1 gene:DCAR_002965 transcript:KZN10309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLENFMKNGVPFIVMIIVESGEVGMITLGKAAMDNGMSNLVYVVYYNSLGTLLLFPFFIFRFFRSDRPPLTLNLIFRFFLLGLIGICFLQIFAYAGIGYSSPTLATAMGNLIPGFTFLLAVIFRMETLDVRRLTSQAKVLGTIIAISGAFVMTLYKGRLIQLFSSASNLPYIQLMSQQSNWILGGICLAITCICSSMWNILQTATVKEYPDEQTIVFFFCFFGTIQSAIYSLLVERDPEAWALRPDISMIAIIFAAVFGSVFRTTVFTWCLRKKGPVYVSMFKPLGMVIAVILGTIFLGDELYLGSIIGGSIIAVGFYTVMWGQAKEKYMAVAVDDFCALESTPPRNHLLQK >KZN09334 pep chromosome:ASM162521v1:1:23373393:23379577:1 gene:DCAR_001990 transcript:KZN09334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHASTHHNRLVINTAIASRILLLTLIIFWRSLVSPYDTSASINPNCLSSPKTQSIPIKFPYVASLIENSIVWDSVYFVRIAQCGYEYEQTYAFWPLLPFCIFVFSKTVFAPLVPLVGYRAVLGLSGYVLNNIAFVFAAVYFHRLSVIVLKDKRTALRASILFCFNPASIFFSSIYSESLYALLSIGGICHLMSGAKNKSTLWFALSVLARSNGVLNAGYIGFQTMHQAYDAAYYKRRVYMAVQILLAGALRCLCICLPLFIFQAYGYVNICHGRSTDELRPWCKARVPMVYNFIQSYYWGVGFLKYFKPNQLPNFLLAFPILSLAASSIIYYAKLRYVVFITLGFRGHKSTPLDKTSSPLLLYMEADTIPKETNLTGGNTSKIAQEDQTLRRRRQTIRDEDLVKLPSEACSLDREEYLTLTLLPFVLHLGFLAGVAFLVMHVQVATRFLSANPPLYWFASSLPALSEYGKRWGYLIWAYCVAYILLGSLLFSNFYPFT >KZN08692 pep chromosome:ASM162521v1:1:13573706:13574511:-1 gene:DCAR_001348 transcript:KZN08692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVGAVKKDVKAMILSGFPGLVVGACSMAIGEFVSMYSQLDIEVAQMKRYRGIQVTTDDENRKTEREKLPSPLRGSTREERGGENHVESFVKFAINATGIVPHYTKYWMKGHAADSYYAAAEDASLKVYRNASKLSNEMNNNNANVSFARPVVQYLSNSRRLIKS >KZN09202 pep chromosome:ASM162521v1:1:21631845:21632970:1 gene:DCAR_001858 transcript:KZN09202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYDLNPGSDSLRWLQMAEKLLTTRDLIGSKSFAAKALESDPNSEAAEQILAIADTLIAGDKQASSNHLDWYSILQLARRTHDSELIGLQYKRLGVLLNPNRNKYPFAEHAYKLVTEAWLVLSNPSRKFRFDNEFGNSNSTHFNFIQTHFDNTQQQSSRISGGGSGSSGSGAQFDFMQGGSGGMQFVQQQQQVFAPQARPFFGEEQQQQIVESLSNQGHWASQGKQVLVRPQPQQPLVRPHPQQTWFQQPEAQTHVQHPLPQAQPHIQQPSHWAQSRPQSLPQSLAESGEHRIE >KZN07861 pep chromosome:ASM162521v1:1:233778:237441:1 gene:DCAR_000530 transcript:KZN07861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEVKDANEFTMGLQLYSGTILGMVMKAAIELDLFEIIAKASTANGIYPFGKDAKKMSSDDIAAQLPTQNPAAAAMLDRILRFLAAKSVLNWSTVATEDSKEKSVYGLTSACKYYISDEDGVSLAPILIMLHDKVIIDSWYHLKDAVLEGGIPFNMAHNGMHAFEYPAIDSRIRDVLIQAMYNHTTLITKKMLKVYTGFKEITEIVDVGGGTGATLAKIISEYPLIRGINLDLPHVIKDAAPLAGVKHVSGDMFESVPEGEVIFMKWILHDWSDEHCLKILKNCCNSLPELGKVIIVESLVPEYSDTDSLAKLNNFCDSDMIMLASHAGGKERSLKEFEELAKKSGFAGKLAMMSNKDDEETDDFTRALQLNSGSILGMVMKAAIELHLFEIMSKAASVNGTYPFGDDSKMLSSDDIVAHLPTQNPTAPAMLDRLLRFLAAKSILKTRTIVSQVDGKDKSLYGLASICKYYISDEDGVSLAPSLALLHDKTIVDSWYHLKAAVLEGGIPFNMAHGMHVFEYPANDHRFNEVFNEGMYNHTTIIMKKILEVYTGFEQLIEIVDVGGGTGATLAKIISKYPHIRGINFDLPHVIKDASPLTGVEHVEGDMFIRVPKGEVIFMKWILHDWSDDHCLKLLKNCCGALPEFGKVITVESVVNENSATGSLSESNNVLDADMLMMASSSGGKERSLKEFEALAVESGFASVKLICSATIYSVLEFYKKV >KZN08637 pep chromosome:ASM162521v1:1:12802713:12804954:1 gene:DCAR_001167 transcript:KZN08637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAHSDLLCGGNTTRNIADLGIIARVITKFPDARKGVIVEKVIPESPADLAGIHVNDVIVKCGGKPTHSFLELFQIIWDKVALPVELILVRADNIIPIKVNVVFGEARFDQLNMLVARPY >KZN10335 pep chromosome:ASM162521v1:1:34679105:34680199:1 gene:DCAR_002991 transcript:KZN10335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMRDVKPNAVTVASVLPACANLGALGVGQRIEEYAKAEGYLKNIFVCNGILELYARCGSIDRAKRMFDEIGRKRNLCSWNTLIMGLAVHGKCSEALDYFNQMQVTSPCF >KZN11797 pep chromosome:ASM162521v1:1:48155974:48158630:1 gene:DCAR_004453 transcript:KZN11797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRIFGKPKQEENAVATLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPTTSVPIPAGRQQVRPARQQNTAEDDELAALQAEMAL >KZN08891 pep chromosome:ASM162521v1:1:17318929:17326460:-1 gene:DCAR_001547 transcript:KZN08891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLYNKNRVFGSSSTHSSPTCTRTHQIGALALIFTTFFLTRLLDQSFNSTTFQYKSQNDAVSQDFYKGWPQRGYGTHLSLKIYVYDENEIEGLRQLMYGRDAKISVDMCVKGQWGTQVKIHKLLLQSRFRTWKKEEADLFFVPTYVKCVRMSGGLTDKEINQTYVKVLSQMPYFRLSGGRDHIFVFPRNTAMMLISSLKTAPVQMQGDRTDKRDTSAFNTWKDLIIPGNVDDGMTTQGARFVEPLPLSKRKHLANFLGRAQGKIGRLQLINLSKQFPDKECVPVILSDQVELPFQNVVDYTQISIKWPSTRIGRELLEYLESIPDKEIEGMIARGREVRCLWVYSPDSEPCSAFSGILWELQRKVRQFHQSTETFWLHNGSVVNRNLMEFNKWKPPMPLP >KZN10631 pep chromosome:ASM162521v1:1:37778225:37781805:-1 gene:DCAR_003287 transcript:KZN10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQHVEALEILLQGLCGVHRKSLKIHELCLKSGPNLGTSPSEVRLLCDLEQSEPAWRVRHVGGAMRGAGAEQISVNVRTMVESKVSNNVLRLFYALGYKLDHEQLRVGFSFNFQRGAQMTVTVSSVNKMLRLHATDEAVPITPGIQLVEVTAPATSENYSKVAAAMSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >KZN08831 pep chromosome:ASM162521v1:1:16007976:16008718:-1 gene:DCAR_001487 transcript:KZN08831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLSYLSFNLFILLAISSVVRSDDECVYTVYVRTGSVIKGGTDSIMTLTLYDAEGYGVRINNLEAWGGLMGPDYNYFERGNLDIFSGKGPCLPGPACKLNITSDGTGSGHGWYCNYIEVTTTGAHIPCAQQLFTIEQWIALDRSPYELTAIRDNCSGTSSHGGRKILKGLARDDHVVAV >KZN09622 pep chromosome:ASM162521v1:1:27730524:27744774:1 gene:DCAR_002278 transcript:KZN09622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDASENELQKLLDAIKSSELLLDLLKLSDDSFSALARCPVSTSKAVLDIVEEFISEQLSLAKECLSEIKKIDPTIGSEVLKVVYTVLDAATRLCKVYCNSVNWDLYNERTEKTIDQADSKELNVADHTINITKCTIEKMCEVGILAGSDGGNLVNLLNLSWKGVVSLLQLGKGKLALKVNITDIIVSLINLANDSLRCAAQSWSCPSKEKVLLTEAKRIFLPVKFYLINAVRIISHYSSQAFMVYREIILSVIMISAFKISLSSEELLKSLAEAMIELLEPTSFHLLNSLLNSAQLGQEHKIQILEWLFSPITSLKNKLGDPHARSNHIDCNSMDAIFSVSCDAMPGARILSLGRIFLFLDLLKGSPDLDDDLRLGLSSKLKWLIDSITDKNIYSSILVLQIPVLYGSGQSLEFSYRPMFLSVIHALKAFMVVVSSTPVCTDVVVFLLENIVHPHFLCREIVMELLCFMVRHAETDMVNDIIDKLCSLLKVATTSESVLVPCSGLRILARSICVLLAHCSQSTVDLVYHSVIDSSRSKSSSMYAALLMEEFPINLLTDKVKSVAKEKIITEYFGFIERFANDSLGVGMSSVLYTPVSALSAALKSLQVRISDTDMKTLKLLVAVINKYRDSEGKVKDNYLQLLSEILEIISIMKHVYESDEMEGIVIELKNLFMSGQTVSDDKLSRCIPNLAVFMAGFSHIELAEDDDSSKSVAVWELYKMLLRERHWALVHLALKSFGYFASRTNCNQLWRFVPQDAALSFDIDSGKEVNEDRFMSELKRFLEKETAHLAFTPATDQLELLVEEAKLLLENMKMLHIKLEAIKCDQVEVDDGQPANKNRELPEAVECDQVEVDDGQHANKRRKLPDGIGKGVQLLKSGLETIGDGLSAWQQSDLQNRELHAKFATQVANLKDVIDHLVALSRCT >KZN08259 pep chromosome:ASM162521v1:1:4353626:4354491:1 gene:DCAR_001324 transcript:KZN08259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLISGLVHTTLMLVNKEEDDEAEEEVAGDESLWCQAKAGQRLSCPKNMNILQEHTENMMEMPRNKIITITAGEFEVPWNTYGCGEISFSMMNTDGFWKRGLVVIGALVLPKVNW >KZN09576 pep chromosome:ASM162521v1:1:27338546:27339821:1 gene:DCAR_002232 transcript:KZN09576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPEPRTLISLIILSSILLSHFADGQFDEEWCVADVQTPDDILLGAMDWACNNGADCGPIRPDQPCYLPNTMADHASFAFNSYYLNMKSKGADCYFNAAAIITSSDPSHGACKFGASHE >KZN09422 pep chromosome:ASM162521v1:1:24847141:24847683:-1 gene:DCAR_002078 transcript:KZN09422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGSMDSSSGVQTPLLCKRKRVDNYDIEKRRIANYEALWRVLEGYLTELGAVLDSYISGLSYKQKLLDALKGVVMIEAGKTNEAIKEKESERKSFNRNGGQVEQRRHDKYVFRQSGKPSYNVATDYEALKKEIVNFIERVVTFIKEVNNCRRSFSAREKKYKQDLASIMEIVKKTHEQK >KZN11916 pep chromosome:ASM162521v1:1:49387005:49390928:1 gene:DCAR_004572 transcript:KZN11916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVASAPGKVLMTGGYLILERPNAGLVLSTNARFYAIVKPLYDELKPDSWAWAWTDVKLTSPQMSRETTYKMSLKHLLLQCTASRQVYDSRNPFVEYALQYSVAAAYANFNSDKKNVLHKLLLKGLDITILGSNDFYSYRKQIEALGLPLSPESLATLTPFTSITFNAEESNGETSKPEVAKTGLGSSAAMTTAVVAALLNYLGVVNLSSSSEDQDQDMMETTDLDFVHAIAQTAHCIAQGKVGSGFDISSAVYGSQRYVRFSPKVLSSAQDAYEGKPLDEVISEVLKGNWDHERTKFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQNSDPQRSRDTWTKLSDANSALEKQLNLLRKLAAEHADAYQCVINNCSIRKSEEWMEQASEPRQVEIVKALLESRSSMLEIRNHMRLMGEAAGIPIEPVSQTQLLDTTMNTEGVLLAGVPGAGGYDAVFAVTLGDASSTNLTKAWSSHNVLAMLVREDPRGVALESNDPRAREITSALSAIHVG >KZN10103 pep chromosome:ASM162521v1:1:32646897:32652101:1 gene:DCAR_002759 transcript:KZN10103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAGGGLPGMTSDSFAKDAIISWFRGEFAAANAMIDALCGHLTEIGGDGKEYEAAFAAIHRRRLNWIPILQMQKFYSIADVANELKAVAAAKKERAMEVAAVEESVKEVDGGEEVADVESGRSDESPKSEITDTGSHEVQHMLPNIEICSDHENCEARRAQINMTKGFVNVVRGLKLYDEIFTETELSKLTDYVNELRIAGQNGELSGETFIMYNQAKQQVKGGNKRELIQLGAPIFGPIKEDATNHCPKSNIEPIPATLQGVIDHLVLWHLISENRKPNSCIINFFDEGEFSQPFLKPPHIDQPISTLLLSESEMAFGRTLVCDNDGNYKGSLMLSLKEGSLLVMRGNSADVARHVMCTSPSKRISITFFRVRTQTHESISTAVAPMTGAMTLWQPGVPNSYALSNGARNGYEEMDMIPKWGVLRSPMVMLAPVRPMVLGPKRMSRGGTGVFLPWNASGTRKHTKHLPPRAQKGRFLALPPPVETHKPEVTADSEMISIEGK >KZN09254 pep chromosome:ASM162521v1:1:22157520:22158132:-1 gene:DCAR_001910 transcript:KZN09254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTSIIIGHFSNTNNNNSRITENLMNQTVHFQRQSDLLHLATGFFTTLNLESRRREGPVQTCWSLESDLPSRRIININITKFDPKQELLSLDPSQFLDLAFLNNRCDLISIRSELSNFLESVISSFLLFEPHKACFFDIPTFLHIFEWQKAIWLV >KZN11176 pep chromosome:ASM162521v1:1:42324692:42325435:1 gene:DCAR_003832 transcript:KZN11176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSVESSCHSFSGFIIVVLLLLASEVDSSPIWEKFHRCLSLNSEHSIPFETTFYRPNTSSFTSVLQSTAQNLRFLTPSVHKPELIFTPLRESHIQAAVICSKQLSIQLRIRSGGHDYEGLSFASEFGQPFLILDLSKMRSISVNMHEKTAWVEAGATVGEVHYRIAEKSKVYAFAAGLCTTLGVGGHITGGAYGPMMRKYGLGADNVVDARIVDSNGNVLDRQSMGEDLFWAIRGGAGGSFGIIIS >KZN07920 pep chromosome:ASM162521v1:1:667271:673643:-1 gene:DCAR_000589 transcript:KZN07920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKSKPAGVFSPARGITAVGSSSPDGFAPWEMRPMGMLVQKRNIDADHCSVPVPTIRVKVKYGSSYLDFDISPQASFGDLKKLVAKPTGVHHEDQKLIFKDKERESRTFLDGAGVKDGSKLVLVADVDARESRYLEARNNAKMEKALKDITEISLEVDKFAKQVINFETQVCGGKRVLEKSLLNLVEQLMTQLIKLDEIVGDGDVKSKRRLQVKRVQKYIETLDRLKTRNSMIGNNVPMQQQPKQKITAAVEKLQINPIQKQQEQHRKHRLAVVKPVVATKNWETGVSAKMQYKNSGSAVNYGTNNAIMDANSATSARSRSRRFKDQRREIAREDSLEAPVGSDTSSVSDNVELQVELTSMTGKGIRRLCSELLELKKASEEDFQQNIFSSYSAFISIFREMGGMEVQLMELKHHVSTQKMLVKDLKDEVYLKIMLDETVESVIEESLFAESTPASMLKAHTESVTDILDALLLEHKLDEALNVLEMEEETLQNIQFQENLAPAIWMSYESAISERRVILADQFKMLASNPRVRAAELQKALVGLCRLGDNSVATQILLNYYHLRILSGINELQVSETYPYGVYILGVAKFVFSSISQAAKSFKVLHGQTAAYPPEVMQWAYQETEVFANCFKRYISSITETSSGLSTTAEALQFSLSYCSLLEPQRILLQSCLIDYLRPCIDDALKIHFNHFKKVIKIFTSTDAWILGRYPASGIFNEQSCVVVNGEQLEYFLLTNSGRKFLTMLQAIKEDTSTLVILQMEGAVLKGLMDLFTEYTVILESSLDDKEYDGAEEVDSSVNSAESLVQQVSVIANLSTLVNIFSSIVRSIFEESSHLEFEIESYILFVQEACSRLRADLCEQFIRKIMSLESDHSLVPNECTWTQDDSASSDDIMPSVPYQVLYAELRKLEKLAEENFNEEWLMDYLRDLVEAMFVWISRNEEAWKIPEYSDDNHYNHMKQFVLDIQFLVEIARSGGYLTNSMVTDSFDIISRMQSLFASADLDSNRSKIDYKWAVNAATKAIQTLIETEERKLNPNDSTDVSVSEVQEIESTMSDLDESADHSEVSDGQDAQCLRDFLEDEDEAIRNSEYYVESVEDSVTKDTASVAADAELLMSDMGVECTSEEIDTLSTIAERNSSMKAVSLVDVEREDLFNEAKNDTQVEANCSEIDTDMHI >KZN11963 pep chromosome:ASM162521v1:1:49702545:49712614:-1 gene:DCAR_004619 transcript:KZN11963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSGYKGVVGRMFGNENSGSSEDSYVERLLDRISNGVLAEDRRNAIIELQSVVAETRAAQLAFGAMGFPVLLNVMKEERDDVEMVRGALETFVSALTPIAHAKGPPNEVQPDIMNTDLLSREADSISLLLSLLSEEDFYVRYYTLQLLTALLTNSRNRLQEAILTTPRGITRLMDMLMDREVIRNEALLLLTYLTRDAEEIQKILVFEGALEKIFSIIKEEGGSEGGVVVQDCLELLNNLLRNNTSNQVSLRETIGFEPLISILKLRGSAYSFTQQKTINLLGVLETISLLIAGGPEMVPGKDANILTNKTVLVQRKVLDNLLLLGVESQWAPVAVRCATFRCIGDLIAGHGKNLEALARKILGEKPHEESALNSVLRIVLRTSSVQEFLAADYIFKCFCENNPDGQMMLASTIIPQPHQVAHFPHEEDVNMSFGSMLLRGLTLSENDGDLETCCRAASVLTHVIKDNISCKEKVLKIQTEAAMSPLGTPEPLLHQTMKYLAVASSLKGGDGKSSILSNFHCQTIILKLLITWLFNFPSAVQCFLDSRPHLTYLFELVSNPDTTMWIRGMAAVLLGECVVFNNNSDTGKDSYSIVDSINQKMGLTSYLLKFEDMQKTFRFSSAKPDQPRKPLSRSNAASMSEIEDVDNDIEETEERNEEDSVLSSIFDSKFVNFIKSLEVDIKESTLQIYTQPKSSVAVMPAELEQKNAENDADYAKRLKAVVRKQHSEIQDLLNRIATGNSSKTGDAAGLPPSEQKVVGNSEETLRKQLQEVSEQLEMVNMEKSQTESDLKSLSDAYNSLEQANYQLENEIKALKAGGATPFPDIESIKAEAKEESQKESEAELNDLLVCLGQEQSKVEKLSSRLTELGEDVDQLLEGIGEDAELPEDDESDEA >KZN09120 pep chromosome:ASM162521v1:1:20418660:20421594:1 gene:DCAR_001776 transcript:KZN09120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGVKRMVGISATKMISNKLKNQTSTEFPEEIRVITGKELRLKLLISEDNVKVNSRLFFAVDAVDADAPVSAISSVSGTSSTTSSITNSSAVKHLEETETPSTSKSSTKRVKVVGFFLMPNIHSFITRMYLCLKPNRIPTLCKIAGTVSSTAANKRYRVVILGEDSTEAFNFVLMDRGVKRMVGISATKMISNKLKNQTSTEFPEEIRVITGKELRLKLLISEDNVKVNSRLFFAVDAVDADAPVSAISSVSGTSSTTSSITNSSAVKHLEETETPSTSKSSTKRVKVEL >KZN11949 pep chromosome:ASM162521v1:1:49617526:49617933:1 gene:DCAR_004605 transcript:KZN11949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQQQTSDMHQQQQQQRPLTAQVAKTATAVTLTGSFMVISALTLAATVIGLVIATPVLVIFSPVLVPAAITTFLLLAGLFTSGGLGAVATFVLTWMYKYVSGQRPMGADQLDKVKSKIVGTAEDLKEQPRWIP >KZN10376 pep chromosome:ASM162521v1:1:35069903:35083042:1 gene:DCAR_003032 transcript:KZN10376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRMVARKMRSKLLPSLTPAYMLHSHATSFGFQEVPEEEKSKMVGNVFTSVASNYDVMNDLMSAGLHRLWKERLVSKLNPFPGMKHLDVAGGTGEDGSLVWVGGDAEALNFEDDSVDGYTIAFGIRNVTHIEKVLSEAHRVLKKGGRFLCLELSHVENPALKQLYDYYSFSVIPAIGELVAGDRNSYQYLVESIRKFPSQENFASMIAEAGFQKVEYENLVGGVVAIHSGLKF >KZN08803 pep chromosome:ASM162521v1:1:15665506:15666557:1 gene:DCAR_001459 transcript:KZN08803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLCVCWSSMVPFPAPPDHDSKHHLLNIMTTSCGLDEQGAGRKRSVTVSAAKSGGFASISKGCSTCKGKGGIECPGCKGTGRNKKNGNIFERWKCYECQGFGLKGCPSCGKGGLTPEQRGER >KZN10016 pep chromosome:ASM162521v1:1:31739870:31740833:-1 gene:DCAR_002672 transcript:KZN10016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHFSAPRESLYYGYGFKVNVELDENYPVTAPIVSFATKIYHPNIDYENGFPCISALENENWNEESSLENSFDYVLPQLLCQPNFEDPYNTEASELLRESEEEYEEKVKAMCVRPMRRRNRYAEGKGIEGQSRRYINTLKNH >KZN11961 pep chromosome:ASM162521v1:1:49687944:49688123:1 gene:DCAR_004617 transcript:KZN11961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKMRKAASAKSSASSRGPLPRRGQIKSIIAASALHSICSVLSRASSDHYHSTGKVVF >KZN11649 pep chromosome:ASM162521v1:1:46660154:46661293:1 gene:DCAR_004305 transcript:KZN11649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPQDLIDLVLFRLPVKPLLRCRCVCKGWCSLIDSNAFVKKHHKRMIECSTRGVIISGFDGKFYLTDFESLDGGGDEAVAVPMNGPVKSIVSGAEFFGAANGLVCLSKNQMNELFILNPSTRKARKIPSAPAYFPRSFDSSEIGLCGFGYDHVNDDYKIVKIGECHVKFRGILVIVYSLKTNSWKRIQNVPSKNTQFLGDRGLFANGALHWFTISGIMDFANIVSYDLGLEMFKEIPFPPHGTFGRSLVPVEESFGILYNYPSRVDVWLMNNSGEGNLWSKVLSLKQAGPLGPFSFVRPVAFSKCHKNVLLEVDRAKLVWYDIKRKRVKNVRIHGIPNSFESYLFIESLLQITNDKEDKLLQKPSQDNQGKKEQKKRY >KZN07987 pep chromosome:ASM162521v1:1:1226781:1234500:-1 gene:DCAR_000656 transcript:KZN07987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFHEHIITDLLEDPAGGMVILSGGLSLHKLISNLVSLHHTSQGTLLILASSPSQRQSILLNTPNPPAPDNLAENIGARAPTISEITSELPSNQRASLYTSGGVVFVTSRILIVDLLSNRLEPTVIAGLIILNAHTLSATSTEAFIVRIMRTGNKNLYVRAFSDNAQAMVSGFSKAEKTLKCLYLRKLHLWPRFHVYVKQDLEKEPPEVVDVRVPMTNYMMEIQKALIEVMDACLKEMRKTNKVDVEDLTVESGLCKSFDEILRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRASESFRSVWIFAESSVKIFEYAKKRVYYCKRLEDGKIVGQSKTKTPKKRKLQDKNKDNEDSLPASTNIQVVLEEVLEEAPKWKVFLVIIFFTLVVKRLILSGGLSLHKLISNLVSLHHTSQGTLLILASSPSQRQSILLNTPNPPAPDNLAENIGARAPTISEITSELPSNQRASLYTSGGVVFVTSRILIVDLLSNRLEPTVIAGLIILNAHTLSATSTEAFIVRIMRTGNKNLYVRAFSDNAQAMVSGFSKAEKTLKCLYLRKLHLWPRFHVYVKQDLEKEPPEVVDVRVPMTNYMMEIQKALIEVMDACLKEMRKTNKVDVEDLTVESGLCKSFDEILRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDSLRASESFRSVWIFAESSVKIFEYAKKRVYYCKRLEDGKIVGQSKTKTPKKRKLQDKNKDNEDSLPASTNIQVVLEEVLEEAPKWKVFLEILEEIKNDRLKQASSTEDSVTEEIDEGTILVACKDDHSCVQLEECLTKGPRKVMQDEWEKYLLSKVELQSLPKHNGKKKSKESKGIGVLDGTIRKISGNNTDVSSISKQENDALLAAATDLRKQVKKDKDRDNSEMLEDKAEHQKGKKKRASKNMPTTSNIDDKNSAAAAMNKRSDKVSSESHKRTDPEVIGDVHTSIVKDFSADRGIIWKHMQSVDTEGTTESNLLPPVLFYAIDSDSHVLDILEPSVIIVYHPDVAFVREIEIYKSENPSSKLRVYFLFYDDSTEVQKFEASNRREHSAFESLIRQKSMMMLPAYQEGSFSGFDPPIEPASVASQNSITRKAGGRKKIEKEMQVIVDMREFMSNLPNVLHQKGMRIIPVTLEVGDYILSPLICVERKSIHDLFGSFASGRLFHQAEMMIRYYRMPVLLIEFSQDKSFSFQSASDIGEDVAPTSIISKLSLLALHFPRLRIVWSRSLHATAEIFASLKANQDEPDESKALRVGVPSEDGIIEDDVRAENYNTSAVEFLRRLPGVTDSNYRALMDGCKSLSELATLPVERLAELMGGQKAAKTLKDFLDAKYPTLL >KZN09277 pep chromosome:ASM162521v1:1:22478270:22484561:-1 gene:DCAR_001933 transcript:KZN09277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGVLKNTTTVCGQLMSRSVEEMVSDMFKAKAQGADVVELRLDCLKGFRFPRDLGLLLNDRPLPVIVVYRPKSEGGEYEGDENMRLEVLRAAKDMGADYIDIELKVAFDLMEEQKFNQRGSSKIIVSCFLDTLTSAKEDLSQLVAHIQSTGADIIKVVSNTSSITELTRIFHLLSHSQVPLIAYSAGERGLISQLLGPKFGGLFVYGSIDGYPVQGLPTLHSLRQAYGVEHIDADTKIFGLISKPVSHSKGPILHNPTFRYVGYNGVYVPMLVDDLKDFFSVYSSPDFAGYSVGIPYKEAVMECCDEVHPLAKSIGAVNTIVRRSSDGKLIGYNTDCEASITAIEDSIKVLGCINGEALLPSPITAKQFVLVGAGGAGRALAFGAKTRGARVIIFDIDFDRAKSLAHAVCGEARPFKDLANFQPEKGAILANATPIGMHPSTDRIPVAQETLKDYRLVFDAVYTPRKTTLLRDAENAGALIVSGVEMFLRQAIGQFNLFTGSKAPEDFMRAIVMEKF >KZN10295 pep chromosome:ASM162521v1:1:34297964:34299001:-1 gene:DCAR_002951 transcript:KZN10295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMSKVFLIFVLAVLMNKEAVSATDHTVGGSSGWDESTDFSSWASGETFKVGDKLVFKYSTMHSVVELGDESAYKSCGIGSAIDSMKGGKSVVKLDKPGTRYFACGTMGHCDQGMKVKIKTVSASSAPSSSSTPSSSSSSSTPASQSKAVHPYSSSIFMAILVVSAMVFTMF >KZN08342 pep chromosome:ASM162521v1:1:5455723:5457913:-1 gene:DCAR_000888 transcript:KZN08342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHSISKEDVISKIKDDGDFDRLRVKIIRKLKENEELRSSIVSAVKQSAVLNTPGFENLKPRQLSDAIHQEIREKVMSQISDGVWEVIRSGGGMQSEINETVQSVYSRLLNPEGSQGGGPSSNANAMAVETRPNNNNGSIVALPDEINGTFSDTEPNEPPGFAPHVLHHNSNLEEQIKEEAQDKLPSEDLKREAQRSDDVFNRDNVNPSTRPAVPMTEEQNQPGDGSDEDPDLPPGFA >KZN08889 pep chromosome:ASM162521v1:1:17294589:17297420:-1 gene:DCAR_001545 transcript:KZN08889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPFFHNVPAGTHLIEVAAVGYFFSPVRVDVSARNPGKVQAALTENRRGLSELVLEPLREEQYYEIREPFNVMSLVKSPMGLMVGFMLIVMFLMPKLVENMGSSDSKMGGTQTGVPDNMEVDKNAEGMSIGFTCNMNRIEEFLEGYFDNLEIQVQPLVRARLNRSWFS >KZN09302 pep chromosome:ASM162521v1:1:22768723:22770396:-1 gene:DCAR_001958 transcript:KZN09302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPLVTAAKLLLWLCSAGVVFGEDPYIFMDWDVKYGTMALLGVPQQVILINGQFPGPKINCTSNNNLVINVFNHIDEPLLFTFAGVQHRKNSWQDGMPGTMCPILPGTNFTYKMQVKDQIGSYVYFPTTGMLRASGGIGPLSIQSRELIPVPFDKPAQEFDVIAGDWYNRGHKALKTILDAGRSVGKPDGIQINGKSARPGEPAEPMFTMETGKTYRYRMCNVGMRTSLNFRFQEHSMKLVEIEGSHTVQNMYDSLDIHVGQCMSVLITADKTPKDYYLIVSSRFIKQTMTTVAIVRYANGNGPPSPEMPPGPEETKVGISLSMNQFRSFRWNLTASAARPNPQGSYHYGGINITRTIKLVNSRGNVNGKLRFGLNGVSHIDKETPVKLAEYFGKADSVFKYDTIKDEPPQDNKPLIIETNVVNATYRNFIEIIFENHEKTIQGYHLDGFSFFAVGMERGEWSPDKRRFYNLIDAVSRHTIHVFPNSWSAVMTTLDNAGMWNLRSDMWERFYLGQQLYFSVLSPERSLKDEYNIPDNAIKCGIVKDLPSPAPYVI >KZN11043 pep chromosome:ASM162521v1:1:41252559:41258320:-1 gene:DCAR_003699 transcript:KZN11043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVSTSSLSQLNSALPRSSCPKLNSISVSTTQSFFQNVNSHLTRVSSSRSGACRGVVAMAGSGKNGTKDSISKLVTDLNSSTLEADVDVVVSPPFVYIDQVKNSLTDKIDIAAQNSWIGKGGAFTGEISIEQLKDIGCKWVILGHSERRHVIGEDDQSIADAADVLDCTYNMTKLCLVQFIGKKAAYALSQDVGVIACIGELLEEREGGKTFDVCFRQLKAYAGKISRLMSIFNVVPSWDKIVIAYEPVWAIGTGKVASPQQAQEVHQAVRDWLKTNVSADVASKTRIIYGGSVNGGNCAELAKEEDIDGFLVGGASLKGPEFATIINSVTSKKVAA >KZN09088 pep chromosome:ASM162521v1:1:20092326:20093194:-1 gene:DCAR_001744 transcript:KZN09088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEQWATYMAPEMFCKSIGGISYRADVYSFGMLLMEMAGRRKILNPFGDQFSQVHFPSWVYDQFSEGKELEIEDATEEKKKLVKKMIMGALCIPMKPSYGTIGNAS >KZN11729 pep chromosome:ASM162521v1:1:47535581:47535933:1 gene:DCAR_004385 transcript:KZN11729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFAISALHTEAAVSRIPAAIYATENGGAHTDFVIGCNNCPSGNCCFCSAYRGVLVCNSCC >KZN07929 pep chromosome:ASM162521v1:1:727443:728563:-1 gene:DCAR_000598 transcript:KZN07929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGSTQKCKACDKTIYFVELVSADGVPYHKNCFRCSNCNGKLSISTYHSLEGTLFCKPHFEQHTKENGIVPKITQSGKDMWDKAKTPSKLAGMFSGTQDKCTVCKKTVYPMEKVTVEGDFYHKKCFKCAHGGCSLTPSNYAALDGIVYCKPHFSQLFKEKGSYNTLNKSSAKKSETDPQETTAGDEKPAEAAEATEEEA >KZN09046 pep chromosome:ASM162521v1:1:19428054:19433369:1 gene:DCAR_001702 transcript:KZN09046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRIQPGSFFQYSPTGVHGVPGPMQRSSSPSDRERYLSELLAERQKLAPFIQVMPVCSRLLSQEIMRASGLGPNKNFVDHERLGHERTFRSFVQQPNGGPIDMESWPPMQTDQDNGLIRRMAPFQAASLGWPSSPGFAPPVIKRVIRLDVPLEKFPNFNFVGRILGPRGNSLKRIEAMTECRIFIRGRGSVKDSMKEEKLKDKPGYEHLNEPLHLLVEAEFPEDIIESRLDHAVALLENLLKPVDESMDIYKKQQLRELAMLNGTLREESPSMSPSMSPSPSMSPFNSAAGMKRAKTGR >KZN10421 pep chromosome:ASM162521v1:1:35552699:35564829:1 gene:DCAR_003077 transcript:KZN10421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKDEGLLTGKVTVGSGMGFIEASLSGVEPLMQKIHNEIRRVDAGILAAVRQQSNSGTKAKEDLAAATGAVKELMYKIHEIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEKLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKLKNIKQILKSHVSSDFSSLGTGKETEETNLLQQLSDACLVVDALEPSVREDLVKNFCSRELTSYRQIFEGAELAKLDKTERRYAWIKRRLRTNEEIWKIFPPSWHVEYLLCIQFCKLTRTQLDEILSNMKEKPDVGNLLLALQRTKEFEDELADKFGGGSPSKDIGSDNGETDSGENTTQTVLDIRKKYEKKLASHDEKDGQKDLSVPGAGFNFQGIISSCFEPHMTVYIELEEKTLMEHLEKLVQEETWEIEEGSQTNILSSSMQVFQRILKSYATKLFARLPKGGTGIVAAATGMDGQIKTSDKDERVICYIVNTAEYCHKTAGELAENVAKIIDSQLADAVDMSEVQDEFSAVITKALVTLVHGLESKFDIEMAAMTRVPWATLESVGDQSEYVNGINLILISSIPALGSLLSPIYFQFFLDKLASCLGPHFYQNIFKCKQISETGAQQMLLDTQAVKTILLDIPSLARQTSGAASYTKYISREMSKAEALLKVILSPVDSVADTYRALLPEGTPLEFQRILDLKGLKKTDQQNILDDINKRGPVITQPPPLAATSIVPAVPSVPSPPASIASTTSGIISAASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >KZN10452 pep chromosome:ASM162521v1:1:35806090:35812397:-1 gene:DCAR_003108 transcript:KZN10452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSNLRRTSPLLRPLTAIRHRQTRHLTSSAQTPLIVDSNLGQNLSSLLRWFSGFAITSGVAYTTYTYSNSDDASLVDCNDDSPSPRFFFGEAYRKKIFFNYEKRIRMRSPPEKVFEYFASAKSTQGEVLMTPADLMRATVPVFPPSESHLVRDGYLRGERSPGHLRCDHSDFFMLFDVNNDGHISFKEYIFFVTLLSIPESSFSVAFKMFDIDGSGEIDKEEFKKVMAMMRAHNRQGANHRDGLRAGLKVGGSVEDGGLVEYFFGEDGNKRLQHNDFIQFLDDLHYEMTRLEFAHYDYKKRGSISAKDFALSMVASADLSHLSKLLDRVDDIDNVPCLNGLRITFEEFKNFSELHRKLQPFSLALFSYGQVNGLLTKKDFQRAASQVCGISLTDNAVGVIFHIFDTNRDGSLSFDEFIRVLQKREMDIRHPTEAGILSFLSHIWNGTDKFSAARFLS >KZN09034 pep chromosome:ASM162521v1:1:19292964:19293293:1 gene:DCAR_001690 transcript:KZN09034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFLIHTIKKQKTYNKYRSLSDTSNRSYHVLVGPNSAEGSSHRRTRSDVDFLGNKSSYGDNLAHSSGMMNKGSAVTAGNAHDSKLVGSGVFHVAKDGKTYDQHRD >KZN10186 pep chromosome:ASM162521v1:1:33398796:33401385:1 gene:DCAR_002842 transcript:KZN10186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRQLLDQQPPADAQMQSPLPGEDMIACVMALEAALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFIGLQREDQPTNAELLKKDIAVMEEELKTKNELIKKQEKLIQGWRKELQDQLEKHKVELERV >KZN11187 pep chromosome:ASM162521v1:1:42398160:42399269:1 gene:DCAR_003843 transcript:KZN11187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPLRYSRPGLSIRALVVLIVLAVLCVFVIIPHYEKVVYFLRPIWDKPPESFEYLPHYYAENVSMETLCKLHGWTARLEPRRVYDAIIFSNEIDLLELRLGELQSQVTKFVILEANTTFTAKPKPLFFTENRDRFAFAEGKITHGVFPGSIASDGSKMHPFDREAAQRRAMNSLIKKSGISPNDLLIMSDTDEIPSRNALKVLQWCDGIPDVIHLELKNYMYSFEFPLESGNWKPAVHIYRPWTVYKHSRQSDYVLSDAGWHCSFCFRTIQEFIFKMTAYSHADRVKRTDFLDASRIQKIICQGDDLYDMLPEEYSFRELFKRMGSIPRSTSAVHVPAYLLENATKFKFLLPGGCVRQGDSEFLERF >KZN10108 pep chromosome:ASM162521v1:1:32677185:32681186:-1 gene:DCAR_002764 transcript:KZN10108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKQNVEVAENNYQVDIRVALILEKDKVEDDGYAVQYHTRKNKGHQWILYLTTFVTVCGSFAFGSCIGFSSPIQSAITEDLNLTLAEEFLSYAKSRYNKQHIQALRVASSFCTAGWLAIYFAEIPVFIAEISPKHLRGALTTTNQLMIICGISFAFIIGTAITWRTLALTGIIPCAVLLLGLPIVPESPRWLAKNGDQEKFLIALQRLRGKEADISEEATEIQTYELAVKVTPALAVSGILVYIGSFSIGMGAVPWVIMSEIFPLDIKGSAGSLATLANWSTSWAVSYTFNFLISWSSYGTYIIYGTINAISILFILVVVPETKGRTLEEIQAAINAS >KZN08691 pep chromosome:ASM162521v1:1:13539708:13541415:1 gene:DCAR_001347 transcript:KZN08691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNRLFNTAVLFALVVIFSGFETRGVEGRSGKNINGKGFIKTSRTHFVLNGKPFYLNGFNAYWLMYQASDPSTIGMVSTTLQQASMHGMNVARTWAFSDGGYRSLQSSPGVYNEDMFKGLDFVVAEAKKNGIYLMLSLVNNWDGYGGRKQYVQWARDQGHYMNDDDFFSSPVTREFFKNHIKAVLTRVNTITGVAYKDDPTIFAWELMNEPRVQSDLSGKVLQDWIVEMARHVKSIDKNHLLEIGLEGFYGESMPEKKVFNPGYEVGTDFISNNQIPEVDFSTIHLYPDQWVPGANEQGQADFVNKWVAAHIEDSEKVLKKPIMVTEFGKSSRTSGYSVAARDAYLGNIYDAVYKSAISGGAAGGAAFWQVMAKGMEGWGDGYEVMLDQSPSTVAVIAQQSKRLSALTH >KZN07917 pep chromosome:ASM162521v1:1:655484:655838:-1 gene:DCAR_000586 transcript:KZN07917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFLGWFLSSKRRKRSNSGSPRRKIDGGNVTSGDQVVRAPGKGTNLVAESR >KZN11940 pep chromosome:ASM162521v1:1:49560468:49565302:-1 gene:DCAR_004596 transcript:KZN11940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGWTKTSMGIALSDKPVLAGRLRRVEEGGLEIVLNDSGIRWLKFLQALALAVVLGLARAXAIESAIPMLGQVMSAARGATDAFSGVSGSANHYLRKYGVKDIKVGLGCGIGFGHGFGVGIAVKPAVFQQVQSLFFQAVTTMMEKVAPNLSTTEGILPASLQSGMGMTIPNDPANQNPFESFGQLEKNIPDTISGLSNPNSQKASVSKSSPLNTPYGSRTEKVLDSFLKNPAFKGEDRDSNKLLNDTAENLRAENNMLQLVLKHQQVIDELMAENKKLREILVEDLKIAPSKLHGSYSSRNSSPCTDCFECRRKQRRR >KZN11367 pep chromosome:ASM162521v1:1:44038969:44043601:1 gene:DCAR_004023 transcript:KZN11367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKMVLFGDSITEESFNNSGWGASLTHHFARVLDILVRGYSGYNTRWALKVLERVFPPQEKEAPVAIIVFFGANDAALPDRTSAYQHVPLDEYRHNLHSIVSYFKERWPSTLVILVTPPPIDEDGRLKNPFAEDPSGLPERTNEAAGAYAKACASVAEEYKIPVINLWNRMQKFPNWEKLMLRDGLHLTCQGNKLVFDELISTLRKEGLSLDTLPMDLPALCEIDPNDPLKSFEM >KZN10307 pep chromosome:ASM162521v1:1:34412372:34413926:-1 gene:DCAR_002963 transcript:KZN10307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSPEKMEGSMFSPRFRSAAEMAGWDEETLLLVVEDTPDRQIKHKRRTDLQFKTPPLSNSRRKRRVQRRSPASIPATVLDLDVVDECPQKGSEKKKKEKEKSPSSCKKKEDSAAILCMDKLREELSCAICLDICFEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQLISNGRSCTVNTVLWNTIQLLFPKEVEARKLSGSVDSQEPKGKSLATRNRNQSVCPSNDDLGTELESSARRRSNQNLRNRGIRPSGILTRRVNEDARNRVQRRELLAVQDEDAALAVRLQREEYMEAFRPSDERQPPPPPQQRNSSTHLARANLRAIASRAMNMRVRGRQN >KZN07860 pep chromosome:ASM162521v1:1:230888:232509:1 gene:DCAR_000529 transcript:KZN07860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKENKDDFTRVLQVNGGTVLGMVLKAAAEFNLFEIMAKAAAVDGASPFGEDAKMLSTEDIVAHLSTQNPAAKAMLDRILRFLAANSFFKRTIVAGEDGKEKSLYGLESLCKYYIPDEDGVSLAPLLLMLHDKVIIDSWFRLKDALLEGGIAFNKAHDGMHAFEYPAIDSRFNDVFNQAMYGHTTIIMKKFLQVYTGFEEVTEIVDVGGGTGATLAKIISKYPRIRGINFDLPHVIKNAPPLAGVDHVGGDMFESVPKGEWILHDWSDEHCLKLLKNCYNALPESGKVVIVESVVPEYTNAGSSSELRNTLSADLIMLAINPGGKERSIKEFEALAKESGFAAVELICSVSVYNVLEFHKKG >KZN10971 pep chromosome:ASM162521v1:1:40744895:40746790:-1 gene:DCAR_003627 transcript:KZN10971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPRKILRIQEFKPSKISITSIKTHCSRFFSSIPLQQIPTPPGTSQSLHDSISSSLWHLIKHLSPILTPSLIATVLPDFRSNPDHILNFIHHVDPQCLDINCYCLAITIVSQATSSKPALGLIKKVIASNIATRKEIFDGLVSAGKGLSVESQRVFDLFLQAFCDLKMADEAFKWFLMMKCKRILPKIESCNDMLSLFLKLNRTPAAWVLYAEMFRLKIESTVCTFNIMINVLCKEGKLKKAMEFVIDMEGLGFKPNVVTYNTIVQGYCLKGNIEGAQRVIEVMKGKGVEPDSYTYNSIINGMCKIRRVEQASAFFDKMEECGLVPTAVTYNSLIDGYCNKGDLDRAFSYRDKMVKKGIAPTVSTYNVLIHSLFMEGKEAEANDMIKDMGQKGMSPDAITYNILINGYCRSGNERKAFNMHDEMIGKQIQPTRETYTSLIYVLSKKRKMIEADNLFQKIVRRGILPDLIMFNALIDGHCANGNVNHAFSLLKEMDRLRVIPDEVTYNTLMQGLCREGKVEEARGLIDEMKRKGIKPDYISYNTLISGYSRRGDINVALRVRDEMLSIGFNPTRLTYNALIQGLCKNQEGDHAEELLQEMISRGIDPDDSTYYYLIKGIGDVDKFLEKDDL >KZN09523 pep chromosome:ASM162521v1:1:26621978:26635430:-1 gene:DCAR_002179 transcript:KZN09523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGRDDVDAVAAAQDDDESNNEEEDLLFINCQTLMDKITASALNPNPNVLHALATILETHETRYMENTFDTSVNNVRASHTIGRLGNLIRENDDFFELISSKFLSESRYSISVQAAAVRVLFSCSLTWMYPHVFEENVLDNIKGWVLNDASRSSCEDSNLNNDCGQRKSSDAEMLKTYSTGILALCLAGGGQIVEDVLTSGLSAKLMRYLRIQTLGETSKKDANHSMDSKLASAISVRGKDESRNRIRHVTDNPQLDAPRITEGVVVDQVAERDSDKSFIRPAYQPWMDGGESPDSLADGDDDYGADVEGRDGWHGQDLRDRKTKCGDRNVQGRSMREEDFDDGGGEDSLRRRVNRGGVRSRGRGKINEGVTEAKYGLTSPGTGRGQERRMKDRTLTCNMNSRSTTDVERCFPTVGGEGFSADREDTDDCFEECKIGSKDISDLVKKAVRAAEAEARAAAAPAEAIKAAGESAAEVVKTAALEVYNETNDEEAAVLAASKAASTVIDAGYAVEISRRLRSVETNLTNSQVAEPERNDETEEFSIPDSGALANLRERFCIQCLEVLGEYVEVLGPVLHEKGVDVCIALLQRSSRFPDEPDIVLLLSDVLKLISALAAHRKFAAVFVDRGGMQKLLTVPRVTQTFLGLSSCLFTIGSLQVIMERVCALPSDVVYQLIELALQLLECPQDLARKDAAVFFAAAFVFRAVLDCFDAQDGLQKLLNLLQTATSVRSGVNSGVRGTSPTGSLRNDQSPSEVLTSSEKQVAYHTGIALKQYFRAHLVLLVDSIRPNKTNRSAARNIPSVRAAYKPLDISNEAMDATFRQIQKDRKLGPAFVRARWPAVDKFLALNGHITMLELCQAPPVERYLHDLIQYALSVLHIVTLVPYGRKLLVNATLSNDRIAVILDATNGAGYVEPQVRLHLHIIECIIQPALNVLVNLVCPPPSISNKPPLLSHGQQSLVQSSNGPTVEGRDRNAERNMPERSVPITSQIETKERTSDSTVVDRVSTAALGTASANNSSLTPVSAVPSGLVGDRRISLGVGAGCAGLAAQLEQVFHQAREAVRANNGIKVLLQLLQPRVLTPPGALDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDPGGQSSASEQGRWQAELAQVAIELIAVVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHSKELLLLIHEHLQASGLGTTAAALLKEAQLTPLPSLAAPSSLSHQASVQETPSIQVHWPSRNTSGGFYCDKNKSVLLDEDSSMKSDMAVSSSKKRSLVFSSTLGLQPKPKSQDSYPAYTSKISNTTKRSGIPAGTPETPSASGVRPGGDQDINLRTPITLPMKRKLSETTDAGRFTSNKRLITNDNGLRNAVCTTPSSVRKLTESSSFSTPCLSKDSHGRLISGGLVPDNLEDSQYNSNLPQATPSVHHGLLNDPQHVNTERLTLNSIVTQYLKNQHRQCPAPITTLPPLSLLHPHVCPESRRSIDVPSNVTARLTTREYRSKYGGIQGSRRDHQFVYSRFRPWRTCRDDSGALMTCMTFLGDSSRIAAGCDSGELKIFDSDNGNMLESCTSHQFALTVLQSHRFEETQLILSSSSHDVRLWDASSVSAGPRHSFEGCKSGKLSNSGRNFGALSLESTHREILLYDVETCNLDLKLTDTNLSISGRSHVYSPVHFSPSDTMLLWNGVLWDRREPEPVYHFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVPSLDQTVVTFNGSGDVIYATLRRNLDDVTSAIHTRRVKHPLYSAFRTVDAVNYSDIATVPVDRCVLDFATEPTDSVVGLVTMDDPDEMYSSARIFEIGRRRPTDDDSDPDDAETEDEDEDDDEDIDEDPLLGIVGDGGSDMDDMSNDDSASELEDEEDDDGEFMMDDQDDDGEMLEFVTGGEDGDEDSQVDETYSSDEDDDDFVGVF >KZN11396 pep chromosome:ASM162521v1:1:44285132:44288828:1 gene:DCAR_004052 transcript:KZN11396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEYWTSRLAAAKRHFIHHHHQNNTSRLSSHMDRLNMDDFVDVEEDGRPDFPCPYCYEEYDLATLCLHLKDEHPSESRVTLAALRNGSLNPHGPERKITTEPKEAYGSKHVSSLTPVKPGVVLHPPRRRLRRVAVPDSQTLSLLGRDLREAHLQVLLGGGAYRSSTATPSPAATDPLLSSLIMNYPTSEAEEITKVVTSCAEDSSTNTASQHLWKSSFDPSLSIEERQKRITQDARKAMFMQDLVVSTLLVE >KZN10677 pep chromosome:ASM162521v1:1:38109028:38117881:-1 gene:DCAR_003333 transcript:KZN10677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIGKKILNKGWLAARSTEVDLTGVELTTTHPPSSSPTSLPWMEACVPGTVLATLLRNKLIPDPFYGLENESIIDIADSGRDYYTFWFFTTFHCKPTSSQHVDLNFRAINYSAEVYMNGHRRVLPKGMFRRHSLDVTDILHPDGQNLLAVMVYPPDHPGKIPPEGGQGGDHEIGKDVATQYVEGWDWMAPIRDRNTGIWDEVSVSVTGPVKIVDPHLVSSLFDDCTRAYLHASTELVNKSSSVAECDLIIQVTTELEGNICSLEHLRKEHLSIYPGAHVQYTFSKAFFYKPNLWWPNGMGKQSLYNVEISVEVKGFAESDSWSHPFGFRKIESDIDSATGGRLFKVNEQPIFIRGGNWILSDGLLRLSDKRYKTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGVPISNPDGPLDHDLFLLCARDTVKLLRNHPSLALWVGGNEQVPPDDINTALKHDLRLYPYFQKLDEIKISPEEISLVLKDPSQYLDGTRIYVQGSMWDGFANGKGDFTDGPYEIQNPENFFKDDFYMYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKELSNGYVEEVPNPVWDYHKYIPYSKPNLVHDQILHYGTTKDLDDFCLKAQLVNYIQYQALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRSAAEPIHVQLNLATYFIEVVNTTASILYDVAIEVSVWELDGDCPYYKVTEVLSIPSKRTVPVTEMTYPKSMNPKPVYFLLLKLYDVSDHSILSRNFYWLHLPGDDYKLLEPYRKKTVPLKITSQTMVDGDSYEMQMHVENISKKPESKDLLYKNNFFNSNGDKDFGMSSSKTVQSHKEHQVNFLQRMSRKSSKGRDALRTEIINGSETGVAFFLHFSVHSSKKDQKVGEDTRILPVHYSDNYFSLVPGEVMTIALSFEVPQGVTPQVKLQGWNYHGAHTVYH >KZN10711 pep chromosome:ASM162521v1:1:38352653:38353984:-1 gene:DCAR_003367 transcript:KZN10711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIEATMEQGVLDDILRRLLEGRGAGKQVQLMEGDIHGQYQDLLRLFEYGGTPPETSYLFLGDYVDRGKQSLETICLLLAYKIRYPDRVHLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPIAALIDEKIFCMHGGLSPELENVNQIEAIERPTEIPESGLLCDLLWSDPDPSIEGWADSDRGVSCTFGSDVVVDFLDKNGLDLICRGHQVVEDGYEFFCRRRLVTIFSAPNYGGEFDNAGALLSVNESLMCSFEILKPLEQPKNSKMPLKKPPKLGP >KZN11769 pep chromosome:ASM162521v1:1:47954594:47955118:1 gene:DCAR_004425 transcript:KZN11769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVEAQETCIVNGLMAMMEMNGGDESSEVDIKEEMVVEVMHELWKEINSCYSDECELKKSFKRAPASFPVPGSFSGVGGDNERCGPVVSDVGSTVMAGVGPTNGFSGEEVVQGRELKCGCSMMHGGGEMDGYDGGEFDDEWLTSVLSMDPQEFEDLGVDPMIVDGSRSGKEG >KZN09461 pep chromosome:ASM162521v1:1:25511431:25514208:1 gene:DCAR_002117 transcript:KZN09461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNDELTMHPGPKIPSVLHLQSSHRSSTVWDVCGGDAHRSRRRNPTQARFPPLHESMIPILEDLRFDGHITHWNHRQEHLIGVIAHSGVGVVDGYYEWYTNITRRFHTRIAGSHFYTLDMFDHISSIARGEIDGSIEDIAHLCAHARQLVKNAFNYGVFQDYPVKDRREKEILKRPKPKKAGHKGGRGGVNAPKQQGIQIDDIPRGDNVGADIIDKDVENLQGGETVPGGVHLEHPEVLTEVNPTPPFGLPNWNLLPSGDFLRMSNDAVLYHSPDRSIPHDPAPNDHSPISHPTFNLMSQSPPRPQLVQQKQVSPPAALSMVTPTPTFTAGRIIKIAAITKYEDVDVKLDRPVEEIIIEQGTEVVEK >KZN10097 pep chromosome:ASM162521v1:1:32607589:32609862:1 gene:DCAR_002753 transcript:KZN10097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNNYSVFVEVSSPQLLDGNGDLLMVWGMYRSDSSSFMTMDHQSSSVTSPQNTTETPLLLKSSGKIAVELNLEANLAPFYLSFKLKFRSSDGLRISEIRSHRNTNFCVPVGFSSGYPAPLGLSFSTDGSMNFSLFSRSAERVVLCLYDTSMNAEPALEIDLDPYVNRTGDIWHASINSAIPLLSYGYKCQAGTKQKKDTVLLDPYAKIIGNNSSSLLPRYLGQLQKEPNFDWTGDIRPFLPLEKLVVYRLNVLCFTKDQSSELPDDIAGTFTGISQKLQHFKDLGVNTILLEPFFPFNDQQGPYYPFHLFSPANIYGHSKSPISNIKSVKEMVKTLHANGIEVFMEVVFTHTADTESLKDIDNSSYHYVREYDGIHQNTLNCNFPVVQQLILDSLRHWVIEFHIDGFCFINAASLLRGCNGETLFRPPLVEAIAFDPILSNVKIIADCWDPHDAEPKAVRFPHWKRWAEVNTRFCEDVRNYLRGENLLSNLATRLCGSGDNFSAGRGPAFSFNFISRNSGLSLVDLVSFSASELASELSWNCGVEGATTNPDILETRLKQVRNFLFILFVSLGVPVLNMGDECGQSSGGSPAYADRKPFNWDSLKTGFGIQTTEFISFLSSLRVRRSDLLQKKNFLKEENIEWHGIDLSPPSWEDSESKFLGMILKVYEEEIQSTSSAPSVNGNMFIAFNAADHSEDILLPPPPADMEWQRLVDTSLLYPGFFSLDGDPVFEQMPELVYEMKSHSCILFESRHTSG >KZN09843 pep chromosome:ASM162521v1:1:29906171:29906967:-1 gene:DCAR_002499 transcript:KZN09843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRNERGSNSDEISSRTPVRIPTTGTLILPAKTCWDCETTRTPLWRTGPSGPKSLCNACGIRFGKLRKELELLGLVSPKVVRKRNSTKSSSLNMSTVTSDRSSGNNNVGEAFNKPADLGGSGNGELVSENLRSRLFEAASVVQGEMEQAALILMAMSCD >KZN09386 pep chromosome:ASM162521v1:1:24474542:24477708:-1 gene:DCAR_002042 transcript:KZN09386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATTRASEIFQGSNNKNDGEVSRHEIQAAIAKAVELRALHAALLQGSSPASLKFSAASPASHHHVSHLSAQDYPVFTPTYEDDPLPGYQQIQLESRNLPESWDEYVLEGGGDEDKTILSDYRMENTSSRKGLLPFELRNSEPHMCSGPSALRASPGPDFCRSRRNSLGDNRSVSSCNKCKPAIISSDTDNSMRNGRNSNLTLPLTDTHISIHSQQPRTRGLGFSWLFPKLKRKHKSENSPSRTEPEEVPQIFKDLGILSMEMLKKELMQAHDTRDAALTEVSEMRSSFGELKQKLQHLETYCEDLKKALRQAAQGKNYQGFEKLGNVPGKGISVDASLENSMAVSDEVMVEGFVQIVSEARLSVKQFCKTLVGQIEETDKTLKDNLNTLLQPYKLSLTSKYSKAVLYHLEAIINQSLYQDFENCVFQKNGSPKLLDLKQHRQAQFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCIITNLNWTRPWPEQLLQAFFVAAKCIWLLHLLAFSFSPPLGILRVEESRSFDQHYMEDVLIDRQRSQGGSQVKIMVMPGFYVQDKVLKCKVVCRYKPVA >KZN08011 pep chromosome:ASM162521v1:1:1417697:1418617:-1 gene:DCAR_000680 transcript:KZN08011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTKQKQKHRSSGADQCTKNEANDWKDEVINGGSLRHVDLNNGSNGWASPPGDLFSLRSKTYFAKKVKAPSGPWLLQPAGVDWLRSAGKLENVLSRADNRVMHALKKAQARGKNMKSFVVAVNLQVPGREHHSAVFYFATDEPVPSGSLLHRFINGDDAFRNSRFKIVNRIVKGPWIVKTAVGNYSACLLGKALNCSYHRGENYLEIDVDIGSSAIATAILHLALGYVTSVTIDMGFAIEAQCEEELPEKLFGAVRVLQMEMSSATFVETVSCDKKVNGVGRSSNKVEASRSDEEDENEGLKNGN >KZN11059 pep chromosome:ASM162521v1:1:41378477:41383140:-1 gene:DCAR_003715 transcript:KZN11059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVRDSPNSDEAGTSNTAVSTGSVRRFPLAAQPEVMRAAEKDDQYASFVYEACRDALRQLLGTRIAVAYQNETKLLGQMLYYVLTTGAGQQTLGEEYCDITQVAGPFGLSPTPARRALFIIYQSAVPYIAERFSSRVASRGIALNDSLSDELFGHEAAGSSNIQSPVLIDVSPSSTPVSAISRLRQKIKGLWLNAVQRWPSMLPFVREFLQLVLRTNLMFFYFEGLYYHISKRAAGIRYVFIGKQMNQRPRYQILGVFLLIQLCILAAEGLRRSNLSSIANSVQQQTSSGAHNTSAGTGLPILNEEGNLISGNYEQKIFVSDTASTSESWVIENGSEELRGSNALVL >KZN08225 pep chromosome:ASM162521v1:1:4105237:4114042:1 gene:DCAR_001290 transcript:KZN08225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFLSNPSLLRNGFRSLMFLTPSVSISYSTCSVLSSDDSHRKKWRQPVVSALELGGVKIAKEDVVREDPTNNVPDSIFTKLGMQLHRRPTHPLGILKNAIFDYFDTNYPNKFDKFDDLCPIVSAKENFDDVLVPADHVSRSYNDTYYVDSETVLRCHTSAHQAELLRKGHTHFLVIGDVYRRDSIDATHYPVFHQMEGVRVFSPDDWEASGMDATSYAAGDLKTCLEGLASHLFGAVEMRWVDTYFPFTNPSFELEIYFQDKWLEVLGCGVTEQEILKRSGKTNNVAWAFGLGLERLAMVLFDIPDIRLFWSDDERFTSQFLKGELGTKFKPYSKYPPCFKDMSFWLSDSFTENNLCEIVRGVAGDLVEEVRLIDNFTNKKGMTSHCYRIAYRSMERSLTDDEINELQWNVRDQVQSKLNVVLR >KZN07914 pep chromosome:ASM162521v1:1:639624:643306:1 gene:DCAR_000583 transcript:KZN07914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQPFLSFHRSGGRQSSNHESIGVPSSCLPSLATVQEEKYPKLLNNQLVSVERELMSSPSDLVPLSYNNGTVGHIFSGFSKESHFSIASPHEKHSETFISQTANAETSLLVQDTSAGISENLQFCNASPHEKYSENGSFFSQTSVIVHNSCHQVPGVQSTSSTCYTKENDSWCSDMLPEFIDYPMTAEGNNYTEGGKVSSPVGLSEDCSMSSDLLDQLLNNNDALTSNWDHFENNCFTNSELQFPYHVPAISIDDTVQMHQVHQQPLVHQHSQPQVYENFPSASKEICTTQSTSDTVQQLQVQQQPLVLHKSQIHEQLAHASEEICTTPSMGCTLQLAQVQQQLQAFQQSQVQRQIPLASSKISMSGNQLSSTSSTSNKSRIRWTSEMHETFVEAVNKLGGSERATPKGVLKQMKVEGLTIYHVKSHLQKYRTTRYKPESLEGSSDKNQNLLGVMSSHDLKKDSEITETLRMQMELQKKLHEQLEIQKNLQLRLEEQGRCLKMMFEKQQESGTDNPSAQSTVGIGNNHAQDGPKTTHMQHQVIRLSPDDVDEELEVIEGGSEKFKDARTEPETETSKDPWADVTGGCDVQPENHVILID >KZN11799 pep chromosome:ASM162521v1:1:48175689:48176786:1 gene:DCAR_004455 transcript:KZN11799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGESEPLHRPNPTVQIPLYDDPTAEIHSPFSVTPNDHHSPHCTLTALHRYLPSVEDIDIPLDAFTCDHFRMYEFKVRRCARARSHDWTECPYAHPGEKARRRDPRKFHYSGNACPDFRKGACVRGDACEHAHGVFECWLHPARYRTQPCKDGTMCRRKVCFFAHTASQLRVLPPSSPSQLGIDSLSPGRCGFDSFFTGSELTPSLPSRLGCDSFVRKASFGSSPTSILYSPSESPPESPCGLLGFDSVSELSESMRGMQIGHGSMSMSVSPPWGFQMGSSYGSPRQCSMIRPGFMSLPGTPTRKMTRSGLGGFDLWDQSCEEEPAMERVESGKDLRAKMYAKLSKENSLVRVDSDNSGSELFK >KZN10261 pep chromosome:ASM162521v1:1:33981807:33984269:-1 gene:DCAR_002917 transcript:KZN10261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFTTLPSLCSSFNHSPSLAITSPLIRPTFKDTSSSRFSLKFSKISPLSPVIRAQSAPTEDFPDAKFYKVEAIIRAWRVPKVSLALLRMGIRGVTVSDVKGFGSQGGMKERHAGSEFGEDMFVSKVKMEIVVCKDQVEAVIEKIIEEARTSQIGDGKIFVIPVADIIRVRTGERGEKAERMSGGRFDMSSSEA >KZN11636 pep chromosome:ASM162521v1:1:46556111:46557761:1 gene:DCAR_004292 transcript:KZN11636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTTQIKCPANKPKIINTDDSSAQQCPEYFRWIHEDLIPWKRTGITKETIESRISKANLRILVIDGKLYLEKYTGVFQTRDVFTIWGILQLLKLYPGKVPDVDFLFHYGDTPVVPRRDYMGANASVPPPLFHYCGDDSTFDLVFPDWSFWGWPEINVKPWVSLRDELRKGNKKTQWRKRIPYAYWKGNSRVNYNRKDLMGCNVTDQEHDWNARLYKVNWDDESKKGFRRTNLADQCTHRYKIYIEGMAWSVSEKYILACDSMTLLVKPKYYDFFTRGLQPLVHYWPIDNRNKCRSVKFGVEWGNSHKKKLMKYKPTVPPEAIEVCSETVVCSEQKEVNKRFKIESMVKSPSASSPCVLAAPYSRDDIQAIKNEQESVRRRVQEWERSGNARSIEF >KZN07979 pep chromosome:ASM162521v1:1:1171660:1176415:-1 gene:DCAR_000648 transcript:KZN07979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLHLVKLFYIVLVCQFLIDECAVEKKPFIFHQDDKRMSVIVPKTSGSSKRSSQQICDPVICLASHENTRTRLTNAGTHSLPPATPDDVCYCCPLNRIPSSFTICSSSVQSQKQDVVIVGAGIAGLATAVSLHRLGIESVVLEQAESLRTGGTSLTLFKNGWRVLDALGVGDYLRTQFLEIQGMAINTEDGRELRSFKFKEEDESQEVRAVERGILLETLANQLPPDAIRFSSKLAKIDKGGSGETLLKLTDGTQLSAKIVIGCDGIRSPIATWMGFPEPKYVGHCAFRGLAFYPDGQPFEPKVNYIYGRGVRAGYVPVSPTKVYWFVCFNSSTPGPKITDPSVLKKQTGELVKNWPLELLNIIDVTPDDTIIRTPLVDRWLWPTISPSASTGSVVLVGDAWHPMTPNLGQGACCALEDAVVLARKLASAIKSEPKSVENALKSYETERWPRIFPLTIRANLVGTLLQLDNPLVCSVRNNIVIPKVVRLGPILEHTNFECEPLS >KZN10060 pep chromosome:ASM162521v1:1:32255823:32256899:-1 gene:DCAR_002716 transcript:KZN10060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKRSRIGESSSFIDKDLNHMSLEAESARKPSGERKPLKFLRTSVDEDNKEQLRQNMILNPPACESKFDGLGDFLKYCNFCRKEIPQDKDVFMYRDYCAYCSEQCRDYQIELDEWVEKQLEASKAAVREQDAKMNGSH >KZN10701 pep chromosome:ASM162521v1:1:38290503:38290682:-1 gene:DCAR_003357 transcript:KZN10701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGKVGTYVLYGSAVLLGLICREAIDKYEKHKANQDKQKPRPAPVPSQESPSLVAVDP >KZN09964 pep chromosome:ASM162521v1:1:31213056:31214012:1 gene:DCAR_002620 transcript:KZN09964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKGSANRIRKCASELMSIGDDLMDDSESWEFVGKDLQLKSTLLYCDFDKVISGASKDLKSSLTELANRLFWAIEELDLAVKVQSIQQAQNRFSDLALVLEEVIETELMPPLVASSDATDDDSTDDD >KZN11027 pep chromosome:ASM162521v1:1:41153174:41155552:1 gene:DCAR_003683 transcript:KZN11027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASFLKSSTILDKSEWVKGQTLRQPSVSVVRCHPTAASAINIRASYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSVPGLGNYVSGAILFEETLYQSTVDGKKIVDVLKEQNIVPGIKTDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQAVWAEVFFYLSQNNVLYEGILLKPSMVTPGAECKERATPEEVAGYTLKLLNRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGLPENVAAAQEALLVRAKANSLAQLGKYTGEGESEEAKKGMFVKGYVY >KZN11792 pep chromosome:ASM162521v1:1:48127662:48130377:-1 gene:DCAR_004448 transcript:KZN11792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYRVLFTADLQNLTNLQPADGVDSPTFAYYFKMKCGNCGEPAKKEISVLSNVKTSRSRNAPNLFMKCKLCERRGSMNVVTTDTGKPLTEELSAIGESAPLMELDCKGFDPVELVFGNGWKAKSIAGTKFLDINLSNGEFSEYDEMGKCPVAIYNLRVTFEVLMSEYREYMLARSIRIWDWHRDWHAVAKANKKETGVYSYFPRIVRY >KZN08736 pep chromosome:ASM162521v1:1:14688851:14689904:1 gene:DCAR_001392 transcript:KZN08736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSGTRQGDREHLEPVLMGTRFGGQREDEMSVMVSALTHVVAGGGSDGGFGQKRHRDEGGDHRDPVPAGFLPYQDPSQEGSPTYVHDSSSNDTNNSQEPSSGEEIQARRKYRGVRRRPWGKWAAEIRDPYKAARVWLGTFTTAEEAARAYDVAALRFRGSKAKLNFPENVTRRPSSSSQAPQWIISSSPDTSFTVSTMPEPVVQNAQNVYPVQGAGEYMDYYSQQSTSLLDQFAFSSAGTSAPTLHSSSSSSTSDYTSTIGMPNYYPPNFPDFDPGHNRRF >KZN08654 pep chromosome:ASM162521v1:1:13101005:13101643:-1 gene:DCAR_001184 transcript:KZN08654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSLKRKHYEVMKNDLSVELTKLRADSAKLNSDIKAAKEDFEQMCRTLSDRQADFLTRSLFLEEQHRRVIDVLDGRHHDDEGEATGENEILPVSYGEDMKRFVRDSEDALRNHRILRDVEIQEMEKVFTKYEGILKQNMVEMREKARAIERQRWELSPKLFQFTRYVVDDSGSA >KZN10321 pep chromosome:ASM162521v1:1:34550077:34552906:-1 gene:DCAR_002977 transcript:KZN10321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSAKLVQPLPLLNTTTARRSASENMNCASPFLGSTHKLRLPRPIVSSTRTHFGSGSGSAPAIVAVKSDVIKDNKKPKSDVSNLLITKEEGLEVYEDMILGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSSGFIKLLKKEDSVVSTYRDHVHALSKGVPASQVMSELFGKTTGCCRGQGGSMHMFSKEHNVLGGFAFIGEGIPVATGAAFTSKYRREVLKEADCDHVTMAFFGDGTCNNGQFFECLNMAALWKLPIIFVVENNLWAIGMSHLRATSDPQIWKKGPAFGMPGIHVDGMDVLKVREVAKEAVGRARRGEGPTLIECETYRFRGHSLADPDELRDPAEKAHYAARDPITSLKKYMVDNNLATEAELKAIEKKIDEVIEEAVEFAEESPAPSRSQLLENVFADPKGFGIGPDGKYRCEDPKFTEGTAQV >KZN09910 pep chromosome:ASM162521v1:1:30673061:30674965:1 gene:DCAR_002566 transcript:KZN09910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDSVLALTDHAVLRISAVINAIKEIKEEGNEEIDPLVITRAALIGSMPVDSSSMDIVICICHSSDYLSEKFLVELLRVLKPDGQILLKTSKSAFDQATTSSLQRKLLVAGFVDLQTAPLTSALPSEILKSFTIKAKRPSWKVGSSFSIKKSVKSLPKIQINDDMDLIDEDSLLSEEDLKKPQIPLGAHTFAIAVGDCEVSSTRKACKNCTCGRAEAEEKVQKIGPTVDQLDNPQSACGSCGLGDAFRCGTCPYKGLPPFKLGEKVALSGNFLAADI >KZN10426 pep chromosome:ASM162521v1:1:35616686:35617040:-1 gene:DCAR_003082 transcript:KZN10426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYQRLYTFDRPVGGVEELLDWDQGMDQVDDLGLGQLNAPRFARSEMDIDEMEEMIEGAVEDSFVASFGPNDLKDPLWAYEPPLGDID >KZN09969 pep chromosome:ASM162521v1:1:31243087:31244454:-1 gene:DCAR_002625 transcript:KZN09969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGLIRSLITKRQLHVVSKSSSNIKPASPTPSKLKQYNIPLHDRTIPNIHVPMILFYPSYNSDHSLKLIPNNASLSDLLKNSLSETLSMYYPFAGRLRSGSYIDCNDEGVHFVEAQIGCKLWEVLEKPPVMEEEEGLGHLFPPCTIWKNCTEMYPGIVMHIQLNHFTCGGIAIAATLHHHIGDALTLCSFLKYWATLSLHSGDHQKLLHLRPHLVHELLPASSDGDSIPDFPLPEKNWTTKEVIFQNTNLAKLKAAVENEDKVDGIVENQIYTRNELLTALLYRCLVAAAAETNTGAHNGSVLIRSVNVRPMIDPPLPETSVGNFVVINSITTSTESDTKYRTLVARMRQEKRRLSGIKNFDGQGLVPKMVELSKNKYRIFMITSMCNFPLYEATNFGWGKPIKALLVDTGMADIITLMDTTNDGIRAVVALGEQDMKNFLAQKELLTYASLE >KZN08096 pep chromosome:ASM162521v1:1:2689768:2692868:1 gene:DCAR_000765 transcript:KZN08096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSQFSAADRKILLNLRRKFNNPSSLKHWNRTSSPCDWPEIDCRVTGEVTGVDLSSKNIESPIPPSVCDLKHLENLNISDNYIPGEFPKALYSCSNLIELDLSQNCFIGSIPHDIDKLKTLKWLNLGANNFTGDVPPAIGKLPELEGLLLYQNLFNGTFPVEIGDLANLQYLELAYNGFAESEFPPEFGRLKNLVFLWMSDTNLVGKLPENVSGLSSLERLDLSSNELEGPIPKGLFMLKNLKKLFLFKNKLSGSIPLVIESVSLTELDLSMNNLSGSIPEGFSKLKNLELLNLFSNHLTGEIPSGMAQITTLTDFSVFRNNLTGEFPAELGLHSKLEAFEVSHNQFTGRLPENLCAGKSLTGVVAFLNNLTGEIPKSLGDCPSLVTLQLYGNRLTGEVPLGLWTGMNMTNMMLSDNLLSGHLPSKLAWNLSRLEISNNNFSGQIPVGVSSWVNLVVFKASNNLFSGNLPVELTSLSQLTTLNLDGNLLSGELPGEIKSWRSLNTLNLARNNLSGSIPSVIGSVPDLLDLDLSANRLSGAIPVHLGQLKLTSLNLSSNQLTGKVPAEFDNMAYDKSFLNNSGLCANTPELSLGNCYTKVPHNNKVSNNTFAIVLVLAIVVLLATIVSTFLLARDHQRKKQKRDIATWKLTSFQRLDFTEANILSSLTDHNMIGSGGSGKVYQIPVGSTGEHVAVKRIWSNHKLDHTLEKEFFAEVGILGSIRHSNIVKLLCCISSENSKLLVYEYMENQSLDKWLHGDKKIASQMTKSIHFALDWPRRMQIAIGAAQGLCYMHHDCSPPIIHRDVKSSNILLDSDFQARIADFGLAKILVKGDEANTMSSIAGSFGYIAPEYAYTTKVNEKIDVFSFGVVLLELVTGRKPNYGSENHINLAEWAWKHYGEGKCIADILEKDIKKDCYLEEMKTVFKLGLMCTSTMPTDRPSMKEVLQILQLSSPPESSDGKKGGEFDVAPLLGSSPAATYLSSYRKSKNLSVDNDSSFVNIIQ >KZN08429 pep chromosome:ASM162521v1:1:6611097:6611483:-1 gene:DCAR_000975 transcript:KZN08429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKEKLIVKGGKGKARKVTTCKVGNMQTPPTNYLGPNIHGLPKTTVVPQIKSVVGGVEISLAAFIKGGNFVVTQGALTKARTEAAKQRGQESVKDIGQGEGSGAAAEESQARDVEDQMVADKVVKDTL >KZN08716 pep chromosome:ASM162521v1:1:14185450:14187758:-1 gene:DCAR_001372 transcript:KZN08716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQKQKYEPWLSVPQFGDWDSKEQVPDYSLDFSKIREMRKQNKRDVSRASLGNEEELISPSTSSTKPSKVDPVHDWSQDHSATRRRSIFSYFNCCMKAEAAR >KZN09721 pep chromosome:ASM162521v1:1:28765301:28765834:-1 gene:DCAR_002377 transcript:KZN09721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAENDDNSDITLRLMDLGDIDDFMVWATDDKVSQFCTWKTCTSKEEAMTYMINSVIPHPWMRAICLKNRAIGYIMLTAFEGNDACRCEVGYVLASKYWGKGIATRALKMVASTVFCEWTHLERLDAWVDQDNLGSQRVLVKAGFQKEGVLRKYMLIKGKPRDMVVFSLLSTDPHVN >KZN09982 pep chromosome:ASM162521v1:1:31362248:31366724:-1 gene:DCAR_002638 transcript:KZN09982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQEEERLLLEDGIPQDESNGLYTGDGSVDIKGNPVLKSNTGNWRACPFILGTECCERLAYYGIATNLVSYLTKELHEGNASAARNVTTWQGTCYLAPLVGAILADTCWGRYWTIAVFSIIYLVGMCTLTLSASIPVFMPAECVDGICPPATPAQYVVLFFGLYLIALGTGGIKPCVSSFGADQFDDTDEIESIKKGSFFNWFYFSINIGALVSSSVIVWIQDNAGWGLGFGIPALFMGIAIISFFAGTPLYRFQKPGGSPITRMCQVLVASFRKWDVAVPSNSRLLFETQDKTSAIEGSRKLGHTDELTCLDKAAVISDEENRTGEYSNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGLMMDTRIGSFEIPAASLSSFDVISVIFWVPVYDAFLVPIARKFTGNGRGFSELQRMGIGLFISVLCMSAAALVEIKRLQLARDLDLVDKAVPVPLSIFWQIPQYFLLGAAEIFTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFIVTVVISLTTQGGNAGWIPDNLNKGHLDYFFWLLAGLSLANMVIYIFCAKIYKSKVR >KZN08268 pep chromosome:ASM162521v1:1:4658025:4659506:1 gene:DCAR_001333 transcript:KZN08268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRIGCLGYEFAVFDSSYHIAEFLGVSGLWVFFVSLELLGATVWRGCLSGLTNSTYAELAKVYEKYKDQGYLLASVSIWFILAFPGNQFGSQEPRTNEEIVEFACTKFKAEYHIFDKVTLLLFLRMYDWSIRICSWQTMTLVLHLLQHPITLSISLTTLATL >KZN11667 pep chromosome:ASM162521v1:1:46831365:46835075:1 gene:DCAR_004323 transcript:KZN11667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGSINLRNGESMVDLTGRVHQLPCCIKFTGPSPVSHYFKPKSTDVEVDGLSVNEAYFRGRKLQGTTMPLPQGYSGFVIGKKKVGKRKASDKSEEDSGCWETNAKFQNVTFWNHDSLPSQDDAFLRTFHWLAVAKAIVGTAFTFLASGSSPFFDKVRNLRAYLRKIPGGRSRKVMSSNSYQAYFFCSHHLIAMVMESASDHPISNMPKPHIKEEEAKLLIFCWYVLPPTN >KZN09080 pep chromosome:ASM162521v1:1:20042613:20044283:1 gene:DCAR_001736 transcript:KZN09080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEVHHDGGLATEIVKKARESKRSRRCRKQKKNKASDATGDESDASTVIDGCDNAEAEAVEVEPGGSEEDVKKDEADVDEAGGKRVDSDEEVEEKEKAPDLSNKQKKLQRRMKIAELKKICSRPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWSQKRKFLQGKRGMDKKPYELPDYIAETGIAKLRQAYIEKENNKKVKQKQRDRVKGKKGELDIDYVILHDAFFKHMKKPPLTSHGDLYYEGKEFEAKLKERKPGMLSQQLKDALGMEKALFGYHTGGWGKPPVDQHGHPLYGDVFGLQLEEPNYEEEAEDKTKHWGDFDPEEEEVEEDEEEVEVPDEKEVEDDVQSVDSVSSTPTGLETPDVFELRKQQRKEPEKPLYQVLELKDAKIAPGTLLGTSHTGQSSDVEVSLNPEELEGMENVLPAKYEEACDEKKLLNKQEDFSDMVAENAKKRQRKMKEKVSKSKKKGFKF >KZN11661 pep chromosome:ASM162521v1:1:46775060:46778616:-1 gene:DCAR_004317 transcript:KZN11661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQHLLSEEGFTDTKLVKNHKKVGYRGRTASDEAIALPIYICHDLKSIDFSKNKNDKAISRKGSSMFSSKRGESESGKSLTRSVTEGVRRKTDEPALDEVATRAVISILSGYVGQYLKDEEFRRTLRQRCYSCFVRKNKDSDNGKIFANIQLGIESIERLVESLGTKKELRMKLLRNSISLLTVVASLNSKSSKNGSTSGTPNSHLSACAQLYLSVVYKLEKNDRISARHLLQVFCDSPFLARDHLLPELWEHFFLPHLLHLKVWYGEEFDLVSDSDYRDKEKKIKILSKLYNDQMDMGTVQFAVYYKDWLKTGAQAPSIPSVPLPSRPSFGRSRRTSSDSVTSHSSINKSLYRAVFGPTLEHKITDTDETKGALMSTWDLDERNKVSFDEEHSKVCIYDGSRSLARHSLSSQSCRIPEDQKLWQPETQKVDYLRFLVCGVEPAEYSGHSNKGSSKRIVNAKINPSSDLSKAINTISSSDNLSDCEMAIHVMTTAWLHSHGDVAVETALSKPSVIEGMIEVLFGSNNDEILELTISMLADFVTRTEMNGQIILRADPELDIFIKLLKSSSLFLKAAALLYLVKPKAKHLTSTEWVPLVLRVLEFGDQVQTLFNVRCCPQEAAYYLLDQLFTGFDEDKNWDNARQVVTLGGLSLLAKRMEIGDASEKSKAGVLICCCIRAAGSCKHYLAEYLNKEAVLSLVVEKNTDYNNHAFSLLTELLCLQRTKVTKFLNGLMNGWSRLNTMQILLISLQKAQPEQCPVIAIIMLQLDLLGDPLKCSIYREEAVEAITATLESHTNEKVQEQLARTLLILGGRFSHTGESTTENWLLKEAGFDESLRGSFSGRDNVGDEVIQLDEEESAIEIWQRKTAVALLTSRNKKFVSALSDSTARSIPCLARASTVTIAWMSSFLHSIVDDNLQSAFCSILVPELIKSLHHDNALEERVLASYSLFNLSQCSDFVATISLLDKELMTNLGDLSRVTWTASELFMISTRSSRQRYPVLKRPSLQSARHVIPFDKEF >KZN11484 pep chromosome:ASM162521v1:1:45116825:45122044:1 gene:DCAR_004140 transcript:KZN11484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVRKRTKDLSKSVDSAHLAPFPDEVLEKVLSLVKSHKDRSSVSLVCKDWYNADRWSRSKIFIGNCYSVSPEIVARRFPNIRSVTLKGKPRFSDFNLVPQNWGADIHSWLVVFAQVYPFLEELRLKRMVVSDESLEFLANSFPGFKVLSLLSCDGFSTDGLKAIATHCKNLTELDIQENGIDDLGGGWLSCFPETFVSLEVLNFASLNSDVTFDALERLVSRCKALRVLKVNKHISLEQIQKLLLRAPQLMEVGTGSFQQELVPRQFAELETAFGSCKNLHTLSGLWEASSVYLPVIYPACANLTYLNLSYATLQSDELSKLLPNCSNLRRLWEIIMKKKKLAEWLNSGFSQVKEAGFAICYM >KZN09781 pep chromosome:ASM162521v1:1:29306768:29307031:-1 gene:DCAR_002437 transcript:KZN09781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVTADESLKCPDGETAESGLKAATSSGFWLGVEGIIGIEAESVEGMTPPEDGRSGEISGDILVDGDTGTVLGNNAGAAGTVSILS >KZN09156 pep chromosome:ASM162521v1:1:21020873:21024306:1 gene:DCAR_001812 transcript:KZN09156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKMDKICNVVHGFKPTILMLIVQTAFAGVNVLYKLASNDGMNLRILVAYRFIFAAAFIVPVALFVERKKRPKMTWMVILQGFFCGLLGGSLAQNLYVQCLVLTSATFASATTNLIPAITFVLAICFRLEKLNWDKASGKAKVIGTLMGISGAMVLTFYKGPDINFWNTHINLLDHTHEHGGHVPGTHPNRILGACFALGSCICYALWLILQAKMVERYPCPYSSTALMATMGAIQGTIFALCMERDWSLWKLGWNIRLLTVAYAGVLASGVMFTLVAWCIRMRGPLFVSVFNPLMLVLVAIAGSLVLNEHLHLGSVIGAITIVCGLYAVLWGKGKEMKRIAQLMPEVSSGDSSKPIEIVITSSPGASETRKDAVCASNNTSEANATRSPTNNNINEVTANNSPATLANTCRESDEIGIVKE >KZN09842 pep chromosome:ASM162521v1:1:29896356:29897075:1 gene:DCAR_002498 transcript:KZN09842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITTKLKLPLLTFLSITLSLSTHVASSSNSAATSTWAGSKYEIQCTMCAACDNPCNTPAATPPPPPPSPSPPPPSPTAPNCPPPPKSGNNNNNNNYYYSPPPPAQPTYGNSPPQTTGGYYPPPDGNYVRPPPPNPIVPYFPFYYHSPPPPSSAIRLSINSFFLADRIKCTCHAHRSTELAGVSGGVAGSWSSEFCSFQNYPFGAYTIKIDYGFFIG >KZN09784 pep chromosome:ASM162521v1:1:29327706:29337627:1 gene:DCAR_002440 transcript:KZN09784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSIGSGRMASVARLLMPESILQSVTENVENNKLAAQYAHRELCEADEANLLDEDDMIIFGLNPTTDPLNLVCCNACKKPVRANQFAAHAELCRTFSSVEEIVPVPKPNNGTRRKRPPKERKKSLKGSTVQPTLTREREASETIGSLGTAPLSSHLNEQMRLTSSSPNEAKGNAPFVDGLSMMDSSGINASFMDRAAGAEEPPKKRSKLLAADSQLISDHLGTAKGVTKKLFLNTQEAFTCDNRNGSKIGSGKASEHADNQIPRQVYNSHSPTIGVPAPLATKMYYCQRNQRIRSSISDLYKASTDECWSDFG >KZN09625 pep chromosome:ASM162521v1:1:27766983:27767411:-1 gene:DCAR_002281 transcript:KZN09625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSNSPSAQSVYFPTLICNSKQFRKTIINHNLQHSALDDRQEPRPLNSSDLDDLFNTGAAFASPFLPDDPVLDIIDQKVLGRDPGKPTPGGWCLGESEDDICTEWGDAEVLRPGPGAKKLERSMVQLLADGLYKTHQCMVE >KZN10374 pep chromosome:ASM162521v1:1:35057054:35063297:-1 gene:DCAR_003030 transcript:KZN10374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEEEERVLEEQLEIQLHEHRDSLSAISHALLSDPSNSELLAVQEELVQASKDAEDGLFHLKRARLLRETDVALQGTKSTIEDVMVESLDTPDLQAEPLKDPSYSIGSKCRFRHTDGRWYNGVVIGLEESDEAKVSFLTPTSENMLMCKFFQQQRCRFGSSCRLSHGIDVPIYSLKTYRPTIWDQSMAGSSIWAVADSKAGIWRKAELELWDDKLSLAKVIFLDDGSSLKLGTESISLSAYAQLSDEEDNSSSEHSDYSDYDEDNSEGLGFQENSTLQRGIQTETAVFAKWENHTRGIASKMMASMGYSEGMGLGATGQGIVDPISVRVLPSKQSLDHALESRENGEKNDNTEKKRSRGGKRKRDKKFAAAARAAKEAEEPSPDVFSLINTQLAKHSEALNGGRSGINQQNKSSKKGNNEDRRALVAYTDEVKELRMRIEKLEEMVTRNKKEKVVYEAAMRKLHETRKALERVEAAHASASTALSSEEREKKWLKF >KZN10801 pep chromosome:ASM162521v1:1:39147414:39151613:1 gene:DCAR_003457 transcript:KZN10801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAVISYTNRCFRENYPPFLPLNSALADSFLVNRLCRFLFASVLDHGRDLQNHGSNCATSTGHPSFSVNFTFGINVTAPQVVSNCRGLASKLIGQGYELVSDGSDHHLVLVNLWPFQLQESSRENVNGAQVEKILNMASIMLNKKSVADDKSEIFTEGARIGTPGHDYKRFHTERFHVSCRLDERIIYILRKVINRRIKICLHTDITPDPIQTLTLKQPNQKTNTEHLDSGVREIFPLKIKDIACKALTLKTQIKHDNLLLKSTIFFAPYAFVFGLSSSASLDGSATAMTILSNGDVSCAEYFSIPKEV >KZN08702 pep chromosome:ASM162521v1:1:13921606:13927134:1 gene:DCAR_001358 transcript:KZN08702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEVRRLADNMVQLGKEGTLCAARRAGAFVRGDDVIHKLFTELAYRYKDRAGGYTRMLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPQPPQRAPLDPWTRSRLSKQFAPPKEEKSSDSDI >KZN10223 pep chromosome:ASM162521v1:1:33676685:33678994:-1 gene:DCAR_002879 transcript:KZN10223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWMTRFLGAVTFLAIGVLFSPETFESKSSKITTFVKLTHLLCFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAASVACFGYTHPWKSASSSEKYQLGFLLSAFAFNLSNLLIFTPMTIEMMKQRHKVERESNIGEEVGWTKNQEVAKKNPKLAAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLAGKIDL >KZN09455 pep chromosome:ASM162521v1:1:25406220:25408465:-1 gene:DCAR_002111 transcript:KZN09455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTIYSLSSVSSFSLRPRSTCSNLKFTCAIAVEAGAASARSLQAGRYIKAVCFSSSVESTETQQEEEQIQDSQPEIKAFAVPRRQIMTALLSSYVFAQVDSLDTASALQSVGLREYIDTFDGYSFKYPKNWIQVRGANADIFFRDPFILDENLSLELSSPSSSFYKSIEDLGPPEVAGKNVLKQYLTEFMSTRLGVRREASILSTSSRIADDGKMYYQVEVNIKSYANNNELAVMPQDRVARLEWDRHYLSVLGVENNQLYVLRLQVPENVFAEEEKDLRQILDSFRVNKVIV >KZN12117 pep chromosome:ASM162521v1:1:51131227:51133738:-1 gene:DCAR_004773 transcript:KZN12117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLASYASSSDDEENNSIKPPPAPPSKPSVFSSLPPPKSNLSKPSPSSSSSQQTTPKSTTPSQPNPKPSLFSALPKPKAQTIDTPKIPKKIVQFRPPINPITPDDDDDDVAKEKQRKNSESLNLINNSSFKSISSIIPAPKNSMSLGGSSSSSSGTARRSILEADASPAATSTHGSLSTKPLNDNIPIDNSVNENYANYNTYASTGYNAAATDTSIAVPPSNDYAPYDATYAAAYGPAYDDASNLAPATDNYANYDVAYPAYDTNYGQQYENKWIDKSNTTTETPAMPVEIMGRNSGKRGRNDFPSEMIEVKQDELMKNRPREDTAKLTGIAFGPSYQPVSAKGKPSKLHKRKHQIGTLYFDMRQKEMELAERRAKGFLTKAETQAKYGW >KZN09758 pep chromosome:ASM162521v1:1:29093053:29097655:-1 gene:DCAR_002414 transcript:KZN09758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNWFSFLRYTLVVLFVAATATAFITLPVEKILKDFLIWVDKDLGRWGPLVLAVAYIPLTILAVPASVLTLGGGYLFGLPVGFLADSAGATAGAAAVFLLGRTIARSFVISKVKDYPQFQAVAIAVQKSGFKIMLLLRLVPILPFSMLNYLLCMTPTSTVEYILATWLGMMPLTLVLVYAGTTLKDLSDVTNGWHELSKSRWALIIFSLLVSVVLIFWVTKVAKSALEKALAENEDIHNFSTFIDSPADIRQPLVVET >KZN11435 pep chromosome:ASM162521v1:1:44627888:44628091:-1 gene:DCAR_004091 transcript:KZN11435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLPFARDRLVASYLWGMVASSDPQHRSCREAMAKSVELIGVYDDVYDVYGTLEELELFTNVVQR >KZN09733 pep chromosome:ASM162521v1:1:28861883:28862788:1 gene:DCAR_002389 transcript:KZN09733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADTSCSSANISKSSQNLVTCTYQCKLVGKSCLISISWSKNLMGHCLGVEINDLSHQCLCRVDVKPSLFSRRKGFKCLDVSSCKIDLYWDLSSAKFGSGPEPLEGFYVGIVCKGEMVLLVGDMRKEALKKIGAVPSLFNSIFVSKKEHLFGKKVYASKAQFTDNGPIHNIVIECDANGNDDLCLIVRVDSKPVMKVKHLRWKFRGNSTILVDGLPVEIFWDVHNWLFGTTLGNAIFMFQTCLSAEKLWTGETFLDDSEQQWPCLQSFKGSKVSGLGFCLTLYAWKNE >KZN08086 pep chromosome:ASM162521v1:1:2541599:2543072:-1 gene:DCAR_000755 transcript:KZN08086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSPEFFQGSPAAYYNKIQHFAPEKRQAEGKASAEHFIIEDLLDFPNDDVGVTEATFDNVTGTSTDSSAVTVVDSCNSSFSGNENHFHGGLGDHAQFSNDLCVPYDDMAELEWLSNFVEESFSSEDLQKLQLISGMKARTDASENQTENNRENPIYRPEVSVPGKARSKRSRAAPCNWTSRLLVLPPTSNQAMSSESTESDIVVGSGKKMGKGVIMGKKKEVFDNGGGSMSGGDGRKCLHCLTDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLAKHSNSHRKVLELRRQKEMQRAQHHQQQFIHQGMMFDVAPSEDFLIHQHIGPDFRQLL >KZN10956 pep chromosome:ASM162521v1:1:40629454:40629965:-1 gene:DCAR_003612 transcript:KZN10956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFVLLKKPPKNHAASAPYSASPVPPAHTYAQPASSAHYPPPASSAHYPQPASSAHYPPPASSAHYPPPASAAPYPPPASGYPYPSTPYPPHSAAYPPQPYPPPAGYPPTPYQPPAGYPPPSQPSNHYPPGPYPGTYRPPY >KZN11486 pep chromosome:ASM162521v1:1:45144671:45147399:1 gene:DCAR_004142 transcript:KZN11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGPINSGSGKAYPGELTTYVLITCIIAAMGGLIFGYDIGISGGVTSMDPFLKKFFPSVYRKQKADDSDNQYCKFDSQTLTMFTSSLYLAALCSSVVASWVTRRLGRKLSMLAGGSLFCAGALINGFAQDVAMLIIGRILLGFGIGFANQAVPLYLSEMAPYKYRGALNIMFQLSITVGILVANVLNYAFDKIEGGWGWRLSLGGAVVPAVIMIAGSLYLPETPNSMIENGKQEKAKKQLIKIRGVDDVEEEFNDLIAASEASKQIEDPWRNLWQPKYRPQLVMAILIPFFQQVTGINVIMFYAPVLFKTIGFGGDASLMSAVITGGVNVVATFVSIFSVDKVGRRFLFLQGGVQMFLCQIAVAVCIGLKFGTSGDADHLPKWYAIVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSITVSVNMLFTFIIAQLFLMLLCHLKFGLFLFFAFWVFVMTLFIYFFLPETKNIPIEEMTMVWKSHWFWKRFMADADVPKGGVQMSNGGGYVKQI >KZN11463 pep chromosome:ASM162521v1:1:44874371:44875597:1 gene:DCAR_004119 transcript:KZN11463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKTKRKKTTAAELPDEILRHEILTRLPIKSAVRFKSVCKLWLFLISQPQFVFQHLTYNSTENPNAHDLLIADKGTKLVILSRYKETKLLPFDNLSFLVGSINGLVCLYRGTNLSLWNPAIHQSKEFRLPPGHFGVPGTSLGLAFDWVGNDFKVVALCADLRSASVYCSGLDDWSQVFFEEDLFPKTDFEDCTPPFIVKGCPYWTRSRYVYETKALVPGQEYTDLVEVFLSVVKFDPRNYEFTLSPEYHWDKDDIGIEFCHQFVDLKDRVTLIVHDQRAECCIVQMFTMDGEEGCSVWTKKCIVMLDFETRGMYVSLSQGFKFDGELVYHDNGMFACIVSETEENKRIRGTTSTEYQVTCYRYAPTLFFILGMKSVHLRTQTRTPHCHRTPRRLISSLRASSLSCDH >KZN09891 pep chromosome:ASM162521v1:1:30517059:30524762:-1 gene:DCAR_002547 transcript:KZN09891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDLNDWKWDGDQFTAAPLRSVAADCRSRQFFPIGPEIPVVTGLSNSSSSCSEEIDLRNEREQRELEKRRRVFVVDDDELDDESGSLNLNLGGMVYPVANGEVENWNGKSGKKTKLGGSASSGAVCQVDDCRADLSSAKDYHRRHKVCAVHSKATKAMVGSAMQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNKRRRKTHPENSNTGVPVNDELSNSYLLISLLRILSNMHTDSSDQMKDQDLLSHLLKNLASVAGTINEGNLSGLLNGSSKLQLQNFVASRGQQLSRPTGQCTVMPSSGMTQKREFVDNVSGGKFQTPPAQLSNIHFPTKDCIAANANASNAKMESTKELNFDLNDVYDDSEECMEPLERSDAPICVENGSAGYPIWIHQDSDKSSPPQTSGNSGSLSTQSPSSSSGEAQLVQVRTDRIVFKLFGKNPSEFPLVLRSQILGWLAHSPTDIESYIRPGCVILTIYLRMEKSTWEVVCSDLSFSLRKLLDSDSFWKEGWIYTRVQNRVAFVHDGEVVLDTQLTVTNDKNCNISSIRPIAVTVSENANFLVKGSNMSQSTRILCALEGMYLVQQSCSELMDGCGSLSESDKGQSLSFPCSIPNVMGRGFIEVEDQTLGTSFFPFIVAEKDVCSEICTLESALELAETTSGIKGETEHLEVHDQALEFVHEMGWLLHRTQLKIRLGPNDPNLDLFSFKRFRYLMEFSLDHDWCAVVKKLLCILFSGIVDSGEHPNIERALLDIGLLHRAVQRNCRPMVQALLSFIPANVIDKYGPEQTLPGHIFRPDSAGPGGLTPLHIAASSGGYETVLDALTDDPQMVGLEAWKNARDGAGLTPHDYAFQRGCHSYINMIQKKTKTRSGQGHVVVDIPGTIKQKLPGGPKPTEVSSFQTEKAIMKLVQSNCNLCEQKLAYGNYRRSLASYRPAMLAMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEHLKFGSS >KZN11280 pep chromosome:ASM162521v1:1:43354145:43369262:1 gene:DCAR_003936 transcript:KZN11280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSECRVSDTGTQGKMKGPACPKILFLAAFLLLLSFWVDLCHQSNDDEDDEEGHCTCDGLLPKIGISNSSGQRRIRCLSFRGIHVGTRQKLVILVTVLIFVLMIASAVLIWIGMGKNPIDSVFVARVYVDVFAIAMLFLGGALACYGCLLSFKMRKVRSDTASSEMLKVAGLAIVSVLSFMSSALVALFTDIPMLYHWHQQRVTGVYTSSLLVLYYFIGSSVPSGFLLWVMRELPPCLVKQEVETIAFVSENTRVIDPPSPNWTTATSMQNQVKMHIHIYDAHSRLFGDVHVELFDMIHTLE >KZN09950 pep chromosome:ASM162521v1:1:31084251:31086334:1 gene:DCAR_002606 transcript:KZN09950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAGSLQRPFLAASAVAVASVSTDLHDKFMPSKLSDTDSSLEQSTSTSQLSIETNPSRVSQLSISKLSDLSFVARIRVPVPYVRCPVPVTSQGSVSNSLFSSAISSPVLLNLYSSAELASAKPTAYTPILPVPPLSEDVLYKWHLPQRNTIDTADNSDCSLAKSRTVVVLLGWLGAKQKHMRKYAEYYTSRGFHVITFTFPMSEILSYQAGWKAEEHVDLLVNHLSDWLEEEHGNNLVFHTFSNTGWLTYGAILEKFQQEDDGLIERIKGCIVDSAPVAAPDPQVWAAGFSAAILKKQSVATKQDTEANRRNLEVSGNNNVSEEVKPAFMEAALQVVLERVFEVVLKLPAVDGRLSSLMGQLKSRQPSCPQLYIYSSADKVIPAEYVESFITEQQRIGHQVRSCNFISTPHVDHLRNDPELYTSQLTQFLEDCMLCCKQDPNP >KZN09123 pep chromosome:ASM162521v1:1:20436817:20437394:-1 gene:DCAR_001779 transcript:KZN09123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSASDDQIQKPHAVCIPFPAQGHVSPMLKLAMLLHHKGFHITFVNTEFNHRRLLKSRGSDSLDGLPGFCFETIPDGLPPSDENATQDPLSLCMSTQRNCLVPLLELLEKLNCSSSSRPPVSCVIQDGIMSFAIEAAEKIGVPSVCFRTSPATILLLNKHYRQLEEKGLLPSKDSCY >KZN09411 pep chromosome:ASM162521v1:1:24716152:24733347:-1 gene:DCAR_002067 transcript:KZN09411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKNEEEVEQSNEAILYVNGVRRVLPDGLAHLTLLEYLRGDYTVFLDLDERKEVQVPLVVDSFELNVGLTGTKLGCGEGGCGACTVMVSYFNENLKRCRDRDEKFRCAYVILLVSQSTCGYLWHHAVNACLTPLYTVEGMHVITVEGVGSGRHGLHPVQESLARSHGSQCGFCTPGFVMSLYALLRSSRTPPTEEQIEESLAGNLCRCTGYRPIVDAFRVFSKTDDLLYTEGSQVTKVGEFICPGTGKPCSCGPKDASTEETSKQSCEGNNYYKAVSYSEISGSAYTNKELIFPPELLLRKLSYLSMRGFGGLKWYRPSRLSHVLDLRSRYPDAKLVVGNTEVGIEMRLKRIHYPVLISVTHVPELNLVNVTENGLEIGSSVRLSEFLEILQKVTKERASHETSACRAFIEQIKWFAGKQIRNVASVGGNICTASPISDLNPLWMAAGATFRIIDCKGNIRTTLAEKFFLSYRKVDLTTGEILLSVFLPWTRPFELVKEFKQAHRRDDDIAIVNSAMRVFLEEKDQQWIVSDASIVYGGVAALSLSASRTKDFLIGKSWNRECLLGALKVLEQDIVIKEDAPGGMVEFRKSLTSSFFFKFFMWISYQMEGQKSFMERMPSSYLSAVQSFHRPSVIGSQNFEIRKHGNSVGSPEIHLSARLQVTGEAEYTDDAPMPPNSLHAAMVLSEKPHARILSIDDSEAKSSPGVEGIFFAKDIPGDKMIGPVIADEELFATDIVTCVGQAIGVVVADTHQNARNAAGKVRVKYEDLPAILSIADAVKNKSFHPNTERTLTKGDVELCFQSGECDRVIEGQVQIGGQEHFYLEPNSSLVWTIDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSGFLAAVAAVPSYLLKRPVKLTLDRDIDMMTTGQRHSFLGNYKVGFTNDGKVLALDLEIFNNAGNSLDLSLAILERAMFHSDNVYEIPNVRVKGRVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAMEVQRSPEVIREINFISEGSVLHFGQKIEHCTLERLWNELKTSSAYSSARENVEQFNLQNRWKKRGIAMVPTKFGISFTTKFMNQAGALVQIYTDGTVLVTHGGVEMGQGLHTKVAQIAASCFNIPLSSVFISETSTDKVPNASPTAASASSDMYGAAVLDACEQLKARMQPIALKHNICSFPELANVCHMERIDLSAHGFYITPDIGFDWKIGNGKPFSYFTYGAAFAEVEIDTLTGDFHTRTADVCLDLGFSINPALDVGQIEGAFIQGLGWVALEELKWGDAAHKWVSPGRLFTSGPGSYKIPSINDLPFNFKVSLLKDAPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIIAARADAGHTGWFPLDNPATPERIRMACADEFTNPFAASDFRPKLRGGGETSEGGGGENAGGGGEYNGGGGDDSGGGGGKNIGGGGGDERGGGGEIDGGGGDCGVGGGGDDNGGGGDDSGGGGGENVGGGGGDDSGGGGGENVGGGGGDDSGGGGGENVGGGGDVNGGGGDDRGGGGGDEYDGGGGDDSAGGGGENVGGGGEYNGGGGDNSGGGGGENAGGGGEVNGGGGDERGGGGENDGGGGDCGVGGGGDDNGGGGDDSGGGGGENVGGGGEYDGGGGYDSGGGGGENIGGGGDVNGGGGDDSGGGGGENVGGGGEYDGGGGYDSGGGGGENIGGGGDVNGGGGDDSGGGGGENVGGGGEYDGGGGYDSGGGGGESISGGGDVNGGVGDDRGGGGGEYVGGGGEYDGGGGDNSGGGGGEIVGGGGDVIGGGGDDSGGGGGENAGGGGEDNGGGGDERGGGGENDGGGGDCGVGGGGDDKGGGGDDSGGGGGENVGGGGEYDGGGGDDSGGGGGEIVGGGGGDNRGGGGENVGGGGRDDRGGGGGENVGGGSEYDGGGGDDSGGGGGKIVGGGGDVSGGGGDDRGGGGGNVGGGGEYSGGGGDDSGGGGGENVGGGGEDNGGGGDVNGGGGDDSGGGGEYT >KZN09043 pep chromosome:ASM162521v1:1:19402790:19403912:-1 gene:DCAR_001699 transcript:KZN09043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTHMANNHVLTYLKPFTPKLPSSSSTTYTSLSLHHITSITKASLTTKNNPNGLPKDEPKITIPTMRDILDSSKQQKLELRLQTLGPFFRITAKRSDSEAEIGRAEGIIRVWFGRKILHLDSIKLTRETLGMDKSIFGIGVFLGAVAVRYGYDCGCTCAELLAINDSDLYHKKLVKFYTRIGFKTVYEVTGSSMGDYTHMLVWGGVGTRMEANVEHLLVKWCTRFIPKK >KZN11054 pep chromosome:ASM162521v1:1:41308129:41308704:1 gene:DCAR_003710 transcript:KZN11054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPSLYLIMMYVVVVSAAVLAFSCNAADQQPEDVKKWLEQRENHKKITKLHFYFHELRERTSTLVAQANSSATSPTFFGVTYVMDDPLTAGPEFTSEPVGRAQGLYASASKEEAGLVCVFNFVFTNDKYNGSSLSIFGYNPTLRQSRELVVVGGTGVFRMARGVAVLSFVYFDVAGGNATGEYNVIVEHY >KZN10007 pep chromosome:ASM162521v1:1:31643914:31646041:1 gene:DCAR_002663 transcript:KZN10007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSSTLEHFSHPEHPLRLKEDVVIGENAKCHVCNKSVIGYPTYTCTSLDDIDCRNLYLHKTCAELPATINHHTHDEHPLTLLLRPVNYICSVCDLDVRFAYACDTCNFDLCIRCVELPTKVTHHKHESHLLAFLPCSDCCDVCYRNVRFAYVCDNCEFNVCVSCAFEQRVLRHDGHEKHILTLMPREALFKCDACGEEAKDSSYVCTTCDFWIHKSCAISPLIIPDPTHHHHPLELVYSIPDMHRYFIRRCNICKQTIQKYYWVYYCHKCTYFVHVKCAGLYLKTPYVDYDIIRTDDTGDEPDLIQFPLSGEESLFDLIVTQCGKLQGEFQGEGDDPHIIEAHWSHKSHPLEQLQFTLSLNDDDNDAADDDKKGLICDGCIQPITVSHPSYYACIPCGFFLHSFCATKLPKELSTGASPFHPEHSLVLRKSDSFCSLVACGACRYSTNGFFYLCETCDIKVDIRCAFLPNKIKHELHKHHSLVQRHFYKSECSLSRMNQRYDNHQVPLRPPPFFYEGVFYCEICEKQVNNQWWLYHCGKCDHSFHFNCLRPYENVKVGGTIEYNISNRPHKLALVLKGSADKDSPHSLCCRCGTVYTFEYFLECDGCGFRVCVECAKDMDSVDHQHRTPVK >KZN08605 pep chromosome:ASM162521v1:1:11887834:11888079:-1 gene:DCAR_001135 transcript:KZN08605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYMGDAKTWTSDLKPENYGQTTQLDKIRAKYCHAILASPLNEIRQKNPR >KZN07955 pep chromosome:ASM162521v1:1:953755:956545:-1 gene:DCAR_000624 transcript:KZN07955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGAHNTYETINAAANVIASAENRVHQASPLQKKRWGSWRSLYWCFGSNKQKTRIGHVPEQTAGADGTAEHNLNQSLSVILPFVAPPSSPASFLQSEPPSTLQSPAGLVSHSANVYSPVGAASMFAIGPYANETQLVSPPVFSTFNTEPSTAPFTPPPESLQLTTPSSPEVPFAQLLDPNHQNRDANKIYPLPQYEFQSYQLHPGSPLGQLTSPSSGISNSGTSSPFLDCNSTPARPHFLDFRTDNPPKLLNLAKLSPHKWGSQQGSGSLTPDNMGLKSQDGSVLNEQNFDISALKISSDVRKNDETAIDHRVSFEITAGQVGRWVENNLIASPKVLSKSEYVEGIPEGDEGSANLPSDCECNNTGATSDQTEQRACRDCADREKHQSVTLGSSGEFIFDNAEVGNTENPPSIGSDWWAKEMVSGKEAVPSQGWTFFPATPPSVS >KZN08985 pep chromosome:ASM162521v1:1:18660154:18662406:1 gene:DCAR_001641 transcript:KZN08985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQVAKRLENTSRYLKRLGSFGFWGQLVCTIVAAVILSFSIVVTGKVTSPATFYATAGGIAAGFISVFWSFGYIRLADKLKRTANNPVKAPPRADVVNSLKNGIVLNLLGMGAAVLGMQATVGFLVAKALTTSSNPFYQGVSPGSSPVLALDVFLVQASANTILSHFLGLVCSLELLRSVTVSPNETIPAPKMA >KZN08679 pep chromosome:ASM162521v1:1:13396696:13397844:1 gene:DCAR_001209 transcript:KZN08679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPHFYMCPISLDLLNDPVTLSTGQTYERSSIERWLATGNLICPVTMQKLHDPLMVPNHTLRHLIHQWLQKGHTDELSMDASQLSLVSFSQKMESHQVSLENKLDLLSEIEKLSQEHPDKIYRSIQLGLFSLLLELVFGKVEENLKFVEKTLVCAIKLLPFSNTRSLKILQEESNLARLVLLFNQGNLVIKKSLCQLLVEALSSSLDTKDLCIALGEEQPLLGEIVNYVFHDNDDASEAGIKSISALCSHESNKVSAVRVGVIQGLVTYISRPKRHEKSMVSKAMATIEDLLALESAKWELLNDPNGVKALVKMVFRMSENGNCESAVNSLMILCCDSFRAREDAICAGVLSQLLLLLQSQCGDRTKTNATILLKLLTSYM >KZN10487 pep chromosome:ASM162521v1:1:36193975:36197004:-1 gene:DCAR_003143 transcript:KZN10487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNSLRDLPIAIKTEDPKVQHYELPTSFFKLVLGENLKYSCCYFNDTSSTLDDAEKAMLETYCERSGLEDGHTVLDVGCGWGSLSIFIAKKYSNCKITGICNSNTQKAFIEERCRDLDLHNVEIIVADISTYDMEASYDRIFSIEMFEHMKNYKELLKKISRWMKPDSLLFVHHFCHKTFAYHFEDIDEDDWITRYFFSGGTMPSANLLLYFQDDVSVVNHWLVNGKHYAQTSEEWLKRMDKNMGSVRPIMESTYGKDSAVKWTVYWRTFFIAVAELFGYSNGEEWMVAHFLFKRK >KZN08317 pep chromosome:ASM162521v1:1:5172164:5174518:-1 gene:DCAR_000863 transcript:KZN08317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMNTSGVTVVGSDAPSEYHVAPRTENSNQVAAGSTQTEPAQVAVSEAQVTVPPATQMVGPLAMVVAPVKKKRGRPRKYGPDGSVTKALSPKPISSAAPPSVIDFSAEKPKGKIKPAGSANKHFAKMGMESLGDWVSCSVGGNFTPHIITVNAGEDVTMKVISFSQQGPRGICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLTGSFMPSENGGIRNRSGGMSVSLAGPDGRVVGGGVAGLLVAASPVQIVVGSFLTGSQHEQKIKKPKTDNITAAAPTAAIPISVASVPISTAGQQHTSATVNHNVTSLSFGGDNWSAYPSDSRNNPTDINVTLPG >KZN08902 pep chromosome:ASM162521v1:1:17482022:17482779:1 gene:DCAR_001558 transcript:KZN08902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTISWVTNNADVNTLKDYHQQVQMKSTEKVVEKGNFAETNEEQGQILETPKIDVTKKKMYVEQFAEKMAQLSDLFGICLDKYEVSHALYLDNPLLQELTTKFGYFFKLFHETSPLSKRLFTRVEAEKDTTKTMH >KZN09714 pep chromosome:ASM162521v1:1:28714103:28715200:-1 gene:DCAR_002370 transcript:KZN09714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEAWFMEENDEDQRLPHHRNPKEFVSPDHLADIGVLYWHLNPKNYENDEELQKIRQERGYNYMDLLDLSPEKVENYEQKLRNFYTEHIHEDEEIRYCLEGSGYFDVRDKDDHWIRIWIKPGDLIILPAGIYHRFTLDTTDYIKLMRLFLGEPVWTAYNRPQDNHPSRREYIKNFAEKVGVGTPLTAH >KZN10119 pep chromosome:ASM162521v1:1:32763671:32765482:-1 gene:DCAR_002775 transcript:KZN10119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDEERWKKFKEEQRKRLRDIEEQSVPKMTDLEILAGKRRIKLQAGNGQSVFKSYSHLVGDYSPLTSVPVMSETLEKTASEISKKLDQHTQKPRARSKAQKEERDERNKKRREKYKAQKEERDERNKKRREKYKAQKEAWKKDGLTLDFESREKQDQLNQKRRARYKAHKEATLRKIELEAKEKHDQLNQKRLEVKNKKRRSRYQNGDAEKREERKNKRQQVYRNENEEQNMKWDASKVIVRTPEKFAGSLCNLNI >KZN10288 pep chromosome:ASM162521v1:1:34223694:34230225:-1 gene:DCAR_002944 transcript:KZN10288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKELNKLFNGVSLVCREIAARQGGADFQTLIKTAVLSATDITGLTKGNLVRFSPSKPPPSHSNSKDSVVYFSDVAAAPPVLQSESEVSATQSSSSSSDDDVAVGSQLGGEVEFSSVIGDVAVGEAKTVEVSNAAGDVAVGEAKPVAVVKKRKLRERKVPSTSFSRALGFAGLGAGLAWGTVQESTRRLVYGTPISKDQKSAVSPFLSDKNAERLALALCRMRGAALKLGQMLSIQDESLVPAPILAALDIVRQGADVMPRSQLNQVLEAELGPQWSSKLTSFDYEPLAAASIGQVHRAVTKDGLDVAMKIQYPGVADSIDSDIDNVKLILDYTNLLPEKMYLDRAIIVAKEELSRECDYILEANNQKRFRKLLSDTEGFYVPMVIDDILSKRVLTTELVSGITIDKVALLDQETRNYVGRKLLYLTLQELFVFRFMQAYPNWGNFLYDENSRIINLIDFGAARDYPKRFVDDYLRMVVACANNDREAAIEMSQRLGFLTGMESDIMLEAHVQAGFIVGLPFSKPGGYDFRTTNITQSITNLGATMLRHRLTPPPDEAYSLHRKLSGAFLACIKLGAVVPCRDVLLEVYEGYKFGEDNIEISNGSSAE >KZN07919 pep chromosome:ASM162521v1:1:664853:667030:1 gene:DCAR_000588 transcript:KZN07919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIAEEKGIDEKEEYTQDGTVDLKGRPVLRSKTGRWTATSFIVGYEMTERMAYYGIATNLVLYLTRKLHEGTVTSSNNVTNWVGTVWMTPLLGAYLADAHFGRYWTFVASSIIYFLGMCLLTLVVSLQALRPPSCGGGVKEVDCEKRASPFQIGIFYCALYIIAIGTGGTKPNISTMGADQFDQFEPKEGAQKLSFFNWWMFSVFFGTLFSNTFLVYIQDEVGWTIGYGLPALALLFSIALFLVGTPYYRHKPPAGSPLTKMAQVLVATVRKWRVAVPSDPQDLHELNLAEYSKPGKYIIGHTQSLRVLDKAAVVVPSKEDTSWILCPVTQVEQTKKMIKMIPILLASCIPSTMVAQTHTIFIKQGTTLVRSMGPHFSIPPACLTAFTTIFMLLSIVLYDRLFVPFVRRYTKNPRGITMFQRMGIGLVIHVIMMIIACFCERKRLSVAKDHGIFGKNETVPLSIFILLPQFALVGIADNFLEVAKIEYFYDQAPEGMKSLGTAYFTTSLGVGYFLSSLILSTVAKVTKRNGNKGWILDNLNLSHLDYFYAMYAVLNFLNLLFFLFVTKYIVDSTDTQTLFQSELQTVRDKSDNLDTSDQQKIDDVSVLNK >KZN09867 pep chromosome:ASM162521v1:1:30135362:30140332:-1 gene:DCAR_002523 transcript:KZN09867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYTHPSYRTPSCVFLFKLINAFSSTIVIDMNTTCIAVSNMRPCCRMLLSCKNSSIFGYSFRKCDHRIGTNLSKKQFKVYGLRGYVSCRGGKGLGYRCGIDPNRKGFFGSGSDWGQPRVLTGGCRRVDSCGRSVVVNVASDYRNHSTSVEGHVNDKSFERIYVRGGLNVKPLVIERVEKEEKLREEEGRVGVNGLNVNIGDSKGLNGSKVLSPKREVSEVEKEAWELLRGAVVDYCGNPVGTVAASDPADSTPLNYDQVFIRDFVPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCHSPGQGLMPASFKVKNVAIDGKIGESEDILDPDFGESAIGRVAPVDSGLWWIILLRAYTKLTGDYGLQARVDVQTGIRLILNLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQCVFEAENSFNQSDKDTITEDWHINCIGTPYQTVGILIKDTTSNRWYNNRALFYSALRCSREMLIVNDSTKNLVAAVNNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDAINKFNIYPDQIPSWLVDWIPESGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNESILNLIEDKWDDLVAHMPLKICYPALEYEEWRVITGSDPKNTPWSYHNGGSWPTLLWQFTLACMKMKKPELARKAVALAEKKLSEDHWPEYYDTRRGRFIGKQSRLYQTWTIAGFLTSKLLLENPEMASKLFWEEDYELLESCVCAIGKPGRKKCSRYAAKSQVV >KZN11301 pep chromosome:ASM162521v1:1:43538607:43539008:-1 gene:DCAR_003957 transcript:KZN11301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQEFQEFQESDIIFGENDREDRYDQRARDMWHQHVNSKKPKRNKKKKNSRPVNIPENASAPGKNSPWFYENGEFYEEDEDVSITPPHVILGRRMNGKMAFSVNTGSGRTLKGRELSYVRNSILRMTGFLES >KZN11496 pep chromosome:ASM162521v1:1:45202629:45209272:-1 gene:DCAR_004152 transcript:KZN11496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTEPLPEDLRCNRTDGRKWRCNRPVMENKKLCEIHHLQGRHRQHKEKVPDFLKLERKPNRTSSQELGVWRKDEKRAKKRKILSGFDENFDGSLREIEMSRGDLKLDLIREFLKREVVKRKERKIQESEEESDDLVIAKTLSQSGENLRNAGGYSGKGGLGGLISCSLDESGFGSKNDEPILSTVVETLNSLREAKLSTGGKRMKCHWCHRSSYHTLVKCTSCHKQFFCEDCIKARCLNKQELKMACPVCIGTCNCRACLTNQSNDGEEKDYYNDDTKLLHYLIHVLLPVIAKVNHDQIIELEFESIIKGKRLYELHIPQIKCGYRELPSCNNCKASVVDFHRSCTRCSYNICLSCCRESRQGQSRGEINENKMTCLNGKNDDSSDDGLLLKRRYNTSRQGSCRNSSAAPTIQQKLNDCADKNIPCPDHSFDGFVNNLELRSVFPFGWLNELQSSVEEIVDSYVLPKTPDYGPQCSICRKTDHRVNRIRLLQETARRTDPSDNFLYYPTVQDLRIENLEHFQKHWGKGHPVILRNLIKSTSKLSWDPFIMFYNFLGLENSNHQNYNIKSNNCLDWCEVEICNKKTFMGSLDGHPNANVCRDTVKFRGWLSPQLFQQHFPAHHAAILDALPLKEYINPECGILNLALKLPNEMPMANLGPCIYLTYGAPEELAQANFLTRLSYESYDVVNILAHATDVPINVEELNRLRELLNRRKEQDNRRSKADATGQPLENQLDVTSMIHREDVEEMTRKISLYSEDTEESVSQDVTAENLKVVDEVLMPYTDLDRGCAQTLIDLNIPVASEPDVEYDSEATVSATIQGEEDSENESFFHDNIENSGYDDNKSASSCGAVWDIFRREDVQKLLEYLTRYSNELTQAYGSPKEVVHPILDQSLFLDAFNIMRLKEEFHIEPWTFKQNIGEAVMIPAGCPYQVRKLKSCVNIALEFISPENAHECIRLAEELRLLPQGHKAKVKIPEVYFIVCDLVVSVVLKFSILPQNYCLLQVENMTIYGMKAAVKEIKQSYTSRVSISEHVFCVNS >KZN10589 pep chromosome:ASM162521v1:1:37270002:37271281:-1 gene:DCAR_003245 transcript:KZN10589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANLTENVGGNTRRRICTTGCDRPINVCLCDKIPRDKIGTITKIIIIQHPHERRHKLATVPVLAKCLDNCEIVFGRRLRRTVSPFLDSLCADAVAHPQNARRVVFLFPGTETMPSLDIDEWKSLHGDANVMSNLVLIAFDATWKHAKEMVQASLPFLTKFAIQISLPCKVEVDGDSIYTSDLTLRKEPFSGCMSTLEAIARCLRILEPNGAEIESRLIELLKDMVKLQACFLKPMKPRVKLSKKSKSIGIENGADKDW >KZN10653 pep chromosome:ASM162521v1:1:37940238:37941024:-1 gene:DCAR_003309 transcript:KZN10653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFCKKSFSTLFNTAIIALTPPQEDSSTNHHPITSLPVTKCDTDLDSIASSGEIPKHDSVTDSSSFINIPVKSDQIETGLTLNSCDIDKLASCTESLGFESHNESSQDGVFKDTQKSRANVKFTKFDKETKKIKNKSFPPPLSTLDDKGWKVLTSGGGGEDRRRS >KZN11315 pep chromosome:ASM162521v1:1:43645270:43645986:-1 gene:DCAR_003971 transcript:KZN11315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSTNGHHEGLSLHVNDSDTNHRAFSSILSLPFMQKLIAELLGTYFVIFSGQAAVMINLAEGNLVTLPGISIVWGLAVMVMIYSVGHISGAHFNPAVTIAFASCKRFPWKEVIIM >KZN10681 pep chromosome:ASM162521v1:1:38133383:38134774:1 gene:DCAR_003337 transcript:KZN10681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNILFFLYSLPLVCLFFLLYKVIDQRRHQCCYILNYQCYKPCDERKLNTEFCGEVIKRTKNLGLNEYKFLLKAIVSSGIGEETYAPWMVINGREDNPTYEDAILEMEEFFCDSIDKVLSKTGLSPRDIDVLVINVSMLSTSPSLASRVINKYKMRDDVKTYNLTGMGCSASLISINIVQSIFKSRKNVNALVVTSESLTPNWYTGNNRSMILANCLFRSGGCAMILTNKVALRSKAIFKLKCLVRTHHGAKDEAYGSCIQTEDELGRVGFHLGKNLPKAATRALIDNLKEISPRILPVRELLRFAILSFAYKLNKGSSKGGAAIRPMINFKSGVDHFCIHTGGKAVIDGIGQSLGLSEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRFKKGDRVFMISFGAGFKCNSCLWEVLRDLDDGNCWKECNIDTYPPKTLTNPFMEKFGWIQNEDISTFKFPE >KZN10581 pep chromosome:ASM162521v1:1:37197235:37204948:-1 gene:DCAR_003237 transcript:KZN10581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVVDAGSKLLKAGFAVPDQKPSMIIPTQMKRMPEDGSPSETSMTEDITVDPVVRGFIKDWDAMEDLLHHILYNGLGWEIGNEGQILFTDPLLTPKAVRERLVQLMFETFNVSGFYATEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVQHIASKRLEIGGIELTNLLSQELGKSNPLIKLDISDVEKIKEMYACCAEDDLAYEKMSCQDETHTLPDGQVITIGKERYTVGEALFQPAILGLEAHGIVEQLVRSISTVSSENHRQLLENTVLCGGTVSMDGFEDRFQKEANLCSSAVRPSLVKAPEYMPEDLTMYSAWVGGAILAKVVFPQNQHMTKADYDETGPSIVHRKCF >KZN11017 pep chromosome:ASM162521v1:1:41090764:41093738:-1 gene:DCAR_003673 transcript:KZN11017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNCCDTDNIDMMNYATSLCRRLNLSELVSKVPVYSSGSDASGGGLNLILRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGIGKDHTLFALKEAADVEFRCFVGGLAWATTDRTLGNAFSQFGEVVDSKIVNDRETGRSRGFGFVTFREEHALREAIEGMNGQSLDGRNITVNEAQSRANGGGGGGGFRHSGGRREGGGGGYGRRDSGPYGGGGGRGYDTGSPRYGSRAGGPDRKWRN >KZN10465 pep chromosome:ASM162521v1:1:35972148:35976642:-1 gene:DCAR_003121 transcript:KZN10465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCNPTCRMKLSAEKPSAPHAVEHMITTMISSFKPVLRELQHIPIKIDVQKTLKNTSIELLDAFVDKMFKFVDQPYLETQRNFVPVEEMGNKVLVNNVQGAIPCDFPDGVYVRNGPNPLYGGYKSTISMLGRSNHIWVEGEGMIHAIYFEKETSTGICSIYYNNRYVQTETFKMESSKNKPCFIPTIEGDSAAVLLAYLLNMLRFGKVNKYISNTNVFEHAGKYYSIAESDMPQEIDIHTLETFGTWDVNGTWNRPFTSHPKKAPGSGELVFMGVDAMKPFLEMGIISADGMKLVHKVDLDYKRSSLCHDIGVTERYNVLLDFPLILDVNRLIRGGPLIKYEDKEYARIGVMPRYGNKESIQWFDVQPSSAFHIVNCFEDGDEVVMWACRALGSIIPGPDLGLNRFEYFSNGFKQTCSNSSDRDIDADGLFFSRCYEWRLNMKTGEVKERNLSGTKYSMDFPMINENHTGIRNKFAYTQIVDTKASSAAGMAKYGGLAKLYFEELREETNEELIKTEYHAFPDNTFCSGAAFVSKIEGHEEDDGWIVTYVHNEYTNISQVYIIDAKKFSEKPVAVVTLPNRVPYGFHGAFMRTGAN >KZN10857 pep chromosome:ASM162521v1:1:39610090:39610566:-1 gene:DCAR_003513 transcript:KZN10857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQGRLSQEQSYRGVRKRKWGKWVSEIREPGKKARIWLGSYEAPEMAAAAYDVAALHLRGSAAHLNFPELVGSLPKPVGSSHADVQRAAQEAAMGFGKSENRGPVTVQLSSSEIRAINESPLDSPKMWMEMGGSVFLEERRDEEQLEEMQCSSLWKF >KZN11739 pep chromosome:ASM162521v1:1:47641736:47647065:1 gene:DCAR_004395 transcript:KZN11739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRELYLALTEDDIDVLKLRDWLQVRDQVTPTNNSVLHVACQHGSNKCVQEILERADEPLLLQTTSRGETALHLAARQGHHHAVEALLSKAKSSDHLSDDDLENPTTRLQKLIRAPNVELETALHAAVRYNHEKIVGVLVKEDPGYSYPRNKSDETPLYLAAARGYAGVTKVILDNCESPTFGGPHGRTALHGALMSSRGYECMRFLARSNPDLIKKLDHNGWTAFHFAAENDLLNSIEFLLNANRRVAYIADKKDKKTPLHIAAYKGYTRVIMKLLNYLPDIVDSVDGNGQNMFHIALKQDQSLLLKFILSETWKFDTLNALIIQKDMEGNTPLHLIAKLGYYIPRLPQWIPKTDQEVVDNTNLTPAEALYQYYSPLLVIGEDVGGLHPHRDNFNDIWDKWTGGKMQNIDRSDVKSHDVRTEMRRQRANTHMVVAALVTTVALTAGFAMPGGFNGNLGPEQGSPLLLRKPAFNIFVVADTVALLFSISSLFLYFTLSFKLKRKAFITSFSFAVVLNIASIAAMMVAFIAGTYAVLSHSLVLAIAVSTLSSLFLLLVFSLSYRTSINMLRFPIHETRWWLCRMYYYIVWRSRRWDIVRRLCERSIDHPAKSDGSDLV >KZN08005 pep chromosome:ASM162521v1:1:1384094:1385467:1 gene:DCAR_000674 transcript:KZN08005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTGIIVGGWDKYEGGKIYGVPLGGTIIEQPFAIGGSGSSYLYGFFDQAWKEGMTKEEAEQLVVKAVSLAIARDGASGGVVRTVIINSDGVTRNFYPGDTLPLWHEELEPQNSLLDILNAPAPEPMNI >KZN08773 pep chromosome:ASM162521v1:1:15189943:15192279:-1 gene:DCAR_001429 transcript:KZN08773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLFTLLVLLSATYFVAAQRRTSNSISQGSSLTPTGNSSWLSPSGLFAFGFYPQGNNTYRVGIFIAGLRLNKTVVWTANRDDPPVSSGVTLQLTPDGRLILQSQDSTTDAVIVDQSISSASMLDSGNFVLFGSNQEKIWQSFDHPTDAILPGQILSQNQELFSRASDSDYSTGIFRLKMQSDSNLVQYPVQTPDTAPYAYWSSSTNNQGVNVTLNLDPDGHLYLLNNSVSILKNLSDGLSEKQRVYLMRIDSDGILRLYSFNLGRKDNISSIVWKSSGDKCSPKGLCGLNGYCTLNDDEPKCLCPPGFDNVNPGNYTAGCKRNYTADICKNSEQRIQYSMRALEYTWWENDPYLVLKMKIKEDCETSCLEDCNCEAAFYKDGECRKQRLPLRYGRRLLTDSNVAYIKVASPTRQIETPSHSRPGKKKEPRLSILIISLTLCALAFTVFVISGYLAYRNRIWAYKKISAKGNFELMDDIAPRSFTYAELEEVTNGFQEEIGRGASGTIYKGKFNDKLVAVKRLENMLAEGEKEFQNEMKVIGRTHHRNLVRLLGYCHDGPKKLLVYEYMVNGSLADILFDPQNPPRWEERIRIVLDIARGILYLHEECETQIIHCDIKPQNILIDEYRSAKISDFGLAKLLKADQTNTYTGIRGTRGYVAPEWHRNMAVTVKADVYSFGIMLLEIICCRRSVNLSLSEEEAVLEEWVYQCFEDNDLAKLIIDEETDRKKFERMVRVGLWCILDEPSLRPSMKKVLLMLEGTVDIPAPPSLSSYLTAI >KZN09289 pep chromosome:ASM162521v1:1:22603326:22607935:1 gene:DCAR_001945 transcript:KZN09289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQGSQLQADEGLGSSRPIAFKSARFKEEPKGNDPYIEITVDVADDSALVQSIKGTPDQEAALLAKRPSFSSQLSSRLRRVSRELKSSFSSSPRKSTSDNKGVKHCPSGTAQALVGLRFMHNNVGNNDGWSGVEARFDQLSVDGTLPRSCFCKCIGMTDSIEFMGELYDALARRRGITSSTITKDELHEFWKQITDTSFDARLQTFFDMVDKDADGRLTQDEIKEIITLSASANKLTKIVESADEYAALIMEELDPSNLGYAEMYNVEMLLVRLPNDSAFSATESKDLRSLISDRLLLTKDGNLMRRGYSRIGYFIHDNWRRLWVLCLWLLICAGLFLWKFFEYKHKAVFDVMGYCVCIAKGSAETLKYNMALILLPVCRNTITWLRSRTHLGLIIPFDDNVNFHKVVATGIAIGAGLHVGAHLSCDFPRLLHSTDKEYDRMEHYYGHHRPNDYWWFVSSTEGWTGMTMLVLMTVAFILANPWFRQNRFNLPRMIKRLTGYNAFWFSHHLFVIVYVLLIIHGTFIYLSKEWYAKTTWMYLAIPMVLYGIERLIRAFRSGYRTVKSLKVAVYPGNVISLQFTKPCRFKYTSGQYIFVNCSKVSPFEWHPFSLTSAPGDDHLSLHIRAAGDWTSQLKALFCRFDQPAAEINHSGLPREDLSQDLSQQSHNVHRMPKLLIDGPYGAPAQDYTQYDIVLLVGLGIGATPLISIIKDVLYHIKQKKENNAEGTESRKKPHNLFNTTRVYFYWVTREEGSFEWFKNMMNEVAENDAEQVIEVHNYCTSVYEEGDARSALITMLQTFQHAKNGVDVVSGTRIRTHFARPNWRNVFEHVAVNHPSKRVGVFYSGARSLFPELRQLSHEFSRETATKFDFHKENF >KZN08243 pep chromosome:ASM162521v1:1:4228809:4232130:-1 gene:DCAR_001308 transcript:KZN08243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENSRAHDDRPLWQQRSKIYKMLTNKDPEIIRQKKKEEIIGFLCECWKCKPAEPLDGRGNTLLHLLVICNYVEALERLLDESDISQEQLKKQNLRGETALHEAARHDNDGIVDLLLQKEHVFISKIGCTVPLCNCKKCVEAVSAKKNNLVSVRNELGETALYLAAASGKWKVFQKILECNGDDCMTQSNDGCTVLHAAIMGEYYRTATNILQLKKHGLATKCNKNGETPLNLLASSPSSFRSNSYYTTATMGKASFIPLQSLRVLFYTYLALARQLLEEEEDWSRYTYSADKNHDQSKTSDKANYNPLIQAIEMGIPELVVEILRYFPDAANSISKDGRNVFHYAAEHRGGDIYEMLKKSAINKDRMLLDVDNEGNTILHHATKTKPATNFSLGVANLMAWDIFWFQRIRHDCPPHLLHTKNNDGNTAEDLSLKDYKNKRQAAEKAVKEMNQGLMVVAALIATVSFTAVFTAPGGFDQSEGETQGTPLLLKGKDHHHDLVLFLSYVGLTFFASLIALGTLLSTQLSRFHLDDLYFALPLKYSIAISCLFLSSCSIFTTFLQALVLEDYMPPYYFTSLIIGCVIMGLVYVDSIYDVLTYIVEVLRHSRTYRSQERS >KZN08378 pep chromosome:ASM162521v1:1:5869927:5871182:1 gene:DCAR_000924 transcript:KZN08378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVLKGFKYISNIFEEEDEDEIQIGLPTDVKHVAHIGMDGPSTESPSWMKDFSGERPKSAPLDSKGAPIDRDEKTTTDTELTRTSRRRHSADSLVFDNNDNTVQPAKAKGSRKKKGTRRHKKDAASDQEGSSSKASEDTNTNGEPSPTSPTARKLPDIPKKSRRKKVKDEISKIATKAKPKASADSADGPPLSSPAPLPSPAPLPRPPATTKTTDSGPGSDSTTAVTCDGESCRITLKSPPNVAEENS >KZN09760 pep chromosome:ASM162521v1:1:29107232:29107602:-1 gene:DCAR_002416 transcript:KZN09760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEYIPDYVDKKALADRLVRKSSIICTYIIESLTHGLCRAMGGAEKVEIEYGNHSLSNRVEEAVQAIIGFLKKEGPSGWDDPWS >KZN10070 pep chromosome:ASM162521v1:1:32323256:32323738:1 gene:DCAR_002726 transcript:KZN10070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPQTSPPHEDDRSHFLMKNFNSLYDPTTSHEPSSSPPDFATAYASQRFFFSSPGRSNSIVDSTSSLASTSSSSLLPESDTLSGGIPVPTLSPDPYLDFRRSMQDMVEARGFTDVKTNWDNLHELLRCYLSLNPKSTHKYIVRAFADLLISLMTTSPQP >KZN08191 pep chromosome:ASM162521v1:1:3772709:3778815:1 gene:DCAR_001256 transcript:KZN08191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLPLQASFSTSASGGSSSSCRALSLCLSFSHHHSQPLVLQHTRRCSSSVVLANKEDTEVVVLELLKKNRDMLFSEVKLTVMIEDPRDVERRRLLGIDDIDAPTRDELAEALEEVNEGKIPKDRVVLQMLAEEMMQWPNLEVEIKKKGPRKSLYAKATDTGVDPAVAAKRLKVDWDSAAEIDDSDFKDERDVPSVLDMEGTTEIWPAGDMLSTFHGDQAFSFSITMAFLHSDEFFCSDHPVAEEAYTQLAAVFTQVRKQNQSEFFTIEKPQVPMEESLQKERQISVDPVSMKESRKAPILIESPKKESRMMLPVIDSPKDYGMPPLPLPPGKSRFLSYSLPGSAASSPKFSSAIPKKKPHGQALKSVARQHSVALSNLAQLREKHFERSKSCGETRISAVNDEFDLWLTDANSRRYSFPSSTETRNDQHHGRQIMLDSNDDDNFKCGAMCLFLPSFGKGKPVRSRKELPEKPHVISRSVSLEKFECASWSSSALMHDNGNGDSSKFFDLPLELIQCSENDANLPVRAAFVFEKDRKGIMKNVASRGTGRKSHESSRNVRFSSASQAPQQPTSAAPSPCITPRLLKAREDFNAFLEAQSA >KZN08368 pep chromosome:ASM162521v1:1:5706375:5709518:-1 gene:DCAR_000914 transcript:KZN08368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSSSSELPRVPGEEHKGAGESEGPLHWLLEHAEESITRAYGGSTKITETDKEAELVHDDGGSKTRGQATVGPRPVFIPGRRYWIVPQGGGPQQVSPVSIYGSYPCEPSQPAPRKDLHTKVEGGGRRTRIPTLCAARIFQLTKELGLATDGETIQWLLQQAEPAIIRATGTGTVPANATVTADGTLGVPDTNKGAAEDVSKTSGLAPGAPSPVSNQNQTSVSCEARVSSAAEEEYDEVVLMGKKIRFRKGGI >KZN10161 pep chromosome:ASM162521v1:1:33130315:33130791:1 gene:DCAR_002817 transcript:KZN10161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGLRSLALALALPLSMTLANIYMFGRPGSVGQTFRNVSKPSWFPPLWLLHSMCVVFSLLMGLSAWLVWAEGGFHTKPRAAAMYLAQLGLSLAWDPVMFWMGASRVGLAVCLALLVSVFQCFRVFKNVNPIAGALVKPCLAWVGYLTAVNLKLVYL >KZN10195 pep chromosome:ASM162521v1:1:33446209:33448141:1 gene:DCAR_002851 transcript:KZN10195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYFTTLFFIIFPIILFIFIRRKSARSSRLPPGSLGIPFIGQSLTLLSKMRSNTADKWVQERVQKYGPVSKLSLFGSPTVFLHGPAANKFIFSTSTSIISNQQTASIKMILGECNLFELSSEDHKRVRSALVPFLKPESLKRYTGKMDTEFRNHLKMYWEGKDQVTVLPLMKTLTFNIICSLLFGLESGPKKEKLIRLFQEMIEGMWSIPINLPFTNYNRSLRASAEVKQLVKELVCEKRIDLNLKGASSNQDLITSLLSVRDENNKEIISENEIVHNVMLIMVAGHDTSSVVITFLMRLLANNTEVYKSVLQEQENIAKDKSSGELLTWDDLVKMKYTWRVTQELLRVIPPVFGGFRKALKDIEYGGYLIPKGWQIFWATNMTHMDSTIFEEPSKFEPARFENQASIPPYCYIPFGGGARVCPGYEFARIEILVAIHYLVTRFTWELCCSDDSFKRDPMPVPTQGLPVKLIPKKV >KZN09833 pep chromosome:ASM162521v1:1:29806193:29814281:1 gene:DCAR_002489 transcript:KZN09833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVLTFAPTHYKQIQYHTWQRPIRHLPPRLTFTTTDHRFTTRSLHITNNRRELAAMSSSGNSLPLGSTTQFELSTEADFNKIVSPDGLISICGFGSLLSENSARSTFPHLINFRVARLLGFRRVFAHAAPIFFQRGIANPQTKVLCARYSDEEYFQIRCKGSQEIYFQRYGQYNIHKIWRDDILPCRVYLRHCVLAAKNLGDAVYNNFMDYTFLGDRKTTIREYLKTAGSGIMEEEPPELLKNRYGG >KZN08849 pep chromosome:ASM162521v1:1:16590313:16591608:1 gene:DCAR_001505 transcript:KZN08849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLAISAALNEAVQVHHMLSSMDSSSLEVRTQSAWADCLELYEESIQKLNRSMFLTNTMQNNIHVQTLLSSALTDQETCKDGFIDFSLAATYSKLFPLNESSENLCKILGMNKAVMASQTSGLASEEKSEGWLLRNRGLPEWLSESDRRILQEAPPPPDLVVAQDGSGNYRTIGDAVATAAAAQKGGKRFVIYVKRGVYKEYPVIQVENLTLLGDGIEATIVTGNRSVADGATTSNSATFALIRMSESTKFHL >KZN10384 pep chromosome:ASM162521v1:1:35164821:35166361:-1 gene:DCAR_003040 transcript:KZN10384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGSKSCESCKTSAATLFCKADSAFLCVVCDGKIHAANKLASRHPRVWMCEVCENSPASVTCKADAAALCVACDRDIHSANPLARRHKRIPVVPFYDAAAASRGGGGEGDDDDQDRYFTDPEMEEEEEAEAASWLLPNPNNQPLSNNNNNNNEYLLNHNQELDCSFLDIDVMNNTGNARVVADEKPPMNLLDSGNYGGNADGVVPVQSYQTQPAPALVDGFPAYNMDYCGSRPFMYNFNSQSISQSVSSSSLDVGLVPDHNAMTDVSNNITQHPNSEPLPNPVSGLDREARVMRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRSEIDDVEALISTATYGVVPSY >KZN10789 pep chromosome:ASM162521v1:1:38989473:38993044:-1 gene:DCAR_003445 transcript:KZN10789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSETPNQYLHLNQQQTQLLRDHSDSTSIFSAFETNPPPKLEDFLGGDSYIHHHYTESQTDATQDDSSSLTHIYDNSDLKSFAANFQAFSTNSGSEVDDSASAQFQPSQSTESRNELVVYNNSGGVLSLGVNNNNNNKKNENNEKAIVAVGDANNYTGGREDTKRIYGITAVEEKVRLEKGVKAATYCKEMEEMKNATKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGVNAVTNFEMNRYDVEAISKSSLPVGGTSKRLKLSLDAEQKSSFSSSQQQQSSSNSENSISFAAIQSASAIPYGLSFDSATPMYHQNLFHHLYSGASTSETPGSLSSIATPTSVPLLSSQEFYLWPHQTY >KZN09515 pep chromosome:ASM162521v1:1:26570090:26571871:-1 gene:DCAR_002171 transcript:KZN09515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVAGPSEYLAITGLFINDVKLAKKKYVLPFQKCVKFDISPVNYEFNVQAMSAEKLPFVLPAVFTIGPRLDDHDSLMLYAKLVSSHDKHSNHVKDLVMGVIEGETRVLAAGMTMDEIFRGTKKFKQQVFDQVQLELDQFGLRIFNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVSEAKMKGAIGAKEREGLTLQNAAKVDAESKIMATRRQGEGNKEEIRIKTEVQIYQNQRNAEVEQANAELAKKKAMWSQSAKMAEVEAQMAVSMRAATLQMEVEKQNALTMTEKLRAQDLSKANIDYDIQVQETNAKLYAKQKAAEAVLFEAQKKAEAQKASADADMYARQRAAEAELYSKLKEAEGTTALAQAQAINIGNLLNQVGGNYSSLRDYLMINNGTYKDLARLNADAVRGLQPKINIWTGAPGNAVGEGSQNGGGSGGALKEIAGLYGMLPPLLQTVNDQTGMLPPPWLASLPTDTSEK >KZN11180 pep chromosome:ASM162521v1:1:42353062:42356315:1 gene:DCAR_003836 transcript:KZN11180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAVAFAHLHSGLAEVNILLPPKMTHPVAYTLQGHGACFNWSCDNPDILSIVPTFDSSNECSTSSQLKSIAPFSGRKETVVYATDADTGRVHRCKVYIDDIARIELFHTSAKLDIDEFATLRVGAFDRQENMFSSLVGVQFKWQLIPETEGSIHHLVHVPLEFSPLTNCGGLCGDIDVRIKLEEAGVFSDLYVVKGTEIGHERVAVELDEPMYNYQMADSFVFHVAESVSLNPSSQVFILVGADVQYFPEIERGSISVPVNLPSPHYQWAVSNATVCWVDTALGRVHALNLGVTTIGLADTRVGGIIQSATLHVVLPDSLYIFILPLSLSGDIPEGATPVPSVSPWYVVVGRPYLLVMKVFSRGHEAEEMYITESDNISLHDNHSEFWNLYPLSENSSSTNWWLNSKILKTKAPGFGELTATLSYTSGVHEAKEVLKVVQQVMVCEQVKFVTENRSRITISQEVELKVTGGCAVSSSNYKWFSTDMSIVSVSPNGIVQPKQPGKAVITVVSIYDTFNNDEVEIEVASSLNLRPSPLVVDGHSHSHSHPHPAEGTCKNNETSTIKHETILTSKVPNTWHELAKKNHLSHGYKRHGSTLAALQEHRYNISVSAPSGILTNAPFLTEGYSFPVTFGDSIDHGHAPIQYDCKVEPAFLGYTNPWRADNHKSCLFFPYSPDHLERSVGHLDGISQNISVTITACVHGALSCGSAFSLFVGGFAILGMNHNLLHLDLTRDHDKSIITLVGNTDVTIQWKSQSVLHITPIFTRKDVVAGRVEYEIKALSSESLKDKVIFSLGANGQTAEIDVNFELQKPMQLPTQLTAYEKLYMIFVVCVLVSVVRLFYVEKQPRATTTIVQRSEYLPPQATV >KZN11325 pep chromosome:ASM162521v1:1:43701263:43701781:1 gene:DCAR_003981 transcript:KZN11325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQKVQHSPSSNSPPRPNEIDAPALPQIPENSPKPKTAKTYPCRYCDKTFSTFMALGGHQNGHKRARGSHAGRAQTSLYRPYPATSRPNSGSDFMANKQSYLSMESSSSSTNTWRLLQEFYAKIPVKSAPPSLNCVPPAATALSLGVSSTPKTSEEVKEEDASGLDLDLKL >KZN11709 pep chromosome:ASM162521v1:1:47167439:47170946:-1 gene:DCAR_004365 transcript:KZN11709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLIISLICCLFLAVPCSSSSSLHGLSLRRQASILVSLKQSFQVSEPFLNSWNVGNYSSLCSWTGVSCDTRKKFVLILDISNLNLSGFLSSAITELRTLVNISVAGNGFTGSFPQDFHKLSRLRYLNISSNQFNGSLNLDFSRLLELEVLDAYDNGFSGSLPTSVTHLTKLKHLNFGGNFFSGTIPPSYGGLMQLNHLSLAGNDLRGLIPGELGNLTNLEKLYLGYFNQFDGGIPKEFGSIPSNLGKNGQLTELDLSTNKLTGFLPRSLCFGGKLKILILLNNFLFGPLPDNLGNCETLSRVRLGQNFFSGSIPRGFLYLPQLSLMQLQNNYLSGRFEEEDSIVSSELDEMDISNNHMSGSLPTSLGKFSGLKILLLSGNNFTGEIPNSIGQLKSILKLDFSRNNLSGNIPPEIGNCTSLAYLDLSQNQLTGPIPVQMSQIHILNYLNISWNHLSQGLPKEVGYMKSLTSADFSHNNFNGSIPETGQYSFFNVTSFIGNPDLCGSNLSPCNSSSTSPLQAKDQDAKKSKAHVADFGLAKFLQDNGTSECMSAIAGSYGYIAPEYAYTLKVDAKSDVYSFGVVLLELVTGRRPVGGFGEDGLDIVQWTKIQTNWSKEGVVKILDERLKNVPIDEAMQMFFVAMLCVQEHSVERPTMREVVQMLSQAKQPNTFQIL >KZN10756 pep chromosome:ASM162521v1:1:38668951:38670554:1 gene:DCAR_003412 transcript:KZN10756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVGCRRVGIAMDYSATSKTALRWAVNNLLGVGDEIIIIHVVSPKIDHSSNKQLFEDTGSPLVPLEELKEPNVLKKYGLTHDPEVLDILDTVSRTKGAKVLAKIYWGDPRDKLCEAVEHLKLGSLVIGSRGLGALKRVLLGSVSNYVVQNATCPVTVVKGAPVSKH >KZN09666 pep chromosome:ASM162521v1:1:28266650:28268671:-1 gene:DCAR_002322 transcript:KZN09666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLCKIKLSPRGFHFHNSLRCLTHHASPQPCKNFESKTLASPPEYLLKQTRCKSYRPLYASPIGSAFNPYAYGGYTAMGLTENKSPTFLSTGNPCLDFFFHVVPNTPPSSIVERLESAWASDPLTTLKLICNLRGVKGTGKSDKEGFYTAALWLHEKHSKNLAGNVAAISSFGYLKDMLEILFRLLEGAEARARIRDEWKMKNKVGLPKKKKNARGRLKYKEGKEVQYLRSALLSREVRIEANDAKMRGESEKASILRKGTRLARFKRLREVYESDMYFRFLHEKISSLFSEMLKLDMKCYNWGKKNKISLAAKWCPSLDSSYDKHTLLCGSIAKKVFPRESYPEYEGIEDAHYEYRVRDRLRKEVLVPLREMLQLPEVYMSAQKWSSLAYNRVASVAMKNYTDIFMWHDKERFSKYLEDVKEGKEKIAAGALLPHDIIRSCLDGDHTAGTVAELQWKRLVDDMLEKGKLSNSIAVCDVSGSMIGTPMEVSVALGLLVSELSEEPWKGQVITFSTYPQLHLIEGNSLFEKCTFIQRMQWSMNTDFQKVFDEILRVAVNAKLSEEQMIKRVFVFSDMEFDQASLNPWETDYQAIQRKFKENGYERVPEIVFWNLRDSFATPVTAKQNGVAMLSGFSKNLLTIFFNKDGEINPELVMELAISGKEYQKLVLYDD >KZN11689 pep chromosome:ASM162521v1:1:47023582:47025467:-1 gene:DCAR_004345 transcript:KZN11689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAIRDKLRGRIGQTKVKRYWPGKAPEWADDPDEDEDMRMTRAVALEKAFPSHEDADIAKRDDPRLRRLAESKVDNREEVRADHRRIRQAEIISTIEEENRRQEGLDLEEEDEDALDERRRRIREKLLQRQQEEAALLPEEEEDEAEEEEEEESEYETDSDEEQPGIAMVKPVFVPKSERDTIAERERLEAEDRALEDLMKKRLEERKIETKQLVVEEIRKDEQIQKNMELDADIADVDTDDDLNEAEEYEAWKVREIARIKRDRDDREAMLKEKEEIERVRNMTEEERREWERRNPKPAAPSKQKWRFMQKYYHKGAFYQTDSDDRSSTAGSDNLFYRDFSAPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAGMDAPIAKPKGSKKIKDWESR >KZN10469 pep chromosome:ASM162521v1:1:35989720:35990220:-1 gene:DCAR_003125 transcript:KZN10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETNSKLWEGKVSAKVRATKAETVWSLLQDFCSVHKWLPSLDTCYKVEGDHGQPGLVRYCGSTIKSASDSEEAITLWCHEKLVEMDEMEKMLSYEIMENNMGMKMYKSTIRVVQMEDESGCEIKWGFVAEPVEGWKFEDLVSYIESSLHGMAERMEKALQSSTN >KZN11505 pep chromosome:ASM162521v1:1:45266532:45269310:-1 gene:DCAR_004161 transcript:KZN11505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRHSKNNNDLAYFTYDEKKKLGYGTQRERLGKDSIKPFDSCSLCLKPVIDPMSCQKGHIFCKECIFECLLAQKKDIQRKTAAHAMQQKQDKEEEEEKLALQKARELDAFDQQNHGALPQYSDKNYNRDKNGFHGANSVKATLYEEEALRTMKAFWLPSATPEAPSKVEAPSTCTTCPEGSEKLRMKTLFPIYFTEDTTDQKKSTSLDKSYICPSCKVTITNTIALVALSSCGHVFCKKCADKFMAVDKVCLVCNKGCKEKNLVPLEKGGTGFSAHGDNLEAKDFKHLGSGSGLGLVRPAMKT >KZN09032 pep chromosome:ASM162521v1:1:19278104:19283620:-1 gene:DCAR_001688 transcript:KZN09032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFVVEKNSFRVTSPDSLKGTHDSAIGNFGIPQYGGSMAGTVVYPKDNKKGCKSFDDFGISFKSKPGSLPTFVLVDRGDCFFALKVWNVQNAGASAVLVVDDTEEPLITMDTPEEDVKAAKYIQNITIPSALLDKSFGEKLKKVISNGDMVNVNLDWRESVPHPDDRVEYELWTNSNDECGIKCDMLMDFVKDFKGAAQLLERGGYTQFTPHYITWYCPMAFTISKQCKSQCINHGRYCAPDPEQDFSSGYDGKDVVIENLRQLCVFKVANESQTPWVWWDYVTDFQIRCPMKEKKYNKECADSVIKSLGLDSKKIEKCMGDPNADSDNPVLKEEQDAQVGKGTRGDVTILPTLVVNNRQYRGKLAKGAVLKALCSGFEETTEPAVCLSGDVETNECMEKNGGCWEDKASNITACKDTFRGRVCECPVVDGVQFKGDGYSSCVASGPGRCKVNNGGCWHETKGGHTFSACSDKGDGKCVCPPGFKGDGVKSCVDVDECKDKKACQCPECSCKNTWGSYECTCSGDNLYIREHDTCISTTAAEGKSAWTAVWVILIGLAMAAGGAYMVYKYRLRSYMDSEIRAIMAQYMPLDSQNEIPNHVSDDRA >KZN10466 pep chromosome:ASM162521v1:1:35979647:35983700:1 gene:DCAR_003122 transcript:KZN10466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGKHQDSGTLSEKIIQIAAGESHTLALTGDGSVYSWGRGMFGRLGTGSEEDKLTPTRVNFDLDKVKIVGIAAGSYHSLALADNGSVWSWGYNSCILKQMPLISKAFYGQLGYEGENSSLPHLVEGFHDLESPDSITDRSKTKTTPSLKISSVKAGGMMSLAIDNLGALWMWGNCPQNILGSEAEALPSSSSTPLRVREFRGHTVVKVACGNEHIVALVGACETPNDGDLICYSWGINRQGQLGLGDKETRLSPEVIGSFNNIESSWAVYDVACGGSHTAVLACNKGSPDRSESVCFTFGLGDNGQLGQGTTQGVLYPKPVKVLPKNVFLISVDCGLFHTSVVSSAGDVWSWGMEKGYGLCPDFSFTSTDAGDALYPRLISCTEPYGPRFPEPVQIVCGAAHTILAAAGGYKLWSWGRGQSGLLGNGKTMNCMDPSTVLWPPLTNHDKEEIPDNSSGDKQSILKEQDDTEDKQRLSAALGEMKLLQTKLSTMERYTSILHGSVFGKPFREQDIPITLQNTGTFDLAREWENMLESSDHAKLARLEVFYRSMLSDIKDKLMKKRIKEIIKECLDERR >KZN10428 pep chromosome:ASM162521v1:1:35639471:35640257:1 gene:DCAR_003084 transcript:KZN10428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSSSSKASQAPEKNVVVEKNKLYPTIQKTVGKRKAVDPKVFGAEIDEIFSSKKRKKPETEDKVVENKAATSTKKKKKPRATNKPTNDIKGPLDTPAGQRKRTKDGLVVYTEEELGFGKSDAGEFQYVAEVVTHVTAVVTLHHRWLTTT >KZN10884 pep chromosome:ASM162521v1:1:39915957:39920565:1 gene:DCAR_003540 transcript:KZN10884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWLSSGTNNSSSLIARHLRRNLSTTAAPPLSSLSNPQEKEKGTGWTRFLLFVPGAITFGLGTWQIFRRNDKINMLEYRQSKLGSEPIKCNAITCSKENLDALEFRRLVCEGVFDEEKSIYVGPRSRSISGVTENGYYVITPLMPIPNNPRSAQAPILVNRGWVPRSWKDKSSKHSVDDGQPIAIEPTSTDNRSSRWWFQSNKPDVQVPDVIPTEVVGVVRGSEKPSVFVPANDPSSCQWFYVDVPAISSACGLPENTVYIEDTNDNVNPSNPYPLPKDPNTLIRSSVMPQDHLNYTITWYSLSAAVTFMAFKRLLPKKSRVR >KZN11984 pep chromosome:ASM162521v1:1:49882484:49883050:1 gene:DCAR_004640 transcript:KZN11984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQVYLCATHLLILFLLSSSIVRAQECKPSGKLKGTKPPKDQCNTADGAECCVQGKLYDIYNCSPPVSKATEAILTLNNFEKNGDGGAPSECDQAYHSNKTSVVALSTGWFNDMKRCLKNITIYGNGMSVKAMVVDECDSTMGCDKDHAYQPPCDDNIVDASEAVWRALGVPVNDWGWMNVSWSDNV >KZN10652 pep chromosome:ASM162521v1:1:37930491:37931258:-1 gene:DCAR_003308 transcript:KZN10652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDFCKKSFYTLFNTASTAFTLPKEDSADNHHRNTPLPVTDCGAGLNILANSTAPPKFDNVIDSSSLINIPVKSDQTETGLKVLNSGDTDKLASCTESLGFESCNERSLNNVLESSEKSRDDGKSRKFNKKNKELKRKSFPPPLSSFTDSGKPTFFLRPVRKNGRLKLNEVQINRPECLRASRENGRLRLHLVQSDQKKEGAITSTDAAGEKLSDGKVLKGWKFSTTNGGGGEDCRRVHEQRNMHGWAQQCVSIR >KZN09938 pep chromosome:ASM162521v1:1:30991300:30992043:1 gene:DCAR_002594 transcript:KZN09938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPPPLPDSQKQLATATPTNGTVAVKKPPAKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPANFSTVSVSLRNSNNSLSAPLEQKQAPQLNQHLFTPTPFILGKRAREEDSIRAGEEKSDEVSEHSVGPAGGFWALPGRPDYGQVWSFAAGSDMVVPTPVNMSQQNRYMQVQGEASAARVGNYLPITQGHLNLLASLSGSHQQSGGGRREEDHH >KZN09695 pep chromosome:ASM162521v1:1:28539236:28544300:1 gene:DCAR_002351 transcript:KZN09695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDNRDMKLGLHLSYPNPSVRTRMKISPGAGVNADSRVDTTLVEHRTENIPSCPWYAGPSNMIQSPTPSMRSRGTSDNKSIGDRNVTKLHVELTVNSEAGPLFASSRAHHSGRIPLPKICADMVEGNPVLEERVVHFTKKQECNYKEQALCCQNDVQSEDVAIRGQRIAEDVLPRLEKNPKDVTSTRIGDVASGNQMLGIEAHGLGNAEIVKIESSADNEVQHAIENNACGQSEKGSRVESKKVTLFGKNINENISKSEDDSHDSVESSNSNTFSSKGKKRENFENQLVVGSKRIKSLQEAPALTSSIKPDSSFVNWISNMVKGLAKVNQDEGPLPLRLSHPSNRHNCYDQQNQACSKIQDPGSGHMGFQSMFRSLYCPKTIDTERRPYPNPTVSGTKESMGSQKSFINVMPISFYKENDESCNKLLIDINDSDPHTSEGNGGDLSIQTSSQPRALCANNDSTPETSKTKSIEKYNSTRLTCSNKEESLRSTDTWLDKQKAVSNKDESLHIPSESQVIRNTFPESDLSRSLWITRFSSRTPLPALDLDHCNDAKVKLEDSSGLGKHIPLNPVNSNFSLKNSEAAESFADNPMNAVGKNKFICPTNVEAPIGVNRVIEHDHGKPLRKMNSPPPTFKSLEPIASAFARRLEVLKSIPSVTQDDPTPFSVTCLYCGQSGHGLVSCSKVKKPELVDLLRNVSAHAAAKGSAHWCIRCLQLGHLAISCSAASSSRQQSDKNAPVQNYQTTNTIQLYKSGEPFPSILFAKENHCKVPSDHSTSSVKVSKTLGSERRVSSRDGVQNDNTSGHKQLVVKEIQFAPLCKSSSKPITGDALNIFEAVRKLRLSRTDILKWMNSKSLVHLNGFFLRLRLRKWDTKLGGTGYYVACINELHREMMLKSSERSIFVCVGDIRCSVESQYISNQDFSEDELLTWWNAIAQNAKIPALDDLRLKFEEKQKLGL >KZN11685 pep chromosome:ASM162521v1:1:46986301:46990080:1 gene:DCAR_004341 transcript:KZN11685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGEEEGRNNKGVYKMNEPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEVTHKYKGKTVMTDKERYESLRHCKWVDEVIPDAPWVVTMEFLDKHRIDYVAHDSLPYADTSGAGKDVYEFVKSIGKFKETKRTEGISTSDIIMRIVKDYNEYVIRNLDRGYSRKELGVSYVKACSFLEKRLRVNMGLKKLREEVKKQQEKVEEKFLTMSMK >KZN12093 pep chromosome:ASM162521v1:1:50933365:50937220:1 gene:DCAR_004749 transcript:KZN12093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFEMPEGMNGAEDDGFMDDGEEEAVSFMKEGDETEIGTEGLKKKLIKEGQGFDSPDPGDEVQVHYTGTLLDGTKFDSSRDRGEPFKFTLGQGQVIKGWDLGIKTMKKGENALFTIPAELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKIIKEGEKWENPKDLDEVLVNYEVKLEDGTVIAKADAVEFTVQDGHLCPALAKAVKTMKKGEKVLLTAKPQYGFGEKGKPASGDAAAVPPNASLQITLELVSWKTVSYVGDNKKIIKKILKEGEGYEKPNEGAVVKVKLIGKLQDGTVFLKKGHDDEEPFEFQTDEEKVIEGLDKAVMAMKKGEVALLTIAPECAFGSSGSQQELAMIPPNSTLYYEIELVSFVKEKESWDMNSEEKIEAAGRKKEEGNAWFKAGKYAMASKRYEKAAKSIEYDTSFGEEEKKKAKALKVSCNLNNAACKLKLKDYKQAEKLCTKVLEIDSKNVKALYRRAQAYINLVDLDLAEFDIKKALEIDPANRDVKLEYKVLKEKVKEINKKDAQFYGNMFAKLNKLEPFDTEKTAPKEEEPMSVDSKA >KZN10306 pep chromosome:ASM162521v1:1:34401662:34407858:-1 gene:DCAR_002962 transcript:KZN10306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAVCDMVHKISDKWCLEKRAPVVKGSEAEAEPVVNDPVVKESDPVVSEAGCLSIVVLGASGDLAKKKTFPALFNLYRQGFLQSHEVYIFGYARTKISDDDLRDRIRGYLTPSKNAAEGHAEDVSKFLQLIKYVCGAYDAAEGFQSLDKEISEHEISRKSIEGSSRRLFYLALPPSVYPPVCKMIRTYCMNKSDLGGWTRIVVEKPFGRDLESAEHLSNQIGELFEEPQIYRIDHYLGKELVQNLLVLRFANRFFLPSWNRDNISSVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPISLKPEHIRDEKVKVLQSVAQPKDEEVVLGQYEGYLDDPTVPDGSNTPTFATMVLRIHNERWEGVPFVLKAGKALESRKAEIRIQFKEVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTAQSELDLSYGQRYQDVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDKGELKSVPYKPGSRGPEEADELLKKVGYVQTHGYVWIPPTL >KZN11639 pep chromosome:ASM162521v1:1:46567195:46571607:1 gene:DCAR_004295 transcript:KZN11639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGENESDPDYVTCMLQPRRPDSDLNYVGIRRLLLSRKPRPTILRRKDWRCNGRGYVAFRNYINRPSNWENTHIASHASTPSGNWMQSLGSFSQLSLLLDGDSWSTTPSREVQSNSQALSRSASSSSVGGSDINPPRRKAEPGYSFVGMHFIFDQCKAMVTVVKFGHMSSDLLAYGASDGTLTVCTVSEPPSILKKLTGHSKDVTDFDFTLGNQYIASSSEDKTVRVWDISKGICIRVIYGVSAQLCIRFHPVNNNFLSAGNANREINVFNFSTGRIIDKAVFDSEVTAMDHDHTGQLIFCGDAQGSIYTVKMNSHTGNLSRSHRNRSGSKQKSPVTTVQYRTFSLLSRGPVLLSFTRDGSLSYFSVSLERQGYLTIRCSLRLGTRLHSIRASFCPLLSLEKGEYIVVGSDDANVYFYDLTRPKHPCVNKLQGHGYAVIGIAWNYGETLLASSDFGGTVIVWKRAKTK >KZN10354 pep chromosome:ASM162521v1:1:34861385:34861756:1 gene:DCAR_003010 transcript:KZN10354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKKIIAICQSGGEFRTGDDGSLVYDGGEAYAVDVDHETRLDDLKQELAEMFQYSVDSMLIKYFLPGHRRTLISVSREKDLQRMVHFCEDSDQVEVFIVNGGMVAANAPEMSGSRYTKFSSY >KZN08874 pep chromosome:ASM162521v1:1:17061461:17064396:1 gene:DCAR_001530 transcript:KZN08874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHETKDESAQRRSSFSCAVPLVIIDSFSRRSFSYNKLPQNPLKLTVLKLDSSSFEIEVSKTATVAELRKSVENAFSHLPKEGPGKISWSHVWGHFCLCFDGQKLLDDNDYIADYEIRDGDQLLFARHLSINYNLKRNISTDQIGDIEQPSISNTWEPEEVIDERIYESCDDETPRQKVEEHTFPDIPTFEYKLATILRGWFSYRRVSSSPEPNFNSKSISRSSSGFLGSFKNVIMGYNNKQIPRIENLKCPLGMNTILGLV >KZN08355 pep chromosome:ASM162521v1:1:5539885:5540349:-1 gene:DCAR_000901 transcript:KZN08355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVKDCDKHNKMQGRGKKIKMSASCAAHISQLTKDLGFKSEDETLQWLLDRAEGRGTANQFANATGGASSEAPKVPEKKKAEPVHQDDVPKTSGSALVGPTPVFIPGKGFWMVPNDGGKPQQVWPVPLALTRGIGMRMQGPYAPGSSDQNQKP >KZN08756 pep chromosome:ASM162521v1:1:14830578:14832825:-1 gene:DCAR_001412 transcript:KZN08756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEGVEEVVIVGGGICGMATTVALMRYGIKALVLEKAESLRATGAALTLDALGVSHKLHPLYSPLTGYSSLFFLSSILHFSQELICRGTSGLDLFLIKEKEMASFLALLCFGLSQFLMFQFMAASDPTKGFVSLPFNTSVYRIQRPYDKKENQRYSFKNGVHKFWVFANDKPHTTTSHTKPRTELAVQGYVYSSGVWEFAADAYVPKGTSGVTIMQVFGATAPHASTLMLRVYNGALKYYQDNVLVGNVYNRWFHVNVVHDVDAAKVMVYIDGQLKLTADGRGGDSHYFKCGVYAQTSDSFRMESRWKNIQVLRHN >KZN11415 pep chromosome:ASM162521v1:1:44437561:44438161:-1 gene:DCAR_004071 transcript:KZN11415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELAPVPPQVDIHVSGSSAEIHLITATDVSCFMITEVIRMLQEEGFEILNVSCAVSNNTCFQIIHSQSQAGAWVPSCGSGTIWERIKKFVNGDD >KZN11488 pep chromosome:ASM162521v1:1:45152696:45154006:-1 gene:DCAR_004144 transcript:KZN11488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESRTLSEILEKAKPRRVDGSSRPEAGSVMVNRGLPTNLEPDAVSSHKKASRIKHIKQTSSLKPIRTTDATPIAMKANSAKPIWFTEYKPTWLIDNPDQVIKGADDIIDDAGTARVIDQREGTATFETEPTLISVSNSTSSSTSLTEPLINCTQLSLTVETPSTNMESAEYVKSAQVNDESRGMETVTEPTPTIVAGTMMRNLPNEVVYNYSIASSGLEELPETETISGIYTDSVEVNADKLSATSSHKPIKTTDATLISVTDPSTKMSLEPGTNYTQENSETKEESTEYAEPTQSSVIEETKKTSTESENVEKVPRAIGAHVFYGKMVSGSEMYNMTSDEYYRKIYPTNNCDVFLVGKLLKVADTPHNRMIFAKFSDEESRKTSDDSSEEEVPLDD >KZN11363 pep chromosome:ASM162521v1:1:44010619:44012292:1 gene:DCAR_004019 transcript:KZN11363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKKLARFSSCRGVAFEIKPSTDPFAIKTAPIDHPPPPSVRNWLPWGSSKRITPSVGSSRRYAMSIGGLTQRTSSSHFCDLDLDDDDDEYDGLMADIEEGFDKEEERKESSLERSPTQLLTKVAKKPKDARLSVILLDQGLFTVYKRLFVLCLTINITFLVLACTGNFQYAEDNAALFSIANILALTICRSEACLRGVFWLAVKVFGHSWVPLRLKTAVTSFLQCLGGIHSGAGVSSVAWLIYALVLTIQDRENTSSAIIGIASTILSLLVLSCLAAFPLVRHLHHNVFERIHRFAGWASLVLVWAFITLKITYDPKTKSYKNSSVLLERQEFWFTLAITILIILPWMTMRRVPVKVSAPSGHASIIKFQGGVKSGILGRISPSPLSEWHAFGIISDGKQEHMMLAGAVGDFTKSLVSNPPSHLWVRTVHFAGLPYLVNMYNRVLVVATGSGICVFLSFLLQPSSADVCVLWVAKGIEQNFGKEIKDWTSGFPKDKVIVHDTAILGRPNVSQMSIDAAKNWGAEVVIVTSNPEGSRDVVNACKASGIPAFGPIWDS >KZN11773 pep chromosome:ASM162521v1:1:47981269:47982155:1 gene:DCAR_004429 transcript:KZN11773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKPTFGIGDESSALTVRHEFWPVEEINPKNAKFPCCLVWTPLPVVSWLAPFIGHVGICKEDGSIIDFSGSNLLNVDDFAYGSVARYIQLDREKCCFPPNLAAHTCKHRYSHTQYGSTMTWDDAIQSSVRQFEHKSYNLFTCNCHSFVANCLNRLCYDDSLSWNMINVAALLLFKGQWVDTLSVVRSFFPVILMLCLGVSVVGWPFLIGLISFSVLLMCWFVLGTYYVKSLFEC >KZN08983 pep chromosome:ASM162521v1:1:18607992:18615522:-1 gene:DCAR_001639 transcript:KZN08983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQIQTALLLTALTLSFTLPVFSEPPGTCAAADHNTCEELIPVRREVYDEGRIYDISHRIQPDMPSWGSEDGIGQVIWLPNSMKNGSLANNSEMKMPTHTGTHVDAPGHVFDHYFDAGFDVDTLDLALLNGPALLVDVPRDMNITAKAMKSLDIPKGVKRVLFRTLNTDRRLMWKKEFDTSYVGFMKDGAQWLVDNTDIKLVGVDYLSAAAYDDLIPSHLVFLEGREIILVEGLKLDDIEAGIYTVHCLPLRLLGAEGSPIRCILIK >KZN11814 pep chromosome:ASM162521v1:1:48322030:48324768:-1 gene:DCAR_004470 transcript:KZN11814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCD8 description:carotenoid cleavage dioxygenase MASLALSASGVNLNKAVSGKFDDFRGGFSFSFGSVTTNDSSFAKKRNTAIVSIATQPPPGVVGNPRTGKNDETKKLAAWTSVKQERWEGELTVEGELPLWLNGTYLRNGPGLWHVGDYNFRHLFDGYATLVRLRFENGHLVMGHRQLESDAYIAAKKNNKLCYREFSEVPKQDNFLAYIGELANLFSGASLTDNANTGVVHLGDGRVVCLTETQKGSIIIDPDTLDTLGKFEYSDTLGGLIHSAHPIVTDSEFLTLLPDLINPGYLVVRMEPGTNERKVIGRVDCRNGPAPGWVHSFPVTEHYVVVPEMPLRYCAQNLLKAEPTPLYKFEWHPESKAFVHVMCKASGKVVASVEVPLFVTFHFINAYEQTDEDGRVIGVVADCCEHSADTTILDKLRLNSLRSYSGEEDVLPDARVGRFVIPFDGSPNGKLEAALNPDEHGRGMDMCSINPDFLGKPYRYAYACGAVRPCNFPNTLTKLDLVDKKAKNWYDEGAVPSEPFFVARPGATEEDDGVVISMISDKNGEGYALVLDGKSFEEIARAKFPYGLPYGLHGCWVPKKSP >KZN10698 pep chromosome:ASM162521v1:1:38258284:38262619:-1 gene:DCAR_003354 transcript:KZN10698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLLNLSHNALTGSIPSSIGNMRQLEALNFSVNKLGGTIPTELANLTFPSYLNLSYNKLSGRIPTAAQFSTFEKGSFKGSKGLCWTLSSISCDEAERKPPSGPENDQSSSDNIEWDKIFAEIGFSVGLCIVVNVGMEMEGFAIYDARMQLKNEMHTVAAAIVQACLLLAAGSKGKRFMMPYTKAMIQQPRVPSSGLMPATDLLIRAKEVIINRDITVDLASTLEIKCAYQSLEAVANVKRHPFYMDSIRAKKTGVIDKAILWHGQETIMAGASLSEDRDKIAGIKVLVAV >KZN11519 pep chromosome:ASM162521v1:1:45424577:45425693:1 gene:DCAR_004175 transcript:KZN11519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQDQESRSKVIQVDSKETWDSYLSQAATQGSPIVAHFTAAWCMVSVLMNTYLEEYAATYPDILFLRVDVDDVKVVAKKYEIKAMPTFLMIKGGGEAVEKKMVGANPEEIKKGIEALL >KZN08408 pep chromosome:ASM162521v1:1:6354885:6361518:-1 gene:DCAR_000954 transcript:KZN08408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERTSDIEISEPLIQHDDHTAKIKELDLEIIPEWQDQITIRGLVVSAVLGTLFCIITHKLNLTVGIIPSLNVAAGLLGFFMVKSWTELLTKLGFSVKPFTRQENTVIQTCVVACYGLAFSGGFGSYLIALDERTYKLIGVDYPGNRAEDVKNPGLLWMMGFIFVVSFLGLFSLVPLRKIMVMDDKLTYPSGTATAMLINSFHTNSGAELAGKQVSCLGKYLSMSFCFSCFKWFFSGIGDSCGFDNFPSLGLALFKNTFYFDFSPTYVGCGLICSHIVNCSVLFGAIISWGLLWPFISQHAGNWYPADLGSNDFKGLYGYKVFISIALILGDGLYNLTKIIAITIREVSKINKKEQNLPLVKEIADSETSILLLKKKKQDMIFLKDGIPNWFAASGYVGLAAISITVMPQIFPPLKWYLVLCAYIIAPALAFCNSYGTGLTDWSLASTYGKIGLFIIASLVGRDGGVVAGLASCGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLIGTAMGCIIAPLTFWLFWSAFEIGTPDSPYKAPYAVIFREMAILGVEGFSELPKHCLALCCGFFVAALLINLIRDVTPTKFSQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKSSEDYAGAVASGLICGDGIWTVPSAVLSIFRIDPPICMYFGPSSSS >KZN11953 pep chromosome:ASM162521v1:1:49632933:49643337:1 gene:DCAR_004609 transcript:KZN11953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNAAINPSMLGDIATGTKYSASEVNSYRIMAVADRLAAHICSKDKTDSVEFFNLCLSLARGIDYAIANSEVPSFGRELPALLKQVCTRRNDFLLQAAIMVLMISVKTACENGWFSGADSEELKFLAYEIGSTFCSAKDINREASSLIPTITTITSRFYPHMKIDQLLAFLTAKPGFEAYVLDFQIRKKLNSSPDDKIWLFVAQIDHVETSACIISPQQVNFLLNGKGVERRTNIFMDNGPQLPTNVTKLLKYGTNLLQAVGQFNGNYVIIVAYMSFVAKPVRPSLQDYVQPTIALLDSDSELIEEASRISLSCPISMKRIKTPVKGQSCKHHQCFDLDNYVDINSRRPSWRCPHCNQSVCFNDIRIDQKMVKAKEVGENVAVVMISADGSWKAATESSDQRDQKTSINVPEVLSQEEPNCTANAVPDIMDLSDGEDAMDTVGASGNECLKPLLATYENQLRYLCTTNTGGDNQNRTSHAENTQANGITGSAPTNYMLSPVLTDAVSPALNREPEGIPASTLATSVSPSHNAAPFNTQLQHADMTNEYGRYPPTSQTLNRVPVAVQALPAQASTSVSQQRPMSTSQMSPKPVMVNGSGFSSNVEGQQQPRPLQGSYMSSSTLQQQIGSWGPQGHLFSPSRPSQQMSTPLAPGGYRVPFSNGQNSHQQHFTGQRMPHIRSQSPGLARTPPHLPPTQTHHGGMPNRASSPYVRQQEQFHSFSQRTSHLPRTPSLFNPVQLQTTPMPRNVDAHRPSISNTGGNLQPVGTPEGTVNTSTEQDWRPTGRMRGSLSGRAYSEALNQYIIQPTQPVQPIRPPTNTVTSPSGIPSPHVPRADNMNMNATQGANVSSVQPASTAGMSNVGNNQTLAHPDNPTSQYTHDGNGGEARKEDNAPDQLTDDGERVKSSEEKENCSGRKYIGEKRQHSENFTASTTEQGTNGGEHCNGKKYRGVTRQPSGRFAAAITENRTRMQLGTFETEEEAALAYDSSALRLHGSSAVLNFPRRSSGDQKTGLSGIELWKKATSYLNSQK >KZN11274 pep chromosome:ASM162521v1:1:43314701:43314913:-1 gene:DCAR_003930 transcript:KZN11274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEESNAAQLLETPADGELTDSQACWACCGVCALCVACLPCEIIYVVVNCLLCPFRCCFALCFGVPATA >KZN10745 pep chromosome:ASM162521v1:1:38597851:38598712:-1 gene:DCAR_003401 transcript:KZN10745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVFGSLRGACPQRVLACLLELDLDYEFVHVDLDSGEHKQPPFLLRQPFGQVPAIEEGDFKLFESRAIIRYYAAKYAEKGVKLIGNTLEERALVEQWLEVEAHNFNPMLYTLVLQLLVFPKMGGKTDHVLVQECEEKLEKVLDVYEQRLSESKYLGGDYFSLADLSHLPGIRYLMNEIGLAHLVTDRKSVNSWWIDISGRPAWKKVLQLITA >KZN10674 pep chromosome:ASM162521v1:1:38102912:38103673:-1 gene:DCAR_003330 transcript:KZN10674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSEFLSSNSVFWLLTVITTFLVFFVLPWFCLGKVNRNNNLENLPPGPARLPIIGNLHQLGKLPHVSLQKLSLKYGPVMHLKLGQVPLLVISSPEMAKEVLKIHDTKCCSRPDSCGTRKLSYNRKDITFSPYGDYWREIRKLCVIELFTTKRVRSFQRFRDQEIAKLVNDISIEASDPNNEFIQLDKKILTFAKNIICEVAFGTNVGGEKFKETEIKKTLHDAMIVISGFCAADFFPYYGWXDRDQWFLCS >KZN09933 pep chromosome:ASM162521v1:1:30900890:30905707:-1 gene:DCAR_002589 transcript:KZN09933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQASRFIANLSSKLSSDSHLLSRRCFGTAPPPPAVFIDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPAFARKAIMEALEAELDLIVCITEGIPQQDMVQVKAALLQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKAGRIGIVSRSGTLTYEAVYQTTAVGLGQSTCVGIGGDPFNGTNFVDCVTKFIADPQTEGIVLIGEIGGTAEEDAAALIKESGTEKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGTAMLEVFKQRGLV >KZN10809 pep chromosome:ASM162521v1:1:39199169:39201916:1 gene:DCAR_003465 transcript:KZN10809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESTTGVGSKLADMAKKRAKSIEEEKAKQTQELMHQSGSSPIRVLAKAGNSDDNLRAPVPTRRHSSEWRQWVAGIVFSIVIPSCTAKIGTIVTLMKNIGNVVETLETIAEMVECTAFVVGKLADMGKKGAESNEDLPTPAEEKAKQTQELVQQEGEFGNGVGQMIECSSQDDTENRQETGLVKRFP >KZN12013 pep chromosome:ASM162521v1:1:50224785:50229918:-1 gene:DCAR_004669 transcript:KZN12013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIPFLPLTGEMTGGDSPPTLYRALLNRFQSPEESHLELKQQFDVLVEDKHKYLPGVDEDYRCSWVSDGVFFSGSPHKSVLEHMGHAVHVSRPDSEEILYWNRAAVKLYGYEDFEVLGQRYSELLIGEGHLAAKQFLCQGSSYWSGQFPFKKKSGEIFMALVTKSPLYEDGELVGIITVSSDGAVFNRTNSGHPRIDGECGRGQPQKRGLDLKNFKWHGQSQIASVPQIASTVSNLASKVLSRKPGEDSSECTDYRIGEESIPNGQAMKAEKPPLDNVIRLKPRFSFNFNRSTTEAETNAKDQSTIESSQPSSFAAKVLSKLNNKLGKDRDLTTKHNSFADMPSSYQCLAGNGYKQKSEHSPISAGTKFPKVDVAMIVPTFEPYIEEYEAQKHDHVIVPRFQLEVKELEPDLQTSKVLEADDPPKQQLSSQQSCSSEEVTCRNESSSRDESGLNTRGDCEILWEDLQLREEVGQGSFAVVYRGIWNASDVAVKVYFGDEYSEEALIDHKKEIDIMRKLRHPNVLLFMGAVYSQKKIAMVTEYLPRGSLFKALHKNNQSLDIKRRLRMALDVVGDFGLSKLKHATFLTAKSGRGTPQWMAPEVLRNERSNEKSDVFSFGVILWELMTQSIPWSDLNSLQVVGVVGFMDRRLDIPEAVDPRISSVIKDCWQSNPENRPSFKDIIKIMTNLILAFQGSTRGSVDA >KZN10842 pep chromosome:ASM162521v1:1:39462635:39468443:-1 gene:DCAR_003498 transcript:KZN10842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAHSVPYYPDFSNDYQGKFQAIPEMDFVSLAQADKINDNIGAQLTYNPQAFLDFPPWENDFGKSTAHVPFQPSHSSSQSTINIIPGQGNEILVQVSGDASDKTPEFGNHSHTQGEWQLPKCSTDQKLQLPSNYDQNSGLYEGQVGSFNLFNSLESQHLDVQNGQLAVAELGNSMKTGWDNSSTVDGKTNYSALKPPFLGGIMKDGLKKLDSFDRWMTKELGDVNEPQIQSSSGTYWEAVGSEVGVVNSNISSQVELDPYIMSPSLSQDQLFSIVDFSPNCAYSGTEVKVLITGKFLKSHQDNVNCKWACMFGEIEVPAEVVADGVLRCHTPNHEAGRVPFYVTCANRLACSEIREFEFQVYNIRDVDVTTTGSDDSSEALLKMRFGKLLSGGSASSYNSVPDDNLDMINKLSSLIKDDNEWEQIFMLTNAEEFSTETVSDQFLQKLLKDKLHAWLLQKVAEGGKGPSVVDEGGQGVLHFAAALGYDWAIPPTVAAGVSINFRDVNGWTALHWAASYGRERTVGFLISQGAGPGLLTDPTPKYPRGRTPADLASDNGHKGIAGYVAELALRSHLESLHLKDPNGVARDIPGLKAAQEIAERTSTPTSDGDFQQGQSMKDSLAAVCNATQAAARIHQVYRIESFHKKQLKEYDASQFGMSDEHALSLLAVKTNRAGRHDEPVHAAATRIQNKFRSYKGRKDFLVLRQRVVKIQAHVRGHQVRKNYKKIIWSVGILDKVILRWRRKGRGLSSFKTEAHTENSTMPGTSSKEDDYDFLKEGRKQTEERLQKALARVKSMVQYPEARDQYHRLLNVVSDIQEAKAISERAMNISEVATVEAEYFDDDLVDLEALLGDDSFMSTEA >KZN08946 pep chromosome:ASM162521v1:1:18006159:18007759:-1 gene:DCAR_001602 transcript:KZN08946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDSISRKIWRVVAAIALWNTWLAQNDALFSQTWQSHENIEELIRARVTALGKVFKSICFGNDPMWRINPLGAIKVYYSKLSCDYLNTRFNSFDLVGGVDEAWGKSNVDEAAGAIRGYVRNSKDVAWRAPKIGTVKINKQYVSLENHPPNEHNNGVGITHAYNKGFDRMEVDTMNIKVFDIIDFQYVILVPRAFSHVVTQLNTRHSKYYEAGKTECHIVVIPALMNGPADYLARYGMRSMESFEETTSNFADLQTYLESDMRRAVPDFLLATGSAFGQEEVIDADPPDIPELIC >KZN11190 pep chromosome:ASM162521v1:1:42425056:42427685:-1 gene:DCAR_003846 transcript:KZN11190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVHNNIPARAFLTPPATCNSRRFLPNLPATERKSTAIPAKSPEIITNLPSADSPYGRAKHVQLVDKNPNKAVSMFWTAINAGDRVDSALKDMAVVMKQLDRSDEAIEAIKSFRHLCPSESQESLDNILLELYKRCGRTDELIEILQEKLKQIEKGSAFGGKRVKAGRSQGKKKDITIEQEYARVLGNLAWAYLQQGRYKSAEEMYRNSLSFELDRNKQCNLAVCLMHMNRMTESRLLLQTVRDSSGNREMDESFAKAYERAMETLTKLESRQGEKLIASAEERHVNVPAPFSPFKYRGPEGCFAQNGGPKGRFGELSDANRVDSPGHNNWFQPSSQYPYCDKWKKATFGKWGSKGTTKESFMFNKEDVASPVPNEANSEVLLTQPRRCTWSFGNEEKKKAVVHDVHRKLIFGQVNAENCPSKSSSASNCINGMKAPPDGDDGFKKPNSDTTGSDKSTEPTEETATDSEVHHDIQSQSKSPRGTDYQDFVTWTGKKSWADIAEEEEQDLLNGRSIFQ >KZN08489 pep chromosome:ASM162521v1:1:8077124:8079335:1 gene:DCAR_001035 transcript:KZN08489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGARMYERLYMLVFMLILLAPVGLGVDVTCFKTVNLTYVPGAVKNGAVCLDGSPPAYHIDRGVGDGARHWLVFLEGGGWCDTTEDCLNRTKYLSGLGSSKYMKAQNFTGVVADHPELNPYFYNWNRVFVKYCDGASFTGNSIDPVNKLHYKGEKIFSAIVKDLLGKGMSNATKALISGCSAGGLSAILNCDKFRSLLPAHTTVKCASDAGYFLHVRDVFGEYNFAKFYDRVVKLHVISLVLALSNARAVFTGSIKNLPKACTSKMKPESLVRQLGLLPYDQPWFRSEFLGSLPYIGNGSFGGMFINSWVTHCQSQLQLSWNWDPVFRLKDKVSTEIVPDTRTTKAT >KZN08460 pep chromosome:ASM162521v1:1:7225341:7225670:1 gene:DCAR_001006 transcript:KZN08460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDICDRNANELAAFLADHGARNYQVMVVIDQPLGRIFEIWHADMGLGPAEPQFMAVNEEDVGPGAVNDADIMDQPVLEEEPDLALMVGGDAQVNVDGEPVEVDEIQE >KZN09268 pep chromosome:ASM162521v1:1:22322002:22327606:-1 gene:DCAR_001924 transcript:KZN09268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRAGVPPKKLLLNGTQFRWNKYFIHDFGRFCSNATNRGTNTKKSVLKAASSVVSLTAYSGDNRIFICSGFIMECDNICGTFMGTILTSATLLRSASDADMVADDLKIDVYMAGGTFFRGEVLAVDFHYNIAIIKINSDVPLPTSTIRLIDTHVPIDPGNCGSIEDFKLSRCTERQDSATSADRFSLYPGMEVFALGRYFRDSYDIMAAPGEFRYGGCDFDCQELLSASCLIKKVIPLFWYPTQLGCYIHHYLFSLSIYMCKNIWTFCILSLPQCISILLYIQLSYYRDLKKQCRIPRPWIGLSVIDLYAGSLHELEILHEKFPHVVKGAMVKEALPESPACIAALHVGDVITSCDGNLVRSCLELTEALWNKEGKSVELVVVRASADRLLNLVVEVVETNPNTYRWPVPEQQILRNCQYA >KZN09873 pep chromosome:ASM162521v1:1:30235863:30238667:-1 gene:DCAR_002529 transcript:KZN09873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASIAKRNITALVSRYSISLNALSRTFASSADENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLQSSHMYHEAKHTFANHGVKFSAVEVDLPAMMAQKDKAVSGLTKGVEGLFKKNKVNYVKGYGKFISPTEISVDTIEGGTTVVKGKNIIIATGSDIKSLPGVAIDEKRIVSSTGALSLSEIPKKLVVIGGGYIGLEMGSVWARLGSEVTVVEFAPDIVPTMDGEVRKQFKRTLEKQKMKFMLTTKVVSVDTSSDGVKLTLEPAAGGDQSILEADVVLISAGRTPFTSELGLDKIGVETDKMGRIPVNERFATNVPGVYAIGDVIPGPMLAHKAEEDGVACAEFLAGKVGHVDYDLVPGVVYTHPEVASVGKTEEQVKASGIKYVVGKFPMLANSRAKTIDDAEGLVKIIAEKETDKVLGVHIMAPNAGELIHEAVLAMQYGASSEDIARTCHAHPTMAEALKEAAMATYDKAIHI >KZN10324 pep chromosome:ASM162521v1:1:34577360:34579012:-1 gene:DCAR_002980 transcript:KZN10324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGPSTSTSNNIDMKQERLTAELAVKDSSSVVIKIKQRLPDFLQSVKLKYVKLGYGTGYRFNPVAALLVFLLVVVLPLFLATHLTANDFSFHHPQIRAWSSPILETLDTAVMASLAILFLFLLGVYWAKRPRPIYLVDFACYKPEDARKMSNEAFIKMSDDCGEFGEEMVQFQRRLAMRSGLGDETYLPAGITSRPPNLNMAEARAEAEAVMFGALDSLFQTTGLKPNDIGILIVNCSLFNPTPSLTSMIVNHYKLRSDIKSFNLGGMGCSAGVISVDLAKQLLLKANPNSYAVVVSTENITLNWYFGNDKSMLLCNCLFRMGGAALLLSNKPRDRARSKYELVHTVRTHKGADDRCYNCVYQREDDQGTIGVSLARELMAVAGDALKTNITTLGPLVLPFTEQLMFFLTLVRKKVFKANVKPYIPDFKLAFEHFCIHAGGRGVLDQVQKNLQLGDWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRVLGGDRVWQIAFGSGFKCNSAVWRALRNIPASQSQNNPWADSINCYPIKAESPATMQAL >KZN08146 pep chromosome:ASM162521v1:1:3159020:3161806:1 gene:DCAR_000815 transcript:KZN08146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPLLGAFIAYLALSTIIVAPFLYVLASVISASEQVTEVKLLLEKEVFIRKRAEEELNNVKYQLMQRERSEASGSSEIIKLRKTLESEKRQKEKLEEEIAILQNQLLQLSFEADKS >KZN11837 pep chromosome:ASM162521v1:1:48578180:48583893:1 gene:DCAR_004493 transcript:KZN11837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARAADRRIATLARHLEASSLKDSHEIVSASPTSSNSSSPSVFNHLARAPEDPILGVTVAFNKDPSPSKLNLGVGAYRTEEGKPLVLNVVRQAEKLLVNDRHVSRNKEYIPIVGLADFNKLSAKLILGANSPAIQENRVTTVQCLSGTGSLRVGAEFLARHYHQRTVYITQPTWGNHPKVFALAGLSVKTYRYYDPATRGLNFQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTPAQWEQIRQLIRSKGLLPFFDSAYQGFASGSLDRDAEPVRMFVADGGECLVAQSYAKNMGLYGERVGALSIVCKTADVASRVESQLKLVIRPMYSSPPIHGASIVATILKDRSMYHQWTIELKEMAERIISMRQQLFNALKSRGTPGDWSHIIKQIGMFTFTGLNSKQVAFMTKEFHIYMTSDGRISMAGLSSRTVPHLADAIHAAVTRGV >KZN11998 pep chromosome:ASM162521v1:1:49947712:49949073:-1 gene:DCAR_004654 transcript:KZN11998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSGSLSGKRCALVTGGGRGIGFKICRKLAENEIRVILTDRKQKDAIEAVEKLKLSGNLDVVSHQLDVKDSASIAAVANYVKSNFGKLDILVNNAGASGLVIAKPQELRSFKDGAGFLEVIDEHAHLLEGILEENYELAEDCLRTNYYGTKAVTTELLPLLQLSNSARIVNVSSNYGELKWIYNEKVKAELNNVETLTEEKIDEIIKWFLKVYKENNWKANGWPIVVSPYKISKAAVSAYTRLLARKYPDMLINCVHPGYCKTEITSESGPLTPEEGARAPVMLALLPNDGPSGIYFAEMQPSTF >KZN11541 pep chromosome:ASM162521v1:1:45589723:45592274:-1 gene:DCAR_004197 transcript:KZN11541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDKCEKKLAKVIVPDKWKEGASNTTEGGGRKINENKLLSKKKRWTPYGSGTTKCIICKQQVHQDGKYCHTCAYTKGVCAMCGKQVLDTELYKQSNV >KZN10901 pep chromosome:ASM162521v1:1:40151851:40158574:1 gene:DCAR_003557 transcript:KZN10901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFISPISPNLSQSLLTTTLHILTSLLLVSSSTTFKSDHDLSYIESSAFSKPDKNLSATISCVPIIPPPKINSDCETWSPECSKQVMEMAKKPEFVEWITKIRRQIHENPELAFEEHQTSQLVRDELVKLGIGFRFPLAITGIRATIGTGAPPYVALRADMDALPIQEAVEWEYKSKVAGKMHACGHDAHVAMVLGAAKILKSREKHLKGTVVVIFQPAEEAGNGAKKMIEDGALQDVEAIFAVHVSHQHLTGVIGSRPGPLLAGCGIFRAVITGKQGPAGNPHHSVDPILAASAAVISLQGIVSRESNPLDSQVVSVASFMGGDDLGMIADSVVLKGTLRAFSNTSFYQLMERIEQVIVNQANVFRCSATVDFFKKQSTIYPPTVNDNEMYEHVRKVASDLLGPANFRVVPPMMGAEDFSFYSEVVPAAFYYIGIMNETLGSTHTGHSPHFMIDEDVLPIGRKENLACLLDSSSEVVASNKALATGTWYGLIIVSLLLLIYRLVDAASRKAKKSRDRNLRNTSAGVYAEGASSIV >KZN11316 pep chromosome:ASM162521v1:1:43653067:43654698:-1 gene:DCAR_003972 transcript:KZN11316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSTNGHHEGLSLPVKDGDTNRHASSSILTIQFMQKLIAELLGTYFVIFAGEAAVTVNLAEGKVVTLPGISIVWGLAVMVMVYSVGHISGAHFNPAVTIAFASCKRFPLKQVPPYIIAQVAGSTLASGTLRLIFNGKQDEFPGTLPSGSDLQSFVLEFVITFYLMFVISGVATDNRAIGELAGLAVGATILVNVMIAGPISGASMNPARSLGPAIVANQYRGIWVYMVGPIAGAVAGAWVYNIIRFTNKPLREITKSASFLRSSPRS >KZN09793 pep chromosome:ASM162521v1:1:29417686:29421561:-1 gene:DCAR_002449 transcript:KZN09793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSTDERLDFGKMGFGCKHYRRRCKIRAPCCNEIFDCRHCHNEATGIFSNPFDVHDLVRSDVKQVICSVCDTEQPVARTCTNCGVNMGEYFCAVCKFYDDDTDKEQFHCDDCGICRVGGRENFFHCKKCGSCYSVSLRNNHSCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHCECYHEMIKRDKYCCPICSRSVVDMSRTWKRIDEEIEATVMPEDYRYRKVWILCNDCNDTTEVLFHIIGQKCNHCKSYNTRTIAPPVLPQ >KZN10754 pep chromosome:ASM162521v1:1:38654106:38656943:1 gene:DCAR_003410 transcript:KZN10754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAREDMQARKPYTITKQRQSWTEEEHQKFLEALKLYGRAWKHIEEHIATKTAVQIRSHAQKFFTKLARESSGNEENFGKPIEVPPPRPKRKHMHPYPRNIVKVNSGTSVMIEDNISSPNFPIPGQENQSPGSVLSALGSEITGATDSNLPHDGLSPASSTADDQLSKFYPPETLPSEEPKSKNSLSSPVSSIDEEQIPKVKSKKSEEDGDFLKDDSTEVAFTHSLKLFGKTLTVTGSASSYSDNYQPRRCEETNRRSAQLTSWNLMMGPITVTDAEHPQTGLCGEQAAHYYMQIQNENLNTVAVGSSSTSLLYRNFYGGASYPFLPPHNAVPEKNHIHSVKTEEFQDTEVQKNEPMARSNTGSGDAPAHLESQAGCTRDSDRRWNVETQSGKNESASRLEFVLKPSDEVAAPDQSKVKAKCLKGFAPYKRCLPQS >KZN08165 pep chromosome:ASM162521v1:1:3400225:3400539:-1 gene:DCAR_001230 transcript:KZN08165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSRAICVESNQCLRPKFFNRIQGWHINFSNLHERIGNEAQVLSNFKITISGNFRPCSLAASSLHSLSLYKSDGT >KZN08238 pep chromosome:ASM162521v1:1:4206688:4207161:1 gene:DCAR_001303 transcript:KZN08238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERTDPPISKKQCGNLYLVASARSVAGATGVELESIVELLTDSVRRELANCEQIVPIKGEKNKMMITQGFNEVAKSFADPEISSIVMFTDWCKFPLYEADFGWGKPSWVSSVHVPLRNMIYLLCDKSGEGIEAWVNLSVDDMPKFEQDANIVEFTS >KZN11450 pep chromosome:ASM162521v1:1:44761861:44764796:1 gene:DCAR_004106 transcript:KZN11450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQSKKLFASLVTKNLNAELEFVLPEHVRVQISSLYNSPSPTSYLLLPPTIENVREGYTYPTQVALPFPDQSGTVAATSVSQSGKRKRTTLPSITKDGDDESETAPEVHPPQKKKDANKVNTSSSHFVVHQEMPPAETGDAVLELPSSPQEEKPGRDLLLLLEPAREQDDHQHLMNAASVLKSQLVGRLINHADELSTQEMMMLADKCYRTLEGLGDNYASFNSEVNKLIAQHQELACAAKKKEDWNDCNIKARYLHQVQSLTEVQQRLSSAQDKLSTAKTYGDSLKIRKEELEGELRKLTGELHDVEERVKALTAERDQCKEAHSVAEAELRKLNAEKEEARVAFKAINDEYSAANKEFERMSNHLLQLVVMVIELLGFIMFGTGPPKVQAYSAMKQMSNKLKDPQLPKIGRADGVYANGTVAFTG >KZN11537 pep chromosome:ASM162521v1:1:45568623:45570757:1 gene:DCAR_004193 transcript:KZN11537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEKNGVKKWKKVEVNLKEHVNAPIFPEGMPLEYYDNCLSDYLSKLSVDLLPQNRPLWELHLFKYPTSDAAGTIIFKLHHALGDGYSLIGALLSCLQRMDDPRVPLTFPSRQSDSSSTKLQSKGNGVSSFFKSLIGVPSSFANTVMDFGSSLLKSSIIKDVESPLRSGHDGVEFQPMAMATMEFSLDHIKKIKNNLKVSMNDVIAGVILLGSRLYMQRERENSSNLNANALMLLNTRNLDGYKSVDEMVKPKSTMPWGNNFAFLHVSIPKLKASHLSDPLKFVYETQNTVKRKRNSAGVFLTSIMLDNLRKFRGPEVTSEYIRNTLINSSMTITNLIGPVEQMSLSDHPIKGLYFFVVNAPQSAEVTIMSYVGKVRLGIGVEKDFINPHKFKSCIAHAFDMVSKAAAVP >KZN11369 pep chromosome:ASM162521v1:1:44066669:44069429:1 gene:DCAR_004025 transcript:KZN11369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVIGTCSPAFLASPRPLSSQSSKTCIHSLSLTPGRKLYRGIGVPIKKERSRFHVAITNVATEISPAQSQAQKLAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVVGDPFNSDPEDPESMGAEVRDKIIQGEELPLTTTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRVSYLEEQDKLTEQITSARASLSSVQIDRELRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDQVQPEDIATVIPNCLRHRLRKDPLESIDSGVLVIEKFYEVFT >KZN10012 pep chromosome:ASM162521v1:1:31700643:31703841:1 gene:DCAR_002668 transcript:KZN10012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPGAHLFILIFLTTLFITAHSDNDASVMLDLKKSLDSPDSLSWTDSDPCQWPHIQCNKDQRVTRIQIGNQKLTGKLPQTLKNLTSLQVLEVQNNQLSGSVPSLAGLSSLQSLLLHDNKFDYMPSDFFDGMSSLQDVYIGYNEFKAWQLPDSIKSVSNLQRFSATQCNITGNIPAFFGGDTFASLTFLDLAFNYLEGELPASFSGSSIQTLWLNGQQSLSKLNGTIEVLRNMTDLTEVWLNMNSFTGPIPDLSGLGQLQNLSLRDNELTGPVPASLVGMSSLVEVNLTNNMLQGSPPKFKDSVKLDMVQNTNSFCVSAAGVLCDPRVDMMLAVAESVGYPSKFAENWKGNDPCTPWLGLTCDSGGNITVINFQKMGLTGSISPNFSSITSLQRLILSNNYLSGVIPEELTSLANLRELDISNNQIHGKIPSFRSNVMVKTDGNVNIGKDNVPSSTPTTPSGKTPGSSPGSTAESPESGGKKSSSGLIIGSVVGGVCAFFFAGLLVVCVYRAKHKRTDVEPSPSTVVIHPQHSGSDQDAVKITVTGSSVNGGPTSETLSLGSSGPRDMHIVEAGNMVISIQVLRNVTNNFSQDNILGKGGFGTVYSGELHDGTKIAVKRMESGVMSEKGLDEFKSEIAVLTKVRHRHLVGLLGYCLDGNERLLVYEYMPQGPLSRYLFNWKEEGLKPLEWSKRLIIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPDGKASLVTRLAGTFGYLAPEYAVTGRVTTKIDVFSFGVILMELITGRRALDETQEEESMHLVPWFRRMHLNKDTFQKAIDPTIDLDEEVLSSVSTISELAGHCCAREPHQRPDMSHAVNVLSSLAELWKPSDPDPDDIYGFDLDMTLPQAVKKWQALEGLSGMDYSSSGIGSSDNTQTSIPTRPSGFADSFTSSDGR >KZN10820 pep chromosome:ASM162521v1:1:39309788:39312298:-1 gene:DCAR_003476 transcript:KZN10820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHHLPPMLPLLLFIAHVIATATAEPPLRPGYYSESCPQAESTVRKVIKQAMIREPRSGASVMRLQFHDCFVNGCDGSLLLDDTPDMLGEKLSLSNINSLRSYEVVDEVKEALESVCPGVVSCADIIIMASRDAVALSGGPNWEVKLGRLDSLTASQEDADNIMPSPRANSSYLIDLFSKYNLSVKDLVALSGSHSIGKGRCFSIVFRLYNQSGSGRPDPTIEPKFRKKLDKLCPLGGDGNVTGDLDATPQVFDNQYFKDLVNGRGFLNSDQTLFTFEQTRGYVKQFSADQEEFFKAFVDGMVRMGDLQSGRPGEIRKNCRVANSRQPELMSDAWRKRKYM >KZN09567 pep chromosome:ASM162521v1:1:27139859:27140230:1 gene:DCAR_002223 transcript:KZN09567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKYYILILLLSLSSIPGHFSDSAVGRKGLAGGWQAIKNANDPIIQEIGKFAVAAFNLVHNKNLVFQGVIKGETQIVAGTNFRLTISVKDMDVSMNVSINYQATVFDQPWTHTRNLTSFKPM >KZN08385 pep chromosome:ASM162521v1:1:5947197:5947373:-1 gene:DCAR_000931 transcript:KZN08385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRSNSGVNDAGGLKAMDDAGAFAGGSDEIPAYGGKHDLRLSHMLALIVFIWYSY >KZN11997 pep chromosome:ASM162521v1:1:49946103:49947225:-1 gene:DCAR_004653 transcript:KZN11997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGCRAVNNAAAPGIVIAKPQEFRSFKDGAGFFQVIDENAHLLEELFVEDYELAEDYHRTNY >KZN09462 pep chromosome:ASM162521v1:1:25521754:25524002:-1 gene:DCAR_002118 transcript:KZN09462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKIGEFFDKVSSFFSGGDQIPWCDSDIVVGCEREVAEAEKGSSDELKNECKMRLSWALVHSKRPEDVQRGIAMLEASLSGTGSSPQQMREKLYLLAVGYFRSGDYPRSRQLAERCLEQSVGKCICQQICHQNKMDGKTFVAN >KZN11744 pep chromosome:ASM162521v1:1:47777961:47781209:1 gene:DCAR_004400 transcript:KZN11744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVLQNIKMVLKPNGFVLFRDYAAGDLAQERLASKDQKISENFYVRGDGTRAFYFSEEFLRKLVKQIGFDTKEHSIHCKQVENRSREIVMNRRWVQAVFQLNSLSYSSITETEIKLQLLSKESSKSDNRVIESATDSEIDVSEGIIEMFGMSNSRNEIIEVNVRDWSFKIKVLPKENQHTCKSTGLMLWESAHLMAHVLATNQHIVAGKKVLELGCGCGGICSMISTESADLVVATDGDEKALELMSENVSSNLQSSSLSKLIVKRLEWGNKDHIEEIKDLKSGGFDVIIGTDVTYVAEAILPLFATAKELISSNKNINQESEPAFILCHIFRRVDEPSILSAASKFGFRLIARWPDESVTASSHSIISDWFKEDTEDECLPSKALNIMYFTIS >KZN08646 pep chromosome:ASM162521v1:1:12934761:12935547:1 gene:DCAR_001176 transcript:KZN08646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKENVAEDETITESEDSEGIGTDLFYNHLKTRKKQPAYRFLPTMEDTCTSGNNFVIGQKRLSESSDSVLQGGE >KZN08061 pep chromosome:ASM162521v1:1:1924650:1925015:-1 gene:DCAR_000730 transcript:KZN08061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLSSSFRLERGSSLSNRLERSNSSLLASESISPRITTYEKLSQSSRFADETPRISHHKRESKSGWSYLSKVLSSFRKTEGQADNVVKQMKSTVEEKKKKKKKRSSWLPDPQQRWPVQGW >KZN09775 pep chromosome:ASM162521v1:1:29231243:29234507:-1 gene:DCAR_002431 transcript:KZN09775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEHVKDIENGDYNKGVGDLEQPFLEWREGSSGSLGVVLFSTFVAVCGSFEFGSCVGYSAPTQSGIREDLNLTLAQGALSLDIGRFLTGYGIGIISYVVPVYIAEIAPSNLRGGLTTLNQLMICTGSSIAFVIGTIITWRNLALTGVAPCFALLVGLFFIPESPRWLAKVGLEKESEVSLRRLRGKNAEITAEADEIQISVQSLRKLSKAKLTDLLEAKYIRSVIIAVGLMLFQQIGGINGISFYASQTFVAAGITGNVGTLAYALIQVPVTIIGAVLMDKSGRRPLLLVSATGTFLGCLLTGTAFLLEIFPLHIKGLAGSLAVLTNWLGAWAVSYTFNFLMSWSCPGTFFVFSGSCALTVLFVAKVVPETKGKTLEEIQASINSQLK >KZN11298 pep chromosome:ASM162521v1:1:43517622:43520348:-1 gene:DCAR_003954 transcript:KZN11298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQDSLPKPRLKIKFSCRPTEVVLKTGSCEASKQLWVKEDCSQNVSLDGNKESMRKESRKAVPRSSDSLKLYGADSVKDGFTQSSLKRGPSEVIDSVLNKRQKMDRSLKRYCGNILEALIKHPAALGFCDPVDPVKLNIPDYFSVISKPMDLGTVRAKLQKNMYFTVAEFKDDVRLTFSNAMLYNPFENYFHKSAKALDSIFNTKWKDLEARLKRESLNREESCLASFQERKTTETRQFCPGDSPLMGGIVTSMSISSEEKRKLTKAPGEAMMKKITDDLKISYARPANKETARNIQNSRCPGKVLQKGTVNASRSSCGSVKTIVSLNVAATRCCSCGSLKCQCSPQKGYAYEWSKRSPSARYAEQNCAASKSEHESSLTSNTYKSDPESDVAVSALDEENNCSSPQQSSLGTTAASADAWTPAIDLQLSPKKALRAAMLKNRFADTILKAKQKTLLDHVDKVGSVKLRQEKEKLERQQQEEKARIEDQIRAAKVASSLKAQEEREREREAARIALQKMEKTVEIEDNMKVLRELEMVLFEASQGDRFGNIMERIGLSIKNDYMDYEDEEDGLFSPDWEEGQICN >KZN11905 pep chromosome:ASM162521v1:1:49297549:49301914:1 gene:DCAR_004561 transcript:KZN11905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPSDKDAAKNKLVAIAIDRDKGSQGAMKWAVEYILSKGQNILLVHVKARSSNSATSVASSKSSQVSDFDDETGSASGDADCKDVFRPFRAFCTRKDINCHDVLLEDNDVSRGIVQYVTETAIEILVIGAGSKGGFFRFNKKDIPGSVSKGIPDFCTIYVISKGKISSTRAASRPVPFISPIRSQILHQPSTRTESEISIPIPNSAKAELTKFVFHRSPFARRGRNSIDLDLSFNSSGRPSTDRLYPSFDSFETSSTPRLSNFSNFSDLDNPAFEPFQNERRSMDDRRSMDTFTTELSYASGDSDILGSSPTLNMNTDIGLGLRIIQFKDDLESEMKRLKLELKQTMDMYSTACKEALTAKQKAMELQRWKMEETQRLEEARIAEEHAMALAEREKARSKAAIEHAEAAQRLAEIEAKKRVTAEMKSLKESGEKDETMTSVAKTDLRYRKYTIEEIEEATEFFAKSRKIGEGGYGPVYKCYLDHTPAAVKVLRPDAAQGRSQFHQEVEILSCMRHPNMVLLLGACPEYGCLVYEYMSNGSLEDRLLQKGNTKPLSWQHRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPGNILLDRNFVGKISDVGLARLVPPTVADSVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIMYLQIITAKPPMGLTHIVEKAIENGTFHEILDQSVPDWPVEEALSFAKISLQCAELRRKDRPDLGTVVLPELERLRDLAEDNMTDAMLYGTGLSPNQSQASMSQMSYPQSGYTSDSITSTGPDS >KZN11772 pep chromosome:ASM162521v1:1:47978035:47978241:1 gene:DCAR_004428 transcript:KZN11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSKKKMKKKMKIKRNAEIKRQDKRKRKRKNTVSPVIFYLLERCTLWIVRMVHRCNRVRQKRSIHFR >KZN09444 pep chromosome:ASM162521v1:1:25299264:25302407:1 gene:DCAR_002100 transcript:KZN09444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSQENPADDAAPGGDSQDNVNKTNQAPKANPSSNPASKGQSNPKPIGPVLCRPMEDIKATYSMGKELGRGQFGITYICTHKQSGEQFACKTIAKRKLAVKEDVEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIARGHYTERAAASLLRTIVQIVNTCHMMGVIHRDLKPENFLLLNKDENAPLKATDFGLSVFYKQGDEFKDIVGSAYYIAPEVLKRRYGPEVDIWSIGVMLYILLSGVPPFWAESEHGIFNAILRGHVDFSGDPWPTISPQAKDLVRKMLTVDPGQRLTAAQVLSHPWIKEDGEAPDTPLDNGVVSRLKQFKAMNEFKKVALRVIAQSLSEEEIMGLKQMFKNMDSDNSGTITLEELKQGFAQQGSKLSEYEVKQLMEAADADGDGTINYDEFITATMHMNRMDKEDHLYTAFQYFDKDNSGYITIEELEHALREFGMNDEKNIKEIISEVDGDNDGRINYDEFVAMMRKGQQDGEANLKKRRESFVLT >KZN09641 pep chromosome:ASM162521v1:1:28048086:28049159:-1 gene:DCAR_002297 transcript:KZN09641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENMGWGVVEEGWRKGPWTAEEDRLLTEYVKLHGEGRWNSVARLQGLKRNGKSCRLRWVNYLRPDLKRGQITPHEENIIIELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKSKVSPGDGAKSKARVLRRQQFQQQQLLLQQQQKQYEVDMKRVMSNLQDGSQERMPSLPLQQLMMKPQDSMGSSNSYPHTGDHDQENGHSSMAMISFNGYASVAESSNEQDIFWDGSLWNLDDFQGNYSAVSAATRATLQSLATPLF >KZN08912 pep chromosome:ASM162521v1:1:17566773:17572760:-1 gene:DCAR_001568 transcript:KZN08912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSQDSSILSTGLLRRVLIGAVFFFGVSASCYVLFRAADSVGFRFPDALDPTSRFSYGFADNNMTNPHRAINRLARVLKAAASPNKTVIITTLNEAWAAPNSVLDLFLHSFKSGDGTRKLLNHLVIIALDQKAFFRCLDLHSHCFALGTEGMDLSKEAYFMTPDYLKMMWKRIDFLRYVLELGYNFIFTDADIMWFRDPFPHLYMDADFQIACDHYSGDSVDVDRNKPNGGFSFVRSNTRTIEFYKYWYSAQETYPGLHDQDVLNRIKYDSFITDIGLKIRFLNTNYFGGFCEPSKDLNQVCTMHANCCFGLESKLHDLRILLQDWRRFMSLPPMLKRSSILSWRVPQNCRMAGDDHDVSGGRKKNKYAFACAILASMTSVLLGYDTGVMSGAAMYIKRDLHFTDVQIEVIVGIINIYSLLGSAAAGKTSDLIGRRYTMVLAGIIFFLGAILMGLATNFVFLMVGRFVAGIGVGYAMMIAPVYTTEVAPASYRGFLTSFPEVFINSEGCTSAMEGRGVLLGYVSNYAFAKLPLWLGWRMMLGIGAIPSVALAIGVLYMPESPRWLVLRGRLGDARCVLEKTSDSKEEGRERLLDIQVAAGIPEDCTDDIVPVSDKNSGQGAWKELLISPTPAVRHASITGIGIHFFQQASGIDAVVLYSPRIFEQAGIKGSDQQLLCTVAVGFCKTIFILISTFLLDKIGRRPLMLTSMGGMVIFLLMLAVSLTVINNTDHTVEWAVALAVIGVLGFVSIFSSGMGPIAWVYSSEVFPMRLRALGCSIGVAVNRGVSGVIGMTFISIYKAMTIGGTFFMFAGIAAIGWLFMFTLFPETHGRKLEEIEQLFGTYFHWRSTLRELKQKEARALEAQDA >KZN10744 pep chromosome:ASM162521v1:1:38596668:38596948:1 gene:DCAR_003400 transcript:KZN10744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFGKLMFPGRFIILSASGMLVLAATTYDIHRSIKNNSTPPTQQEMQELNDYIKSLRPPS >KZN11213 pep chromosome:ASM162521v1:1:42684414:42688645:-1 gene:DCAR_003869 transcript:KZN11213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMKLGSKTDAFQRKGQAWFYTTGLPSDIIVEVGDMSFHLHRFPLLSRSGVMERLIAEANEEGEGGCVINLPDVPGGAKTFELVAKFCYGVKIELTAANVVHLRCAAEHLKMNEEYGEGNLVSQTELFLNQVVLESWKDSLRALQGCDSVLSHAEELSITARLIKSLAAKASTDPNLIGWPVVEYGRPLQSPGGSVLWNGISTGAKLNTVSSDWWYEDVSNLSLPLYKRLISAMESHGIKEEIIVGSLTAYAKKYLPGLNRRQSTGDSSSRLGSVSSGAILSEEDQKLLLEELDHLLPMQKGLVPTNILFGLLRTAMILRASPTCISNLEQRIGMQLHQATLEDLLMPNFSYTMETLYNVECVQRILLHFLAMDQVTGGGSPGSGDDGQLLGSPSLTPMTMVAKLIDGYLAEVAPDINLKLPKFKSLAAAVPDYARLLDDGLYRAIDIYLKSHPWLADPDKEDICRLIDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIASSFLVSDNFDGSRQLTSGLLTSNEGGWNTAVRENQVLKVGMDSMRMRVSELEKECSNMRQEIAKLSMVKGTSTWENISKKLGFKVKSQMCSAQDGTISKQKLENEKVISTAKDKQGKLKKK >KZN12068 pep chromosome:ASM162521v1:1:50755009:50755563:-1 gene:DCAR_004724 transcript:KZN12068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVLNDPYERANMRYLLKMRNIMNIFNVNAGAEAQEIANLMQQKANIGFQTLQLMFADTPGHFAVLSDLNSLLAQVKNRLAELNRRRAYREHAMGRVQANFQNHLIDASFLEVDNWGTATYAVHLRDAYGWMGSVCISDDLPVETRNMLIHLLANANLLIYVVTGEGANTLLTPAHQFPFPN >KZN08358 pep chromosome:ASM162521v1:1:5598490:5598951:-1 gene:DCAR_000904 transcript:KZN08358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKDFKGDSQVSGGPSQPAPKKERYTKVEGRGSRIRIPTLCATRVFQLTKELGLATDGATIQWLLEQAEPAIIRATGTGTVPAIATVTADGSLRVPETKEGAAEDVSKTSGLAAVGPSPVSVPGYGMAAENGMKMNQNQASAGELCCRGRV >KZN09590 pep chromosome:ASM162521v1:1:27443341:27444846:1 gene:DCAR_002246 transcript:KZN09590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKESQLHLLLKDLDKYKEQLKNAESTKGQALRDLEAANKTLQELTSKLETISDTKQALIQATESAKKRVKELEESKSSNDQEVDVEMNPDLVKERERYKACNAELVSVKQELTTLKQDFDAASKKKETALQEAKDAEQAAKLNKEKAGGLSEEIANMNETLKQAKLATTKVQDEHSKLMEEREARFRSLAEAKADKEEKIKILKKEAEGPELGGNLEEKMEETSEAIRVVQEQLKDVRAADQEELKTVTSELEEAKKHLQEVQAEESSVRKEVEPLKLELENLKKETSDLKEKEQKAEELTKTLQAKLDERKKELEAAVAEQKKTFEEYESLQSKLQEVTAEAEKARKEAEDMYKNIEALKKEAETSRAIEKETEEKLEIALKEAEEAKEAEKLANEKMKASQETEPEKSGKIRLPKEEFDSLTKKAKEAEDAATAKVASAMAKVEAITASERDTLAKLEDILKETEDVEAATNEAIKQAETAEMETKALESELEKLRST >KZN11903 pep chromosome:ASM162521v1:1:49277830:49283077:1 gene:DCAR_004559 transcript:KZN11903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCLSYSAHSSELAFDFYAASCPMLETMVKDTVRAASSNDPRVPGRLLRLLFHDCFVEGCDGSVLVQGNDTERSDPANASLGGFEVIEVAKNVVEMFCPDTVSCADILVLAARDAVEFTGGPGIEVPTGRKDGKISLASNVRPNIVDTSFSLNQMKHIFSAKGLSFDDLVTLSGAHTIGSAHCSAFSERFKLDSKGNLKLIDTSLDSTYAAELTQQCGASQAQTSITVNNDPGTPFIFDNEYYQMLLAHKGLFESDAVLLDNAKSLKKVEEFANDKNRFFENWAQAFLRLASTDVKTGEDGEIRRSCSIVEKKKAISEIIKAASAPEKDHLCLFSQFRHYQYKGHSFYLKSGRGDKLSSILKHHIQNILKINMEKVYGSEWPSEEKSKRKEMVSPEARYIFIYETANSDPNQMSESVGGRGSQTVCANDTETIVGFVHFRFTLEEEVPVLYVYELQLEPHVQGKGLGNFLMQLIELIACEVIYFSLLFNNFHVIYRIGDPTNRMSAVVLTVQKENLLAMNFYLSKLRYTISSISPSRVNQLTGPEKSYEILCKVFGVEAKAVLEVIFSIANSAY >KZN12067 pep chromosome:ASM162521v1:1:50754107:50754571:1 gene:DCAR_004723 transcript:KZN12067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCDRGINGRAEKGQVVKEAGGAAMILANTEINYDEDSVDVHVLPATLIGFTESVQLKKYISSTRKPRAKIIFGGTSIGKSRAPAVAQFSSRGPSFMDPSILKPDMIAPGVNIISAWPQNLGPAGIPEDSRRVNFTIMSGTSMACPHVWLALM >KZN08008 pep chromosome:ASM162521v1:1:1395967:1397206:1 gene:DCAR_000677 transcript:KZN08008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTRTIMLHALLMCIIVVSSQDSVEEILVEFKNLLSNASALDSWNKDVPICSDSKYWIGLICVKAGFWGFKIDSMGLSGALNVEPLTKLSTLFMLSFENNTFVGPFPSNINKIPNLFYLSLSNNKFSGEIPGDAFKGTNISTLYLGNNDFTGKIPKSLAGLSTLDDLQLQNNKFEGGIPDFKQKDLVANFAYNKLEGPIPASLSDEPPSCFAGNNLCGKPLGKCPPP >KZN08864 pep chromosome:ASM162521v1:1:16886228:16890732:-1 gene:DCAR_001520 transcript:KZN08864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKDMQVSKDGVFFSFSHLGFQLSPQDSTDYYPGGLFASIGQSNGLGFAAISPNSGENDLFVKYVTSSPEETRDGIVMNKKKKGGIKFRVKIANTSLRRLVSGAIAGAISRTAVAPLETIRTHLMVGSSGHSSTEVFNNIMQTDGWKGLFRGNLVNVIRVAPSKAIELFAFDTVNKSLSHNPGEQPKIPIPASLVAGACAGVSSTLVTYPLELVKTRLTIQRDVYDGLFDAFVKILQEGGPAELYRGLTPSLIGVIPYAATNYFAYDSLRKAYRKIFKKEKIGNIETLFIGSAAGAISSSATFPLEVARKHMQVGAVSGRQVYKNVLHALASILEREGVQGLYKGLGPSCVKLIPAAGISFMCYEACKKILVEVEADDNDE >KZN09057 pep chromosome:ASM162521v1:1:19616332:19617330:-1 gene:DCAR_001713 transcript:KZN09057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHRHPMCAEIIEQFQKCHVDHPLGKFFGECTDLKIKLDRCFREEKALKRKANFEESKKLKERLRAHRKERLDASLEDKNLAQA >KZN11529 pep chromosome:ASM162521v1:1:45499873:45502593:1 gene:DCAR_004185 transcript:KZN11529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIYWCLFCSVNTQAALDFGYPLCSGENIYTPGSTFEANLKNLLTILSSNASKNHGFFNSSVGRGFPDEAYGVFLCRGDVTSQACQACVSEATKEIITQCPKEKAAVIWYDDCMVRYAGRSFLNINNQTLGFYYTNPSSVIEGTGFKQALDSSFSDLISQASNVTDENKHAIKGFATQIVNYTRALTLYELVQCTPDLSGNDCGRCLREATRYLPKCCDHKQGGRVLLYSCNIRYEVYPFFGILDKAPSPSPAPSPSSIRKHKGKEHLSQKVFILVALLCVFLMLCGGSYFLHAWKRATRKKQVSSENSDKDIVNSFNMENDISTVESLRLDLSTVNEATNNFSIHNKIGEGGFGEVYKVRSLKVLYQGIAKGMLYLHEESRIRIIHRDLKPSNILLDANMNAKVSDFGMSRIFGVDQNHGKTSRIVGTYGYMSPEYAMHGDYSVRSDVFSFGVLVLEIISGKRNSSFYQSNHAGDLLCYVSFYTIYMPRSPSILTLYSSAYNIRTLQAWRLWKDGTPLELVDPVLLYSYARNEVIRCIYIALLCVQEDVDSRPSMDSVVLMLNSNSVTIIMPQQPPFFQHSRSTSVMKDQLKSDDSKKYTTSWSVDDESITGIYPR >KZN08682 pep chromosome:ASM162521v1:1:13416946:13419842:-1 gene:DCAR_001212 transcript:KZN08682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITNSIFSIPVQDPEDEVFSSIDLNWTKFGTADRYDDVAVIPYKRVDAFILGECSNVECPTQFHIERGRKRSEGSLQDKKYKNDEYLEYRMYWCSFGPENYGEGGDILPSRKYRLNTRNRAPRPQSMRGCTCHFVVKRLYAQPDFAVILYNDRRHVNKSGFVCHGPLDRDAIGPGAKKIPYICSQIQQQTMSMIYLGIPEANVLEKHIEGIQRYCGSNANVDSLASQYVHKLGMIIKRSTHELDLDDKASVRLWVERNKKSVFVYQDASESDPFILGIQTEWQLQQMIRFGHRSLIAADSTFGIKKLKYPLCTLLVFDSRQHALPVAWIITRSIAKPDVSKWMKALVDRVIAVEPGWKIAYSIWNRVDSFVALNKFTQDFVDQTAFMKYFTATWVPKIEMWLSMMKSLPLASQEASGAIEAYHVKLKVRLYDDTHLGAFQRVDWLVHKLTTELHSSYWLDRYADESDSFQNVKEEYVASTSWYRAMQIADTAVTFDDKDHRFANVLSQKEGSTKHLVWNPGSEFAFCDCEWSLQGNLCKHIIKVNMVCEKYQSYKNSMSFQSFREILANLYKKPMDGSISLDLSVAWTQQMLDQIQKLVELNNANDIGSVVNNLPLKWVAKKGRTFTGKPHTALTLPSNTKNAPTPKKHRKTKXKRKRLSRLG >KZN11281 pep chromosome:ASM162521v1:1:43371445:43374377:1 gene:DCAR_003937 transcript:KZN11281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPFFIFSVTLAYFINLISAQSHANFSIPICDPSRLSSLGMDVKALTFCDQSLSYHVRAKDLVDQMTLLEKVHQIGDKATGVPRLGLPAYNWWSEALHGVSDFGDGATHFGDIVPGATSFPPPILTVASFNESLWKAIGQAVSTEARAMYNLGHAGLTYWSPNINVVRDPRWGRALEMPGEDPFVAGTYASNYVRGLQDIEGTENFTDLNSRPLKVGACCKHLAAYDVDNWLGVDRLHFDARVHEQDMVETFLPPFEMCVRDGDVVSVMCSYNKINGIPACADTKLLKDTVRGEWDLHGYIVSDCDSIEVMIDNQKWLNDKPEDTVSQALKAGLDLDCMGSYPKYMGNAVVQGKAREDLIDKALINLYVVLMRLGLFDGNPSLKSLGLDNVCHKDHIELATEAAREGIVLLKNEKETLPLDPKKYNVLALVGPHANATEAMIGNYKGIPCQYTSPINAFSAYAKVKYAMGCGDILCKNESFISEALDATAEEADATIIFVGLDQTVEAEYKDRVNLNLPSYQPQLIQQVSKVSKGPVILVVMSAGGVDISFAKNDSKIKAILWAGYPGERGGQAIADVIFGKYNPGGRLPLTWYEANYVDKLPMTSMQLRPNDKLGHPGRTYKFFNGSTVYPFGYGLSYTRFEYHIVDSKKDLKIKLNKFQHSRELNYKDSTSKLERHSVLVNDLKCDYNIKFEVRVENKGSRDGDEVVMVYAVPPGDIIGTPLKQLVGFKRVSVKAKKSKSVKFVLDACKSLSIVDHKAYQVLASGEHNIMIGDNVLSFPIHVSFEH >KZN10532 pep chromosome:ASM162521v1:1:36664635:36665045:1 gene:DCAR_003188 transcript:KZN10532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKDGDNMGKVGKGAPEPQQPRAVGPYRGLVIRNDDFSIGLGLDFTEPLNPWFLQKIEPKTHPTNASEPRAPVSSEACKENSLITPSPELAPKPLAFAPAVNGATCSNGVPNVEDAAPNGVPNGSNDIDLDLKL >KZN10946 pep chromosome:ASM162521v1:1:40508072:40509238:-1 gene:DCAR_003602 transcript:KZN10946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFIILALPLIFILHSVHISSASVVSTGNFNKDFFVTWSPNHVNTSADGRERSLELDQESGTGFASNDMFLFGQIDMPIKLVPGYSAGTVVAFYLTSDQPNHDEIDFEFLGNVSGQPYILQTNVFADGFDNREERIYLWFDPTKDFHTYSILWNLHQIVFMVDFVPIRTYRNYADKGVAYPRWQPMSIKVSLWDGSTWATRGGKDKVDWSKGPFRTSLRNYQIDACVWKGNARFCRGESLTNWWHKERFRSLSQTQRRWFKWVRKYHLIYDYCQDNKRFENNLPKECSLAKY >KZN11025 pep chromosome:ASM162521v1:1:41140342:41143396:-1 gene:DCAR_003681 transcript:KZN11025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACKRVFQLGSNSAICLSKLSKFELAKSKLYGTAAAASNSSANQLSYRSISQHVNLNGSRAFLVDTLALVRRLEAQGVPSQQAEAITAAITEVLNDSLENVSHAFVSRAESQKGEMLQEANLSKFKAEIQSSQEHHFSLLQRETEKLQNDIGKIRSELKYEVDKVTAGQRLDLNLERGRTRDELANQSTETTNLNNKIDREIQGIRAQLEGAKYDIIKYCIGTLVSVSAVGLAVLRILM >KZN09479 pep chromosome:ASM162521v1:1:25700877:25701056:-1 gene:DCAR_002135 transcript:KZN09479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALLRKKRDPFRSLGGPICLIRGSSQVCDSWGLSSAIIHSYGDSDTKNYRSFFRIQ >KZN09631 pep chromosome:ASM162521v1:1:27831404:27831826:1 gene:DCAR_002287 transcript:KZN09631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKLSVSFLTYIFIILIFSSTCFSKLHVEITNALSQDVPPLQLHCRSKDDDMGYHNLSVNELYAWKFNMNFWGTTLFYCDFWWGEKHAAFKVFDIDIQLEVADGLDHFAYEARTDGFFFYGQEPLTKSYYWQLVKTWEN >KZN09911 pep chromosome:ASM162521v1:1:30681282:30689175:-1 gene:DCAR_002567 transcript:KZN09911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLDDAYLSSKTMIKALVFVILLSLSFRSISAVDFEDEDGLVQWQILTKFNFSSQIRTHPHILLLITVPWFGESRSLMRDLAQVVNSKQKKFGTLKLMFVHRNSDKVLAEALGAKDGIKFLYFRQSLSYKYQGPLRVQNILSSVYHLMSLPPKDIPLKFLTTAEDLKSFTESTDKVLLVMEFCGWTSRLLTKAKNSVTDNGFESIFNIANGTFSPGGKNMQKQIESEQNCGAYSGNLWTGEFSANNMSQFPGSGLPTDGDFCSSEEFHKYETFLVELSVIAKEFFLPPERIRFGLVQERALLSFLGIKYSGPWLAMLHFAGCPSCSKVLGEGDDIRHAIKMQASPVLELEGDANGLDPPIPANKPSIVLFIDRSSESLDVRKKSSEALGVFRELALHHQMNEETTAKSQRTSMGNYQSSLSTLGHPKFDMSPSSQKITELKDKMSVMLLDKGKHITINKAVTDLQGGSLQEILTYLIQHKKEIKISSLAKNAGFQLLSDDFTVKVAEESPADLNVQSNQVSTEVQEEHPEGSDSGHLRQNQTPHMAGGRVEKPENSADGKQKEVKETIVDSTKVLSAGIDSRYNVDHIANTAHSEKIPPNVDHNFPGSVGSFFFSDGGFRLLKALAPSSSIPIVVIIDPLSHKHYLFPEEEVFGYSSLSNFLERFNNGSLVPYKHSETFTRASRESPRPPFVNSNFHAVNSVPRLTTNTFLELVVGNQSDMANSSNAWKKDVLVLFSNTWCGFCQRMELVVREVYSAFKGYSRMLKDKPSLISDNVENTNLKLPLIYLMDCTLNDCSLILNSSLQRDLYPSLLLFPAERKEAVPYGGDITVTQIINFIAEYGSNSERLFGENGFLWNKASQRVKYGNLHKDVTQTSNIKDPSSLTNIYHEVLLKDRAQKIEVKDYEMSPQRYNRPHEIGTDLVAGSILVASEKLVNVHPFDGSKILIVKVNQSTGFQGVIINKHISWDTLGKLDEGLELLKRAPLSLGGPVIIRGMPLVSLSRKLMKYRDQTAEPEIHFLDQWETLDVIKALQAGDRSISDYWFFMGYSSWEWKQLFDEIAEGSWKISNGMEHLEWPESD >KZN09384 pep chromosome:ASM162521v1:1:24459715:24463184:1 gene:DCAR_002040 transcript:KZN09384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGVDLRSGLNRVLTSWKSEDDPGTGSYSLMIDLNGTLPQLFLYKNWDPLWRGGPWNGLGWSGVPGMGSPDYVLSASYVENSEEVYLVDYIRNPSFISRMTVNESTGTLQRLTWQEDARKWINFYSAPKDQCDRFSHCGAYGDCSFNMYSVGEYECKCAPGFEPKSSYDWYLRDGSQGCSPKRQGQLCGKGEGFIKLARMKLPDTTKTRRDKNLSLDECTELCLKNCSCTGYSAADVRGGGFNGCITWYSKLVDLREFPSGGQDFYLRVDAVELANSLNKSKKFHDYIKVLVPVSLSAALLLLLTTAYCLRRKKKIDKARRRQEGLRLSRSSRNRKMPVPDTSVGEESSDIETTTVDVKLFPLSTIIFATENFSLDHKIGQGGFGSVYKVWDLWNESRVLEIVDESLGESSDHDHEMFRCIHIGLLCVQESATARPSMSEVLSMLSNQISLPAPGQVAFILRTSDDSPANMGSRSVGVISINDVTISTVEGR >KZN09881 pep chromosome:ASM162521v1:1:30295643:30299619:-1 gene:DCAR_002537 transcript:KZN09881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTSKSSPVRHCIAYGENAPISDIIDFIAAAQIAENSEKNVQISNYGDDEKNADASTETLFGTLNNTLRLLEMGFSEKDISAAIDMCGSKASVEELADSIVSGQIGKYTYNPSWKRNASSSRSLYGMNGQGTMRYDDLTIKTEDTSPVSHSEFSSNGMMESCKGKRPKEGYIDESSSSKRLKEEYEDDSGAALPPWLEARQAISKTSSSRLAQKQRKPRLTGESISLPKPVSCKSLDRMVAGPPYFFYGNVLNLSQDSWIKISQFLYAVEPEFVNTQFFSAFSRKEGYVHNLPNENRFHLIPRSPMTIEEVIPSTKKWWPPWDTRKQISCINTDLTGISQQCNRLESLLNDYGGLLSIDQQTDLLHRCKIYNLVWVGHNKLKPIEPEHIERILGYPVNHTQAAGFSMHDRLQALKHSFQIDTLGYHLSVLKTMFPEGLTLLSLYSGVGGAEITLDRLGIRLKGVVSVETCEIKRKILKQWWSNSGQVGDLVQIEDIQKLSSSKFEILKQRFGGFDLVICQTPSTYNPKCPTIEDHGNISGLDFSMFYEFVRILQRVKSMMGNSR >KZN08959 pep chromosome:ASM162521v1:1:18175275:18176815:1 gene:DCAR_001615 transcript:KZN08959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDVSFIQAIEHRPKPTVTEAQGIPLIDLSIPDTQLLVAQIADACKNWGFFQVINHGVPSQLREKVFSASKSFFSQAKEEKLRVRRDERNPLGYYDTEHTKNVRDWKEVFDFTVESPMVMPVSPDPRDEEVKKFINQWPRNPENLRELCEEYAKEVKKLSFKLMGLIALSLGLAADRFDKCFEDSTSFIRLNYYPPCPSPDLALGVGRHKDAGALTVLYQDDVGGLEVKPKTDGEWIRVKPTPNAYIINVGDIIQMDRKFIELLAYFCDHF >KZN11401 pep chromosome:ASM162521v1:1:44353984:44359407:1 gene:DCAR_004057 transcript:KZN11401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPETVEFARNFAVMVRAQGPDPKGLKMRRHAFHHYNCGITTLSASGMLLPSSFFGPKLAKHIVGATDASMPDFAFVLTVASVIEPFLSNRDNLAQAKNTLITGSQIDIMVEGKTGTGDGTNMVHKNANWLSAKLLTLVDIPVASDAVQSLIESSTGALDHGWEVGWSLSSYSNDAQPLLNSLQKQVHQSSMQNKGQRVVEESSNSGMIGKSTARIAILGVSSALVKDFPKLSISGTSNRGDLLLAMGSPFGVLSPVHFFNSISVGSVANCYPAASSNSTLLMADIRCLPGTEGCPVFGENGQLIGIMTRPLRQRSSGAEIQLLIPWEVIASSCSNLSEEEPQYLLKWNQTKNVNSDLLKKMSPVNRLDLGESFDCIYEKPPQSSIKKAMASICLITVDDGAWASGILLNNKGLVLTNAHLLEPWRFRKTASGEKSGAKSEVIFMRSNSVSPENKISDSHTKNWDLLSAEVMPVDLSVSDERRGGKFNVVNTHRSIRVRLDNKEPWIWVDARVLYISQGPLDVALLQLDYAPEHLFPIAVDFTCPNPGSKACVVGHGLVGPRRDFFPSACLGVVAKVVKARRPPTHQSGDQENEYELIPAMIETTAAVHPGGSGGAVVNSDGHMIGLVTSNARHGGGVLIPHLNFSIPCAALEPIIKFSKDMQNLSLLEDLDKPNEYISSVWALVPPVTPKPGPYLPDSPEFLSGDNSKDVKGSRFAKFIAERQEMLKKTSESDKVDGPFTKFLPSKL >KZN09763 pep chromosome:ASM162521v1:1:29139792:29140370:1 gene:DCAR_002419 transcript:KZN09763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSKSLNLKKNRSNPNPNPPCHHSASATLDLLILLLVLFAGTFLVSSYFSYTLHSLSLVLPSLDYVSLCFSAFLVFLFFASAYCTFRLCYFSRKCGNAGCKGLRRAMEFDLRLQSEECLRLGGKAVVEVDELPWKGGGEGNRDYECLRNELRRMAPVNGRAVLVFQKKCGCPFAKLEGWCVKRGRKNKK >KZN10983 pep chromosome:ASM162521v1:1:40814571:40839255:-1 gene:DCAR_003639 transcript:KZN10983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALIELCDLISHNPVKFADKLAWICGRCPPPDAFRGQSPRVTRSQLNAVLALALFLSKCPNHQDSRPRLLVVEFLKSITVSFNLSFWPQSFNAVAVGSFYNDFLSYVCKATDMSSELSKDVAGVTGDILISAYNTKDGDIGTIKAFLNALSKNFLPILPSDVDKLVTILMNSYDNTFPNSPRDFPDGSPSQTSPPSSSSSGTNSGIVDTTPKGSGVNGSSSAWKSTVDLLGTAVGSNEGELASSQKLIASFEKESVDNLEKQETAFKLIGHILDKSQIDQKLLEQVRRIAKEQIQSMLAFLKIQKFDSSEPKSLLKVRINTKLSVYQAAAALQIKSLTSIDSDGKSSKRLLHGTLALLIEAAEACLYSVWRKLRVCEELFGAILAGISQIAVTRGGQLLRVLLIRFKPLVLTMCAQADTRGSNHGVMFESVLKTSCEIIEFGWSKDRSPVDTFIMGLATSIRERNDYEEEAGKERHAVPTAKLNIIRLLADLNVRVNKPEVIDTILPLFIESLEEGDASTPGLLRLRLLDAVSRMASLGFENSYREAVVLLTRSYLGKLSSIGSAESKTMVPEATTERVETLPSGFLTIASGLTNTKLRLDYRNRLLSLCSDVGLAAESKSGSSGADFLGPLLPSVAEICSDFDPTVDVEPSLLKLFRNLWFYIALFGLAPPIQTSQAPAKSVSTSLNSAGSVGSVSLQAVAGPYMWNTEWSSAVQRISQGTPPLVVSSVKWLEDELELNALHNPQSRRGSGNDKAAVTQRTALSAALGGRVEVSAMSTISGVKATYLLAVAFLEIIRFSSNGGILNCGPTSTASRSAFSCVFEYLKSPNLMPAVFQCLSAIVQRAFSTALSWLEDRVSETGNAAEIRESALCVHACFLIKSMSQREEHIRDTSVSLLNQIREKFPQILWNSACLDLLLLSVHNDPPSALVNDPAWIATVRSLYQKIVREWIIVSLSYAPCTSQGLLQENLCKANTWQRAQPTTDVVSLLSEIRIGTGKTDCWTGTRTANIPAVMAAAAAASGANFKLSEAFNLEVLGTGVVSATGKCNYAGEIAGMRRLYENMESVDGQDSDTSISSGIGSSVPRITSGGYSEQTQPRKDSFDELLLSRFVRLIQKIVYTAEKGGEVDKASFRETCSQATALLLSNLASDPKSKVESFSQLLRLLCWCPAYISTPDAMETGVFIWTWLVSAAPQLGPLVLAELVDAWLWTIDTKRGLFASEVRYFGPTAKLRPHLIPGEPEMQPEKNPVDQIIAHRLWLGFLIDRFEVIRHDSVEQLLLLGRMLQGSTKLPWNFSRHPAATGTFFTLMLLGLKFCACQSQGHLQRFKPGLQLLEDRIYRASLGWFAHEPEWYDTNNTNFSPSEAQSVSVFVQHLLNERVDPPYLDAKGSKHENGSSLNDANDQHHPVWGPMENYVLGREKRKQLLLMLCQHEADRLEVWAQPINTKDNSSSRSKISSEKWIEYARTAFSVDPRIALSVVARFPTNSSLKGEVTQLVQSHILEIRSIPEALPYFVSPKAVDENSALLQQLPHWAACSITQALEFLTPAYKGHPRVMAYILRVLESYPPERVTFFMPQLVQALRYDDEKLVEGYLLRAAHRSDIFAHILIWHLQGESCEPETGKEAKEKDAAVALKNSAFQELLPLVRQHIIDSFTPKALDIFQREFDFFDKVTSISGVLFPVPKEERRAGIRRELEKIEMNGDDLYLPTAPNKFVRGIQVNSGIPLQSAAKVPIMITFNVVDKDGDPNDIRPQACIFKVGDDCRQDVLALQVISLLKDIFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGAVGSPSFEAARENFVISSAGYAVASLLLQPKDRHNGNLLFDSVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKNEVPLRHIISDVGEIGIKYQNVNIILCVKGYLAARRHMDGIINTVLMMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRTCTDAYNKWTTAGYDLIQYLQQGIEK >KZN11585 pep chromosome:ASM162521v1:1:45994879:45997786:1 gene:DCAR_004241 transcript:KZN11585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKLIHDKNPRIVPLTVLLVVLCGFSFYLGKIYCPEKDRIVANDVAKAVPSSKAVVPVSMQVKSVSFPDCGVDYQDYTPCTDPKRWRKYGVHRLTFMERHCPPLFERKECLVPPPDGYKVPIKWPTSKNECWYRNVPYDWINKQKSNQHWLQKEGEKFHFPGGGTMFPNGVGAYVDLMQDLIPGMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTIADQKSDYDKLQELLKSMCFKLFDKKDDIAVWQKSSDNSCYKKLDSPDVYPPKCDDGTEPDSAWYTPLRPCVVVPNQKRKLALPSLPKWPERLHTAPERVADVRGGSDGTFNHDDSKWKVRTKHYKKLLPAIGTDKIRNVMDMNTLYGGFAASVIDDPLWAFSTYPRTYDLLHADSLFTLESHRCDMKFVLLEMDRILRPNGYAIIRESVYYTDAITTMAKGMKWSCRQEDNEYGVEKEKVLICQKKLWYSKQSSR >KZN08059 pep chromosome:ASM162521v1:1:1855612:1860839:1 gene:DCAR_000728 transcript:KZN08059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPPVTNNATPNRASAPITTTILPSINSSGDFRGLVKPKCIKCGNNARSRCPYQSCKNCCAKAQNPCHIHVLKGSTSFPDKAPATGSPSSEQQPNDVSLSGNPHRLNSVRQLSSNFSQFNNLQNPIRSRKPLTVKEAGEINEWRYEKVQEYKDMNVEVENEAFDRYMRNVSLLEEVFNVKSTPDGPVNDGGSPISDGNATSAEDERQMEIAKIKSILRSDPTRAENFRNRMQCAVDEVLKKLQKAEAHDAGTGSSEQEEYVGSPGKKNLSWNEAVAALIDKINKAQSVEDLVVCMEMKDELFNQHAKSTHAESESVPIPMALQTDDLTVGMEMEDQLFHQHTESIQAASESVAIPTVLQTDEVKPQIPDPPIKFATTKPVDPEEFKQISAYFDSLEDIEEL >KZN11597 pep chromosome:ASM162521v1:1:46096265:46099223:1 gene:DCAR_004253 transcript:KZN11597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKAGSLVWVKRRNGSWWPGRIMGLDELSPSARLKSSSAKPVRLLGREYSSVDWYNLESGRIKAFRCNEFADFIKNAEGLKASPLKEHVKYAHRTDAIIHALELENKEPRRKRKMTDRKAEAVENKPVCRLKRSRCVYLPVGSRKNSECTSFHAQPLPRLVRMAGDPYQSSASENNYSSRSPDTYTFGEYAPNGRADETSKQLSGCCSGYPRTRRHKRHEELSDITRDDRILDDVEAPEKKIMLKLKHLNPYRDLPDGQRFIASGNAIQNDSNPFTVDDVKCPKRTGCSGKQSRKPIRDVYFSSQFEDGGLEISRRQTRSMSSTEPLIDIKLTVETRYEGASVPLVSLMSKVNRKSIIGYPVEVEKLKNGSTEIILPINDNAGNQILDTKGTLKLQSYPRTPACHRPATSLLTRSVQTTARRTSVSYFPAPSPPIKKEFQSLNVPSKKIHSRLVKRENNSLPGEMLQNLPAVVTCVPVKLIFSRLAAAVQLQPEANIHS >KZN08901 pep chromosome:ASM162521v1:1:17479627:17481990:1 gene:DCAR_001557 transcript:KZN08901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVGSKSGSSALKRQALIDIRGLAVHADIRNEWKVVGVAGQIKILATGRNKLKTSATTAKNEDYEEKSLDKRITFGEKRGRRKLAAEKSSAVALKKEKGYNVVSACKSINCSLELKAGTIEISDKTMKSVLGLPMGSESIEQGDNEERLSLWGKQFEGCLGCKVSPLMLSNRIQGNCEKNAEFKLNFLVLLYNFFIKGHQNMFINHDVLRYSLDIYHYGKYNWCRLLIERLKVSHDYWTTVKSRYFTGSLPFPIYLYVSTLRVKGTIHIPPMYPAFRGWSDSSTEIGIQVKDSEIASAEDRQGTIAAENKNLDAMDESGSKGNRDGDNISDEALVVEDSLTLHDECLNDGWEEWVNPTQEHNLNDADFAQPGQPTVCNATKKDGTDKTADEDKGERSNVAREECKNLGETTELLTMTQYMGAVTLHFKIN >KZN08294 pep chromosome:ASM162521v1:1:5015870:5017501:1 gene:DCAR_000840 transcript:KZN08294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSITANIIVICAFLLSCNILVTTATGGPDYATFLQCLSENLSPSEDVSRFVYSPQNASFMSVLRAYIKDLRFDTVETPKPIFIVTALKEAHVQSTVICAKKVGVEMKIRSGGHDYDGISYVSKETFIILDMFNLRNIDVNVENESAVVGAGATLGELYYRIWEKSKVHGFPAGVCPTVGVGGHLSGGGYGNMLRKFGLSVDNVVDARIVNAKGEVLDRKAMGEDLFWAIRGGGGGSFGVIVSYTIRLVRVPETVTVFRVMKGLDENATDLVHRYQFVVDKLDNDLFIRVLLQPVNGKAKGSKTIRASFIAMFLGDSDRLLSIMKAGFPELGLQKSDCIESSWIRSVVRWANFNTNSTSEEQLLSRKSNVYFGKRKSDYVQTPIPKAGLELIVKKMAELGKVGLVFNPYGGRMSEIPASATPFPHRAGNIYKIQHSITWQEKGLAAENNYLSQIRSLHDFVTPYVSKSPRGAFLNYRDLDIGTTDNGKNSYSEGKIYGECYFGQNFDRLVKVKTAVDPENFFRNEQSIPVMPSAQGRRGGK >KZN09907 pep chromosome:ASM162521v1:1:30655784:30657301:1 gene:DCAR_002563 transcript:KZN09907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQDGVFPNEHTFPLLLKAVSRAGDENPFLIYGHIVKLGLDHDKFVQNSLISAFGNCGFVDSARQVFDGVCVRNVVSVTAMIDGYLRNECAEEGLRLFAEMRLVSVMVDEMTVVSVLRAVGMVGDVWFGRWVHGFYVESGRVCCDVYVGSALVDMYSKCGYYDDARKAFKEMPYKNVVSWTTLISGCVHCNRLNEALDVFKDMLEENVKPNQSTFTSVLSACAQLGALDQGKWIHKYIDNNKFNGNITVSTALIDMYTKCGCIHEAYAVFEKVPVKDVYIWTAMINGLALHGNAASALYLFSQMRSNGVQPTQVTLTGILNACSHGGFVDEGRRIFKSMNEVYGIEPTLDHYGCMVDLLGRAGCLKEALNLIENMPMDPSPVVWGALFGACMTHKSYELGEKIGKHLIKLQPRHSGRYALLANLYAATKNWKGVARLRKCMKQKGVYKIAGSSWIEINGVNQEFIAFDKACSEDRSVHEILDKIIIQMKCAGCPSDTEILTFDLH >KZN11155 pep chromosome:ASM162521v1:1:42174754:42176895:1 gene:DCAR_003811 transcript:KZN11155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRHLLAGCSVVITSLILLSMIHFAATLNFSYISFTPDDPEIEHDGDAFTSSRDIHITKSLNRQSALSSIGRVTYKQPLHLWDKASGNLTDFATRFTFVIDSEKRAKYGDGIAFFLVPNGTKNPLEGAGGVGLGLTNDSQPVNASANNKFVAVEFDIYSNYCDPENMSEHAGVDINSMISVAVVPWVNGSDSIKNGWTNEARISYASSSKNLSVSFRTGLDGDGSVSQQSFNFVVDLRDHLPDWVSVGFSAATGKSLSYNRIRSWEFNSSLESDEDKAGPTHPVTNVPSQSDPAAEGPAEGVILSNKKNKKMGLVVGLAVGGFVLVCAAGIYLILKKKKREEIENTIQVEDDFMDGEFEKGIGPKKFSYSALAMATSNFAQNEKLGEGGFGEVYKGFLREMNLDVAVKRVSRNSSQGIKEYASEVRIISRLRHKNLVQLIGWCHEQNNLLLVYEYMQNGSLDSHLFKGKSLLSWSTRYKIAQGLASVLLYLHEEWERYVVHRDIKSSNVMLDSSFNTKLGDFGLARFVDHDKGAQTTIVAGTRGYMAPECFVTGQASRESDVFSFGVVALEIACGRKPIDLMVVENERELVKWVWDLYGMEQILEAADPRLSGAYDEHQMQRLMIVGLWCAHPDSTTRPSIRQAIHVLNFDAPLPSLPRRMPVATYSTPLNFSSVSSESKATTQTSQTHSSAYSNGTDSSVSASAALLNNTI >KZN10319 pep chromosome:ASM162521v1:1:34544295:34545445:1 gene:DCAR_002975 transcript:KZN10319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTPLSLSSSLTDGKAHLRQSAAASSQCLSLPKLPLQPQAQSPNRAWKATMFCRKIARDVAAMATGEIATKEVTTEETPIVEAETELPEVFESVQEFWNKLDDKYAVTSLGVATVIALVGSSGLVSAIDRLPLVPGVLELVGIGYTGWFAYKNLIFKPERMLIPYYKCLPVEQKMQQCARNLDFPISFA >KZN09582 pep chromosome:ASM162521v1:1:27370166:27371375:-1 gene:DCAR_002238 transcript:KZN09582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKVTFMMLNTVDLQCHSCYKKVKKVLCKFPQIRDQIYDEKGSRVRIKVVCCSPEKIRDKLCYRGGKYIKSIEILEDPKPKPPEKPKEPEKPKAPEKPKEPEKPKAPEKPKEPEKPKAPEKPKEPEKPKEPEKPKEPEKPKPPADKPAEKPKPKEPAPAPVPVPVPVPVPAPAPAPAPKGPEPVQVNMCEPVQGYPGYPPIPMYPSGVCCGPCYDGYGGGPCFHGYGRPVPPPPAPCYDYGYGYRGSKCCVNTDYFSEENPQGCTIM >KZN10145 pep chromosome:ASM162521v1:1:32986007:32987251:-1 gene:DCAR_002801 transcript:KZN10145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFCCKQSSILLARLAVNSRIAVTSNLGFQKFRFLVIPINFLSHERRLTSLADRSVEEKHSFAVTYLVDSCGLSQQNAVLASKKVHFETSDRADAVLSLLKKYGCESSHISRIIRKYPKVLVADPEENLRPKLEFFRSVGLSGRDLPEFLMSTTNLLAYGLENKIIPVYECFRNIVGYDAKPSALLKSCNWWVPGPVAQRQIVLNLQHMEELGAPRDMIMSSLNFYTTVYFQRSAVFAEKAKKLIDMGLEPTKINFVQGLAAITKMSVSTWQHKTEIYKRWGWSDEDIRMAFRKDPLCIRHSEEKIMTVMDFLVNKIGIGSSDVARNPIIVSFSLEKRTFPRSSVVRFLQMKGFVNKKLRMSSFLKLSEKLFLDKYVTKYQEQVPHLSDLYQGNLDIKELGVGFEDMNWKTYV >KZN08328 pep chromosome:ASM162521v1:1:5328236:5328768:-1 gene:DCAR_000874 transcript:KZN08328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLGSSMTSAKRQSALVVDDDPVTRIITRGLLNYFGFEVSTLNDGIEVVNMYEAGKGHFDLIITDMEMPVMNGIEATKKLRSLGVGCKIIGVSSCDDETSRHHFMEAGLDHLFYKPLNVTKLQSCLENN >KZN08578 pep chromosome:ASM162521v1:1:10884455:10887410:1 gene:DCAR_001108 transcript:KZN08578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTLQAITDPRKLGNALVSFYAKCGNLEFAEKAFLRVVNRDTLAWNSILSMYSRRGMVEDIVCSFGSMWNCGMVPDQFTFAIVFSGCGRLGNVELGKQVHCNVVKMGYEFSQFCEGSLIDMYAKCGCVVDARRIFDGAVDPDTVSWTAMIAGYVQSGYPDEALSVFDDMQKLGREPDQVAFVTIINACMSLGRIDEACRLFGMMPNRNDISWNVMISGHAKRGYEVEAVTYFRNMTISCIKPTRSTLGSVLSAIANLSNLDHGMQVHPLATKLGLDSNVFVGSSMINMYAKCRKMEDAREIFDSLDDKNIVLWNAMLGGYVQNGYVYEVVELFMKMRNYGFQADEFTYTSILSACAMLKNMRLGCQLHSLIIKNKLGSSLYAGNALVDMYAKTSALNDARKQFELIRNRDHVSWNSIIVGYVQNEEEEEAFNLFWKMRSAGVSPDEGSLASILSACSNIQSYERGVQMHCFLIKYGLETSLFAGSSLIDMYVKCEETMAAHKVYSRMTERSVVSLNALISGYAQTNIEEAVRILYTMLDQELKPSEVTFACLLDACNGPSKLHFGKQIHNAIIKVGHSYGDEYLAVSLLSMYINSQEKADAARLFSELPSPKSTVLWTVAISGYTQNDSSEEALLLFYEMRHHNSMPDQATFVSILRACAVSVSLSNGREIHSLVFHTGFDLDELTCSALVDMYAKCGDITSSAQVFGEMLSKRDVISWNSMIVGFAKYGHAENALETFYEMKQANIKPDEVTFLGVLGACSHAGWVSEGRRIFDTMTDYYKIKPRVDHCACMIDLLGRCGLLEESEALIEKLEFQNEPMIWAAYLGSCKLHGDDIRGKLAAEKLFELEPQSSSAYVLLSNIYAASGNWDGVNLVRRDMKEKQVRKFPGCSWIALGKKTNLFLAGDDCHPDSDKIHEFLKDLTAMMKEDSYAAEVGSCMQIEGENSSSTLM >KZN10713 pep chromosome:ASM162521v1:1:38366540:38370099:1 gene:DCAR_003369 transcript:KZN10713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDIASHTTLKPRKEGQDTQEELQKRNLRDELEERERRHFSSREDKDRRKGGHLLLEGSKREIEDRMIPRSADADDEVEIKSDDESDDDDDDEDDTEALLAELEQIKKERAEDKLRKEQEEQLKVKEAELIRGNPLLNNATSFSVKRRWDDDVVFKNQTRGETKTPKRFINDTIRSDFHRKFLQKYMK >KZN08855 pep chromosome:ASM162521v1:1:16666826:16669433:-1 gene:DCAR_001511 transcript:KZN08855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVWSPWSLAATASSPKFRVRVRILKLEGLEDEFWREKMMMVGVNWRGEGKFVLPFSSRSRRHKEFSSERILKMGRSWLEWDDEEFENSTPISQGHKWDVSFSILYRDAKGKMVVAGKGCLNLAKSASEQEFPIERKIPINLRAAESPREATLSVLVSFAEIRHTQDARELIAPKIPRFSEEVINGRGQTRLTNQQNTRRAQSARFDSESLGSRLSLPGSGPQLDQAKKVPLFSWKRLKSKVERLSDNKLGKDGDRIQVDQQLTSSSTEENADDNGHFKQEFQSQTLSAGKWHEMDLVSRDGQTKLVVQVFLASFDQCSCKAAGESACTTLVAVISHWLFSNHDRMPTGTEFDSLIIDGSSEWRKLCDNEPIVNNFPDKHFDLETVLETGIRPVLISQEKSFVGFFSPEKFESLKGAMSFDEIWNEIGKTEEENQPRTYIVSWKDHFFVFKADNEAYYIIDTLGERLYEGCKQAFILKFDKSTLMLGRTGKENVHTEEITTCTDNFDSNDGDEVICKGKDCCREFIKIFLAAIPLRELELEEEKETVSYYSLHQRLQIEFNFITLSSLSSPSLSSQSSDATVSASSLFSNKNDSE >KZN11909 pep chromosome:ASM162521v1:1:49318525:49337926:-1 gene:DCAR_004565 transcript:KZN11909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEEYAFKAVKAAGVTSIGVRGKDSVCVVTQKKVPDKLLDPSSITHLFAVTKYLGLVATGTTADARTLVQQARNEAAEFRHKYGYEMPADTLARWIADKSQVYTQHAYMRPLGVVAMVLGIDDEVGPQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKNDPAFTYEETVQTAISALQSVLQEDLKANEIEVGVVVKGNPIFRALSTDEIDEHLTAITAGATDFSSVAEVSWFPVVSIEEMRTEGESRDDHVVVSDRLIFAYYVTGHGFGHATRVTEVVRHLILAGHVVHVVTGAPDFVFTTEIQSPRLILRKVLLDCGAVQADALTVDRLASLEKYSETAVAPRDSILETEVQWLHSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQGIGSSIVISPCPFCPLTLGQYYQNSGISSHWLEGTRFFTALLVEPNSSSDIYLKFCLFQLIIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKPRKEVRKELGIAEDVKLVILNFGGQPAGWKLKEESLPSGWLCLVAARILQDTAIGKNYASDKLSGARRLRDAIVLGYQLQRVPGRDISIPEWYANAESEFGLRTGTQNAETNQSSVFENSYIQDFDILHGDLLGLSDTMSFLKSLAELNTLSDSGKNAEKRQMRERMAAANLFNWQEDIIVARAPGRLDVVGGIADYSGSLVLQMPIREACHVAVQMIHPSKQRLWKHAQARRQGSTPVLQIVSYGSELSNRGPTFDMDISDFMDGEEPMAYEKAKVYFAQDPSQKWAAYVAGTILVLMKELGVRFENSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAHGIDISPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVLGLVDIPSHIKFWGIDSGLRHSVGGADYGSVRIGAFMGREIIKSTASAKLCKFLSIANGTHTDELEEDSLEPLEAEKSLDYLCNLSPHRYEALYAKILPQSMLGDTFLEKYTDHNDPVTIIDRKRNYGVKAAAKHPIYENFRVKAFKALLTSAASDDQLTALGELMYQCHYSYSACGLGSDGTDKLVQLVQETEHSKPSKSGDGTLYGAKITGGGSGGTISVVGRNCLRSSQQIFQARFMKEQLTHLIFIFQIQQRYKAATGYLPVVFEGSSPGAGKFGHLRIRRRLSHKQ >KZN08563 pep chromosome:ASM162521v1:1:10511798:10515207:-1 gene:DCAR_001093 transcript:KZN08563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKKDILLKKCILDVEPTLRSQSRSCQVASLRLLILFLTRTHLLSERERERAVYNNTHKKEQLTQHNLKKDHRIKKCILLSDKMVAGGGMKISGGGAINEWKDIPMELLLRIVSLVDDRTVIVTSGVCSGWRDAVCWGLTRLSLSWSKKNMNNLVLSLAPKFSKLQVLVLRQDNSQLQDNAVQAIANFCPDLQDLDLSKSFKLTDCSLYALAHGCPNLTKLNISGCSAFSDTALSYLSDYCRKVQTLNLCGCVKAASDSALKAIGYNCTRLQYLNLGWCENVGDIGVMSLAYGCPDLKVLDLCGCVLITDASVVALANNCLRLQSLGLYYCQNITDRAIYALAHTRVKNKQSVWESVRNRYEEEGLKSLNISQCTALTPPAVQALCDSCPALHTCPGRHSLIISGCLNLTSVHCACAFQAHRATGNVQAH >KZN10943 pep chromosome:ASM162521v1:1:40494274:40494468:-1 gene:DCAR_003599 transcript:KZN10943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKDAFGDGASESWEGLKAKTGSVFPKKKKSVKKMMAEKIVGSFSSSKNKNNDETKKDGQDDS >KZN08010 pep chromosome:ASM162521v1:1:1408466:1412315:1 gene:DCAR_000679 transcript:KZN08010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPTASSSKSLYLCSLVLASLVLFCLAGEGDAAVDRKHIVADAHEFHNVEFGSLLPESVCSSQEPALSPSSLKVVHRHGACHKSTQTIKESPSASQILAQDESRVRSINSRAAFDATKDAFRSSKAASIPAKSGSSLGAGNYIVTVGLGSPKKELNLIFDTGSDLTWTQCEPCVGSCYNQADPIFNPSLSTAYANVSCHTPYCNQLSSATGNSPGCRGSTCIYAIQYGDQSFSIGYFAKDTLTLSPTEVINNFYFGCGENNQGLFGQTAGLIGLARDKLSIVSQTSAKYGQVFSYCLPSRSSGAGYLTFGKSGLSKAIQYIPFGNSKGTTFYSIDILGLYVGGRRVSISPTVFSTAGSIIDSGTVITRLPPAAYTALRDTFRKLMSSYPVGKPISILDTCYDFSKYTTVKIPTISFLFSGNKKVNIDSSGILYVVSASQTCLAFAPNSDPSDLAIFGNVQQKTLQVVYDVGAGKLGFGPQGCA >KZN11630 pep chromosome:ASM162521v1:1:46481797:46483553:-1 gene:DCAR_004286 transcript:KZN11630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPGCTGEGLKKGPWTPEEDQILMNYIHKHGLRGSWTALPKQAGLNRCGKSCRLRWINYLSPDIKRGGFTDEEEQMIINLHSALGNRWSQIAAQLPGRTDNGIKNYWNTHIKKKLVSSGIDPKTHEPIAPNDLNNFLVNFSHSLSTSNLTNLMMMNSVDSPALAALRSLVPQPEPTTQLLQNLWQIINTANPILLPNTIQENNLLNYSAYSSQGNVLVNGTDAAYDQDSSIFQNMHAGAQCSGKDFGNVGSGLRPDVLLDDAVGKSSIFPQKEDMLPALVSASSTDEPCGVKQMMNQTSVQAETLPAASSSSAFDTWEKLLNEGDGEDYFYRDLINDP >KZN10170 pep chromosome:ASM162521v1:1:33255120:33256136:-1 gene:DCAR_002826 transcript:KZN10170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENVFSKLSDDVILKIFINLEDDPKHWAVLACVCNKFSSLMRTVCWKSKCSERIPHVVSDFLAESRAGDAPPGGWAALHKLAVCCPGLLHSGVLLENSDFGLERELGPDENYKNSNLFQVGQAQISNTCSPAAVASDCGWSLYDDLYYDTVYDTSESQDIIVNNMDNSIDDKTNNLDINEESTEKDGLIVEAASDFTASKKRKFCRSLKPHLASGVWNLSKEQGNKLLASRFRGDCLYISDWPGCVHKEEKRSYMLFRGVFKNFKRSRVWRTINDGDRSKIDLNCEFCSCKQTWDLHSAFCLKRVFGYHDDGEPVVRAYVCENGHVSGAWTDWPLYT >KZN11965 pep chromosome:ASM162521v1:1:49742977:49746731:1 gene:DCAR_004621 transcript:KZN11965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSRLKDELECGNDGLNHVNHSVSCFYQDFGLKDGVLDECKGTLERVFDQVIPIYLREVSVGKISRPLPALLGDGQPVDLFRLFWVVKKKGGFNSVSESGLWGSVAEECFLSATLTASLKMLYLKYLKEFDGWLQQVFRGKISENYVVEILKKLELLKVEAKELKGLLWSDEDKKRDDGELGLNFDDKTGSSVEVTNGKHRSQLSDGSIFNKVHHNKKFFYDETESCVDFGTCTNGLLLCNGCDDLNKVDHIVESSNDSEKYMCIQSDESIMSSAKCTDDNAMSSKRIKLGLKVGVDKVQDKVEASRSDNDNKELAGQDDYDILCLARSVVNNVVSSMKSEFCSLPEVDNVKNTCDDDENFDDCDLILSARRIADKFASSQDEGKNMWPSEAINGVRGNAESNRDDCGKVQVREDASNELSMPSVGNEVALSRKRKWESTSLPEMLNWITHAAKHSDDPAIGKVPDPSKWNDLETDECWMQALLAREALLIKRPTANFEEAPLQFCPCCNQGASSQSKVASPRKAQTHDRKLKSSAEVSSSPALDDSHKEVPYERHVSVGPTYQAEVPKWTGAVSVSDSKWLGKRVWTPEDENEKTLVEKHPIGKGRETSCDCSLPGSSSCVGLHIAEKRLELKRALGGLFYCWRFDRMGEEVSLSWTPEEKKRFKKMVLENTAHNKFWKNAFKLFPSKTREKLVSYYFNVLVLRRRSYQNRVTPKHIDSDDDETDFGSVGGSAFLEGSNLPECSLSMQNTDLE >KZN09110 pep chromosome:ASM162521v1:1:20340267:20340906:1 gene:DCAR_001766 transcript:KZN09110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRVQALMSIKAALKDPHGVLAKWDSDAADPCSWTMVTCSPDYLVVGLGTPSQTLSGILSPSIGNLTNLQTVQVLNRKFICRGTSSQSLSGK >KZN11481 pep chromosome:ASM162521v1:1:45079336:45084320:1 gene:DCAR_004137 transcript:KZN11481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALMLAGDFVKAGIVGNLRSWSCAMSITNPLKKLVSCVILDLDGTLLNTDGIVNDVLKVYLVKHGKQWDGREAQGIVGKTPSEAAAVVVDNYGLSITADELMTEITPMFSDQWCKIRALPGASRLIKHFRGEGVPMALASNSPRDNIETKISYQPGWRESFSVVIGGDEVKAGKPSPEIFLEAAKKLNIEPSKCLVIEDSPTGVMAGKAAGMEVVAIPSLPKQSHLYTSADEVINSLLDLRPEKWGLPPFQDWIESTLPIEPWYIGGPVIKGFGRGSKVLGIPTANISAKNFSTELSEHPSGVYFGWAKLSTRGVYKMVMSIGWNPYFNNTEKTIEPWLLHDFDEDFYGEELHLAIVGYIRPEANFSSLESLIEKIHEDRKIAEAALVLPLYSKYKDDKYLRSPANGENGQ >KZN08553 pep chromosome:ASM162521v1:1:10290038:10290494:1 gene:DCAR_001083 transcript:KZN08553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWYLIINEDVNIQPLNQNLNPLHEATLNSFALESQVFEVQHEQKKGRWKFLHP >KZN11238 pep chromosome:ASM162521v1:1:42923613:42925595:-1 gene:DCAR_003894 transcript:KZN11238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRRISTDKTSRRSFHRESKPLHPEDFDDVFGGPPRTVSSRQFSGGEFDRSDHFYDDIFRNPVRVGAEKGSRKLLEFRIPGGEGGGACAGGRRSQEFCSDFLRMDFNEVRRSRSRSKSNSSSASVLSSEEFSPFRPPLSDDDPFSPVYASKLRPINGQSKRDSSARMHHVPERQDETPYFPYSRPCKQSVDDEQTENFRSPFLNFSRRASSPESMSRGAYSYSSVKVSVVDEDDYTNEFHVQGEDDEDDDEISSSYVIQINSGYRERTDEGVGVDEAIAWAKESYRSQSSPEESSASITAQEYPSKVKSEEEQRKLDESKKMELLEEDIKLWSSGKERNIKLLLSTLQDEAWSAYKLQDIIVSARG >KZN08759 pep chromosome:ASM162521v1:1:14939878:14942268:1 gene:DCAR_001415 transcript:KZN08759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDEFRRILSDSAVDIWSFIDTAIAVASSDYGEELKDRRDEIVQRLYACRNCGDQVNEHRRIVEPVVKVDNSQAKLKHSPYTPQSVHREEDVDVVNDDEEEDPYAGLFDDDEETRILRIKDQIEDPDQTEESLVELLQGLADMDITFKGLKETDIGRYVNRLRKHESNEVRRLVKQLVRKWKDLVDEWVKLNPPEIPSSTIIADGESPPMNMRRNLPNGHQVPDFAYSPNPNNGSSGSERNNSEPEQKPKSVPRRELVAKPTYRPTAGSASAPPLNRPHKETAIDPDRLASARKRLHENYQEAQNAKKQRTIQVMDIHEIPKPKNGYIAKNKGGFHGRNHR >KZN10248 pep chromosome:ASM162521v1:1:33847263:33848858:1 gene:DCAR_002904 transcript:KZN10248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAAITTCFPYILLFLSFSSTFTSAQDPTHNFLQCLNHHSHDISESVYTRKNTNFSETLLYSINNLRFAKPDTPKPLVIIRAVSESQIQSVIYCSKKHHLDMRIRSGGHSFEGLSYVSPLPFVILDLVNFKSFSADTKTNTAWIGSGLTNGELYYKIGETSGTLGFPSGLFANVGVGGILSGGGYGMMMRKHGLAADHVIDARLIDSNGRILDRKAMGEDWFWAIRGGGGGSFGVVISWKVRLLPVPKKVTVYKVYRTIEQNLTSIFHRYQSVVPKFPKELMIKADGQSIVSNLSRRADKRTMQFLFEALYLGSADKMLSVMQGQFPELGVVREDCFEVSWLQAMVYFSGFDLFTPPEILLNLTVLPRPAFKSNNDYTEVPIPVQGLEGLWDLMYKLPPTKATLQFTPYGGRMDEISESALPFPYRAGTLLKFNRFAETDTDEAERMEWIKTLAKYLTPYVTKNPRSAYVNYVDLTMGSNNLKGATSYQQASRWGKRYFKNNFDRLVKIKSAVDPDNFFRHEQSIPPISI >KZN09494 pep chromosome:ASM162521v1:1:26011259:26017602:1 gene:DCAR_002150 transcript:KZN09494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKIFVAALLVVSLIAPAISEIIFEERFEDGWHKRWVKSDWKRSEGKAGSFKHTAGKWSGDPDDKGIQTASDAKHSAISAKIPEFNNKDRTLVLQYSIKLEQDIECGGGYIKLLSGFVNQKKFGGDTPYSLMFGPDICGTQKKKLHVILSYQGQNYPIKKELECETDKLTHFYTFILRPDASYSILIDGRERDSGSMYTDWDILPPRKIRAVNAKKPADWEDREYIDDPNHVKPEGYDSIPRTIPDPKATQPDTWDEDEDGVWKPPRVPNPAYKGPWKAKKIKNPNYKGKWKIPYIDNPEFEDDPDLYVMKPIKYVGIEVWQVKAGAVFDNVLICDEPEYAKQVVQEVFANREIEKEAFEEAEKVRKAREEERDRHDYMDDYHDEL >KZN09951 pep chromosome:ASM162521v1:1:31088922:31091250:1 gene:DCAR_002607 transcript:KZN09951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVNAPVDQICINNKLTDDELISILSKLETQKDKEVFGLVCKRWLFLQSSQRRKLCVRAGPHMLQKMAARFTNLHHLDMSQSVNRSFYPGVTDSDLSVIASSFGCLRILELRDLKGITDIGLAKIGSFLPHLQFLDVSNCKKLTDKGLAAVAKGCPNLKSLHVGGCRYVTDALLKALSIHCHNLEELVLQGCTCITDSGLAFLVKGCQKIKCLDFSKCNNVGDTGICGISKTCAASLKILKLSDCYKLGDESMLSLAKYCNNLEVLIIGGCRNLSDKSIKLVATACSVNLKILQMDWCLNVSDSLVNCILLQCRSLEILDINCCDEVTDAAFQGLGSEGSELSLKILKVSNCSKITVVGLGMLLGSCKTLEYLDVRSCPHIQKSVCDEAGLQFPTCCKINFSGSLAEPAVLI >KZN12035 pep chromosome:ASM162521v1:1:50466427:50468764:1 gene:DCAR_004691 transcript:KZN12035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPVSPVSADQVGYCFVQQYYQVLQGQFDYVHKFYNDASTIIRISEDSTHTASGILQINKLIMLLDFTGIEIKAINSLESWSGGVLVVVSGSAKSLKFRGRRKFMQTLLLAPQEEGYFILNDIFHFLSTEVIHQHPESLVLESRVDYLHQTPVLMDARTEYQHPRSLLQVTRADCQHPQPVQLEDGVGPQPAASNIPEGEVEAGGHITSERVEYSLQDQQESAAVCMKKDSAEDSSSLSNEAANHEEEPPYAVGEPQQFTHASILRAPKGKTVTLAAAMTLPEKTSILVSEWRPTLHISSQLPDTSSSSSPDSSVDVVSEGESRSVYVKNLPLTVSALDISQKFESFGRIKPDGVLITNRTDIGICFAFVEFEDVQSVHNAIMASPIQLSGREVYIEERKPSSKSISSMRGGRREEGGKGSYQQEAVRGSFGKWPFGKGVHREVI >KZN10625 pep chromosome:ASM162521v1:1:37727888:37728364:1 gene:DCAR_003281 transcript:KZN10625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVMKNIKKLKFWSRKKKKKVNFVENPAANAPCCYHNHQFQPSAPPLPPYYEQIHEASYSASLNYHTFSRYPSNDFQFAFPSEENDIDPEIKPSHPALPISSTSSSYQQYMVPNPVYGMPVVPTARRERSVGVFGCVFNVGKLLVRFACPCFRITEAY >KZN10010 pep chromosome:ASM162521v1:1:31674213:31674980:-1 gene:DCAR_002666 transcript:KZN10010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPNKTFESEDESEVSSHVASNVSIQDKSPGSNHSTTTSSSLTNSIQLQTGPRINTLDLTLGFTSSNVDETKDTHMHDHNTEENPPHLPPGTMPRVFSCNYCRRKFYSSQALGGHQNAHKRERTIAKRAMRMGMLSDRYASLASLPLHGSAFRSLGIEAHASMHHGVGPQVRPPFHVARGGAKFDQGFCGLPMFVEEDEAEMFWPGSFRQIEQRSIANVSSGFDLGQTSNFVSMAPQRPPPQPESSQPDLTLKL >KZN09589 pep chromosome:ASM162521v1:1:27436367:27439106:1 gene:DCAR_002245 transcript:KZN09589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFVSRKKLKTTQQEDDEKEEEAHKRKGEVLVNLGNESQVLYIPKLFSFQDSSRYLKFLNNQIPWTRPTIRVFGRSVLQPRDTCYVGSAGITELVYSGYKPEAHSWDDYPPLQEIREAVHRALPGSYFNTVLLNRYKAGDDYVGWHADDEKLYGPTPEIASVSFGCERDFLLKKKPDKTSKARKTEGEASSMQRKKNACSYQHSFTLKHGSLLVMKGYTQRDWLHSVPKRAKADSVRINLTFRHVL >KZN08482 pep chromosome:ASM162521v1:1:7589351:7593382:1 gene:DCAR_001028 transcript:KZN08482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDLTEERKNWVRSTGLGPLLDFSLEMIPGNLAYNIFQIFDPNTVSLNLKNQTVYITEQDVYEVLGLPCGGQTITLGSYDYYRDRTQEWSSQFETIAESNHVTVAKLFQMIKKQGVTENFKINFLIVMSNVLIGTPTYSYIDRQLLRLHGNFDECYKYNWAEFLIGYLVNATQTWNETASTFFRGSSIFLMMFYVDRVRHKGIKLVERRFPSFRGWTEDKLKERQAIDVYGGPFGLGYVMVPLREVPSQTPKQYAKASNQNKEAPMQNPDWDDWNAHQNDDVLWEEYENRHKQAAANGCETFNENNDRKDMPGAGEQEEPDIEVDKQNGNPQASGAKDVVENLREMAQDLIETKLLFDTELKLALEKEPTNIGLLDIQQLINDVFGQQKTSNIPRNSSSDTVLEDDFELRQEEVQQIDLIHFVQSAKSTVKTTTLFGEDDKKDEYPSFSLGIDEDIYGNKGEQAATIEEAVSVEESVPIPATEITPKPALREKSTRALKMGRYGKSPFLERVIDISSKITNQEFGIWRFMIQNKNAIEQLFVFNDSDTVLEDDFELRQEEVQQIDLIHFVQSAKSTVKTTTLFGEDDKKDEYPSFSLGIDEDIYGNKGEQAATIEEAVPVEESVPIPATEITPKPALREKSTRALKMGRYGKSPFLERVIDISSKITNQEFGIWRFMIQNKNAIEQLFVFNDYYCIREDMQTLRIGKNLATSVVDTWALILNDAEKFKSDDSPLRLFCTIGCVQPTLDSNTYQLFADNMDDMLIQFNRTRLDIVEMVFFPICAFEHFYLIFYNIKNAAYEIIDNIDREIDAQICYGDKPRMLHGQENQLIKLRIKYNNAILSSQLNQKRKQILKEGKELYIESASRKLVNLVIHSSQLSQEESQSKKTRGQVENKKKVRFARNLSTTFEEADKEMDDV >KZN11609 pep chromosome:ASM162521v1:1:46234281:46238245:1 gene:DCAR_004265 transcript:KZN11609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTNSSNLVPFSLLHCATMFFNILFFLVLVSSTTFVYSFSNNVTDQQALLSFKVSITGPLGVLDSWNDSIHFCHWTGVTCNRKRQRVTALDLSLERLVGKLSPHIGNLSFLRTLYLYENNLYGMIPNEIGRLSRLRHLSLGNNSFQGRFPDNLLHCRDITFINLDNNHLEGKLPTDFSFWSKLDKFFVTSNHINGSIPPSIGNISSLRALNLGGNNLFGEIPSELGHLAKLEDLDLTQNRFSGMVPQSLYNISSLYSLRLAHNVFKGNLPSDLGFIQLPRLQFFNAVNNSFTGPIPASIANASNLVYFDISGNNITGPIPNNLGSLPDIQVLGLGQNLLGENMRPNDWSFFDSLVNCTHLTRLGLHESSLRGELPNSIANLSTTLEGLYLYGNYIYGSIPHEIGKLVNLKVLNFGDNLLTGIIPQSIGRLSKLGRLTLYDNNITGAIPTIISNFTELVTLYLYGNMLQGSIPTQLFNISTLEKVFLDNNQLRGAIPKEIVLLSHCIVLYLNQNLFNGPLPANIGSLKQLVEFDVSNNKLRGYIPNALGDCVMLETLYMEGNLFQGKIPSSFRALKNLAYLDLSNNNISGSIPSFFDGFRQIIFLNLSHNKLEGEVSNEGLFLKVGAFSLDGNSELCGGIKELHLPACPEKALKNKKKAFSWRIILILILVPIGMVLACLLLITYRRRNSKKKLNGPVLVLKDDQYPKLSYQDLLLATNEFSPDNLIGEGRYGSVYKGHLESVEQVVAVKSSQVKAIFINLYQQADGMHSSYNIITRF >KZN10227 pep chromosome:ASM162521v1:1:33699524:33704529:-1 gene:DCAR_002883 transcript:KZN10227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMGLQDNCKLTMIGCCQANFQGDGKSIASVAETNNGVDSVNGIVHSKKGQKRLKTKKKKNMLELNRRVSIKNKNWIQLVYDFSEYVGKRIYWIPKLQHIKWDGMTVPDLHLHVIAYETPKYGGHHFSLGSYSTSEHTKSTIKKPMWVEDLHEKQTLPDLDTAILAINSAAAAKSLFEELVLPSVSTARLCSLYMFLALTWRLFAISVASLSTLIYVVLQFIRILLSCGSKSCIHIISTKLFSRTYKNVQFRCCQILYWPIFLQNSAHRSTSCVEYAEKAALRKHSMWSNLAVDVLFGNILGVLMLSHSGSVTSWTLKLFANITNYVLRMGCVSLMGNPAGFKLNNELAIVLGMLSLNAIQVWSTICFFMSSYFLYFIRGVAICGILFGLTTSAALIVDFITFATIHVRCLHWLISLIFSHQLQAVAALWRLFRDQKLNPLRQRLDSYDYTVDQHVVGSLLFTPVLLLLPTSSAFYIFFALMGTTVSFVCIFIELAISAIHATPYTKVFLWILMPRRFPSGLWVEIVRCQSDAVNGLETGAVGNLVLFLHSSCLNIWQVVFPHYKFLFSAVSRVSFATSMYGILIGRSLPSAMNNFSSSLTRLPMTLPWMSIPCQEYWKLCYDAVLARKECTLN >KZN10293 pep chromosome:ASM162521v1:1:34287673:34289734:1 gene:DCAR_002949 transcript:KZN10293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKPSPSPPKLPPSHLSLSTDSSALKQKEPLTPLISNSCPSNELMSVVCPSLLYSNTLFFRSAYNVQVIVDDNEPEEKLLGRFRREVMRAGIIQECKRRKYHENKQDEKKRKTREAAKRNRRRRTPFRAGPPERVDTAKSSKKEEDEDNWELPQGGLPG >KZN08456 pep chromosome:ASM162521v1:1:7052921:7055523:1 gene:DCAR_001002 transcript:KZN08456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTWLAIAVVLAYLLQTWLKKKTIGRNLPPGPRGLPILGHLHLLSKNPHQDLQKLAEKHGPIMSMRFGFVPNIIVSSPQAAEQFLKTYDLNFAGRPSLEASKYISYGQKNLSFSTYGPYWRNMRKLCTLDLLSNLKINSFQSMRKEELELLVDDIKIAARERTAIDLGTKVSSMISDMTCRMIFGKRFEDKDLRGRRFHAVIQEGMQLAATFNLGDYFPYLGVLDLQGMTKKLKAIAALFDSFLEKILDEHEQSKEDKQTKDFVDTMLDIMKSGEAEFKFDRGHVKATLLDMFAGGIDTAATAIEWLFSELLRNPRVMKKVQKELEEVIGTDKMVKESDLESLKYLDMVIKESFRLHPVAPLLLPHECIEDCTIDGFYIPKKSRIIVNTWAIGRDPKVWKDAETFNPERFVGSTIDLRGRDFELLPFGSGRRGCPGIQLGLTVMRLVVAQLLHCFDWDLPNGMQPSELDMTEEFGLVVARATHLVAIPTCRLHQS >KZN08750 pep chromosome:ASM162521v1:1:14791383:14796102:1 gene:DCAR_001406 transcript:KZN08750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKPQLLLYQQTNLFTAKSTLSPLHTTFAYNNLIDRKREICNAKKKTHSTAECCVRGESSKLNAVASPGVPAPLAANAPRKTIKITTTVIVQIKDDGLLDYIDNIMGRKILVQLVAAEDNYNNDKIIEGKAYKEGMEDDNDIEYKCEFDVPEGFGDIGGVLVENKHGKEVYVRRIYLDGFPGGRIVVSCKSFVQPSTKEETIKRIFFSNKSYLPTNTPSGLIDYRAEDLRALRGDGNGERKYGERIYDYDVYNDLGNPDKYEDPEKNHGLKRKVLGGSPELPYPRRGRTGRPRCKNDKTAESLTTDNIYVPRDEYFSEVKMENHTDTTKGGKTQAFVPGMENFIIGKELGFPYFTAIDELFNEDDDLSEKFQEKLKNVFLAIINFLPSWGKKVLRFRTPSVLDRDKFIWIKDEEFGRQTLAGINPCSIQLVKEWPLKSNLDPAIYGPPESAITTEVVEMVMLGRITVDEAIKEKRLFVIDYHDLFLPYVHKVRDLEGTNLYGARALFFRTPLETLKPIAIELVRPKGRGKDQWKQVYLPGWDSTSGWLWKLAKAQFLALDSGYHQLISHWIRTHCSVEPYVLATNRQLSAMHPIYRLLKPHLRYTMNINSLARQDLINADGIIESSFSPGKYCMEISSGAYRELWRFDQEGLPADLIKRGMAEKDPNSDTGVKLTIEDYPYASDGLILWKIIKEWVSAYVNRYYPNESYIKSDNEINGWWTEIRTVGHGDKKDEPWWPKLENQDDLTEILVTIIWVASGHHAAVNFGQYDFAAYFPNRPTISRTKMPNEDKTDESWKSFLSRPEDEILSCYPTPIQVGKVLATLTALSNHSPDEEYIGQEPEPSWTEDEVINLAYELFYNRLLELDGNTRECDSHNRVSYRAWFS >KZN08430 pep chromosome:ASM162521v1:1:6672987:6673324:-1 gene:DCAR_000976 transcript:KZN08430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLNRIGGFVNSCTPDQYYYERRNEKSRGVDVGGARGAVAGGAVARGELGYSSTAAEPGMKFRGLKLGSKRDC >KZN08962 pep chromosome:ASM162521v1:1:18187113:18190732:-1 gene:DCAR_001618 transcript:KZN08962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPAMTVGAGLDMPIMHDSDRYELVRDIGSGNFGVARLMRDKHTNELVAVKYIERGDKRILNVQYSIPDYVHISAECRHLISRIFVADPAKRINMDEIKNHDWFLKNLPADLVDENKLNQYNEPDPPMQSVDEIMQIIAEATIPANGGNNLNQYLTGSLDIDDDMEEDLESDPDLDLDSSGEIVYAM >KZN10725 pep chromosome:ASM162521v1:1:38468553:38469053:-1 gene:DCAR_003381 transcript:KZN10725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLMELFCLRQLRVFHLIEAEVTYDKADWISRFPESGTCLESLIIYCVKCPINFDALENLVARSPSLKKLRVNRFVTLEQLYRVMIRALQLTHLGTGSFSPSENTCDQGPDLVSAFAACRLLVCLSGFREIVPDYLPAVYYVLSANITSLDFSYANITAANLNQS >KZN07838 pep chromosome:ASM162521v1:1:56557:60502:-1 gene:DCAR_000507 transcript:KZN07838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALISQSLIKYTTNNNDNNRFGSSFSSIWNQSSLFNRLPPSTSLTFCSKTRYRPRQSGSLAVVSAFNSYDVVIVGAGIIGLTIAHQLLLHSDLSVAVVDAAVPCAGATGAGQGYIWMVHKTPGTQKWELASRSRLLWEEFAQTMTHQGLDPLHLLGWNKTGSLLVGKTAQESVILKQRVDELSKAGLKAQFLSATELLVQEPALALEKEGGAAFLPDDYQLDARQTVAYIEKINRQYTTAGRYREYYHEPVKCLLRSGSRGEITAVQTSKNTLFSKKAVVIATGCWTGSLMPNLIRDLGVELSFPVKPRKGHLLVIKNFDFFKLNHGLMEAGYVGHQNATLESAVSGPLSVNHAEFTSISMTATMDTMGNLILGSSRQILGFNTDVDESIINCIWERAGPVIGPVPGLSNVFVAAGHEGEGLTLAMGTAEMITDMVLGNHLKVDHKPYVVQS >KZN10249 pep chromosome:ASM162521v1:1:33861450:33863045:1 gene:DCAR_002905 transcript:KZN10249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAAITTCFPYILLFLSFSSTFTSAQDPTHNFLQCLNHHSHDISESVYTRKNTNFSETLLYSINNLRFAKPDTPKPLVIIRAVSESQIQSVIYCSKKHHLDMRIRXTREFLEXLSYVSPLPFVILDLVNFKSFSADTKTNTAWIGSGLTNGELYYKIGETSGTLGFPSGLFANVGVGGILSGGGYGMMMRKHGLAADHVIDARLIDSNGRILDRKAMGEDWFWAIRGGGGGSFGVVISWKVRLLPVPKKVTVYKVYRTIEQNLTSIFHRYQSVAPKFPKELMIKADGQSIVSNISRRADKRTIIFLFEALYLGSADKMLSVMQGQFPELGVVREDCFEVSWLQAMVYFSGFDLFTPPEILLNLTVLPRPAFKSNNDYTEVPIPVQGLEGLWDLMYKLPPTKATLQFTPYGGRMDEISESALPFPYRAGTLLKFNRYAETDTDEAERMEWIKTLARFLTPYVTKNPRSAYVNYVDLTMGSNNLKGATSYQQASRWGKRYFKNNFDRLVKIKSAVDPDNFFRHEQSIPPISV >KZN09999 pep chromosome:ASM162521v1:1:31516936:31518553:-1 gene:DCAR_002655 transcript:KZN09999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEMRVRVLGFLIESAHIHDSGPISVKRLKSLGDKTIQEQHFTIRDFLEAEVVLLQVLDFDIGTLNTAFIFLKDLIIQFKGVAKVGEQVNFEACMDIMDICYEKEQTSILFSSPISLAASILACSLIISYFEFPVLISGVLTFFLLRFQFYAGRFICHNNS >KZN11287 pep chromosome:ASM162521v1:1:43422983:43423777:1 gene:DCAR_003943 transcript:KZN11287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASMALSSPSFAGKAVQVAPSSSELFGNGRVSMRKTVKAPVSDSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >KZN08450 pep chromosome:ASM162521v1:1:6953169:6954050:1 gene:DCAR_000996 transcript:KZN08450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWILITLAVVFIAYLLQAWSKKEQRQLPPGPKSIPLLGHLHLIGKNPHQGLQKLARKHGPIMYIRFGSVPNIIVSSPQAAKQFLKVHDLNFASRPSHDAAKHASYDQKNLSFGEYGPYWRSMRKLCTLELLNNVKINSFQALRKEEIRLLVESIADAAGEDAAVDLSAKVSSMSANVSCRMIFGKKYEDKDIDGRGFKGVIEEGMKLASVPNIANFFPFLGKLDLQGFTKRMKAVALVFDRFLERILNEHEIPKDSGQTKDFVDIMLDIMKSGETEFDLDRSHIKAVLLVDH >KZN10606 pep chromosome:ASM162521v1:1:37513219:37513575:1 gene:DCAR_003262 transcript:KZN10606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSYCPDCKKTTEVVVDHSAGDIVCSACGLVLESHSIDETFEWRFFDSGLGDYKPVRVGGPTNPLLVDSNLCTFISKPNGVTSDSLSLSLGLSRNRSSYSNPSLITAFKTIGAMSNR >KZN09109 pep chromosome:ASM162521v1:1:20330687:20331895:-1 gene:DCAR_001765 transcript:KZN09109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLARGVRAKSKMNPKGLNGGEDIGHYDSFVIINLPDSVVLRVVSRSLLLAIFILTLPLIGYISGRLSSENVFDITGFESFPEIFQDLANEGLVKMGQKGLILSSGVGRPVENLQFLYNNDIELVVESDLDGKSSFPDESFDIVFSLSLKDSKKLVDRILRVDGIVVMGLDNGSAHEFQRDSNYKVMYLRRFDVTVLAMRKTDHMNQAQYFGVTRKLFEWMPESKKDALSGLENVWLEPPRKELAKSNKLRKFRYLPDLTGDSLENYPRRIFITDESTRSVEWFEKNYPTKNQDFQIYNLDTNMNNEETKRKGVKNEAPSTTEASDWMVNNVKDEDYVVMKAEAEIVEKMMIKKTISLVDELFLECKNQWQDGSKSKRAYWQCLTLYGQLRDKGIAVHQWLN >KZN10340 pep chromosome:ASM162521v1:1:34706646:34711647:-1 gene:DCAR_002996 transcript:KZN10340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFPENTVAADMEIAESQVEAQMDYGTGGDDNGVTAPDAPPNTTETEPATTYVSQPENSNVVMDDNGVIAPDAPPTEPATTQPENSNVVMDDQKIDNGADAVYAPLTHQPEAVASELAEAVPSGVVNNNNDEKNGNESDDVMVTENDDPNAFGNIDSNQFVSPNVVGVTPSAVSNQDTEVVNYQNNGSAAVGEAVKRKRGRPRKYPVTTEGASTVPVASPSLVNSPLQAKSLEKRGRGRPAGSGKKHKAGAPSSLTLSPAISGLSIGAAFTPYFITVRSGEYVQPKLLSLSQVDKQVVCVLSASGNLSTVTLQQPSSSGGTVTYQYVQPKLLSLSQVDKQVVCVLSASGNLSTVTLQQPSSSGGTVTYQGCFEILSLSGSYLLSESGGRRSTTGGLSVTLAVPNGCIFGGGVAGPLIAGSDVQIFVGTFLASEQHLTTPRCNEVLTAPANYISSAEESPPSRALLSEPSGAGGNPYSYSNGVLNNGNQEPVPKVAWM >KZN08473 pep chromosome:ASM162521v1:1:7422752:7426432:1 gene:DCAR_001019 transcript:KZN08473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTTRFAGERDIVNPLDTESLSDAQADQYIRLLSSFYKYAKMDCSDLKYCDNIPFLIPEVPKQVDNESCGFFVLFYTTLFVKMSPDRYSLMEHYPGFFSQKWFTRGQFEDFKTALIKVFRDEHEYQMEASEHSTEDERRSDSCILELIKQICVNCNLISSAVGSKDSMNVVRDLTTTTDYAGKKVLDECPLSVEIPNVKKKKKYNDSIRGPGISAKSNADEYDWDTIKKNTFAGKEWNTTGVLQNQGKEWDTDQNQGKISDHATKNQHVLSGEENTLKNDMIKDKYSLLESLLDSYHSIQSTLMSHIISAEKESNKDEKIEDIKFAFVELNNEANHFILNSGTTNG >KZN08709 pep chromosome:ASM162521v1:1:14123861:14126738:1 gene:DCAR_001365 transcript:KZN08709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEAHFLFLFLVMLSAMHFVAAHDRRRLSNTISRGSSLTPTGNSSWLSPSGLFAFGFFPQGHNRYGVGIILAGLATNKTVVWTANRDYPPVSDNVTLRFTKDGRLVLQQQSQEIIWQISHQNVSSASILDSGNFVLYDCENKIIWQSFDEPTDTILPGQILSEDQELSSRISESDFSTGIFRLKLQFHSNLVLYPANTVDRSFNSYWSTNVPAGVLYDVTTLNLNPDGHLFLLRQGSFVEATRPYILANLTQGFNEKQRLYVVKFDFDGILRLYSLSLDGKGKGSSVVWESSKNRCDPKGLCGLNGYCTLTDDDDDPKCLCPGGFNYVSPGNRNEGCERNYTVHCGSNKERTIQYSMTQLQHTWWENDPDSVEQMRSKEECEAACLEDCECEAALYKDGDCKKLSLPLRYGRSSMNDSTVAFIKWALPPPGNMTKNSKDKLVVPVLAAGSGGGLALMLVFLVIVYCGVRQHQRVKNKKLKENFFERNGGIILQQLLYQSESILDRARIYTEEELKKATNNFNESNVIGQGGYGVVYKGVIADKVYAIKKSKVVDRSQVDQFVNEVIILWQINHPNVVKLLGCCLETPVPLLVYEFVTNNTLFHHLHDEGLASSIPWTMRLKIATETAGALAHLHSAPVHIIHRDIKSANILLEDGYSVKVSDFGVSRLFSPQETHLATLVQGTFGYIDPEYFHSGILTQKSDVYSFGVVLAELLTGAKVVSFLREEKDRNLGMYFLSKLEEDRLYTILEPRVRNEGNAVQLEGAAEIAKKCLSIEGAKRPTMMEVAEELAELRELTIDDHLCVEVDYNGREFKYRRDPKKSLPHLGQEKYEVISERTCCPDVWMLILHKNSLKCKLNEIELPAAALCQSRQTKIEKRTVDVHYHEVTLNKPPE >KZN08992 pep chromosome:ASM162521v1:1:18758464:18761875:-1 gene:DCAR_001648 transcript:KZN08992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYDMNYALPTDALEIGEKLGNKTDRPRDMYYVRFLMMLANHVDDKLVITNKEANVDSFVQEKRIFKDLARMKLYETLEVVYLPIIETGNGKKVYGFPNTPSQPSPALLSVITAAENDQQQPTQVAQPSKSKSKKPTSGASQKAPVVKTKKHTPEGSLKGVSEGEGHGEHQRNSENKDGEKSENQPSHFVVSQKTTEINKDLSSSVATSSQKDVIENSPQPGAQLNRGRDTSPIKAYWRKKTKGKSEHKTAHTSQDKIPDSIPETSQIQFDVTPVNVESQPPSTSSIPISSIFDLTISQPQTLSPTSSMDVDLHQLKAASMNVSIDDSHLLTATVTSNEIPASTVADHFIAKTLLGLREGSDRMERQPWELAKGEQVESLAISSRQEKGEELSGSLARTSEGEVSSVVSQGDPLMQEQRENERNAGVNEGPKVENLNSTINAVNNDLSAKIVERLPSKAVSAMSDSERRHAKLKKQLDTLESTVNVLDARMHEMLQHQRVHTDLLQHLLMASDIFVPRPPALDENKKEEKEPLTSPAELVARIQLPYFTTNELKARSKRDSIKERLDQLMAKKSSSTSQSTATVVPTSLPTTTTILRVITPEIVIPSKKEKGEPSILNEFKAILSPVNSEYAKPGKDSNSIYFPPARPDKNEYKLLGLEIKSYKDSTDEALKAHFAIIYREGQKLFIGAGHPHYSFAKAEEVARECERKERESQLAINKEIEVDERYAIKLMKELEAELLNENRDSPKQAPKKKPRLKAKTKMPEAAKGREEEPVQTSKLSSPIKETAVEFPDVNFFEEPIIPKVEKIDLKDIPIPAFLVKETEKPKKK >KZN10332 pep chromosome:ASM162521v1:1:34654848:34656236:1 gene:DCAR_002988 transcript:KZN10332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAEHGTGALLEQVDVIQDWGQGILAIGTFGYDPSPNDFNQQVQMFHMFENEDELELLEEEGEKEDGVEGENKICDHDQGRELNPLVLKASKHGFSNEDNVCDHDQNAIKSEITILSVDDVENSECVVREVYEKKERTTLADLFMADSDEYKDQGEVVNKPKSIKKAEGAENSKFKLPFAKKFIPLMKQDNSCSSSHPCGIKKIHKMMRRMLKKKVHPELESKRQKPFKYGGNEVMEMASLLQTQDAIICP >KZN11272 pep chromosome:ASM162521v1:1:43294887:43296245:-1 gene:DCAR_003928 transcript:KZN11272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGLILRASSLSNRQINVVSRSETNIKPDSLTPLNLRHYKLPYHDRMKPDFYMPFLLFYPNPQPSDHKTSIAELLQHSLSKTLSKYYPFAGRLGSSGSYVDCNDEGVHFVEAQIGCNLSEILEKAPVEDEEEGFGQLAQLFPPSSIWHKVSGSPLVLVQLNHFSCGGLAVAACLSHRVADGGTLLSFLSYWANMSRNPSDHEKLAQLEPCFVQGVLPHSYDDDSVATEVLLPEKNWITTEIVFHNSKIAELKAAQQKQDKLHNVVEDQKYTRNELVTALLFRCAVAAATSNSEAFPNSVLFQVVNVRSLIDPPLPQTSVGNLVSSNHIAASSKSETELHSLVGQMRKGKKQLAGIKDLVRKEVLPLFDKYAKNSYKFYVVTSLCNYPVFDEMDFGWGRPVGVTPVDAPFGNFFLLMDTPNGDGIKATVSLGKEDMKNLRANEELLAYASF >KZN11426 pep chromosome:ASM162521v1:1:44534361:44535470:-1 gene:DCAR_004082 transcript:KZN11426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQITLVVLIQLWTATFLYDAGWVKILMIAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRCLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGVDMDIPTLTEAHIVTNVIAKSIWVIFQLFFYALRPLFVKPKPPGIWELINFTIQLALDASVVYIWGWKSFGYMILSTFVGGGMHPMAGHFISEHYVFKEEQETYSYYGPLNLMTWNVGYHNEHHDFPRIAGYKLHKVKEIAPEYYENLDSYRSWSQILLIVREIMKLTCNRNPLFLTLMI >KZN12062 pep chromosome:ASM162521v1:1:50699232:50701090:1 gene:DCAR_004718 transcript:KZN12062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYMRKAKITNDIALVTDLSQSNNNTSVRTRAKTLALQQQEKQAAAASNCSPCVNSSDPSSYLQLRSRRLERSNFFGAVKLKKCGAEESFKGQKTECSNPRIKNVKAGLISCSSDEKGCFGDVAFSEHFMIFEATAVVFFVTRESTPCDLIRGSDTIGTPGSSTRPARPVASNQRSWNMMQQIPTSREMEEFFAFAQQEQQRLFTEKYNFDVVNDLPLPGRYEWVSVHP >KZN08260 pep chromosome:ASM162521v1:1:4357631:4358984:1 gene:DCAR_001325 transcript:KZN08260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNWSAYNISALWSYARDGKRLSCLSQRNNTSIVGIVGASNLRINLGSDSSCWKRTTRFIRGSHASCYELTSIRSLQVSGRYGIHSLTEGMRYNVLIVVELLQTCITGPLTCTLIDSTGHRHDSKKYYCSQMVKNQIISLHVGQFCARKSLFGYGEINFSVVNTDKERKHGIVVIGALVVPVKSAAVAEAKESKLSTDDKEEGQLYESVEVCLLQPLQLQQTIHPLVMHYKDITISD >KZN11000 pep chromosome:ASM162521v1:1:40982081:40987688:1 gene:DCAR_003656 transcript:KZN11000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATQHPFSAIKGKKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQTHVGQSKAKVARDAVLRFRPQISITPYHANVKDPEFNVDFFKQFHVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFSKLFGDKNQENDLNVRSGDASSSSGHSEDVFVRKPEEDIDQYGRRVYDHVFGYNIEMALSNEETWRNRNRPRPIYVRDVLSAALSQQNGDMENTKISDDPLSVSAMVSLGLKNPQEVWSLVENSRIFLEALKLFFSKREQEVGNLSFDKDDQLAVEIVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLRNDTSSYRMTYCLEHPSRKMLLMPVEPFEPSKNCYVCSETPLLLEINTQRSKLRDVVEKIVKAKLGMSLPLVMHGAALLYEVGDDLDEIMVAIYSANLDKVLSELPSPITGGTILTVEDFQQELSCNINIKHREEFDEEKEPDGMILSGWTQALTTENNKNSSAGNGTSTSSQAVPIETVVDDELEILSGETATLHSGKKRKISDSSKASNTSFEEKSKRKADELELDSPIVVLEGSMEKDNGKKKRLQ >KZN09601 pep chromosome:ASM162521v1:1:27490602:27492838:-1 gene:DCAR_002257 transcript:KZN09601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRNLKIKTSTCKRIVKELHSYEKEVEREAAKTASMKDAGADPYDIKQQENVLAESRMMIPDCHKRLEASLADLKGILAELEESNQKEGPEIDEAKTTIADLEKVVETTED >KZN11379 pep chromosome:ASM162521v1:1:44124277:44127660:1 gene:DCAR_004035 transcript:KZN11379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGMKNGVGGQQQNEVMKERAKNRVDDLQGIFCDLQSARKESRTNDVALLEEQVNQMLREWQSELNQPSPASSFQGGVSLGSFSTEIGRLLQLCEEEDDATSGLPAPKPEPDMQKVYSNVVLQQDCRATQVPQQHGYGLVDQCKDSPSGATKMRVNNMDVPIQFDYNLFDLHKGLEQTFYTGFDNVGFHGQDALPQIASFLPSICPPPSAFLGPKCALWDCTRPEQGWNQDYCSSFHGALAPIEGRPGMTPVLRPGGIGLKDNLLFSALKAKTEGKDVGVPNCEGAATTKAPWNAPELFDLSVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYEGRGWHESRKQVMNEFGGLKRSYYMDPQPMKNLEWHLYEYEISKCDAFALYRLELKLVDGKKSPKGKVGKDSVAHLQKQMRKLSAVPPSKPSIDGKFKPNLKDGSSYVYSASNQSDVFDYGAGEPYDYLIEDLSGYYPT >KZN08832 pep chromosome:ASM162521v1:1:16021797:16024167:-1 gene:DCAR_001488 transcript:KZN08832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGYMTISRKKLLHNLDINGGSTERINTWVDSMRASSPTHYKSNPSLAQDHLKSWMLQHPSALDMFEQITKASKGKPIVMFLDYDGTLSPIVNDPDRAFMSDDMRETVRKLARYFPTAIVSGRCRDKVYNFVRLPELYYAGSHGMDIKGPSKGSQYKKNAHESVLCQPACEFLPMIDEVYTALLEKTRSTPGAKVENNKFCLSVHFRCVEEKKWSELAQKVRSVLKDYPELKLAQGRKVLEIRPTIKWDKGKALEFLVESLGYTNFDEVFPVYIGDDRTDEDAFKVLRERGQGIGILVSKIPKETNASYSLQEPSEVMKFLQRLVDWKQLPIRRQFRIKRRLEGVKIIPTPTDQICLG >KZN09072 pep chromosome:ASM162521v1:1:19895275:19896009:-1 gene:DCAR_001728 transcript:KZN09072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQGVFKKAVKYKECLKNHAASMGGNATDGCGEFMPSGEEGSIEAFTCSVCNCHRNFHRKEIEGGHHNHSSSCECYHHLNLGNRSSGIGRKLILGHHLPYPTSAAAALVPSRTAQPHQMIMSSYNNIMASLPSESDEREDANNNNNHQNGVGVGSAGIAMARPPHLLRKRFRTKFSQEQKEKMLNFAEKVGWKIQKQEEAVVQQFCQEVGVKRRVLKVWMHNNKHILAKKNSTNSTPTDQNQT >KZN07991 pep chromosome:ASM162521v1:1:1255158:1258782:1 gene:DCAR_000660 transcript:KZN07991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGENKSKANNGAGGKKRKNRYLPQNKGVKKGGYPLKPGVQGFFITCDGGRERQASHEAINVLDTFYEELVSGRKSGGYVKLQDKPINKKVTFTYSDSDEDEDEVNDQTDEGGKDTSNAYNGSAQEGLCSESHKNQNNDDIKEDVKSREVQTEVQPAKEQCLEQCASESIEEDKNKSDTNNDNSQADLCSENHKSANKDDMKEDANGQEVEAEVQPQKKQCLEKDTSKPEKGISYKVAEKSVDQLIEAELEELKDKSKKRFIFLDTGCNGVVFVQMLKKEGDPSPKDIAQHMMESVASTKKHMSRFLLRVLPIEVSCYSSEEEITRAIKPLIEKHFPVETETPHKYAVLYEARANTGVDRMKIIDAVAKSVPEPHKVDLKSPDKNIVVQIARTVCLIGVVEKYKELAKYNLRQLTSPE >KZN07949 pep chromosome:ASM162521v1:1:927219:928562:1 gene:DCAR_000618 transcript:KZN07949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDGNFQQIWNFRSKDDDIDSSSDDSKSSKGEAKRDLASALIFVGNDDNSDDPGNEKIDQVQPGEGVQSKKPRPKKQPTTKAKEKKSKTETETKADTTKAKAQTTKARGKKSKTERKTEKVSRKGISKRKKKISALNSALLNEFKIFTETIIQDLRVQREQMFAQMKEEMQKLVSVELNTVKTTKPKSRKIAGQVRHVKSTESDMRPWNSSDGTLGRSIVSSWIPDSNNYSNVLDERVTYDHQAVQNLSSNKKEKGEPMGLLAKQPMYTCNQSDQFVASSYVTLPSPPSGKLLEHQNTDSPFRNGNTTALACDRRNMMINAMNHSGYISGAQVEVPFPGFTQMGTKYVRFNDQHCTQNSSTRNGFQLPFHQRLENTFNIPSQLENYSGGNNLLGWRMNGGTMGFSANAQTLSDNIAVNNVRNGMPCKANEEVNRFSIQDLRDGNFN >KZN10919 pep chromosome:ASM162521v1:1:40283525:40288256:-1 gene:DCAR_003575 transcript:KZN10919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDDDEQIYGIQIGTAGSENEVTVGNAKVKIINVPDAQPEEGKLKVLVELTGKVKPRGRPGLDLVLVLDVSKSMNRQDRLEKVKKATEFLIKKLSSNDRVSVVTFARVANKLCGLRQIKKDSEREIMSLVDSINSADGQNILDGLVMALKVLGGRKFTEDRSVAIIVMSCDEQDGGRDAAEVEVANVPVYTFGFGTGRKGNLKEPHVLDSIARKSAGGTFSDVRKGDDLSVAFAHCFARLLTVAVQDLKLVMSPQNMSTVEHVYAGGHEQTIDDYTVSVMLGNLYDRETRKVLVHVRHPVTKVAGLQVLRVSYRYRNELGNERKSPPLHASKKEIKNFTQEEIDDLRDEVSHLEREHKMNGDRTLADISLPTGQDTVTPSSKVATEGDGGNRKQALPTGKVIVKEGTITPSFKLANKGDMAKLPHISTPHMETPLERGETFEKELMNPLPANTVNVKEKAINPSLAVATKGDAKKLPLFSTPYVDTDKKQKPFKRDNISPLLTDKDNAKEKTSPLSSQVTEPGKERKEREPFQKDDKTFKGTLRGYMEKLFLLFTKLLMFFNLHNRTHQEGESLEEDHTSSLPEKNLNVESTQSSSKVATSGVADQKLVTAKGYMEKLVLLFTKLLTFVNQHYRTRQEGHSLEKDRLSSSPISEENVKKTNTQPASKVATSGDAQYNQAESSDKNHKLSPTEENDLKDRTSTTTFLVTVKGYMEKLISLFTKLLIFLNPLNRTHKEGESSEKNDSIAQSELPTTDEDILEDRASSTFSPVSVRGYIKKMFLLFINLLMFFNLHNHTQKEDSLGKDHTSSLPSNEEDVTDKNTQPSSNLAARGYKKKLLLLFTPRINTNQRQTESFQTDDTNSLSADEHHKKERQDFMSRVTMKIMGSLTRISNRVGTQRTKMWTSIQKLGVASFAYCYSPILLVQASSIILILNALRSLTSEFKTTTKVALVSVAAAAVLYMLRVGFSHSKFRVQAPLNIQSESGFDNPSRLSRVPAPLNIQSGSGFDNPFPLSRVPAPLNIQSGSGFDNPFPLSRVPAPLNIQSGSGFYNPFRLFRVLAPLNILYGFGFYNPFRILDIAIISIVVLLVAAHQVYYQPLFEFIDNHAATTFPHSAFINKEYNISLPIGTKPFKLNLFRVVWRTIFMIMTTVTSMLIPFFNDAVVILGAFGFWPLALNFLAEKYVVHKRIPEWSSRWIYLQIMSVACLIVATTAMASSFVAVVTDLEVYRPFQRS >KZN08444 pep chromosome:ASM162521v1:1:6909108:6911402:-1 gene:DCAR_000990 transcript:KZN08444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMEARRDRVKHNVACGFTKVLKKEEEERRAKAGSNNKHTSTGNGKFEGPDLKSFIQQFPVTAEGYLPTFSITNLLFAAEESGLTGWEGDILVAGVLEKARCTMGQFVG >KZN11840 pep chromosome:ASM162521v1:1:48603968:48608342:-1 gene:DCAR_004496 transcript:KZN11840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFGGKWRILRSLVVIIWSFGLAAVFVSAERGLKKEGLNHNAADESETNYLLKVANFLWQSNESGYQHVWPDLEFNWQIVVATIIGFCGAAFGSVGGVGGGGIFVPMLTLVIGFDPKSATAISKCMIMGAAVSTVYYNLKLRHPTLDMPIIDYDLAVLIQPMLMLGISIGVAFNVLFADWMVTVLLIVLFLGTSTKAFLRGVDTWKGETILKKEAANRREDNGNGGDEADYKLLPGGPDKKTENGTNSSLREEVSLLENVCWKEFGLLCFVWVAFLAVQITKNYTANCSTAYWVLNLLQVPIAVGVSLYEAVSLYTGRRRIASKGESGTNFTVGQLLVYCFFGVLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMMFSSSMSVVEYYLLKRFPVPYALYLVFVATIAAFVGQHVVRRVINILGRASIIIFILASTIFISAISLGGVGIVNMIGKIQRHEYMGFENLCKYGA >KZN11955 pep chromosome:ASM162521v1:1:49651827:49656902:-1 gene:DCAR_004611 transcript:KZN11955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEAPLCYVGIVKKSPAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGLEKPNPWAFDTAQFDSILKKLKVQATEVKNAEDPVPVVKSTRPQGRYKRREKGKLVQSYTSKDLEGILVKKSEESSASDQDRRLETVEQLESDVLVAEVNVPKEMPVDWWGYKSGFVSGGFLGAQSKKRKLVSAEHTRNPHERIAFHEEDQENLYNLVQNKATTGKQGLGIKDRPRKIAGCHFEGKKTSFDDSDDDVDDDSPADSSSPTQMHVDSETERSDEPKPKLKKLCRQLLRKVPGESLKLKQLKVLIEQQSSSTFSSFSSKRDALDFLKQKLEGSEKFVVDGKRVSLK >KZN10221 pep chromosome:ASM162521v1:1:33661977:33666588:1 gene:DCAR_002877 transcript:KZN10221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRRSKLIYLLCLFSYALSAIAAKSYYDILQVPKGAPDDQIKRAYRKLALKYHPDKNQGNEEANKKFAEINNAYEVLSDNEKRGIYDRYGEEGLKQHAANGGRGGGGGMNIQDIFSQFFGGGGSMDEEEKIVKGDDVIVELEATLEDLYMGGTMKVWREKNVLKPAPGKRSCNCRNEVYHKQIGPGMFQQMTEQVCEKCPNVKYVREGYEVTVDIEKGMRDGEEVVFYEDGEPIIDGEPGDLKFRVRTAPHDVFRREGDDLHATVTITLVQALVGFEKTIKHLDDHLVEIGSKGIIKPKQVRKFKGEGMPLHLSNKKGDLYITFEVLFPTSLTEDQKTKVKAILG >KZN09368 pep chromosome:ASM162521v1:1:24276285:24297851:-1 gene:DCAR_002024 transcript:KZN09368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGEGSDRPPQRRLVRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNQTQSVEVDREILEAHDKVAEKTEIYVPYNILPLDPDSANQAIMRYPEIQAAVYALRNTRGLPWPRDYKKKKDEDLLDWLQAMFGFQKDSVANQREHLILLLANVHIRQFPKPDQQPKLDERALNEVMKKLFKNYKKWCKFLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYEVIAKEAARSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCSPVEKLPFDKSLDNKPANRDRWVGKVNFVEIRSYWHVFRSFDRMWGFFILCLQAMIIVAWNKSGSPSLIFNASVFKKVLSVFITAAILKLGQALLDVVLNWKARQSMSFHVKLRFILKVLSAAAWVIILPVTYAYTWENPPGLAQTIKNWFGSGSNSPTMFILAVVIYLSPNMLAGILFLFPIIRRYLERSNYRIVMLMMWWSQPRLYVGRGMHESAFSLFKYTMFWVLLIVTKLAFSYYLEVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNGCLIPEERGEAAKKKGLKATLSRKFDAIPSNKEKEAARFAQLWNTIITSFREEDLISNREMDLLLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNGKDRELKKRIESDNYMSCAVRECYASFRNVIKFLVGGDREKKVIEYIFNEVDKHVELGNLITEYKMSALPSLYDHFVKLIKYLLENKKEDRDQVVILFQDMLEVVTRDIMMEDQISSLVDSIHGGSGHEGMTPLDQQYQLFASAGAIRFPTPESEAWKEKIKRLYLLLTVTESAMDVPSNLEARRRISFFSNSLFMDMPSAPKVRNMLSFSVLTPYYTEEVLFSLHDLEVPNEDGVSILFYLQKIFPDEWNNFLERMKCDREEDFRSLDEALEENLRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEDLMQGYKAIELSEDHMKGERSLWTQCQAVADMKFTYVVSCQKYGIHKRSGDPRAQDILRLMSGYPSLRVAYIDEVEEPSKDRTKKVNQKVYYSALVKAAMPKSNSTEPGQNLDQVVYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLEEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQPAIRDNKPLQVALASQSFVQIGFLMALPMMMEIGLERGFRTALSEFVLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGLELMILLVVYEIFGKTYRGALAYILITVSIWFMVCTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNTGGIGVPPEKSWESWWEEEQEHLHHSGKRGIIAEILLALRFFIYQYGLVYHLNITKHTKSILVYGISWLVIVLMLFVMKTISVGRRKFSANFQLVFRLIKGLIFLTFISILVTLIALPHMTVQDIIVCILAFMPTGWGLLLIAQACKPVIHRAGFWGSVRTLARGYEIAMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRAARNKE >KZN09189 pep chromosome:ASM162521v1:1:21516216:21519061:1 gene:DCAR_001845 transcript:KZN09189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQFFCADFGGENGGTIAVMNLVFVKRIWKGNIVIINDAWIQARGVYCARLLAREFKIHYYTFLIIFHYSIIISCGAQLSFNYSTFSPNTKGINYDGNATASNPGIQLTLNQNDRGLNQSSGLATYFEAMHLWDNKSGSIADFSSNFSFKIDSRGLSNYSDGMTFFLAPVGFTMPRKQQGAGLGLVYVDQNFNSSLIQFVAVEFDTHANLDYPVDPPYDHVGIDISSMTSVKTMRWRNRIPDGFMNEAWISYSSSAKNLTVSFTSFVDSEPSIESLWYEVDLSKCLPERVVFGFSAATGRLYSEIHTIHSWNFSSNIQINEDNTTPPETAPKVTISLRPKKKNSEKVVVGLVAEIASGRHPINSKGKQGEVLLVEYIWLLYGQEKILEAADPKLSSDFDDKELTRLLIVGLWCAHPDHKLRPSIRQAIQVLNFEVPLPRLSSTMPA >KZN10858 pep chromosome:ASM162521v1:1:39611435:39611911:-1 gene:DCAR_003514 transcript:KZN10858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQGRLSQEQSYRGVRKRKWGKWVSEIREPGKKARIWLGSYEAPEMAAAAYDVAALHLRGSAAHLNFPELVGSLPKPVGSSHADVQRAAQEAAMGFGKSENRGPVTVQLSSSEIRAINESPLDSPKMWMEMGGSVFLEERRDEEQLEEMQCSSLWKF >KZN09140 pep chromosome:ASM162521v1:1:20808728:20812637:-1 gene:DCAR_001796 transcript:KZN09140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRISNDMGADNTDSTSLHSLPHGPEELPYIHKVGVPPKPKLLKEITDTLKETFLPDDPLRPFKKQPRRRKFVLGLQTLFPILEWGKDYNLSKFKGDLIAGLTIASLCIPQDIGYSKLAHLDPQFGLYSSFIPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQDELDPVKNKLEYQRLAFTATFFAGVTQATLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGLLGIRKFTTKTDIVSVMKSVFGAVHHGWNWQTIVIGVSFLVFLLFAKYIGKKNKKLFWVPAIAPLLSVIISTFFVYITHAEKDGVQIVRHIKKGVNPSSVDQIYFTGDYLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCCSAVSNIVMSAVVLLTLVVITPLFYYTPNAILASIIISAVLGLIDLDALISNDKFNSVQHRVLAGRAGARTSAALLITCNYYQTNLIL >KZN11408 pep chromosome:ASM162521v1:1:44405443:44406036:1 gene:DCAR_004064 transcript:KZN11408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAGGEEGQRSLDGRQHNGPSDGSSNRNGRGDGPVYMKSCKAVGHHGNENQRKVSVRTVPLSREESRERWLAREEENRKDMAMMTLKEYEEQKAYEKQKAEKTNLSVSVSEATLDNTNTTQNSKNVTVKKDSYLDKNEKSKDEKYWKAHKKVNIAGFFTDEDNTMKVGAAGPALDLSETSFPVLGKVTKPIKFYWE >KZN09130 pep chromosome:ASM162521v1:1:20502897:20510990:-1 gene:DCAR_001786 transcript:KZN09130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHRSAKDKNKAKVSDSRRWIYQDDDEADHQNDADFDEYDEDLPPQHVLDSDEDDNVDYKLIRTEPKIDSFDVEALEIPGFQRNDYKDVTMGKSIMMALQMLGVVFGDVGTSPLYTFSVMFSKAPVNGDEDVIGALSLVLYTLILIPSFKYVLIVLLANDDGEGGTFALYSLICRHANVSLLPNQQPSDTRLSSFRLKVPSPELERSLKIKECLEASLALKKLLLILVLAGTSMVIADGVVTPAMSVMSAVGGLKLGATGIKQDQVVMISVAFLVVLFSVQRYATSKVGIVIGPALLIWFFLLGTIGVYNLIKYDSSVLKAFNPVHIYYFFVRNSTKAWYSLGGCLLCATGSEAMFADLCHFSVRSVQFTFAFLVLPCLLLGYLGQAAYLMSNHADTTQAFFASTPSWAFWPVLLIANIAALIASRTMTTATFSCIKQSTALGCFPRLKIIHTSRKYMGKIYIPVLNWFLLACCLVLVCSISNIYEIGNAYGIAEVGVMMVTTILVTLVMLLIWQIKVEFVVSFAIIFLGMELTFFSSVLWSVWDGSWIILVFAVIMFLVMFIWNYGSKLKYESEVKEKISIDLMRQLGSNLGTVRAPGIGLLYNELVKGVPAIFGQFLTTLPAVHSMIIFVCIKYIPVPLVPQDERFLFRRVCPRSYHIFRCIARYGYKDVRKENNLIFEKLLIESLEKFIRREAQERSLASDGEEDSDYEDDYASSQVLVAPNGSAYSLAIPLLSAYMDTRQPLVDAYTPKDIKSEDPSTSRTDPKQSLEQELSFLKKAKESGLVYLLGHGDIRARKDSWFIKKLAINYFYAFLRKNSRRGIANFTVPHQQLIQVSMTYMV >KZN09298 pep chromosome:ASM162521v1:1:22726810:22733441:1 gene:DCAR_001954 transcript:KZN09298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLRSKHSLPFATVDVDSLSGSQPAEVQNLVQGNWTKSSKWNTLVDPLNGEPFIKVSEIDEGGIQPFVDSLSKCPKHGLHNPFKAPERYLLYGDITTKAAHMLSLPEVSDFFTRLIQRVAPKSYQQAHGEVYVTQKFLENFCGDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVCIVMEQMLRLLHECGLPMEDVDFINSDGSTMNKLLLEGKPRMTLFTGSSRVADLLAVDLKGRIKLEDAGFDWKILGPDVQEVDYVAWVCDQDAYACSGQKCSAQSMLFMHENWSQSSLVKQLGNLAARRKLEDLTIGPVLTVTTERMLEHLRKLLKIPGSKLLFGGEALANHKIPSVYGAIKPTAVFVPLDEILKDGNYELVTTEIFGPFQIVTEYKDNQLAMVLDAVERMHAHLTAAVVSNDVLFLQARLAHYEVIGSTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREVIYDIGPMPTNWKLPAST >KZN09980 pep chromosome:ASM162521v1:1:31341475:31343895:-1 gene:DCAR_002636 transcript:KZN09980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCARTGGATPKLVLQLMDVRGLTISHVKSHLQMYRSMKTDPNVEEGGSSFVNQSRKKGCKEEEDDNDGSIEEETSVGYLASLQKPLMQVSNSHHSHFGFNTSPKPPAKRARITDQNLQCNQHETMSMNRYSYKSYMGAQKSEEDPISTAFSPAHQFFHNLSLFTHALMGDSYLPEVAEQEDKACTAVRRKRKLDEDPLNPENIHDGCGLSLSLSLQHPSTQKSNASSMSDISEAISSSYFNANLSNSTGSSQELNLDLSISLSGA >KZN08030 pep chromosome:ASM162521v1:1:1579423:1580562:1 gene:DCAR_000699 transcript:KZN08030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHHRRHRKPPLLPGLPDHIAETCLARVRPEVLYSVCKLWRNLIYSPNFSPFLSIYVLFVSNRDADRSDSLVLKSFDPVSEKWRSVPALPEDPPLRLLVRHPFFISRNLPVQSVSVSGRLVVVAATTENFAPALLSPVVFNPLTRSWSSAPPLSAARRWCVTGACGNSVYVASGVGSQYNTEVARSVEKLSHFEHFDSICDNFEKNWKWRKLGLLKDGKFSREAIEAVCYRGKLCMVNVKGDYRKEGLVYDVENDTWQEMPEGMLAGWRGPAASMKEECLFVVDESKGALMKYNSDDDHWEEVIEFEKLQGAEHMAAEGGRVCVVCNGGIDIVVVDVVVHPPRVFVVETPEGLQAMSIHVLPRMSLDHSNSLQGLDSV >KZN11406 pep chromosome:ASM162521v1:1:44381507:44384142:1 gene:DCAR_004062 transcript:KZN11406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSATPSSLSSFSSLSLYTTPPRFSLFTQTQFPSLSSTLSLSLSTKLKPIKAQEQEEEQQPTITDQDTIFLANDDLDGVSTFDPPEIPEGYEPPPSFDDGPQETEDEIAAAYEELYGPAYSGVSLLGNDIYVMDSKVKKTGALGKIKKEKVRDGFDERVIQVRRVTKVVKGGKQLHFRAVVVVGDKKGQVGVGVGKAKEVVAAVQKSATNARRNIVTVPMTKYSTFPHRADGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLGSNNALNNARATVVAVQQMRQFSEVARDRGIPMEELWK >KZN10891 pep chromosome:ASM162521v1:1:39984149:39993370:1 gene:DCAR_003547 transcript:KZN10891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVGLISGGKDSCFAMMKCVQYGHEIVALANLMPADDSVDELDSYMYQTEVKHQIPSITGVSSGAIASDYQRLRVESVCSRLGLISLAYLWKEDQSLLLQEMIKAGIVAITVKVAAIGLNPSKHLGKELSELSSHLHKLKELYGINVCGEGGEYETLTLDCPLFKNARLLLDEYQIVLHSSDSIAPVGILHPSGFHVEQKLKGEQVNESEGYNRANGVSPESVDSVYEVQGDCTQSSDADCRVTDKIFDSVTQEHRTFNISEMVNDNMHSISCSLQNLHGTLGDLQEDLKIVLMRIESQLVQIGCSWNEVLYIHMYIDDMDNFSVANETYVKFITQEKCVSGVPSRSTVELPLSQVGLGAAYVEVLVAKNQCKKVLHVQSISCWAPSCIGPYSQATLHKEILYMAGQLGLDPPTMLICEGGATAELGKALENSEAVANCFTSSIITSAILFTIYCSTAIPTSDRIKIQEKLDMDLNRMKLHNLNTGKLCTVMNPIFLFVLVPDLPKRAFVEVKPMLYVADSAEPEEVVTDEIVPDDISLEVTQNYWGFQNNDWHDFGIQNCIVPGKICAVVLSVTDELVVKICSSSATDAVHDGIGQQCCISVRQLEIIARFCLYRLDKTLLMNHFSWDDVMYLRLYFPTSLNMSHDILSQVFMGAFNELAESSPRINIKEKPIFSLVPVLGAGKSAVSLDDVCTCELFARKP >KZN10231 pep chromosome:ASM162521v1:1:33741056:33746249:1 gene:DCAR_002887 transcript:KZN10231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVELYKDGAPDVVNEFIDACVKHDAFMMGTSKGKHGKEGFELVITTAPIPDLNEKLNVFGRVIRGEDVVQEIEEVDTDEHYRPKSSIGIIDVKLELKIKSKPVERLSTEYKPNVLDNLFLNSFRNKMVQECWQQEAGWDSGKPGYDGLIEVANRLMIGRSNSETTEAAVRILRSLFPPLLLELYKLLIAPIAGGKVAAVMVGKFVLVRVFVERCKYLEESKCVGICINTCKLPTQTFMKAGMGVPLLMEPNFSDYSCQGSLNLEFCHPYRKMISLSKNLVWRYAQIPLEENKSLITWKHLNVPRHRLDPTAPVSNRP >KZN09353 pep chromosome:ASM162521v1:1:24031602:24031881:-1 gene:DCAR_002009 transcript:KZN09353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWMRKGSLNEWKPLFRRSMEKAEEIYVSNWFDILVVRGGLAVTIAASTARHRKNKKNS >KZN08597 pep chromosome:ASM162521v1:1:11409070:11413019:1 gene:DCAR_001127 transcript:KZN08597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTAVLDEVVKKKKKKRSESEKGVVAYQPDTVEKEFDNFKKKSEELSDVNDLIESAKVKGKSSKKRKRLVSDENEIQSNSKEAEEPKTVNAFQRVKIDKVEFADEKLQDNSYWAKNCCGDAEEESGGSPSILYTAPPRVRTGGNNRGEPRGSGMVRGGGANKVLPIETPALPLDELNKITGNFGRKSLIGEGSYGRVFYANLNNRASAAIKKLHTGSSQETDSDFTAQENAFLSFFSGAANNATAAAAPIIATHG >KZN10906 pep chromosome:ASM162521v1:1:40185557:40186537:-1 gene:DCAR_003562 transcript:KZN10906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLISGIASGVGSVISKIFGSPLDFLSGKSCRYSVCAPTWDFICYIENFCVSQLFKFAMVALLLYCVLFFFYLLYQLGICKCICWTSCKIVWGCISVYVSVWEYCCYFLFDKLRHVKRISRRRRRRDVEGQYYASSSDEVEEESSSSTCNDEVSRIEKKRRHRRDYKRDHVTRSLRPRSHRVRVGVNENSGYITRNNSSKHWDDHDSSVHSIRVAQTSKFARKGSSFKGSKHRKRR >KZN11149 pep chromosome:ASM162521v1:1:42147776:42149462:-1 gene:DCAR_003805 transcript:KZN11149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSMLESNYEADKLTYEIFSILENKFLFENKNPQFCLPKQTALPLEKLKSGKHITGKVRILSIDGGGATNGLLAAKSLVHLESNLQRKSNNPNARIAHYFDVVAGSGAGGVLAALLFTAGKDGRPMYSAQDALNFMVENHGKISRSSPEGIFRRVFRSSESVLGKTFGDLSLKDTMKAFLVPCYDLNSCGPFVFSRADALEMDGYDFSIKDMCAATSAVQSVRVKSVDRKTKIAAVGGEVAMNNPTAAAITHVLNNKQEFPFCNGVDDLLVVSLGNGESFSGGVAGNATPLRSAVVRIAGDGVADMVDQAVSMAFADCGTSDYVRIQANGTVGASQGSLKGDKKGLLSRVEEMLRMKSIESVLFKGKKLADGSNLDKLELFSTKLIKEEERRKTSILPAVVLKQAGSPRTSSATTLSTTSSN >KZN11586 pep chromosome:ASM162521v1:1:46005696:46013172:1 gene:DCAR_004242 transcript:KZN11586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYNIINEVGNGTFGNVWRAMNKQTGEVVAIKKMKRKYYSWEECINLREVKSLRKMSHSNIVKLKEVIREKDILYFVFEYMECSLYELMKDRRKLFSETEVRNWCFQVFQGLAYMQQRGYFHRDLKPENLLVSKDMIKIADFGLAREINSLPPYTEYVSTRWYRAPEVLLMSPAYGSAVDMWAMGAIIAELLTLHPLFPGLSEADEIYKICSVIGSPTEIEWAEGIKLANAVNFQFPKLAGVPLSLLLPSASKDAISLITSLCSWDPCKRPTASEALRHPFFQSCYYIPPSLRFKPAIERSPSGGTRGAVEHKHSRYSGNMSYYKPQAAQRKLEMNYQGATKYDKTLKSTAVESPPRYRPPLRNSPAEKSFTIHAWKAREVSNTAEKLANMTIGKDKKPPRQQMPPPMKAGGWDPQSDPFLGRSQDFNHGRAYSRKVAG >KZN11454 pep chromosome:ASM162521v1:1:44791705:44793456:1 gene:DCAR_004110 transcript:KZN11454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKSRKLEQRSEPPNVRRSSRFRQSVVYANDASDSSENEEELPRITAIRKCNSEKKKMSAPAGNKQQLPPLAIGQEMVPEETAETMDGVPVVELPSSPVEEKPKHDRLFLHEQERTEEDRQRLIDAACVFKSELVARLKNSAGNLSTTEMKILASNCYDVLEVLGDNFTSFKSEVNKLIVQHQKVQSAAKDKEAWNDWDMKAHYFEQAQYFSKVKQELLFAEENLSEAKSKVNCLMVKKEEMTDALRMLTEELYEEGERVKSMTQERDRLKLTHSEIEVELRGLNAKKNEASVAFETYNAAKAEFERMSDQLMQLINKK >KZN10471 pep chromosome:ASM162521v1:1:36000260:36012822:-1 gene:DCAR_003127 transcript:KZN10471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRALEKEFTEGDQVEENDAGGFNNSVNEQQAVLETVARVKSKKNDTKEEKSYRVFNLDNDNGAEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVMTGYLLAGSLVGPGGLSFISEMVQVETVAQFGVIFLLFALGLEFSTAKLKVVRAVAVMGGLLQIFLFMCLCGIIASLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNSVNALHGQVTIGTLILQDCAVGLLFALLPILGGTSGVLQGVISMTKSLVTLMGFLAALTIMSRTCVPWFLKLMISLSSQTNELYQLAAVAFCLLVAWCSDKLGLSFELGSFAAGVMIATTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILFLESTTMQVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGILLRWFSPDSQTEIVFKGENLRSDSAKQRIALVAKDLMIHEV >KZN09354 pep chromosome:ASM162521v1:1:24042145:24043133:1 gene:DCAR_002010 transcript:KZN09354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSWHTAGELFGSHEAGQLIGSQPSQNSNTAVLVPDSIYSIFPNVLNEVGQMVTSLPTREVKRAKLNEEIQNLTNVAFPNKSDPLQQSMFSQYERAATTCLDHDTNNMVIVQEEPEINHQETNHGTQNQRDQNQCEDIDEQADDYELHI >KZN11304 pep chromosome:ASM162521v1:1:43571096:43576130:1 gene:DCAR_003960 transcript:KZN11304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLLVGNLLMRRVLDSLIQIINRKKLKRKKKGQKGCVEKSYVMSNQRRHRILMVSDFYYPNFGGVENHIYYLSQCLLTLGHKVVVMTHAYGNRSGVRHVTGGLKVYYVPWVPFIMQNTFPTLYGTLPIIRTILVREKISLVHGHQAFSTLCNEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAAERLSSDEIIIVVISRLVYRKGADLLVEVIPGVCRLFPNVRFIIGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHAKVQSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPDDMVQAIAKAIQILPQIDPQNMHLRMKKLYSWHDVAKRTEIVYDRALKCSDQNLLERLSRSDCKSD >KZN09718 pep chromosome:ASM162521v1:1:28747318:28750181:1 gene:DCAR_002374 transcript:KZN09718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAETAALRASFRQEVAVWHQLDHPNVTKFIGASMGTSDLKIPSKTSSSASDGLDNLPARACCVVVEFVPGGTLKSLLYKNRKKKLAFKIVVQLALDLARGLSYLHSKKIVHRDVKAENMLLDHQRNLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGVCMWEIYCCDLPYINLSFAEISSAVVRQHLRPEIPRCCPSSFASIMKKCWDGNPDKRPEMDEAVRLLEAIDTSKGGGMIPEDQAGGCFCFAPTRGP >KZN08949 pep chromosome:ASM162521v1:1:18089161:18090483:1 gene:DCAR_001605 transcript:KZN08949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSSPTLRRTKLGNLIQFDRNRSLQYHDDTDAELYNHHRHHHRRRLDSPNTTPNASPSTSSYNTTPNASPYTSFSPWNQPISPFLNSPWLQPSPLHSTHPGLIGSLVREQGHVYSLAVSSELLYTGSDSKNIHVWKNMRQFSGFKSNTTGLVKAIVVSKQQLIFTAHQDGKIRVWKLHKNNVYKRTGSLPSTKDYIICSMNMRKYVETRRHKKVPWIRHYDVVSCMSLDEEHGILYSGSWDKTIKVWRVSDFKCMESFDAHDDAVNAVAVCYGGFVFSGGADGAVKMWRKELVGKSSRHVLVEVLLRGESAVTSVVVAEAGRVVYGGASDGLVSFWRRVRGCLEYGGALRGHKMAVLCLATAGKLVMSGSADKSICVWRREEGGQHLWLRVLTGHGGPVKCLAVEMEEDVGDDQRWRLYSGSLDKSIKVWSVSQSIYQ >KZN09125 pep chromosome:ASM162521v1:1:20442540:20447445:-1 gene:DCAR_001781 transcript:KZN09125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPCGSAWVGRCGVKPQIVIGGSCTNNLSMHLLRFSKIRALTSRNSTMFSQDLLLTLFNNGPSKCPCPPKGTRLVVRAKTDYYDVIGVSKNSSKSEIKSAYRKLARSYHPDVNKEDSAEAKFKEISNAYEVLSDDEKRSIYDRYGEAGLKGSGMDTGDYGSPFDIFESLFDGMGGMGGRGSRSRATEGEDLIYNLVLNFKEAVFGIEKEIEINRLEKCGKCKGSGSKPGSIPSRCRTCGGQGQVVQSARTPLGVFQQVMTCSSCGGTGEISSPCNTCSGDGRVMKSKKISLKVPAGVDGGSRLRVRSEGNAGNNGGPRGDLFVLIDVIPDPVLIRDDTNILYTSKITYTDAILGTTVKVPTLDGMVDLKVPAGTQPGTTLVMAKKGVPFLNKKNRRGDQLVRVQVVLPKRLSVEEKKLIEQLSNLKNANAPTDRLVELRALGKIKQPEMEFPASENRKPHAVCIPFPAQGHINPMLKLAMLLHHKGFHITFVNTEFNHRRLLRSRGLNSLDGLPDFCFETIPDGLPPSDENVTQSALSLFLSTPKNCLSPLRQLLVKLNSTSTSGPPVSCIIPDSIMSFAVIAAKEIGVPCACFSTSPAAIFLLSLSYRQLEEKGLLPLKGMIFLKLAQFYRKNNLSYLY >KZN10690 pep chromosome:ASM162521v1:1:38198933:38201720:1 gene:DCAR_003346 transcript:KZN10690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTLPPSPNPNPNLSSMTPFSSSISTPSPPSSSAPPHIHHVSFNQDFTCFALATDRGLFVYHCDTLKQAFRRHHNSSFDIVQMLFQSNVIACVGTVTHPGFSSNKLMIWDDRTSRYRGEQCFRSQVIAVRLRNDRIVAVFSQKVQVYRYEDFKPIHVIETYPNDKGLCEVSYGAGNMVLVCLALRKGEVRVEHYGLRKTKFIVPHNSSLACLALTTDGKLLATASIQGTLVRVYDTLDGLLLQEVRRGAFMADIYSLAFSSTAQWLAVSSDKGTVHVFSLKVDSRSSSVTSAVSSLSFIKGMLPKYFSSEWSVAQFRVPECSQYIVGFGQQENTVMIVGMDGSFYRCQFDPDSGGEMKQLEFRNVLKLQEEEEEESV >KZN11832 pep chromosome:ASM162521v1:1:48510173:48510400:1 gene:DCAR_004488 transcript:KZN11832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTTSPSKQSNKKNKLSPTAIKITNTSLPYIGENHLTVINLQTQPFGSTNKKLPTEINTPRSRSLPLYQWSSAI >KZN09728 pep chromosome:ASM162521v1:1:28822039:28822674:1 gene:DCAR_002384 transcript:KZN09728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFTWKTYLQCFSNIKCLPITTLPDPNQHQNLHTTSTTLMKNFNPLCDLTFTSTSNLTSSLDHDSFSNASDISSDAEESPPDFATVFASQRFFFSSPGRSNSIFESPSSPQAPRKNFLVPDSVAIQTYSPDPFQDFRNSMQEMVEAHGIIDVEAEWEFLHELLLCYLTLNPKQTHKFIIKAFSDLLVSLMSSQTCRIISCQRDIASSRLV >KZN10063 pep chromosome:ASM162521v1:1:32272958:32278346:1 gene:DCAR_002719 transcript:KZN10063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKGIQTGLVLVLVVMLWRGATAQSGCTSALLGLSPCLNFVTGNSSTPSSSCCSTLSNIVQSQPQCLCSLVNGGGATLGIAINQTLALALPSACNVKTPPLSRCNSAADAPTSSANTPVSSPTSSPADSEDAPATPTSPSAPSIPSGVIEPERWNWDIKKVSDVDVLSLGSERPVWRHATPMTQCKGTVFGCTQLRI >KZN09681 pep chromosome:ASM162521v1:1:28396532:28401939:1 gene:DCAR_002337 transcript:KZN09681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSSEKAYQLSIHELQKFQVLLRGNGGPGPWQSNTRDLLAGRLVSDRWMSIQRPVSASRYQQKEHRASRSSLFDDYDSLEEGGLKASSFYSRDIDQHDNDKAIDSLQDRVVFLKRLTGDIHNEVESHNRMLDRTGSEMDASRGIMSGTMDRFKMDPLVLHIWLECIYSLPAGFNSVQLVPVTIQLNVLQVITYIHFRNAGEVLQHITQVSWKFETCNPSDYSILFKFRELVKAWK >KZN11822 pep chromosome:ASM162521v1:1:48406524:48408728:1 gene:DCAR_004478 transcript:KZN11822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMEEEEHLLESNKQNSHGGFKTMSCIIANAALEKAATFGLNANMILYLENEYHMGLVTGTNIITLWTSASNFLPVLGAFLADSSVGRYSMIAFGAIVGLLGTISLWLTTIIPQARPPLCGDSTTSCNSSTTFQVVFLCFSLGLISIGAGGIRSASMAFGADQLVHGNNDNKKSLGALESYFGWYYVASSVAIIISLTFVAYIQEHLGWQVGFGIPAVFMLSGAMLFFSASSIYIRVKDRSSLFTSFFQVIVASYRNRHFISASEENNVYHHKKESALVVPSEKLRFLNKACIVGDPERYLTANGDILDPWGLCTVDQVEELKAVLKVIPLWITGVLMSVSVSPGSIILVQTMAMDRHITSSFEIPAASLSISFFISCVLSVVFYDRIIVPLASKLKGKSFCFTSKLKMGIGILMSILFMAVLAFIEYTRRGIAIQQGLVDNPEVTVNMSALWLILPYCLMGIGEAMNSIGQYEFFYSEFPKSMSSIAATLRDLSMSAGGLLATVMLNIIDQATSRGGKPSWISSNINQGHYDYFYLVIAGLGIINMLYYLLCSWAYGPCEMAAVKVPQKQDEIVHDSCS >KZN11114 pep chromosome:ASM162521v1:1:41858061:41861173:-1 gene:DCAR_003770 transcript:KZN11114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKSSSSAASMEGGDIVMKPAWLEGLLSETFFETCGLHDTSRKNEKNIFCLDCCHSFCPHCLPLHNSHPLLQVRRYVYHDVIRLDEVEKILDCSNIQPYTTNNAKVIFLNQRPFRSSKDTANTCFTCQRILQEPFHFCSLSCKVDHVVDEGEDLSSIILGFKESNESEFARAQFEGLRMDSDDGGGVITPDSILEAPSHFHASSSCSSHDMGSSSIVHQIPKKKKGRDHIPGIMCSPGNRRKGAPHRSPLS >KZN10851 pep chromosome:ASM162521v1:1:39553686:39561322:1 gene:DCAR_003507 transcript:KZN10851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNRNLKQRAGGFFASRKYKFRYILYTLAFFAIVPPVFFHFRLKHFQKMQIKKCSWLSSPPLVCAHGGDSSRAFPNTMAAYHIALNSQVDCIEIDVSRSSDGVLFALHDRDLQRISGNSTSRVGYMTMKEINELVPHDPAELEFHDNKIPTIEDALKLVSSSVRQIVLDAKVGPPSYEKGLAKDILNIVERTRCKNCLVWAKSDNLAREVMRLSSDVVVGYIVMKDPSTGVRTNLLRISGASVVGVYHQLVDKNLVKVLHGRSKKVFAWTVDDTASMQKMLYENVDAIVTGNPSLLQRLMQDMRTECLEEGFSFSRGDFNV >KZN09772 pep chromosome:ASM162521v1:1:29221131:29221742:1 gene:DCAR_002428 transcript:KZN09772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGLSVHKSEEEWRAILSPEQFHILRDKGTEPRGTGHYDKFYDEGVYNCAGCGTPLYKSGTKFSSGCGWPAFFEGLPGAINRTPDADGRRTEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFVPEKSDL >KZN09132 pep chromosome:ASM162521v1:1:20548118:20549557:-1 gene:DCAR_001788 transcript:KZN09132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDGEKEQVKSNERWNAAMANINGMSNNLQSLQNLLLHKAVYVDDDSFFKASLTSEQARTIKILEQRVENLERELDAAISASARARTEKRQAEAGQKAAELRAQEITRELENTTSTYTFLINVNYEHFGELVDMVH >KZN11471 pep chromosome:ASM162521v1:1:44972629:44978948:1 gene:DCAR_004127 transcript:KZN11471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGKIAVGPRLKWGTWEELVLGSAVLRHGNKDWNVIASELRVRTVYPFSFTPECDLTVNKFQACKAKYKDLRRRYSGCKAWFEELRRCRMKELKLELKKTAESIGSLEAKLKRLKTSKKEDCEVDYDTSRTESPEPVPKTELIDYSAKETSKDSLSAGSYTQDFRSSFSPDCRITELESTPEMETRPKRLESDEHETLMSIKIEGLMNEKVSTVRKRRGKRKRKDCNMEIREGSIAESEHFHQANVQTISSWKETSTSGCGQIVKSSSVDGHNKDLFRGTSGGLMGFFSVVAESKHALVFKRRLDGQKRARYKRIIRQHMDLDTIKSRIDSGTIKSIKELFRDLLLLANNALIFYSKRTREYKSAFSLRELLMKEIRQQCRDSLNISSSIVHFSPMCSTPVRPRSFRPRPEKSKFSAKPTETAGGVAGTLLGNKRVGDASPSTPSLALQSSVMARKSPGAPQGYLKLRHADTSSTPLGSLTMAKKHVGISVGSKKPNNADSNLPKQSMPVAKKGVSPQGKIVRPNSNNHRSKTVAMKERKRAGQKITGL >KZN08804 pep chromosome:ASM162521v1:1:15669133:15683772:-1 gene:DCAR_001460 transcript:KZN08804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSKSSSKPPIVQLNDLEFDSNSYSLEKFTLYETRARFYLIGSDRKKRYFRVLKIDRMEASELNISEDPVVYSSKEINSLLQRIDEGNRATGGLSVVAKVYGIAGCIKFLEPYYLILVTKRRQIGCICGHAVYSIDESQIISIPHVSVQTEAAYSKTELRYKKLLSCVDLTRDFFYSYTYPIMQSLQKNVISISEERMPYENIFVWNAFLTEPIRSRCNNTIWTLALVHGNFKQTRLSVFGRDFSVTLVARRSRHFAGTRYLKRGVNDRGRVANDVETEQIILDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKMHFEDLAERYGNPIIVLNLIKTVEKRPREMMLRREFTTAVGYLNQILPEEKQLKFIHWDFNKFAKSKSANVLAVLGGVASEALDLTGFYYSGKPSAIKRKSSQISRTSITRDASLRDLRANSGDLARIGSNIDTLNSALMQNRDCTGPQHSSNNNYGNAAPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMSLTDEPKVDADSSIAAALMEMYQSMGDALAQQYAGSAAQNTVFPERQGKWKATTQSKELLKSIQRYYSNTYTDGEKQDAINLFLGYFKPQDSRPTIWDLDSDYYLHVTGIEDNFTPEKRSHSNSRSVAVLSPVPAWREDFSRIKLTSFDKLLQQTCSSIKNVRLWAEPAQRTGNSGMAPDAAEIQLKTPNWLFGQRKLDKSSSPRKVVSNECADEESKIESRVEYLLDIDWPSYIGNTNEEEVSQRYLSMTSVDEANGWYGGTLIGDQDENSEIYKHYAELCQGPAMEPFQLDTETEKLYADFLQAGTFDGSNDASVEKEMEEALKEFNRISADLGIIPSSCMLLEAGGVAKACYLYCLQVDYMTCPSSGEEKLEPKCNCCLAPKGCTLHLSGGSSMLCSKT >KZN10659 pep chromosome:ASM162521v1:1:37999281:38003248:-1 gene:DCAR_003315 transcript:KZN10659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLKPVLLILILAGVALVPPSLMLNKWHTETKSMEQNVKVYTQTKLPQEIISGVEEKAEMLSPFHISTTNLAKKLSPDVKNRNLSIPHIESKVSPLLFQAFSTIPYLSQVSYVQRDGLLFSYYKQGNQQPIAVYTNTSVTSAAKHNLTCYSQPANRDTGRLYGVVTSHSCSTLLNQSMLHSVLESTNGTAFVGPSWIDNDDLLFLNTAVVDGRGALFQLGFEVKAIAQSLFGSTRNHGSLFLGTKGGQVLTNAKIPNTRIVIVGNNSVAVKLLNHNGDEVGDVAGDITCQPNDGTIQPTPINILGTEYDFYCSTVEILGVDLVLVLAMQVQGPETSMHKNFNESHRYLIGTICAILIFTTVSVVFVVTASQRVSKLRAALEEQVAATAQEERKGNRRSSCYAEASHDVRASLAGIIGMVDICLTQVEPGSSLEGYIQGIQTCSQDLLGLLNNILNRSKLEAGKLTNEHEEFKMSQLLEDVADLFHVVGMKKGVDVVLDLSDGSVNKIDHVRGDRKQLKQILANLVSNAVKFTSEGYVCIRAYARKPAFSSSTPASTERGLSWLSCFGFAKTEAPTEYTTNVRDNDNCMEFVFEVDDTGAGIPQDKRETVFENYAQIKGTSAGQEGTGLGLGIVQSLVRLMGGEIEIVDKEVGKKGTCFKFNTYISVCETDQRNQSDDTGSHVSAYMSLRESFSPQGSSSELKEGSRVIFFIQNEERSRVCQRFMDRQGVESLIVRTCKELASSLKRMIGHVGVHSLSGSSKKSDGLQSLAGSDTSSPRLDEAQFSPAQIDQEIPSTHRTRIKESLLANFTVIIIDTTVGALSDLIGLVAEIRKNLSTGGHRIVWLDSSGFGNTRLQGLRDTLHATDIILSKPLHGSRLHQVLKLLPEYGGERPAEMSEAYPPNYASSGSLIASDSLVASPSSTSSPTPQKSEIREVDRPLAGKKVLVVEDAQVLQIIATAALSALGASFEVSNNGQEALEAVSKGLEDKKTSKSLPFDYIFMDCQMPVMDGIEATQKIRQEEAKYGVHIPIFAVSAYTEGPEIKLMEEAGVDYNLAKPLNIQKIKEALSIFEDQR >KZN11236 pep chromosome:ASM162521v1:1:42896835:42903656:1 gene:DCAR_003892 transcript:KZN11236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSSSGASSSSGGGRTKVGRYELGRTLGEGSFAKVKFAKNSETGENVAIKIIDKEKVLKHKMIGQIKREISTMKLVRHPNVIRMHEVMASKTKIYIVLEFVTGGELFDRIASKGRLKEDEARKYFQHLINAVNYCHSRGVFHRDLKPENLLLDANGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILYVLMAGYLPFEEANLMVLYKKINKADFSCPPWFSSSAKKLIKRILDPSPVTRITIQEVIENEWFKKGYQRPKFEQEDVSLDDVDAIFDETGESPNLVVERRDDRSAAPVTMNAFELISKSQGLNLSSLFEKQMGLVKRETRFTSKRPASEIISKIEEAALPLGFDVKKNNYKLKLHGEKSGHKGHLSVATEIFEVAPSLHMVEVRKAGGDTLEFHKGLIGGATQYKN >KZN10656 pep chromosome:ASM162521v1:1:37955755:37956604:-1 gene:DCAR_003312 transcript:KZN10656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEVKGTLKGSEWKSIGKSVADEQSLESAVKKRLPKKVRHIPDCYFLPRKSIPSAIAFYGSWILGGIGAGMLAEIWINKKVKEDGGGVLWEFGK >KZN10878 pep chromosome:ASM162521v1:1:39847232:39850633:1 gene:DCAR_003534 transcript:KZN10878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQVPNERRENSNNNSNSRTRIPQNQSGRGGRREWIPRGTNNVVATTNLTPTPAAGSESSVVEGMPALVRQKRNGSGEGSSMGGRGRSNGSYGDFSKRSVLNGSGNRQNLGSRGQHYGKPLNQKREKAKEQSVPKDSSLPQLVQEIQEKLMKGTVECMICYDMVKRSAPVWSCSSCYSIFHLHCTKKWARAPTSVDLSAGKDQGFNWRCPGCQSVQLTSSKEIRYVCFCRKREEPPSDLYLTPHSCGEPCGKPLEKEIPGAGVTKEDLCPHLCVLQCHPGPCPPCKAFAPPRLCPCGKKVITTRCSDRKSVLTCGERCDKLLDCWRHRCEKICHVGPCDTCQVVINASCFCKKKSESVLCGDLTVKGEVDVVDGLFSCSLPCEKLLSCGNHVCTAPCHPGPCGDCDLLPGKIKTCYCGKTGLEQERHSCLDPIPTCSEICSKTLPCGSHKCKEVCHSGDCAPCQMLVTQKCRCGSTSRTVECYKTMGDDKFACDKPCGQKKSCGRHRCSERCCPLSSSKNPLPGDWDPHLCSMSCGKKLRCGQHSCESLCHSGHCPPCPETIFTDLTCACGRTSIPPPQPCGTPPPSCQLPCSVAQSCGHSSTHSCHFGDCPPCSVPVAKECIGGHVVLRNIPCGSKDIRCNQLCGKTRQCGMHACARTCHPSPCDSSTVPTSGLRASCGQTCGAPRRDCRHTCSALCHPSSLCPDVRCEFRVTITCSCGNISATVPCDAGGSNNGHNVDSLLELSAVQKLPVPLQPVEANGKRIPLGQRKLTCDDECLKMERKRALADAFGITPNLEALHFGESSAVSEVLSDLFRRDPKWVLAVEERCKFLVLGRGRGGSSSLKVHVFCPMLKEKRDAVRLIAERWKLSISAAGWEPKRFIVVHVTPKSKAPARIFGSKGTTTANMITPSVFDPLVDMDPRLVVALFELPSDADISALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDQGSLYYGAVVLQNGGVPGVASGANAWGGPGAPKDGGSGLAMKGNPWKKAVVQEPDWRVSSWGDEEETVGDSTRLEASVLKRNDAPIPASSNRWSILDAETTPSSSTSVVQITDPGEQSKSQMVSTLESGSSSSVTVEKHMDEQTSETVEDWEKAFD >KZN11808 pep chromosome:ASM162521v1:1:48266392:48266970:1 gene:DCAR_004464 transcript:KZN11808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRDNYPARVALYTQPTPTPPQKPPKLSMDSLHRTISDISAELRKEAEAASHELNHKPLPPISEVEDAKCECCGLSEECTPEYISRVRAKFSGKLICGLCSEAVKEEMEKNGGQIEEALSEHMSACAKFNRFGRTHPVLFQAQAMKELLKKGASSRAKSLSPRDLNKGAKKGGIARSSSCIPALTKDMIE >KZN09003 pep chromosome:ASM162521v1:1:18925770:18926162:1 gene:DCAR_001659 transcript:KZN09003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGSLSRTLISTARSSISRPSPPLPRLRPPSLASPRLRHSFTNPRNLGEIGCSQLLLPMLAGTRLTSHLNANVRAFCELTHGTFCRSCQDR >KZN11148 pep chromosome:ASM162521v1:1:42142534:42145189:-1 gene:DCAR_003804 transcript:KZN11148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMWNKLKKAFLLKHSESPPLATPLPVESPANTSPRSSTSLCRLSRSFSVKSSYTKTCAICLMNVKTGKGQAIFTAECAHSFHFSCIASSVQHGYHDCPICRSKWKELPVQFPTSTAGRQRNGGGLVRVSLHQNPAENNAHNLPLPLDTTVISPTPEVLPIRYSDDEPLTALSADSTSSNTSDCSESVTVKAVAEFPAIASSAIVSKFAVLVGVRAPSLSDDAPNSKRAPIDLVIVLDVSGSMDGSKLSLLKRAAQFVIQNLGPTDRLSIVVFSSNARRIFPLRKMTDRGRDDAIIAIRSLSANGGTNIMEGLRQGARIIHERREKNPVASIILLSDGKDTYNLHYINEPRTPSNQNSNMSRQVLDQLNIWLNPIRWGNQEDETETRPINIPIHTFGFGTDHDPDIMHAISDASGGTFSFIQSDGLVQDAFARCIGGLLSVVAQELCLNVKSVSSGVRIESIPSGKYVNDISQEGKEGVIEVGDLYADEEKEFLVYLTVPVLSTTETNGGLATTSLLDVICSYKDVVSMEMREVECRTVELQRPDILCSADLIPSLEVDRQKNRIWVAEGIAEAHEMAEQGNLDGANVLLKNRRSVLLHSPSAQAGDGLCIWLEAELNEIRERMVSMEMYQLNGRAYMRSGMSSHSWQRSTTRGSSTSQNTQGDSSSNTGQLGYDTPSMVSMVTKSQTLNFQNHPEQVERANKSCVLSSKP >KZN11675 pep chromosome:ASM162521v1:1:46870307:46872853:-1 gene:DCAR_004331 transcript:KZN11675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSIDDDWELASPSGGPRTLVLVGCTGNGKSATGNSILQKKAFVSDANSAGVTRTCEMQRTVLYDGQILNVIDTPGLFDFSAETEFIGKEIVKCINMAKDGIHAVLVVLSVRTRFSKEEAAAVNSLRTLFGNKITDYMIIVFTGGDELEFNEKTLEDYLGRDCPEPLRELLNQCENRAVLFNNRTTDRTKKDEQVQELISLVNLVVAKSGGKPYTDELFVEMKKGARMLHNQTEVSKQENEEKLIKRLTEMVELKVRETTARLEQQLAEEKAARLIAQKMAQTAQMKSSDEILKLRESLERAERELRDQAGKAKCVIL >KZN09361 pep chromosome:ASM162521v1:1:24161096:24163638:-1 gene:DCAR_002017 transcript:KZN09361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRFSKLLLLPASTKGDVVGVPPVCGGGGSGGGGGTVGGTVCGGGGSGGGGGTVGGVPAVCGGGGSGGGGGAVRGVLVVSAGGGGGSGSGCGGGGSGGGGDRFCDYVPPTLIEQVARLVVGSLTVAVSLDYYYDKVTSPETTEVVQKMIEKAVVEMKETVEASHQKTVACVSEMLAADKKEREELAASKTKKYWFW >KZN11474 pep chromosome:ASM162521v1:1:45005089:45015319:1 gene:DCAR_004130 transcript:KZN11474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDANPFAQEDEEVNPFSNPGRAPPAANTRLKPLPHEPTDFYDRNASVDIPLDSASDLKSKERELQAKETELNRREQEVRRREEAASRAGIVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFTTYLGLVLCLFWNIIATSTAWIKGEDPKIWFLSIIYFIAGVPLAYVLWYRPLYRAFRSEGAFKFAWFFLFYLVHIGFVIFAAVAPPVVFKGKSLAGILPAVDLIGDHAIVGIFYFVGFGLFCLESVLSIWVIQQVYMYFRGSGQAAEMKRDAARGAFRAAMSEGAFKFAWFFLFYLVHIGFVIFAAVAPPVVFKGKSLAGILPAVDLIGDHAIVGIFYFVGFGLFCLESVLSIWVIQQVYMYFRGSGQAAEMKRDAARGAFRAAM >KZN07977 pep chromosome:ASM162521v1:1:1159678:1162706:1 gene:DCAR_000646 transcript:KZN07977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANPFDLLVDDDNDDVSQLIQKLPPPVKKAPVVEAPAKAAGKLPSKPLPPAQAVRESRGDGQRGGGRAGGRGTGRGRGGAGRFGRDPADNGNSYSSNNNGFSGGYNRQPEEGDLDKSSDRRGGYGGPRGGAPRGGRRGGFSNGDAADGERPRRVYERHSGTGRGNEFSKRDGAGRGNWGTPTDDIAPVNEELVNDGEKNVDVEKQAEQEDAGDASKENPVTEPEEKEPEVKEMTLEEYEKVREEKRKALLALKSEERKVDLDKDFESMQLLSSKKNEEEIFVKLGSDKEKRKEAEKEEKARKALSINEFLKPAEGEKYYGPGRGRGRGRGPRGGYTGNFGNNVPAPIIEDQYQFPTLGAK >KZN10174 pep chromosome:ASM162521v1:1:33286015:33288129:1 gene:DCAR_002830 transcript:KZN10174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-21 MEEIKHELMVKNVVRGLIMVDVVQRLGIDYAFKEEIEQVLERQYTAIDELVNHKDLYFVSLCFRLLGQHHYYVSADVFDNFVNKKRNLEIRGESNDALMSLYEASQLRIEGEDVLDEAECFSRLLLQERMEFLNNHDQAISIRNTLAHPHHKSFARITEKHIISNVTNGKDGYGKALQELAIMDLTVSRTMHDRELSAVSRWWNDLGLAQELKLVRDQPLKWYMCTTALLTDPGFSEERIELAKPISLIYIIDDIFDLYGTIDELTLFTEAVNRWDIAAAEQLPDYMQKCFLSLLNITHEIGYKIYKKYGLNPIDYFKISWSKLCSAFLEESKWFFSGHLPRAEEYLNNGIVSSGVHVALVHLFFLIGDGSTREQADQLINSDASMLSSTAAILRLWDDLGSAKDENQKGHDGSYVTCYMKEHQEVSVETARKHVENMISDTWKRLNKECFSPNPYSKTFIKGCLNLARIVPLMYNYDDDQSLPQLEEYMKGMFI >KZN09477 pep chromosome:ASM162521v1:1:25647294:25655985:-1 gene:DCAR_002133 transcript:KZN09477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALLRKKRDPFRSLGGPICLIRGSSQVCDSWGLSSAIIHSYGDSDTKNYRSLSSLAKEEILIFSARSFLRHDTFASSTLNSGIAVSWLKLPSGAPSVFQLNHRFSSAVTGKVEADSGTENKAVELKKEASAEDCDEAVEGLSTAKAKAKAKQLQESKKDNLSVLEKVGSLILRIGPALRVVASMNREDWAKKIHHWKDEFKSTLQHYWLVFVASGFETVSWYAAIYVPGQDERAVSWLKLPSGAPSVFQLNHRFSSAVTGKVEADSGTENKAVELKKEASAEDCDEAVEGLSTAKAKAKAKQLQESKKDNLSVLEKVGSLILRIGPALRVVASMNREDWAKKIHHWKDEFKSTLQHYWLGTKLLWVEVRISLRLLLKLASGKSLSRRQRQQLTRTTADIFRLVPFAVFFIVPFMEFLLPVALKLFPGMLPSTFQDKMKEQEALKKKLHARIEYAKFLQDTVKEMAKEVQNSRSGEAKRTAKDLDEFMNNVRKGTRVSNDEILGFAKLFNDELTLDNISRPRLLNMCKYMGISTYGTDGYLRYMLRKSLQKIKSDDKMIQAEGVDSLSEEELRQACRDRGLLGLLSVEEMREQLRDWLDLSLNHAVPSSLLILSRAFSVSGKVKPEEAVQATLSSLPDEVVDTVSITSLSSEDSVSERRRKLDFLKMQEEIIKEEEEKEEEQARKKESLEKDVALQEMIISTEKEAIELAKEKIFDKQEQLSELSRALAILASASSVSRERQEFLRLVNKEIKLYNSMVEKEGTETEEATKKAYRSAREKSNDTEEKTVDDKVSSALINRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVSPEEVASAAMYLKDTLDKDGIQELISNLSKDADGKIRVEDILKLASESKEEEEED >KZN08137 pep chromosome:ASM162521v1:1:3077594:3077833:-1 gene:DCAR_000806 transcript:KZN08137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGITDLRDFHDADSMIKVCMVMHLWSIAQNNIESITACIYLFKKSLGCLPKKEGFNGDMILRLFNFDYICRCRMAFHY >KZN11923 pep chromosome:ASM162521v1:1:49449272:49449658:1 gene:DCAR_004579 transcript:KZN11923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSFKDIDVPDYAQPSTKCLAVYDDSLAFLSLHESLKNFDIWTWSEGCWTKKFTMGPLPDIRHPVGHWKDNRLLLQCENGYMLMVDPDTQETKDFAFHNYTGCEGVFAYRESLVSIKDKIKAGQQS >KZN09984 pep chromosome:ASM162521v1:1:31389315:31391036:1 gene:DCAR_002640 transcript:KZN09984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHSIANYSSYCSSSSSSLLGWEYHNLGVYNADMSHSTNFALDSDYTPPYSSSSLDDSDYSTGYLQDALFEFSSKRRRLLLFSDDQTNYSTCPVQDFWTENFGAIYPEDFGFLNQTNERDEFSGKMMKNNNAEVETLGQGNTGNSFSSSANSKDSLHTGSSSEILDSLSPLSAGGGNEKKRKKVTIMTRVVYPFDVVKPGGIEGDMTLNDINERISMPPTRPVMHPVGDFACKPIMSPEGPGLSGKPVVALTRVQTQGRGTITIIRTKG >KZN09128 pep chromosome:ASM162521v1:1:20462820:20467351:-1 gene:DCAR_001784 transcript:KZN09128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLCCFSSQASEGHSTHGTGKKRGGRGTIKFGFHLVKGKASHPMEDYHVAKFVRHQGHVLGLFAIYDGHLGDGVPAYLQKHLFNNILKEKEFWTDPNRSISRAYERTDQAILTHNPELGKGGSTAVTAILIDGQSLWVANVGDSRAVLSRRGQAIQMSIDHEPNTERGSIENKGGFVSNMPGDVARVNGQLAVSRAFGDKNLKNHLRSDPDVKDAIVDEDADLLILASDGLWKVMSNQEAVDIALKIKNPQKAAKQLSVEALRRDSKDDISCIVVQFKG >KZN11883 pep chromosome:ASM162521v1:1:49060870:49072986:1 gene:DCAR_004539 transcript:KZN11883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGGSERDKLNSSSTPVPSVANFWKEFDLEKERSVLDEQGLKIAENQENSQKNRRKLAESTRGFKKASAEEKLSMFNTLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPVLSSIAEKDVKLMEIESENRKMKVELEEFRTEATHLKNQQATIRRLEERIRQLEQQMEEKVKEVVEIKQRSLAEENQKSLEVLKEREQLLQDQLRQAQDSVSNMQKLHELAQSQLFEYRAQSEEDRAAKQSEVNLLMDEVERAQTRLLSLEREKGVLRSQLQTVNEEHGNDKSDDMDPNSVLENSLNAKEKVISELNMELHNMETTLSEEREQYMKEIKKLSALLNEKDAALVETKKELHARPTEKLVDDLRKKVKILQAVGYNSIEAEDWEVATSGEELSQLESLLLDKNRKMEHEVTHLKVKLSETTSLLETAEGKITELTAKLSEQQMLIQKLEDDISKGYNAKDRKGALFDDWELPESGTTGQSDNTDQKHVSSEQDQNSMLKVICNQRDRFRTRLRETEEEIRQLKEKIGSLMAELEKCKADNVKLYGKIRYVQDYNLEKVVSRGSKKHVDDLESGFSSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDKITLRSGRFLLGNKYARTFAFFYTIGLHALVFTCLYKMSAYSNLSHGPEEFLATEKNIDLPHAL >KZN09047 pep chromosome:ASM162521v1:1:19436815:19437856:-1 gene:DCAR_001703 transcript:KZN09047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEISCSSWLRFLLFFSSLSLQFISGFTGDSSSSNDGKKNATSSNSKGKGVVIICVIILVVVLFSVFLFKYWQKKKREEQYARLLKLFEEDDELEVELGLRD >KZN12008 pep chromosome:ASM162521v1:1:50187034:50191406:1 gene:DCAR_004664 transcript:KZN12008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKENEGVIVKKEIDFVSSSSISDSSCDTGYKRNKVAKRSSQAGWTEEEDNRLADVVKKFNGRNWKKIAESLPGRTDVQCLHRWQKVLNPELFKGPWKKEEDDRIIQLVQKYGSRKWSAIAKYLPGRIGKQCRERWHNHLDPAIKKEAWSEREEAILSYYHQIYGNKWAEIAKFLPGRTDNAIKNHWNCSSKKKLDNLDLNLPLQSPLSDDLSSEANLESVKIPAVQLGVGKAMSTYQIAGPVRKVSDMCSTDLTLGNAYTCESWLGSKSSRSQKYPEQEAVNQPLSRVQFRGNSSINNGKMDLPWKRNFHTSNVLASFLSSSSSITEEASGGKTNLAPQGVLHPLTSGGMFASCKRPRNGDVAIFNSEHKSILSDKPWMSCSPGSIDVETPPQLDKKLCNAPYKLVHHFTPSKMVENCERPRSDGSNIINLRRECVQGNSLLNLTPGSSQYSNYIGKGNTVLQTPKLDAKHYGSFSDGPPYVKDIVAPVRTGEYSSVDDITKANSQSFCSTPSLAQSIVCTSSPESILRNSAMKYEHTPSIIRKSRPKEGGSCSPVHKISMTCESRAANPIDLMDDKTTLHSQLHRSALSVAGKPLERRLEYAFDREWDPLAVRCSTPVSAPSEAVLGARLQA >KZN07970 pep chromosome:ASM162521v1:1:1106411:1107933:-1 gene:DCAR_000639 transcript:KZN07970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSLLKYWRGGGAASACATPSPPDVSTTISNTLVSNVQESDDEENGDDGPFFDMEFAVKRDERDREFISESDDDESDDCECEDEDENDNGELKFTLSSASSGESIDPNISLSPSDDLFFKGRLVPLTETDTEADENTNTHSRPVSKTTKLRVLMLKLKKSTKLNATKAEDNDENGENCVDAKKDDKIKQPARFFTVKFKVDEVPVLSLFTRENSSKVSIKQNKEENKESTGKSKTIIEEEEKVFSKDVVNKYLKKVKPLYVRVSKRYGEKLGFSGHLSLAGAAGTPKASDLSAAEATQRSNVKSLKLGIGFPEKLRVVRKQLGKSKSASAAVTAAESPPVVVAKRRDDSLLQQQDGIQSAILHCKRSFKASRELEGKGGNVGNESVKTAELSCSVEKAKGDMQ >KZN10845 pep chromosome:ASM162521v1:1:39483633:39484214:-1 gene:DCAR_003501 transcript:KZN10845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFQDQAAISCELSVIRARNADLIPTGSSFFVRCYMSAGNKNRVRFQSREVSSSNMVWNQSFSLDCFGTKESMRSMLLEGTVIFELRCRSGISFFGRTRKSQLLGKAEVPWKTVLESSTMDVEKWVVMETGKRLPDGVKPPAVQIGMKVDGAVIPVATKAVKQRKSCGDGCQCKSCLNCELFALDGALEFSC >KZN09677 pep chromosome:ASM162521v1:1:28375074:28376945:-1 gene:DCAR_002333 transcript:KZN09677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVNFDREKELKAFDETKSGVKGLVDAGILNIPGIFIRPPDELSEELNYSNLQVPVINLQGIENSDTRKQIVSQVQQASEQWGFFQVLNHGIPQRVTEGMIDGVRLFHEQDLETKKQLYTHDFTRKVRFNSNYDLFQSRSANWRDTLALTMMPSDPLCADDLPESCRFTSMEYLSHILELGDTLIELFSEALGLEVDHLKAMECTKGHNTVCGHYYPACPQPELTTGIRKHSDSTFITILLQDQIGGLQILHGDTWVDVEPIAGALVVNVGDFLQIVSNDKFKSVVHRAQVNKAEARISVPCFFHGSMAHSTEYGPIKHLVSEVNPPVYRSFQVNDYMKKFFSTRLDGQELRNLFKI >KZN07842 pep chromosome:ASM162521v1:1:92769:94353:1 gene:DCAR_000511 transcript:KZN07842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVWYDSYGGGASALKHVEAPLPSPKNDEVLIKVEASSINPIDCKVQNGLLRPLLPRRFPFIPVTDIAGEIVDLGSDVKNFKTGDKVVAKLNDVSGGGLAEYAVTKESLTVARPPQVSAAEAAALVTAGITALQTITESAGLKVDKSGPKANILVTAASGGVGHYAVQLAKLGNIHVTATCGARNFDFVKSLGADEVIDYKTPEGAAVKSPSGKKYDAVINCTTGIRWTTFEPNLSEHGKVVDMTPGAGTFANFALKKLTFSKKIVVPFLVNCKADNLEYLVKLVEQGKLKTVIDSKYPFSKAEEAWGRSLSGHAVGKIIIEH >KZN10056 pep chromosome:ASM162521v1:1:32215360:32218752:1 gene:DCAR_002712 transcript:KZN10056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLADNTDTSSFMTDFLKNSGGVAVIDGGLATELERHGADLNDPLWSAKCLLTSPHLVRSVHLDYLEAGADIIITASYQATLQGFQAKGYSELESEAMLKRSVEVACEAREIYYEKCLKSTSKETEDGKIFKHRPIIVAASVGSYGAYLADGSEYSGDYGEAIDLEYLKNFHCRRVHILAEAGADLLAFETIPNKLEAQAFAQLLEDKCITIPAWFAFNSKDGINVVSGDSLTDCATVADTCKKVVAVGINCTPPRFISDLITSIKKSFGGKEF >KZN12119 pep chromosome:ASM162521v1:1:51141069:51144206:-1 gene:DCAR_004775 transcript:KZN12119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEALDLCEKGLRIVKRSNESLGLKELKSKTLRFMAASHLQTDDFESVLKCVRVLRDGDGDSDNHPSLSVLAMKAWLGVGRFEEAEKELRGMVVNKGIPEAVWVSAVEFYFQAVGTAGAETAKGIFLGLLGRCHVSAGAATRVVHRVLGDGVGSEGSKVRAKVVAELVSDDRVVALFAGDAAKERTVMHSLLWNCAADHFRFKDYETSAEMFEKSLLYVPHNTENRILRAKGFRVLCLCHLGLSKLKQAEEYIDEAEKLEPNIACAFLKFKIYLQKIEHDKAVTQVQSMPACLDFSPDFLSLSAHEAVACHALPVAVASLSVLLKFYSSGKSMPTTEVVVLRTLVTIISQDPLHDSDVLKYMKQAHARLSELGADSFFGKGEVGTRERNWFAVQSWNIGLRTGKEKNYELSWNFFMLASEFYGTMIDGDMDGNNVMVCKSLILAVTAKIADEKQRNVTLLESEVKQAIELLDRTGKILLSCSAGPQPNIDQTTNIEPYFLFIHTLDACDLYSRLSDTGPQLLRLIKSFASSKSCNPKYLLQIGLISSDGPRSNLEVATYALNTCLSSLLDSQSPDYGSVAQIMRKLISLSGVYKADTDDDEVYGMYRQAYRIMVGLKEGEYPIEEGKWLAMTAWNRAALAVRMGPSSAAMKWMNMGWELAGKVQGMQTYQSCMEDFIASFKDKCKATNSN >KZN10775 pep chromosome:ASM162521v1:1:38832183:38842532:1 gene:DCAR_003431 transcript:KZN10775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTRNQSKRQAESTGEEESKRPRLNAGKQTCVDDNDTLADISHEAVDNLLKRFNRRGSRGSKLQESFLSRCDAINKLESGLKKKEKQTADETSSRNVTESSSSKAKAEDGIAQQATNDDDQMDEPDWEEGPVNTISSRNDQEEGNITIEFEASPDTAKRKTICRASAEDKERAELVHKAHLLCLLGRGRLIDRACNDPLIQAALLSLVPRQFLKISETSKLTARALAPLVNWFHKYFHIRLRNDKERSFESALALALETQEGTGEEIAALSVALFRALNLTTRFVSVLDVASLKPCVEKNESVNKKATRTSRGIFNSPTLMVPTADLVSGFPSRQFALADMDNVCETSGRHSFKNKIRKTESSTSQVRGSPPADQLNDYEAQNNMSDSGFTQFKLPKRIGDLEFEMQLEMAKAATASGHDTIDRESSQTNFHFRSLSMSYRGTRRVRSEESPASHGFSVAFGSRKVGAPLYWAEVYCSGENLTGKWVHIDAVNAIIDGEQKVEAAVSACKTSLRYAVAFAGDGAKDVTRRYCMKWYKIASERINMGWWDAVLAPLKELESAATENMLMRREGLNKHEKTKTTGMPECPVENSYGVSRSCLEDIELETRALTEPLPTNQQAYKTHPLYALERWLTKYQMLHPRGPVLGYCSNHPVYPRTCVQVLRTKERWLREGLQLKPNEPPAKVLKRSPKQNKEQASEADEYDEGDDCGRDTSLYGKWQTEPLQLPHAVNGIVPRNERGQVDVWSEKCLPPGTVHLRFPGLVPIAKRLEIDFAPAMVGFEFRNGRSVPVYEGIVVCTEFKDSILEVYAKEVEKRDAEERRRNESQAISRWYQLLSSIVTRQRLKNRYGDGSASQSVAHTPNSNVEAGARVSNDKDAAKQTSKSQPRDLHVGKEKARQPEITDEHEHVFVMDDQSTGDEESSTRIKRCHCGFYIEVEVL >KZN09267 pep chromosome:ASM162521v1:1:22315761:22317887:-1 gene:DCAR_001923 transcript:KZN09267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNHSTSDSNSTIIQQDINSLMLFKSQIQDPTQRLSSWGVGFRCSNFTGVVCSNGSGQVISVNLTGMSLVGQLHSGLCELSLVEILILSRNNFSGSIPPCFGGFRKLKMLDVSFNAFSGVVPNTIFRVSRLRELDFGHNYFDGVIPLWIGNFSVRLEKMDLGSNRFVGEIPESLLYLKSLKYLDLSHNYLSGDLPDFHQSLEYLNLDTNLFSGTLRCLSSSVNSLTVLSVANNSIVGGIPTCISFLRALTQLNLSFNLLKYDISPGFVLSEKLVVLDLSFNELSGSLPSKIVKATEKSELMLLDLSHNRFTGDIPLAITELKCLQALSLSHNLLSGEIPARIGNLTYLQVIDLSHNLLSGSIPLNIVGCFQLLALVLNNNNLSGEIQPELDALDSLKILDISNNMIFGEIPLTLAGCKSLEVVDFSSNNLSGSLRDAITKWSNLRFISLARNKFSGALPSWLFMFEAIQTMDLSSNDFSGHLPNGNFNFSLNFNNGDTVLRSQSASLVASRDLRIRLSSLVADRNVLTVKYTLSSLVGIDISDNQLHGEIPSGLFGLHGLEYLNLSYNSLDGQIPQSLTNMRSLKALDLSHNSLLGQIPDNISTLGNLSILNLSYNSLSGIVSKKRGYSKFPGALAGNPNLCLESSALTCETKRQPAVPQALKEEMEEGPISVWVFCISALVSFYLGLLAIFCSPRTRMYILQIKAEM >KZN08677 pep chromosome:ASM162521v1:1:13364251:13368306:1 gene:DCAR_001207 transcript:KZN08677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKHLNVTEHAMDYPGGKVKFTSDMSFIPGSPEERIHCYRVLDEDGHIISSSNFIEIGKEVAVKMYMHMVTLQVMDTIFYEAQRQGRISFYLTCIGEEAINIASAAALNFEDLVFPQYREPGVLLWRGFTIQEFANQLLGNKADYGKGKQMPIHYGSNKHNYVTISSTVGTQVPQAVGAAYSLKMDGKDACTITYFGDGGSSTADFHAGLNFAAVMEAPVIFFCRNNGWAISTPVADQFRSDGIVSRGQAYGIRSIRVDGHDAVAIYTAVHEARQMAIKEGAPVLIEALTYRAGHHSTSDDSTRYRSAREIEWWRGSQDPVSRFRKYIERKGWWSSEAESDLRANTRKQIAEAVQFAEKTEKPPVAAIFQDVYDVVPSNLREQEKLLRETISRHSKDYPSDVPV >KZN10768 pep chromosome:ASM162521v1:1:38755045:38755560:1 gene:DCAR_003424 transcript:KZN10768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHKLAPLKRQWFLEDHNPTPPSSPMHSPPPSSIELDDDHWLSPQPNSNEESRDLEALPSIASSVSAAAASPTANLVPSCKSILNVDNTEEECKHSKNREAPKEDSRDKSEDL >KZN11282 pep chromosome:ASM162521v1:1:43400002:43404145:1 gene:DCAR_003938 transcript:KZN11282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLEKASFIALLVFSVLVETVVSAGLNLEVEIQALKAFKNSVTKDPLGSLQDWNDSSHHCNWTGIKCQPSTKRVVSISLVEMQIEGSVTPFLGNLTSLQVLDLTSNSFTGHIPSQLGLCSQLTDLIFYQNLLSGSIPSELGNLKNLQYADFGNNLFSGSIPESLTNCTELLALALNTNNFTGEIPYDIGNLINLQIFVAYTNSLTGSIPTSIGRLRDVQDLDLSDNKLSGSIPTEAGYLLKLQRLQLFSNSLTGEIPEKLGQCNKLILLNLYGNHLTGAIPIALGNLSSLQALRLYDNELNSTFPLSFLQLKSLINLQLSNNDLSGSIPSEIDSLASLQILMLHNNRFTGEIPSSVTNLTNLTYLSMGFNLLTGSLPLNIGSLHNLKNLSLNNNLLDGILPPSITNCTQLLSISLSTNNLTGKIPRGLSKLQNLTILSVEDSHMFGDIPDDLFECRGLSKLILSGNNFTGFLKPSIGRLTNLQLLYLDKNSFSGRIPEEIGNLSQLLTLSLHTNKFSGIVPPELSMLTLLQGLSLKNNLLEGLIPGSIFELRNLYILLLNKNKFTGPIPDAISKLEQLSHLDLSENKLSGPIPKSMARLKRMMTLDLSHNNLTGSIPGTVISSMRTMSTYLSLSYNSFSGTLPPEMGMLQEVQSIDISNTNLSGSISTLKGCRNLFTLDLSNNKLSGQIPADTFAQLTVLTKLNLSTNQLDGPLPENLAILRNLSSVDLSHNMFSGIIPEKFSNISTLKYLNLSFNRLEGRVPETGPFRNISVSSLQGNPSLCGGKSFQPCIEQKKSRGGLSKKTVIILASVGSFGVLMLFLSAILMFRRCTGKIRAKELEGAAPNYTSKSILKRFNPKELEDATNQFNEDNILGSSSMSTVYKGILEDGQLIAVKNLKVHQFAVTTEKSFNRELRTLGKLKHRNLVKLIGYAWESSKLKAIVLEYMENGNLETIIHNSGMDQARWTLSERIDVFISIARGLVYLHSARILDIDMNSSSSSSASAFEGTIGYLAPEYAFMKKVTTKVDVFSFGVIVMEFLTRKRPTGLSQENGQPITLPQLVQNAFADGTERLLQVVDRQLVPDISKQEQLEKILQLALSCTCQEPEGRPDMEEVMSSLSKISKMATQNGITEDGRSKKGQTSNAAFQSKSTGS >KZN09764 pep chromosome:ASM162521v1:1:29150493:29152775:1 gene:DCAR_002420 transcript:KZN09764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQLLRGLKFIHTANVFHRDLKPKNILANADCKLKICDFGLARAALNDTSTAVFWTDYIATRWYRAPELCGSFLSKLDLITDLLGTPSPEAIAKIRNEKARRYLSCMKRKKPVPFSQKFPNADPVALRLLEKMLAFEPKDRPSAEEALSDPYFKNLANVRREPSAQPVPKLEFEFEIRKITKEDVQELIYREILEYHPNMLKDYLEGSESTSFMYPSAVEHLKSQYAHLEEHSEKGGTVAPPKRQHASLPRQSVLYANESAHSVAKVTNDLAECSIKDVERSHTNTEKTPSIPLTRLPIQVPRYVQGTSVRPGKAVCSVSRYNTSGVSVAESVDKKLSIRSPDVLTRYANSSSSYRRRNLGDKNEMVEDKTEGSNVQPRPAFMSTSKEGGAQGVPGNKHC >KZN08224 pep chromosome:ASM162521v1:1:4100085:4104443:1 gene:DCAR_001289 transcript:KZN08224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTAPPYNSTYSDNSKPYFEWYKNPAASMFQFSHPLSRNSSSPAGSGSSNIVVVESEEQFKRSLSKVQDESQSAIFYYTAVWCSPCRLLSPIIKQMSEKYPHVTTYKVDIDHKGLGNALSNMDIHSVPTVHFFRNGIKANEVIGADVQLLKNIMEKLYK >KZN11102 pep chromosome:ASM162521v1:1:41712956:41714095:1 gene:DCAR_003758 transcript:KZN11102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRKTSYLNWIASAANTLVILFVLIAGFAHADTANLKPFFPFGAKGVFQAAAIVYFAYGGFDSIATMAEETKNPSKDIPLGLLGSMSIITVVYCLMALSLPMMQKFSSIDREAAYSTAFESVGMKWAKYLVALGALKGMTTVLLVGALSQARYTTHIARAHMIPPWFALVHPKTRTPINATLLITICAGCIAFFSSLDALSSLLSISTLFIFMMMAVALLVRRYYSRGVTPRANLLKLVAYLLVIIASSMGISAYWGVTQDGWIGYAVAVPIWFLGTLGIAMLPQQRTPKVWGVPLVPWLPSLSIAINLFLMGSLPSSAFMRFGACTVVMLIYYFFFGLHATYDMAHQQQKTDTTKILELEEHQVGKERSVEDSGIA >KZN08235 pep chromosome:ASM162521v1:1:4201434:4202027:1 gene:DCAR_001300 transcript:KZN08235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHWFPPSPGEVKVNVHGVTLPVPAANGNTSGMGAVIRRANGGMSSSISGTIPNLSPVENQLAAIHIGMKRAYEETCKKVIVETDNLEAFGMLKFQHNGISITARNIIQQIKILKKDKAWKCKIRYVYPRRNRVATYLALLGGDLFGCLFLSFEPLGRAAELMDMDIGLGFHDPRYQEVQMNGEEMELFDQALDEG >KZN09159 pep chromosome:ASM162521v1:1:21067756:21071589:-1 gene:DCAR_001815 transcript:KZN09159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNEPTFVPEWLKSSGSVTSAVSTNHHQIASSSLLSDDRATLKSTRNKSSIDDISAHNSGSSPVSDRTTSSYFRRSSTSNGSQLRSYGSFGRTNRDKGWDKDTNEYHDSDKLRIGDHRHRNFSDPLGSNFSNRFEKDGLKRTQSSISGKYNEPWSRKVSADMNSFDKSNYNNGSSLLAGSSAISTVRKAAFDRDFPSLGADERQTDYELRRVPSPGLSTNMQNLPIGYSAVTGEIGWTSALAEVQVKVGANGINKSSVAQAALPSSASVASSMTSGLNMAETLAQGPPHVHATQFSVGTQRLEEIAIKQSKQLIPVTPSMPKALVLNSSEKSKTKAAQQQHQTSSTHHFNHSPRGTPMKSDMSKTSSLGKLQVLKPARERNDISYQTKDTLSPTNASKVPNNPLTAASSVGVPPSLRSPIKNPIVASGVVPTVLEKKPSAQLRSRNDFFNLVRKKSLTNHSSPVVDSVSTVSQSILEQPSEHKAGAPPPGEDSLLANQSDTVQYKMNGLISNRDACDGTPKSPDNGENGETRSSSDVILCSEEEEAAFLRSLGWDENAGEDEGLTEEEIREFYRDASKVRASLYRGLSIKFI >KZN11588 pep chromosome:ASM162521v1:1:46033696:46036946:1 gene:DCAR_004244 transcript:KZN11588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQVRSVGCTITRSRFFVCVWLCLIMVDDICLSNEINKLLRGQSLSPDQFIVSNNGIFQLGFFATNKPAPNLYLGVWYKGFANRTTVWVANREKPLLESLSSKLEISDDGNIVLLDESTSIVLTEVTEKSFLPNSVEAVLLDDGNFVLRESSKPSVIYWQSFGHPTDTWLPGAKLRTDSLLTSWKNSEDPSSGLFSVRIDVSKDKEYSLIMEWNKSTRFSSSGIWKNKIFSLIPELNYISDFRFVSGENETYFSYSIYNPKTISRLVIDVSGQLKQFNSFRENPLWSTTLVEEPPEAYAICGPYGILNLSASCECLPGFQQPLSPGLDDFRDGCTREKPLKCENSSSNGKKDEFKRIPNVNVYSNSVLHPAQSMKNCEIACTKSCSCIAYMYHNSKCLVWENILLNLTQVSDGHNNRYDLYVKLAASEKANGGKSIVAWIVSATVASLVALVSGGCLCRFWICKGQDTGKEDLRKDLQYYDFSSSSNATDEKRNKNKLTIAGKRDDELPLFSFKSVSAATENFSVENKLGQGGFGPVYKGKTIAGQEIAIKRLSRRSGQGLEEFRNEIALISKLQHLNLAWKLWNDDRAVELMDPALGSPSSVYTLMRYINIGLLCVQGKPADRPSMSKIIPMLNSDLIPLPAPTEPAFTTNHTVKPEVLLSGGENCTLNGLTVSRIEPR >KZN11075 pep chromosome:ASM162521v1:1:41525593:41530960:1 gene:DCAR_003731 transcript:KZN11075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNESNTMFIDFSHVMRFNDVLQKAIADEFLRFESSLKNACKRFVMEQKPTFITDDNPNKDINVAFYNLPMLKRLRELSTSEIGKLVAVRGVVTRTSEVRPELLQGTFKCLECATIIKNVEQQFKYTEPSICMNPMCSKGKSFALLRQDSKFADWQRVRMQETSKEIPAGSLPRTLDVILRHDIVEQARAGDTVIFTGTVVVIPDIMALASPGERAETRREASQKKNPGGQEGVKGLRALGVRDLCYRLAFIANSVQICDDRKDSDIRNRKRDAEDDDSQEFTTEELNEVQQMRNTPDFFNKLVDSIAPTIFGHQDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYTTTLVARSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGVCCIDEFDKMDVRDQLEALVRLSEAIARSHLEVQVATRHVRLAVRLLKTSIIRQAMIRSNLVGHLLLSSEIDLSEFQDGDFEVEGADPAAAEAGQSGAEPESTSNNAENGDDATGQQKKKLVITDEYFQRVTQALVMRLRQHEETVTQEGSGLAGMRQRDLIQWYVGQQNEKNNYSSMEEAAAEVSKIKAIIESLIRREGHLIVLDDGTQAAEEGENARQPSSRNDRILAVAPNYVID >KZN08367 pep chromosome:ASM162521v1:1:5699841:5700416:-1 gene:DCAR_000913 transcript:KZN08367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTECMYQNMSSQKDFKGDSQVSGGPSQPAPRKDLHTKVEGRGSRIRMPTLCATRVFQLTKELGLATDGETIQWLLQQAEPAIIRATGTGTVPAIATVTADGSLRVPETKEGAAEDVSKTSGLAPVGPSPVSVPGFGMAAENGMRMGVPHGAEPNQNQTSVSCEARVSSDAEEEYDEVVLMGKKIRFRKGGI >KZN12115 pep chromosome:ASM162521v1:1:51117265:51122160:1 gene:DCAR_004771 transcript:KZN12115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNTFLSIFIFCILLCFGLIQSAPETALVTHLPGFNGAFPSKHYSGYVPIGAADGKKLFYYFVLSERNPTEDPVVLWLNGGPGCSSFDGFVYEHGPFNFEKGDSQNSLPKLHLNPYSWSKVSNIIYLDSPAGVGFSYSGNESDYITGDQKTAIDSHKFLLKWFELYPEFLDNPFYIVGESYAGIYVPTLSFEVVKGIDANVKPAVNFKGYMVGNGCTDDKFDGNALVPFAHGMGLISDELYQEVITECQGNFYNPANDNCESKLGKVDREISGLNIYDILEPCYHGSENKITTVTTRLPQSFRKLGETERPLPVRTRMFGRAWPLRATVRDGIVPTWPQLLNSGNVPCTDDEVATSWLNDETVRKAIHAEQASVAGEWVLCTGRISFRHDTGSMIKYHRNLTSRGIRALIFSEAWTRSMGYEIVDEWRPWIVKEQVAGYIQGYGNNLTFLTVKGSGHTVPEYKPAEALAFYSRWLAGEKI >KZN10766 pep chromosome:ASM162521v1:1:38725225:38738358:-1 gene:DCAR_003422 transcript:KZN10766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERSLSERRLREEEEDNEFEIQELRDEIRSARHNRYMSGLSQDLQLGSSINRRRSHGNDSFFTRLFDFSQDCSVHPNNKWYRVWEKFIVLYAIYSSFFTPFEFGFFRGLPQNLFLLDVVGQVAFVCDMVLQFFVAYRDSVTYKMIYNRSAIALRYLKSHFIVDFLGCLPWDILYKASGSSEEVRFLLWIRLSRTRKVLAFLHKLEKDIRINYLFCRILKLLIVEIYCTHTAACIFYYLATSMPADKEGYTWIGSLQLGDHSYSNFREINLWKRYLTSLYFSIITMATVGYGDIHAVNPREMIFVMIYVSLDMVLGAYLIGNITALIVKGSKTERYRDKMSDIFKYLSRNRLGKDIRRLIKDHLRLQYECSYTDSAVLRDLPISIRAKISQNLYKPYVEKVVLFKECSAEFISQIVNRVCEEFFFPGELIMEQGDAVDHIYIVCHGVLEEVTVRDNGAEEEVALLQPSSLFGVVSILCNIPQPYTIRVRDLCRLLRLDKQIFSNILQIYVDDEQRIITNIREGRVNLHVKQLESDITFHIGKREAELATQVNSAAYHGDLLQLKGLIRAGADPNKKDYGGRSPLKEATVSDRVFCLQHLAASRGHEDITIFLIQESVDINIIDNFGNTPLFEAIKGGHGQVASLLIKEGAVLKVDDAGTFLCTTVARGDIDFIKRALSSGVDPNSKDYDHRTPLHVAASQGFYLLAKLLLEAGASVLLKDRYYLVSCFNNIDELFRLMCTIPIKFSFMMTANLPTKKCTVFPFHPQKPMDSDRYGVILWVPRTIGELIETAAKQLNFSPNSFIVSEDAGKLLDVNMISDGQKLYLINER >KZN09729 pep chromosome:ASM162521v1:1:28833577:28834086:1 gene:DCAR_002385 transcript:KZN09729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLSLSHIPLPPSFPRTPSKITSNLTPQPIFTPITSTSKPLKKSHFPIIKSIQDPNSSSVSQEATSETETPAPQEPESDQLSEIGAEIKKAMKERDESKQESDDFWKGVGEEIGEIEWPAFSKVVSTTGVVLGVIAGSSVVLLTVNAVLSELSDQVFAGRGFQDFFG >KZN11929 pep chromosome:ASM162521v1:1:49474678:49477047:1 gene:DCAR_004585 transcript:KZN11929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPDPLLLEIFQKLPLKSVFLSESVSKHWLSLISDPFFARSYTRNITTTNNYTPPWTLFSTFHEVSLDERAIIRDPELVNIDISLRFMIPVIYSVNQAAVSLKLLDSSKGLLLACSLNDDSQVLDYFVCNPLTKKWVGLPKFLSQPKWVKAVIMVDDDSGDYFVVRIVQLTCYSKVFNFEVFSSESSQWKYVKVCCEKGLRFFVMRRHTVCYNGLIHCLADNHTILVFDPRSDGSKCRLIDLPCDRVSRIVGVIGVSCGFMRYVEVADTYLEPTEEPSLGVWLLKDYDAGEWILEYRIELSEFCSSDLYVTSMFSRLGDITRAVAFHPFDRDIVYLNCSSHLICCQLSTKMVEVVECASDKMRDSLFNFEVFPFALPPWPTPISRKLRVHESLDPLLVELPDSMLMEILQKLPLKSIFCFKSVSKHWFSLISNPKFAKSYTLQIFTKNNYSPPCAIFSSLDQMGFQERVLMRDQELAHTNFSLQFLGPIIGQASTKLLDSSNGLLLLCSMSDSQILDYYVCNPLTMKWVCLPQPLRQPKWVKAAILVEKVSGNFLVVRVAELLFRSKVFNFEIFSSKLGEWRDLTMNCSRRIRFFVKARHTVCYNGMILWLVDNHTILAFDPEGNTNDCHFIDLPPDTESMHLGVLGVSCGLLCYMEVSNNKYEEFREQSLGVWTLKDYDAGEWCLECRIQLNEFWCGDDASDNRWDPDTALCTRPLGFHPFDFDMVFLEQGRRLYCCNVSTRVVKIVDCESHLNNSLFKFEMFPFVLPPWPTPVPQPIWETDQSAN >KZN10134 pep chromosome:ASM162521v1:1:32918935:32919243:-1 gene:DCAR_002790 transcript:KZN10134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRISITELEKPAVLRLNAEDSGKPDGAKGKTGPGANISSGFGGLGLDGMTGNVDSDGEGAVSEGNTGSMSGESAGLKAGGEERGGSNGRSAGAAEITDIGS >KZN10538 pep chromosome:ASM162521v1:1:36700665:36700997:-1 gene:DCAR_003194 transcript:KZN10538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDTHTKVDDHVFSVLLDGREVVSYQKNGEGEVVRMKVFVKRDKLEKVLQAMRANLGQSSVNASILPSSLSSEECINAMKRRRILRGTPVKLSFHYCSSWRPALSSIPE >KZN11565 pep chromosome:ASM162521v1:1:45799037:45799534:1 gene:DCAR_004221 transcript:KZN11565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKNEFQEEEMWSVASKGKQRKGAFSFKKKKSLISSSSTSCLSTAWHSPLPSTPKMMIPKAKNVVNEGDNLAGQQYSAPMKIPGHYGGKDAMKNGNEYDGENDDDDEEEDGEMIPPHEYIAKKMERTRIASHSMCEGVGRTLKGRDLCNLRNAILSQTGFLEK >KZN10723 pep chromosome:ASM162521v1:1:38462322:38462963:1 gene:DCAR_003379 transcript:KZN10723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKLIHISLILLSLVSTFEACPKCGSMDVPYPLSTSDNCGDPKYRIYCNNGSLEFLSAQGFYYKILSINPSSYKLIIRPPSIQNPMCQSSDLPQGGLLLNDNSPFNISTRNTVMLFNCSDNILLSPLNCSSNSVCKLFEEQSVEGSGCRNTLCCSFLKDASITSHRIRVRVGGCTAYTSVVEMRSNAPFDSWNYGIELQWAPPSGDSHFRGH >KZN08663 pep chromosome:ASM162521v1:1:13231428:13234881:1 gene:DCAR_001193 transcript:KZN08663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKATLDLVSSLFLVYSCFLVVFTRPELVTSLSSDGQALLSLVSTADHYAKSSSSVLSTWTPSSQTPCSWQGITCSPQDRVISVSIPNTFLNLSGLPLELSSLSSLQLLNLSSTNISGHIPSYFGSFSHLHLLDLSSNSLSGSIPPELGQLSSLQFLFLNTNQLTDSIPPQIGNLSSLQVFCVQDNLLNGSIPSQLGSLSSLQQFRIGGNPYLSGAIPLQLGLLTNLTTFGAAATGLSGVIPPTFGNLINLQTLAIYDTEISGRIPPELGLCSELRNLYLHMNKLTGSMPQQLGKLQKLTSLLLWGNSLSGPIPGEISNCSSLVVFDVSANELSGVIPGDLGKLVLLEELHLSDNFLTGSIPWQLSNCTSLTALQLDKNQLSGPIPSQIGNLKFLQSFFLWGNLVSGTIPASFGNCTELYSVDLSKNKLTGSIPEELFGLKKLSKLLLLGNSLSGGLPPSVANCQSLVRLRLGENQLSGHIPKELGQLQNLVFLDLYANHFSGGLPAEIANITVLELFDVHNNHISGDIPSRLGELVNLEQLDLSRNGFTGEIPWSFGNFSYLNKMILNNNLLTGSIPKSIRNLQKLTLLDLSFNSLSGAIPDEIGDVTSLTISLDLSSNGFTGEIPETMSDLTQLQALDLSHNMLHGKIAILGSLTSLTSLNISYNNFSGPIPVTPFFKTLSSDSFLENEDLCQSVNGYTCSSHQFRKNRLKSAKTVALVAVILTSVTIAVVAFWIFVSRNHRYMADKSSCTLASSSGREDFSYPWTFIPFQKLNFTIDNILDCLKDENVIGKGCSGVVYKAEMPNGELIAVKKLWKTKGDEEAAIDSFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYISNGNLQQLLQSNRNLDWETRYKVAVGAAQGLAYLHHDCVPTILHRDVKCNNILLDSRFETYLADFGLARLMNSPTYHQAMSRVAEYGYTMNITEKSDVYSYGVVLLEILSGRSAVESRVGDGSHIVDWVKKKMGSFEPAVTILDSKLRGLPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSTPEEWAKTSQPLIKQSTSQS >KZN10586 pep chromosome:ASM162521v1:1:37247144:37249695:-1 gene:DCAR_003242 transcript:KZN10586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIAPEEFSAVADAEALKKAFHGWGTNEKGVIAILGHRNAAQRKMIWKAYEEKYQENFIKRLESELSGHFEKAVYRWVLDPADRDAVLVNLAIKKVPDPRVIVEMSCVKAPEDLLIVKRAYQIRYKRSMEEDIASHTSGDMRKFLVALVGVHRYDGGEIDTKLAKSEADILNKAIKENLLTHEEIIRIITTRSKAQLMATRNHYKDAHGISLSKHLAENPANEFLSALLTAIKCLTEPKRYYEKVLRTAIKQKGTDEDALTRVIVTRAEVDLEEIKDLYYKRNSVTLENAVAKETSGHYKAFLLTLLGKQD >KZN10694 pep chromosome:ASM162521v1:1:38225153:38228067:-1 gene:DCAR_003350 transcript:KZN10694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGYQIIHMIFLIIFLLTSFHFLFHGAVLNEASFFDVRSRQDGEKIYHIRKVGGENIGLSFGKFRALLGLRSFMTRKESYYRAKFRYGAQAPCPSEVSAPAPARALPLHVHSHHHLSPRSDLLPRVTVPFQKMHVNNEGKNSGRRKLVAILVSTSATFVLCGIGLTWGCKKIRKRRRSTSTVSVVHSTEGGTRSVSKYVVNSQNSVRKVTSDPGPDLFYLNTIESALEPQPFTIKAWDSVNADSLVSRETVSVNEADKRIKHEPIGCSSQFRVNDTPDEAHSSDDESFHSLCNSHSSNARLSNASAGTVSDTSEVVSSNVSNALASPVNSGVSSPTPVLKSLSCPPPPPLPLLARTDIFPSSSTSCSTERVSTSPSSSTLLNLLSPKNSYSSSGSNQNLSKAPIGIPRPPIPPPPTKGYGNPQNGPPPPPLPQQIPLSKDGVPLAKLKPLHWDKVRASPDQSMVWDKLRSSSFEFDEEMIESLFGYNLKTSMNNEDTKSKSPSPSKHVLEPKRLQNITILSKALNVTAEQVCDALMQGTGLTLQQLEALIKMEPTKEEEGDLASYKGDINQLGSAEKFVKKMLNIPYAFLRIEAMLYKETFEDEVVHLRKSFSMLEDACKELRSSRLFLKLLEAVLKTGNRMNVGTTRGGARAFKLDALLKLADVKGTDGKTTLLHFVVQEIVRAEGIKVSESIIGKINNQKNKSKNVENCEETYRKMGLDLVSGLSTELYNVKKTATLDIDVIASSVSTLSDGMTKLQHLVNKDLSKEEKSGNFVQAMRSFLNNAEKNLRELQEDESVVLLHVKEITEYFHGNVSKDEANPLRIFVIVRDFLGMLDHVCKELRTFKVPISPTPLAAFR >KZN07937 pep chromosome:ASM162521v1:1:791290:794280:1 gene:DCAR_000606 transcript:KZN07937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLGVDVASAHNLLPKDGQGSSNAYVELYFDGQRHRTTIKEKDLSPVWDESFYFNISDPSNLHNLTLEAYIYNNIKIAQSNSFLGKVSINGTSFVPHSDAVVLHYPLEKRGIFSRVRGELGLRVYITDDASLKASKSQHAAEETEMHSHAAEAQVSRAFSNIKSESRHSFHHLPNPSHHMQQQHSPSMSVYESTKYGVDNMKAEPQPPKLVRMYSAASVQPVDYALKETSPFLGGGRVVGGRILHTDKAACTYDLVEKMHFLFVRVVKARELPAMDITGSLDPFVEVRIGNYRGVTKHMEKQQNPMWNVVFAFSRERMQASVLEVVVKDKDLLKDDFVGLVRFDLNEVPMRVPPDSPLAPEWYRLQDKKGEKIKSELMLAVWIGTQADEAFPDAWHSDAATPIDSSGAASTLIRSKVYHAPRLWYVRVNVVEAQDLVPTERTRFPDVYVKAHIGSQVFKTKTVQARSLNPLWNEDLIFVAAEPFEDHLVLTVEDRVGPGKDEILGRVIIPLSMVEKRADDRLIHSRWFNLEKPVAIDVDQLKREKFSSRLHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGILNAVGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTIVDNLCPKYNEQYTWEVFDTATVLTIGVFDNSQLGEKGGKDLQIGKVRIRLSTLEAGRVYTHSYPLLVLHPTGVKKMGEVHLAIRFSCTSFVNMMYIYSKPLLPKMHYARPFSVMQLDMLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSIFSGLFAVGKWFGDICMWKNPITTVLVHVLYVMLVCFPELILPTCFLYMFLIGIWNFRYRARYPPHMNTKISQAEAVHPDELDEEFDTFPTSRNPEIVRMRYDRLRSVAGRIQTVVGDIATQGERVQSLLSWRDPRATSIYVTFCVVAAIVLYVTPFQVIAALAGVYMMRHPRFRYRLPSVPVNFFRRLPARTDSML >KZN09771 pep chromosome:ASM162521v1:1:29218334:29219383:1 gene:DCAR_002427 transcript:KZN09771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWSSSPHLPLLLLGLLSVLLHPPATGIRFVKSNIQLPSDDDLPAFREAPAFRNSDNCALQNHVHIVMTLDVNYIRGTMAAVLSMLQHSTCPDNLSFHFLCLHYQPKIFSTIKSTFPYLKFNVYYFDSTRVQGKISRSIRHALDQPLNYARIYMSEILPQDVKRVIYLDSDIIVVDDITKLYEVDMEDKVVAAPEYCHANFTSYFTDNFWKDPKFSRTFEGRTPCYFNTGVMVVNVEKWRRGRYTYKVERWMRIQKKRRIYQLGSLPPILLALAGDIKAVDHRWNQHGLGGDNYEGKCRSLHPGPISLLHWSGKGKPWLRLDSQRPCTIDHLWAPYDLYRSSRVALEE >KZN10626 pep chromosome:ASM162521v1:1:37729698:37735984:-1 gene:DCAR_003282 transcript:KZN10626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIKRKSLEDPTEESAPHPKQQRENGFAGVDEPVACLHDVSYPEGFVHRSSDKAVAQEESKPAKEFPFTLDPFQSEAIKCLNIGESVMVSAHTSAGKTVVALYAIAMSLKNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEVWRSMQYNASDLVKEVAWIIFDEVHYMRDRERGVVWEESIVLAPKKSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYIFPSGGDGLYLVVDEKGKFREDSFQKGLNALVPSSEGAKKNEAGKWQKGVVAGRAGEESDIFKMVKMIIQRQYDPVIVFSFSKRECELLAMQMAKLDMNGDDEKVNIETIFWSAMDMLSDDDKKLPQVSNMLPILKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFSNVRKFDGEKFRWITGGEYIQMSGRAGRRGIDERGICILMVDEKLEPSTAKMMLKGSADCLNSAFHLSYNMLLNQIRHKDSEPENLLRNSFYQFQADRAIPDLEKQAKHLKEEKDSMIIEEEDSLDNYYSLLQQYKSLKKDVRDIICSPRYCLPFLQPGRLVCINCSGDENSPAFSTDDLTTWGVVINFERVKGLSEDDANKKPEDADYTVDILTRCAVTRDEVSKKTMKIIPLKESGEPVVVSLPLSQIYSLSSVRLVIAKDLIPLQNRENTLKKVSEVLSRFSKEGMPLLDPEEDMKVQSSSYRKSVRRIEALENLFDKHEIAKSPLIEQKLKVLHKKKELTARIKQLKRAVRNSSVLAFKDELKARKRVLRRLGYVTDDDVVNVKGQVACNISSADELTLTELMFNGVLKDLKVEEMISLLSCFVWQEKLKDAPKPRDELQLLFTQLQDTAQRVAKVQFECKVQIDVENFANSFRPDIMEAVYAWAKGSKFYEIMEISQVFEGSLIRAIRRLEEVLQQLILAAKSVGETEFEQKLEDAVSKIKRDIVFAASLYL >KZN10726 pep chromosome:ASM162521v1:1:38474493:38478388:-1 gene:DCAR_003382 transcript:KZN10726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTEVKTRPPLVPLGTLLGRELRNEGVDKPAIKYGQAAMSKKGEDYFLIELKCERNIENSSKPFSVFGIFDGHNGISAAVYTKENLLNNVLTAIPQGLSREEWLQALPQALVAGFVKTDIEFQQKGETSGTTVTFVVIDELTVTVASVGDSRCILDAQCGVVVLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPVPHVKQVKLPNAGGRLIIASDGIWDALSSDMAAQACRGLPAELSAKLVVKEALRSRGLKDDTTCLVVDILSYDHPVLPPVVRKRQSPLTSFILRKKSQNLTNKGTSNLSAVGVVEELFEEGSAMLAERLGKDSHLDPNSGLAKCAICQVDQPSSDCNSDNSETIFLSAKPLEGPILCTNCLKKKDAMEGKRATTSTQAA >KZN08209 pep chromosome:ASM162521v1:1:3940886:3942169:-1 gene:DCAR_001274 transcript:KZN08209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFNPHINMPCIPYAFTTISTASCAFPGSITATPTPWMDTRIWSRLPHRLIDRIIAFLPPAAFFRSRSVCKRWYALIFSTHFLQLYLQVSPVRHCFIFFKLKSLKSHIYKNTNPPYNIDQNRLNCEGYLFDPETITWHCLSFPLIPPGYSPSSSSGGLICWVSDEAGPKTIFLTNPLIGSLTPLPSTIRPRLFPSVGLTISTSSIDIVLAGDDMISPYAVKNLTTESFHIDSSGLYSLWGTTSSLPRLCSLESGQMINLGPKFYCMNYSPFSVLAYDISSNTWCNIQAPMRRFLRSPNLVVINNKLVLVAAVEKSKLNVPKSLRLWALQSCGGAWAEIDRMPLQLYAQFEAEEGGRGFNCVGNGEYVAVVIRGSGKAVLFDMGMKRWQWIPACPYENGGGELHGFAYDPKLATPVTGLLDQLTGPF >KZN10965 pep chromosome:ASM162521v1:1:40684169:40684797:-1 gene:DCAR_003621 transcript:KZN10965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLQIHMKGSRHCAAESRLKEKELYRQAQLNKRIALSGNTASADTTDVPRQQYGLTSKPLTQRTMKAVSEALRTNSAQQFAIPRIDTVKDIGNPVMKGSSSIYDNAIGQVVADKRLPQQQLDYKERKEKELKFTAAGWKRDGFGGWYKDESVEFDSDEEDPNVSLA >KZN09387 pep chromosome:ASM162521v1:1:24484844:24485254:1 gene:DCAR_002043 transcript:KZN09387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KZN09417 pep chromosome:ASM162521v1:1:24804365:24807329:1 gene:DCAR_002073 transcript:KZN09417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGADPRELGLKRQRLLDQGSSYYGAGPGSSYMYSPPAYSYVNQPPPFPVVRLRGLPFDCSENDVYEFFHGLDIVDVLLVHKNGKFSGEAYCVLGYPLQVDFALQRNRQNIGRRYVEVFRSKRQEYYKAIANEVSDARGGSPRGISRSSRAKSHDEGKESAEHTGFLRLRGLPFSAGKDEIMDFFRNFDLSEDSIHIPVNSEGRPTGEAFVEFSSAEDSKAAMDSKAAMVNNRKTLGSRYIELFPSSSEECQEAVTRGRSSASHDGDESPEQTTVVRMRGLPFSAGKDDIMEFFKEYVLSEDSIHITCNMDGRPTGEAFVEFAGLDDAKGALAKDRMTLGRRYIELFPSSLEDMKESGARGR >KZN11004 pep chromosome:ASM162521v1:1:41026713:41029836:1 gene:DCAR_003660 transcript:KZN11004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEALANPTNGSGITRPDPQRTYQVVVAATQNMGIGKDGKLPWRLPSDMKFFKDVTMTTSDPLKRNAVIMGRKTWESIPIQHRPLPGRLNVVLTRSGSFDIATVENVVICGSMISALELLAGSPYCVSVEKVFVIGGGQIYREALNAPGCDAVHITEIEEHIECDTFIPLLDESVFQPWYSSFPLVENKIRYCFTTYVRVRNSVAELTSQTNGLSSDSKSDSGNFEIQNFSFLPKTVFEKHEEYLYLGLVENIISNGVTKNDRTRTGTVSIFGCQKVFWRGVVEELLWFISGSTNAKILKEKGVNIWEGNGSREYLDSIGLTDREEGDLGPIYGFQWRHFGARYTDMHADYSGQGFDQLLDVISKIKNNPDDRRIILSAWNPSDLRLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFVHSIGDAHVYCNHVRPLRDQLQKLPKPFPVLKINSEKRDIDSFEAADFKLIGYDPHQKIEMKMAYMFHKNIFFSSTECVYPLMQEQERILGILAVICIRWEEKTLEYCYMNIP >KZN08047 pep chromosome:ASM162521v1:1:1739878:1741361:1 gene:DCAR_000716 transcript:KZN08047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGARVTKATDAMNTTTLNADYVKPEIISQTPPHPQDAVLEIKYSTCKNHDLNSQAPKFRVHNLCAGRLEQPNKVIHIIDPYLNLAHRVELNRMMLHAAQIIDDDLKNTELDERDLKVIWYGDGKRNVKEFWSKRFLKHGNFSTGINGEVMVDYASKIYEFGETVVTGNAEEYCLGEFLEKNGFQVGKYHQVEDLHPVLKEYFEVDVYPTFVAQGTHPFLHEVGVLYSKDKGEKLNHPMAKLVPKGSFLMRRI >KZN10370 pep chromosome:ASM162521v1:1:34999294:35001981:1 gene:DCAR_003026 transcript:KZN10370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLINWLKQLSIEYEADELERLGLGVKHREERIRDLRSEKISLDGSILDLEVSIGKYQASCSIPVNREVDAQSEDETYKNILELEKSAAGLVHQLRTQQGTQVSHSPLVKDVLGFVATLGKTDDENLSWEKAIVKFPMILGKPSLPGDYYETKNDLQIKKWKLEILLENICREQSVLDQDRFNFEVKRQEFVQLARVTSAETCIIYELCCVIVLTAIQTVAYFISKANIFLVGVIFLIVLLV >KZN08560 pep chromosome:ASM162521v1:1:10499115:10499321:1 gene:DCAR_001090 transcript:KZN08560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSNISFNCLGAYSPLLAVVSCSSLNSSFVQTFLSYMRKQSCPLSATGTLLCLLAKVSRLMLLPCKV >KZN12103 pep chromosome:ASM162521v1:1:51044258:51046493:-1 gene:DCAR_004759 transcript:KZN12103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTQLSILLLFSLSLLSPSQSLTCTSQKFTNNKIYQHCNDLPQLNSYLHYTYDATKSSLSIAFVATPPKPDGWVAWAINPTATGMVGSQALIGFKNPDGSVAVKTYNITSYGPIAESKLSFEVTQKSGEFSDGVFKIFATLALPEMGKLNQVWQVGASVTGGVPDKHDFQPANLNSKGTLDLASGQSSATGGGGDRHRKKNIHGVLNAVSWGILFPLGIIIARYMSVFPSADPAWFYLHVFCQVSAYAVGVAGWGTGLKLGSQSKGVKYSSHRNIGIALFVLATVQIFALFLRPEKDHKYRLHWRIYHHGLGYAIVILSIINVFKGLDILDPEEKWKRAYIGVIIALGVIAVILEAITWIMVSKRKSRSSTKPYNGEHNGDGRQQPLSQ >KZN11479 pep chromosome:ASM162521v1:1:45051679:45062184:-1 gene:DCAR_004135 transcript:KZN11479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCFNPMCKQPVTERSGRGWRCETGQFADLCPRCASAYEDGNFCEVFHLTASGWRCCECCGKQIHCGCVVSRHMFILLDAGGIKCMACVKKSSVLTPNPAWPPPLYYHGSSPDEVKDLLVKNWSSIVGSGPVPWREAPSWFNKNTSKVELNLKIPSQVEVLGGIDRLYAHKGAPNCSLDKNKKWESSGRITNGSPSGGALENVGNDVSGSKYEEQPTTNPNVTPQSNISILEPSTQTSHFCPGLNPEFIREITEKDKVSGINACVIPPPAINNFFPSSSGVESNSRAQTHNGNPRGGGEAQSQLPLSNGPRITNQELQAISGHPRTAVTFLFEKTLSASDAGRMSRLVLPKKCAEAYLPEISNPEGIPLMMKDMKGNEWMFHFRFWPNNNSRMYLLEGVTPCIQSMQLVAGDTVTFCRLEPEGTLVMGGRKSSTPPGNQSKESINTGNELSTHREVTTRASRPGESFSAPIHVEDNMISSSLLTTSQANSADPKNKWTEADNFKTAKNDVPGGEIFLSKKKNSTFGSNSKRLRMGNNQIIELEITLKEVQGLLRPPSDYAPQIVIIDGNEIAEFEEPPVIGRPTILATDCVGKFQWAQCEDCCKWRRIPSDILLPSRWTCYKNSWDPERSLCSVAQELIPEELEDLLITAKKQKFKKQDEPDSVDALEGLNELANLAIHEKGGILPLSRTTSKHPRHRPGCTCIVCIQSPGGKGPKHRQTCTCNACVTIKRRFQTIMSKHEMKQTEDKRQKLQQPEDILRCSDNGNCSSSHDAVTNDGSGHDSYKMKYFESPFKRQIDLNVQPEGEEDLSPFSNSGSMMRLLQNATGRFL >KZN11956 pep chromosome:ASM162521v1:1:49664696:49666732:1 gene:DCAR_004612 transcript:KZN11956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDGHGENSPYFDGWKAYDSDPFHSVENPQGVIQMGLAENQLCFDLIQEWILKNPKASICTSEGVDEFKDTAIFQDYHGLPEFRDAIAKFMAKVRGNTVTFDPDRVVMSGGATGAHETMAFCLADPGDAFLVPTPYYPGFDRDLRWRTGVKLLPVVCESCNDFKITREALEEAYQKAVESNINVKGLLITNPSNPLGTILDRDTLQSLVDFINEKNIHLICDEIYAATVFTKPMYVSIAEILEEDKKCNRDLIHLVYSLSKDMGFPGFRIGIIYSYNDSVVNTARKMSSFGLVSTQTQRLIANMLSDDTFIDQYVSESRKRLAARHGVFTRGLMQVRVGNLQGNAGLFFWMDLRRLLKDSTVEAEMELWRVIINEVKLNVSPGSSFHCSEPGWFRVCYANMDNETMRIALRRIKKFVLQADERQVTAKKQTWHKDLKLSLSFRRCDDTILMTPRMVTPHMMSPHVVVSPHSPLASPLVRARN >KZN08915 pep chromosome:ASM162521v1:1:17619471:17623151:1 gene:DCAR_001571 transcript:KZN08915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVSEEEVVLQWSEIKLPSLGAEPELERETGERRRGRDILIAIDHGPNSKHAFDWAIVHLCRLADTIHLVHAVSSGTWQQAEFVIRIINVETKARIVEGDAGKAICKEAERLKPAAVVLGTRGRSLLRSVVQGSVSEHCFHHCKSAPVIIVPGKGLISVY >KZN11378 pep chromosome:ASM162521v1:1:44114223:44118253:-1 gene:DCAR_004034 transcript:KZN11378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGKENGVGGKHQVLKEKAKNRVDNLQRLFYDLQLARKESRTNDVILLEEQVNQILLEWQTELNQPSPASSFQGGGSLGSFSSEIGRLLQVHEEGDDAISRLPTLNSKPDMQNFCGNVAPQQVDVSLGSLSWDLSGLLQLGEEEDDATSGLPAPKLKHDVMQESGCNMDIRDTQVPYQDGFVQVDQYKDPLLGATGMQVNNMGVPSQTDYNLFDSRQGLDQTFIAEINNTNICGEIAVPQTDSFLPSVCHPPSAFLGPKCALWDCPRPVQGWNKDYCSTFHATLAPNEGRPGMTPILRPQGIGLKDNLLFSALRAKIEGKEVGVPDCEGAATAKCPWKAPEIFDLSFIEGETIREWLFFDKPRRAFKSGNRKQRSLPDYEGRGWHETGKQVNSQFGGLRRSYYMDPQPMENLEWHLYEYGISKCETFALYRLSLERVDGKKNPKGRRGKDSVAHLQKQMKDLSASTQ >KZN08301 pep chromosome:ASM162521v1:1:5079989:5081935:1 gene:DCAR_000847 transcript:KZN08301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDIQAVFYDTLSWMWLLIHTNDLAYAVAVTATVLLVFSLFSRIFFKSKKISPQLPPGPRSFPLVGNLLSLDPDLHTYFASLGKTYGPIVTLWLGHKVGIVINSPVIAREVLKDHDTTFANRDVPAAGIEATYGGKDIVWTPYGPKWRMLRRVCVSEMLSKTTLDSVYDLRRKEIRQTIGYLYSRKGLPVDVGEQMFLTIINVITNMMWGGTVKGEDRAGLGTEFRLVVNEMTQLLATPNVSDFYPGLARFDLQGVRKKMKVLAKKFDDIFETMIKKRQEMDGQEGSKDFLQFLLKLKDTQDAKEPLSMNHLKSLLMDMVVGGTETTSNSVEFALAEMMNKPQIIQKLHEELDSVVGKDNIVEESHLPKLTYLYAVMKEVLRLHPALPLMVPHCPSETCVVGGYRIPKGSRVFVNVWSIHRDPSIWENPLEFIPERFLDGPWDYSGKDFKYFPFGSGRRICAGIAMAEKMFLFSLASLLHSFDWKLPEGQKLDLSEKFGIVLKKTVPLVLIPTPRLSNPQLYQ >KZN09691 pep chromosome:ASM162521v1:1:28517533:28518998:1 gene:DCAR_002347 transcript:KZN09691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILRKKQVQHLYGASLVLLFVLCFFFCSCNNKRQVISDAKVDEDSVIISRFQKYLQTKTAQPNPNYYEAADFILSEAKSLSLESQIIEFVEGKPLILLKWPGKDPALASVMLNSHTDVVPAEEDKWTYPPFEAVLDDEDGNIYGRGTQDMKCVGLQYLEAIRRLRRSGFEPMRDVYLSYVPDEEVGGNDGAKRFVDSFIFEKMNVGVVVDEGGASPDEYYRVFYAERTPWWLVIKASGAPGHGAKLYDNTAIGNLMKSIESITRFRDAQFDLVKAGLMAESEIVSVNTVYLKAGTQSEDGYVMNMQPSEAEAGFDIRVPPTIDEASLEARIAEEWAPRSRNMSFEFKQKVSVYDKFGKPVITATDESNPWWSLLVEAISKAGGKLGKPEIAPASTDARYYRDRGLPAIGFSPIANTPILLHDHNEFLNKDEYLKGIQVYESIIKEYASFVGNTKDNTRNEEL >KZN08525 pep chromosome:ASM162521v1:1:9697889:9699681:-1 gene:DCAR_001055 transcript:KZN08525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDDEDNSWRLFTSEDMVQGYKALKRRKKARIVKNHADFQFTETLGEANISSVRGRKKHQLNSPSAGMIHKIDELGSSAVDMDIPNATPKSTITSVRETSTRHVNSPFTGVLDNAEMLRSIAVDMDIPSATPKSTITSLHETWRSESCNSKFQRHDRSSRTPFADITNTVQRNTSHNNNDRVKGKGKKTEMESNNGIDKGKGKSVNLEDATLKEWSRNLFEEEFSTNPSRDSVLYDENLEETRCEPQILSDDSETDLENSHEVDDGDDLFDDESDVENDTGL >KZN08806 pep chromosome:ASM162521v1:1:15697412:15697817:1 gene:DCAR_001462 transcript:KZN08806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEVRSIIQQIMILKKRCGNVSSTNDPGFAAQFMGEMGFQIVPQGQAQAEMEINDFILEDEIKDVSEDEAVVIVE >KZN12043 pep chromosome:ASM162521v1:1:50515207:50520733:1 gene:DCAR_004699 transcript:KZN12043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFQNPQAHNIEKPFLGCLGRVVNLFDQSAGIPENRLLADKPHGAGSLLSRSRSDVSGMNPVDSQIEDKATKSELQTTHGTPIKMLMAQEMLKELDFKQKPPSLVAKLMGLDSLPLQKPSTASQRSHSRGSSRSKSCVSFGSWQEEQEVCQSQKHDDYKDVYEVWQPHNKYVSDKSPKQERFDGSSIEKRMSLVRQNFIELKRLSSDEKLRHSQKFQDALEVLSSNKDSFLQFLQEPNSMFSQQLSDMQSISPPSEAKRITILRPAKVVEGNKITGPVKKNGTKINEISRLGRVSRLDNSPGFSSPTACKLEDSQVQATRIVVLKPSPGKSHDIKAVVSPLSTSPKALHVKDPYTGFDDDDTQESRELAEEITQPMHENMSRHRRDETLHSSVFSNGYTGDESSFDKSEIEFAAENLSDSEAISPTSRHSWDYINRPGSSCSFSSFSRASYSPESSVCREAKKRLSERWAMMASKGNQEQKQLRRSSSTLGEMLALSDMNKSVICTGNLTNSEQEIRTSTSCVTGDFSNEDKCDAPARNILRSKSIPASSNAYVGGLHSEVSDSKMERPDINKELTKTKSMTSLLKGRVSSLFFSRNNRTGKQKASNSRDETESSELPIPSSEKDVDIRFQAAGELPDPLESSNKASPLHSLIDEPEEDIVHTKAGVSVTEPCPLQFPVENQEQPSPISVLEPPFQEDDFGEPELSDNSSEVRNGLDLHVHRNSNFLDKSPPIGSVARTLSWNDSCTDDASPCPIKSSSVPVGPEEERQELVFLVQTLLTAAKLGNEMQSETFFASWHSLESPLDPSLRDNYVGLIDETMHETKLRHRKSVQKLVFDCVNAALVELAVCGSDPSKSRIHYNLQDNKSILDCLWTQMELFPDEMSFIVGEGGDRDSLVAEELVRKQVAGKGWVDYSSLQRDDFSKEIEGKLLQELVQEAVEDFTGSL >KZN12076 pep chromosome:ASM162521v1:1:50806424:50809279:1 gene:DCAR_004732 transcript:KZN12076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVASAVASSSMSHLRFLHSYTFPRFESGQVQLKVAATAKVVMYQMIPPSSSAAPLIPTTVDVNLGDRSYPVYIGTGLLDHPHLLQRHIKGKGVLVVTNTKVAPLYLDKVGNPNVSVESIILPDGEQYKNMDTLMKVYDKAIESHLDRHCTFVALGGGVMGDICGYAAASFPHGVNFIQIPTTVMAQADSSVGGKTGVNHRLGKNLIGTIYQPQSVLIDTDTLNTLPEREFASGFAEIIKCGLVADAEFFAWQERNMDALMARYPDALAYAIKRSCEIKAEIVCQDEMESGLRAILNLGHTFGHAIETSCGYGEWLHGEAVAVGTLIAVDMSYRLGWIDNSVVERANKIIKQAKLPTRPPANMTAEMFKSAMALDKKVADGVLRLVLLKGPLGNCVFTGSGGHTGVP >KZN09136 pep chromosome:ASM162521v1:1:20660193:20661175:1 gene:DCAR_001792 transcript:KZN09136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKASVLGDVIKYLKQLQERVKALEEQTRRSPQNRLLFPTIHPQISIITQLAFLLKGPCQKLNNNQTIIKLMRMLFYSSMRQAIKMNRHMMHLNKSSELSLVSQCRKMASSSMKTSQIPSSAKRQL >KZN10113 pep chromosome:ASM162521v1:1:32702165:32704012:-1 gene:DCAR_002769 transcript:KZN10113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKSASLFLFSFLFLGFFSTSSSESTSTADCPLDFTVLRRLRQASRNSKNDFTQCVWILQGIRLVQSEYLLQTNSFLISTAFSESCWQSLQLVFNEYPKHFDIQSTCNFSNQLITQGCHNITTRAEYEAKNSQSTLKSIEKACKHSEYTSQACAICNSNISFLQPLDSYKVPPNDMMRGQADLYKSECVGYKSIYAAAFSTLSGPTDPHNAKCFFNLTFDKSKRNKKIRITLISVFSASGFLIMVIAFVGFWWMWRKKREKKKTKGILDSNLSHLDSIGGNNALIKFSYSEVEKATKNFSLSNIIGRGGYGNVYKGVLPDRTEVALKRFKNCSAAGDASFAHEVRIIASVRHVNLVALRGYCIAMTKSEGHQRIIVCDLVKNGSLHDHLFGPAEKKLSWPIRQKIALGTARGLAYLHNGAQPSIIHRDIKAGNILLDEDFEPKVADFGLAKIAPEGVSHMSTHVAGTMGYVAPEYALYGKLTERSDVYSFGIVLLELVSGKKALLKHGDDQHTLLADWAWSLVKVGRPLDIVEEGTPELGPPEIMEKYVMLAVLCSHPQLYARPTMDQVVKILDSNEPVPVIPERPNSFTANVFGTETPISSTGSNSMSSYSTY >KZN11819 pep chromosome:ASM162521v1:1:48384501:48389034:1 gene:DCAR_004475 transcript:KZN11819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDIGSLGLNGVRRFSKLWVKMEIDRADGRTANQLRPLACSRNVLNRAHGSASWSQGETKVLAAVYGPKAGTNKNENPEKACFEVIWKPKTGQIGKAEKEYEMILKRTIQSICLLNVNPNTTTSIIIQLLPCAINAACAALVDASIPMKHLAVAICCCVAQNGYVILDPSKLEEQTFKAFAYLVFPNSIISLPSEASPKPVSEPMEHGIITSVTRGVMPGSTLHFIDDYFHCLDRGRAATSKLSDFLRKNLHLQTPTDPSKAG >KZN07990 pep chromosome:ASM162521v1:1:1250824:1254288:1 gene:DCAR_000659 transcript:KZN07990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSLVKKQFPGHEDPVALASQTAFSVSEVEALFELFKSISSSLIDDGLISKEEFQLALFKNRKKENLFANRIFDLFDVKRKGLIDFGDFVRSLNVFHPNGSLEDKISFSFTLYDMDGTGFIERQEVKQMLIALLCESDMKLADGTIEIILDKTFLEADINGDGKIDRSEWLNFVAKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDDIAT >KZN08774 pep chromosome:ASM162521v1:1:15195162:15199666:-1 gene:DCAR_001430 transcript:KZN08774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLFSLLVLLSTIYSVTAQQNVTNSIRQRSSLTPTGNSSWLSPSGLFAFGFYPQGNNTYRVGIFIAGLPLNKTVVWTANRDDPPVSSNVTLQLTPDGRLILQHQSQDSTTDVVKLNQSISSASMLDSGNFVLYDSNQRMIWQSFEHPTDAILPGQILSRNQELYSSRSESDHSTGLFKLKMQSDSNLVQHPVDATDTPQHAYWYSGTATIDGVNGVNVTLNLDRDGHLYLLNNSVNILKNLTAGFSESRSLYLMRIDIDGICGLNAYCIYDNEPKCECAPGFDYVSPGNWPAGCKRNYTANTCQNTEQRIQYEIKLLVNTLWEDDPYSVLKMDIKEDCEAACLDDCNCEAAFFKDGECKKQVLPLRYGRRYRLLKSKKVYVDGSLELMEDIAPRSFTYAELEKVTNGFQEEIGRGSSDNLFDPQNPPRWDERIRIALEIARGILYLHEERSVIPDLSDEEAILEEWVQQCFEKNELSKLVTSVETDMIKFERMVRVGLWCVVDEPSIRPTMKKVLLMLEGNDDIPAPPSLHSYFTEVQDKLLDNKDDEGDPPALVVTKTGADLAESDSSNSKLGDSYVCIMGVYNKEGFCDFDFVSMRSSLT >KZN11707 pep chromosome:ASM162521v1:1:47158177:47159328:1 gene:DCAR_004363 transcript:KZN11707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSQIHHHPQIKYNNHPLSPPLRGGDVDKNTTIIATNTPNKTYMLLLVFNYMFLFLGSVSSSLLSKFYFNHNGSSRWVSTWVQCAGFPLLILPIFLPFHLFKSTQRKPFSHLSQNLFLYSLLIGLLLGLNNLLFSWGNSYLPVSTSSLLLSSQLTFNLIFSVIIVKQRITFSNLNCVVLLSLASVLLGLGLGSSHDDEPNDQAREKYFIGYFCTIGAGLLFALYLPLMEIIYKQVYCYSMVMEMQLIMEASATVLATAGMAVNGGFSEMRRESELGFDLGHKYYWLTVMGNVVTWQLCFMGTAGMVFLTSGLTGAICMTALTGANVVGGVVVYGDRFDGGKAVSAALCGWGFCSYVYGLYVKSRQGESDRRSEMSTEVVVA >KZN08437 pep chromosome:ASM162521v1:1:6783250:6783669:-1 gene:DCAR_000983 transcript:KZN08437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRDDEAYPDGASQGKNGPENDDHEKKEVLSVEMIFKDKEVPTWRSQLTIRAFVVSFVLGILFSVIVMKLNLTTGIIPSLNVSAGMLGFFFIKVWTAFLDKSGLLKVPFTRQENTCVVATSGIAFSGMSSRKCIDPV >KZN10746 pep chromosome:ASM162521v1:1:38599929:38602253:1 gene:DCAR_003402 transcript:KZN10746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEEENEVNDFLGWAAKLGITDFPPNLNNPSVNSCCLGHSLCVSHFPDAGGRGLAAVRDLTKGELILRVPEEALFTTQSVVLKDHTFSVALQQYQSLSSTQVDDAMWAAEKAIGKAKSEWKEAISLMNDLKIKNKLQSLRAWLWASGTISSRTLHIPWDEAGCLCPVGDLFNYSAPGEELGECDDLRAQGKASCDMSTSSGHVKDEPVGEHCDNSVRLTDGGYEKDIGSYCFYAKKSYRKGEQVTKTLPLII >KZN10940 pep chromosome:ASM162521v1:1:40482601:40485992:1 gene:DCAR_003596 transcript:KZN10940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSLSNIDDRMQGNDNMVQNLIMSSTTITLIFLLLNISWFPVSSSLNQEGLSLLSWFSTFNTSPSASFFSSWDSTSQNPCKWDFILCSSSGLVSEIEITSVNLSTNFPTQFLSFKFLTSLVLSNGNLTGEIPSSIGKLSSLMFLDLSFNALTGRIPPEIGSLKELQHLILNSNSLIGEIPKNIGNCSELRQLELFDNQLSGEIPKSVAQLSYLEIFRAGGNSGINGEIPLEISNCRQLTFLGLADTGISGEIPYTLGELKNLRTISLYTANLTGKIPPEIANCSALENLFLYQNQISGEIPTELGLLKNLRRVLLWKNNLSGPIPATLGNCSELTVIDFSINSLTGELPQFHASLYALEELLLSENQISGHIPPAFGNFSGLKHIELDNNNISGEIPPEIGNLKDIITFFAWKNQLNGIIPTELGNCVKLQALDLSHNFLTGSIPKSLFNINNLTKLLLISNKLSGRLPADIGNCTSLARLRLGSNMLDGQIPTEIGLLQNLRYLELSQNQFTGYIPPQIGNCLQLEMVDLHENKLEGEIPDSLGSLAMLNVLDLSMNRLSGRTPNNLGKLASLNKLVLSGNRITGVIPKSLGLCKDLQLLDMSCNRLTGSIPDEIGHLQALDILCNLSWNSLTGQVPDSFSNLSKLANLDLSHNMLTGSLRALGNLDTLVSLNVSFNDFSGSIPDTKFFQGLPDTVFLNNQRLCTNRNSCFTSERHHTRNSTKIQILIILLGVLIAMTIFTTVVILFIRAHGAKMIDKESGIEWNLTPYQKLSFSLNDIIGKLSESNIVGKGGSGIVYRVDTPMQQSIAVKKLRPEKNGEHSQRDLFSAEVTTLGSIRHKNIVRLLGSCSNGKTNLLLFDYISNGSLAGLLHESRIHLDWDARYQIILGAASGLAYLHHDCFPPIIHRDIKTNNILVGPQFEAFLADFGLAKLVSSTDCSLASNAIAGSYGYIAPEYGYSMRISEKSDVYSYGVVLLEVLTGMEPMDRRIPEGGHIVSWVNQELRLKQREFNSILDQELLLQSGTQIQEMLQVLGVALLCVNPCPEERPTMKDVTIMLKELREETGDYVK >KZN10817 pep chromosome:ASM162521v1:1:39285700:39287553:-1 gene:DCAR_003473 transcript:KZN10817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDKAAHVSGGQGSSVERRPSAKIVNSSENGVVRVSTMQRQMNKLDEDMKLMKDQLDAVMEERDRAFDELRDSKLVAHEAKMRLSKALSPRSPRSPRRGAEDKDAFSYGLDEELRIAKAAETRAMNLYAESKKRINKLEDEIERGKQSESKMFESIVSQTKQLEVTKMELEESKLEIAFLHEQLEMVRNSSPTKDYEHGDLDVGAFRSLRSELEQTKAILVRAQEGEKAALSKVSDLMDEIEMLKIELHAAVEAEEKSQKAMEHLALALKEVATETNLEKEKVSSTVMELEQAHEEAEKLRAMLKKNEEFYAKLLHEANQKYLMHQNTVERLRQEAEESILAWNGKEMGFVDVIKEGCEEREILQQEKARLYESLKAAENETRVAKDDNHKLRDILKQALHEVKMSTEAAAIAREENSELKDTLADKDEAIRFLIQENARLQINGAEDHEKTKELKRLRTSLAAFTESKFDREESGMDSEVDTSKFLSPDSVFEENCKEETGNEITDKLKEALKGSVYSSTPEPRSPKTRHFTWKNSFASTEETKANRATDFKNPDSNVEKHSPKTTALFQKFGDIMMKSLYKKEPTATYH >KZN08329 pep chromosome:ASM162521v1:1:5347810:5348340:-1 gene:DCAR_000875 transcript:KZN08329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLGSSMTSAKRQSALVVDDDPVTRIITRGLLNYFGFEVSTLNDGIEVVNMYEAGKGHFDLIITDMEMPVMNGIEATKKLRSLGVGCKIIGVSSCDDETSRRHFLEAGLDHLFYKPLNVTKLQSCLENN >KZN08725 pep chromosome:ASM162521v1:1:14363041:14368661:1 gene:DCAR_001381 transcript:KZN08725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHINRLFTDQHSQAVRGGGQIILKGLYSGLAGNLAGVLPASALFIGVYEPAKKKLLEMFPEDLSALAHLSAGACGGLAASLIRVPTEVVKQRMQTGQFASAPNAVRIILSSEGFKGLYAGYGSFLLRDLPFDAMQFCIYEQLRMGYKLAAKRELNDPENAIIGAFAGAVTGAITTPLDVIKTRLMIQGSGNQYKGIVDCVQTIIREEGPPALIKGIGPRVLWIGIGGSIFFGVLESTKRLLAERHSTHQNLDSKKD >KZN12095 pep chromosome:ASM162521v1:1:50953352:50956871:-1 gene:DCAR_004751 transcript:KZN12095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPNQEFQEWWNSQREEELLLSDKPQKPRPHLTVEIPPTPTADHFIEKGRPRTIRQLSHVFLLKFQQLVSFFTNPNNAFNSFYNTARRRFNTPETTFLYARPYEFRLYRVIKVFFVIVVLLLVFELLAYLKGWHFSPPSVESWEIQDFVELFYAYWLHIRANYLAPPLQQLTNVCIVMFLVQSFDRLILVLGFVWIKIWRIKPVAEMEYKHGLDENVEAYPMVLVQIPMCNEREVYHQSIASVCVQDWPRERMLVQVLDDSDDMDVQDLIKEEVLKWQHRGVNILYRHRLVRTGYKSGNLRSAMSCDYVKDYEFVALFDADFQPPPDFLKKTLPYFKGNDDLGLVQTRWTFVNRDENLLTRLQYINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWMDRTTVEDMDVAVRAHLCGWKFIFLNDVKCPCELPESYEAYMKQQYRWHSGPMQLFRLCFLDVIRSKVSLFKKINLIFTFFLLRKLILPFYSFTLFCIILPLTMFLPEAELPAWVVCYIPGLVSILNILPAPHSFPFIVPYLLFENTMSVTKFTAMISGLFQLGSSYEWIVTKKLGRSSETDLVSFAESQEQAESPGLHKSSSESDITELTKLELAKKTQRKKNHIYRKELTLAFILLAASARSLLSAQGIHFYFLLFQGFTFLAVGLDLIGQQQHKFIVCSVVTASVEGGTRTSHDNIYKTNVHYELAHYCKRKDTQEPDQGKTRNYLLEIEEQNVY >KZN11943 pep chromosome:ASM162521v1:1:49575990:49577546:-1 gene:DCAR_004599 transcript:KZN11943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSSSSNTFSDTKRNSTLSASPSLPSLVMRQSSMSPSPSSSESDLLLDNELPSLHPSSFLAMRHKSRARFAKSSIHVIPFLLFLCGLVLWIFSKPVEV >KZN11107 pep chromosome:ASM162521v1:1:41749594:41758695:-1 gene:DCAR_003763 transcript:KZN11107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVDIGLEFRPKTVYDVDGTSVLSQLYYHLSKTLAEQAALKFAEEHGIDLVTLHPGFVLGPLLQPVLNITSEGMLNFIKKGQEIFPDGTFRFVDVRDVAYGHIQAFEISSASGRYCLVGRVAYSSEAFEILHKLYPAISVPETCKKEKPAGPPYHVSKERAKRLGIDFLPLETKVEEFKAVETEESMSGRGKLACVTGASGYIAAWLVKMLLERHYTVNATVRSLKDPQKTEHLLALDGAKERLHLFEANLLEEGSFDPAVDGCDCVFHTASPVNLSSSIPQVFFFYSLHFRVLVTYKRTFDLRVLISFTQFQAELVEPAVKGTLNVLQSCTKFPSIKRVVITSSTASIMITGKPLKPDTVMDETWFSSPVLSLLSPFKTLAEQAALKFAEEHGIDLVTLHPGFVLGPLLQPVLNATSEGMLNLIKTGKEMSIDGIYRFVDVRDVAYAHIQAFEIPSACGRYCLVGSVAYSSEALKIIHKLYPAISLPDTCKKEKPAGPPFHVSKERAKSLGIDFLPLEVSLKDMVESLMEKNFLIL >KZN10799 pep chromosome:ASM162521v1:1:39112494:39113216:-1 gene:DCAR_003455 transcript:KZN10799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEEQPEDADQATHELPHSHPPPSYDSVTLNNNNNGNNSAYQARVSPLMQLDSPASGRKPCRYRECLKNHAVGLGGHAVDGCCEFMPAGDDGTLDALKCAACNCHRNFHRKEINPNFPLSPHLPQHPHFVPYYRSPSGYLHVATPTSQRPLALPSSSGGGMSSQEQEENMTSSGGLLRKRHRTKFTPEQKEKMMSLAERLGWRIQREDDEAVKCFCEETGVSRHVLKVWMHNNKHVHSW >KZN11717 pep chromosome:ASM162521v1:1:47378862:47380967:1 gene:DCAR_004373 transcript:KZN11717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLVTLFGGIIRRTLSAASLSSQTIAIDDETTIHFWSPKPTTTSSSTAKPPLLLIHGFGPHGVWQWQQQINFFAKSYSLYIPNLVFFGGSYSTSSERSEIFQARAMGKLMEKVGVEKYYVVGTSYGGFVAFRMAEMWQDRVMKVVIANSAVNGLLSDSMELVKRGKVEKIEDLIMPEKASELRKLMNLSVFRRAYVPDFILNDFINRLYSKNRKEKLELLRGLTIGWDDIMKASPLQQEVLIVWGEHDKIFLLDLAMELKKVLGKNVKLKVIKNASHIPQVENAWKFNNIIDTFLRDSPDQGLSLMSKL >KZN11389 pep chromosome:ASM162521v1:1:44218441:44220191:-1 gene:DCAR_004045 transcript:KZN11389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDKNATEEDLKKSYRKLAMKWHPDKNRNKQNDAESKFKQITEAYEVLSDPQKRAVYDQYGEEGLKGQVPQSGSGGGPGGAAFYQSGDGPNVFRFNPRNANDIFAEFFGNSNPFGSMGGMGGMGGGGMGGMGGMGGMGGPASMRSGSSRFSGGMFGDDMFNSFGGSRPMSSGPRKAPPVENRLPCSLEELFKGTTKKMKISREIADASGKTLPVEEILTLDIKPGWKRGTKITFPEKGNELPNVVPADVIFVVDEKPHSVFTREGNDLVCTHKISLAESLTGYTVHVNTLDGRKLTIPVNNVIHPSYEEVVPNEGMPIPKNPSRRGNLRIKFNIKFPAKLTAEQKSGIKRLLN >KZN10702 pep chromosome:ASM162521v1:1:38291731:38296315:1 gene:DCAR_003358 transcript:KZN10702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAVPMVEDTNFEDDQLASMSTDDIARSSRLLDNEIRVLKDELQRTNLEVDSIKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEIQELVEAIVLPMTHKERFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKSSLNYYA >KZN10873 pep chromosome:ASM162521v1:1:39801523:39802470:-1 gene:DCAR_003529 transcript:KZN10873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAIVQNISVLNSQRLSLSFVKDGGCKVSCSKVKMEDTLNDEACELVNGVELSLGEGTDSINAYLCTAVKNNNGTGVLLLSDIFGFEDSATRDFAYRVACNGYNVLVPDLFRGDPWEKGRPQTSFEPWLANQSPERVAKDIDTWIRWMVDEFVAAGISKKLGVLGFCFGGGRVLDVLARDQGACFGIGVSFYGTRIDPNVAASVKVPVLFIAGDNDPLCPVSGLQEIEKNIVEGSKVVIYKGRGHGFAHRPQSAEEDADADEAFTVMRNWLYNGLVLVQ >KZN10375 pep chromosome:ASM162521v1:1:35064978:35065175:1 gene:DCAR_003031 transcript:KZN10375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGCRRGGRAIRPSVESPTLHLSPSELSLTPPPVALQSEHSRYELNHRGGGAAQWEVIRGRAHP >KZN12060 pep chromosome:ASM162521v1:1:50691754:50692056:1 gene:DCAR_004716 transcript:KZN12060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISENSIKIHCCRTISSVFFMAFFICLFLSCSSGASSVIPSNFQRQRQLLSQTKAHSSRSVSSSSLARVKKCNYFGKCKVQFEGDEHAVPSGPNPISNR >KZN09096 pep chromosome:ASM162521v1:1:20213603:20216330:1 gene:DCAR_001752 transcript:KZN09096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNTITEDSECVAEIEQRVPRNSLIQVWKRSLTTSGVGFYELKIDPNYPSKITGCNEQGDREQLLRYTTQSAGCRISLYDEKPKGVISRVFKKNRAEISPNISYYSADEYPQRDFALSCGIRTSFCFPWYNTFGCLGLPEGVVEFVSSLDQDLETITCYCRSLEGYKFYVSSSLLFCGRMNSQAFAIPEIDHLLERVFQTFKVPVAQYWIYSDLSETFRVVHQFSSREFENLASWWEFKDVCLHMPLKKYEGLVGTRYFSHESCFFRDITELSIDDYPFKHYAQKCGSIASFTIRLHSPYPPSKAYVLEFLFPSQGNDSYHPQTLLNSLLVEMKEHLPNFMLTSGEQLVHVSSVKVINISTLENPKSFDIGQPDSSLPRHEGLLYTHTMKLFKDGTEQSSNLTSYEEAATGETSKRTPSEVPEIVHLDESEQESDILCTEHRSFSSQHKKLKNILEHNWNAAEMDLSAMEMTLEEASKLKKHAMTADRVNEQVEESPMSNKHIGRAMTTASQPTMKLLAQHQKEFIVPDDNSKKKFILLEDDTTIHVENKTSMEAHTSESERKSEKTINFESLSKHFGRPLDDAAASFGVSRSTFKRLCRDYGIKRWQNRKRRMDGDVSSKLTTKLKNEEPSIRNFSYSGTTPVQDTIVARTGQELNEMTVKVTYNGINIRFKLPGSSGMAELENNVIERLHLERKSFSIKYQDDEEDWVLIACDRDVWECMQLARSLKKSTIKLLLDLPIHHHAP >KZN08857 pep chromosome:ASM162521v1:1:16715156:16717528:1 gene:DCAR_001513 transcript:KZN08857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQKKGSLPLGFRGDQMHWIDNKGVILLSNNSDFGFGFITTDDVTLFLLAIVHLSSSTTIWSANRDNPVRNNDYFVFNESGNAYLQSGESIVWATNTVNKGVSVMELQNSGNLVLLADDGSPIWQSFSHPADTLLSNQGFSEGMKLESNPGPNNQSYFLEMKSGDMLLYVNYGKPQPYWSMGQDNRKIINKNGVGVTLAALDANSWRFYDKNKALLWQFVFSNSDRNATWAATLGGDGYITFSTLESGSLKIDAPIKIPADSCSRPQSCNPYQICSTNNCQCLDALASQPNCRPNVSPSCNEPNGSMELLDAGDGLDYFALGLVPPSSKTDLNGCKTSCLDNCSCLLLFYDGKSGDCFHFEHVGSLKSSKEKGFVSYIKISATDAGGGDSSSGGSSKKRAVIVATIVIGTILVVLVLLFVGIRQFKKSNDLPESPKENSEEDNFLESISGMPVRFSYKDLQVATKDFSAILGRGGFGSVYEGILPDGTRLAVKQLEGIGQGKKEFRAEVSIIGSIHHLHLVRLKGFCAEGAHRLLVYEFMGNGSLERWIFKKNKEDVLDWDTRYSIAVGTAKGLAYLHEDCDVKIVHCDIKPENVLLDDNFLAKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNYDTSETSEKSHFPSYAFRMMEEGKLTDILDANLHMAKSDPRVMVAIKVALWCIQDDMYHRPSMTKVVQMLEGLSPVPPPPMSSQMNSRLYSNFYKSISEEGTSSGPSDCNSDAYLSAVRLSGPR >KZN11230 pep chromosome:ASM162521v1:1:42856921:42857085:-1 gene:DCAR_003886 transcript:KZN11230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKVAMAKQRSEEKRDAAEAKKTSQSEKLSAQAEHIRQTGRVPSSPYLCYGWS >KZN08307 pep chromosome:ASM162521v1:1:5107768:5111990:-1 gene:DCAR_000853 transcript:KZN08307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFISAVTWYTLSKPGYFDCTGSKTLRCTFSSREPAGSRQSNSNPNRNPNQKWKEITDAIPLHPLDPLTVQEINEVKAILTKYEPFLASFPAIHSLSLDEPEKSQVVAWKKGDPLPVRKANVITVLDGHTHVVVVDLGLGRVTSHVMDPFSGYPVVTLDDLGAALVVTYGNEAFKKAIAARGVEMVDLSCITLSPGWFGPGEEGRRITKVECFSSEGTPNFYMRPIEGITVIVDLDKKEVLKIIDIDRAIPIPKGENTDYRYVAQDQPANMRPINPISLEQPNGPSFTVQDGHVVTWANWVFHIKADQRAGLVISQARVRDSETGEPRSVMYKGFASELYVPYMDTDEAWYFRTYMDAGEFGLGATAMALVPLNDCPRNAYYMDGLFAAADGSPFIQPNMICIFERYAGDIGWRHSELPVNGFQNRESRPKVTLVARMIASVGNYDYIFDWEFQTDGLIRVKVGLSGMLMVKGTKHENVDQVYENNELNGPLVSENAIGVVHDHFITFHLDMDIDGTNNSFAKVNLVKEETLAGKSPRRSILKPHRKIVKTEDEAQIKLKIYDPSEFHVINPSRRSRLGNPSGYKIVPGGIAASLLDLDDPPQLRAAFTNNQIWVTRYNQSEQWAGGLLVYQSKGDDGLAVWSARNRSIENKDVVLWYTLGFHHVPCQEDFPVMPTVTSSFDLKPVNFFESNPILRAMPNFEKDLPVCGPGSLYQ >KZN10009 pep chromosome:ASM162521v1:1:31665809:31668341:-1 gene:DCAR_002665 transcript:KZN10009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLYVNLFVMCSVVVLAHGSSRNLPIVSFEEGYSQLFGDSNLMILQDGKSAHLSLDERTGSGFVSHDLYKHGFFSASIKLPADYTAGVVVAFYMSNVDMFAKNHDEIDFEFLGNIRGKEWRLQTNVYGNGSTGAGREERYGLWFDPSDDFHQYSILWSKDRIIFYIDNVPIREVKKTEAMGGDFPSKPMSLYATIWDGSNWATNGGKYKVNYKYSPYIAEFSDFVLHGCAVDPIEMSTSCDTAPKSQSVPTGTTKESRTKMQNLRKKYMQYSYCYDTTRYQVPPSECVIDPLESERLRGFDPVTFGTSHRGHGKRHHNRRSYRHGINSV >KZN08486 pep chromosome:ASM162521v1:1:7817782:7822217:-1 gene:DCAR_001032 transcript:KZN08486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPGTDDKPPNPFVRDASCKKSLVRHPLPVKTNASENSVEPRACGENPDLEFLPILRSGAWADIGFRSSMEDVYVCADNIMHDYGVKNCDSGPSAFYGVFDGHGGKHAADFACHHLPRFIAEDKDFPAEVERVLASAFLQTDNAFAKACSLDAGLDSGTTALAALVLGSSLVVANAGDCRAVLCRRGKAIEMSRDHKPICSKERMRIEASGGYVYDGYLNGQINVARALGDWHMDGLKGSNGGPLSSEPEVMSTKLTKEDEFLIIGCDGIWDVFMSQNAVDFARRKLQEHNDPVMCSKDLVDEALKRKSGDNLAAVVVCFQPQPPPNLNPPRGRVQRSISAEGLKELQSFLDSLEN >KZN11510 pep chromosome:ASM162521v1:1:45301256:45303559:-1 gene:DCAR_004166 transcript:KZN11510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFQAGICAENWWMNPSQSNFGSSVCSPIINNMDLISWPADLDIKARASDDQSGSANSEGSIVFEDIRRPMDQDGSLLALNSNLEMINKNLSSSITANWNPTLLDHDNKSNGYNYQTNLLDSLNSSSNYQQDAGNLSHIKKDWSPEKFSTFNEISSLESYKGQPADQVFSVSSSYGYTSSLMQTLFHTDSDQDSVFGNQPMEYAALSNICPEKLNEFTSSNNSLLKPSPVVLPKQPLNHLNLPNNSAWNTSNDDSQFASGQSQFFSPKFADELNCPSLSVKSDNKRNRDMSTAVKINSTEPVFKRQRMQTPSPLPTFKVRKEKLGDRVTALQQLVSPFGKTDTASVLHEAIEYIKLLHDQVTVLITPYIKGGSPIPHDHEQTRRDPKGRRQELRSRGLCLVPISSTFPVASETTADFWTPTFGGSFR >KZN12122 pep chromosome:ASM162521v1:1:51197205:51201019:-1 gene:DCAR_004778 transcript:KZN12122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVLKKHFGYSAFRPYQKQIIEHILDGNDSLVVMATGSGKSLCYQVPPLISNKTAVVISPLISLMQDQIDSTVQSKAESGIFHILYMTPEKASSVSNSFWSKLLNVGICLLAVDEAHCISEWGHNFRVEYKQLDKLRDVLINVPFVGLTATATEKLQSDIIQSLKLKDPYLAVGSFDRKNLFYGVKTPNHGSSSVFEFVEEILKCVASSGSIIIYCTSIKDVEQISKSLLEAGVKSGIYHGQMASKAREESHRLFIRDEIQIMVATIAFGMGIDKPDVRHVLHYGCPKSLESYYQESGRCGRDGIASNCWLYTKEATLQKLIFTAEKHMYSGFNPLHFSCWGIYSPGKSEKSYNGIIHGCTTVLYAENL >KZN08585 pep chromosome:ASM162521v1:1:11119878:11126314:1 gene:DCAR_001115 transcript:KZN08585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGPPTPLGGAQSVPPSLLRSNSGLMGGQGGSVNSQSGFPSVNQRNQFNSMNMLGNVANVSSLLHQSFGNGGPSSALSGPGTTQRGLIDNGSESDPLSSVGNGMGFNPTSSSFSVSNIVNPNSSGQVQGQQFPNNSGNQMFADQQGQQLESQNFQHNQVLQQFSVPNNNHQQQMHYQSIRGGMGGIGPVKLEPQMTNDQNGQSSQQLQSLQNLGPVKMEPQQMQTMRGLAPFQAQNLPARSPAKPAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICTRKPGRGFEATAEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSADLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASPNLSVPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRESGTGPMESLAKFPRRTNTSPGSHAQTQQPEQLQQQQQQQQTVAQSSNNEDSVQATAMQLASNNNGIQSVNNTLNSAPATSSTSTIAGLLNQNSRNSRQQNPVNSANSPYGGGTQIPSPGSSTTIPQVQPNLSPFHSPTPSSSNNPPRPSHSGLTASAQMNSVNSPNISMQQPAVSGDPDPNDSQSSVQKIIHDMMMSSHLGGSGMTGVASLGNDMKNVNGLLQSGSNGSLNGGNSLVANGTANGSSGVAGYGGMGSGLGVRAAMLHNSTMNGRVGMTMNRDQNINHQQQDLSVLNGLGAVNGFNGLQFDWKSSP >KZN10327 pep chromosome:ASM162521v1:1:34600739:34605338:-1 gene:DCAR_002983 transcript:KZN10327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFRSKSCTTTANNHDLGSASMSHTPSHCVTNEDDEDEDEEEFEYFFENPITTPFIGPNHHHYENEEEQRSPNNNQLPQIFSSVASALRKSLLVTCSAVETAQDDFSSSSSSMEIGCPTDARHVSHVTFDKFNGCFLGLPLELQPQLPGKVPSASVSVFGVSANSMQCSYDQSGNSVPTILLMMQKRLYSEGGLQAEGIFRINAENTEEENVRNHLNKGVVPRGIDVHCLAGLIKAWFRELPAGVLDSLTAEQVMHCNTEDECTQLIARLPPTEASLLDWAINLMADVVQYEILNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNLLKTLIMKTLRQRGDYNTIVGLLSSCGDSPSKNVEGRLSSSNRSATECDHKETGDTNMLQSSTIGRLESEREERLWTFNCKDGEESDYGPSILATKTPVTCSSKDRKCNNSPEGYDNRGTEGILSKLSFTRGVRRLYRHPLFQLNKAVKKSGTIGFVDTTGDGEKVWT >KZN09196 pep chromosome:ASM162521v1:1:21574859:21577800:1 gene:DCAR_001852 transcript:KZN09196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKRFGVLLCADDSDYVKKKYGGYFGVFVEMLAEEGETWDVFRVAAGELPSDDLIGLYDGFVITGSCSDAYGNDIWICKLLNLLHKLVSSKKQILGICFGHQILGRALGGKIGRATTGWDIGVTKVQLSSSSKLFSSLKMPASLSVIECHRDEVWELPPQVEVVAWSEKTGVEMFRYGDHIMGIQGHPEYTKDILLHLIDRLLQRNFILESYADNVKSKVDTGEPDREAWKKLCTSFLKKKL >KZN10793 pep chromosome:ASM162521v1:1:39045001:39069434:1 gene:DCAR_003449 transcript:KZN10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKNRWNWDVAGFESKRPVAVESGDQKSPPLVRRYSIASPLARPHFDVAKQRAVVTKLSKLKDKVQVTKDDYLKLRKEASNFKEYSYTELDNVISYLHVLAEKTHKLDQAAVETESRASPQLDENGRLYNESLTTKGNIKVFCRTRPLFDDEGPYVVEFPDDYTIRVGTDDDNLSNAKRDFEFDRVYRPHVSQAEIFSDVQPLVQSALDGYNVSVFCYGQSHSGKTHTMEGSSSDSGLYGRCFEELFDVSNSNTASGSRFDFCLSVFELYNDQVRDLLLVSGAGKSKVQVGTFGSEDSFINLLQEKVDSPQECSKVLTTALQNRGADVSKFNVSHLIITIHIYNKNLITGETVCSKISLADLASSSSLNLQDDDGEQAKDLLHVMKSLSALGDVMTCLTSEKDLVPYEKSTLTKVLADSIGGSSKTLMIVNVCPNKSNLTETLASLNFAARARNATLGRGDRDTIKKWMDVANDARWEMYEKEKECNDLKQEVMFVKKALKHANDQCVLLFNEVQKAWKVSFTIQSDLKAENSILAEKHKTEKDQNAQLRNQVAQLLRVEQDQKLQLQQRDSTIETLQDKLRSVELELNKAHLSNDDKSTMNLDSRMVAQPTSNANSQDMDSVSVTKKLEEELLKRDALIERLHEENEKLFERLTEKAASARSAQVSNSSPKLVNNGQAGNSGRNDTTGKGRLAASSPSPATNKIEGSTALVKAGPEKGKTTPAGEYLTSALNDFDPDKYDGLATISDGANKLLMLVLAAVIKAGASREHEILSEIRDAVISFIRKMEPKRVMDTVLVSRVRILYLRSLLSRSPELQSIKILPVDRFLEKANNGRSRSASRGSSPGRSPSRLDSNMRNALVEEHIHRFKINIKPEKKSKLSSVVLKIRGIDQEAWRHHMTGEKLREITDEAKAYAVGNKALAALVVHTPTGELLRQISSWLADNFDFLSVTSEEGPTGQIELLSTAITDGWMAGLGVAMPPSTDALGQLLGEYAKRVYNSQLQHLKDIAGTLATEVAEDSLQVAKLRSALESVDHKRRKLLQQMRNDEALLTLQDGGSPIRNPSTAAEDARLASLISLDVILKQVKDIVRQSSVNTLARSKKKAMLASLDELEERMPSLLDVDHPCAQMHIAEARHAVEIISEEDDIIQETPDASKVTADTGPAAEIDVAQWNVLQFNTGSTTPFIIKCGANSNSELVIKADARVEEPKSGEIVRVVPRPTILENMTLEEMKEVFGQLPEALSLLALARTSDGTRARYSRLYRTLAMKVPALRDLVGELEKGGELKDVKS >KZN09446 pep chromosome:ASM162521v1:1:25344228:25348591:1 gene:DCAR_002102 transcript:KZN09446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCDSVAVEEVWCDWKGNGLLRLVIGDSEMKNHERAANLALLGLTLAPLIMKVDPNLNVILTASLTVYVGCYRSVKPTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFFSKDLVNAVLTGYFFILGIVALSATLLPSIARFLPKHWNEDVIKWRFPYFSSALEVEFTRSQVIAAIPGTFFCAWYVSKKHWLANNFLGLAFCIQGIEMLSLGSFKTGAILLGGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVARAKESQYFKSAFLGYAVGLVLTIIVMNWFQAAQPALLYIVPCVVGFLAFHCIWNGEVKQVSGFQFCFIMTGSIA >KZN08950 pep chromosome:ASM162521v1:1:18093128:18097263:1 gene:DCAR_001606 transcript:KZN08950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVRRFVPEKAVDFDVDDEYNVEYSFAMEYKGPAVSYTIPRVDPLNVDCIPIAARVSTRGVGENLSLPVVRPIVKADYLKHNLLKVESQGDASLVPVTNSNELDVARVLDGSKSHRTAFRVVNVIDTSGELEFSESDECLPRISKKYESSGTLGFFDSHDNSCDLSESSDMECVHEDYNENGASSTGHEVEVAKSSVKKGSCHRCLVKKRFVEKEACLVCNAKYCSSCVVRAMGSMPEGRKCITCIGRRIHESRRASLGKSSRMLRHLLTDDGVKQIMSHEVSCEVNQVPPELVIVNGKPLCREELSQLLGCPNPPRKLKPRKYWYDKQSGLWGMEGEKPCQIITPQLDVGNPIMRNASNGNTKILVNNREITKRELWVMQRAGIHCEGQPHFWCSADGSFQEEGQKNVIKRIWFKPKIKLLCSVLSLPTPLESASPGQEEVHGVANGAVPNKLAKKTSHKLLMVGYDKSGTSTIFKQAKFLYKVPFSKDERQNLKHMIQSNLYSYIGILLEQREQFELETTNEMGMRSINQPGPTDTLNSDGIINTNMYSISRRLKAFSDWLLQVMMSGNLAAVFPASTREYAPLVEELWKDRAFQATFDRINEIQMLPRVANYFLNRAVEISRTDYEPSDMDILYAEGIASSNSIASVDFSFPKSVNDSFMGASDQDDPLQRYQLVRVHSSSLGENCKWLEMFESMDLIIYCVSLTDYDEYIYDINGVPTNKMLESKKLFESIVTHPVCSQKDFLLILNKFDLLEEKIEQVPLTKCEWFHDFNPVPGSSNNSSTRNPIAPLAQRAFHYIAAKFKREFKAMTGNKLYVSRVTGLESDSVDEALKYGTEVLKWNYEKPSLNMNEWSSDSIEASTFS >KZN08189 pep chromosome:ASM162521v1:1:3745162:3745929:1 gene:DCAR_001254 transcript:KZN08189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMIAQIRLVSSHPEVYEPCEDSFALVDALLADRSKLLEHQPALCLEIGCGSGYVITSLALILGHAESGAYYMATDINPYAIQVTSETLEAHGVYAELIRTNIASGLEGRLDGLVDVIVCNPPYVPTPEDEVGRDGIASSWAGGENGRRVIDKILPIADNLLSEKGWLYMVLLAENNPSEVCLQMREKGFASRIVVQRSTEEETLHIVKFWRDPDIQIENNESRSKNRNGNTKASESFFTQMSRLSFWKRDSEQ >KZN08407 pep chromosome:ASM162521v1:1:6339532:6341405:1 gene:DCAR_000953 transcript:KZN08407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKGVKPVAAKKKADKVMNPLFEKRPKQFGIGGAIPPKRDMHRFVRWPKVVQIQRKRMILKQRLKVPPALNQFTKTLDKNLATNLFKMLLKYRPEDKVAKKERLLKKAQAETEGKTIESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTIVHKKTASVLCLTTVKNEDKMEFSKILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTRAKERILAKEAAQRMN >KZN09864 pep chromosome:ASM162521v1:1:30105504:30107287:-1 gene:DCAR_002520 transcript:KZN09864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMSSSMSFFLFLCFITLLFVPSFHASDTDQDQDSGNTHAILPRSDIDLLEFPLNLEYFEAEFFLWGALGYGLDVADPALAFGGPSPVGPKRARLSPLIRDIIAQFAYQEIGHLRAIKSAVRGFPRPLLNLTKESFATVMNSAFGRPLIPPFDPYANEINYLLASYVIPYVGLTGYVGANAKLLTPRAKRLVAGLLGVESGQDAVIRTLLYERSLTKVKPYGVTVAEFTDKISLLRNKLGGEGLKDEGLIVGKAWGAEGMIRGNVLAGNKFSISYGRTPEEILRIVYGGGNERRPGGFYPKGADGRIARSHLI >KZN08952 pep chromosome:ASM162521v1:1:18102998:18104869:-1 gene:DCAR_001608 transcript:KZN08952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSTQPQVILLCGFVVFLVVLWRILSIHAKSHNKSGYAPPEPAGAWPIIGHLHLLGADKILHHLFAAMADKLGPVFLLQLGIHKTLVVSSWEVARECFTVHDKALSTRPMTLALKIMGYDGAIFGFLPYGPKWRNLRKLVMVELLSTRRLDKLKHILDSEVNLFVRGLYELWKSKGEEGMPVVELTERFGDLTTNIVVRMVAGKRYFGNGEYKNEEARWFQKASKDFLHMVGLFMVSDAVPLFGWVDSLTGYKGKMKKTAIVMDNILEGWMKEHKQKRKLASINESEQDFMHVMLSIMESDPDAQISDTTIKGTCLSLLLGGYDTTMVTLTWAVSLLLNHRHVLRKVQDELEKHVGRDRQVNESDVKNLPYLRAIVKETLRLYPPAPLNGPHEAIEDCTLAGFHISAGTRLFANLWKLQRDPRIWSDPLDFRPDRFIEEHVDVEMWGQNFELIPFGSGRRACPGTALALQVLHLTLAQLLQGFKLGTVSDLPIDMTESPGFINPKATPLEVAFRPRLAPSLYA >KZN10024 pep chromosome:ASM162521v1:1:31813720:31814725:-1 gene:DCAR_002680 transcript:KZN10024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTGSPCGACKFLRRKCARGCVFAPYFCHDQGASHFSAIHKIFGASNVSKLLAHLPLSERGEAAVTISYEAQARVQDPIYGCVSHIFALQQQVVNLQTQLSALKEQAAQNFANGSGTSFTSANPNDHMSSSSSYGTIPCNYSTQDAQRWSHSETASMMPQFDDLNISVKNDCCDENAYNINSESSTNYHLQEANISFPSFEGVSSYSMDPIYADHMQTNNQQWSFREDVDDLQSVAFGYVQY >KZN09539 pep chromosome:ASM162521v1:1:26795810:26802001:-1 gene:DCAR_002195 transcript:KZN09539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNGGGGGEIWKAHTALALVQLFNGGYHVITKVALNVGVNQLVFCLVRDLIALSILAPVAFFREKRIRPPMTRHFLLSFLFLGFSGIFLNQLLFLIGLGYTNPTYAAAIQPAIPVFTFIFAAIMGTETVNLLRIDGQLKVGGTLVCVFGAILMVIFRGPAVFGYKESDFTLQSEISARGQPEPAGWIFNIIYSFGLDTWHIGVLCLIGNCMCMAAYLAIQAPLLAKYPASISVTAYAYSFGVLFMIVTALFMTNHSTDWNLTQSEIFAVCYAGIVASALNYGLLTWCNKIVGPALVALYFPLQPAASAFLSRIFLGSPIYLGSILGGFAIIAGLYLVTWVSYREKQSASGIIPHVSRPSDSDPLIPRVSSIGTIPFQLGHIFSGPSTSAPKIMD >KZN10632 pep chromosome:ASM162521v1:1:37783611:37786839:-1 gene:DCAR_003288 transcript:KZN10632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTVSITANPTTSRRRPIVTTDMKSGLDLLGTDASPISGGVETGPTRDSSQSIERSRREAVVQVKKSIAPNSGTTSKPYRSHRKSISKPKWQTVVSVFTKNLVLLLLLAGLVQMVRKVYLNSVVDDVGFVSGGFSDNVDGKISEIQVFVSKSLRGMQLQVEVLDRKVKEVEDKNVELGGELGKLGGKVDVVSDRMGSLERYLNGNEWLSKGEFDRLLEEFEGRAGSDGKGGLDEVMAVAREMVGKEIEKHAADGLGRVDYALASGGAYVVKHSEVYGGIKGGFPFPGISGRAGVHANSGKMLRPSFGEPGDCFALKGSSGFVQIKLRTAIVPEAITLEHVAKSVAYDRSSAPKDCRVSGWFKGQETTDLTRNAEKMFSLAEFTYDLEKSNAQTFGISDSSGVGVIDMIRLDFTSNHGSASHTCIYRFRVHGHEPDSIVPLAIQS >KZN10838 pep chromosome:ASM162521v1:1:39436015:39436625:-1 gene:DCAR_003494 transcript:KZN10838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPHIVCVPFPAQGHIIPMMQLAKLLHSRAFHVTFISTEHNQRRLIFALGDAFLNLSATFNFLTVPDGLPRIEPDQSHSPVGLFGSIPKTCLEPFKEVLDKLESDPEVPPVTGIVADACMSFAVEAGREFGIPVVSLWTASACGLMAYLQFPEFVKRAIFPFKENSYQLGKKR >KZN10062 pep chromosome:ASM162521v1:1:32269998:32270824:-1 gene:DCAR_002718 transcript:KZN10062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSFTPCLNYLTGSTGTGGSPTGDCCGALRSLTSTSMDCACLIVTGNVPFSLPINRTLAISLPKACRAAGVKGVPVQCKASGVPLPAPGPVLLGPSPPPSTAASPFSPRASKAATSAPASPPDATTSDGTPGTSIPADSPDATPGIRPVLNPTSASDPSNILSPSLLLAFAGTMILNYY >KZN11172 pep chromosome:ASM162521v1:1:42288143:42293491:1 gene:DCAR_003828 transcript:KZN11172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPDLLFSVRNNFYLGAYQAAINSSNIPNLSPDEAVERDSIIYRSYIAQGSYELVISEIDSSAATPLQAVKLLALYLSNPGNKESTISSLHEWLSDPAIGNNPILRTIAGIIYMHEQDYNEALKHTNAGGTMELHALNVQIFLKMHRSDYAEKQLRIMQQIDEDHTLTQLANAWINLAVGGSKIQEAYLIFQDFSEKYQMTSLILNGKAVCSMHMGNFDEAESLLLEALNKDTKDPETLANMVVCCLHLGKPSSRYLSQLKLSQADHMLVKRISAGEEAFDRAVQTVA >KZN09973 pep chromosome:ASM162521v1:1:31255395:31256177:-1 gene:DCAR_002629 transcript:KZN09973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFYPVNSNHSSKAVPDNVSKSDLLKKSLSETLSKYYPFAGRLCSGSYVDCNDQGVHFVDAQIGCQLSEVLEKAPVKEEEEGLGHLFPPRTIWNQLSDLYPGMIMHVQVNHFTCGGIAVAVSLCHRLGDALTFCSFLRYWASLSFNSGDHQKSLHLCPRFVYELLPPSYDSDSIPIVSYPDKNWTTKAVVFPNRKLAKLKAAVENEDKVDGVLEDQKYTRNELLTALIYRCIVASVARTNSGTHGGSALLRSVNVRPMX >KZN09829 pep chromosome:ASM162521v1:1:29731579:29733464:1 gene:DCAR_002485 transcript:KZN09829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNYGRSNKTSSFDFDLGLSSNNSRSLNDQKNKTSTTPSYATPNLAPSWQPNKPSWTHQPAPPSQSVLPGSLGGPTSMVGDITGRSWASAAPAKTGSNNIGVVVKNPNLFGDLVGPALGGNKGSSNVPLKNLAPVSGSGNKSGGFSMGGMKDSLPKNVASSGGGGGGWGGSGGYNASGNVGLSGGKSTNLGGPAMKSVGGSGFGGGMSSNKDPFGSLLNFGPKKAAGMKSESKETSNKTSLVGDGFGDFQNAPKPNVSVSNNSVPVNLSEGSHSNMNDFGFFPTQVQPPVQSSTVDDFDVLFPTSSTDGGAKGLEDLGGQQSSGIGGWDTEFGGGDDKGGTTELEGLPPPPSGVTAAAAKNKGMENYKQGQFPDAIKWLSWAVVLFGKASDNNGTNEVLSSRASCYKEVGEYKKAVADCTKVLEHDEKNVSVLVQRALLYESMEKYKLGAEDLRTVMKLDPGNRVARSTIHRLTKMAEG >KZN09290 pep chromosome:ASM162521v1:1:22611754:22626846:1 gene:DCAR_001946 transcript:KZN09290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRGIERERESVLLTTTATVAMGGDGGLLMDESEVKSTTYRLVDKLDIPQLTHVTTDNHGYRERSTAATDAQEVCSLESHLSVTDASDINPLRPIDEMAQKNNPATNPLHAAGNVLPLDLSYHNVIDVKREGITWIKLGSSRSYVPSSDDVGFALKLECVPIDHSTGVHLSQVFVVLTNPVIIFPAPSPRSMISVGSAKKSECSSIEPQSISGINFSVLSYNILADLYASRNAHQNTPAWALTWEYRSQNLLNELIGYHADIVCLQEVQSDHFDTLFQPEMERHGYSSVYKKKTKEVYTANQYITDGCAIFFRDDKFKLIIKYELEFDNHLFPVVDMLPPNQRNEVCFRLMKGNIAVVLILEAVGNDSMQNGLKPRIGVVVNFIKGLEEISNSQIPLLICGDMNSLPKSDPYKFVREGKVRHVSNKLRDPLGIQKHMVFSHSMRLASAYTSLGQSVSVDGKQKAKMNSKTGEPKFTNFGSGHPRTLDYIFYTAMKLFEEMRNCGFVPDETTVVIILPICARLGEDGGVGKWIHSYAKSSGLILDFISVGNSLIDYYCKRGALERAFSVFRDMPQKNVVTWNAMISGQAFNGNGERGLTLFEEMIDEHGVTPNDATFVGALTCCSHAGWVKRGGELLTSMVSNYGIHPKLEHYGCMVDLLGRGGCVKEAYDLINAMPMKPNAAIWGSLLNACRQFDDIELAVLAVKELIKVEPCNSGNYVLLSNLYAEKGLWDEVENIRLLMKKNSLQKEPGQSIV >KZN09296 pep chromosome:ASM162521v1:1:22699011:22701972:-1 gene:DCAR_001952 transcript:KZN09296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENISTKMLTSCDIVTVKYITRPIKLGGHTGRGRDAMLLLKHKILKSILLRRTKVGRSADLALPPKMIFLRRDSLDIKEEDYLRSLYNDTQAQFNTYVTEGTVMNNYANIYNRLTRVRQALNHPYLVVYSKNALSQSSVANDDGEVKCGLCHKSVEDPVVNACGHTFCQSCLINFSASAGQGSCPTCFITGFKSSSILNRIRLGDFKTSTKLEALREEIRFMVQRDGTAKGVVFSQFPSFLDLIHYSLQKSGVQCVQLDGSTNMKARDTAIKRFNEDPDCRLFLTSLKAGGTALNLTVASHVFLMDVWWNPAVEQQAQDRVHRIGQFKPVRQVPWLEPS >KZN08261 pep chromosome:ASM162521v1:1:4361091:4366594:-1 gene:DCAR_001326 transcript:KZN08261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHELNELRKQISGQGILVQDLMSGVCRELEEWTSANGGFIEADQKSQKVDMKNPLQSEEEDHRSNVLENIDVLLVEHRVEAAIELICAEEKSNPQLKGIGDPSSTETSSFKSVFLKRKSMLENQLVEIAEQPSAGSTELKEVLSGLVKLGKGPLAHQLLLKAYASRLQRSIENFIPLCPCYPGTYPATLSNLLFSAISMTARDAVQMFGDNPLHSSRIVQWAECEVETYIRLVKENAPSSETVLALRVASICVQASLNHCSALESQGIKLSELLLVLLRPYIEEVLELNFRRARKVVLDLAGNDESLPLSPRLASPLSIFSASSNNVIVDSGMRFIFNVKDIVEQLTRLTIVHFGGSTLIRISQLFDNYVDALIKALPSPSEDDSLTELKEAIPYRAETDSQQLALLGTAFTVADELLPMVVSRIWSVLNELMEAESALNKTTPSPSSNIDFKEWRRHIQRSLDKLRDHFCRQYVLNFIYSRDGEARLDAEIYLNGEGDDLVWDSDPLPSLPFQALFGKLQQLATVAGDVLLGKEKIQKVLLARLTETVVIWLSDENEFWGVLEDKSARLQSIGLQQLILDMHFTVEIARFAGYPSRHVQQIASSIITRAIKTFSARGIEPQSALPEDEWFVEAAKAAINKLLLGASGSDTSEIDEEHILIDDEEVSTDSDNSPSSLSSVDSFDSFASATAADLESPIYLTDSEG >KZN09348 pep chromosome:ASM162521v1:1:23903449:23904656:-1 gene:DCAR_002004 transcript:KZN09348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMHKNHMPAPSNHTSRHLHTQIAKPTVTSPKSFLVDATNLPKLERMLLNLQPGMMIVVPQNGLVHNLFLAAKWENMVFYRGQNYFVHLIGEFYANMVVQKGMDDVLKISIVKEFELFVGHFCDADVPVDLCEENCAISYLHFTPLYQQLAIIIRSNLLPKPKNAQFFDFVDLKVMFQLVTNQIEFNINYVILLNMIMAFEVEYLPYGLLLTSLFELYHIAMPRVLSEKIEFCDIINLVKPQVPLRDCEPLTVTPICISPTVMLTANQANKRTNAEIDKLKGEINLLKKMNVGIMARLDQLENKAKDDSTVGNVEGIDEKMDRLFNEEMVNEMVDNSEKVVLPSLNDLSDELGFVAVEDSEKA >KZN09819 pep chromosome:ASM162521v1:1:29671528:29671955:1 gene:DCAR_002475 transcript:KZN09819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAAKRNGDDRNSRPAASSSATGKKVIIKSADMKDDLQTEAINIAIGAFEKHSVEKDVAEYIKKEFDKKHGPTWHCIVGKNFAKALLD >KZN11716 pep chromosome:ASM162521v1:1:47323343:47326394:-1 gene:DCAR_004372 transcript:KZN11716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYNKGALTADKKPSIVSKLTTLNPNATEFVPSALRASPGSPGAADSSSRFASGSNKALGKTVLDRTESSVSNNSDDEAHQYWRCQLPDDITPDFKVMEKDETLEVNGLPFSNLSIIDGVEMSRFPASHGSALMLKEQQELSPHQIDGGNFSQKMGYSVAPYVEDPIPSSYLNAHAEPWDKHISSTGNLLNGMGDATAFNGNSDMLNEQQLLESTDMYHLEFLASQFPGFAAESLAEVYYANGGDLNLTIEMLTQLELQVEGGINQSHSSKALSAPNLTALDFPALSAGDGQNGIRKYHEDELQPNGSPYRPSEKDNLLLFRSGSSIPSRGAIDFASAVRKMASQDSGIWKYDGNGATDVSVGSSRASHSSSGSYLGGQGRGIYGDRVQGRSPARAAPAWLETGEAVANMYSESREEARDYARLRNVYFEQARQAYLVGNKALAKELSVKGQLHNMQMKAAHAKAQESIYRQRNSHYSGMQGNGRGQERLIDLHGLHVNEAIHVLKRDLTMLRSAARSADQRLQVYICVGTGHHTRGSRTPARLPTAVQRYLLEEEGLDFSEPQPGLLRVVIY >KZN10099 pep chromosome:ASM162521v1:1:32618550:32618765:1 gene:DCAR_002755 transcript:KZN10099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKDGGSNNRGNNNRKKNNESLVLPPRTHVINMVGARLAQSVTALVNNKKNKISRENDDDNAAASASASS >KZN10072 pep chromosome:ASM162521v1:1:32334413:32339346:-1 gene:DCAR_002728 transcript:KZN10072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLFHHHTNRPCSAASKRPFSATASPPPDPMASDPTRLDSLLESFLSLSDKTTTCLLDRAFDNLIESCECDHSVMIERALRLGSVLLEAGKRSARKRDYLHNAVVWPLPPDLTIKVFSMLDTQSVCFAAAACSFFHKCASDPLCYASIDLMTVIPKVNNLVVSTMIQRAGKALRSIKLGLMPGTTAFFGSSQPCIYGMRNSTDVSGFSWNDKRSRQGKESCILSRSCLSSLSSDNGAPGALLRRLHLNNIERVDNTALSAALSVCPSLLDLEIVGLHVELRQTLESVSKYCHLIERLFFESSKTGRDDSLKLNPTCSDFVQNCPNLNCLALRGFKLQDFKVLALIKGFRKLKHLDFSTSYSISGAFLKKLIGGAGGNLLEVLILRDCMHLRKAEVERFISAIIAGEFKFLRHLDVSNREGLASEEDWCSRCYDPSFIPAKQLYGERPNFCLQAEFPVEGSFIEFGQMIGSDDVNVPSQLSCHMSDGSCFVNASDSCYNSDLGSGNEDSHDTSFIIYEESSDEADFLSV >KZN08267 pep chromosome:ASM162521v1:1:4653345:4654866:-1 gene:DCAR_001332 transcript:KZN08267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVVVTEGCRKIKLQYYGFKLASSSRQGEVEPYIPFNINPSGMQPILTTTYLLAVPSILAGLLGSPVWEYIKQMLNPETSTGAGPWVYYTIYSFFVFVFNIFDIANMPKEISDYLNKMGARIPKIKPHH >KZN09922 pep chromosome:ASM162521v1:1:30783644:30784030:-1 gene:DCAR_002578 transcript:KZN09922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKIVYLCRDIKDTFVSLFHFSNRINYRPTPISLEHAFHLFCSGSSPSGPVWDQIMGYLKERGLFMRYEEMQNEPLVQLRRLAYCLGRPFFQEEENSELPDHIIKLCSFNTLSTLEVNKTGYCADK >KZN10445 pep chromosome:ASM162521v1:1:35745948:35746748:-1 gene:DCAR_003101 transcript:KZN10445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNDPEEQQQEVSRKRKLSDPSVDDATIVSTDHDIEISQETNNNNNVFLTLSLGIQQIPFQLPPTLPPTAPPPVFQPPLPVPRAGPTKHRRRNPTQAPRDVTKGEDIPQPYPWATTKRATVHTMSYLDSKNIRSISGDVQCKRCERQYQMELDLHEKFKDIGTYMAKNKSTMHDRAPASLMNPVLPTCKFCNQENSVKPVISEKKKTINWLFLLLGRMLGCCTLEQLKYFCKHTKNHRTGAKDRVLYLTYLAICKQLDPKGPFDR >KZN11171 pep chromosome:ASM162521v1:1:42278551:42285624:-1 gene:DCAR_003827 transcript:KZN11171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIDGVHLVVVLCLGISVGWVCCQDNDNVTAVYIVTLKEAPGAVYNAEFVVKTKPLKQDGKVNRLDKPLASNISRRGWYNGHNISRYHDSILREVLKGENYLKLYSYHYLINGFAVLVTPPQAVKLSQRREIANVVLDFSVRTATTYTPQFLGLPQGAWPKNGGFETAGEGVVIGFVDTGIDPKHPSFSDDSSGNPYPIPKHFSGICEITRDFPSGSCNRKLVGARHFAASAITRGIFNASKDYASPSDGDGHGTHTAATAAGNHGIPVIVAGHHYGDASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGIATYFNPIDMALLAAVKVGIFVVQAAGNTGPSAKSISSFSPWIFTVGAAAHGRVYSNSILLGNNISIPGVGLAPGTKNASMYTMVSAVHALNDTAAVDNMYVGECQDPNSLNRDIIQGNLLICSYSIRFVLGMSSIKQALTTAKNLSAVGVVFYLDPFVIGYQLNPVPMAIPGIILSSPDDSKILLQYYNSSLSRDVITQKITKFGAAASISGGVKATFSNSVPKVMYYSARGPDPEDKFLDNADILKPNLVAPGNLIWSAWSSHGTDSSEFLGENFAMMSGTSMAAPHVAGLAALIKKKFPSFSPSAIGSALSTTASLNDKNGQLMMAQRAYSNPDLNQSPATPFDMGSGFVNATAALDPGLIFDVAYDDYMSFLCSINGSSPVVLNFTGMSCKTSTINGADLNLPSITIAKLNQSRTVQRTVMNIARNETYRVGWTAPLGASLKAEPMQFFIASGERQVLTISINATANSPVVTFGKIGLFGDKGHTIHIPLSIISKNCYNTTNT >KZN08808 pep chromosome:ASM162521v1:1:15710711:15714726:1 gene:DCAR_001464 transcript:KZN08808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALILHAGNPNKNAWKALIAAEYCGVKVELVKDFQMGVSNKTPEFLEMNPMGKVPVLETPDGPIFESNAIARYVTRLNLENSLFGSSLIDYGHVEQWIDFASLEIDANIGRWLYPRYGFAVYLPPAEEAAIAALKRALGALNSHLASTTYLVGHSITLADIVLTCNLALGFGRILTKSFTSEFPHVERYFWTLVNQPNFSKIIGKLEQAESIPPLPSVKKPAQPKETAKSKPKDEPNKEAEKPKVEEAPEEEAPKPKAKNPLDALPPSKMILDEWKRLYSNTKTNFREVAVKGFWDMYDPEGYSLWFCNYKYNEENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGNQPPYKVQGLWLFRGKEIPQFVMDECYDMELYEWTKVDINDEAQKERASQMIEDHEPFEGEDLLDAKCFK >KZN11151 pep chromosome:ASM162521v1:1:42162344:42163253:1 gene:DCAR_003807 transcript:KZN11151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSDGSFTLDHNHTQLVDFFPKETRNFLESLPSTILSSLQSEAPKPVMPDQDSYSSCHGDKEDLNVTLHIGLAMSTSESSSRYSSHHQPITKYWIPSPAQILVGFTNFSCHICNKTFNRHNNLQMHMWGHGSQYRKGPESLKGVQPRAMSGIPCYCCEEGCKNNLNHPRARPLKDFRTLQTHYKRKHGTKQFCCRKCGKCLAVKGDWRTHEKNCGKRWLCTCGSDFKHKRSLKDHIKAFGISGHAPISPSLDGVEMNEGYHHSVFI >KZN09187 pep chromosome:ASM162521v1:1:21502432:21504727:-1 gene:DCAR_001843 transcript:KZN09187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFFAHYTNPSLPKHASLHIYISNDAVSCFLSLCFHRRRSWIRDSPELKVAGEFMESTVMSNRNESSWGQSLGKFMTKTVPLTNPNPNPISNPSNHKHLSKSSKKKKQFHALNGRHDESPVVIQSVADDAYSYNQRPLDTNHGDAGGFNHAAGGFVNIKVSTCSKAELRELKRKLQLELKRVRELNDRIESRQLVSQLPPRSSNEAPQFPPRSNHGKMKKLSGQKRMLPLGPPQIQSQLEQNLGVPSGNIEGIEEMMKSCRQILIRLMKHKHSWVFNKPVDAAALGLHDYHLIVKKPMDLGTVKMNFGKNLYSSPAEFASDVRLTFNNAMLYNPKTDEVHRMAAQLLSEFETKFGPIQDKLDQISTRKERSGFANINNELQGSSWNEIVVPHSPPRPKKPKTSLVNSIPRKVERIPEPLQKPNQSSGSNPTNSNPPVAQSPRIPQPAPKPNLSSGSNPSNSNPRSAQSPVTTPSPVEAQPVKPTKPVGAVKGASTTKLPKPRAKDSNKREMTMEEKQMLGVGLQNLPQEKMPQLVQIIRKRNEQLSQEGDEIELDIEALDTETLWELDRFVTNWKKLVSKTKRQALMENYSAVQTVTTDTDEAPASTRNDGSAKNMKKGEGDEDVDIGDEMPENSFPPVEIEKDDGGHGQDNGIKNGTGNGNASSSSSSSGSSGSDSSSSSVVELTYHAYVKTDSGSSSGSDSDADEAQS >KZN09362 pep chromosome:ASM162521v1:1:24171706:24172518:1 gene:DCAR_002018 transcript:KZN09362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIKGSTALQAQLWVIHMAMKWAFEKQIPMVVVETSDNGAYQVFRNRDDEEEFIEEEDLELILDQIDILRARYNKSDGDGSQSRSCTISPVAGTRNEPTRRMALLGMETCEGIVDIPVPPPEITEFLDLDNGLGPLWEVFEILPNRGLGEIITRDIVDPTIHNARVKVKMESLFKEEEYKGFRVRENSSLRNNKSSEFLSNMSGRTVRGIVIREAVQNLTHLSGSDFHRDTNDKGKRKMVEEPDIPPGFEYYPSTKRISNGGEDTSQEW >KZN10025 pep chromosome:ASM162521v1:1:31822369:31826377:-1 gene:DCAR_002681 transcript:KZN10025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFLSTIDFRLGNNELSRRSVYNVIARYQSQGSSIEKMKASGSDDGRDVAKVEKKTGSEWNVELDWFSKTLEPALQMLKRALPAGNVFENKPPPSSRTVSEIISSIQRSKIGVQDWCFSDLTLGLYLLYLRQASTEPFQDVKGVEDLIYHTELAKGAYKDSVASIAKNTMLRESNVLKFVKNSSVLRPGYYIGVDMRKKLVILGIRGTHTVQDLITDVVSSSHEEVLSEGFSTHFGSAEAAGWFLSHELGTVKKCLEQHQVGFRLRLVGHSLGGATASLLAIMMRRKSSKQLGFSPDSISAVGYGTPPCVSREIADGCSDYVRNVVMQIALTCLRTFNYCTCARELFPIRLSSSPELNPGNLVNLGFHCVGITLFVVLNRMGVLEKEDWRSVTDLVTNAKQVVTSVQDVARKLADYAKLSNSKFLEIPARKDSLGLPSVPATAVSSETVPVLKKKEDVSKVPDELFVPGTVYCLKRHVDTDTSTIHGKAADYFTLWKRHPGEHFQKILLSSNILSDHKCDNHYYALRDVLKGLPGSR >KZN11863 pep chromosome:ASM162521v1:1:48852420:48866211:1 gene:DCAR_004519 transcript:KZN11863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNQASASGSDVKSGDMVFEPILEEGVFRFDCSADDRNAAFPSHSFVNQKNRETPLLTTKGKPSFIPTFECAHGQQIVNIELPAGTTFYGTGEVSGQLERTGKRVFTWNTDAWGYGSGTTSLYQSHPWVLAVLPDGEALGVLADTTRRCEIDLRKESSIRFCAPSSFPVITFGPLPLPVDVLASFSRAVGTVFMPPKWSLGYQQCRWSYDSDARVREIARTFREKGIPCDVIWMDIDYMDEFRCFTFDKERFSNPQSLVKDLHDTGFKAIWMLDPGIKQEDGYFVHDSGTEKDIWTQTADGRPFVGDVWPGPCVFPDFTQSKARLWWSNLVKDFTEYGVDGIWNDMNEPAVFKTVTKTMPESNVHRGDAELGGCQSHAHYHNVYGMLMARSTYEGMMLANRSKRPFVLTRAGYVGSQRYAATWTGDNLSTWEHLHMSISMVLQLGISGQPLAGPDIGGFAGNATPKLFGRWMGVGAMFPFCRGHSEKGTSDHEPWSFGEECEEVCRLALRRRYRLLPHIYTLFYLAHTKGIPVATPTFFIDSKDPKLRAIENSFMLGSLLIYASTMHDHGVNQLQHTLPEGIWMSFDFDDSHPDLPALYLQGGSIIPVGHPHQHAGEADPSDDLSILIALDENGKAQGVLYEDDGDGYEYTEGGYLLTTYVAELQSSVVTIRVSKSEGLWKRPKRRLHVHLLLGRGAMLDAYGVDGDVIQITMPSEAEVSDLVSASHKKFTSRLETARQIPDVEKVSEGKGVELSRTPVELKSGDWVLKVVPWIGGRILSMEHIPSGTQWLHSRVDIDGYEEYSGMEYRSAGCSEEYSVIDRDLEQAGEVESLSLEGDVGGGLVIERMISLPKDDPKVVQINSSIVARSVGAGSGGFSRLVCLRVHPTFSLFHPTESYVSFVSVDGSKHDCWPTSSEQSYEGDLRPNGEWMLVDKCLGVALVNKFDVSQVYKCLIHWGTGTVNLELWSEDRPVSKKSPLTISHNYEVRGV >KZN10989 pep chromosome:ASM162521v1:1:40879418:40887091:1 gene:DCAR_003645 transcript:KZN10989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKFKKEKGSSSSSRAQPYQGGIQFHKSKGQHILKNPLLIDSIVQKSGIKPTDIILEIGPGTGNLTKKLLEAGKSVIAVELDSRMVLELQRRFQGTPFSNRLKIIQGDVLKVELPYFDICVANIPYQISAPLTDKLLKHRPVFRCAVIMFQREFAMRLVAQPGDALYCRLSVNTQLFARVFHLLKVGKNNFRPPPKVESSVVRIEPRKPLPQMNFKEWDGLIRICFNRKNKTIGSIFKQKSVLSVLEKNYKTLQALRLSENGSLEDTEMPVDVPILAETGEDLSMEVDDGEDEDDEEMEVGDVDQKRSDFKDKVIGVLKQGGYEEKRSSKLAQADFMHLLSLFNKAGIHFS >KZN10908 pep chromosome:ASM162521v1:1:40192326:40196798:-1 gene:DCAR_003564 transcript:KZN10908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRIFKIHDKLFLGLSGLGSDTQTLHQRLVFRHKLYQLREERDMKPETFASLVSAVLYEKRFGPYFCQPVIAGLGDDDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKPDMEADELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVTERTLKGRMD >KZN08459 pep chromosome:ASM162521v1:1:7207263:7208444:-1 gene:DCAR_001005 transcript:KZN08459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLKSKNKKPIKTPQQSYPKTLEKIKKHKSKKNQQNSKRPISQNPKKPDPESLQALLEPYTKHQLISFLIDSALKTPSVHSQIVEKAETDVSHRKLFFHGLGWDTTRQAVVLSFEKYGEIEDCSLIIDKGTGRAKGFGFVVFKSRDGAKKALEEGKLKIDNRVVWCQLACVGPVGNVGGLDGFGSRKISVGNVGKDVDSGKLREFFERFGEIEVGPLGFDAGTGKSRGYAIFIFKNLDSVKKVLEETNKVFEGVMLNCRMADSGRGGKSVSSITTVVQPLSNVVDQNVGLLGQHSVSNRLLVQQTGYNSFLGGGLFANPGGGLLGPICGQALIGGNQFGQIGGGLGGYGAVMMGQGMDCLGANQSLLGPYSSSSPVPMFPGLLQAYTETKLG >KZN08479 pep chromosome:ASM162521v1:1:7529838:7531060:1 gene:DCAR_001025 transcript:KZN08479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEEQLRIFEPDPLRFTSMDELESCEKNLSKALKRVSERKKYLLSNHLSTYDPSTLQQINSAVKLMFEAQNGTNSFGNNAMNCWQEMNGNNNGGVSGHNHENILVSPDTTCMPLSNSPPGVYESTSTNNGETQSVGVHHINNPGEEECLQQWQHQSSHDFLNALLPPHDSFSLVKDELLPNSMLTPLIQQQPIDEASPSVQLPSSEESANYEHKLKLPKIN >KZN09810 pep chromosome:ASM162521v1:1:29610724:29612070:-1 gene:DCAR_002466 transcript:KZN09810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDLLTGDELLSDSFPYKEIENGCLWEVEGKWVVQGAVDLNIGANPSAEGGGEDEGVDDQAQKVVDIVDTFRLQEQPPFDKKQFVAYIKKYIKNLTAKLEDDKKEEFKKGIEGATKYLLGKIKDLQFFVGESMHDDGSLVFAYYKEGATDPTFLYFGHGLKEIKC >KZN09700 pep chromosome:ASM162521v1:1:28595365:28598764:-1 gene:DCAR_002356 transcript:KZN09700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPAEGYPDDFLEQILAIPAYSGLAGNDGSSSDNSQLTAAGQLGSGAGVIQQPFFPLGLSLDNGQHPQSIRHTVPQIHQAFQGQTTTSTAVTVPHPPNMRPRVRARRGQATDPHSIAERLRRERIAERMRALQDLVPSCNKTDKAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLQSVEGGITETGRNQPIWENWSNDDTEQEVAKLMEEDVGAAMQFLQSKALCIMPISLAALIYPTNQPDISTLVKPEPPAPS >KZN12140 pep chromosome:ASM162521v1:1:51371825:51372124:1 gene:DCAR_004796 transcript:KZN12140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDSSSTTRARQRETEWAEKGPRKSSNGNHTNTTTTPSRNYNNVYSAAASPATPTHGGHHAHRAAAAAPPDFSRSSSPSPYSPYNTHSPASSYHFPL >KZN08356 pep chromosome:ASM162521v1:1:5561910:5562374:-1 gene:DCAR_000902 transcript:KZN08356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVKDCDKHNKMQGRGKKIKMSASCAAHISQLTKDLGFKSEDETLQWLLDRAEGRGTANQFANATGGASSEAPKVPEKKKAEPVHQDDVPKTSGSALVGPTPVFIPGKGFWMVPNDGGKPQQVWPVPLALTRGIGMRMQGPYAPGSSDQNQKP >KZN08775 pep chromosome:ASM162521v1:1:15200913:15211036:-1 gene:DCAR_001431 transcript:KZN08775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLSNYNNQNKPRASLNTTSAPLLAHSAIQRIADKLRTLGFDDNIKNDTTERNKINDSASPGQIFLPLPTHLPKYRVGHTFDPSWSTPENPVPQPGTGTAIRRYHQLRNDLLLSKQKNDQSLNNDDDNSNCAPTLAELTLSSEELRRLRSVGIKLKQRLKVGKAGVTEGIVNGIHQRWRHTELVKIKCDDISRLNMKRTHDLLERKTGGLVIWRSGSNMIIYRGADYKYPYFLNEDNDSNKLTLRFNDGIDGENEICSSHIGGVRSAVSPLSNKTVQAPLIQGVGSPNVVRFQLPGELQLLEEYDHLLDGLGPRFTDWWGYDPLPVDADLLPAVVSGYRKPFRLLPYGVKPILTNDEMTALKRLGRPLPCHFALGRNRKLQGLAASIIKLWESCEIAKIAVKRGVQNTNTELIAKELKWLTGGVLLSRDREFVVLYRGKDFLPAKVSEAIEQRRHRIQGVKNSWDNNSLAMDVQSHKPRTVYVDVKTESGVIDSNPLVPTIEAENYLRDEKRKVFSEERRLKFVREAVMRTKSKLSLALAKKSRAETLLTELEKEEILQPSEIDKEGISEEERYMLRKVGLKMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIICGERSIDEVHARARTLEAESGGILIAVERISKGFAIIVYRGKNYKRPASLRPQTLLNKREAMKRSIEAQRRESLKLHVLKLDRDVDELQHKLARDEQRINMQLAKDLTLQTGTEDKLDQRHSEKIEKFYAAPQNCSPNAACHQENVEYESDYWPHNLKVKDNDGAKLTSINTNDCVDSSRQDLQASPEDMLTGLSTEDDVKDGDKIIINGSEPSPVIVLEKTDRLKNMNGEVVHAESVVAASHTDRGHFSDIGNTGSLRESSFNSSSKVLGPKGLEDFSEEVPFKAGRLSNRERLLLRKQALGVKKRPVLAVGKSNIVSGVAKTIKTHFQKHPLAVVNIKGRAKGTSIQEVVLELERATGAVLVSQEPSKIILHRGWGAGDKHRPGQSMENKTIESGSTPTGQENKVKTVISPELITAIKLECGLEFSRGEKTVR >KZN11622 pep chromosome:ASM162521v1:1:46415667:46416428:1 gene:DCAR_004278 transcript:KZN11622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCSAICSQSSVPLVADTYDTMDSPSPNLTNIPVDVVVCILKRLLSVGCFEDFFHCFVAWCRSQRRGVIIQLLRAYPIEELYHFSSVNSPSEVGYFYRFLFIACRLEIPAARCFVPCKNLVCGVGNIDAQLDVLLGLSIEGHFFSKVAWVAFQLLYNGGDSISVASSIRDMFGYPNCGSYVAEMVRHLEIIAANEPVNPLFLGRVAVFRCNSHPVPLIDYYGEEEQNMFECHACNICFVLGPFSRSFHLFMT >KZN09937 pep chromosome:ASM162521v1:1:30917996:30921795:-1 gene:DCAR_002593 transcript:KZN09937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTATMASTSNLLSSFPIRPAKISGTGTGAASLFSPPPSRNSIRKLLFRSNNRSFSNSGSRSSRRLSITCGGVMEIGEAQFSDVVLKSDRPVLVEFVATWCGPCRLISPVIEWAAKEYEEQILVVKIDHDSNPQLIGEYKVYGLPALILFKNGKEISESRREGAITKAKIKEYLDAFLESVSVP >KZN07855 pep chromosome:ASM162521v1:1:175707:176408:-1 gene:DCAR_000524 transcript:KZN07855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSLRSPETSTQLGELLRYTDHENSRSHLTIGSVLNLEKKPGSRSTGRTLLDIIQADSTGDSKITWTNFRDKLRLKRATAALQRINNHGHHQRILSDPDIESESSRIRSSSLRLQRSLSSLSEEEEQEQETEGEGPLRMSLMSLLAETDREMGNEPAYVIEDEAGQVEVEVENDGGSGEVNDCCVCMERHKGSAFIPCGHTFCRLCSRELYVQRGNCPICNGFILEILDIF >KZN08987 pep chromosome:ASM162521v1:1:18673002:18675067:-1 gene:DCAR_001643 transcript:KZN08987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPMEMQLVPAPEKRVAALPPRPPANSSSALVEYTPPAPKPEEEDIEIKLRRILECVPVRVSNTSGSSAGSGSGDFHQMRRKEQDRLARMDVDYQKKKEEAEFMLRREERLKAAEERTAKKRLKRQKKKQKKQENKKIKLDNNGEQQQQKQENSDVDGSSENEAV >KZN10082 pep chromosome:ASM162521v1:1:32445372:32454385:1 gene:DCAR_002738 transcript:KZN10082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQIEDVTVYFPYDHIYPEQYAYMLELKRSLDARGHSLLEMPTGTGKTIALLSLITSYSLSKPSNPVKLIYCTRTVHEMEKTLAELKLLYKYQVECLGKAARMLAIGLSSRKNLCVNDRVLKAENRDSVDAGCRKLTASWVRDLAVQNKNVELCSFFEDYDRAGDVLLPAGVYTLQDLRLLGKEKGWCPYFLARHMVKYSNVVVYSYQYLLDPKVAGIISKEMEKESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLSKMSQEIDRMPHIPDPVLQLSCHDASLAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVVSRSFTMSLTRDCICPMVLSRGSDQLPVSTKFDLRSDPGVERNYGRLLLEMVSVVPDGVVCFFVSYSYMDGIVNSWNELGILKEVMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYTRHDKRSKLPGWILSQLREAHLNLSTDMAVHIAKDFLRKMAQPYDKTGGGGKKTLLSQEDLEKMGIGNTDMLF >KZN08979 pep chromosome:ASM162521v1:1:18593392:18595069:-1 gene:DCAR_001635 transcript:KZN08979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLEQSDHQLEQGTLSFLIESLFCDEQVEVESLLEGEISNKDYNFVENSRNQTSPPLVLVENDLAWEDEELDSLFCKERQAHFCFLGETMGSCSLNVVDRGNAVDWMLKVRAHYGFSALTVVLAMNYFDRFVSGVEFQEDEPWMVGESEYVFEAKTIQNMELLVMSTLRWRMSAVTPLSFLDHITRRLGLKSDLHWEFYRNSETLLLSVVADWRFTSYLPSVLATATMLHVIHQVEPCNAIEYQNQLLAIFRTTKEEIKECCELVSYVSNSFYVNGNNSQKRKYEENFEIPGGLSKTTDASFNTKSKIHKRQCEEEKEVNCEIPSSLSGITDASFSTANSADNDISSAWSVSCSPESAVKHQMIKKQKLHMPALRSLAMDIF >KZN10937 pep chromosome:ASM162521v1:1:40459916:40464659:-1 gene:DCAR_003593 transcript:KZN10937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHRHQLPPQVTDDDDDDDDSDDSDDDPTMKCVSCKEDYTRCDAGTCRECYEEASETEEELKREIDELKSKVSFLRFWAPLHQLSNRFATPCFTDVVLMASGDGCGEAVPVPAHKAVLASRSPVFRAMLENEMVESLSGTIKISDVSYDALRAFVNYMYTAEACLDEQMACDLLVLAEKYQVKHLKTYCEKYMISKLNWENSLVNFAFSHQHNAKSLLDASQSLIMDNMDKLSKSEEYKELVEKDPRLVVQIYEAYLSKQVNTASLKDCSTKA >KZN07854 pep chromosome:ASM162521v1:1:171530:175156:1 gene:DCAR_000523 transcript:KZN07854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLICPYVSLSKVVEFKALEDERIDLGSISRTFGLDPLTIRINGHFISRGVDFIASSVTWKSLISFFSARGFSTGISAIEPLRVDGKLCKVGTKRVHDTAGAENIIHGISEWENKQPVDKYLNLFKKSKFIGSGSCIKKEHNLDIDGRRSKYDDIGLKRKNQLDSVQPQKKLRMHETSLGMLLLEGVEAGGGRQPLTLPVSALQQAAFPALAQVIERGRVASDWNEPMKALVTK >KZN08493 pep chromosome:ASM162521v1:1:8738883:8739086:1 gene:DCAR_001039 transcript:KZN08493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFKHFTPQHILSILSSRLRNSQKNQFSHKSVSTSFFFGPTSSDFSSNNFQPKKATPYPDKLIEVT >KZN10897 pep chromosome:ASM162521v1:1:40107778:40109978:-1 gene:DCAR_003553 transcript:KZN10897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTWHLSVEKDQTMYVRLYPEPTRIAREQPPIARFIIRVEVVNVGYQNLGPHVSPVTEKLLRTSEDFIWSAEFAYHGRFIAEVEFLDLKVCPKNGEEATSIWPCSYGIRSQASESTLRCFSRMLKESIYADVTINTADGVVRAHKAILSASSPVFHSMFLHPLKEKESSTIDIEDMSVDSCMAFLSYLYGTINEEDFWKHRVTLLAAANKYDIAALKSSCEESLMQDINSDNVLDRLQGAWLYQLDNLKKACLTFLFDFRKIYEVEEEINNYFNQAERDLVSNMFHEVLRAWKVT >KZN11870 pep chromosome:ASM162521v1:1:48918201:48926901:1 gene:DCAR_004526 transcript:KZN11870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYALLICAVLLDAGSLASSKQIVADHSGYPPDRSSICEDEALTKGYKCEDYDVITEDGYVLRLERFPQGQFNHRGRRNKPPVFIQHGILVDDYWDFSFSEMGQYDLPASLKFVYQQTGQKVHYVGHSLGTTMFFSAFTDWKVDELVKSATLLSPIAFLKHMTTLVGNIAAHAYLGEIVGTFGIPFLDLKIEPLSPIEFAFCNVPGVDCWTLSAIFTGPNCCMNASTVNLFLHSNPQATSIKNLVHLSQNIRTGVFSKYDYGNPASNLEHYGVPEAPVYDLEISIPKHFPLLLCYGGSLVSSQHVFGDYTNPPYQTSICSDESLTRGYKCEDYDVITDDGYILRLERFPEGRYNHRGGYNKPPVYLQHGLVADGMIWFSLSHADQTLPLILVEAGFDVWIGNVRGTRFSKNHISENFTNSDKYWDFSFDELGKYDLHASIKFVYEETGQKVHYAGHSLGTTMFTVAALEWDIEKMMKSATLICPVIYLNHVTNIIGSIGAHAYLAEIASSYGLKYLDLKTPPLGVIEYSICNTPGVNCFSFLVDLVTGPNCCLNASAINIFLKNLPQPSSMRTFEQLAQGCRTGVFSKYDYGNPATNLEHYGVPEAPVYDIRKIPKKFPLLLCYGGNDQLASPADVRRVRSELMDHNIHNLFIEEFSHIDFVNGMTSKDVLYPDVVEFMRRYN >KZN08940 pep chromosome:ASM162521v1:1:17972969:17975537:1 gene:DCAR_001596 transcript:KZN08940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCVPSKKKAPLGVSKQDAPDVVSERSITVEEQSQVSDARPQIQDEVLVQTIKKLRVFIVFYSMYGHVESLAKRMKKGVDGIDGVEGVLYRVPETMSHEVLEQMKVPPKGNEIPVISVDDLVEADGFLFGFPTRFGSMASQMKAFFDSTGKLWNEQKLAGVPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLYVPVGYTFGAGMFNMETVRGGSPYGAGAYSGDGTRQPSDTELALAEHQGKYMAMIVKRLVQPKSTE >KZN11525 pep chromosome:ASM162521v1:1:45453064:45455805:1 gene:DCAR_004181 transcript:KZN11525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDANTSNSGFYSASVGNGSDRVNALVYCRDDVKPDICRSCIKDSINKSREACPSTKEADVWYTECVLRYSNASISNNLDTLPLVYLPNPGNASDMDQFNKVLRDLLDELKGQASQETFASGNESGPGFSTIYGLMQCSPDLSSVPCSNCLDGLMTSIYSCCGGKVGARIYNPSCQLRYETYLFYNETIVEAPPPQLPPTPLPVPPSAAGKDDNRTRTIIIVIVVVIVSLVTLLLILMCIFKRKQKKRTATARLLNDDVEDISSSESLQYEFVTIEVATSYFSDSNKLGQGGFGAVYKGTLQNGQEIAVKRLSRGSNQGQQEFVNEVILVAKLQHRNLVRLLGFCFEGTEKLLIYEFVPNASLDHFIFDSVKRSYLDWERRYKIIGGTARGILYLHEDSRLRIIHRDLKASNVLLDAEMNPKIADFGMARLFNLDETQGVTSRIAWKNWREGTPSNVIDPILRNKPAFFMRSGIGEEVWSGTDNTNSRVSDKSVNRVNSVKYSINEASLTDPYPR >KZN10258 pep chromosome:ASM162521v1:1:33959662:33960955:1 gene:DCAR_002914 transcript:KZN10258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEQNHPNGINAVSKSAEKGEKKAFVTFLGGSGDYVKGVVGLAKGLREVNSAYPLVVAVLPDVPPEHRLLMVKNGCILKDIDWVQAPEMDKSKVGWAHEHFAINYSKLRIFELEEYCKMVYLDGDIQVYGNVDELFDLPNGHLYGVLDCFCEWHHSPQYKIGYCQQCPDRVQWPAELAQAPSSYFNAGMFVFEPSQATYDDLINTIKITPPSSFAEQDLLNLYFRGIFKPIPNIYNLLVPMLWTHPENVELDKVKVVHFCANGSKPWRYTGKDEHMQREDVKMLVQKWWDIYDDDSFSCKKPLAAKVRGKRVQTSRPLN >KZN08785 pep chromosome:ASM162521v1:1:15380524:15382772:-1 gene:DCAR_001441 transcript:KZN08785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGRESSESGGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDRQEQPGTDYSVQKMILGTHTSQDEPNYLIVAQLQLPLEDAENDARHYADDDRSNLGGFGAANAKVQIIQQIHHDGEVNRARYMPQNPFIIATKTVSAEVYLFDYSKHPSKPPADGECNPDLRLRGHSTEGYGLSWSQFKQGHLLSGSDDSQICLWDVNATPKNKALDAMQIFKIHEGVVEDVAWHLRHEYLFGSVGDDQYLHIWDLRTPSVTKPIQSVVAHQSEVNCLSFNPFNEWVLATGSTDKTVKLFDLRKLSNALHTFDSHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDEAQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEPWVIASVAEDNILQIWQMAENIYHDEDDLPGEDLAKASAG >KZN10044 pep chromosome:ASM162521v1:1:32030383:32051534:-1 gene:DCAR_002700 transcript:KZN10044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWNSLLKDFRERVSGFSHSNPNSTYNSPTSSASPSSFHGRENSNDDYDLASQDLSASSPPRLVETHIFSFVVGRAFVTDIDKLKLSNKTRALEAEKVLLFFTEVTKDGIRPGSNLLNALEVLVSGPIDKQSLLDSGILCCMIHILNVLLGANEGKPKEKSSSELLQTPEVDELAVRRLEIEASVVHVMKALSSHPSAAQSLIEDNSLQLLFQMVANGSLFVFALYKEGRVPLHNIQLHRHAMQILGLLLASDNGSTSKYIRKHQLIKVLLMAVKDFDPECGDPAYTMGIVDMLLECVELSYRQDAKGILLREDIHNAHGYQFLVQFALVLSKNQGSQAAHSSYPEQNSSSQSLDESNDAEKQVMKGNNGCSSPQQLSPSLSRLLDVIVNLAQTGLADTNGSSGFKGLISSHNKPSGRGRKSNLSSDRFLDETWEKDKYIVKDLEAVQMLQDIFLKADSTELQAEVLNRMFKIFSSHLENYKLCQQLRTVPLLILNMSGFPSSLQEIILKILEYAVTVVNCIPEQELLSLCCLLQQPITSDLKHTILSFFVKLLSFDQQYKKVLREVGVMEVLLDDLKQHKYLLGLDQQSSEDQLERITSSSSLQKQMKSKDTILSSPKLLELGSGKFPLFEVEGTIAVAWDCLVSLLKKAEANQASFRSAGGVTATLPFLISDIHRPGVLRVLSCLIIEDSSQAHPEELGVLVEVSKSGMVTTDSGSQYKLPDEAKCETYGALWRILGANNSAQRVFGEATGFSLLLTALHGFQSDEGKVKQSNLTVKLFTYLLRLMTVGVWNNAVNRTKLHAVISSQTFYDLLSESGIISVECERQVIQLLFELALEVVIPPFLLSENATSSETIENSSTTFLLVTPSGTFSPSKERVYNAGAIRVLLRSLLLFTPRVQLEVLDLIQKLASAGPFNQENLTSAGCVELLLEIIYPFLTVSSPLLSYSLKIVEVLGAYRLSASEFRVLVRYILQTRLMNSGQVLVGMMERLVLMEDIASESVSLAPFMQMDMSKIGHASIQVSMGERSWPPAAGYSFVCWFQYRNLLKSHAKEIDTSQAGLYKKQNQNSSQHNGPNVLRIFSVSAVDSGNVFYAELCLQEDGTLTLATSNSSALSFTGLEMEEGRWHHLAVVHSKPNALAGLFQASVAHVYLNGKLCHTGKLGYAPSPFGKSLQVTIGTPVTCARISDLSWKLRCCYLFEEVLTPGSICFMYILGRGYRGLFQDTNLLRFVPSQACGGGSMAILDSLDTELPLSSTTQRPDSAVKLGNLKVDRSGIVWDMERLGNLSLQLSGKKLIFAFDGTCTETFRASGAISMLNLVDPVSAAASPIGGIPRFGRLHGDIYVCKQYVIGDGIGPVGGMAVVLALVEAAETRDMLNMSLTLLACALHQNPQNVKEMQTNRGYHLLSLFLHRKMLLFDMRSLEIFFQIAACEASFSEPKKLEQAQNVLSPATTVNHNNLEDVSLSKFRDEFSSVGSHGEMDDFSATKDSFSHISELETADIGTETSRCIVLSNADMVEHVLLDWTLWVMAQVPIQISLLGFLENLVSMHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLTSELEHVVRFVIMSFDPPDLTSRHQITREPMGKHVIVRNMLLEMLIDLQMTIIFEDLLEQWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPTFALKFRTSGGYQGLSRVLRSFYDSPDIYYILFCLIFGKPVYPRLPEVRMIDFHALMPNDGNYGELKFVEIVDSVLAMAKSTFDRVFMQSMHANQTGNLSQVSAGLVAELVDGNKDIAGELQGEALMHKTYAARLMGGEASAPASATAILRLDTTIMGSTKQESNEGSRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLSDYESENLDLSDSRAFRQLDKPMGCQTLDGAEEFKKRYESWDDPEIPKFHYGSHYSSAGIVLFYLLRLPPFSMENQKLQGGQFDHADRLFNSVRETWQSAAGKANTSDVKELIPEFFYMPEFLENRFELDLGEKQSGEKVGDVVLPPWAKGSVREFIRKHREALECDYVSDNLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFRKPHIKRNSNRKFPLHLLRNSTLLVPHEVRKSSSSISQIVTVNDKILVAGANNLLKPRTYTKYVAWGFPDRSLRFLSYDQDRLLSTHENLHSGDQIQCVSVSLDGQVMVTGADDGLLCVWRISNYGPRALQRLQLEKSLSAHTGKITCVHVSQPYMMIVSGSDDCTVILWDLSSLNFVRQLPEFPSPVSAIYVNDLTGQIVTAAGVMLAVWSLNGDCLAVVNTSQLPSDFILAVTSFTFSDWLDINWYVSGHQSGAVKVWEMVHISDEDSLQSKSTGNPTGGLGLSGKVPEYRLILHKVLKFHKHPVTALHLTGDLKQLLSGDSGGHLLSWTIPDDKLRSPSVKRG >KZN10950 pep chromosome:ASM162521v1:1:40575760:40575966:-1 gene:DCAR_003606 transcript:KZN10950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAEPPTNSVVRAVPLVGQDGTKVEALSICHLDTASWSSSHFAFLVMDAKPGSPVCHFLAEAVNVAV >KZN12022 pep chromosome:ASM162521v1:1:50369667:50371026:1 gene:DCAR_004678 transcript:KZN12022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKPAGVFAPARGIGGAGLEGYAPWEMRPGGMLVQKRNSDADHGSVVVPVFKVKVKHGSLFLEFSISSQASFGDLKKMVAGPTGLHPEEQKLIFKDKERESRTFLDVAGVSNGSKIVLIEDIDARERRLLEARKNAKIEKALKDIAEISLEVDKFAKQVINLETQVCSGKRVMEKTLLNLVEQLMTQLIKLDEVAGDGDVKLKRRLQVKRVQKYIETLDVLKIRNSTIENPPLQQQQKITAGEKMQINPNQRQQEQNRKHRLAMVKPVVVTSNWETFDAGMSTKMSYQNSESAVNYGTNNTSSRPRWEYFV >KZN10047 pep chromosome:ASM162521v1:1:32087128:32087757:-1 gene:DCAR_002703 transcript:KZN10047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEVEGLKRDKQVLLMELAKLGQQQLDTRAYLLSMEQRLQGTEKKQQHMMSFLARAIQNPAFINQLVQQKEKRKDLEDSITKKRRRPIDQGRRGFELGESSQSTRGVLKPIKAEPTEFGDYYGLPVSELDVLALEMQGFGRARSEKEEENEGFEKFESDDKELDDEFWEELLSDGFNDELGTSGNEEGEEEDVSVLAHRIGFLGSSPK >KZN12110 pep chromosome:ASM162521v1:1:51077612:51084198:-1 gene:DCAR_004766 transcript:KZN12110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEMKRGSQVPAFGSWDCNDEDLEFTQCFETAARTTSGGLLRYSYTGGECDDLYLSGDLYQNHIVTPAMIIVPRRHKEKGGYKNVKQGKKEKEEYGGYQVREATSRQGPPPPPARRNKAPPPPKPVDEDLYKISPDLLYARTKKVSTKWSSINQLKLKVCRAESPIQAAAAEEETEKDMCGRARCTLSVDDIPRACHLNSRPLRSIDTNRYRPAYNVAPGSTLPVIRRDNGTNSQGVAIHCMKWGLIPSFTNKAEKPDHYKMFNARSESVTEKASFRRLVPGSRCLVTVEGFYEWKKDGAKKQPYYIHFKDGRPMVFAALYDSWKNAEGEVLYTFTILTTSSSSALAWLHDRMPVILGKTGSTEEWLDGSSSSKFNTVLKPYEEPDLIWYPVTSAMNKPSYDGPECIKEIQLKTDEMKPISSFFSKKVNNSEESKSQVTNAGKASMHATPALSLKVEPDTEGNTGIQQPLVDKSKEDVKSNVAQAQLANEDAHQFQLKRNYEEYSAQEKPSFETDHPQSTPARKKGNLGGSGAGSGQKSLFSYFGKG >KZN08400 pep chromosome:ASM162521v1:1:6188275:6190406:-1 gene:DCAR_000946 transcript:KZN08400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKTVHVSSPSPHSIKIPKFAVIGHRGNGMNVLNSSDTRMKHVKENSILSFNTAASFPLDFVEFDVQVTRDGCPVIFHDDFILSQENGTIIEKRVTDLYLSEFLAYGPQRDHTKTGKTLVRKTKEGKVWNWNVENDAPACTLQEVFQQVKPCLGFNIEVKFDDNIIYQKEYLAQVLQTIFQVVFENANDRPVIFSSFHPDAALLLKKMQSTYPVFFLTNGGTESYNDVRRNSLEEALKLCLEGGLDGIVSEVKGVFRNPAAASKIKESNLSLLTYGKLNNVPEAVYIQYLMGIEGVIVDLVQVITQAVSETIDRKAEKEEETESPQVTEKPEFSKRELSFLLKLIPELIQV >KZN10367 pep chromosome:ASM162521v1:1:34971928:34982755:1 gene:DCAR_003023 transcript:KZN10367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMMIQQRIGVFSSTTKSVSSSYCCYCYVNYPKKVSTHQSQTLESPNFKPLTSRIVRLTRKRQLNQIFEEVEAAKTRHGELNTIVMNAVMQACVHCGDIDSALRVFAEMCKFGSCGVDNVTYGTLLKGLGDARRIDESFQVLESVEQGTAVGSPTLSAPLIYGLLNALVEAGDLRRANGLLARYGFVFNEGGNYSISVYNMLMKGYISTGSPQSALRVFNDIRLQGLNPDKLTYNTIIFACVRMNDLESAVQFFQEMKTPTSIMQDRSQKDGHEDLAPNIITYTTLLKGFGQMKDLGSIEKIVIEMKLRHNLLIDRVAYTSIIDVLLTCGSIKGALCVFGEMLKQVSKDSSLRPKPHLYLSLMRALAVKGEYDLVKNLHRRMWPDTSGTISSDAHVEADHLLMEAALNDNQFDVAVHCLSNIIKDWNGISWTSRGGMVAARIEALLGFTASMFDPYLLPQLSVTDSIEGIMMPLQEARPLQATLRLNQVVMRFYRDSSVPIIDDWGSCVGIVHAEDCKELNAPLSTMMKSPAPCVITTTSVGRVINLMLEKRYKMVIIVKCGDTFGKYNSTSRAVGVFTADQLYKLTVPASKLSNQQYPRSITSN >KZN10091 pep chromosome:ASM162521v1:1:32556851:32558050:-1 gene:DCAR_002747 transcript:KZN10091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNHSTLSSLLNTNHNSTISRVRVWFQFTASISSLKVAWRKDHKLDQAIDNDKHYRLCARVVKEVLNEPGQVIPLRYLEKRRERLRLPVRIKTFMDRNPSLFELYLDRIRPKAEAVPFVRASLGLRRFLEEEERIRGENERVVVGKLCKLLMMAKDRVVSADKLVHVKREFGFPNDLLVSLVPKYPEYFRLVGSSGEGKLFLELVSWNEEFAESVIERKAKEEESLMGIRVRPAFDVKLPSGFFLKKEMREWIRDWMELPYISPYEDASNLDQASREMEKRTVGVFHELLSLSLYKRIPVPILGKFCEEYRFSNAFSTAFTRHSGIFYMSLKGGIKTAMLREAYKDDQLIDRDPLLEIKDKFVELLDEGHRKRAEQLRAQREAIQKDMELVAKRDGELN >KZN09160 pep chromosome:ASM162521v1:1:21082426:21090638:-1 gene:DCAR_001816 transcript:KZN09160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQGPCCHCYVPTSRHWRRGPPEKPVLCNNCGCRWANGHQLDGYLPRHSNEPNYEMKHLSSSTASSAPNNQDHRISKVEKKQGPSRGIQELTAQLHEQLQSGVLDHEPFAQASSAYDENFIYRPNWMTSSNETLLGAVLHNPPAKDISKKEVPWEDKDHVAIVTLQRWRWCNKQSSFYELLANATRHWRRGPPEKPVLCNNCGCRWAKGHQLDGYLPRHSNAPNYEMQQLSSSTASSAPNNQDHRISKVEKKQGPSREIQELTAQLHEQLQSGVLDHEQFAQASSDYDENFIYKPNWMTSSNETLLGAVLHTLPAKE >KZN11751 pep chromosome:ASM162521v1:1:47851917:47852634:1 gene:DCAR_004407 transcript:KZN11751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADTFTKHFFQIYSGFGHHIKIYASTSVFPDWICQSSDWIGKTISFGSKVSLDLPPNMSHNFLALILCSRFSRDGEAYYSVKTTTNDFVWRQGVPSLRYFYDHYDDYNRVPCMDVVPRKVFSVTDSDYRIIFKARQKYYESCGDNVTLLTQAAEILGLYLLYKPEITVFNECNRTTIDVDEEGRHSSKRLKHL >KZN09948 pep chromosome:ASM162521v1:1:31055835:31060617:1 gene:DCAR_002604 transcript:KZN09948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWACCSNICPSTSNFLAIGYDLKRFNGNKVSFPDSRQGVRTDNFVAVDDFHSYDTLIKAQRPKRRLSKSGRETDICAYYGLKTPPYELDALEPYMSQKTLEKHWGGHHHNYVEGLNKQLERNDVLYGLTMEELIKVTYNNGNPLPEFNNAAQVWNHDFFWESMQPGGGHQPVLGLLKQIEKDFGSFTNFREKFIEAALTLFGSGWVWLVLIKDEKRLAIRKTSNAINPIVWDEINDKSKYVNVFMNHLVSWDVAMVRIVRAQAFVNLGEPNIPVA >KZN10407 pep chromosome:ASM162521v1:1:35397324:35398178:1 gene:DCAR_003063 transcript:KZN10407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGETKDDSSSEGDPKSEKFVTELSQPPPPPPPQGYPFPPPYQAHPPYPVYPKSDYHYGPVKPTPPGYYPTTAQNFVLIGPPHRSPGYKFARVFLVVLIMIFFGVTVLSLLAWLIYGSDIPVFYAQSLNMTVFRLDNTGINATLSANMSVRNPSERYEVQYEYVEAALVYEDNLLDTNYVNPFVLAKTERGSISFKFQIPNAHQKNIAGAAWEKDIESDRRDKGAVVFDMRIIANAVYRRNDHPTTSRTLRIFCGELEIVFPSPTDTTGKLNDKYKDCIILSN >KZN09861 pep chromosome:ASM162521v1:1:30086164:30088321:1 gene:DCAR_002517 transcript:KZN09861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFSHTHPLILSKYNHSPPNAVCSACRDPISSNTDFYQCIHQSIDSPCTRFLLHKACADLPMVIQHPLYEEGVLMLYADDEEFFCRTCQQYVARWFYVHLEQFVHLGQFVRVCIKCAFLERQLNHPSHSHPLTLLPMKATLHRCTACGVQEYDNNGVLCYLCSKEIVRCSAIGFITVLTADILHTSTALYQQSISRKDGNENDDMGDVEGSDLVHLPICDDEPYLFYQLIQQFANKFSISTNEESRKADIISECRSGHSLILFDNSTTESVVETNICDGCVQPLLSPPHPFYGCLDCNFFLHTLCATELPREIENRLTKFTRCYETIKGHPQAFNCELCSVDINPNFWFYYCVNCDTSFHALCVDQDVYSNIKYGGMVKDDNLHQHLIRLNGCRQKFKCAECGENIAESYIWEWNAPCFFSRKPLIQCASCEFFVCMECIISRYGSSEPRGETVEYSVDYNEFCEITF >KZN11188 pep chromosome:ASM162521v1:1:42401378:42404989:1 gene:DCAR_003844 transcript:KZN11188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKIRSKLRSSLYTFSCTSTPNKAAEAEELQGSVYSRLVYCNEPHQLSYNSNSTSTTKYNIVTFIPIALFEQFNRVANVYFLIAAILSVTPYGPYDPFSAIAPLAFVVGLSMVKELIEDWQRFLQDWKVNMRPAQVYTGHGIFESRPWKKIMVGDIVKVEKDKFFPADLLLLSSNYDDGICYVETMNLDGETNLKVKRALEITMDLDEDNSFREFKATIKCEAPNPSLYTFEGELEYNKKTYSLDPIQILLRDSKLRNTSYVYGVVIFTGHDTKVMQNSTKSPSKRSRVEKQMDQIIYILFSLLVIISIMSSVGFAYLTKFDMPDWWYLHAPDDKNLYNPQKPYLSGLYHLITALILYGYLIPISLYVSIEIVKVLQASFINRDMHMYHEDTDTPALARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGISYGQRPSEVELAAVKKMSMDFDAEDHDFSSAVSGFEEEGNAETEGTSNNQDHGRQIKGFSFEDKRLTGACWWKLPKPEIHYIFFRILAVCHTAIPEENEEGDGYDYEAESPDEGAFLVAAKEFGFEFCKRTQGSIFVREADPSSEEPVEREFKILNILDFTSKRKRMSAIVRDEKGEIFLFCKGADAIIFDRLSEDGRTFEKATKKHLSDYGEAGLRTLVFAYKKLEEADYNEWNNEFQNAKNSDSSNKDEMLEDLSDKIEKELNLVGASAVEDKLQQGVPQCIDSLAQAGLKIWVLTGDKMETAINIGFSCSLLREEMQQICISIKDEQEHDAEQDIKSDISKQIMSGTQKMKQEEDPHAAYALIIDGKALNFALDDELKHQFLHLAVSCASVICCRVSPKQKALVVRLVKEGTGKTTLAIGDGANDVGMIQEADIGIGISGVEGMQAVMSSDFAIAQFRYLERLLVVHGHWFYKRIAQMICYFFYKNIAFGLTLFYYEICTAFSGNAVYDDWYMILYNVAATSLPVMTLGVLEQDVSAEVCLEFPALYQQGPKNLFFGWKDVTDRHMWRREKRKGRHEAKIGFSARVDAKIRHLKGRIQKRGAVMAGQEML >KZN08262 pep chromosome:ASM162521v1:1:4369190:4372258:1 gene:DCAR_001327 transcript:KZN08262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKSLIYAFVARGSVILAEYTEFSGNFNSIAFQCLQKLPSSNNKFTYNCDGHTFNYLVDNGFTYCVVADESAGRQIPIAFLERVKDDFVSRYGGGKGATAPANSLNKEYGTKLKEHMQYCIDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKSENLHQQKMIIRSAL >KZN10312 pep chromosome:ASM162521v1:1:34457550:34460270:1 gene:DCAR_002968 transcript:KZN10312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKLNVSWRHLSHPRRFICTQQQIIAVSNNLLTTVHSHQNYSYVDISENYYELMKQCRSIPVARKLHAQLINLGLISSTFLQNHLMHMYSNCGLIDDATRVFRFMEFSNVFSHNTLINGLADSGRIKEARKLFDEMPERDVVSWNSIMSGYFHNGRPDDTLKVFAEMITSGSCVPDQFCFSCVMKACASLGYVKLAPQVHGFVEKFYFGRDNSADSSIIDMYIKCGDLGSAEKVFLRIQDPNLFCWNSMVYGYSKLYCIERAMNLFNLMPKRDSVSWSTMISILSQNKNSLETLNMFIDMWGQGFRPNSMTYASVLSACANLYDVNWGAHLHARIVRMEHNIDLYVGCSLIDMYGKCGYLRAARQVFDTLTEKNVVAWTSLIGGLAQFGHQEEAVALFKQMREVPVSCDKFTLATVIGVCSSSKDISLGRQLHGDATKIGADICVTVGNALVTMYARCGDIGSASHAFDMMPVKDIISWTAMVSAFSQIGKVEKAREYFNKMPDRNVVTWNSMLATYIQHGYFEDGLNLYNVMRQKGVKPDWITFASAISACGYSAVLKLGNQIIAVAKKSGFGIDVSVKNSSLTMYSRCGRIEEARKVFDSMIVRDMVSWNSMMTGYAHSGQGRKVIDIFESMLKMGITPDPISYVSILSGCSHSGLLLEGKYYFNMMTKNHGISPTIEHFACMVDLLGRAGLLEEAHNLIIDMPLEPNAAIWGALLGACRIHGNSELAEIALKKLMELDAEDSGSYILLSNIYSEAGQLKGVLDVRRLMREKGVKKNPGCSWIEVDNRVHVFTVDDTSHPQIKEIYIKLEEIIKKVKDTGKYTNEIDHTRPMTYHSEKIAVAYGLLTLPCWMPIHVMKNLRICRDCHLLMKLISLVTSRELIVRDANRFHHFKDGTCSCKDYW >KZN10377 pep chromosome:ASM162521v1:1:35084922:35087882:1 gene:DCAR_003033 transcript:KZN10377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISESHGAFLCSGNDPDDLVARDVMRFYPKAGSLKDANFYICFGRLIALALIHEIQIGIALDRCLFVQYREGDLRLKDTQSAMLVPVEGGRRRAAPVAPCADISRIGRYIMYNYVSDTQADAFLID >KZN09255 pep chromosome:ASM162521v1:1:22159614:22161419:-1 gene:DCAR_001911 transcript:KZN09255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKDLVSWTSMMIGYGKEAGTLFNEMVKSGIQLDQIVFVAVMGDCSHAGMVDGGMCNFKSMTVDYKLPPNQEVYGCVFDLLGRSGRVEEAFKLTESMPFKPDESVWGALLGASQGDWGDFARMRKLMRGTGNEKEATRSWVELRDQKLSSDEFVIEYQCLTNDDGTELFRAMANMKHIRPYSPETATIDYYSLLQEVDALCDDNWWWCSFQKYFKL >KZN11913 pep chromosome:ASM162521v1:1:49368738:49368935:1 gene:DCAR_004569 transcript:KZN11913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLXKRAVACVSNVHLQLFHLQAATLQQGCCSAVESSAASELTGTGKQTATMPLETPKEPLEASL >KZN09941 pep chromosome:ASM162521v1:1:31017717:31017941:1 gene:DCAR_002597 transcript:KZN09941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYEGGVVKMVVASPARRKGMGRWPSAQRRIQGYSFPNEQVMTIQEHLVSVESRSIHIHFSHKFNIYNNFYIL >KZN09307 pep chromosome:ASM162521v1:1:22802644:22805853:1 gene:DCAR_001963 transcript:KZN09307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSARNYATKANSEASDVKPTSVLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDNLEDVPEEIKANKRYAGSSKWTVQEVAESVKEDFGSIDILVHSLANGPEVTKPLLETSRKGYLAAVSASSFSYISLLKNFIPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKRKIRVNTISAGPLRSRAAKAIGFIDMMIDYSLENAPLQKELSAEEVGNTAAFLASPLASAITGAVIYVDNGLNTMGVGVDSPIFKDLNIPKYEQ >KZN08766 pep chromosome:ASM162521v1:1:15064346:15066803:1 gene:DCAR_001422 transcript:KZN08766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRHSISNQTDVSLTLASHILTKNNGPHANTVFSPLSIHVVLGLITAGSSAQTLEQLLSFLKAKSSDDLNSLSSQLIDLLFADGSGSGGPCLSFANGVWIDESLSFKESFKQVVDGLYKAASVHVDFQTKASEVTNSVNSWAEKETNGLIKEILPSGSVDSSTRLVFANALYFKGAWTDKFDASMTKEHEFHLLDGSSVQVPFMTSKKKQLISAFDGFKVLGLPYKKGGDKRKFSMYFFLPDAKDGLPKLVEEVGSVAGFLERHIPYMTVDVGDFRIPKFNISFGFEASEVLKELGLVLPFSGDGLTEMVDSPMGQKLHVSSIFHKSFIEVNEEGTEASAASAAVIKPRSLQMYDKLDFVANHPFLFLIREDMTGVVQLIGQINNPLTT >KZN10255 pep chromosome:ASM162521v1:1:33934754:33935984:1 gene:DCAR_002911 transcript:KZN10255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASPAHHSSGEDQSFISVPRIDMSLDRHLVANRIVKACEDYGFFRLVNHGVPDHIISSMEEEVYDFFSKPACKKQEAGPPSPFGYGCKLIGLNGDMGELEYILLETNPMAISRRSLVMSTDPIKFSDAVTGYLQAMKDLCCKILDHMAQGLQLPDKSLSCLIKDNDNDSCFRVNHYPPFDGNANGNTNNSLNCPLVGFGEHCDPQIITIQRSNNVGGLQIYSKGNHCISVPPHPTEFCVFVGDALQVLTNKKFLSVRHRVLANSSKQSRISMMYFGAPSLAASLSSPFLPRRYKNFTWGEYKKVVYYRRLGDRRLDLFELSENV >KZN10398 pep chromosome:ASM162521v1:1:35312286:35315498:1 gene:DCAR_003054 transcript:KZN10398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKGSGRRWAVDFIDNSTNPSSRDIPDPLGFSRSSHDQDDSTLSKQKKDAEANWKSQKAWEVAQAPSKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPISALQGVGKVFEPYKDGRVDLLGPKLLYIALNLAALALGVWKLNTLGLLPTHASDWVSSLPPAQCKLIVGISTFNGTKM >KZN09848 pep chromosome:ASM162521v1:1:29937163:29940748:-1 gene:DCAR_002504 transcript:KZN09848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSVLSFLVIAIVFVICVQTGESRRPFACDPSNAMTKSLPFCTVSLHIRDRVRDLIGRLTLQEKIGLLVNNAAPVPRLGILGYEWWSEALHGVSDVGPGTKFGGDFPGATSFPQVITTAASFNDSLWERIGQVVSDEGRAMYNGGKAGLTFWSPNVNIFRDPRWGRGQETPGEDPVVAARYGARYVKGLQSPQGNRLKVAACCKHYTAYDLDNWNHVDRFHFNAQVSKQDLEDTYNVPFKACVQEGQVASVMCSYNQVNGIPTCADPNLLKNTIRGAWRLNGYIVSDCDSVGVLFEKQHYTATPEDAAAATIKAGLDLDCGPYLAVFTEGAIKQGKLSEADVNTALLNTLTVQMRLGMFDGPRQPYANLGPRDVCTPDHQQLALEAARQGIVLLKNQKTSPPLSTRLHPTVAVIGPNSDVTVTMIGNYAGVACDYTTPVQGIARYVHTIHEAGCTDVACNSNQQFGAAEEAARRADATVLVMGLDQSVEAEARDRVSLLLPGHQQELISRVALASKGPTILVIMSGGPVDIDFAKADPRISAIIWAGYPGQEGGTAIADVLFGMTNPGGKLPMTWYPESYLAQVPMTNMDMRANPAKGYPGRTYRFYKGPVIYPFGHGLSYTTFVHKLANSPPTSISVPFAANKMMKNSTMLTTGLKVTHTNCNALALGIHIDVHNTGTMDGTHTVLAFASPPAGKWTNDKQLVGFEKVHVVAGARERVKVHIDVCKHLSVADEFGVRRIPMGDHTLHVGDLKHSVSLQLAF >KZN08284 pep chromosome:ASM162521v1:1:4949065:4953535:-1 gene:DCAR_000830 transcript:KZN08284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLNRSRTQSRATRSVSLGGMDFAEPKKKHNYVGKILLTAVLTALCILMLKQSPNFSTPSAFSHREAGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKVLQELYPEPGRLQFIYADLGDAKSVSRIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAMASHNVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFHKNSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIDGLKVRGTDYKTADGTCIRDYIDVTDLVDAHVKALEKANPGTVGIYNVGTGRGRSVKEFVEACKKATGVSVKVDFLERRPGDYAEVLADPTKILRELNWTAKYTDLQESLQVAWRWQKSHLNGYGPALLSSV >KZN09556 pep chromosome:ASM162521v1:1:27064797:27069111:-1 gene:DCAR_002212 transcript:KZN09556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFPSHQLSSGLYVSGRPEQPAKERPPTMSSRAVPYTGGDVKKSGELGKMFDIPGVGSGSGSNSGSGPVPGHPPVLKPTRLSNSSQQTSGSVRSGPNSGPVKKTSGSGPMAVLQPTGLITSGPLGSSAGRRPGQPDPTGQSGKVVYGPAVTSLTEERRFGFKVSKVAMWAFLVMVLMGLVVGVFLMVAVKKALILVMVVAVFVPVVIIFLWNLAWRKRGLLRFLREYPSSELREPIDGQYVKVTGVVTCGSIPLESPFQKVPRCVYVSAELYEYRGWGGKLANPQHHFFTWGCRNSEKYVADFYISDAATGLRALVKAGYGARVVPFVKSETVVDVTKNNKDLSPNFLSWLSDRSLSSDDRVMRLKEGYIKEGSTVSVMGVVRRNENVVMIVPPREPTSTGCRWASCLLPTYVEGLILTCQENQNVDVIAV >KZN11669 pep chromosome:ASM162521v1:1:46837556:46839678:1 gene:DCAR_004325 transcript:KZN11669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAFSHDGIRSLWSQCEESKKLHFISQDKPSLVGQLNARDLSITSGSDLCHWKWSHVKDGISGDMNEVAELLEIRCLQIDGRYEANKLKQGLLYEVQYVVMLKDNHTITTPLKLVLTLPDGTKHESTKNLMEEPRNHMIGLKLGHFLTQNLGGDVKFSLVENSSAQKRGLVVIVLSTDVCWLELGGKYVNNTEHTEGMTYKVKLVVKVLEGCNIDNPVSFSITTPDGCKHENEENMMETPRIQIIGVTFGEFLN >KZN11312 pep chromosome:ASM162521v1:1:43627692:43629454:-1 gene:DCAR_003968 transcript:KZN11312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNHDIVHRVLPDYYQWSAKYGRTFLCWFGVRPRLVLVDPDMIKEVLFRTMDIIDRDSFNPLTKALIGDGLPGLMGHKWAAHRKIANPAFNMEKVKAWVPEMVTSISKMLDNWEEKIRHCDTFEIDVHTEFYNLAAEISSRTAFGSIFGKGKRIFELQQQQKLPSYQAMQNVYIPGSRFLPTNANKLRWKLEKETRDSMRMIIETYKKTSDNSKNFLSILLSGSNRNKLGGGLDTEEVINEGKTLFFGWEATANTLTWAILLLAQHQEWQNKAREEVFRVCKDNEQPCVENFQELKIVNMIIKETLRLYAPDNIITRQTLRNVKIGSLNIPAGTELYMPQTVVHHDTKIWGSDANEFNPARFVEPPKHLGAYFPFGIGSRICIGRNLAMVEAIIILAMIIKQFSFEISPSYVHAPMMLIMVQPQYGAPVLVRRITNCQS >KZN10086 pep chromosome:ASM162521v1:1:32483293:32484462:-1 gene:DCAR_002742 transcript:KZN10086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSDLNYDILRRLDGPSLASAACACVAFSSISKEERLWEDVCSSTWPSTNQEDVKRLITLIGGFKKFYADCFPLIVNKEVPEFRWNDYVEYVEEWTEAECYDDMYELEDLSPTDFVSIVDVRFKNKTIYSKVLWGIPNANGHHGWFSECPFRVDLLTHSAREEDHAGEVTLSESDGLPPVASIERERKDGKLWQELRNEVQLSWIVVNKKIKQAANLSSWIPLGGQRHWPTDKDFLIRFGSVLTAKEILPCQAVECILTMKFRMICSDGVTSVQTALKLTELSMQLGDMEGAHVNGRNSLLVLKESLSCRRSKNYSEALESCHLYSKVQSELMEEKMRNESSLILNNVSALLNSNITSQF >KZN09995 pep chromosome:ASM162521v1:1:31479492:31480679:-1 gene:DCAR_002651 transcript:KZN09995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWKRASGVLKDQTSIWLTSFSKRTSQRNPDIDVAVIKSTSHNDSRVDYRNAQRVFAWVRVSPAYLKPLVLAIAVRIQKTHSWVVALKGLMLMHGVFSCRVPAVQMIGRLPFDLSSFKDRHFKHGEKRDHDTFVQAYYCFLDCKSSFLYAHSQEQYKGQLRKVAADQDEQKTSTSMMQCLKWLQEMQVLLDMLMEIKPRSETMVENLILEAMDCVIIEIFDVYSRICSGISAVLFQICSAGKVEASMGLKILHKANVQGKELCMYIEFCRELGVNNAANFPGVKHIAEEDIRMLEHIISEATDRGNEQNNDTAEGSLTMVTLESKIDEQNESGRHSLQTRVTEQWEAFDEDHSKFFVMGEEVAKSREEHLKALIPLIDIHAHGNKTEFPNLISL >KZN11118 pep chromosome:ASM162521v1:1:41912361:41912955:-1 gene:DCAR_003774 transcript:KZN11118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVSVGGFDFDLVVRNTMTVFRKWWLGMLLVVRDVISWTFVYGDMDSAKELFDELPVKDMVAWTAMVTGFGWVISACAQLGSAKYAGWLRNIAEESGYRPTSNVVVGSAFVEMWRRHIVFSRE >KZN11950 pep chromosome:ASM162521v1:1:49618358:49621338:-1 gene:DCAR_004606 transcript:KZN11950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGSCVKKRWLPLEANPDVMNQFLWGLGVRENELGCYDVYGLDEELLEMVPKPVLAVLFLYPLTPQSEQERIQQDTVTKDQIKGVYYMRQTVGNACGTVGLLHAIGNITSKINLLENSYLDKFYKSTANMDPMERAVYLENDREMEVAHRAAATAGETKASDDVYSHFICFTCVNGQLYELDGRRAGPISHGASSPSSLLQDAAKVIQGMITKNPNSMEFNVIAVSKRVEGA >KZN09344 pep chromosome:ASM162521v1:1:23640163:23640753:1 gene:DCAR_002000 transcript:KZN09344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERERSRSRDDRPYHNRGRGRNSRFRDITPRRLDYGDRSPIVEIPEDHTLVRAEGSSQRRSANEGNTRGQPLAEALGIAQENLAMIVRLASREAGGSGDRQDERAPSPRRNPGGNRYRNYRGVKGRARNYSHSPAPRQRQEVEVEILSPVRDPADRDHYPDHMLATMSTSLPIMIAPGSITSIRLRSWLPPESNS >KZN12136 pep chromosome:ASM162521v1:1:51312936:51315325:-1 gene:DCAR_004792 transcript:KZN12136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRWRSKPSKTELKLNNAYQSGMIFSVIDKQMGSYSSECVEKFVSLALSCCQEDTYARPSMAEVVRELEKIWFMIPASDTRTTDLTNSDPRNTILAQSSSCQTEEVSGSELVSGVIPTITPR >KZN08763 pep chromosome:ASM162521v1:1:15035489:15046618:1 gene:DCAR_001419 transcript:KZN08763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVSILDDLVHEYCVYRGIVDSGSASAQVVHIVSGPLKASSIEADADMISSQTIDNEERYPYETTSNQEDCSTSGTNQMSKVLQRDRGQGIAKRNKRKHWRGRQEDFEITPEVINASSKQDVSTIMAPTSPYMPNLQQEKLFILDSESNMENRYEILLRMKELASRGMAAEVVEEINVMDPNFFTQNPLLLFQLKQLEFLKLVRAGDHSSALKIASATLGPLGAKDPTLLKQLKKTLMALLRPNEVISTDDSALNVIGHMTLSNTPETATDISLKDLSIILDDVVSKICSSLIDDGNLTTNPHPFCPLRVDVEDFKRIMLLMKSLRYQDLRQYKKKDIEASTSNPQYLEFAGRMVALALMHKVAIGVRLDQVLAERCVSLEDIRDADPTSYRN >KZN10320 pep chromosome:ASM162521v1:1:34547147:34549448:1 gene:DCAR_002976 transcript:KZN10320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLNCKSLYIRLLTGLFLLTLFPLAIVSEESDIPQQCGRDAHKCGSLDVPFPFFVNRPSSSPPCSLPDAFGLTCLQLNNLDPYLFLSIKRTSGDGLKGYQVLQFFPDGILVDFSQTASLSDQSSANLSTSSCVEYNDLKSFGFQGREDYFGISADNVLGLYDCEDSSLCKPDCVNTSTILMPPGCSKNHSNYHAACCYPLSDQSYWHPGDDLSIFSQFGCRGFSSWVVVPYDNTTSNYGHAARKRGVKLEWAFPANTNNTNSSYKGAVASSFCAPNAYIVNATTIASGIRCKCEDGFTGDGFTKGVGCLKSCQVDEKQTHGKGCYTKRRPARKLEVLAGVLTSAFCVASLIALCCLLKRHNRSGTFQPHQDHCESSISFGCRTRLFTYHELEEATKGFADCKKLVSSTTGELYTGVLGNGLKVVVHKVQCGSKGDLMQILSQVEVLSAVSHKSMARVLGCSIDCGDAPLVVYEDPANGTLLEYLHQNRQDNKNGLDWYTRLNIVTETSSVLAFLQCEICPPILHNDFQSGYILLDQEFSARLAGFVLLTGQTGPDHSLGSRGLHHFHRSDVYNLGLVLLEIIVGSTLVPLDIDLQKIRGGKLEEIVDPLLYYHEQPSFVKEQIERVADLATRCLLFGGDGKLSMMDAARELLHIRTSTGTGGGGGSSRRGPALEETFSNSSLLQMMSMSPDSIYLPHFSTNP >KZN08834 pep chromosome:ASM162521v1:1:16149310:16150097:1 gene:DCAR_001490 transcript:KZN08834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIILSAIGKLRGCVRQIENQNPSGASQIDILNRAKVLLEQDKIYDKGFKFDHVWEILKDSEKFGDDHSNATPYRQTQTSNFVSSQANSPATESPTSASPGLSSFSPDINDLSVDGSSSQRPIGVKKAKEKRKVEEHTSAIIDTIKEEQRQVIEILKKNSADRQQNYEIQMLRAQNEKRKLDMAPYLAENKILIKDLNSIDDPILREHFRNEQLKILQKRSTHDQAAPHGFHDDLPEY >KZN09286 pep chromosome:ASM162521v1:1:22574116:22578492:1 gene:DCAR_001942 transcript:KZN09286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFIRRKVLDSRKPQEKLYDDAPLSGPLVIAEPLGKEKGLAKLNKGKVQARKDQFLFKRRDEANEVKSSVLTNPSHITSSEQPVYIDGSSAKVKDDYVLQKRSPSVSTENMVQEKQESTEMRSENYVSDLSEVPVGEGIIMEDNFAAVKAGTIDVRVESSKAESPFKPVVDQDAGKFSHPLEARPSFNEVKYGEMKNIAGLVSNVDDSLASQSSSNMLGGMPRTFESSGVNIDVKYDHPSSSASSSSQLATSELRDGFSVAHVSGTTTAVLHGKDKNIHKRAVGELNSMSSTALENQKKKPKKESMSSQNMQMHPSDAKNGSSVTNMAGKYVCTQVASRDSQVDPKKEEDNVRNSFPPNSVATLKNSKTENKKLKLPQLLYNLKSLALDPFHHSDSSLSVIQQIVLRFRSLVFQKSLAPLPPASWESKETRRSKPAAIATNNIPAENVRELKPVKTQKVVVRPDDPAKGGRKHVPSDRQEEIAAKRRKILDAKPLIDQRTAEKSSLMQRGEVKETSALKMKSVKPELVKKVQPPSRESDPAMLVLKFPLRGTLPSINALKARFIRFGQLDHSACRVFWKSSTCLVVFRHKVDAQAAYNYAASSTNMFGATGIKCYLQEMEVAASEQKSGKVLQDEVLMSTSQLRDSTVERRYAAPPAFNSVKQSGAQVKPILKRATGDETSSSGGITGPPRVKFMLNGEENSEGAGQLMIGNKNSTNTIFADGGTSTSHAMHSKSKNFQNITSFPLPPLPPTPTTGTHQLPQPKESNLQYTKEAPKTSHNSNIPTADPMTPSTPNVDISEQMINLLTECSQVVNNVIAFLGYVPYHPL >KZN08052 pep chromosome:ASM162521v1:1:1790058:1794633:-1 gene:DCAR_000721 transcript:KZN08052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWECEDDSLALFLEAHLSDQILQEPDNIDKNEEEEEEEEGPVQKRPRVELQGNVADERKLAGSLSSSQVPNPPSLRCNIARCNSLGCCVKGGVQDSRVKAKSADNSFLSKVPPEVFHDILKFLSSEDLVACSQVCKYLSFVASEETLWRNLYAMRWGLLRPKSKYCDCAWKKLYIQRDEEEMVKFVRNCPSEFKEYYIQMQTAKRCQAPIPSQDDWLILDKTVADEVSTWKSSKGLTDPVVTDHACSGKYCSYHQIGDVFVCEKTGNIHVCDDTCKDASADLTNGLLVCPISGHCFERLMSPAEELDQHTDQLIFGQDAVSDEAEPFMGSGCYAQAYLLGYNCADEELEACLQFC >KZN09920 pep chromosome:ASM162521v1:1:30768329:30773257:-1 gene:DCAR_002576 transcript:KZN09920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTTSLHVLTDRSIILKSSFFNSLSTLTCLPRNSKTRNRIAVPKASLNRRQLIADTAAATAAAISLGSSAFVLPARSEDQLSEWERVSLPIDPGVVLLDIAFVPDDTSHGFLLGTRQTLLETKDKGSTWVPRSIPSAEDEDFNYRFNCISFQGKEGWIVGKPAILLHTSDGGDSWERIPLSAELPGDMVYIKATGDKSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPEGNYVAVSSRGNFYLTWEPGQPYWQPHNRSVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGITEEFNEIPVQSRGFGILDVGYRSVDEAWAAGGSGVLLKTKNSGKTWSRDKAADNIAANLYSVKFINDKQGFVLGNDGVLLKYLG >KZN11416 pep chromosome:ASM162521v1:1:44443562:44446630:-1 gene:DCAR_004072 transcript:KZN11416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESSWIRRTKYSHTIYHRLDSGRLSVDSKLTSIPLTSQSDRIAGLKSRPAKGSSVTEIQLNPKTNKPRAVSPHPETKLSDTFEEARSDRRRFSTPHPVRKGSGKGLHKDPVEKKASHTKHHSKSLKISSMKFYDKSKSTKESAWAKFFDHGQGKVTSVESADEWMLDLSKLFIGLRFAHGAHSQLYHGSYKDEPVAVKMIRVPDDIYDGSLGASLEKQFTREVTLLSRLHHQNVIKFIGACRKPPIFCIVTEYLSEGSLRAYLHKLEENLPLQKLISLALDIGRGMEFVHSQGVIHRDLKPENILINQDFQLKIADFGIACRDTSCDSLADDTGTYRWMAPEMIKRKSYSRKVDVYGFGLILWEMVSGSIPYEDMTPIQAAFAVVNKNLRPAIPDTCPAAMKALIEHCWSSHPDRRPEFSQIVKILEQFEASLASDGNLDSVQNLTYHDHKKGILHLIHKLGPVHHSHHSPPPTPKPRFS >KZN09527 pep chromosome:ASM162521v1:1:26661750:26669096:-1 gene:DCAR_002183 transcript:KZN09527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWEGVEETRVFLSSDGGGEGGKAGCLLSLCHPKTGNPTSYLLTDGNLQEIHWFKTAYGSWFLGDYVCEDGRLYAATPIDPVFILLPIFDEARMKGEDQGKFRQLDEIIFIQGYPGYQHLLSIADKSMQVVCDFKEVGSTKFFRLNDSKVLAWLHFKVHQLKQTLPTLDRNYAAQAEKDTLADAVSILGEYLKDEPWLKLLCSKLKIDLQEAKPPDSKILPSSTESSVPSFDDVQEKNGIEKRTTRQQSKKAKIETGSLNIKDMFSKASRKR >KZN09073 pep chromosome:ASM162521v1:1:19919436:19928265:-1 gene:DCAR_001729 transcript:KZN09073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKGLYGSVDEFLEQCKQSGDSAYTALRSLLERLEDPNTRTEARIFFAGLQKRFQSKESIDECLDNYHFRIQDIFIEQHQEGYQKTKKLTMMVIPSIFMPEDWSFTFYEGLNRHPSSMFKDKTVAELGCGNGWISIAIADKWAPSKVYGLDINPRAVKISWINLYLNALDESGQPIYDDEKKTLLDRVEFYESDLLGYCRDNHIELERIVGCIPQILNPNPDAMSKLITENASEEFLHSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPMGIMIFNMGGRPGQAVCKRLFERRGVRVDKLWQTKILQANISALVEIEKNSPHRFEFFMGLVGDQPICARTAWAYGKAGGRISHALSVYSCQLRQPNQVKKIFEFLKNGFQEISNSLDLSFEDDSVAEEKIPFLAYLASILKEKSFFPYEPPSGSKHFRSLIAGFLKAYHHVPLSAEYSSLLSSKVLFVQRGKAVAIENALRLFSPRLAIVDEHLTRYLPRQWLTSLTMEKKETDKPSVDAITVIDAPRQSDLMIELIRKLKPQVVVTGMAQYESVTSSAFEHLLGTTREIGARLFIDISDQFELSSLPGSNGVLKYLAKTVLPPHAAIICGLLKNQVYSDLEVAFVISEEESIFNALSKTMELLQGNTAPIRQYYYGCLFNELLAFQLPDRHPPAERDVETVKASNVIGFSSSAISVLNQAELSINDIDHSNPIHMDVEQSFLPMPTPAKAAVFESFARQNVSEAETDVTPSIKQFIKTSYGFVTDSNSEILYADCPLALFTKLVLCCIEEGGTLCFPSGSNGDNLSAAKFLNASILTIPTSSDMGFKLTEKQLTSVLETVSKPWLYVSGPTINPTGLLYSNEEIESILSVCAKFGARVILDTSFSGPEFTSKPHGSWNLGTSIAKLNSANSSFCVSLLGGVFLKMLTGGLTFGFLFLNQPYLVDVFNSLSGISRPHSTTRYTAKKLLSLKEQKAGDLIGEVTERETILGSRSKRLKETLEKCGWEVVEACAGISMVAKPSAYFGKTIQLKKDAGVWEAQIDDSNIREAMLRATGLCINGASWTGIPGYCRFTIALEENDFGRALDCIVSFDKLIRN >KZN09648 pep chromosome:ASM162521v1:1:28109019:28109967:-1 gene:DCAR_002304 transcript:KZN09648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLQPEVITSTIRQDPLKDKPKDSLSSKVRKKVSFNLNVKMYEPIPDDEMADCFSVYNKIERQEQSGEVMDKSRKSSSKLGCEGNLMGSEMVLYPADYRYQNLSGSYDEEDEISLEESDLGDEDEDDYDGDSDEEDSWESKDNEDDVWRTNEFEFIEQFYPRKDNVTRTSLSAERNDNRSEELLNFKRNCSARDRSHYVLPVLNPVADLSQWKVVKAKSVPSSTYLKENMPCQEQQRRLNLMPSCNQLLSGCISNSNTSKPLEQDGEVVSSLSNWL >KZN11593 pep chromosome:ASM162521v1:1:46056953:46058637:-1 gene:DCAR_004249 transcript:KZN11593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFMGHAVPGTLFLLIGVWHVWCSLVRQVLNPKFFQVRVWNPVPGYNGKLKYLELYVISAGAFLDMCIELLYSPHFNYFVDGVLNPTHMNDFEHGGMLLMFFVYGVVMLLSEKTSLFPLPDGALCFVAATAFCAEFLLFFFHSTTHRGLEGHYHLILVLLVALCIFSVIAGALVPNNFAIDLSIGISMTLQGLWFYQTAFSLYGPSMPYGCKLAENKVVCNSENHEVRGMLLANFQLYSLVFGVMIAVVSSYGFAVKKYGQLGIRSYRGYG >KZN08866 pep chromosome:ASM162521v1:1:16932624:16932920:-1 gene:DCAR_001522 transcript:KZN08866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKIHPFSSTLGWPSLKVKGEVKMRKALRWIPRHPEMRRGVVSDEMLRGVENKRRSRDSRIGQPFKLLLNPWAGKRQPGELKHLSSQRKRKQKRFP >KZN09016 pep chromosome:ASM162521v1:1:19091008:19091388:1 gene:DCAR_001672 transcript:KZN09016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLTPVSSLLTPPFSTPPSSLSCLHRRHHHSRPPTTEQQNTHVSFPLFYYYRRGSACFRRSLTPTHLNHNPTRLTTTHAINSGHPEFNSRRAAQNTLPDTRQLHAVAHTSSTIQSLINNRFVKP >KZN11889 pep chromosome:ASM162521v1:1:49126805:49128067:1 gene:DCAR_004545 transcript:KZN11889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLIDEILCRLPVKHLLCCRCVSKDWCSLIDSAAFIKKHHANAIECNPEGDGVIINDIEWNPTDGDGEVVTRGQYCLTDLESLYGDEDEDVVEIDEPLKAVLWNAGFVGAANCLACFCKNGVKEFVVVNPATRKFRKVPSMVGEIGEWFEAALVTRFGFGYDHVNDDYKIVRVAQFTQDNPNSFHGIVVAVYSLRSDNWTEIQNVPSNVCLVTQLGLFTSGALYWLGNKVSHGLYHRIIVSFDLGIGQYMEIPFPVLPFLVPNECFSVVPTRGSLCIFNKHAESGMDVWLKNNSGEESPWYKAFSVEQCALGSFEYIKLVAFSKDGKEVLLNVGNTDCRSTTKLVWYEPERKVVKNVRIRGIPSRFGSKLYRESLLQLTKNEGLVQLTENEGLLQLTVNEQLQKPSEDKKEEKQQKKR >KZN10346 pep chromosome:ASM162521v1:1:34785527:34812931:-1 gene:DCAR_003002 transcript:KZN10346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQILMGSQVAFFSSVHQQGIGLFNPRYLNYAIFIVEVSIFLIFLARGLSDLSQSMSSLVERLRVRSDRKPIYSLDDSEDESDLMHGKSKNAQTFEKIERTDAKVDLCQACDGEGGLLSCESCTYAYHPRCLLPPLKAPFPSSWRCPECVSPLNDIEKILDCETRPTAAADSDASKLGSKEVYVKQYLVKWKGSSYLHCTWVAEEDFVKAFKTHPRLRTRLNNFQRQMSATNSDDEFVAIRPDWTTVDRIIASRGTDDEKEYLVKWKELSYDECSWEFESDISSFQAEIEKYKKIRSRYGKEAFRKQKISRDAAELKAKQKEFQQYEKSPEFLTGGSLHPYQLEGLNFLRFSYYKQTHVILADEMGLGKTIQSIAFLASLFEENISPHIVVAPLSTLRNWEREFATWAPQMNVVMYVGSSQARQVIREYEFYFPKKLIKSKKKKSNQTSAESKQARIKFDVLLTSYEMINLDSASLKPIKWECMNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKRELILRVDLSSKQKEYYKAILTRNYQLLTRRGGAQISLNNVVMELRKLCCHAFMLEGVEPQDTTEFNKQLLECSGKLHLLDKMMVKLKEQGHRVLIYTQFQHMLDLLEDYCNSKKWLYERIDGTVAGAERQIRIDSDWNPHADLQAMARAHRLGQTNKVMIFRLITRGTIEERMMQMTKKKMVLEHLVVGKLKTQNINQEELDDIIRYGSKELFADENDEHGKSRQIHYDDAAIDRLLDRDQVVDEEATLDEEEEDGFLKAFKVANFEYIDETEAKAKEEAQIPEIENKTTVISSERANYWEELLKDRFEEHKVEEFNAMGKGKRSRKQMVSVDDDDLAGLEDVSSDGEDDNYEVEQTDDGTDGEVVVEAEAAPVRKPYKKKARVAPRPLMEGEGKTFRVLGFSQNQRAAFVQILMRFGVGEFDWAEFTQRLKQKTYEEIDAYAKLFLAHIAEDITDSPTFSDGVPKEGLRIQDVLVRIAVLLLIRDKIKSASQVPGSPLFTEDIVQRLPGLRCGRLWKEEHDLLLLRAVQKHGYGKWQAIVDDKEWRVQELICQEINLPFINLPISGSQPNVSGASGSLEQAAGAGGLQAQGVNISSTETTAYNLKTAVGGNGSGTDAAQGTPDGISVAQIYQDPSTVLSNFREMQRRQVEFVKKRVLLLEKALNAEYQKEVFGDDKSNEISAVHMGSESKAVEVADPNGKENKSELSDCLPKSNVICK >KZN10457 pep chromosome:ASM162521v1:1:35852973:35861255:1 gene:DCAR_003113 transcript:KZN10457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDGDDFGPLYSDHVVKPCSLVQEAGFSNDQSPSKHCASSVFSANVVEGLREYDGFLLSQCGGGGESGADDVGMEENEGGFDGEGLDVDGKKGKMVDEKEVDVVAEMMDEDDVGFSAGDGDAQSFDVVCKGNEDGVEDFKGLGDDDVCVNEEESEGDESLDIIVEDSGLNEGCEGGDKKEVEIKEVGLADVMCKGNEDGVEDFKGLGDDDVCVNEEESEGDDSLDIIVEDSGLNEGCEGGDKKEVEIKEVGLADVMCKGNEDGVEDFKGLGDDDVCVNEEESEGDESLDIIVEDSGLNEGCEGGDKKEVEIKEVGLADVMCKGNEDGVEDIKGLGNDEVYVNEDDSEGDESLDIIVEDSGLNDGCEGSDKKEVEIKEFGLKESNSIPEYLDDDLEPGEIPGMKMEFVDDVEARVGHSNQSSDEAFKGVRSVFTSQTIDKDSKGEQDQVEPVQNAANNYRVARFCDLQNQVNPVHNAAYNHGINRFRHLPEAYKKAFNLEDKYSRNKPWTRPGANLSDYFNFDLDEESWQNYCNQLKQLLQTSSPSKAVGNKSGQLQQSGEAKQVHVSSGEQVSCRQKHHQREHDSDVTIEIGEAIQVYISSVERVSCLENPHKRRCGSDAIIEIVCVDSADDTELFTKDLRDCALHEYKTRPTTIHKIINGDNILPFPLDGSFHQISDDERTSPWVMMNYALEGRWWREFELVVGKKKERFYNQERSVHLDYPKERTEAEQRLHGELVKCDKVQIRLENNRFHNSGRRSRRQGDSKYKRSSELPDESKPTANVYVNNEITKNRFDSSRMSIEETLSQTNYEGGSNDKLNKGCRVDKDNGNGMKIEEFLSQTDDREGNNDKKFNIGSADDKGNCRMRRKETLSQTEQQEGNNNKMLDRGSAVKEDTCRIRIAETLSQVDHQEGNDNKKFNMGSAVHEDNCGMIIEETLSQTDDQEGNNDKRLNKGRTVHEDFYSKQATKPIDNHEQEFPSNCNLASNSGNNVIFGDQKHEAERGKNVDKESEKMQGSPEARESPSEDMKELMSNIRNLMTEIDDLVRQHEHEHKICCLR >KZN11620 pep chromosome:ASM162521v1:1:46409190:46412238:-1 gene:DCAR_004276 transcript:KZN11620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISALTPQKDSDTINVRVTRVWEAINKRNGQVMFTNLILLDEQDNHILATVRNNQKDIYLPLLSEQGVYNISNLKVVPGPPSYRSVDNDLAINFYYKTKIQAAADTGVIPHHKFELKQFKDIPNYVANVANFIDIMGLVINYGELETRTNGAQKMDVTLSNDRQEPIVFTIAHLNMIRNLKFISTCKTNNNRLFISSKTRNERVIVALWESRATNFLDLLPKEGKDPVFVVITGLLAKKYSENVLLSSSDATKTYFNIDYPPLQSMRQAISEENANRGKEMPAPVTLRFVQSENTSAGESNIRDILEAKLPAATTFLRRTCEATIVAVMEGEGWYYNCCPRCARKVQNTEGKYYCTFCSKEAEDFRPRFKLTVRVEDSTAQTTFTLFNKEAEQIVGIPVDKIIDELPEGTNIGEIPPVIRNIIGKRCVFDVKINEYNTVRGYEDYTVFRLKLSDQMERASTSNKDNTDSSKKQRVN >KZN10168 pep chromosome:ASM162521v1:1:33242545:33242883:1 gene:DCAR_002824 transcript:KZN10168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRRKLKRSRAKVKVGLPKKHPNVFKPAFNMPPKLVKLLDLSDTQWDDQASVIKNYKTFGVVSNPNLLGVRSRHEKIMESDALQAPPPQIDGPVSEFETIDSGSDVEEDG >KZN09787 pep chromosome:ASM162521v1:1:29372359:29377511:1 gene:DCAR_002443 transcript:KZN09787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLLLTTPPTSSAYSFAGTSPALYLKFTRATTCISIFSRSKTSKLTLNSPPSSLTQRQCAAKMEVETSSSTSGFVGADDLLIVGPGVLGRLIAQKWRQDHQGCQITGQTFTTDHHDDLVKMGITPSLKGMKASQKYPYVVFCAPPSRTPDYPGDVREASLNWNGHGSFLFTSSSAPYDCYDNGPCDEDSPVVPIGRSPRTDLLLNTEKVVIECDRGAHVYWLRKGTVESRPDHIVNLIHYEDAASLAVAILKKKVRGQTFLGCDNYPLSRHEVMDIVERSGKFSTKFVGFTGTNDPLGKKLNNSKTRQETGWEPKYPSFTQFWEMSE >KZN10083 pep chromosome:ASM162521v1:1:32455171:32460366:-1 gene:DCAR_002739 transcript:KZN10083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPYKSNAHQNMLQAAVQAVNWTYSLFWQLSPEKQRVLVWGDGYYNGAIKTRKTVNSVEVSTEEASLERSQQLRELYDSLSTGDLNNPSRRPAALSPEDLTESEWFYLMCVSFSFPLGVGLPGKAYVKRQHLWLKGANEASSKHFTRAILAKTVVCIPLLDGVVELGTTDKVEEKIEFIEQVKSYFINCNNQATHPPKPALSEHSTSNLISPSRLVEMDDDDENDDDNDDDDDAGEEDDDEGDGIDVEAEAARTPTPFGSVDPRNVVGDIEGEPRLQVMLHHMSNDNIHLGSPESGSNNLDSDFHLLKVSQPGIPIDPYVPGSKWIHAPLTAAITAEDELLEEHNHYSQTITTILHNRNFSGRSSGTSSAVYSIHSPQSSFSICTAAATSRSHRHSNRTSQYLLKYILFTVPYLHSKSLDNTAEDSVMLQLKKSASQEELSANHVLAERRRREKLNERFVILRSLVPFVTKMDKASILGDTIEYVKQLRKKIHELEAREHHVNEDADCVDQRLGNRGDSRRDKRKMRVVEQGGRRVVKAAEVQQETTMEVSIIENDGLVEIKCPYKEGLLLDVMMKLREFRMEITAAHSSSSSNGGFVAELRAKVKETVNGKKASITEVKKAMKNIIPSHP >KZN11455 pep chromosome:ASM162521v1:1:44794875:44797411:-1 gene:DCAR_004111 transcript:KZN11455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQENGKGGNKYRRMDELIEEDNDLSNGDFNQRERTDNTKRYVIACAIFASLNSVLLGYDVGVMSGAIIFIQEDLKITEVQEEVLVGILSIISLLGSLIGGKTSDAIGRKWTMAFAAIVFQTGAAIMALAPSFKVLMLGRLFAGVGIGFGVMIAPVYICEIAPAVARGSLTSFPEIFINLGILLGYVSNYVFSGLPVHINWRVMIAVGILPSAFIGCALFFIPESPRWLVMKHRIDDARLVLLKTIENEREVEERLAEIQKAAGHGNAEQYEEKAVWRELLHPSPGVLRMLITGCGIQCFQQITGIDTTVYYSPIIFQTAGISDKTRLLAATVAVGFTKTTFILAAIILIDKIGRKPLLYVSTIGMTICLFTLGLTLTFLENSKFGIAMAIVTVCGNVAFFSVGIGPICWVMTSEIFPLRLRAQASAIGSVGNRVSSGVVAMTFLSISETITMGGTFFSFSAISALAVAFVYKCVPETKGKTLEEIEMLFQNEEHWRGNAVELDDVEHLVQNQ >KZN10515 pep chromosome:ASM162521v1:1:36532895:36533870:1 gene:DCAR_003171 transcript:KZN10515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQSQFYSENFGFNADNREVVCQDNMYAEHGFGFESELNVNFHQQQKQPFMEFQPQQQHQFQFMPRRFESLCSEKNVFTPNYANQSLNLSSTISAVMEKQRQEIDQFLSVQNERLRLALLEHRRQQVAVILKRCESKAMVLLKQKDEEIARALNRKLELENVIKAMEIESQKWHRAAKENEAMVMSLNNTIEQLKENAICLSLNNNGGADQDAESCCDDNRGVGFQEDEEQRRICRSCYTCNSCVVFIPCRHLASCKACDAFLDTCPVCGMAKKSTIEALF >KZN07839 pep chromosome:ASM162521v1:1:75929:76162:-1 gene:DCAR_000508 transcript:KZN07839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFQFKLQLASEILHPSLDNYIKKKLKSRVKMFLRRSCTAKMFLRRCYSTFSSRPLRDCVVASGPAGFYTAKKVQC >KZN08846 pep chromosome:ASM162521v1:1:16524876:16528546:-1 gene:DCAR_001502 transcript:KZN08846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCIAEQNLDPSLHNLEHTFMLYQSMILVLELSKRIKQLEVDGRSWYLFYNVPNGYIYNLEDMLKHIKVIEKEAIVFPMNSSNVITARIFQKDGMEICYKRRIRSAKYLGDEHWFFKPDLRTDYEDDSEDSSESAGGNAGDDEDMEEMICSSPSQSRACLLIRKHMFIPIAIHPPNRAWNKGDEVELRTEKGSWRLGMVLHGNRARLSAGWNKFARENEYKVDDVLSWQLMEENDTDVFVVTKVAPV >KZN11673 pep chromosome:ASM162521v1:1:46861234:46863591:-1 gene:DCAR_004329 transcript:KZN11673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTCSYSSFKKSKNDPLQDRRMIDRIRLSAKGGDGGNGCYSIRRSRHDRRGKADGANGGRGGDVILECSPRIWDFSSLKHHIVNAQRGGNGASKNMIGSRGPDKVVLVPVGTVIHLVDGEIPVMVENNSSPDLEPWDIPSTVDVTSKTTEQSSNNLSSQLMQTKYNNTLVNSANTKKASQSPSNDSISKFPDISNKTWDEDSESNIKTLGSGTEPNIVGDNISETELGEDIEEEEQVRYDVAELTVPGQQIIIARGGTGGLGNLCYPKAFKNPKLLKLGEQKNKGVDDIESEANGHSSLSLGMPGSHAVILLELKSIADVGLVGMPNAGKSTLLGSLSRARPTVGHYAFTTLRPNLGNVNYVDFSVVVADIPGLIKGAHENRGLGHAFLRHIERTQVLTYVLDLAAALDGKTGVHPWEQLKDLILELEFHRVGLSSRPSIIVANKMDEPGADKVYEELKRRVPGVPIFPVCAVLDEGVEELRSSLRKLVIGEESHRLQLDNIELN >KZN11619 pep chromosome:ASM162521v1:1:46398619:46405042:-1 gene:DCAR_004275 transcript:KZN11619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAGIGNRKLSEIIGDIQSFVARQEKNGENGESDISDAIATQVKRLAMEVKQLASARQITVLNGSSGGNVTALIVPAALLGTVGYGYMWWKGVSFSDLMYVTKKSMSTAVSNLTKHLEHVSDALAVTDVRAELSDIGYDLNTIQSLVHGLNGKIMTLEEKQDFANNGILYLVDCVNGKGVASPQMLQGFKDIEDFLLGGVNSPASDGKVEKLPGLPRRMIRYLIVTCSLGVGCFCVVQFVFFSFFMLMFYSLVFFYSLPLVRHMSFHLSFVSLVS >KZN08925 pep chromosome:ASM162521v1:1:17780371:17784384:1 gene:DCAR_001581 transcript:KZN08925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITINSHVFISLSLYLLVLLQSSLFASSQSFIGVNYGQLADNLPAPAVTAKLLESTAIGKVRLYNADPAIVKALANTGIGIVIGLANGEIPGIASDPNVAGQWVGANVLAFYPASNIDVITVGNEVMTSGDQGLMSQLLPAMQNIQNALNAGHLLLYIFEFVFVLSEPLAEGASLGGKVKVSTVHSMAVLANSDPPSSGSFNSGFGDTMKALLQFQKATGSPFMINPYPFFAYQSDPRPETLAFCLFQPNAGRVDSASGIKYMNMFDAQVDAVKSALNSMGFKEIEIVVAETGWPYKGDPNESGTSVENAKAYNGNLINHLRSNVGTPLMPGKSVDTYIFALYDENLKPGPGSERAFGLYKQDLSMVYDVGLTKNSQTPATPTTPKTPKTPSTPATPSPKAAAWCVPKPGVSDAQLQSNLDYVCGHGLDCSPIQPGGACYEPATLQAHAAYAMNLYYQNSGKNSWDCDFVQTATLSSTNPSYAGCNYPGGN >KZN09606 pep chromosome:ASM162521v1:1:27521997:27537436:1 gene:DCAR_002262 transcript:KZN09606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLDNIYKQHDVSWFTPVELFKPWYAHGIAEAILRTANLSVPLKIYEIGGGSGTCAKGIMDYMMLNAPTRVYNNMTYTSVEISHALAKKQLETVAEVGSHLAKFNVECRDATDRSGWGTEELPCWVIMLEVLDNLPHDLIYTENQVSPWMEVWVQKQHDRSELSELFKPIQDPLITKCMEILDVDKDQKTQGNLIPLKTFQELLEVLHGALPKMSLIASDFTYLPDVRILGDRAPLVSTKKDGSSKDHNSYLDAKGDADIFFPTDFVLLERMDHYCSGWLKPDLDKSTKQGKKRRTIMLDTSLFMEEFGMPTKTRTKDGYNPLLDDFKNTKFYLSVPTHNTK >KZN08091 pep chromosome:ASM162521v1:1:2572230:2577645:-1 gene:DCAR_000760 transcript:KZN08091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLKESGEEAADIAFCKKLTRSFNSSTGRAGKPALKWTEVQSWFQNPQVSLTSKEDSVDGSDKSNPDPVPSDKPDGSTPTSEEDSVDGSDKSNPDPVPSDKPDGSTPTSEGEKDPNSSKLEFEAKSSKDGAWYDVDEFKNCRNNNSGEMEALVRFIGFGSDEDEWVNVKESVRERSLGLEHSECHTLKVGDLVLCFQEKVDLVRLCRILKPQANLAEEVHS >KZN09559 pep chromosome:ASM162521v1:1:27100827:27106150:1 gene:DCAR_002215 transcript:KZN09559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDEFHELGAMGTDQILPGATGQRQKEKLICAAFHNCGKNQGEKSQGKDRKWHKDVMDLDEFHELGAMGTDQILPGATGILTRMASSKLQALWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPETLSYPQQIVVTVTGGIWSRYSGVITPKNWNLMTVSVAMAGTGVYQLSRKIRHDYFDKKEAAVERN >KZN09115 pep chromosome:ASM162521v1:1:20375839:20380041:1 gene:DCAR_001771 transcript:KZN09115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHIFPTDSKQSYSDTCPCPLQDSPVSLHEHRPNSTTMHTLTNSSTTAAVLFHSSRIPTHLRPTPHSLNISPHSISTIHSISPHTLCCSQPKPTKTQTRIRPISSSPEQNQLTDPTPQEQSKLIPLIFSISVGLFLRFLIPKPHELTLQAWQLLSIFVSTIAGLVLSPLPVGAWAFLGLTTSIVTKTTTFSTAFHAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPNDPSARKLGSYLVQSQFQSSGNSSALFLTAAAQNLLCLKLAEELGVIVSNPWVAWFEAASLPAFVSLLATPLILYKLYPPEIKDTPEAPAMATTKLELMGPVSKNEWVMIGTMALAVSLWIFGEAIGISSVVAAMIALSILLFLRVLDWDDCLSEKSAWDTLAWFAVLVGMAGQLTDLGIVAWMSGSVSQSLQALSLSWPVAFVILQTAYFVIHYLFASQTGHVGALYSAFLAMHLASGAPGMLSALALAFNTSLFGAITHYSSGQAAVYFGAGYIELPDIFKIGLLMALVNVLIWGGVGTFWWKFLGLY >KZN11452 pep chromosome:ASM162521v1:1:44785064:44786366:1 gene:DCAR_004108 transcript:KZN11452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLNGKVEFVLPRYVQERLCTLSSSSLVSPKLEDVREDPVPPIQAVLLPSQSQYGGIKAESLVSHSGKRKKNASPTVTKGGCQSSATASEIASPQKKKKDASEVKSARSLFSVGQEMQHGGTSDGVAVLKPPSPEEEDGLKCDLILLLDPALNAEDRQHLLDAAAVFKSNLVACLTSSADTMRTKDMINLASRCYNILGELGDDYASFRSEIEKLIAQHQKVEFSAKYKENWNEWDIKPRYIHQEQFLSKAREDLSSAQDKLSAAKTKAELLKFKKEELADALHKITEELYEEEKRVKDLTAERDRFKEAHSDIEIGLVKLDAEKKAASVALEAYKTAKEEFERISNHLLQLLCVIVVGRASSFCLVSTFQCTSQLALYQSNNFI >KZN10065 pep chromosome:ASM162521v1:1:32292331:32293452:1 gene:DCAR_002721 transcript:KZN10065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRSRAVTKQSLMADHNSVPASKSKQTASNSSFFGSPRFFNRFFPKGVTNFEPVKKPASVLGSPRISNFGSSFGCYDNMVKSPRAGCENKEISTENMKIGLALINDEKSLDQNSCTRARLGSKLRIQIPSPPGSNTQSLRFSDDLLTPSSGLNSEAQAQESPIAISTRSLSWSEMELSEDYTCVISHGPNPKTTRIFDNCIVESCCGVVALSDLKKEYNLSSPSQSFLSSCHTCNKNLGDGSDIYMYRGEKAFCSNECRCEEIFLDEMENSEMENGF >KZN12042 pep chromosome:ASM162521v1:1:50507230:50509320:1 gene:DCAR_004698 transcript:KZN12042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIITSSFGHHPKLSALSRQIIPENSVYIFPTVTITISPIQLRRKGLRFSLTCFASDKPSPSPQFSTDAKIRSEVLSPFRSVRMFFYLAFIASASLGGLIATTQLIGALSNPSRADEVTKILEGLGIDISAVSVCAFLYSRDNNAKNAQLARLTREENLSNLKLRVDEKKVIPVGDFRGIARLVLVSGPSSFISKSFKLSEPFTESLLERGVLVVPFATDGELPDFEFDDSEDMKELTERRKRLWQLKPVFSTEWSEWLDEQKKLANVSTESPVYLSLRLSGRVRGSGVGYPPWNAFVAQLPPTKGLWSGLLDGMDGPV >KZN10685 pep chromosome:ASM162521v1:1:38162485:38171595:-1 gene:DCAR_003341 transcript:KZN10685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSVVTQLDVPESGLGTQIGEQIISGDDAILPVQALISMLDGYHDLTGFPWWIVIGSATLALRMALFPLLILQLNKLKRIAELFPKLPPPLPPPLSERSFKDQFTLFWNERRAVGCPSFLWFMAYISVQFPCFLLWMTTVRKMSLDHHSGFECGGALWFQNLSELPHGVYGSIFPLMIAGLHFVNVQISFQTASTGKVSGLLGFLVKSYKFYLDVMTLPILVAGFCVPQGSSVYWLTNSFITLIQQLCLNDPNVRHHLGLPEMMDLGTTKDARNVVAPVTFSNLSHKQHKLSVRNRSPSDLVMLSVQLLEKKHKERAIQLLRLALDKDPEHVRALIIMGQTLLQDGMLVEAIEHLEHAISRLLLQGYPTEIEEVDLLIAASQWAGSCYVQQGKYAEALIHFERFGRIKEPEDSTSKSHYYDGLVMLASALSHEDRKAEAAKYLRRAVAFNPSYKVYLEELENEDSFASDLVNSRRGDY >KZN09328 pep chromosome:ASM162521v1:1:23274424:23277060:-1 gene:DCAR_001984 transcript:KZN09328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFSCPLACYTDLENGIESVIVKSIDFGDDETRTPVRSISFKDQDNEPTIMQSVGSVRMREGSVSFKSGDSDIMNSFKASVPEKSLSGEISKLSERSDSFTEKMTRSSILNSSNPKHQAAIKLQKVYKSFRTRRKLADCAVLIEQSWWKLLDFAELKHSSVSFFDIEKHETAISRWSRARTRAAKIDPRHRYGHNLHFYYVKWLHSQSIEPFFYWLDIGEGKEVNLVEKCPRSKLQQQCIKYLGPMERLPYEVVIEGGKFLFKQTGEFLDTTKGTKDSKWIFVLSTSRTLYVGIKKKGTFQHSSFLAGGATLAAGRIMVEDGTLKAVWPHSGHYRPTPENFQDFVSFLKENVVDISDVQMDPIDEEDSSGITSISHIRSNSSDEDINIGKDLLVTEEIDAEESLLRKTNSMVKEASPTLKLPTLNLSGSLSVKLPGTEITNGDDLSVIAKSGQHAVKLSSDDSPSELPMQLDGYETAEESFDDQQIMKIANENIFTEKESIPIEEAVTKECILERINSRKRMKSFQLGKQLSCKWSTGAGPRIGCVRDYPSGLQSHALEQVNLSPRSAPCSRSNSSSFQEFASSSFSGLIQGTTTPRSSRLCKEHSRNSDSEPCEVL >KZN08861 pep chromosome:ASM162521v1:1:16793491:16799527:1 gene:DCAR_001517 transcript:KZN08861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSAQFSSSVAMILTSSCKQQQFNSLPISFISNRAHLSSSRCVLTFGRRRGKSFPSIANKKKPSLPQTNIGEDDDLDEDAFEALFRQLEEDLKNDDPSALDGDEDISEEDLALLERELEEALGDEELQKAFSSSVDESEMETRKEGINNDEEEDDYDDEEEKQVVLKNWQIRRLAYALKNGRRKTSIKNLAAETCLDRAFVLELLRDPPPKLLLMSASLPDIPAPTIVEPKTKPEEDASSENAIESEELDTKKPGSKVKVPVHAMQSSWSAQKRIKKVQLDTLERVYGRTKRPTNAMISNIVHVTNLPRKRVVKWFEDKRTEDEVPDRRVPYQRSTPETVSSS >KZN11779 pep chromosome:ASM162521v1:1:48032728:48033250:1 gene:DCAR_004435 transcript:KZN11779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLRNTHRLTPLSASLRAASIHSTLPSLAEQTLTTPAPYGRAGPPSTTSPPQLSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRK >KZN08519 pep chromosome:ASM162521v1:1:9628112:9628795:1 gene:DCAR_001049 transcript:KZN08519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVEALPRWRVPGRGTVKINVHGCFFAQALPNGNITGIRVVIRNNRGRILRMLSSSLKIQNRRVNEYYAILEGFLEGVLPDHVYIVQQLNQRREDINFNMEVNLCDPYANELAVYLADHGARNFKNMPRFMAVHEEDVGLGIVHDAEVIEQAGMEEAEVQEQVQGEEAQGERGL >KZN09992 pep chromosome:ASM162521v1:1:31467260:31468990:-1 gene:DCAR_002648 transcript:KZN09992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTAGVGEDKRWSLVRTTALITGGTRAIGQGIVEELAGFRASIYTCSRTQNDLDQRLEEWKSKGFMVSGPVNNAAMIVVKEAPEFTAEDFSSIMGTNSEASYHLCQLAHPLLKTAGTGNIVFISSVAGVVAFPRNSLSACSKGAMNQLTKNLACEWAKDNICVNSVVPWIVRSELIEMMREDLQGLVSRTPFSRRGEVNEVSSLVAFPCLPAASYITGQIICVDGGQTVCDCDKELALAEGSHISLAQAKCMITSTNSYSMIQLVRMASYKELQVQKTATLTEITGYKHTEWSEWQALENYIYTKPQL >KZN09949 pep chromosome:ASM162521v1:1:31064253:31080692:1 gene:DCAR_002605 transcript:KZN09949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKVERAPHLQSIRSLPVDFRLKDALEDHSSRNSETVTMMNSTRVYNSLTKNVEVVGTNINNKVDSNGFDESPYGKGPYRGESLNSAASTSQSYGSSFNDSKWSDTSYDAKKKLQSWFQLPDGNWELAKILTTSGTELVISLSDEKVLKVSGDTLTPANPDILDGVDDLMQLSYLNEPSVLHNLQFRYDRDMIYTKAGPVLVAINPFKKVPLYGNDFIEGYRRKTIASPHSRVVQCAEGERSYHSFYQLCAGAPPSLREKLNLKTAMEYNYLRQSSCYSIPGVDDAKEFRVVTEALDVVHVSKEDQESVFAMLSAVLWLGNVSFAAVDDENHVEPVNDEGLVTVAKLIGCNVADLKLALSTRNMKVRNENIVQRLTLSQAIDARDALAKSIYSCLFDWLVEQINKSLVVGKRRTGRSISILDIYGFESFIRNSFEQFCINYANERLQQHFNRHLFKLEQEKPLGLLSLLDEESTFPNGTDLTFATKLKEHLHSDPCFRGEREKAFTVCHYAGEVMYDTTGFLEKNRDLLHLDSIQLLSSCQSNLPQAFAANLVAQSESSLSGLLNKSGGADFQKLSVATKFKGQLFQLMKRLENTTPHFIRCIKPNNNQSSGLYDQGLVLQQLKCCGVLEVVRISRSGFPTRMSHKKFARRYGFLLLESVASQDPLSVSVSILHQFGILPEMYHIGYTKLFFRMGQISVLEDTRNRTLHSILRVQSCFRGHQARLMVKDQRRRITAFQSFIRAEKTRKEYSVLLKQYRAAVAIQKQFKGRIGREKFKHIQDASVLLQSVIRGWLVRRCSGDAALLQFGVMKGHETDNVLVKASYLADLQRRVLQAESALRVKDGENDILQQRIQQYDNRWSEYERKMKSMEEMWQKQMRSLQSSLSIAKRSLAADDSDASVNNDSSYGSPVDYTRPTNTGLSVISRLAQEFDQRSRIFGDDAKFLVEVKSGQVDANLDPEQELRRLKQMFEGWKKDYGSRLRETKVILNKLASDGGSGEKMKKKCSYGFGPYAVGCLEQESNVIWAVSHDPPFTTLSISEKKKKLNEFSSSNLDSKAPLVADCIKPNSPFAQTPILSHSLSEQEDDSEPPSERLSEVEGGMVALGKFEALHVGHRELAIQAAKVGVPFLLSFVGMAEVLGWEPRAPIVAKCDRKRILSSWAPYCGDVTPTEFELDFSKVRSLTPRQFVEKLSKKLGVCGVVAGKNYRFGYRASGDASDLVKLCEEYGMEAYIINSVMDKKQDSRAISLDNPKEQGQVSSTRVRHALDMGDMKYVSELLGRKHRLMLMWNAGEKFTRENNRISASKSCLLNLPPKEGLYENCSVVIGDENSVPCRVNIDTTHIYLELDDIETCIHILSQGFRFLEIVALHIALFRSMEKGLKSARELKETDLLSARSQLFDGNLHSVEIKCKPVKAVDNSDNAFQLRFKINGRVMLTPLQRLSRRGSALAVLLISLQCGRYRIAT >KZN09039 pep chromosome:ASM162521v1:1:19355388:19357272:-1 gene:DCAR_001695 transcript:KZN09039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMTPLLTFLATIFIALPYVQSSLSNTGDINWWCNQTPNPKPCTYHMSHIPGQEGTKISRKQFLNLAEQSALDGVVSELGYIKSLEPNVINNAERSAWSDCLLFYNLTVKSLSKIVDVNKKSTAADIQTWLSAASTNIITCDEGFSDVNVTNNIYPLVIANNVTELIRNCLAVNKVFFDQEKGKRHKGSRKSFPGNDSVFGNADCVVAQDGSGDYETITEALQASAKRQDVSQRFVIQVKQGTYAEYPVVEAEMENVVLVGEDMENTIVTGNQRGAPGRTLMDTATFKVLGKGFVAKSITFENTAGTDAGQAIALYSQADHSAFYLCGFRGYQDTLLAGSNRQFYRECKISGTVDFIFGDASVVFQQCSILASKTDRGLVITAQGRTDPNNPTGTVIQNCSVAAGPDLQPGVTAYLGRPWKDYSTVVIMQSFLDSIVSPEGWLEFQGTGSTRDATVYYAEFDNNGPGSATDGRVKWPGYHIITDSSDVEQYSVANFIDGESWLPDTGVPFDSGI >KZN09698 pep chromosome:ASM162521v1:1:28569826:28570705:1 gene:DCAR_002354 transcript:KZN09698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESINIQEEGLNLKATELRLGLPGRDETEKETIFSFKNNKRAAPKSTEDNESEANSDAKHGDHEAAPAAKAQIVGWPPIRSYRKNNFPPKKAEAEFGIYVKVSMDGAPYLRKIDLKVYNGYADLLRALESMFKLSIGYYSEREGYKGSEYAPTYEDKDGDWMLVGDVPWDMFMSSCKRLRIMKGSEARGLGCGV >KZN10826 pep chromosome:ASM162521v1:1:39377066:39379763:1 gene:DCAR_003482 transcript:KZN10826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITDMGLQDDMNTRIGGLTGGQKRRVSICIEILKHPQLLFLDEPTSGLDSAASYHVMSRIIKLAQQDKRTVIASIHQPSGEVFDLFHNLSLLSSGKQVYFGATSRANEFFASNGFPCPSTRNLSDHFLRTINIDFDVDVEHELGGSVITATKAIEILVNAYTSSEAHQQVINKVQEICEKNQVTLKEKEGQAGFITQCQVLTKRSFINMYRDPGYYWLRLVIYVALCLCIGTIFYDVGHSYSAIQAIGSMLMFTASFLTFMAIGGFPSFVEDMKIFIRERLNGHYGVAAYVVGNTLSSAPYLLLICIIPGSIAYFLVGLQKEFDRIVYFGMILFACMLLVESLMMMVASIVPDFLLGIITGAGLQGMMMLNGGFFRLPDDLPKPLWKYPISYISFHKYACEGLFKNEYLGLTFPNEKVGGPPTITGEEILKNYWEMEDYSKWLDLAVLLGMVVIYRLLFFGIIKALEKFKPVIAAWISSWRTDSEDNSTAPDVGCSVRRVRTFSLFDCSFYTVDLTFRE >KZN09300 pep chromosome:ASM162521v1:1:22747059:22747859:1 gene:DCAR_001956 transcript:KZN09300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEKLNREYEICEEIGRGRFGVVYRCFSASSGESFACKSIDKRLLVDSTDLECLQKEPKINHFLSGNNNNILQVHDIYEDENYLHLVFDICDSPNLFDRISNNQPLSEPQANRYFNDLMTAIAYCHRLGIAHRDVKPENVLFDSRDRLKLADFGSAEWFGCGSEMMSGIVGTPYYVAPEVLSGQDYDEKIDVWSCGVILYIMLSGVPPFYGDSPAEIFEKVLRGNLRFPSRNFRSVSSEAKDLLRKMLCKDPSRRLSADQVLREYS >KZN10895 pep chromosome:ASM162521v1:1:40089960:40092298:-1 gene:DCAR_003551 transcript:KZN10895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMLLMSSSTCVSGHAADNLKSPALPLLQRLKPKPFSHSLLPHLANISKSSSTFTTYALFKSKAKAPAKKVVAPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEAVTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPPTGLEKAVIKPGKGFRGALGLSEGGPLFGFTKSNELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPISDIEPLVLLNVVFFFVAALNPGTGKFITDEEED >KZN08448 pep chromosome:ASM162521v1:1:6947073:6948699:1 gene:DCAR_000994 transcript:KZN08448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTWIVLVAVVALVYLFHPWMKKTSHRNLPPGPKGLPIIGHLHLLSKNPHQDLQKLAEKHGPIMSMRFGFVPNIIVSSPEAAKQFLKTHDLNFASRPSLEAAKHISYEEKSLTFATYGPYWRDMRKLCTMELLSNLKISSFQSIRNGELNELVKILKHAAQEQVAVDISTRIQSMSSDVSCQMVFGKKFEDKELDERGFKGVIQEGMKLAVAFNVGDYFPYIGALDLQGLTKKMKAISKVWDRFLEKILEEHDHPKEQGETKDFVDTMLGIMKSGDSEFEFDRTHVKAILLDMLAASAETSATAIEWTLSELLRHPRIIKKVQEELEQVVGMDKMVEESDLERLEYLEMVIKEAMRLHPVAALLLPHLSIEDCTVDGFFIPKNSRVSINVWAIGRDPKVWTDAEKFIPERFVGSNIDLRGRDFELLPFGTGRRGCPGMQLGLTMVRLVVAQLVHCFDWDLPNGMQGSELDMTEEFSLVVGRATHLMVIPTCRLKKR >KZN10648 pep chromosome:ASM162521v1:1:37904672:37908799:-1 gene:DCAR_003304 transcript:KZN10648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYRERSRSYSPKRSHRDYDDRSHRDRDRDDRSHRDYDDRSHRDRRPVRDRRSPGPSGLLVRNISLTARPEDLRIPFERFGPVKDVYLPKNYYTGGRHGGSSRRWSPPRSPRRRYRSYSRSPSPARNGSRDKRARDHRSPSRSRSISRSVSPRDDKEYRSGRQSFSPNRNGKSPNDKEHHISDQRSGRLRNNDVSPHDDDYSPDNKRDSELVRKEINAREDGHNLTRSVSKSRNRSRTRSPSYRCS >KZN11936 pep chromosome:ASM162521v1:1:49516551:49522021:-1 gene:DCAR_004592 transcript:KZN11936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQLSTDTNNIDIHKAWHLLSLLLTIGRPVRLPELASRCTLFRASPEFVQFLCSIPRSPLSLTESGFVSISSVAYLDFVRFLSNLCNLSTSLPRTSVMFMERSGALDDAADLWFQHSGELEYLPAVKRRKHLNVEGSMMFSVRKGVLDDVADLCFQSGGEFEYLPDVKRRRLLDVEGNEGQIVLSDEVHSNRTQESSVIKSRASLLNCDLKTAIQESKTGMLVNGKEFGHVNISKWHIDFPKNGSIGKLSDAREVKVIEDDKLQQEPSPLSSRLRSPVFLIDNKMKTSYSRHLIDLNKPYMPQVDECMDISPLEASNRICACKTLAKPSEVRRVGETKEVEEIMYFGSCKLEVEYLSTKTISVYMPQKDGYNMNDILESREVLPSRDKEEKMHESQANLQLVDKKQLSKLEMIREDSHNPSLHTPVDFQAIDSGKNTGNCLEEEQCKVYKRSFSKKQKFRQNYQEKRYAEEKRDKNKEIRGKNVSTSLKNQVEPEPLPYFDSFTAEEKEGSGGYGTVYKATRKQDGLTFAIKCPHENANRHHIQNEIKMLERFGGKNFVIKYEGSFKYGNAHCLVLEHVEHDRPEVLKREIDVSQIQWYGYCLFRALASLHKQGVVHRDVKPGNFLFSCKSNKGFLIDFNLAMDLHQKYGYTDKLNLSYDMGFNNVQLSHAKSLPPLKSKKLSSAKALEATNQEAGKSYKSLSLIKNLKKKTNPHEKADLGTRNIVKSQGADGSGITSAKDATSTRFPSGEKSPYQGPKLDIWSAGVTLLYLVTGRSPFNGEPDQNIKDIAKLSGSEVLWEVAKLHNRESSFPMELLDRKYLPSKDLRDWCGLNTRKPDILQDIPIQFFDLIDKCLAVNPRSRISAEEALQHEFFAPCQKQLRRQRLLRQGLSLDLKNALVNIKPVNREVYCAE >KZN10938 pep chromosome:ASM162521v1:1:40467110:40470113:1 gene:DCAR_003594 transcript:KZN10938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSAATYALSLQLATPWHHLTAIIDSETGHRVSYLEFTKLTKNLALYLTNQLKLNKGQSAFILSPSSNLIPILYFSLLSIGVIISPANPISTVSEISRQIQLCQPVIAFATSETFHKLPTLKYRTILLDSPEFDLMMRDISTSSSSSSSSGFSDVRVEVRQSDAAAIMYSSGTTGPIKGVVLTHRNLIAITSSYQVDTVRDTPSVVIYSVPFFHVIGLFNCVKSVSLSETLVVMKRFELQKMCRGVQEYKATQILGAPPVVVAMSKDSVTEKFDFKSLEAVVSGGAPLGKDVIAAFKAKFPDIELFQRYGMTETTGAIFRSISPEESLHWGSAGKLTAHCEARIVDPESGNALPPGKQGELWIRGPQVMKGYIGNAQATSEMLVGDGWLRTGDLCYIDDDGFLFVVDRLKELIKYKGYQVPPAELEQLLQSHPEILDAAVIPYPDEVAGEVPMACVVKRSSRIDETEVMDFTAKQQISKVFTQNLERQQYF >KZN09360 pep chromosome:ASM162521v1:1:24148949:24149392:1 gene:DCAR_002016 transcript:KZN09360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNQSPHLHQMSDSTDLKIRQLGVKTGVFQQQHSASQRSAYQQLKPGNQFHISSPQLLQPASPQISQHASPQIDQQNMLSALTKAGTPLQSTGICERCATAVHVESGRNGLRRVFAGVSSQTLCSVCVCFGGVREEEMERERRRRG >KZN10566 pep chromosome:ASM162521v1:1:37082116:37082346:-1 gene:DCAR_003222 transcript:KZN10566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYMELLDAGVRIVARTYSHCPQTARMYYHPPAENHHHHDTHLHGGGGSGRVKQGGSKAGVSMDTADVILYSIVG >KZN11890 pep chromosome:ASM162521v1:1:49131508:49139671:1 gene:DCAR_004546 transcript:KZN11890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTEEILQELLTVEAELQDVQDQIKVFLDRQEKLYDRKSELKALLESCEVSACEIKDAATGTSENWSGEFEWDSRANDVRLNVFGITSYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAIMRDGVALIVSPLLSLIQDQVMGLAALGIPAYMLTSTTSKDNEKFIYKALEKGEGELKILYVTPEKISKSKRFMSKLEKCYNAGRLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPSIPLIALTATATKKVQLDLMEMLRIPKCVKFVSTVNRPNLFYMVAMELRGKGISADYYHADMEANSREKVHMRWSSGKLQVIVGTVAFGMGINKPDVRFVIHHTLSKSMETYYQESGRAGRDGLPSECLLYFRPADAQRQSSMVFYENCGLENLYGIVRYCQSKTECRRSAFFGHFAEPLQDCNEMCDNCSFSNEVKETDVSGHAKVMVSLLQDIQENDQRVTMLQLVDKMKVKNKGADPELKKDELEQLVVQLILDCVLKEEFQHTAYTTNAYVTVGPLAKQVLQGKKIVKIVSRLQKNSKELVKASKRNRSSELELKLDELRKELSAVQGGIFPHSVLSTPQISRLSSQRPITMKELENIIGKLKTEKYGSKILEEIKRYESENQKLDQFADCSLSKEHRRNHAKKLKSAKNLVVVKSSEDEG >KZN09001 pep chromosome:ASM162521v1:1:18903280:18905320:1 gene:DCAR_001657 transcript:KZN09001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRKIVASMMSCLGISKQPKEASSSSTTSIDPLVAVVPLRIRLGDGRYLAYKESGVPKSKSIYRIIVVHGFGSSKDMNFMAPQEVLEELGIYILLFDRAGYGESDPNPKRSLKSEASDIEELADQLQLGAKFYVISVSVGAYPTWSCLKRIPHRLAGVALVVPFINYKWPSLPDELTRDDYRKNLSRWTVWVTRYTPGLVHWWLTQKIFPSSSTVLDRNPRFFSKKDLEVLKNTPGYQLLSKNKLKERVVFDSIRRDFIVAFSKWDFDPLELENPMLGKGGKSSVHIWQGFEDKVVPVELQRCVSKYLPWIHYHEVPDGGHLLVYDSAVCEAILRSLLLQDDPPLYRPKYNA >KZN10771 pep chromosome:ASM162521v1:1:38784490:38787184:1 gene:DCAR_003427 transcript:KZN10771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSHLFSGAAGVFFLVSLFAAVNSDLAADKAVLLALRAAVGGRTLLWNTSESSPCKWVGVYCQSDRILELHIPGMGLSGKLPENTLGNLTHLNTLSLRYNALTGSLPSDLSSLSNLRNLYLQSNLFSGEIPSSIFSLTNLVRLNLASNNFSGEISSDFNKLNRLGTLYLEKNSLSGEIPNLDLPGLVQFNVSDNLLSGEIPAKLRSKPESAFVGNAALCGGPLKSCDGSEGSDDKKSDKLSGGAIAGIVVGSIVALLVILLILFFVCCRKRAKKDEVKNEVRAVKESEAVEVRTEKPAENEGNLGHAVDLKDKGEAKVSGGKKLVFFGAQAKGFDLEGLLRASAEVLGKGTFGTAYKAVLETGVVVAVKRLREVAVSEKEYREKIEGVGKMDHENLVRLRAYFYSAEENLLVYDYMPMGSLSALLHGNRGAGRTPLNWETRSAIALGAARGIAYIHSQGSTVSHGNIKSSNVLLTTSYEARVSDFGLAQLVGRNNSPNRLDGYRAPEVTDHRKVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEEDMVQLLQLAIDCSGQYPDNRPSMNEVSQKIEELCLSSSQQDPQNDTIDTDSNAPPSSGAV >KZN09218 pep chromosome:ASM162521v1:1:21758710:21760333:1 gene:DCAR_001874 transcript:KZN09218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGSHDKRTAMSTSPAPCSRSWSISNDSLRRYVHFASESCIQELLSASDCNRVGNENDGWKLLAFDNGVEISKRRSGSFDTFRSRWLLRSISPQQFITVANAIDAAKQWDADLVEARYIKDLEDNLSIIRLKFGESSKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQNNAIRGLLLQSGWVVEKLENDSCIVTYVAQLDPAGWLPRFFVNRLNTKLVMIIENLQKQAQACSVHVST >KZN08841 pep chromosome:ASM162521v1:1:16433235:16437870:1 gene:DCAR_001497 transcript:KZN08841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKENIMASNSEQPNVRITRVRAKSLGTVGGLPASKPPVINDQNKVAGPDSERAATDGSNATVGYTACPQDKKRGALQDVTNMCHKKYNIDGSGAQQSYNQAGNGVAERNTKPVTGVFNHPHIQQGREARVTKESTQGVQKVGNLAVHGHGSADPMASNQASVLQAQFGRPLRQGEVVRQGIKGESSNGLHVTDIDSNYKDPEMCAIYAPAIYENHRVKELDRRPYFGYMEMQRDITHVMRGVLIDWLVEVSEEYNLVPDTLYLTANLIDQFLSRSFIERQRLQLLGVTCMLIASKFEEICPPSVREFCYITDNTYKRDEVLHMESQVLNILGFQLAVPTTKTFLRRFLQAAQSNYQAPRVQLEFLANYLAELTLPEYGFLWFLPSLIAAAAVFLARWMLDPSSQPWNPTLQHYTNYKVSDLKLAVFGLQQLHLNTNNSPLKAVREKYQQPKFRCVANFPIPKPLEEAFQQF >KZN10663 pep chromosome:ASM162521v1:1:38041162:38042442:1 gene:DCAR_003319 transcript:KZN10663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRIRGGGHDFEGVSNTAAVPFVLLDMINFNRVNIDLKTSTAWVQSGISLGEFYYKISQTSDVLAFPAGLVSTVGLTGLLGGGGYGMMKRKYALAADNTLDARIMDYNGKILDRKSMGEDLFWAIRGGDPASFCVILELKLQLVPVPKQVTYFAVLRTLEQNGSALFQKWQSTAANVFPRDLDVRVVVDTITSNSSAREDKKTVRFIFQSLYLGKIDTLLPIMQEYFPELGLVREDCVETSWIGTAPMFSFFPVGTDPKILLNKTATTRNPVKIKSSFTTQPISLEGLNGIWDLWLKQPVQTTLIQYTPFGGRMNEFAESALPFPHRPGVLYMINMAVTVAQNEEATLQWINDLFKYYAPYVTKNPRTSYVNYRDADLGTGSKTYEQASIWGRKYYKNNFDRLVKIKSVVDPQNFFNHKQSIPLL >KZN08947 pep chromosome:ASM162521v1:1:18021642:18022866:-1 gene:DCAR_001603 transcript:KZN08947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELNSCSHVDNKIANVAQCSVVNGDKNLYEEFAGDRVAGDMDLIKACLSCFNFVPRRPRTHRVVVGILTVNPEYNVYYFRSTKMIGDEKNLSTEGRDKDNLRGSRMIVDGKNLGTEDGGDNLCSTQLIVDEKSLDAGNNLCSTQVIIDGKNLSAEDGRDNLCDSHMMVEIGGSTVVVAQKVLYPSDIRRGQNRLSLPVKIINTDFFEKFVTDTEKETLKRKEHMEDNKLEEAVIQKDGKEESIVVMKEDDKLKSDVVVQVWCFHSEAIIWFALNIQAMSECSVTGKTKDRRDIVA >KZN09026 pep chromosome:ASM162521v1:1:19213028:19213352:-1 gene:DCAR_001682 transcript:KZN09026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIACTNLFLDILNMYRKEHKGIDEVYYEVATLFCDHPDLLDGFIRFLPDASAVASEHKATLGRQHMHASV >KZN09314 pep chromosome:ASM162521v1:1:22929742:22931090:-1 gene:DCAR_001970 transcript:KZN09314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLQVLELIEDEVADDEEDWVSSFPRGATCIESLIFDCVECPLYFNALEKLVARSPHIFKEAGSEQIRFTWAASPFNDYCPAVDSFGDGSYGPLEDPLPANELLDHVSSAFAPSKSLVCLSGFREFVPYFLPAVYPVCANITSLNLSYANILVEEFKQVISHCHKLQILWVLDSICDGAIHKNSAGTDSIRDGAGSVAIDLDPRSSNDWISGQVVCLVLGAEASQTPSALHTEVRKSNPQGIHTWLSLLKQV >KZN11793 pep chromosome:ASM162521v1:1:48131747:48134150:-1 gene:DCAR_004449 transcript:KZN11793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYRVLFTADLQNLTDLKPSSDPTFTYYFKMKCGNCGELAKKVISVLPSEKVDDSRNAPNLVMKCKFCERVGSVTVTCDIREPLTEARSEFRNSVPLIQLDCKGLDPVEFVFGNGWKAKSIAGTKFEDIDLSDGEFDEYDEKGECPVSISNLCATFEVMMSEMREKRLAWDRSMLEHQQKWSALLKARKKETGSSFP >KZN09004 pep chromosome:ASM162521v1:1:18934156:18934638:1 gene:DCAR_001660 transcript:KZN09004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKEVHDQHAPREWLKLSLGGDLCSSVEDSDSSRRTSAKIYSCNFCMRKFYSSQALGGHQNAHKRERGAARRNKSWNMATLIALPMNAGVIRSLGVQAHSLVQKPSREGIPVSARSSEPMADCGMAWLPFTMDGTNMWPGSFYLDKKQPEDLDLNLKL >KZN10811 pep chromosome:ASM162521v1:1:39222845:39231406:-1 gene:DCAR_003467 transcript:KZN10811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSNTFGLVTGAFLLGFALSEIPKSIWRNADWTTRQKVLSHKIAKMAVKLDNAHQDLSNAIVVAQATSKQMSKRDPLRPYMNVINNMLVQMFSEDPLFKPQGGRLGDNDMDYDTDEKSMATLRRHLRGAREEYYRYKSEYMTYVLEALELEDTIKNYERRTETGWKFVSSLRPERTGTLGSFLDSVELIWRCMMRKHLEKLLAIILGCMSVAILLAEATLLPSGLDLSLFSILINSVGKQEMLVQVFAFVPLMYMCICTYYSLFKVGMLMFYSLTPRQTSSVNLLMICSMVARYAPPISYNFLNLISLGKKKQTIFEKKMGNIDDAVPFFGENFNKIYPLIMVVYTVLVASNFFDRIISFFGNWKIFKFQTEADDLDGFDSSGLLILQKERTWIEQGRKVGEAVIPLARNFKDPGLDLETSSNGTDRNIVEMKATSSLHENELKESSTASSEARRYSGHREAISSKYAAIREQNRNIDNMNPVEDAEASLLDASNSQATKDAGGPSSSLASKWATMKSGFQTLKTNIGAKKFLPLRQVEDPKPIPRVSSPESLDDIFQRLKRPNAEEDIDIMDV >KZN08643 pep chromosome:ASM162521v1:1:12909443:12909939:1 gene:DCAR_001173 transcript:KZN08643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFFRGKNYVQPKVMSPPDTLSKAKASEKYKFEQSVEHTSQFIEKLEHELEEYHQHLARYKKGKKEALQDTPSLLNT >KZN09583 pep chromosome:ASM162521v1:1:27377627:27379855:1 gene:DCAR_002239 transcript:KZN09583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKKEEEKKEEEKKEEAGGAGEEKKEEENKEDEPLVLKVEMHCGACAKKVAKSLKGFQGVEEVMADCKGSKVVVKGKAVDAVKVCERIQKKSGRKVEIISPLPKPPEENKEEPKEEPPKEEKKDEPPPVITLVLKIGMHCEACAQLLQKRIRKIKGVESVTTDLANDQVTVKGVLDPEKLVNDVYKKTRKQASVVKDEEKKEEEKKEEEKKEESKEAEEAKEDEDDKAMEMKRSEYYGPKYYTEYSSAPELFSDENPNACSVM >KZN10488 pep chromosome:ASM162521v1:1:36216020:36217027:1 gene:DCAR_003144 transcript:KZN10488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGAAEDDSATEIHLPADIDWEMLDKSRFFFLGAALFSAVSGTLYPMVVLKTRQQVLLKDTSCFKLAAWVLKQEGFRGFYKGFGTSLMGTIPARALYMGALEVTKSSVGTATVNFGVSEGNAAAIANAAGGVSAAMAAQLVWTPIDVVSQRLMVQGGSNVNGSGGSAVRYSGGIDAFRKIVASDGLRGLYRGFGISTLTYAPSNAVWWASYSVAHRLIWGGVGSYCCKKDEGGFRPGSMAVVAVQGVSAAMASGVSALITMPLDTVKTRLQVLEGEGRPTIGQTVKKLVKEGGLGACYRGLGPRWASMSLSATTMITTYEFLKKMSTKNQEVFA >KZN08042 pep chromosome:ASM162521v1:1:1665162:1668294:-1 gene:DCAR_000711 transcript:KZN08042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPRFAKPKATNESSPNLYVANCGPAVGLDFDTIRSVFGSYGEVSGVYSADESGTRVIVSFVENSAAKAALEALDRRPCQELGGRVLHIKYSVFQPPVEKVQVNDSVTVCLETSDMKIPGLCLIHDFITIEEEKELLAAVDSRPWKSLAKRRVQHYGYEFCYETRNVNTNQYLGELPAFASTLLDKISSFEELSNSLEMGLDQLTVNEYPPGVGLSPHIDTHSAFEGSIFSLSLAGPCIMEFRKYTDGDWRLKSLDSEVENSDCSSSFLKRAIYLPPRSILLLSGEARYAWHHYIPHHKVDFVNESVIRRASRSGKDLVNVHILSIVILRNRNYLQLLRPDNDILERNDSESTVDWLS >KZN08210 pep chromosome:ASM162521v1:1:3946623:3951164:-1 gene:DCAR_001275 transcript:KZN08210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLRVLRALSSQFSRPHFNAPLIPPRFYSAQLEKETQVAFDDENGDGVFDSTQFEIPSLGNVEKVGQDGGWDERYRERAEEKLFGKKVEGRSVSRAVEREEEKRRRAAVLARALLESVMEKGEEVEEEVDCLSVKEEDQKSLSVGIIGAPNAGKSALTNFMVGTKVAAVSRKTHTTTHEVLGVMTKGNTQICFFDTPGLTLNRGGYPSKDIKVRVECAWSAIDLYDVLIVIFDVHRHLTRPDTRVVRLINRMGSDANPKQKRILCMNKVDLVEKKKDLLKVAEQFKELPGYEKYYMISGLKGSGVKDLTQFLMEQACVFPLAVRRPWDEDPLALSEEVMKNISLEVVRERLLDHIHQEIPYSIDHRLVDWKELRDGSIRIEQHFVTPKLSQRKILVGKNGSKIGRIGVEANEELRSIFKRNVHLFLQVRLK >KZN12027 pep chromosome:ASM162521v1:1:50389524:50395223:1 gene:DCAR_004683 transcript:KZN12027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEECQSRRQLNPWLLHLQKLALELKCPLCCCIKTSTKFGSECPSCQSKYADQEVRSAPFMESLVSIYRSLDATTVNTLFQAIPCGGEKKLNQSPVSVKISSVNGTKNKLNQVDADGNSSSGTFFPLLAKNCGRNVLNDSAEEEKMLNMIAVKSATPGGVQDKHMEVNGLDGSVFNADGRVANIQHPGTDGSVNPEVNKVEEIDMNQMGQLSPTCTDSLGDMNDNSCDPASSRDAKRQKKSSSEHGGGETGEMIHYANGKLVAGSVKPFSNGIYVHKICIDWTPQVYYEGDYIRNLESELARSSKLKCTACGLKGAGLGCFQKSCRRSYHAPCAIKISGCRWDFDDYLMTCPVHKTVKFPGERSKFRKSAIHKAESIAAPIAPKQANFWASSVTGLKDWVLCGSALSYEEKCYLAKFASTCGATISRSWNPNVTHVIASIDANGAYTRTLKVLMAILHGRWVVTMDWIKACKEMNKPVDEEEYEAVLDTHGCWYGPKSGRLQTLQNAPKLFSGMNFYFSGDFFPAYKDDLQNLVTAAGGSVVKTKEQLATLSCAGQGSSTTLVVYNVDPVQPYTMDKGNSVVLQRHRTAEELATEFGCQVLKHIWILESIAACTLHPFF >KZN08484 pep chromosome:ASM162521v1:1:7804699:7805244:1 gene:DCAR_001030 transcript:KZN08484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNMIYANHFAEGKTKRMIACIPQRMNGTVDYMAQYGIEKGLDFGEFTGTVGNMDYFLAIDMGMTMSLPNSEVLQNLVEGEVIDGPPPPKKRKFGELVSVERPLQCFKDKGKAKVMEHYSFNDKGLFTEKAVKAMTDGSLCRYSPVFNGEVVNLDAVVGNGIYARDILHHAIIGTMHVIIP >KZN08973 pep chromosome:ASM162521v1:1:18512198:18521183:1 gene:DCAR_001629 transcript:KZN08973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLSQLQSRISNVMEGGGAAAVERNKSRNKLLPRDRINHILDPGSSFLELSQLAGYELYKEPLQSGGVVTGIGAVHGKLCMFVANDPTVKGGTYYPITVKKHLRAQEIAARCRLPCLYLVDSGGAFLPMQAEVFPDRDNFGRIFYNQAIMSSEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEISAEDLGGAVVHCKTSGVADYFAQDELHALSIGRNIIKNLHMAANHRMENGRHNASDYKEPLYDIEELRSIAPTDLKQSFDIRSIIARTVDGSEFDEFKKLYGTTLVTGFAKIHGTPVGIIGNNGVLFNESALKGAHFIELCTQRNIPLVFLQNITGFMVGSKSEAGGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYAMCGRAYSPDFMFFWPNARISVMGGVQAAGVLTQVERSNKKKRGIQWTNEEEENFKAKVVEAYEKEGNAYYSTARLWDDGIIDPADTRRILGLCLSACNNRAPECTKFGVFRM >KZN08423 pep chromosome:ASM162521v1:1:6540607:6544144:-1 gene:DCAR_000969 transcript:KZN08423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHIGGTTGGILTGAMLLVIPGGLILLTSFFIVIVIFPGNFVQYKEVRYTDRNRNRMTNLWQLFTGKASTGKWFYGERLPSSIIQRFGIIFENTKGPPIYIVIDQNDPNQMPRWTESGQNGIGSMRALSSDDGIEETIASVSTRHLGCLRSSYVILDLTRRVALGILSGVHSSRGTNQNLYALIITLVQVLYLFTLKPHIRRAVHVVETISLLCEAGVFRLAIITSRTDAADKNTPQQDSFRAGLKLAIKGLLLPLLPRKCWSRFLPGSKADHELRDTRPHNVNPLGTMVVPVLSPGPPGLSLDPSQCQAHLKENFYSSTCPNVESIVNQAVSKKLRQPFPTIPATLRLFLHDCFFEAGGPSFNVELGRRDGLISKASRVPEKIPKPSFNLKQLNSLFSQLNLTQLDMIALSGGHTLGVSHCDQFSNRLHSFSSSAQTDPSLDPDYARQLTAACSNSDESTVIILDPETPQTFDNMYFKNLVAGKGLLSSDQVLFSDPASQPTVRDFANSPDEFNVAFVSGMRKLGRSGVKTGRQGELGKDCTAFNS >KZN08910 pep chromosome:ASM162521v1:1:17546321:17548852:-1 gene:DCAR_001566 transcript:KZN08910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDRADKGKQPMHPNQSLWMSHWSAVQEQNQISTLVHDNGMNQLHVQKGKEVTSYMSRSSEKRTEVQAIDFGILHGNQAMSSRKERDYRLDSLDSSTVNLSQNRHHTLNTEQVPPLLSLAPPGTETSYMESHIQQKTILQCPSDLVKSQMVLSSSLAFPESLAENLSGTASHVSSRLYDLGEGRLENRSNSKTPSFVCSVEENGNHVRSILPSKRKLSDTNIRTVAKHEKCSNHCAAGFVSDDLCMKNNHPPSFCEERYKRMHNCSGINLFPTYSHDANTMMIYTSAEDLGKCPPRFQEIRTFLVTENTDSYLRKENQRFRDPGLLALQENVPIKCYSFPQLFGPGKQGVQLQQLSSSDSEGKYNLHDVNATEVLSKNKSSAEADTIFGKKINKRHLSGVEHSSTKKDGKANTDRQCHDHATSTTGEEVQPRKRPIPEIPDMNLELPAEPDEASVVDNAEASTSRTRSLDIDYEPDTSSRWIKRLKISDSSSHSIGTKSLSLDEATSDKNQNQFVSNGLRGEKANSNPVPLSAGKELMVLTQKTNPPRTGSSCLNDNFDGTDGTKQLHSWIQRWQKNPATAHEKQPEPVVVCDPQSSKVSLEELQRKPFASIAAMALLGKGWSGLKCQYRNVGPLTLWDSKDM >KZN08685 pep chromosome:ASM162521v1:1:13465375:13471214:-1 gene:DCAR_001215 transcript:KZN08685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLGHGPSNSERVSSLPVLSSNSVVASHGPLLAQQESDKEIYGQQQMWHNQQNGQISMTVSEPATMQLPKKSAMQPSLPNRPLYVPGNCARRFIQYMHQLHRNANASIEFWRKVVAEFFAPTAKKRWCFSLCKNRPKQGATFAQREWHCQVCEQKPGCGYEVTAEMLPTLARVGHETGILQELLYVDMPSEHPRLSGEIAVHYNKATVELVYENLRIAHDGHLCVVFTPDQKITSWDFCIRNVEQYISGRSLLPQLHKLEFLSWNYNRAVRSTSSILQSSELKRHSEKLVEAASGLQKALNMPQVTDVGYTRRYLRCIEISEVVNCMGDVLDLSVATGLSPRMSLAVLGGLSSEDRAVDSNSSRKRPLLQITDRNKKAPNGGQSNA >KZN10131 pep chromosome:ASM162521v1:1:32880050:32883742:-1 gene:DCAR_002787 transcript:KZN10131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLTFITPSAQLLEKDCFLSTRISKNASFAFVKQNLGFQGSCRPRCSTSDGFISHSNNQISGIEQQDPEEEEDEEYVQILRVPEEWLSPSKALEEANWLKETLHKWLDDEYCPEATNVEISKVAADSYHKSLLEKETDLGEILLKLATDLGSISYQESFHGAFSSANAAINLIMQRIEQN >KZN10860 pep chromosome:ASM162521v1:1:39618656:39619132:1 gene:DCAR_003516 transcript:KZN10860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRSKIKRCIRAPIRALGRARDLYIRTMNNLAGQMHSSGGPLVYQSVPVARLPRSFTVNPASGRNDDGDFRELLRVASARSLGNKIEMDSIRRQQSLSGKTMLGECKVVKVPRSQSLAIGRIDEDHTCEFGDVDSFVKKTADVFARSTSAASTNKY >KZN10126 pep chromosome:ASM162521v1:1:32819177:32831338:-1 gene:DCAR_002782 transcript:KZN10126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVSGRELIGQVNPKDIDLISAVRSLHSCQDLSKVIRDSDNGTSELNFENGSSIQIDVEVVARIPAHILARLVQSELDEELLKYVLAGIRLLHSLYDAALRHHKLEQILLDDIPLLSQLIEMIFHVLILLNCYTEEHPNLTPITLLRSTIAASSLFLFEKVVSPYPAELANVLVSHPKVHRFVDAAFAVVRVDIEVLRVEMQSNSADSLSPTAEESIKHLCVQCEASLKFLHSLCQQNNFRDCLLKHKELCDEAGILCLVRSVLDLDVTVFVGANFVVGAISRMQSKVLAMLLYLCESESISYLDVVARTPHSLSLAKSVVLKVLGLLRKLLNGRYKMLSSCMDRDTPRGLLQLNALRLVDIFSDDSNFQSYVMEHMTGVLMTLFSLKHREFLSTWCTSDLQVWEEDATLDYDPFLASGWVLNLLSLSNPSNITSSEYNLIPNNMPRASYAHQRTSLLVKIIANLHCFIPHICNVEKNFFLDKFFQCLQRKFVDLSNKSSSDLAAEKIAAISRNFRSFLSHAESLIPSFLVEEDMHLLRTFVSQLEPLMSMLELEVSDIQEAHSIDGSSPPCIIEVFSDQINRTSHLKEVAEKTLALQQSDQSNVGMDIDQANDELREYKRKGKDKSDKVEACGLREIEAQNVESSGSDSSSTRVKKSFDQSNDVQEDQKIADIKFSEKQQRKRKRNIMNDVQAGMIENALIDIPDLHRNAPALQSWADRLSDHGSEVTSSQLKNWLNNRKAKLARVAKDACGLSEADSAHNDNQGGSEIKVLSDSSGNPVEDLYVPSAPRGGQQDESGGVIPKSGCNENHRNMGAELVDNTLVEGQYVLVVDGKGTEIGKGKVYQVHGIWNGKVLEELGTCVVDIIELKGDKLRKLPHPYEDTGTSFYNAEKQHGVIRVLWNSEKLLVLQR >KZN10380 pep chromosome:ASM162521v1:1:35109046:35112945:1 gene:DCAR_003036 transcript:KZN10380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSHDQFSDMTKISPQAIQIVADANLDDYFDASGSSFDYASSAYATQQVLASRQTQDGDLKSAHRRYMQKGEMIQPFGCMLALDPRTLKVIAFSENAPEMLTMPSDDVSSLGGNIALHFGADLRTFFDAPGIVILHRAFSSKDPVHFNPILVRLKTSGRSFYAVVHAVAHAFIIDFEPVNTMEDPVSVAGPVNSYMLAQKGIKRLQCLPRGNMQKLCSAMVEEIFEITGYDRVMAYKFHEDEHGEVVAEITKPGLEPYLGLHYPATDIPQVARFLYMKNMVRMIYDCRAKLVKVVKDENLQLDVVLISSTLRGPHSCHQLYMQNMNSLASLVMAVVVNELGKEEFDTSSLPGWKGKKLWGLVVCHNTTPKYVSIFLRNACHLLAKVFAVHISEQTGLESLILENSIMHTQTLLCDKLLHETPLGIVSRCPNMMDLIKCDGAVLYYENKFYKMGVTPSDFKMYDILTWISVLHPDSAGFITDSLCGSVFPGHLSVSDGICGMAAVRISSKCVLFWFRNHTDAVLNWGGAKNEPGEIDDGSKMHPRSSFKAFKEVVKARSAPWKDIEISAIHSLQLALWNSLNHGENKVPSATAIQIKLQSLNVQGMQNQTLIARMLQLMEKAMVPILSVDPEGLVNGWNTKLGELTGLDTSAAIGENLLRLIEESSVDTVKRVLGLALQGKEAKNFRFIIKTYGHRTRYGPAILRVNVFAIRDLLGHVVHLCFVTNNITSENIIVDKFIRRETGYKAIMQNIRSLNPPIFGPDEFCNCVEWNQAMTELSGWGREEVVGKMLLGEVFGTRAPLCRLKNEEALVNLGIALKTARYCQESESISFGFFARSGRYIECLLRTNRRLNAEGEATGTFCFLQLASPKLMQVYQFQRMTDHTTDKTKETIAYFKQQVNSSVSGILYTGKMLEGIDLNEEHKKSLHKCQLQLSEILDHTDIDSMIDGYVKLQVSEFKLHEVFVASMSQVMRRIMEKNIRMVNDYADDIMSVKLYGDALRLQQVLADFMSTCVGLAPYGGQLGVSARLINNTNSEDSVQPAVNFELRITHDGCEIPEDLMKQMLGSGAKSEDGMSLFVSRKIWKVMNGDVGYSREDGRSVWIISAKFAGAVQSDI >KZN10795 pep chromosome:ASM162521v1:1:39080913:39081851:1 gene:DCAR_003451 transcript:KZN10795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKGFVEGGIASIIAGCSTHPLDLIKVRMQLQGESSGSVQPLRPALAFQTSSTRINLPPPRVGPIAVGVKIIQQDGVKALFSGVSATMLRQTLYSTTRMGLYDVMKQKWTDPETGSMPLMTKIGAGLIAGGIGAAVGNPADVAMVRMQADGRLPASQRRNYKSVIDAITQMRKSEGITSLWRGSSLTVNRAMLVTASQLASYDQIKESILDNNLMKDGLGTHVTASFAAGFVASVVTNPVDVIKTRVMNMKVEPGNAPPYSGAIDCAMKTVRSEGVMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >KZN08593 pep chromosome:ASM162521v1:1:11313309:11313596:1 gene:DCAR_001123 transcript:KZN08593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGNWAAEKTSCTEYISERRFLTCVNGRCSFFMWFELEFDARSKSVINGLLRRLKNKDDEHFAEMIKGNDIYREFYKDDSLRRRRKSRSGNILL >KZN10485 pep chromosome:ASM162521v1:1:36175792:36186972:-1 gene:DCAR_003141 transcript:KZN10485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKYQQFRGQARLPKFAVPKSYDIQLKPDLAACKFSGTVQIAVDVVADTKFLVLNAAELDVDSSSVKFQLQGASKVLEAKEVELFEDDEIMVVEFGESLPVGVGVLCIAFNGTLNDKMKGFYRSKYEHNGEKKNMAVTQFEPADARKCFPCWDEPACKATFKITLEVPSELVALSNMPVVEEKVNGNLKIVKYQESPIMSTYLVAVVVGLFDYVEDHTPDGVKVRVYCQVGKAYQGEFALHVAVKTLGLYKEYFAVPYSLPKLDMIAIPDFAAGAMENYGLVTYRETALLFDEKHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWQIWNQFLDESTEGLRLDGLAESHPIEVEVNHAGEIDEIFDAISYRKGASVIRMLQSYLGAETFQRALASYIKKYACSNAKTEDLWSVLEEESAEPVNMLMTSWTKQQGYPVVSANVKGQKLEFEQSRFLSSGSHGDGQWIVPVTLCCGSYGARQSILFKEKTKSIDINELLGSTSQGSLVQTGTGGDNKSCESASAWIKLNVDQSGFYRVKYDEELSARLRHAIEKKCLSVADRYGIVDDSFALCMACKQSLTSLLTLMGAFREELDYTVLSNLITISYKVARIAADGAPELINEIKQFLINLFQNSAERIGWDTKQGESHLDAMLRGELLTALAAFGHDETIGEATKRFHAFLNDRETPLLPPDIRRAAYTAVMQSVTKSNRSNFESLLKIYRETDLSQEKTRILGSLGACRDPEIILEVLNFLLSSEVRSQDIVFGLSVSREGREIAWSWMKFSSFEKAKEVEEFFASRTKPSMARTLKQSLERVHINAQWVESIQKEKHLADAVKELAYRKY >KZN11718 pep chromosome:ASM162521v1:1:47386497:47399896:1 gene:DCAR_004374 transcript:KZN11718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSLPTLFLVVLCATALVPQGESQYEEEKLFFRRPWPFFKFPFQHYRLPHYPMLPHYPMLPHYPVPMKKPAISGECIKAKLALSDCVKEKLVSTWYSKPLVKDCCDPIFNHKKSCPGFIVMIDEHLIPQYIKYACHAHVHPVHPVQPIHNKHVTTPPSASPPAHPPSPAHHPTPSAPAHPPSPSKGPPAGHPAQPPSPSKDPPAGHPAHPPSPSKGPPAGHPAHPPSPSKGLPAGHPAHPPSPSKGXLPPTRHPLPMDLPLVLPLTLHPLPMDLPLVLRLILHLLAMALLLVLPLTIRPPASPPAHPPSPSNGPPASPPAHPPSPSKGPPASPPAHPPSPSKGPPAHTPSPSNGSSPSPPAHPPSPSNGSSTSPPTHPPSPCNGSSTSPPTHHSSPSNGSSPSPPSHHPTPSNSLPVHPPSPSKGPPASPPAHPPSPSNGPPAHPPSPSNGSSPSPPAHPPSPSNGSSTSPPAHPSSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPTSPSNGSSPSPPSHHPTPSNSLPVHPPSPSKGPPASPPAHPPSPSNGPPAHPPSPSNGSSPSPPAHPPSPSNGSSTSPPAHPSSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPTSPSNGSSPSPPSHHPTPSNSLPVHPPSPSKGPPASPPAHPPSPSNGPPAHPPSPSNGSSPSPPAHPPSPSNGSSTSPPAHPSSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPTSPSNGSSPSPPSHHPTPSNSLPVHPPSPSKGPPASPPAHPPSPSNGPPAHPPSPSNGSSPSPPAHPPSPSNGSSTSPPAHPSSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPTSPSNGSSPSPPSHHPTPSNSLPVHPPSPSKGPPASPPAHPPSPSNGPPAHPPSPSNGSSPSPPAHPPSPSNGSSTSPPAHPSSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPTSPSNGSSPSPPSHHPTPSNSLPVHPPSPSKGPPASPPAHPPSPSNGPPAHPPSPSNGSSPSPPAHPPSPSNGSSTSPPAHPSSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPTSPSNGSSPSPPSHHPTPSNSLPVHPPSPSKGPPASPPAHPPSPSNGPPAHPPSPSNGSSPSPPAHPPSPSNGSSTSPPAHPSSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHHTSPSNGSSPSPPSHHPTPSNSLPVHPPSPSKGPPASPPAHPPSPSNGPPASPPAHPPSPSKGPPASPPAHPPSPSKGPPAHTPSPSNGSSPSPPAHPPSPSNGSSTSPPTHPPSPCNGSSTSPPTHHSSPSNGSSPSPPSHHPTPSNSLPVHPPSPSKGPPASPPAHPPSPSNGPPASPPAHPPSPSKGPPASPPAHPPSPSKGPPAHTPSPSNGSSPSPPAHPPSPSNGSSTSPPTHPPSPCNGSSTSPPTHHSSPSNGSSPSPPSHHPTPSNSLPVHPPSPSKGPPASPPAHPPSPSNGPPAHPPSPSNGSSPSPPAHPPSPSNGSSTSPPAHPSSPCNGSSTSPSAHPPSPSNGSSTSPPAHPPSPSNGSTNILPIHPPSPSKGPPAHPPTPSHSLPVHPPSPSKGPPSSPSKGPPASPPAHPPSPSNGSSTSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTSPPAHPPSPSNGSSNSPPAHPPSPCNGSSTSPPAHPPSPSNGSSTTPAHPPSPSNGSSTSPPHAHPPSPSNGSSTSPPAHPPAPSNGSSTSPPAHHPSPCNGSSTSPPAHHSSPSNGPAAGPSPSAGHHAGPPSPSTSHPAGPPSPSAGHHAGPPSSPPASDSTIPK >KZN09440 pep chromosome:ASM162521v1:1:25253417:25253782:1 gene:DCAR_002096 transcript:KZN09440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIRACFVLLTIFFLSVQCNDHVPLNSHNSGMGVSRTRTVESPSCRSFWRIIDPGCLEDECTAQCKDAKPEQKLDHISCVANHFCDCYYAC >KZN10191 pep chromosome:ASM162521v1:1:33426111:33428486:-1 gene:DCAR_002847 transcript:KZN10191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAKSRRKVAPASAENGDSGDKLDQILLSAAVANGEDLGPFIRKAFASGKPETLVHHLRHFSRSKESEIEDVCKAHYQDFILAVDDLRSLLSDVDSLKSSLSNSNSQLQSVAGPLLTSLDSFIEAKNKCQNINLAINSSKICVELMELCSRANVHIKEQNFYMALKCLEMIESEYFSETPSSTMRRMLETKIPAIRSHIERLINKEFGDWLVDIRLVSRNLGQMAIGQASASRQREEEMRMKQRQAEEQSRLSSRDCIYALEEEDDEGFAEIGEEFDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRVLRTGGQLISKMEVESLWDTAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRGYGYPVAQLLDVLSKHRDKYHELLLSDCRKQISEVLQADRFENMYMRKEYEYSMNVLSFQIQTSDIMPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLDFYDVVKKYLDRLLSDVLDGALLKLISTSIHGVNQAMIVAANMSVLERACDFFFRHAAQLSGIPLRMAERSRRKFPLNKARDAAEEMLSGLLKKKVDGFMTLIENVNWLADEPPQLENEYVNEVSIFLETLLSTAQQILPSQVLRRVLQDVLSHISELIIGALYGETVKRFTLNAIMGLDIDIKSLETFAENQASLLPEADTNQLKNALAGARQMVNLLLSNHPENFLNPVIRERSYNALDYRKVVTISEKLREPSERLFGTFGSRNYKQNPKKKSLDSLIKRLKDVN >KZN11278 pep chromosome:ASM162521v1:1:43343865:43344241:1 gene:DCAR_003934 transcript:KZN11278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVIACLLDCSLAQQVHKDDLVTDLLVLPPGTDLHDHHFVKNGIILQGKASSMVAVALDLRPGSLRKQNCSSGYLNERGGEDNFL >KZN11094 pep chromosome:ASM162521v1:1:41667704:41667976:1 gene:DCAR_003750 transcript:KZN11094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFPGCFFALKVYNGMQAGAAAVLVADDIDEPLISTPESPKKVLYIEKIGIPSALIDRAFGETLKAAVQKGEEDVVLKLYWTDLMANSD >KZN08498 pep chromosome:ASM162521v1:1:8960693:8965327:-1 gene:DCAR_032178 transcript:KZN08498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSAPTTTPIANSPTGGSPPSPDDWSCKGEKKKKFTIPKIFSSKRLSRENSDEESNQPNVSPLDSDQDIFPSRKPAFAGTYRSMKNHTPRRQNSVGIEGLNLSNFDQPMTPQTIVQDFRVFVATWNVGGKTPDIDLRLEEFLQVEGSSDIYVLGFQEIVPLSAGNVLVVEDNEPAAKWLALISHALNKPYHDSVSFDSGSTSKSNSIKDPSRSYFFHKPSLKVLSKNLRADNTLLKACNCPLESPAPQKRRPRNLSDPSTSPGPSRQSSASDLDSVVGLTASFSQLNYCLVASKKMVGLFLSVWVRRDLVKHIGHLRVDSVSRGIMGYLGNKGCISISLSLHRTSFCFICSHLASGEKEGDELKRNNDVFEILKSVQFPRICKNTYRQMPEKIIDHDRLIWLGDLNYRVSLSYEEAATLLEDNDWDSLLQKDQLNLEREAGRVFEGWHEGKILFAPTYKYTHNSDSYAGETCKSKKKRRTPAWCDRILWRGSGIEQLSYIRGESRFSDHRPVCAVFSVEVEMKNNKAGKFRKDEDYKQVSAFSTARERASGQLEAQVSWSLVRGRLVYGVVSGWRFGVV >KZN08675 pep chromosome:ASM162521v1:1:13337531:13349452:1 gene:DCAR_001205 transcript:KZN08675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRNLFSISCSYGDVVDLFVPSSAQVFDGKIIYCVAPAMGHNKDSHPECHLRVPSIVGALDNMKLTPKFRGSEIFELQNFRPATVDDIASVHAKAYVVGLEKAMDQASEQGLIFIEGSGPTYATPTTFQDSLVAAGAGISLVDSVVAASKIGKDPPTGFALIRPPGHHAVPKGPLGFCVFGNIAVAARYAQRFHGLKRVFIIDFDVHHGNGTNDAFYDDPDVFFLSTHQDGSYPGTGKIDQIGNLNGEGATLNLPLPGGSGDIAMRTVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLASLQFTTATYYTLASNIKQLAKDLCGGRCIFFLEGGYNLDSLSNSVADTFRAFLDEPSLASEVDNPDILYEEPSSKVKQAIQRVKHIHSLYVFSSNAGGSNVSMGVDAMTEWGRSERFLAGSKKFITPGVLKKDQAVCSGGGRGQSYSTTCLPPPSNSYNRGCSKIYRCRS >KZN09866 pep chromosome:ASM162521v1:1:30128759:30134500:1 gene:DCAR_002522 transcript:KZN09866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLASLAPSSAEKIRDMELPIINFSDKRSDVSKMIVKASEEFGFFKVINHGVSEDIIRNLEVECFEFFGKTAPEKQKAGPANPYGYGSKNIGFNGDSGEVEYLIMDTKNPLSIASAEPTRFSCAVRVYVEAVRRVGCEVLEMMAEGLGVPDTSVFSSLITHVDNDSLLRLNHYPPLLPPHHFHTNNLTHYDTSSAPSFPQQLNAGKNNRIGFGEHTDPQILTILRSNGVAGLQISLGDGVWVPISPDPTALCVNVGDVLQAMTNGRFVSVIHRAMANSDKARMSMAYFCAPPFHAKIACPKELVTLERPNLYKPFTWAEYKKVVYSLRLGDSRLKLFKLQSDDETRD >KZN07902 pep chromosome:ASM162521v1:1:549947:556265:-1 gene:DCAR_000571 transcript:KZN07902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISNFRYLVAENYLLGSVYSLPTLELISICFNLILCVVFLLSRQISVYINRIRINKEDTDEISGPTRRNVDAEIQSVEIGFDCVKLIRGSSGGRGSDWILLLFPAVQGLAWFGQSFWVLYCKIKPTEKLPLLLRVWWAVSFAFCSCTIYADGKALVTEGAKHWNSHVLSNFATTPAISFLSIVAARGVSGIKISRASDLQEPLLEEEEDAGCLKVTPYKDAGIFSLITISWLNPILSLGAKRPLELRDIPLLAPKDRSKTNYKILNSNWEKLKATNPSNQPSLAWAILKSFWKEAACNGIFAGVATIVSYVGPYMISDFVDYLGGIETYPHEGYILAGTFFIAKLLETLITRQWYIGVDILGMHVKSALTAMVYRKGLRISSLAKQSHSSGEIVNYMAVDVQRVGDYCWYLHDIWMLPLQIILALCILYKNVGLASIATLISTIISIVATIPLARIQEDYQDKLMASKDERMRKTSECLRNMRILKLQAWEDRYRLMLEEMRNVEFKWLRKALYSQAFITFIFWSSPIFVSAVTFATSILLGGELTAGRVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRLSGFLLEEELQEDATVSLPRGFTDVAVEIIDGEFCWDPSSSSSSRPTLSDIHLKVEKGMRVAVCGMVGSGKSSFLSCILGEIPKTSGEVRICGSSAYVSQSAWIQSGNIEENILFGSPMDKAKYKSVINACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRMQLARALYQDADIYLLDDPFSAVDAHTGSELFKVIKEGRITQAGKYDELLQAGTDFDALVSAHHEAIEALDIPVQSSADSSEFHSLDEEIQLSDKCESIIGNAQISAKEVQEIGTSSDQKLIKEKKKAKRSRKKQLVQEEERERGRISMRVYLSYMTAAYKGLLIPLIILAQTLFQVLQIASNWWMAWANPQTAGGQPKTSNIVLIVVYMALAFGSSCFIFARAVLVATFGLAAGQKLFLKMLTNVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTQVTWQVVLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIIHLFSESISGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCHIPSEAPQVIEDSQPPPSWPEEGTIELNDLKALDKSQLGEIVRLKEQKLESPGMYAE >KZN08404 pep chromosome:ASM162521v1:1:6290018:6291417:1 gene:DCAR_000950 transcript:KZN08404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGNSDLLRHFCWTSQKSLENQADVSLTLAKHILLNRGKDSNVVFSPISIQVILGMIAGGASGQTLDQLLWFLKASSIEEVHYIYSHIVHLVFANGSLPDGPNLSIANFVWLEQTLSLRPSFKQVLDTYKASCQRVDFKNKAEEVRNLVNSWVETVTNNLVKQILPPNSVGRDTKLILANALYYKGTWSSEFDASRTMHFDFHLLNGQLVQVPFMTRTKKRELSAFDGFKVLKLPYKQGGTQSSGEPSFSMYIYLPNAKDGLPALVERAGSESGFLDRYIPYQEINAGMFWIPKFKFEYEIELSEALKSLGLASPFDPNVGLMQIVDNFRPLFVSKIYHKSFIEVDESGTEAAAATVAVMLLGGFAPSRQEFRIDFVADHPFLYVIREDKTGIVQFIGQVLNPSAT >KZN11250 pep chromosome:ASM162521v1:1:43023827:43027079:1 gene:DCAR_003906 transcript:KZN11250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFQGIGAATAISSSTSFDSKKLIFSAPRFHLAEKKGSFLVVRSDGKMSNGISGRAEKLVTNAVAAKADAPAASTTSKSGHELLLFEALREGLEEEMDRDPRVCVMGEDVGHYGGSYKVTKGLAPKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKEKIPDEEYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFQDYLDAPIVCLSSQDVPTPYAGTLEEYTIVQPAQIVTAVEQLCQ >KZN12131 pep chromosome:ASM162521v1:1:51290235:51292610:1 gene:DCAR_004787 transcript:KZN12131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLLQANWDHLKLDNFTSLQDSPVRRRRKIDGSNRTHQESSNTQSVFNGSATPPQPTLNSRQKLSNITNLGGVLNGSPTSVVGNSVGFHTPPLSTFNFRQPLSNITNLGGVFNGSPTHGFCTPPLPTLNSRQPLCNITNLGVQATTRSTTKSSNTPQATPNDSTDNRKGKRVSKATTRSTSASTNTHQATPNDSTDNRKGKRVSKATTHSTTASTNTPQATPNVSTVPCSAIFKNLFAATNSPRTSGSGRCPAPPSAANKSAGGLNVTPGSFAADTDGFDTPPLPMYNSRQPLSNITNLSVPCSDIFKNLFAQTNSPHSCTTQNEKNRSLDTTQVPCSRLFHPTVDDTNYEDIENSHPTDIPSEDEEPLPDDCKTFPQFFSLLF >KZN08449 pep chromosome:ASM162521v1:1:6949990:6951748:1 gene:DCAR_000995 transcript:KZN08449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWILVTPALLILAYLFQAWSKKKFERRLPPGPKSIPVLGHLHLIGKNPHQDMQKLAEEHGPVMYLRFGFVRNIIVSSPQAAKQFLKNYDLNFVNRPPHEAAKYISYDQRNLSFGSYGPYWRNMRKLCTLELLSSTKINSFKSMRKEEIRFLVDRIENAAREHDTVDLSDLVGSLSADVSCRMIFGKKYEDNEIDERGFKVVIQEGMQLAALPNLGNFFPYLSLLDLQGLTKRMKAVAKVYDKFLQKILDEHDVLKEPGQTKDFVDTMLDIMKSGVAEFEFDRTHIKAVLLLN >KZN11878 pep chromosome:ASM162521v1:1:49000066:49008743:-1 gene:DCAR_004534 transcript:KZN11878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNNPFQEVANSKPLFLTIYGTVIIGIIVSSFYVFSAIYSPNSTTIWQSSPPANSSPLLDHNINASYRTTGGKMLEPKPQPVKNMSRPLMEVPPSGSKMPPLEYFKLTKELVEKRVKDNVIVVTFGNYAFMDFILTWVKHLTDLHVDNILVGAMDTKLLEALYWKGVPVFDMGSHMSTVDVGWGSKAFHKMGREKVILIDAVLPYGYELLMCDTDMVWLKNPLPYLARYPEADVLTSSDQVVPTVVDDRLDIWQQVGAAYNIGIFHWRPTDSAKKLAKEWKDMLLADDKIWDQNGFNDIVRRQLGPSVDEESGLVYAYDGNLKLGILPASIFCSGHTYFVQAMYQQFRLEPYAVHTTFQYAGTEGKRHRIREAMAFYDPPEYYDPPGGFLTFKPSIPKSLLLDGEHTVESHFTLINYQMKQIRTALAVASLLNRTLVMPPLWCRLDRLWFPHPGVLVGSVTRQPFICPLDHVFEVNVMLRDLPEIEFGPQIKFREYSLFENSLMPAQVKESWLDVQLCQDGTEGCGVTNSTGPLGVLKFPKRSSEEKFEAVFSLYKDMKVIQFSSMQDAFLGFSDKTTEEKFRARVKRYTGIWCCVTNHTPGHIYYDMYWDEKPGWKPIPPQTPEDDHPPT >KZN08186 pep chromosome:ASM162521v1:1:3714441:3715382:-1 gene:DCAR_001251 transcript:KZN08186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKLMHDALCGDDSEPSTFDLGLRLTWALGKLTHPLAGEPRNKMLELVQRPRLEAAAIPYAIVTAAMDIRSSRSNEKPLVRNSIIAIEAEKASVLNIKKSFMMPVMPSGKLVTLSKVTATIRAATTSNVLRASPIESLSG >KZN09757 pep chromosome:ASM162521v1:1:29082243:29085474:-1 gene:DCAR_002413 transcript:KZN09757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDEMIELDVPVNFVSILESNSDVPGSSLSSILYQFRVWILIRRDHLFREDISYRQITVISTPAPESSNFTGSKKMSTQEIVKIKVCALRVSIHCDGCQKKVKKVLQKIEGVYKVSIDSEQGKVTVSGDFDPEILIKKLKKHGKRAELWDAPKPNTNGEQTQQPIVKDMQLDNGKEGKNGSGSGPNGETIQQQQPQRGGQQQIPNQQRMLQAPTQQPMERPAQQQIQRTPNQPPQQLPKLLNPNQQQVATQQPQQQALSQQQIQQLRQLQGVQQEGSNQQQRQPLQQLPQMIGLQDVKVPGPNPNQQAARLDGPKGDESSDDDSEEYDEEEESEEEDVLPPLPQLNKMKPVMGNAQGSGQMPPSLRMNPGMNLGQQLPPQMMRAGPSGNGAPNGGNGASIGANGGGNIIPVAVNAGSSNNGLGGRTGGGGSLGGSNQIPGASGVGNIPLQMNAGSAGDGSGGKKGDGTGAGAEAGANQNSGTGGGPANVSGGGPNKNGGDGGPQKNASGGGAGGGNAGHEQRNEVHVMPSMMGMRGGAGGLAGQMHNLRPTGQMGPMGMGPPTAIQGVPATVPGGNYFPGSGAEATQLNPYHQQQHHQMAGMMMNQPRDYNERFQPMVHAQAPPDVNYMPPYPYHQIPQQLGPYNNYFSEENPASCSIM >KZN10854 pep chromosome:ASM162521v1:1:39579697:39581554:-1 gene:DCAR_003510 transcript:KZN10854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGDLHDWEVLHASDSESIGDDSESKCFDCIDGDSGGAIRPDYFSLDSPIAFKNTGGVSEDGVSVNSNNPSWIDPGSDTRSPRKEFTEFWPDSASDRSDDHKFAEFEVNNDLGFAQNEKIEANSDKYWSDSTGFEAKNEEFVDDGMEVKGVGDEVVQVKEGGDVIEVAKASEGEKRVMAWWKLPLELLKYCMFRMNPAWTVPMAAAVMGFMILGRRYYRMKRKSKSVHMKVTVDDQKVSQFMNRVAQLNEAFSVVKRVPIIRPQLPAGGVMPWPVMSR >KZN08547 pep chromosome:ASM162521v1:1:10163758:10167997:1 gene:DCAR_001077 transcript:KZN08547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKEFGMRKVSSICSISEMDDFDLTKLLDRPRVNIKREKSFDERSLSELSIGFGLNRGLDNFDTAYSPGGRSGLDTPASSARLSFEPHPMVAEAWEALRRSMVFFRSQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLIKTVQLQSWEKRIDRFKLGEGAMPASFKVLHNPDRKTDSVVADFGESAIGRVAPVDSGFWWIILLRAYTKCTGDLTLAETPECQKGMKLILDLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFYMSLKCSLAMLKPDTEGKEFMEKIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPEWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSAAIMDLIEERWEELVGEMPIKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLVTAACIKTGRPQIARKMIELAESRLLKDSWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDRQMKPIKRSASWTC >KZN11970 pep chromosome:ASM162521v1:1:49779114:49781921:-1 gene:DCAR_004626 transcript:KZN11970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRNTASGKKVKDEILKEIPNAKIDVMELDLSSLASVKKFASDYNSSALPLNLLINNAGVMATPFMLSKDNIELQFATNHLGHFMLTNLLLDTMKSTARESHKEGRIVNVSSEAHRFAYSEGIRFDKINDQSSYTQFYAYGQSKLANILHANELARRLKEEGVEITANSLHPGTIATNLTRYHGFVDGMVSLFGKFVLKTIPQGAATTCYVALHPKVKGVSGEYFCDSNIASRSTLATDAELAKKLWDFSLNLTQPK >KZN11285 pep chromosome:ASM162521v1:1:43411942:43414677:-1 gene:DCAR_003941 transcript:KZN11285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTVYKDSIIRCQSNTMDVTVMVLLFFALLSPLINSSAVDTIRENQTIRDGETITSSGGEFELGFFSPGRSRYRYLGIWYKKISSGTVVWVANRNAPIMNNLSMVRVDDKGITLQTVNGIIWSSNTSVSMKNPVAQILDSGNLVLRDDDHGIDNFIWQSFDYPGDIMLPGMKFGLDLVTGLDRYFTSWKSIDDPSTGNFTNRIDPNGFPQSFVLKGSVKWHRFGPWNGRQFSGTPNGNLNGIYTEAFVINEKEIYYKFDLIEASSAVIRFILTSSGWTELLVWNYENQSWKQFAAVRVSGCDRYRLCGAYGVCNINGSPRCECLREFVPKFPEKWTSNWSGGCVREKKIDCRSDATFMKYSGVKLPDTRHSWYDMKTNLAECRRLCLQNCSCTAYANADIRRGGSGCILWFDHLIDMMGYTEDGQDIYVKMPASELVEGQRSKAKRPNFIFLIPLAWKSYNDDKLLGVIDEVMLDSCNLIKVFRVIHIGLLCVQEDPVERPIMSEVVLMLSSNMKLPHPKKPGFFMERRLQDTDHLLSNHDFSTCNQFTITSIVPRQ >KZN12047 pep chromosome:ASM162521v1:1:50591976:50596875:-1 gene:DCAR_004703 transcript:KZN12047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGMGRQSGDRKPDGDKKDKKFEPAAPPSRVGRKQRKQKGSEAAARIPTVTPLTKCKLRLLKLDRIKDYLLMEEEFVANQERLKPQEEKTEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDDVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDIKTKRRIFQIHTSRMTLSDDVNLEEFVMTKDELSGADIKAICTEAGLLALRERRMKVTHPDFKKAKDKVMFKKKEGVPEGLYM >KZN08542 pep chromosome:ASM162521v1:1:10113528:10114042:1 gene:DCAR_001072 transcript:KZN08542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDLAGLASWPGLKLTEPQIKCYIKQLLCGLHYCHSQGILHGDIKGSNLLLDHNGQLKIADFGLANYYDPEQFRPLTNRVVTLWCRI >KZN08184 pep chromosome:ASM162521v1:1:3652875:3671780:-1 gene:DCAR_001249 transcript:KZN08184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSPNGHRFRRISRQSFAGSLNLDPLLDENLEQWPHLSELVQCYRTDWVKDDNKYGHYESIGPISFQNQIFEGPDTDIETEMHLANARQNKIDDTDDDIPSTSGRQFTDAASKSSSLNVLKHLGESPLPTYEPVFDWETERSTIFGQRIPETQLAQYASGLKISVKVHSLSFQAGLVEPFYGTICLYNKERREKLSEDFIFSALPTEMQEASSSYEPRGIFYLDTPSASVCLLIQLEKPATEEGGVTPSVYSRKEPVHMTEREKQKLQVWSRIMPYRESFAWAIIPLFDSNINSSPGGPASPSSSVAPNVSVSSSQDASDPVAKLDGKLGYSSGNSVVVEVSNLNKVKEGYTEDSLQDPKRKIHKPVKGVLRLEIEKLQAGPVDFENASEGGSIDHEDQITDSRFAKCPSNGSDGPQNGHSKVNYYEGKELPRNGSIALGNTDLNTDDFQAFDFRRTTRNEPFLQPFHCLYVYPITVSLSRKRNLFIRVELRKDDGDARKQQPLEAMHSREPGASLQKCAHTQVAVGARIASYHDEIKVSLPAIWTPSHHLLFTFLHVDLQTKLEAPKPVVIGYASLPLSTHAQLRSEISLPIMRELIPHYLQDGGKERIDYLEDGKNVFKLRLRLCSSLYPISERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLQPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDEAERNIFLVNYVDYSFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLSMAWFFLELIVKSMALEQTRLFYHNLPLGEDIPPMQLKESVFRCILQLYDCLLTEVHERCKRGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYIDKFSGVCQLVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLTWDHDDLSLRAKASPAARILVVLLCKHEFDARYQKPEDKLYIAQLYFPLVGQILDEMPVFYNLSSVEKREVLIVILQIIRNLDDASLVKAWQQSIARTRLFFKLLEESLVLFEHRKPADSMLMGASSRSPVADGPVSPKYSDRLSPAINHYLSEASRQEVRPQGTPENGYMWQRANSQLSSPSQPYSLREALAQAQSSRIGASTQALRESLHPILRQKLELWEENLSAAVSLQVLEITEKFSSTASSHSIATDYGKLDCITSIFTSFFSRYQPLAFWKAMFPVFTSVFQLHGATLMARENDRFLKQIAFHLLRLAVFRNHNIRKRAVVGLQILVRSSFSYFTQTARLRVMLTITLSELMSDVQVTQMKSDGTLEESGEARRLRNSLEEMADESKSGNLITECGLPENALGTIPDALAEKKWSWSEVKILANSIILALDASLEHALLASVMNTDRYAAAESFFKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVGVAGVVMQAYLLNRVPAYLDVALVSRNDGVWGNDHITALRKICPMVSNEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCANILELVIPVYKSRRAYGQLAKCHTMLTNIYESILEQESSPIPFTDATYYRVGFYGEKFGKLDKKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKSEELQPGVCYLQITAVDPVMEDEDLGSRRERIISLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLVVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSDGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >KZN07836 pep chromosome:ASM162521v1:1:30205:39120:1 gene:DCAR_000505 transcript:KZN07836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEENVNAIKEEAAADIAPFDPSKKKKKKKVVIQDPADEPVDKLVETTESVTEGLDTAFAGLKKKKKKQVQTDLLDDEKENEADDLADDIGEDEEGEGIILQQQLPWEGTERDYEYEELLGRVFNILREHNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCRTMHRQPEHVMTFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICNGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFQARVGRRKAGT >KZN08343 pep chromosome:ASM162521v1:1:5463320:5465420:-1 gene:DCAR_000889 transcript:KZN08343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDTGKGYTSVADSSLTETKHDKRNLDFSESPKYKVDRHAYSFKKQEQGYSCEQVGTSGAKKHRTIGTRYFIIKSLNHENIQLSIEKGIWATQLMNEPILEEAFHNSGKVILIFSVNMSGSFQGYAQMMSPVGWRRDKVWSAGSGGNNQWGRSFKVKWLQLHDLPFQKTLHLKNPLNQFKPVKISRDCQELPQDIGEALCELLDRKIDNNDKQIRDYPSFERPHVEPSWSVRDEEFNFPHMPRTGGLYPSLFYQHQLESSRTHFAHQRSAQTYLCDNSPVASGSPRMACSELSHVKGGEMNVDSDHGCSSQLDIWRFSAEGSSVGSALTDDDILEMVLLRHLNSLLLIILSSCKTKGCYYGL >KZN08354 pep chromosome:ASM162521v1:1:5533329:5536458:-1 gene:DCAR_000900 transcript:KZN08354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSLFLSFLFLATLVLNAQGQERKVHIVYMGDLPQEDVSVAHSHHAMLQSAHGSASVAKKTLVFSYGRSFNGFAAKLTDEEAARVSGMKGVLSVFPSRTLKLHTTRSWDFVGLARNQVGGPLEGDVIIGLLDTGIWPESESFSDTNLGSPPSRWKGTCQGPNFNCSNKIIGARYYNSDNFYGDSDFKSPRDAEGHGTHTSSTAAGGEVDGASYLGLAEGTARGGAPGARIAMYKVCWSFGCSSADILKAFDDAIADGVDIISVSLGSDFPTDYFKDPIAIGSFHAMKYGILTSNSAGNSGPFPVSVANFAPWTLTVAASTIDRKFVAKAVLGNGQVFQGLSINSFDLNGTTYPLVWGGAAVNYTVGSNTYISSLCAEGTMNSEIVQGKMVFCETIDDGSGILLANGVGAIMADSYYSDLAFNYPLPATVISTEDGIKVLDYIRTTESPIATILVSDTPDDVMAPIVVSFSSRGPNPISPDILKPDITAPGVDILAAWSPVAPPSVYYADTRSVDYNIISGTSMSCPHAAGAAAHVKAVHPDWSPAAIKSALMTTATIMDPRKQEDLEFAYGSGQINPVEAVRPGLVYNASEADYINFLCKQGYNTTNLQLLSGDDSVCNNTVPGRGWDLNYPSFSLYVLDGDLIQAEFTRTVTNVGAPNSTYFSAVIPPGATIYSPFSSINITVEPSTLSFTEVGETKSFTVTVNGPKICQQPIMSGAILWFNEDYVVRAPLVVYNYLPYNPESETFSKPGKKKTFGNSSLYKKKATFRHK >KZN10545 pep chromosome:ASM162521v1:1:36785394:36788583:-1 gene:DCAR_003201 transcript:KZN10545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAKRKRLPLGQFSPSSSQKGSKRRVSNVENIVASSTPLRPAPRSIVFGSEQSSVQKASERSSTALGTGLPSAACPVEDVFETRKHSRPMFGSNENLDPNIPSLVSPQQSYVLQSTRRGTATPAPRHMSSSNISVEPSVTSSGELGHSRGFQQLKSLSRLSSSSKAAAPSYGQSCLTQSFQGSSPPSVNVRRHGTSTLSAPYAQSPSEHRLSGVDLAGLRTPVSRLIETKPVSLNKNTFFQLPASSIIRRGAGFEVPEDMEESSVQTQDVAIIEKISVSELSEKTNVADLKNHFMCVVKIINVEESGSWWYISCIGCAEEVSKEEGRYKCICGSNSPVAEKRYKIVVLAGDETEALNFVLLDRAARRIVGQTATKLISDNLQTASASGYPAKIKEMIGKEYTFDIEVKEENVVAKSKIFYVNDAFQASNSFGADKSSDVMREGLSTSSFAESKIDLTKTEDTPTSEKSVYKKIKIEG >KZN10245 pep chromosome:ASM162521v1:1:33813317:33816636:1 gene:DCAR_002901 transcript:KZN10245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLSTVPFLILGNKIDIPYAASEDELRFHLGLSGVTTGKGRVNLADSSVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >KZN11022 pep chromosome:ASM162521v1:1:41117635:41120373:1 gene:DCAR_003678 transcript:KZN11022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKKGKYMSIIIPTYNERLNIALIIYLVFKHLPSDVDFEVIVVDDGSPDGTQDIVKQLQQVYGEDRILLRARPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPNFIKKQMETGASIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAHTLLWPGVSDLTGSFRLYQKSVLEDIISSCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVYGSSKLGGSEIVEYLKGLVYLLLTT >KZN08253 pep chromosome:ASM162521v1:1:4316225:4318427:-1 gene:DCAR_001318 transcript:KZN08253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLWASRSASYLKISTFNRAFATVVKDLKYADSHEWVKVQGNSATVGITDHAQDHLGDVVYVELPEVGTDVTQGNSFGAVESVKATSDINSPVSGKVVEVNEELNDSPALVNASPYEKGWIIKVELSNNDELKSLMDSDGYSKFCDEEDAKH >KZN08913 pep chromosome:ASM162521v1:1:17574684:17576556:-1 gene:DCAR_001569 transcript:KZN08913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILIVGGTGYIGKFIVEASAKAGHPTFVLVREATLTSPDKSQLIDSFKSLGVTFVHGDLYDHGSLVKAIKQVDVVISTLGHGQLADQDKLLAAIVEAGNVKRFFPSEFGQDVDRVNAVEPAKSAFAAKAQFRRTVEAAGVPFTYVACNFFAGYFLPTLAQAGAAAPPRDKAVILGDGIPKVAFNKEEDIATYTIKAVDDPRTLNKILYVRPPHNTLSFNELLSVWEKKIGKTLEKIYVPEEQVLKSIQESPVPINVLLSISHSAFVKGDQTSFEIEPSFGVEASALYPDVKYTTVDEYLNQFV >KZN09612 pep chromosome:ASM162521v1:1:27647310:27648221:-1 gene:DCAR_002268 transcript:KZN09612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQEISPDDTSRDLCKQDAVCSALRAQISHQVAAEIGRDELIRRINLQNLQNREKLCLVLDLDQTLLHTKPLHKLSPEELTGLCNSTRKKDLRRWKATGVEYLTKLRPYVRKFLREASKLFDMYVYTNGSRDYARIMVDFLDPHGVYFGSRIISKEDSTVKGQKGLDVVPVHKSGVLVLDDTENVWARDRGNLVLIKPYDYFAPKEPNGTISLSEEGTDESGSAGPLSCALRLLKGLHESYFENYHTFEEELQGILLEDNGIHGEQLQEVNEESFLRALGSSKRLRVSDECSSLVVTKRQRC >KZN10830 pep chromosome:ASM162521v1:1:39390792:39391205:-1 gene:DCAR_003486 transcript:KZN10830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISFEALAMAGADYHERGISMEEWERSEMMTPPHLLAEEEEEEEREDHRGHMSSGESVPVFFSSSSRNGEEEYCRDKYKGEKKLGMIKLVKQLSSKTMMIERMLPAETSLTPKVEPWLWKNLLRVLILEFRRMFSL >KZN10107 pep chromosome:ASM162521v1:1:32668248:32675882:1 gene:DCAR_002763 transcript:KZN10107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYNDIRISHTYIYFHLYIYNGKKTTVNALRITADFEDDFMKLKFDMEKLDPAEVLDLRSQVKRDYKRFIYIGQNLMARPSDGDVSSQGPPFESVKEAGSKNKRKHLSESVSHVPVEEMTRSLEEIPTYGLIDEKLANEFSNLGLFDEKLANESGKLESAQGSSDISTEDEVKEYWGEPSECQFEELLSATLHTAFENAVKKIAELGHSKEDAEQVVLRSSFCYGDKDLVSNIVDGALPCLELKKQDVDLSKYQVFENMQSLVEYTMLEMVNALREIKPTLSVSEAMWLLLICDLNLKKACETETDVLRGLRTEAISGGFSSNSVVPQIKSDVKTYETVPSNTGKSAITKPSDPRKSQTDIPSEGGSSNSQSMKIPFPGGFITTNAQQSNMEDASGAARKCCSGNSKRELLRQRTLHMEKCRGRMSMPRGAFKNKLTSIGCSVMDKKSAAYMKNSYKESNAPQAKVQTTSVSNTATSVPATLPVNDVSAADDKKSIPPETKPTKGSQISTVPSSKTPDYYAGIPYDQSTNKYLPQNSKDELLLALVAKKEALEKELQHWSDWGTEKVRQAAHRLGKDREELKALRQAKEEEEKLVKEKQILEENTLKRLSEMEYALTNATRQTDMANSTFSELEKQNSALKLKLESAKLLALRSSEELQEAVRKEQETMKKVQSCDSEREVLVEDLKFLKNKTAKLQKDLKKAEAVRNQTEALWKKEEKEKEKVLAQASSIRKERERLEAVAKEEGHKIRQKADRELQKHKELMKDLEEQISKLRMECESSKIAALREGISQNYGIPSSNNPAKPYYLIPNHKRLPVFQEISRSESVQKKNRECSMCLMNDISVILLPCAHQVLCEECNEDHEKQGATTCPLCRAVIQSRFIPRFVKKQN >KZN08745 pep chromosome:ASM162521v1:1:14765976:14767529:1 gene:DCAR_001401 transcript:KZN08745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFSALHLFSAKRLRSFQPIRDEEIARMVRTIGDAAAADSGVVDLSKTFMTLTSSIIFRITFGKRYDDQEDCPEDGNNMRSQFHWLLAETQANVVSFFLTDYFPLMGRFLDRVSGAWARLEESFSQTDAFYQQLIDEHLHASTVSTTGDCSILDILLEMKKDSPVYTFDHVKAILMNIIVAATDTTAAAITWAMTLLIKNPASMKKVQQEVRDLARQKGFVDEDDIQKLVYLKAVVKEAMRLHPPAPILHRETTEKCVVSGYDIEAKTCVYVNTYAIGRDPECWDNPDEFLPERFIESSIDFRGHDFELIPFGAGRRICPGISMGAATTELVLANLLYSFDWELPAGKNREDIDMATKPGLTVHKKNHLCLVPKISDQVSRMLI >KZN11569 pep chromosome:ASM162521v1:1:45818938:45819210:-1 gene:DCAR_004225 transcript:KZN11569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPCACNELTGTFMVRRNFHMSVPWTFATGFHFTKLPGPPSSPSKTGSTWTTGISDLVPGDWSFLCPVTHARKPLRALLKGLTFLTRQHS >KZN09129 pep chromosome:ASM162521v1:1:20483149:20485634:1 gene:DCAR_001785 transcript:KZN09129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALSIAPATTLLTLTKTLQLSRRFCATRPIQTHLSRQIFSGELHSLHKFTSITSNTVRTLSTAVSRPCGARLQCVSSSAASFGAGGGGFGGGSGGGGGGGGGGGEEKVKAVADVADGSESLNDVIILDVGGMSCGGCAASVKRILESQPQVSSASVNLTTETAVVWPVSDAKSIPDWQKTVGTELAKHLTSCGFQSNLRVWGQTNY >KZN11322 pep chromosome:ASM162521v1:1:43681245:43688135:1 gene:DCAR_003978 transcript:KZN11322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLGILLIMQALHRISLKTQELYALVFVTRYLDLFTDFISVYNSVMKVVFILTSSAIVWCMRRHRIVKRSYDQALDTFRHLFLVLGCLVLALIVHDKFTFQEVFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYLTEQHFRLWISCISGLVQTALYADFFYYYYISFCFAGIILICLDELLPKRYGLGSGISLFIVATNICESIIWEAFSPTTINSARGAQFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLVVIYIQGILVVLPVK >KZN11933 pep chromosome:ASM162521v1:1:49494877:49498975:-1 gene:DCAR_004589 transcript:KZN11933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNSHPELSDWSNTLSDLYQRKLWHQLSLSLEQFIAHAVFQGCGLVRVWEVRVCDDLVLLEMERGCLVMEFDVCGFRRNAGDALIQLYHNFITDFETKINLLKLAHFAVVVSRQYTEKEAAISYLDGVIEKLRATKESRIEEPILYIRMQIAMFNLEKGDQKECKKLIDDGKSTLDSMTDIDPSVHASYYWISSQYHKSRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGENIYNFGELLAHPILKSLLGTKVEWLYYILEAFNSGDLVRYQELCHVHNAALSAQPALVENEKKLLEKINILCLMEIIFSRPSEDRTIPLSIIAERTKLKIEDVEYLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGISQIKSLRDRLDNWMGKVNTALLSVEAETPDLVAS >KZN08843 pep chromosome:ASM162521v1:1:16498536:16499641:-1 gene:DCAR_001499 transcript:KZN08843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAAPLSGVDSKTTVGGGVEDVYGEDSSTEELSMTPWTVSVASGYSLLRDPHHNKGLAFSEKERDSCYLRGLLPPVVVNQDLQVITGELRGTGAAFVVLPYLLFCVINVA >KZN10803 pep chromosome:ASM162521v1:1:39157011:39163203:-1 gene:DCAR_003459 transcript:KZN10803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFYTRILDEEAPPYPKCVDDDCSPKDEDGSKSNLPFSPILLILMCFLVAVFFLICYFIILKRYRVRLNSLRRTFSRNIDQTDENIMNEDHGPELIHPIWLINTVGLTQMVIDSIAIIKYKKGEGLVEETDCSICLSEFGDNESLRLLPKCSHAFHISCIDTWLRSHKNCPLCRAPIVVEASNVGLSTVETTNTDGLGTGEDNLAENLDSNGEGGDGAGDNSAEELSNASNEEVSENKDKALVDQSLRNCGFEVLSAIGEGGSQEVRRSVSLDSYSASMIQIAVANIDPVKVVRHNKNRSLSRIYGVMKSSSFRHFSEKGHVSMKRTLSSTSRKWPKSGSTYSVEGLRGDSRVRAVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTGVQFKSVTSQKYVSSENGGGMNISVDRDVPSLWETFTVHNRRMLQHTYRAYWVRFTTDVCYIILISALWRISETKFQFRTFQGQFLTYDAEGNFVSATAQSPSATETFYLERNIDNRVHIRNNRGTYLQASSINQLTADYPGNPGWEDNAATFQMIIASNTLHGDYQLANGYGPDKAKEVLKTHRDGFVTEQDFDFLYRHGINTVRIPVGWWIASDPNPPAPFIGGTLDALDNAFSWAQAYNIKCIIDLHAAPGSQNGMEHSASRDGTTGWPTPSHISQTLNVIKFLASRYAEHPALLGIELLNEPSAWTVPLDILISYYQQGYQIVRKYSPTAYVIVCQRIGNADPIEIYQADIGSRNVVVDLHYYNLFDTNFINMSAADNIQFIHKSRETQLQALNSRNGPLIFIGEWVNEWDVVNSSNADYQDFGRTQLDVYNMASFGWSYWTLKNAEHHWDFEWNIRNNILQLGSSPVRQSLNAILLFALPCCCFFLHPLQ >KZN11368 pep chromosome:ASM162521v1:1:44054157:44059392:-1 gene:DCAR_004024 transcript:KZN11368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKLDDDFGKISSFNVDMSDLDVSSPVKKTGKPSGKSKEVSAEKKTQGKSDRSTFQFDFDGGSLNTVDTRKILNNRSRLQDMDVTKGFRTGSKSEDLDKFRKLDNQVAKTGRILPVLPKATSREQIEGCSVQIRSQGIPKDLTTNGEGYISDNSRTLKGMPRLHDKDAAKGEPASSGSGKNVKDLNTISLHVEPSSSNEQANASALLLGQYPRLPLSGMQALQNNIVASENQKVPDLKDGFRTSAPFKLNNLRTTEKVQSPSNSTLQKDPRLVTNSRQGVDLKENTGSRMGHTADTRKKASSSPTLKRKEIEDSSASLPMLKPTKRLLKSPSGSSDVKELLENFVDREHNCDGNTENGLSKSLSSFLHFPQEVHMNELETILEIDNDTNVEIAQACAKELDDLANMLKKKHEEAKEILVRAVVNNNKLLMLNHPIAIHEKKISYQVLTISLYVCC >KZN09407 pep chromosome:ASM162521v1:1:24661692:24662664:1 gene:DCAR_002063 transcript:KZN09407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAKPRDVMPARREQQTSISCVGSSEIFKRPNQCYANHNPYLLDWKGFVKSRLMKLTLKIERAHEKLQCHPCTNAYTDESISPARHCAFFMGLKRKKEETTEEVHMQTNEEVNVLATGAQFADEVEMQMAEAVSDMETEILDAVGTGYFKRLH >KZN08967 pep chromosome:ASM162521v1:1:18300264:18301745:-1 gene:DCAR_001623 transcript:KZN08967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHLLPSNLNPDPNSQPFDPNLLDKMEIKQLAQSQQTSSFKYYTPKQNTTTATSLLRKPQHYYHPYLPNQIPFATNYNIDPSQTQTKLYSNTISPPITLPPVLYSRRRKSSSSARVPRVINLSNVGKLSKMMKNFQTGMMVFIQQKGDVHSFIMAARWDNLIFYRGQNYHTYLMGEFYGNLVTKRDQAGLFEFDSVVQGQNIHVSVHTIFRALRIDPAHIPQPCINIYEAYWFNQRDFEIHIGFFCGTEAPIGLCHENCGVSFKHFLPNFQQLAIILRANLLPKPQGDQYFDFIDLKIMYQLVTNRLEFNMVYVIILNMFLAFQLDYMPYGLLLTVVFDLFKIPTPRVFAQRVEYCKVENLVVEKVPLKDIVPYKYGPPTPPMDSANRDYAKENEVLRAVVNELKIKNEDNLHEIKALKNELLEASSKVTDLEGKMGIEHVDKGKKPVIDLDVVDDVDFENVCAGNISVTANLPNLTDFNADLGFVAAGPVV >KZN09956 pep chromosome:ASM162521v1:1:31149198:31151492:1 gene:DCAR_002612 transcript:KZN09956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLHLSPPSTAASANHSAAAASPKLSFPPMQHFDVKQSIRDTKLMHVNKNKTKHHAREEVYEYTAAKETNVDRFHGKWKLSLLHRDKISTKYYPGHKLRFEARMKRDMLRVKSLVRRVSGVGATAEGGAGGKYEMEEFGSDVVSGMDQGSGEYFVRIGVGSPAKSQYMVIDSGSDVVWVQCQPCTQCYHQSDPVFDPAKSASFSGVSCGSSVCDRLENSGCHRGRCGYQVSYGDGSYTKGTLALETLTFGRAMIRNVAIGCGHRNRGMFIGAAGLLGLGGGSMSLVGQLGGQTGGAFSYCLVSRGTGSSGSLVFGRESLPVGAAWVNLIRNPRAPSFYYIGLSGIGVGGMQVPVPESIFELGNGGVVMDTGTAVTRFPTAAYVAFRDAFISQTASLPRAPGVSIFDTCYNLNGFVTVRVPTVSFYFTGGPILTLPARNFLIPVDEVGTFCFAFAPSPSGLSIIGNIQQEGIQISIDGANGFIGFGPNGKCVDPSAFEIRPSSSHIRCTRLDSITIRNDERVLG >KZN08622 pep chromosome:ASM162521v1:1:12371956:12372411:-1 gene:DCAR_001152 transcript:KZN08622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVITNQVKTSCFSHSTFPSLRWTFPSENAVGNSIVHSIFVKGEQMNYEMKEDDLDAVELYPDYKYTSVDELLDIFMVDPPKPGVAALE >KZN09768 pep chromosome:ASM162521v1:1:29204963:29207707:1 gene:DCAR_002424 transcript:KZN09768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKKCSLDDIRSSSAAGAFHSRADEWRLEIQNLIYDRVLALKSDKGAIYSMEDLLISDPDCLHNIDQIISISPHAKLKDSFLGMNKCKVLSPNLKESWLLELANQQHSSSYSSMPYSISLSLQLRDASVLPIPARIFPIYFPTGNMATGFLVIFSLIAGVAGFVTSLLGLNNVMQWDTPNLHAAAAASLATWTLTLLAMGLACKEINIGWADSNLRALEAMLIILSGTQLFATAAIHIGVEDVVARDRAYGGRV >KZN08029 pep chromosome:ASM162521v1:1:1570820:1571068:-1 gene:DCAR_000698 transcript:KZN08029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGAAEAVALLAAPEMNNWKRTILLTLLVRNTTTIVDRIKSRSVSRSEKRTVKHYKRLIRQRHVQEQPRSEQSKEDDEIWCV >KZN09210 pep chromosome:ASM162521v1:1:21683678:21684163:1 gene:DCAR_001866 transcript:KZN09210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSNTSSLRWGNSMVLCHCASYARLRTSWTIKNPGRRFWSCCKSKEAGGCNFFLWMDDEFGGRAKDVIEELKKKISCLEEKQLKTEERLAKKREKMKMLKIQNSVQFNIIMALIVSLIAIIVMIYVNREMSSIRRNYLY >KZN08124 pep chromosome:ASM162521v1:1:2923006:2924629:-1 gene:DCAR_000793 transcript:KZN08124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLSQLQSTATQATKFVAKNGPVYYKKLLEKNKHYIQDPPTIESCQLLAKQLFYTRLASIPSRYDAFWNELGSMKEVWKMRKQFTTDDYGIAALFGVECYAWFYAGEVIGRGYTFTGYYV >KZN09633 pep chromosome:ASM162521v1:1:27837262:27838064:-1 gene:DCAR_002289 transcript:KZN09633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQQMSRDAKANAQSAMDSAKDNANSAQEKASNAASSAQEKASNAASSAQEKASNAANSAQAKASNAASSAQETASNAANATNEKASNAANAAAEQKDQGANWIQQKSEQIGSAAQGALDGVKNTLGVDQKK >KZN11724 pep chromosome:ASM162521v1:1:47481030:47482427:1 gene:DCAR_004380 transcript:KZN11724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDSISLTHPPLLPTQHNPPKPISKHIATAAAISLSLLTLSALFISLSPSSTPHSPTHQSKLQETQSGTKLAHPVVILISSDGFRFGYQHKTPTPNIKRLIANGTEAEPGLIPVYPTLTFPNHYSIVTGLYPAYHGIVNNYFVDPDDGDVFSMSSHEPKWWLGEPLWETVVNQGLKAATYFWPGSEVHKGSWTCPSGFCAPYNESVAFDERVDTILSYFDLPKEEVPVFMTLYFEDPDHQGHQVGPDDPLISEAVGNIDRLIGRLISGLEERGVFEDVTIIMVGDHGMVGTCDQKLIFLDELAPWIDIPEDWIQYTSPLLAIRPQGNVFPADIVAKINEGLKSGKVGNGEYLKVYLKEDLPSRLHYWESDRITPIIGLVDEGYKVELTKSEDKECGGAHGYDNAFFSMRTIFIGHGPRFPKGVKIPSFENIQIYNLVTSILNIKGASNNGTSSFANTVLLPHHH >KZN11825 pep chromosome:ASM162521v1:1:48419751:48422992:1 gene:DCAR_004481 transcript:KZN11825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQSLVIDEKVEVRSTDDGFLGSWHSGTVISLEKGIRLVQYDHLLSDSNDKLVESVNVNNVVDGFDCMLVIDCCFRNLIRPVSPLIDFDLRGVEYGQCVDVYYLDAWWEGVIFDRRDGEKERSVFFPDLGDELRAEVGCMRVSQDWDECSGDWKVRGKWLLLELIDELEKCWPVVVSIKQIWYDIRVKQGFVENVKEWTCLRSDVWRGLLEEVIVDNFKLTVKELYRELEVSGVKGLGECYQILELSGSELYDLIKSEPAGVIGGDEPGENVGLHMVPLSSSVEGVENVGLDMVPLSSSVXWRISVEGVENVGLDMVPLSSSVEGEAQCVSPSKIAAMDSNVDDELYKDGSCAKNDEFCNNSRKGLKKINLNVWYSADLAPRSCEDAIKVYLKCQASMDRIQIPHKVTVNLRRHLLYLGWKVEYIQKEGFRRWRYTQPDGTDKFNSLVKVCEQIGKSDFAEVSQFPSEEKNKSGDAVECEVSLDQYRLRDVDDPVVLTPLAKTSQGCQSELLKDSQGMLEYEYCPQAVISYYSLEFKKTAYPKHHDLFKDMQVKAKKHLSAVGWKFYIVLKNGRKKELRYRAPWGKVFLSLRSACKGYLDENRKVCKLADMTDKQQHQNNEEEDDLCSVCHSDGTLILCDRCPSAFHSRCVGLKEDPCDAVWFCPSCCCRICGAGENSCDSEVSAEYSFVNCDQCARQYHISCLKKKEIVPDSYPIGCWFCNKKCEQISFALKSLLGKPVQVGTNGLTWTLLRYVNPEESVQSDIGNLKENDRKLKVALRVMLECFVSVKEPRTRSDIVEDVIYNRGSRVNRLNYRGFYTVLLEKNDKSVSAATVRIYGEKVAELPFVATRFRYRRQGMCHILLNELEKLLRELGVERLVLPAIPEMLDTWTSSFGFSVMPQSDRLKLLDYTLINFPGTTMCQKLLSKNHCIESSPRKTIEQHCGVANRNDNVDLGNSSTVIVGLPAVQVLGQRSKNRVRGSRSRANGVDRSSGLVSHCQTTGFKLIPSQSKITLKSSVDETDCKEDENRGNGKITCSKRRKIAKPVEGVAC >KZN11968 pep chromosome:ASM162521v1:1:49766450:49772309:-1 gene:DCAR_004624 transcript:KZN11968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGLNGNFGFSARSTAEQVTKGVDGTGLVAIVTGATNGIGKETTRVLALRGVHVILGVRNVKAGEKVKEEMLQKHPGSEIDVMEIDLSSQASIRKFAAEFIATGLPLNILINNAGMMSPPFTLSKDNIEQQFAVNHLGPFLLTNLLLDTMKKTARDCGKEGRVVNVASELHRYKPVIAYGQSKLCNILHCVELTRRLKDDFDGGGDGSVEVENLGGRGGKDLIIKGCGNFGFEGDDGLGEDSEDGGEEDDDGTDNDNDEEFRVLNLYDRNRKERGDINRVEVREDELRNPLVKEICRLIDRRSDWTPKFEGELRHLLRSLKPQQVCAVLRAQADERVALKFFYWADRQWRYRHDPIVYYAMLEVLSKTKLCQGAKRVLRLMTRRRIVRRPEAFGYVMVSFSRAGNIRKAMQVLTFMQKEGVEPDLGICNTAIYVLVKGKRMDKALRFLERMQLVGITPSVVTYNCLIKGYCDMDRTEDAIGLISEMASKGCSPDKVSYYTVMGILCKDKRIEKVKGLLEKMTRENNLLRDQVTYNTLIHALTKHGYGDEAISFLREAEAEGFCIDKVGYSAIVHSFCQAGNMERAKEVVNEMFTKGCIPDVVTYTAVINGFCHIGKVDQAKQLIQQMHRHGCKPNTVSYTALLNGLCRNGNTSEAREMMNMSEEEWWTPNAITYSVVLHGFRREGKLSEACEVVREMIGKGFYPTPVEINLLIQALCQQGRADLAKLFMDECLKKGCAVNVVNFTSVIHGFCQKDDLDDALSAFDDIYLSNKHPDAITYTTIIDALGKRGRIEEATEMANKMLHQGLLPTPVTYRTVIHRFCQHGRVDDLLKLIEKMLSRQACKTAYSQIVDKLCTFGNLDEAYKLLGKVLRTASVVDAKSCHLLIESYLKKGNALASYKVACRMFGRNLVPDLKLCERVCKRLMSDGKVKEADNLMLCFVERGRMSPERMQDLQL >KZN10424 pep chromosome:ASM162521v1:1:35597370:35602901:-1 gene:DCAR_003080 transcript:KZN10424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPHQSSSFPSQLLASNFIATKSHRILRSPKFTHPFARTKASWSELAGVLLFSAIPFTAVKAIANSPLGETLQKRLEERKKIEVVNASNFNKLSQQARTDSSWYGEERPRWLGPIPYEYPVYLDGELPGDYGFDVAGLSKDPVALQKFFNFEILHARWAMLAALGALIPELLDLLGAFDFIEPVWWRVGYSKLQGETLDYLGIPGFHLAGSQGVIVIAICQAILMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSRDPLAFEELKVKEIKNGRLAMIAWLGFYAQAAVTGKGPIQNLVEHISDPAHNNLISSLLSK >KZN11084 pep chromosome:ASM162521v1:1:41600063:41604090:1 gene:DCAR_003740 transcript:KZN11084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKGRSAGRRSLRVPPTFFLCFFFFICGLFASKLLSRQVLSWKPRALYFPGFATPEQCESIIKIAKASLEPSTLALRKGEKAENLKGIRTSSGTFIKASEDKSGTLDIIEDKISSATMIPRTHGEKPGSLLHHVNQWYLIKLELPAAVLNVAKYLLLLVYPDSSVVQFIMLVTFQAFNILRYEIGQKYRPHYDAFNPNIYGQVKSQRVASFLLYLSDVEEGGETMFPYENGLNYDNYDPDACAGLKVKPRKGDGLLFYSLYPNGTIDKASLHGSCPVIRGQKWVATKWLRNQLWVPKGG >KZN09802 pep chromosome:ASM162521v1:1:29531566:29532833:-1 gene:DCAR_002458 transcript:KZN09802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVMEKFIVASMFMWIVPVAILYGFNHELFPGSSELSSSSLTLLSGFLAVISVNVVIAFYICLAMREPVQKHEPDPKFLSEATASVKQFKPDERGESSSTHKKEE >KZN09568 pep chromosome:ASM162521v1:1:27143344:27143724:1 gene:DCAR_002224 transcript:KZN09568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPCCNMTPFLSFLKRARPMALPYLQYFFSSMDCISRGRILTCNVGSFDLFQGLSRGRILTFSRVNHLLKKFKRTTPQSIHHPIWGITNLIVVYKELRCIARVPELIAQWGINSIKCYPEHIMDM >KZN10172 pep chromosome:ASM162521v1:1:33272804:33273595:1 gene:DCAR_002828 transcript:KZN10172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRFASLDSRSSIESCTFQLHSWRPFHLPNKLKTLDSSDHSPIKPSCYSSTKRPCLSDRSTVDFLDSSMSKLGLFEHDGNEIGGVGSVSLGKLGSKVEGFRWIARKRRRRGSRSVSGRSSDRSGTRRRCCSVGASAAYATCSDFMVANGTDSSGELFVNVGMDVNWGSDVSEAKGSVVRKEREGSGNGNGGGGGGERENLSGGVGVIGNFDGLGNESGYGSEPGYRGDAELGYGDEFDEEEDDPRLMFWGHNFGGISRFMYI >KZN08753 pep chromosome:ASM162521v1:1:14815706:14816578:-1 gene:DCAR_001409 transcript:KZN08753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSLLLVLSLISPTISLSFEQQLAATETSLRISNYNLFGNAISTSDLRYRLLSSSSASNASFTLFAPLDSTLYSLDMISPAAAYTQTLYYHVVNGRHTIEDLQRVCYLDTLLTHYSVLVNQNAVNDTVVDGVAIALPDLFVGPRIVVHGLDGILVPGELGLDDPVRDPEFHRELSPQASIRSEFVSPSFSPVSFNSPAMSPMSFETPADFDSTATSPAPEMMSPRSEPESVTPPISSPSGSESRSKSSKRKNRGKVGRSKGRRHRRARNVHDRHERFSKFIDRHGSDF >KZN11776 pep chromosome:ASM162521v1:1:47997450:47998019:1 gene:DCAR_004432 transcript:KZN11776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNPSSHHGLTTSELSELEPLIQNYHKFDPLPNTCTSLIVHRIQAPAKFVWPFIRRFDNPQKYKHFIKNCSLTAGDGGVGSVREVTVVSGLPASTSTEKLEILDDEKRILSFRVVGGEHRLNNYKSVTSVNEFEDDGGRVYTVVLESYIVDIPEGNTGEDTKMFTDTVVRLNLQKLGVVALAALHGND >KZN10896 pep chromosome:ASM162521v1:1:40094055:40097102:-1 gene:DCAR_003552 transcript:KZN10896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRASHANKENKAGVFFLATLVMWGVSVLFEIVVNKRTELYSVVAGYCFYQFSNWVFNTWVSRDHLFVNTCVSLLHSFITSCSVIFILVNQWIGSGSTEMFEHSQLVGNTWAWAYPALCVSCGYFAYDQWDMLRYRLYSGWIPSILVHHLVLLVCFTLALYRNVTINYLILTLICELHSIFLHVRKVRRMAGVRDSKNKFVKAEWFLNWTAFVLARLVSHVLITAKLLIDAPKFGNGIELPLALSGMAGMNLLNIFLGIDLFKAFKKDYNPPVKREDHQS >KZN08621 pep chromosome:ASM162521v1:1:12368597:12370351:-1 gene:DCAR_001151 transcript:KZN08621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIEKKSKILIFGGTGYYGTYQVKACVAAAHPTYVYARPVKPPHDPSKLDLLKEFKSLGVTIFEGELDEHEKLVEAIRQVDIVIVTLGLPLIMTQLKIITAMQEAGNVKRFIPSEFGNECDRISPLPPYQAACCGPKKIIRRAAEKSGIPYTFVIANTYGAYFVNYLLRPYDKESNKVTIYGTGEAQFSLNYEKDIAEYTVKVATDPQTENGLIIYRLPKCIISQLDLISMWEKKTGHAMEKTYVSEEKLINLSETLPFPESAPMAILYSLFVKGEEMAYDLKEEDLDVVELYPEYKYTTVEELLDIFLVDPPKPFQAAF >KZN11179 pep chromosome:ASM162521v1:1:42345290:42348084:-1 gene:DCAR_003835 transcript:KZN11179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKDYTVDLNKPLVAQFLTLNDWWVIPLIWFPVVCYFEFKSFRMGHDFPQIALMVVCGILIWTLMEYTLHRFLFHIKTKGYWTNTLHYLLHGCHHKHPQDALRLVFPPAAAAILLVPLYNLVKLAATPSTTPGLVGGILFGYVCYDMTHYYLHHGQPTSKVPRYLKRYHLNHHFRIQTMGFGITSSFWDRVFGTLPETKSAAKSR >KZN09812 pep chromosome:ASM162521v1:1:29632946:29639526:1 gene:DCAR_002468 transcript:KZN09812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTVSQIVSDLETHLLNSPDSSTPISESTLLELQTLLDYTLRSKDPVDIEQFFDELSEKKVALSCLMDPIVAAMESGPTHLAILAAKVYLSLLLSPNAPVLTLFTPLGFYAVMRSIRKFFRSSGPSTGKSSGQDPGQSSGRGLTRNKRGTRGGGRGKGSKLRVEILEEEEGGGDGAEGSEGRKLDVRMLFCVLDRLEMVLGLIHLDRFPECLKALVQTVAEVPVMGLENYGNSSSYDRLCGLCTHILCELLKADHGDQKNSATEVLKALVPAVLLLKSQVHGFGMHFVIDKMMGMAKDSDEIKKAVVYFPRYLVYQAPEKSEPRAAAVDSIVQIVGAMEYIDQVEFADHVIKMADGKHHLRLLAVDLISVMMTLLRDPFGIDAENMVENSWGMRCLEVLIRRCMDTTVGIRARALTNLARLVELFSKNESSRAILKKILAFDNKEHFRQVGPVNELLKQRCSDQKAAVRKAALLLTSKLTGLVDGAFDGGVLKTMGRACSDPLVSIRKAAMSALSEVFRTLWEDNVTKEWLQSIPCLISDNESSIQEECENLFLELVLDRVSRAGATSSPRRCNFYDSDGRNKIIEREEELFPEGVLCLLREIYNGEVTPWVKKLCENLGKKKRLKPKVAIALQNIINTSESLWLSRSMPIEKWTAPAGTWFLLSEVSAFLSKSVDWAFLHHHWKLLDRHEEGGQFKCSLEQEELDGTFGLESNTVAWAGDRVFLLKTISNVSVELPPENASELALNLLKRVREFNMHLTEVNAHLHALRNLCKQKAGSPEEADSLVRKWVVELLSNASEILETYMSNDLAANNDTTLLTPPTQSSESRRAGTTLSKLSSQAISAVYTIGSIVVIFPSVELKTVIPALHKIITSRRSGPRLSGSTKDPAPRLYFQAWLAMGKICLADEKLAKRYIPLFVEELEKSDSAAIRNCIVAVLTDFCVRYTALVDSYISNITKCLRDPCEVVRRQTFILLTRLLQRDYVKCRGVIFLRFLLTLVDESEKIRQRADFLFGNILKAKTPLLPYNSFVEAIYVLNDCNDAFTILSCKEIKLPSNRGTSSDSAEVDEDGGDSGGATASAGKGKLVTQAVKKNLIQNCIPIFVELKRILQRKNSPLIGPLMECLRTLLKDYKSEIDDLFVSDQQLQREVMYDMQKYEAGRAKSNATADVATMQKSEAFRSPGDPKTVNGSAIRKKLNETQATITKAASEVGHAVAEVTSRSVLKEVSKASLTPPIGSLSAPKVKASVKKSAIIASVRKKHTFDSDEDIEDI >KZN08014 pep chromosome:ASM162521v1:1:1445121:1447004:-1 gene:DCAR_000683 transcript:KZN08014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKESLDKFKKQQEMCQTTLSSIKASSKSTPRVTPYNTPANAKSPAPAVKFSSDTERLQHINSIRKAPVGAQIKRVINLLLETRQAFTAEQINEACYVDVKANKAVFDSLSNNLKVYYDGRRFSYKSKHDLKDKGQLLKLIRKFPEGIAVIDLKDAYPSVMDDLQALKAAGEIWLLSNFDSQEDIAYPNDPRVPIKVDDDLKQLFRGIELPRDMIDIEKDLQKNGMKPATNTAKRRAQAQVHGISNKPKQKKKKHEISKRTKLTNSHLPELFKNLS >KZN10146 pep chromosome:ASM162521v1:1:32987778:32991114:1 gene:DCAR_002802 transcript:KZN10146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERRKPLVLSSTKTLINSLLNSSRPEDQSERAESVSGDKSSPAVQLKAGILRLGNGKTVISDPKIASFDDSALVGLSTSQLKRLSITSGSLVLVKNLGTNIQRIGQAVVLDPPMTSESQMDDKLNSSADVLSQTDSSHVMLVFPSYYFSQWCSVPLAAEVSYLSSLLAFNLNLHLSCLNSLVQQGKEHLASLFQNNVNDETNKKGSLEGTESTIKLSVESWSGSPKFASHLRASFVKLPESGTLEALRSSSSVEAEDRQRMIDLALNEYFSVDRYLARGDLFSICINWNCNSTMCIPCNRKNHNTSDNVIYFKVIAMEPSDEPVLKVNRTKTALVLGGSVPSAIPPDLLISKPNGFNPLQGDTVKILASILAPPLCPSALSSKFRVAVLLNGLPGCGKRTVVKYVARRLGLHVVEYSCHTLMASSERKISDALAQAFLTARRYSPTILLLRHFDVYRKMASMESSQQDQVGVNSEVASIVREFTEPVGDEVDSPYDEEAGSDAPAKRAGRAGSGRFRRVPNRHRWNRNRPVKLAVRAVPGPGWEKESRNRGIRFTRVLRFGGSGRIITSCGSAVRLYGSDHGFAQSVHL >KZN11164 pep chromosome:ASM162521v1:1:42223107:42223502:1 gene:DCAR_003820 transcript:KZN11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKAKQQGSKILPCDKKLLEIPEDCSLKVQIEPSTSFMEESLGDILKWMHDNIHNQCTRLPDEQSLWNNIDLGKDIAGGTFLDSGFGMDFSNEVLGDLDFPNDMLGDLDFNGSLEIALATTTGNELVQA >KZN10743 pep chromosome:ASM162521v1:1:38586644:38595423:1 gene:DCAR_003399 transcript:KZN10743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGLQIRVKPSSAARPPVARPPPSAFLHDDDDGDVERDISRQASKNKSNKDYEELHKKALEEDPMAFEYDGVFDDMKQNAVRKTTQEKQKRESKYIQALIEKSKVREREQEIVYERKIAKEREKDDHLHSDKEKFVTGAYKRKLAEQAKWLEEDRLRELREQKEDITKKGDMSDFYFNLSKNVAFGATEAELRKKEKTQQVEEKIQTSEQEKASESSHPSTKIPSDFVAAQPGEYMKTPIAESSKDKVVEPVAESSLPDIKVAPETVNEQSKRDHHKRNEDAVAAAKERFLARKRAKVVEEPL >KZN11104 pep chromosome:ASM162521v1:1:41719390:41730612:-1 gene:DCAR_003760 transcript:KZN11104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKRAAAAVSDIWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMSIHVLQVHKETVSKVPNAKEGRESTDIEIYGMQGIPADAMAAHYGEDEDNPSKMGKVDIPTSQFGGGALPGSLGIRYPPPPAAGMPPYYNPAIPMPRVGWPQPRPQPWLMPRPAVSVPQPGAPGLVQQPLFPVQNFRPPMPSTASPGLQPSLTVAPPVLPVSAPATVSQPLFPVVANNNISTQAPPFSAPLFPTTVPLSSSAEHNGSSDLHGGNISSLANSYHTPGFPGGALGNSHSYASGPNTSGPSIGPPPVIANKPPQPAANEVYLVWDDEALSMEERRMALPKYQVHDETSQMSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTLSYQEPMPVEQLVQSLCDTKQDVWLPSNVSFAIFYCIAIRTY >KZN11231 pep chromosome:ASM162521v1:1:42857437:42860498:-1 gene:DCAR_003887 transcript:KZN11231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERIHKPQTGLISPSKLRMKLMGHQRKKDGSNSNSSRTSPSKLEDSEFVNNSLLASSVDFDEPVSSVGSASVNHSSSLVSNNTQGDQSALHPKEFTSGDPGNSIRVKMQQFSKADSANSSSVHPMRTLEDDLDYDSNASSSSFEFPEGDRIVNNPIGRSLLRPMSSKWNDAEKWIMNKQNGPVNHTKKNTVQSQVTRVPVMNGIRVVPESANYNHKSLVKRVNLFQSAQQVSLDNFFTSNGSQPVSGQENGTSVPLDLCHQSKDLKEVDSENSSCVTTSFEESKGPNIRSVSMRDMGTEMTPIPSQEPSRTATPVGATTPLRSPISSLPSTPRGGPTSTSEEYLNNDCELRSSAGNGAKALSAQELKLKTRKEIVALGVQLGKMNIAAWASKDEKDKNASEAENTKSEELRKIEYEKRAAAWEEAVKAKQTARFSLYYNYALKFVKFEF >KZN11058 pep chromosome:ASM162521v1:1:41373106:41376414:1 gene:DCAR_003714 transcript:KZN11058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRKSSDVVVMVSGEERDVKSSPRRVSDDLPIGNVKFVPTSSPSPENARFSPSPNRPPKSPTNETVTRRKSLARSVYSKPKSRFGEQPVSIDSDVFAESSSLKLEHMNSSGNSPIKNSSSASPNNKMVSANSSFRDIMMRNVSVTTPRTPLMASARKMDEDEEIYRKMLVDVISKKGLSTISDDLDESDFDRNAEQTDKEITNEMEAVAAAYHIFQNVAQPDCLYIDELDLRKFIMEEEVDYIFPLIDVAEKGQIDKKALTEWVVKVYNDRKALAHALNDTKTAVKQLNTLLTAILIVFMSIVWLLLVEIATTKVLVFFSSQLVAAAFLFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVQMVVEEMNILTTVFLRFDNEKIYYPNSVLATKAISNFYRSPDMGDSLEFSIDFMTPVEKIGDLKVKILKYLERTPQFWHPNHNLVVKEIEDVNKIKMVLFFNHTMNFQDIAEKSRRRSELVIEMKKIFDELNIRYNLLPQEIRLVKSEVAI >KZN12088 pep chromosome:ASM162521v1:1:50906603:50908816:-1 gene:DCAR_004744 transcript:KZN12088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKIIKAIQYFSYGGGPSALKHVEIPVPVPKKDEVLLRVKATSINPFDWKMQKGMLRPFLPLRFPKVPLSDVAGEVVETGPEVKNLKAGDKVVAMLSIFGSGGGLAEYAVASEKLTVSRPPEVSAADGAGLPVAGLTAHQALTQSAGVKLDASGPRSNILITAASGGVGLYAVQLAKLGNTHVTATCGARNIKLVKSLGADEVLDYKTPDGAALKSPSGQKYDAVIHCASGIPWSTFEPQLSSKGKVIDITPGSDTMWRFLSGKITFSGKRIVPLVLIPKGENLAYLVKLVKEGKLKTVVDSKYPLSKAKDAWAKSIDGHATGKIIVEP >KZN08630 pep chromosome:ASM162521v1:1:12660583:12662477:-1 gene:DCAR_001160 transcript:KZN08630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATAAFPCIKITKATSPSSSSNATLFRFSAPKHSSLTIRSSQADGPLRRPVAAPPARPTPPSQPSASPPKPVAVSPPVVESEKKSLVTMEFQRQKAKELQDFFKKKKLEEANQGPFFGFLAKNEISNGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGIVDLD >KZN08075 pep chromosome:ASM162521v1:1:2053174:2057414:1 gene:DCAR_000744 transcript:KZN08075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQANGLLSAPQPFPPQVLNVLASPPRPISLFMNPNAKALKSLSLNNLMSQAGKNGSNGLIAIIGIPVAAVLFAIVIALMICYRKRKNDVPLDSDRLPMPQPNDAIHDAPSPPHLPQISYIPGVHGNPMPWNVIPPQIRLSNSINPSPSTEVQDNPLLPLCGGDFTIQELSLATRGFSQANLLGKSEFGSVHKGVLPSGRRIAVKWLKMDNRQGMKEVFQQEAEIIGRVHHRHLVSLVGYCISRAERLLVCEFVPNNNLEFHLHGKDQPVLNWATRMKIAIGSAKGLAYLHEDCQPSIFHLNMKASNILLDSDFEVKIADFGLAKSLHRTKDDDDDDDEDEDDDDMPNINGDVENFGYLAPEYARRRRASDKADAFSYGVVLLELITGRNPLNKIDSSTNGFLISWARPLLTKALETKDFQGLADPRLQKDYNSEEMASMVACAAACIRNPDWRRPQMSQIILVLEGDVPWSDLPAGTPPLHSSSCGVHASRSRFFNRRR >KZN09558 pep chromosome:ASM162521v1:1:27090501:27091906:1 gene:DCAR_002214 transcript:KZN09558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSCFNPFRLTKSKKKPSPVPSSSSKSYFNSDMVNMERKRFDSSDSWSMILESENIETWEVSKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHNPETRALLEQQFKSEVALLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQTQESKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVSEKNERPPMPPSCLPALAHLIKHCWAANPAKRPDFSAIVSALEKYDECVKEGLPLTLHTGLVSGKAILERLKICIPIKSSSSSLPVNA >KZN08097 pep chromosome:ASM162521v1:1:2693262:2694360:-1 gene:DCAR_000766 transcript:KZN08097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYTDEIGGLNIASLECLSGIARAYLSPHYPAAMLLPDYLNFCNFHNLHFAYGDADVQNAVFAGLKSLGITAGVTYASVTLANRHIPWARKNLNYTGRTLIVCGGTVAVHVRSDYRSSDLRNPEEKYPV >KZN09379 pep chromosome:ASM162521v1:1:24414107:24418910:1 gene:DCAR_002035 transcript:KZN09379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVVQGNDRVDGHIITTTVGGRNGEPKRTLSYMAEDVVGTGSFGIVFQAKCVETGETVAIKKVLQDRRYKNRELELMRLMDHPNVVCLKHCFYSTTSKNELYLNLVMEYVPETMYLVLKRHINANQRMPLIYIKLYAYQIFRGLAYIHTVHGVCHRDLKPQNLLVDPITHQVKLCDFGSAKALVKGEANISYICSRYYRAPELIFGATEYTSSVDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFNKRMPPEAIDLASRLLQYSPSLRCTALEACSHCFFDDLRDPSARLPNGRPLPPLFNFKQELEGASPELINRLIPEHIRRQSGLST >KZN10722 pep chromosome:ASM162521v1:1:38455810:38459054:1 gene:DCAR_003378 transcript:KZN10722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLALKIAKHLKISVSAKTHVPFVAGSSRSRLYSAGSSDTDNEIGPDDFFPPKQELELQGVDPQRGWGYRGVHKAIICGKIGNVPVQKILRNGRTVTIFTIGTGGMFDQRTLGSKDLPKPAQWHRIAVHNDQLGAYAIQQLVKNSSVFVEGDIETRVYNDSINGTVKNIPEICVRRDGRIRLIKSGESVSNISLDELREGLF >KZN08968 pep chromosome:ASM162521v1:1:18322654:18323733:-1 gene:DCAR_001624 transcript:KZN08968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNVQPGMMIYVPQNGVVHNMFLSANWENMIFYRGQNFFVHLIGEFYVNMVVQKGIDDVLKISTVVHNKNMLVDINTLNRCLKLGDQVPFQPCINIYEKFVFDKKEFELLVGYFCDTDVPVNLCDKNCAIEFHHFTPLYQQLAIILRSNLLPKPKNPQFFDYVDLKVMFQLVTNQVEFNINYVILINMIMAFEVEYMPYGLLLTSLFELYHIAMPRVLAERIEYCNISTLVKHQVSLNDCKPLDVTPVCITPDVMIIGSKQGTNRAKDELDKIKEEVNNLKEINLFIMARLDQLENKSKEDSTVGKEEGIDDKIDRLFNEEMVTEMAGNGNSEKVELPSLNDLTDDLGFVAVEEPEKA >KZN08483 pep chromosome:ASM162521v1:1:7761016:7761321:1 gene:DCAR_001029 transcript:KZN08483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVTKTKNSNGPLDNTKISSVAGEKNGNGSRIRSTGSDKQEKGRSPLGIKETQLGYKSEQDFRKQQQLGKINRYWFLLDHDLVEATKAITLFYTWRCQG >KZN09823 pep chromosome:ASM162521v1:1:29692461:29694165:-1 gene:DCAR_002479 transcript:KZN09823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEHRTISANGLNIHVAEKGQGPTVLFIHGFPKLWYSWRHQIHFLASHGYRAVAPDLRGYGDTTGAPLDDPSKFSVPYVVGDLVALLDVVAPKEKVFVVAHDWGAYIAWHLSLFRPDKVKALVSLSIHYLPRNPDFKVIDAMKALYGEDHYMVRFQEPGGMEAEIANNIGTKTFLKKFLSHRDPAPWFFPKGKGFNGDSTDSPLPSWLSEDDLNYYSTKYEQTGFTGPLNYYRAFDSTWELTAPWTGAQVMVPAKHIVGEFDLVYNMPGAKDFIHKGEFQKYVPLLQEVVVMEGTAHFINEEKPDEINNHIYQFLKQF >KZN09947 pep chromosome:ASM162521v1:1:31046851:31048857:-1 gene:DCAR_002603 transcript:KZN09947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALSMGSSSLQVNKGFSDFAGLRSSAALPFNKRTSDDLLSLVAFQTSVIGGGNKRGVVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGTDGISVDGKVIQVVSDRNPSNLPWGELGIDLVIEGTGVFVDRDGAGKHLEAGAKKVLITAPGKGDIPTYVVGVNAELYNHSETIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFQESADNELKGILALCLEPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >KZN10144 pep chromosome:ASM162521v1:1:32974751:32981558:-1 gene:DCAR_002800 transcript:KZN10144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGHGAGANGSSGDRVAEWPTAGQETGLEESMMRLGLFGGEFYPERGGVQNCAYYMQTGSCGYGNKCRYNHPRDRSLWPNTSNVVMVGGTVRTTGGEFPERFGEPECQFYLRTGTCKYGATCNFHHPRNGGGSLSNAPVNIYGYPLRPGEIECAYYMKTGHCKYGITCKYHHPQMPGLSVAAPARPSYATVQSSVPPPEQYGGATTNYGVPRPPLLPGSYVPGGYGPLLLPQGVMPVPNWSPYSGPVSPAMSPGVQPSVRAASLYGMTPLSSSAPAFGGPYAPLPSSAGPSTTSQMEHLFPQRPGETECQYYMKNGDCRFGLSCKYHHPADWMASKKNCVLSHLGLPLRQGVQACNFYMQNGHCKFGHTCKFDHPVAASDTIHQLHL >KZN10819 pep chromosome:ASM162521v1:1:39306174:39308603:1 gene:DCAR_003475 transcript:KZN10819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDLKLRAFYDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLVRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLTKDDDVRKYVNTYRRTFTSKNGKKISKAPKIQRLVTPLTLQRKRARIAKKKQRIAKAKSEAADYQKLLASRLKEQREKRSESLAKKRSRLSAASKPSIAA >KZN09000 pep chromosome:ASM162521v1:1:18892747:18897562:1 gene:DCAR_001656 transcript:KZN09000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKATERDVWGASTMEKTTHKALKIRSNEAAQHWTDALPIGNGRLGGMIWGGVASDTINLNDDTLWTGNPGNYTNPDAPKVLSEVRKLVNDGKYAEATKAAVNLSGNPSEAYQLLGDIKIDFDESHAVYDKETYERELDLDTAIVKVKYTAGGINYTREHFSSSPDKILVTNISASKPGSLSFTIYLDSKLQHESSVQGQNQITMEGGAPMGIKFSAVLELKISDGTGQLHVVDDKKINVKGADWAVICLAASSSFDGPFTKASESKKNPTSESQKVLDLIKNLSYAELSARHLNDYQKLFHRVSLQLSKSSTTVEKDLCSVTGNQSKATEDEKMSTAQRVKSFKTDEDPSFVELLFQYGRYLLISCSRPGTQASNLQGIWNKEIYPPWDGAPHANINLQMNYWPSLPCNLKECQEPLFDYISSLAVNGTKTAKVNYEASGWVVHQVSDIWAKTSPDRGEAVWALWPMGGAWLCTHLWEHYTYTLDKDFLKNKAYPLMEGCALFLLDWLIEGPGGYLETNPSTSPEHMFVAPDGQPASVSYSSTMDMSIIREVFSAVVSASEVLGNSQDDLVKKVLKAQSRLYPTKIAKDGSILEWAQDFEDPEVHHRHVSHLFGLFPGHTITLEKTPDLCKAADFTLQKRGEEGPGWSTTWKAALWARLHNSDNAYGMVKHLIDLVDPDREADFEGGLYSNLFTAHPPFQIDGNFGVCAAIAEMLVQSTMEDLYLLPALPRDKWPNGAVKGLKARGGLTVAINWTKGDLHEANLWSNNSNSISTIRLHYREYAVTTKVKFGRVYTFNKILKCINEYPL >KZN10780 pep chromosome:ASM162521v1:1:38887204:38892510:1 gene:DCAR_003436 transcript:KZN10780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGKSQRRRGYYFVGFLGFMFQLFLLTESTVRRQNITSSNSRPVHLDILDDQVVVDNGLAKFTFSKPAGMITGINYNGIKNILEQRNKETNRGYWDVVWSKPEDPGDIYDVLQGTHFRVVVANEDQVELSFTKTWIPPVKGTKRPRDDNSLPLNIDKRYIVLRGVPGFYSYATFERLEGWRDMVLYEGRIAFKLQEKLFRYMAVSDDRQRVMPTFEDRERGKALAYKEAVLVTDSIKDTHSVEVDDKYQYSAENRENKVHGWICSNPATGFWMITPSSEFRVGGPLKQDLTSHAGPVTLSMFFSAHYAGKPLALTFKEGEPWKKVFGPVFIYLNSGLDTESTVSLWQDAKEQMLIETESWPYDFPRSGDYFLANKRGTLSGRLLVRDRYTRIELKTAKFANVGLALPGAAGSWQTENKGYQFWTQADAMGYFVIKNVLPGTYNLYAWVPGLIGDYKYAYNIIIKPGGIVKLGNIVYVPPRNGPTLWEIGIPDRTAAEFFVPQPITTLENTLYEEDTAEGFRQYGLWDRYTDLYPNEDLVYAIGVHRYQRDWFFAHVNRRLEDNTYVPTTWKVLFNLKQVDRTRTYTLQLALASANGAELQVRINDEAAKHPHFTTHLIGYDNAIARHGIHGLYRLYSVNFLGNLLQPGKNIIFLKQTRGKSPFNGVMYDYIRLEQPPPTN >KZN08829 pep chromosome:ASM162521v1:1:15938650:15939672:-1 gene:DCAR_001485 transcript:KZN08829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHDLPINKKKIANNNVSSKNQTKIIKEGSLAKNKTKLIKPTTTSALTIKTQVKKLNSTSKPSNSTKISSLQSKPSDTHKQPLQHSASVDIQNPIQSIWADVEISDDDLISEFTDLTTRFQENLMPDIQKISSTSQVYIKKANKQITKNVTPLVGKKYAPAIASVVSCAFILIPLILVSFIFNRIKAYFSLQKILIFVHIYLYIYFFILSLSALLTGLEPLKCFYMTWPTMYIFIQVVQTLAYMLYLLLLVMYMILVFSMNTGLGSKVLSLGQAFVGFAVGLHYYMTVLHMALLHQAPKSSWKVQAVYATCFFVICLLDRVERRKKAYLEEDGSEGKKC >KZN08848 pep chromosome:ASM162521v1:1:16587400:16588740:1 gene:DCAR_001504 transcript:KZN08848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRGLILFTRQLHVISRSGTSIIKPASPTPENFKVYHLPLHDCMMPNIYIPAIFFYADISKAADHKSTVSNLLKNSLSETLTKYYPYAGRLRPSGSSVDCNDEGVHFVEARIGCKLAHVLEKDPAKEDEQGLGHLFPPLAIWDKLSNEKCSSLVLVQLNHFICGGIAIAVGFSHRIGDALTLLSFVAYWAGLSRHSFDHQKLLHVCPYIVSDHEQSHDNDSNTFNVSFPEKHWITKNVVFHNSNIARLKADVEIRHKLQGKDEPNYTRNELVTALLYRCVVAAAATSNGGAYIKSVLCQTVNIRPLLDPPLPQTSVGSFINYNNIATGTENETELHNLVERIREGKLQLRRNKGMDEIIAARPFEEFEKMNRIYLVSSICSFPLYEIDFGWGRPVKATIVDMPVVNSIILMDTPSGDGIEAIVGLEEKEMENFQAHRDLLSYISF >KZN11888 pep chromosome:ASM162521v1:1:49119391:49125274:1 gene:DCAR_004544 transcript:KZN11888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRCAKKGWIRIKNDCRGLYIRSDNLGPGAMRKGRRVHFVAGVPMKKIYDASKNKKLYPIYMDEYVCLTELAIHLYNIKEHTQFSQVKLLKIVTEQGGYWVNHITFEALSPLGDATVFKTTITEIFRQYRKIEIKPVKLKCSQSHDNGEKSKQDPPLDIAQQPVIKTFPAKALVDLAPFLSQYALIMYNIDEVKIKREAVHDISSLKVVKAIKLDSEEGTLYHVTFTASLRDESKNIETFETQICVPTLFPNMTMEAQLANQNQLNDHYSAKHPKEKPPSNSE >KZN11294 pep chromosome:ASM162521v1:1:43466430:43469306:1 gene:DCAR_003950 transcript:KZN11294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYDDEVMYGLDVKLVVVAERCNDFWDRITVYAPGNSEGKRVAVKYYSDDWPTNSAKEAFEKSVFAKTQKTNARTEAEIAMFENNIVVYKFAQDLHFFVTGGDDENELILSTVLQGFFDAVDLLLRDNVEKREALENLDLILLCLDEIVDGGIVLETDANVIAGKVATHSVDSSAPLSEQTISQALATAREHLARSLLR >KZN09858 pep chromosome:ASM162521v1:1:30070099:30071878:-1 gene:DCAR_002514 transcript:KZN09858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSCLQDKSLISRTLYFLLAITFISSFDVVAGSPPPKPNIVDASVLTQKINTNRTIKVALEGTDGFKSIQAAIDSVPDGNTNWVIIHVRQGVYREKVTIPEKKPFIFLRGNGKGKTAIRWSQSSVDNTESAILKVNAHHVVVFGLSIKNDAPTGVAMTSQNQSVAAMVAGDMVAFYHCAFHSTHNTLFDYKGRHYYDTCYIQGSIDFIFGRAQSVYHDCEIFVVEDKRVEIHGSITAQNRPAANEDSGFVFLYGKVYGVGEVYLGRAKGAFSRVVFVKTYISNTIVPEGWSLWRYEDGSTENLYQAEYKCYGPGAPTTKRAKWAKQLNDKEVAPFLSIDFIKGKDWLPAWS >KZN11351 pep chromosome:ASM162521v1:1:43890869:43891342:1 gene:DCAR_004007 transcript:KZN11351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVQNKQQDVQGIKLFGKTIAVPEKQEQDEQPVLKEPKEDEKNEDDQNLDKKPEKIVPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKMKLPGLGGVEGFSESCSMFEHVGSGVQPLDMAVDGGFRHVFPAKRRRC >KZN08626 pep chromosome:ASM162521v1:1:12563215:12568034:1 gene:DCAR_001156 transcript:KZN08626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLSFTSSNELRSSFSSEERVLDDEDLLALILLRVPWKKLMSLKCFSKQWLCFITSSQFGKLLPPLGASGLFIHRLFKLGRADKLYLIGLDNPQTDRRISTFPHHSFVPPKFRILHSCNGLLLYYNSIPPVAKTFAVTCVMVSGAQYLGLISPWTISLSYADVFRRFQVWRLITNFVFLGPYSFGFAFRLLIILRNGVQLERGPFAKRTADYVWMFFFGAFSLLIMAAIPFLRTRFLGGSLVFMIVYIWGREFANSRVNIHGLVELKGFYFPWYMLVVDVLLGNPLKPDVMGMAAGHLYYFLTVIYPLAGGRNFCATPYWVHKLVAVWGEGHQINSPVRQKLDESTIFRGRSHRLNGSSGRSTNSSSQAETNAQGNTAAAGGVFQGRSHRLGAN >KZN11331 pep chromosome:ASM162521v1:1:43738842:43739990:-1 gene:DCAR_003987 transcript:KZN11331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLCESVEKVISNDDLLTLILLRVPARSLLQLKCVSKHWLSLISARLFSILRNAIPLRASALFLQLPPSFYGVPCEINFVPLDDTTCIASSPFRNLTFANDPGNISRIRIVQSCGGLLLCSSCPFCTSISEFTLYVYNPTTNYLVTLPKHWPAYYTSIFAFDPSKSPHFKVFVCASPPELSTDQGRFQIYSSETGSWKASGHAFNFTSRADKLAWRNGVYFNGCIHWIGKLEYGCSYFNLDEERLHTMPRPPVGQIFDVGVSENHLHVIEVHSHDYTVQVFEMKNDYSGWIVKYKIDLSLVCQVFPEMTRSRHLFKILSLVRREICREDSFLVFKIPGKVIRYNLVDKSFKPIWDIPASDPLTRPRGDYKAYQYFESLSYV >KZN09779 pep chromosome:ASM162521v1:1:29294970:29295380:-1 gene:DCAR_002435 transcript:KZN09779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRNSNETFYDGFLFYEATGDSELAKDSDINMGNIQDSDDAESCSSDSDDHQLVDFQEENECGIDDFSDDTDHDAELGSGEHQWCGQMMVSPTAGEEEGEVESKPAGNIHVDILMVDETEKNRIFWETCLEVGYP >KZN10646 pep chromosome:ASM162521v1:1:37883058:37886171:1 gene:DCAR_003302 transcript:KZN10646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMMNVMKKKEEVKNREDELDEMLVEIDLSKRNVIEMEKQVEFKEKIIQIHETNMNKAWAELEMKSKEASSVEYSIVKPEPWSDDGSYADIRFSVTMDGNSLLLYLINHKGDLDSMSDEVYEALGKSKEPGKLVLDALQSFYSKKEAEEFEEDVVCRSTSLLLEQLRRISPHIQSYHKRAALKLASQWKEKMKSSKEFIVFLQLLASYKLESSFHPEEFFSLFEVINQPTEISELFQLLNYMGKVNGQVNQQHEKT >KZN11485 pep chromosome:ASM162521v1:1:45125511:45127235:1 gene:DCAR_004141 transcript:KZN11485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQSSPELSSLPAGKSRLRQAIELSSTIISLSYSIKVFTVKWQMIRNKLQDLLSGLIDIENRDSGNTSSLSGEYIQAIIDTLCVCNEFGNKCIELKFSGKLLMQSDLSILVSRIDDHIKCLSEIYIVGLLNHSNAIVVQKPGALASRDDMKFFVNDLLSRLKIGDTHMKKQALVALNDVIQEDDKYVKICVETEGIVSILVNFLDSEVIEIQEEAAKGVCLISQVDVYRGVLAGAGIIAPLIRVLESGSGAGKECAARCLMKVTENSDNSWSVSAHGGVSVLMKIVKSVDGCCGELVGLACRVLKNLAGVEEINKFMVEEGAIVVFLGLLKSKDETVLLSSMDYLETMASTDKMNRDLILNEGGISVLVHVLDPKLSVSSKAREMAFRGIKTLCLKSESSLNVVMNSGFMDHVLYFLRYGEVSIQELALKASFWLCKTSEEAQKAMGDAGFMPELVKFLDAKSYEVCEMAAETLSVMVSVPRNRKRFVQNPQNVGLIVQLLDQDEPNLGDSKLLLTILTFLVSSNTGRRSIVNSGHLRNIEKLAAAEVSDAKKIVRRLSSNKFTSIFSGIWHS >KZN10573 pep chromosome:ASM162521v1:1:37144396:37145028:-1 gene:DCAR_003229 transcript:KZN10573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAFGNSLNSLAGSMHPNLGQFSGDASNTMLNRVRSSGPSVGESSLVSGGPNLQRSASISTDSYMRLPASPMSFSSNNTSMSGTSFIDGLSAVHQYSSQDSKFQLMQQKQLQQGASNFTSLPTEDTGQAPLQNAPRFVHDQFNASHVQKKPRLDSKQEDILQQQFIQQLLQKQDSMQFQASNSQHQALVQQQRLPLYFPSYSSFLLESG >KZN11948 pep chromosome:ASM162521v1:1:49613030:49616052:1 gene:DCAR_004604 transcript:KZN11948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFPIITKSLSHHIFKKPFFSSQILDFKSQESNLFRIRTLILQSESSPVKLTKLSDLDSGIVEVNLDRPEAKNAIGKEMLRGLQNCFEAVYRDSSVNVMMISSSVPRVFCAGADLKERKMMTPYEVKDFVNSLRSTFSFLEGLHIPTIAVIEGAALGGGLEMALCCDLRICGEDAKMALPETGLAIIPGAGGTQRLPRLIGKSKAKELIYTGQKIYGRDALDIAIHMIQWQKNEMPLKFSGTYYDAHVLMNKSKTRHISQGPLAVKMAKHAIDHGLEGDLTSGLDVEEDCYEKLLHTNDRLEGLAAFAEKRKPIYKGE >KZN07980 pep chromosome:ASM162521v1:1:1180126:1180431:-1 gene:DCAR_000649 transcript:KZN07980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRVMLMLIFSYTFVVLSSGQAPAVLHSSTMTSPAKGPGPLVSEPAPAPSMSLRGSRGSSTSGIPRYTPSSSSQGFAGFKHGTSSLAMVALLGGAVFLV >KZN08336 pep chromosome:ASM162521v1:1:5400434:5402501:-1 gene:DCAR_000882 transcript:KZN08336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKGTGLPPKSHFLDIHEVVPEEGEEGEEQVMKTAGKMDKRPPRVREIRKQQRKIISRQVSLETGVSVMNKQSQAKTGRGVLPRSGNSFAGYGEVHRFGALNDGRRENFNMFRTKSTLGKQNSLLPIRKDSGIDHVMQNLDGLDGSNYESVNRSVPAGRYFAACTGPELDQDTEDILLPKDELWPFLLRFPIGCYGICLGLSSQAILWLSLSQSPATEFLHVTLYINLCLWLLALAVLCAVTFTYMLKCIFYFEAVKREYFHPVRINFFFAPWVVCMFLAIGSPPLILPGKTSPAVWCAFMSPYFLLELKIYGQWLSGGKRRLSRVANPSSHLSVVGNFVGAILAAKVGWNEPAKFFWAIGFAHYLVLFVTLYQRLPTSEALPKELHPVYSMFIAAPAAASIAWAKIYGEFDDVSRTCYFIALFLYMLLVVRITFFTGFRRWAKQSALPFVSALRKNNSGNKDSDGEKEGYGTT >KZN09124 pep chromosome:ASM162521v1:1:20439129:20439866:-1 gene:DCAR_001780 transcript:KZN09124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSTPDNKKPHAVCIPFPAQGHINPMLKLATLLHHKGFHVTFVNTEFNQKRLLNSRGPHSLDGLPDFCFEAIPDGLSPFDENENATQSPEALFSSIPKSCLSPLRQLLEKLNGKSGSRPPVSCVIADAIMSFATIAAREIGVPCVCFRTSPGMVYLLTLHYRKLVEKGLLPMKVSSKGAYDNLECLQILGDETLLGYLLL >KZN11487 pep chromosome:ASM162521v1:1:45151236:45151872:-1 gene:DCAR_004143 transcript:KZN11487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANEIILNLVIIFSCLFIHCSSSVADGVGQTESTAVTTGTNQLTSSNSTDQFSPTIFAPLKSPLRIGGSFFLGRRYDSKEQMLNMTKSHQQPHDPVFLQLNCNSYIVGQVLTVRDTPMNRIAFAKGEVFPNSDVPRKMFTMDELHETPNDGRLFSIIMPSSDELVEKHNKGDIYFPFNHVRRNH >KZN09070 pep chromosome:ASM162521v1:1:19855462:19858646:1 gene:DCAR_001726 transcript:KZN09070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQETSRNEHKGNITSDNTLDWRGRPSNPKLHGGMKAASFVLGVQAFEIMGIAAVGNNLITYVLNEMHYSLSRSANIVTNFIGTLFILALLGGFLSDSYLGCFWTMLIFGFLELSGFILLSVQAHLPQLKPPRCNMLVDGDNCVEARGLKAFIFFVALYLVALGSGCMKPNMISHGADQFNKDDTKQSKKLSTYFNAAYFAFSLGELVALTLLVWLQTHSGMDVGFGVSAAAMGLGLISLVCGTLFYKNRPPQGSVLTPIAQVFVAAYYKRNKVCPSNPQLLHGGGSGVPNTNTIMSSDVGHSQCTTRFRFLDKACIKMEEGSNISTESPWKLCTINQVEQVKTLITVIPIFACTIIFNTTLAQLQTFSVQQGSSMNSKLTTSFHIPPASLQAIPYIILIFLVPIYDTFFVPLARKITGHESGISPLKRIGLGLFVATFSMVAAAVMEHKRRVAAVKYNEIISIFWITPQYLIFGLSEMFTAIGLIEFFYKQKIKGMQSFLTAMTYCSYSFGFYLSSVLVSLVNKITSTSSNHGWLAENDLNNDRLDLFYWLLAGLSFINFLNYLFWAKWYSDSPSASDTISHYSDCNTAKVAGDNTTV >KZN07926 pep chromosome:ASM162521v1:1:713730:717023:1 gene:DCAR_000595 transcript:KZN07926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKNVHSPPVLEDEESVSCTKKLRFEGSPVYEDTGYTLFIPSDLDYDEKEYYGEKASESDEKKFEEKLSEFGEEDTERKLSESDKKGSDDKDSAEEKHSEFDGKDFAEEKLVESDEKHNKVNLFKSVKKDTEEELSKFDEKDSAEENVTESNMKPSEEEKPNKCDKEDHQLSQSDDKYQEGSESSKELKQYHIDVEKSDGFEVGYYPNVRGSCCMLHRYYDPPAKTVSTTCLNQLVYFSQLAICLYNMNMELSQLGKLALTQTPLLIFKVKFYFSFIYYNAVAIGLSFDLQLKLYKAA >KZN10572 pep chromosome:ASM162521v1:1:37120036:37126045:-1 gene:DCAR_003228 transcript:KZN10572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRMVKKSLAGLLVLLFSVSLVHGHGKKQGGALNDLVRSKGRSREFSSFDTSSFEEVLHVEDVKCASGDDSKEKDKIVELPGQPAVEFDQYGGYVTVNQSAGRAFYYYFAEAEENKGLLPLLLWLNGGPGCSSLAYGAMQELGPFRVYSDGKTLHKNPFAWNHAANVLFLESPAGVGFSYSNTTSDYAKSGDRITAQDNYAFLLNWLERFPEYKSRDFYISGESYAGHYVPQLAHTILYHNKNTKNTHINLKGIIIGNAVINDETDNKGVYDYLESHALISHRTLMQIHRYCDFSPNVTADPKCDAATLASDVEVSGIDVYSIYSPVCTNPRLTGRPKKIAPSTIIPLLKEFMANGLRVWIFSGDTDSVVPVTSTKYSLKKMNLHIKTPWHPWLINTQKWKDMIAYLPGQPPVEFDQYGGYVTVDQSAGRALYYYFVEAENYKESLPLLLWLNGGPGCSSLGFGAMQELGPFRVYSDGKTLYKNPFAWNHAANVLFLESPAGVGFSYSNTTSDYAKNGDKNTAKDNYAFLLNWLERFPEYKDRDFYISGESYAGNYVPQLAYTILYHNKMTNNTHINLKGIIVGNAVLNDETDSKGVFDYLESHALISDQTLDQIHRFCDFSASSDLNSECDTAVGVCSEEVSDIDSTISNWRYLDKASTVLDLLEEFMANGLRVWIYSGDTDSVVPVTSTKYSLRKMNLNVKTFWHPWSVHQQVGGYTEDYEGNLTFATVRGAGHEVPSYQPRRALALISHFLNGTPLPSESLE >KZN08323 pep chromosome:ASM162521v1:1:5264770:5265210:-1 gene:DCAR_000869 transcript:KZN08323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSISRVLVFMYERQPLPNIVTPSCNGCIPERVILIDSHDVIYFKTNLVLLGFLRRVNGWFYDYKVSEKRYELPPGDMGWPFIGNMWSFLLAFKSNNPDSFVSSFIQRVHSHFDRFLESGPYTLKYRGGMWYGFLLVLRIACFRL >KZN09767 pep chromosome:ASM162521v1:1:29193596:29201499:-1 gene:DCAR_002423 transcript:KZN09767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFVNFIIRPPRAEYDPNNDLLDQEFMLKGKLFQRKDLEVKNSRGDVLQCSHYVPIVPPEEKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGDHVTLGWNEKDDLRAVVNYLREDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKIRLPKFTIKFAIQYMRRAILKKAKFDIEELNTIKVAKSSFVPVLLGHAIDDDFIQPHHSDRIFDAYMMINFIRRKVLGSKPQEKLHDDGKYSLVLVSYDVKCMRLLVMVDNGDKSWKRPVMVVRCPLLRFG >KZN08447 pep chromosome:ASM162521v1:1:6942961:6944747:1 gene:DCAR_000993 transcript:KZN08447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTWIILVIVLTCILWPWLKKKAQRKLPPGPKGLPIIGHLHLLGKNPHQDLQKLAEKHGPIMSMHVGFVHKIIVSSPDAAEKFLKTHDLNFAGRPSLEVAKHIGYEQRNLSFSTYGPYWRNMRKLCTLELLSNVKINSFQAMRKKVLGELVNVLEHAAQEHVAVDISTRITSMTSDFSSQMVFGKKFEDKEFGERGFKGVIQEGTKLAVTFNLGDYFPYIGGLDLQGLTRKMKAIANIWDQFLEKILDEHEQPKEHGQAKDFVHTMLGIMKSGYSEFEFDRCHVKAILMDMFAASADTASTTIEWTLSELIRHPRAMKKVQKELEQVVGMDKMVEESDLESLEYLNMVIKEAMRLHPVAPLLLPHHSLEDCTVDGFLIPKNSRVIINVWAIGRDPKVWTDAEKFLPERFGGSNIDLRGRDFELIPFGSGRRGCPGMQLGLTIVRLVVAQLLHCFNWDLPNGMQLSELDMTEEFGILVGRATHLIAIPTCRLQK >KZN09465 pep chromosome:ASM162521v1:1:25569668:25571416:1 gene:DCAR_002121 transcript:KZN09465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSSSSVSSDSDTFPDPQKPPPQTSLTTLLSSLDSLAGGILRRVAAGLLGAAYVCFLLFVVMIVAVVLGVGIVGLWVEDPVSVKEKVLFDYSELNPSATLALGDFGGELNKMVMREVPVGQTYYVDLLLVLPESDYNLQVGMFQLVAEVVSSDGHVIDRSSHPCMLRFRSLPVRLVRTCLFSVPLILGMRFETQKITIPILRHKEGYPRTEAIKITIIPRAGTLFLPQLYDAEIIMNSELPWKKELIRSWKWTLYVWTSVYMYIMLLIVLGCWFRPLMFPVIIASYSRKEEDFDTATEVRRERPLITQESREVSETLRKWKEIRNKRKEMLLHGVAPDTEGSSASSISVTRDDSSSTAEDAGDSEFVGFDD >KZN10177 pep chromosome:ASM162521v1:1:33321009:33323472:1 gene:DCAR_002833 transcript:KZN10177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFKPNTEDDPHKRKPDITKAKDLLGWEPKVALRKGLPLMVSDFRQRIFGDQKEDGATTA >KZN10565 pep chromosome:ASM162521v1:1:37073885:37076671:-1 gene:DCAR_003221 transcript:KZN10565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLTLHGNDCDRYALCGPYGICNKDRSPRCECMKGFTPRFPDRWRLLDWSGGCVRRKKLDCKSKEGFQKYSGVKLPDTRHSWYDMSLNVDDCRRLCLKNCSCAAYATADERRGGRGCFLWFTPLIDITGYNDDGQDIYVWMSYKQENLVGLIDEVILKSGDVIEVFRVIQIGLLCVQAYPEDRPSMSEVVVMLSSKIHDLPHPKEPGFFWERKQDKGKYAWRTSKVTSSNQLTLTTVSPRD >KZN11359 pep chromosome:ASM162521v1:1:43946133:43947724:1 gene:DCAR_004015 transcript:KZN11359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGKIGEELFGDISEKFDSFCSEVDEAINCKLKYVENQLSAVGARIEEFWSEIVQDIVDQSPEESVDVEEVEVTKISDSQMPTAIVDKEKNNSDTCSIPEVNTMVPDDRLQRELSLEENAATGMYYNSFASYKENCIDEAKITSLGSCESISRSFIEWERSWYVDTYTDVASDQQADDPVAFSKLSEAKLSDQGVESDDLPSILSSGSCESISRSFIEWEISWYVDTNTDVASDQQADDPVAFTKLSEAKLSDQGVESDDLRSLLSSGRSDVSGTEDVLDLEDYEKVDDISHREVSTVGADDSLVPEEFVYATQSQFAKPELWEYYFLVSTSFLSSIPSLEVKYQSCYTTILFFFFA >KZN10401 pep chromosome:ASM162521v1:1:35346562:35350746:-1 gene:DCAR_003057 transcript:KZN10401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVFASKPLSICRVQQKQQRRGVSGARSSLDPPDLTRLAQTARISLTPAEVEEFSPKIQQVVDWFGQLQNVDLQSIEPAIRADTEESNWRDDLPETFENREAIVAAVPNYEDSYIKVPKVLNKE >KZN09106 pep chromosome:ASM162521v1:1:20296347:20298413:-1 gene:DCAR_001762 transcript:KZN09106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSFSLSALCIMIITFLIFQSIPVAASLSFTFSNFSNTSELFFDRNATLSNNIIQLTAELASMGRATYYRPMSLWDEKSRNLTDFTTHFAFSIDSQNQRRYGDGITFFLAPVGSRIPRNATKGGTLGLTTDEQQWNSTDNPFVAVEFDVYESNYDPVGDHVGIDLSSMISVANVSWLGANTSVMKGLRNDAWITYHSSSKNLSVIFTALSNNRTINQSLSYIVDLRDYLPPNVTFGFSGSTGNRSAICSIYSWGFNTSLELHETLTDLINQAPSSSDNKGMLIGLLVGGALVVCVGILALAYYRKRRNGEEDEDEITFDDTMDGEFERDKTFRPSIRQAIHVLTLEAPLPILPPTMPVATYYPPLNMSTAALAMAYGQTVWKHRPGESSGNQNNESSSNASTDSTFQSVLYPR >KZN08214 pep chromosome:ASM162521v1:1:4014666:4029411:-1 gene:DCAR_001279 transcript:KZN08214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCYKDLVKTFESQVEKASMEEDRVSTKVAAERANGKLIQPHVDKWQKEAAKIKESTEKFAEKYKNRHSWRCIQCLPITNPVSRFRLGREAVKKTERLTDLIDSGKELLANDIAHPVRAENLPKSTTEFQEFQSRKDSYGELWHALTTDSSPIVGIYGMPGVGKTRMMEQLWEEAAEKKIFNKFARANVGKEELNVIHLQKQIAEDLGCTFDSQDNAESRASQLKQSLLNAGKTLVILDDVWREIPLDFIGIPCSMGSKILLTSREKNVCLGNKCKTLVKITPLPNDEAWDQFKNIVGSDRIDSLKDESLAKKVCGKCGGLPLLIHVISKALQFETHNSWVDALEQLEKGEFVNIPDVEAQVYTCVKWSINKLHGDAMSCLFLCCLFNEDTDIPIKKLIRLATGSQLVSGESRVLSMVDTLRSSSLLLDCKEDECIKLHDIIRAVGRSIAFKDPKLAFSHVKCDVRLLDDAEFVKTKFLRLDLDGDNIHIPDDLVCPDLHSLWILCNNDIQQFSGGFFSMFENLRFLYVEGNNDSYLSKLKFSLQPLGKLRTLVFFRCDLTDINNTNVGLFPENLETLRLLFGHLPEPLDLSNLKYLRKLEIIGLRVKIMPNTISSLFRLEELHIPDGFEIWSDDSSAVAKPILVEINQLTHLKSLHIKFKISEPFQNTNIFDNLTLFKICVGESPQEGAHFSHKTSIELQGCHEESLKGLVQKAEYVKLKHIDINVIGSIFDSNPEAFTELRELHIKECNEMEHLARMSQDEIQHSPQTSFSKLTCLEITECSGLRYLFCNSVAKCLTQLQKLIIRDCPVMEAIVMNDGSSSGDIIHFSNLEELELSKVPRLRSFCSKNKDAMMQPSAQFQPLFHRMVEFPALKDLKIENVEDTSDIWERDYNSESSFCKLTTISLQGFSKLETIIPAVMLHKLSSLQSLRISDCSSLISGVGTDASNIDVCGLPALSHLYLNELPCLTETGLKSGNLYPNLKKLEINDCHSLTNVVPKDVMHLEEIIVRKCKKMKRIVGEAKQGEINDVLVFPELLCLRLELLPNLTSFCGEETDACKVEFPNVVTLEISGCKEIKLELIEFSDQLKNLDISCDKEIELPSTWQPRLHNLEILILRKCWSHDLKSLRFHRLKLLMVFEYSGCSALFTLSGFRSLQNLEALVISDCAFLEEIADDDQMSGMNKKTITLPRLQMVVLKNLPKLKSIIHGVNYGWLVPYLREVDVENCGLSNLFSFSELISLKTLKISRCAHLEEIVEDEVSGMNKKTITLSQLESVTLEDLPKLKSLIYSANHECLLLPNLSDVSVSNCGLSSLFMCSASRSLQSLTYLKVKDCRLLESIIKYARGDETSGSTEQIIFCLLELASVELRNLPDLRSFIHGANYDCYMPALWNMEVDNCGLSTAFTCSVFRNLQRLRFLSLSNCRMLEAIFEYASGDETSGTTEQIITLSKLESLRLRDLPALKSFIHGANYNCYMPALESLQLLEVIVEDARVDDISDKTITLPLLGYTIQQRKLNNRTPCHSPIHQAMQNDNRTRPHLSYKTSVELEDYREESLNSLIKKAEYVSLKRTDINVISNIFDSNREAFTELRKLYIEECNKMKYLARMSQGEIQHSQQTSFSNLTCLEIKECSGLRYLFCNSVAKRLTQLQKLIIRDCPAMEAIVMNDGSSKGDIIHFPNLEELELSKVPRLGSFCSENKDAMMQPSAQFQPLFHNMVEFPSLKDLKIENVKDTSDIWERDYNCESSFCKLRSISVQGFSRLQTIIPVVMLHKLSNLQSLRISDCSSLISGVGTDASNIDVSRLPALSHLYLNGLPCLTETGLKSGNLYPNLKKLEINDCHSLTNVVPRDVMHLEEIIVGNCKKMKRIVGEAKQGEINDVLVFPELTCLRLELLPNLTSFCGEEIDTYKVEFPNVMKLEIRSCKEIKLELIEFSSQLKSLDISCDEEMQLPSTWQPRLHNLETLFLSGCWSHELKSLQFPVLKVLKVRRYSGGAALFTFSGFRSLQQLRQLEISDCAFLEEIAEYDKISGINKKTITLSHLQRVVLKNLPELKSIIHGANYGCRVPSLWEVEVENCGLSNLFSFSEFTILRSLNISRCAHLEEIVEVEVSGMNKKTITLSNLYEVTLKDLPKLKSFIYGTNHECILLPDLSTVSVSNCGLSSLFTCSASGSLQSLTHLKVQDCRLLEDIIKYARGDENSGTTEQIIVSLSGLWSLELRNLPDLKSFIHGANYRCYMPALLNLEVDNCGFSTAFTCSVFRNLQQLLSLKVSNCRMLEGIFEYASVDETSGTTEKIILSLSKLWSLQLKNLPALKSFIHGANYDCYMPALRIMEVDNCEFSTLFTCSVFRNLPKLHSLRVSNCRMLEGIFEYASGDETFGLPKLSSLTLENLPALKSFIHGANYDCYMPALERMEADNCGFSTLFTCSVFRNLQQLESLRVSNCISLEVIVEHARGDDTNDKTITLPRLGCIKLEDLPNLKSFGRDESIVFNMRALRVFDLVGCPKAENFTSFNTTNTGNEYVSTHTDDDDDDCI >KZN08290 pep chromosome:ASM162521v1:1:5000663:5002984:1 gene:DCAR_000836 transcript:KZN08290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEVIKAEVTVSNQDEKVKVVEEVGDVKKDVEVKPEAVEKSSSFKEESNFVSDLKENEKKALTDLKAKLEEAILGNSLFKKEEVKVVEVVEEAKVEEEEKKDEEKIECVKEEVEEKVEEKEGEKVEEKAQEEGEGSKKAVEKKVLNEWGLKIKGAFKGHNLFKKKSKKEVEEKEKAEEKAWEESKPEGEAVVEGKVEEVVELVKTEECEEKKAEAVVEEEAAEVVKTEECEEKKAEVVEEVAEVVKTEECEEKEPEAVVEEVAEVVKAEECEEEKKVEEEVVIVDKDISLWGVPLLPSKADEGTDVVLLKFLRARDFKVNEAFEMLKKTLEWRKEWKIDSILDEDLGSDLSAAAYMSGVDREGHPICYNIFGVLNDEELYQKTFGSEEKRDFFLRWRFQLMERGIQKLELKPSGVTSLLQVTDLKNSPGLAKKEVRLAVKQALGVLQDNYPEFVARNILINVPFWYYAFHAVFSTKLTQRTKSKEVFARPAKVTETLIKYIPVEEIPIQYGGLKRENDYEFSSEDGVASELILKASSTATIEIPAPQTGTTFIWDITVLGWDVKYKEEFIPTEEGSYDIIVQKGKTLSAAEGPVRNTFRNPEAGKIVLTIENCSSKKKKVFYRHKVKKCAI >KZN11186 pep chromosome:ASM162521v1:1:42393646:42396878:1 gene:DCAR_003842 transcript:KZN11186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKILIVDDDSTCLIILKTFLQKWNYEVTAVKHPYDALSALRENSYDLVMSDVHMPNMNGYELQKHINEAFRLPVILISADNRAELEGIQNGAVYVMIKPISIDDLKYIWQFSVWWQKKINGTTPSFREINNQSEVYVNTVPSNGIFSEKTGKVMWSGGLHTRFVEALFILGYHRAVPKDLVQVMNVPGLTREQVASHLQKYRRFVKRMMDGTATEISMSQWIYMHCYSTVVNGNPHLMLINQVKEAQRTGNLEAPSAASNGSSSSGGLRILPPFNPADASLGMNISPMWIPSNYASSSVDMTAEGLSNAALGSVVKEDQAHE >KZN08939 pep chromosome:ASM162521v1:1:17965081:17969399:-1 gene:DCAR_001595 transcript:KZN08939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRALQQLLRARLQFQSTALPVLSSIKAKNDKEGTVYLKSLRAFALLGAGVSGFLGFTTVASADEAEHGLESPDYPWPHSGILSSYDHASIRRGHQVYQQVCASCHSMSLIAYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDHFPSPYPNEQAARFANGGAYPPDLSVITKARHNGQNYIFALLTGYREPPAGVSVREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGVPATEAQMGKDIVTFLAWAAEPEMEERKLLGFKWILVLSLGFIAAGYQRRMRWSIMKSRKLVLDVIN >KZN10495 pep chromosome:ASM162521v1:1:36284885:36289122:-1 gene:DCAR_003151 transcript:KZN10495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAKLSEIRAKGSKFSDQNQIPKSNAAKGSNNPVKPRSSWGSNIVKGFSGDKKTKIQTLAQSKKVPLSDNSNQKNALVSSQVRVKRSLIGDLSCSVTPTQVHPQFNKTNSSGSRDLYLELDHLRGWLQESKEREIHLQAELLDCKRNPKILDLEKELELKKIENDNLLRKVAHLESQNTCLSEQLVSATSVLEERQEHILERENADVLKVAPSNSLEVEVVELRRINMELQLEKRNLACRLSSMESKLAALAEVSETDIIEKIKAEAFSLRHTNEDLSKQVENLQVSRLNEVEELVYLRWVNSCLRSELRGLSSANSDQMSTPNAVERNSEILNLSSCQSDTDYECSSMKRLNLVKKLKRWPITDEDLQNFENPAHLLEHNWSDIQSPRRRHSISGAKYYAEDSAQNKRRQSDGFMCLKEVEKEEDSLAPQTYKMGDIQTDQFFMNCQEITKARASFDVERRVLRVPNPPPRPLCSVSNDPKNVSSQLPPPPPPPPPPPPPPKFAGKSTTGMVKRAPQVVEFYHSLMKRDSRKESLNGGACDGVNVANVRSSMIGEIENRSSHLIAIKADVETQGEFVNFLIKEVNEAVFQDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPEKKADTLREAAFGYRDLKKLEHEVSNYNDDTRVPCDLALKKMVTLSEKMERTVYSLLRTRDSLIRNCKDFQIPTDWMVDNGILSKIKFGSVKLAKKYMKRVATELHTKGTSEKDSSMDYMLLQGVRFAFRIHQFAGGFDAETMHAFEELRNLALVLNKM >KZN09540 pep chromosome:ASM162521v1:1:26803623:26804342:-1 gene:DCAR_002196 transcript:KZN09540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLQDSMALKRKRGSQGPGTQSLGETDRNILALVRGKEDLGISIAELRRASNLPANQLNKAIKTLITGQLIKEVPNIKYKGGKHYMGAEFEPSKELTGGEWYADGKLNQDLIEFLKSTCKKIVAKLKVATAKGVHEFFVKYKVFKEDVSGQQIAQILNSMVLDNEILEVKSNGLAEYHSIPVGEVCYRCAPGGGLGEAAKNGAMVSIPCGVCPRINICTPDGVISPTTCVYYTKWLDF >KZN09562 pep chromosome:ASM162521v1:1:27125589:27127418:-1 gene:DCAR_002218 transcript:KZN09562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARFGRSSTRYGGPATVFTGPVRKWKKKWSPVTNNKHPQNTNGTTSCILLYKWTPYDVNVSDSKKTKAELPRRRFKYIPTAALEEQKNESAEEVDAEDESNSSETKPEAAEPDSKSDGINEKPDMNDVPAKENKDIKDNPQERQDLNESTLDLSLG >KZN11972 pep chromosome:ASM162521v1:1:49790152:49790418:1 gene:DCAR_004628 transcript:KZN11972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLRDVDGHVNIQGYVASSPVIGERQRPWFDRRLRSVVKSVNEKSFAAVNYTLFSFAVLAIIEVRLVFHRGSFAHVKIYGDITANL >KZN12029 pep chromosome:ASM162521v1:1:50416490:50428774:-1 gene:DCAR_004685 transcript:KZN12029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEFGKEYILAPSISEKQNVMSQVLINARLEDKDLETRGVNVVQLDEESGAVVYGNQSSKFEFEATGVVSAKVQSGGTVNTLAMPVQHNDTSYHSSKEIDGVVLATSTPEKQESKKRPNSRIHLNKTPQKKLKNHKPKILESAKRKTHNDQASKFMPKTPLKRSTPIRRSKRSHGFVDVIDKFESPTIDHKYDQLVVRDRSVGASIVPYKGRFNPLKKKKKEALPKVDLDEKSEKAWLKLMDSCGKNYEQGEDEEYWDTERQIMINKVESVISILGGFQGNRSFSRWKGSVMDSIIGAYLTQNVSDHLSSSTFMCFAARFPPRDIKRSSKIHEKLSACLPLELFPKDVEMQKWGNKSTFQEISHIGSQSEIESLVNCASIIDREGTKVKSFQAKSEAKKEKIIGPIFSLSNGYNKRGFPDRKIKKNEKHKRDWDKLRKTYCQPRERNDDNMDAIDWESVRQAPIAEVVEIIQGRGMETVLANEIKACLDRVMRDHGSMDLEWLRDVPPKDAKEYLLSIYGIGLKSAECIRLLSLYHVAFPVDVNVGRVVVRLGWVPLQPLPEGLQLHLLQEYELHYHLITFGKLQVDKRDPNDPSPYLFAPWVFYEEGSKLRSSFSQGSSSSREIVCYPTFSNNEDDDFTTTVSGTLMIPVRSANKGSFALNGTYFQVNEVFADDESTNVPICVPKQWLSNLPSALLYCGANVTSAFRELPMECIHKAFSQDILGQQSLLLDLGSQSHLLEIYRSGGPAIYVPSHSSRMRSRVKEKDAHLPKKILLKIFQVLNGHVFTGLNKGQLLEKSYMLMLRLQPSFLLYSHKVYNQYK >KZN08821 pep chromosome:ASM162521v1:1:15821597:15834026:-1 gene:DCAR_001477 transcript:KZN08821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEQIATDNVTNSTSTNTNQTTLYSPLQLEAEDEYGDDDGNDDVGDEEEEEEEDDMSYKMRFEGEMDPLDFIENDASAVDKYHQFQRIENEYEALAARKRKSLSTPLSSSNPSKKLRNDDDHVETFEEIMEAMAYNSGRKRRRKNKKKGRRRGSKKKVNPVVARKLGEATLHYSNERYEEARCLLKEVIRLDPYLPDPYYKLGLVYEQLKDKKKQMGCYMLAAHSSPKDGSLWKLLVLLSVEEGNNEQAMYCLSKAITADPQDLSLRFDRASLYVELGDYLKAAESYEQISRLRPELVEAELRPELVEALKKATKLYQKCGQRERAVSILEEYVKRNQTEAEISIIYLLVSMLIEGRAYIKALNHIEHAQQAIFCVLQRGNACDRPQIVTEVADSLANLKHYELALKYYMMLEAIGDNDSGVLYSRIAECNLHLGDRLRSIEYYYKALPKLNDSVDTRLKLASLLLEESKDDEAISILCPPTDHECTYEHNLDKPELWWTNFTIKLKLSQIYKDRGSLDSFVDTIYPCVRKSFLEAIQQKDRAKRKLTRSELFERAKVLDNRQNDNVFHGFRPMASTSDLTKATRAKKLLQKKEALREEKRAAALAAGVEYKSDESDVEPPQVTRRRPLPNLLVDEEYHCLIIDLCKSLASLQKYWEALEIIDISLKLACSTLSVERKEELRSIGAQIAYNIGDPSHGWDCARYIVNQHPYSFAAWNCYYKVISRLDNRYSKHGKFLHSMRVKHKDCVPPYIIFGHQLTMISQHQAAAKDYLEAYKLMPDNPLINLCVGSALINLALGHRLQNKHQCVMQGLAFLFKNLLLSENSQEALYNIARAYHHVGLVTLAATFYEKVLAIREKDYPIPKLPNENQDGVESRKPGYCDLGREAAYNLHLIYKSSGAVDLARQACQTKLTGTVAMKHNRNLRTGTDTPIIDAIAPRSSSIAFLSASLSVSTKPRFAY >KZN08426 pep chromosome:ASM162521v1:1:6568963:6580107:1 gene:DCAR_000972 transcript:KZN08426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTTTMMKKKEGTEVIRIERESVIPIIKPRLIMTLANLIEQSGDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHGAQKLEQQHLSPEEIDVMDKSNFKIATDDEIEVAHSGQYLLNLPISVDESKLDNKLLKKYFQEHPHDNLPDFADKYIIFRRGTGMDRTTDYFFMEKVDMIISRIWGWILRITRLDRLKRSSKKHKKDPKKVEEIPEDSEQADYIERIRIENMKLSLRNLASKITIQEPTFDRIIVVYRGASKGNKPERGIYVKHFKNIPMADMEIVLPEKKNPSLTPMDWVSFLVSAVVGLVAVVGSLESPKDDFWVMFAILSAVIGYCAKIYLTFQANMTAYQSLITKSMYDKQLDSGKGTLLHLCDDVVQQEDLDLRCEELIQEEFGESCNFDVDDAVIKLEKLGIVSRDTIGRFYCVSLKRANEIIGTTTEELVLKAKLGSGN >KZN10512 pep chromosome:ASM162521v1:1:36466942:36469655:-1 gene:DCAR_003168 transcript:KZN10512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEDVINKNVLVGIRFDGHTKELLDWALVKVADPGDRVIALHVCRNSAPHSSLKEDLDGILDEYDGLCLKRKIDLTGEVLKGSSIRKVIVREAKSRAAMAVIVGISKPHTIGGWASLAKYCAKNLPITTEVLAFHKGKVVFRRSATDDSPSFLRDPKPSICLIKTPTSRDGQSEFGDSELSEIGRNSHEGILSCEDGWRESKYEDLGSFERHRKSLSALSTSSDFVQQRPGWPLLQAASISSPPIHEARKMSVVSWVMSLPNRSSSPGTPGSNSSLDSVKTEFFLGRESSNLGNSGDYDDSSKGSCEIPEALELLKTNSSSSKWFSYDVLKSSTCQFSSGHIIGKGGCNSVYKGILSDGKAIAVKISKSSREAWEDFSQEVDIMTSLNHKNITPLLGVCVEEKNLYSVYDYMAGGDLEENLNNKNKVLSWDTRLEIALGIAEGLNYLHNECSQPVIHRDVKSSNILLTKDYKPMISDFGLAIWAPTNSTFITHTDVVGTFGYLAPEYFMYGKVSDKVDVYSFGVVLLELLSGKKAIGFESPKGQESLVMWAKEKLESGDLISVLDQNLENNVDKDQIQRLALAAILCLRRSARIRPKMSQILKILRGEKDVEYRSEDQNYCDDQMNNDDEVYPESSVESHISLALLDVDEDSVSLSSTGQSSSRSLEAYLKGRWSRSLSLD >KZN09826 pep chromosome:ASM162521v1:1:29704482:29706228:-1 gene:DCAR_002482 transcript:KZN09826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKKITVIGLSSVVLVAVVVAVALTQSSDGGDEKGGGQTVHTSNKAVNSLCQPTDYKDACVKSLSEANTEDPRELIKTGFKAATKEIGDVVSKSKTLEDASKDESTKKGYELCKKLLETSVDDFNRSMDKVGKVDAGNLNKLIADLKTWLSGALNNQETCIDAFQNTTGDAADKVKNLLKMSHALSSNALAMVTELTNILKSVDLKSLGQRRLSSVDDEIPDWITTHQRHLLQAAAAPNAVVAQDGSGQFKTVGEAIATVPANNAKPFVIQVKAGVYNEIVRIPRHTDNVVLIGEGATKTKITGSKNFIDGVKTFETATVGVDGDAFMAKDIGFENSAGAEKHQAVALRLSSDRAVVFQCQIDGYQDTLYNHNYRQYYRDTTITGTIDFIFGDSASVFQNCKMVVRKPLDNQGCMVTAQGRIDQRSTGGNVLQNCTITGEPGLPPATKNYLGRPWKEFSRTIIMQSNIDAIIAPEGWSPWTGDFGQKTCYFLEYQNRGPGSDTSKRVNWPGIIKNMNDQDIQQFTAVKFIQGDTWVPATNVPIENGMMKV >KZN10484 pep chromosome:ASM162521v1:1:36170262:36174065:1 gene:DCAR_003140 transcript:KZN10484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSGEKLRFCIDRGGTFTDVYAEIPGQNEGKVMKLLSVDPSNYDDAPIEGIRRIIEEFTGQKLDRSCKIPTDKIEWIRMGTTVATNALLERKGERIALCVTKGFRDLLQIGNQARPNIFDLTVAKPSNLYEDVIEVDERVELVVDGEDGRVRSELAVVKGVSGDFVRVVKKPSEDVLKPLLQGLLDKGIKCLAVVLMHSYTYQEHEMYVEKLALSLGFKHVSLSSALTPMVRAVPRGLTASVDAYLTPVIKEYLSGFISKFDEGLGKVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFGLETEKPLIGFDMGGTSTDVSRYAGSYEQVLETQIAGAIIQAPQLDITTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANVVLGYVISDYFPAIFGPNEDQPLDIASTRKEFEKLALEINSYRKGQDPLAKDMTVEEVAQGFVDVANETMCRPIRQLTEMKGHETKNHALACFGGAGPQHACAISRSLGMKEVLVHRFCGILSAYGMGLADVIEEVQEPYSAIYGPESVKEASRRETILLKQVKQKLQEQGFKSENITTETYLNLRYEGTDTAIMVKRQINSEGSGDDYAVEFVKLFQQEYGFKLQNRNILISDVRVRGIGVTNILKPQALEPSSCSPKVESQYKIYFFNGWQNTPLYKLGNLGYGHIIHGPAVIMNGNSTVIVEPNCKATITKYGNIKIEILSAVSSVKVTENVADVVQLSIFNNRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFSPDGGLVANAPHVPVHLGAMSSTVVWQLEYWGDNLSEGDVLVTNHPSAGGSHLPDITVITPVFNNGTLVFFVASRGHHAEIGGITPGSMPPFSKSIREEGAAIKAFKLVEKGTFQEEGITNLLSAVCSDDADRDIPGTRRLQDNLSDLHAQVAANQRGISLIKELIEQYGLDVVQAYMTYVQANAEHAVREMLKSVAAKVIQGSTITKEDCLTIEEEDYMDDGSVIHLKLSIDPHKGEAYFDFSGTSPEVYGNWNAPKAVTSAAVIYCLRCLVDVDIPLNQGCLAPVKIFIPEGSFLSPSDKAAVVGGNVLTSQRVTDVVLTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPSWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFGLRENSGGAGIHRGGDGLVREIEFRRPVVVSILSERRVHAPRGLKGGKDGVRGVNYLITKDKRIVYLGGKNSIKVEAGEILQIFTPGGGGWGSV >KZN10812 pep chromosome:ASM162521v1:1:39239098:39242579:-1 gene:DCAR_003468 transcript:KZN10812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAEEHKSKTSVDEEWRMREYYRICTLGGMLSAGTTHLLITPLDVLKVNMQVNPVKYNRMGSSLSILWKEQGSYAFCRGWSSKFFGYGIQGGLKYGLYEYFKRFYSDVLVEHNKSVIFFLSSASAQLVADVALCPFEAVKVCVQTQPTFAKGLVDGFPKLYASRGISGLYKGLFPLWGRNLPFSMIMFSTFEHSVDMLYGNVIQRRKEDCSRAQQLGVTSLAGFVAGAVGTVISNPADNIVSSLYNKKADSVLQAIKNIGLLNLFTRSLPVRLTIVGPVVTLQWFLYDSIKVLCGLPTSGGLNRHTEETTHIMKKHQRVA >KZN10280 pep chromosome:ASM162521v1:1:34165603:34168577:1 gene:DCAR_002936 transcript:KZN10280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLKTSSGYSDVIAPSNPESPNPHIETKLAPPFSSLLRPGFFPPPIIQPNQIPQPTVGIPSLNSVIQPNQISSPAVRTLSPNPVVQPNQVRTPSPSSVSPVNGIRAGGPGPHLSTPPGPPRFSSPLQPAAVPFRTSPASPQPPAFSSGSSLPTSSPPQYSNGAFELQHQASDASEDISPVNESTNVLFSANKVLKQKKLLNTPSLGFGALVSPGREISLGPQIIQRDPHRCQNCGAYANFYCNILIGSGQWQCVICRNLNGSEGEYIASSKEELRTLPELSSPFVDYIQTGNKRPGFVPVSDSRMSAPVVLVIDECLDEAHLQHLQSSLHAFVDSLPPTTRIGIVLYGSTVSVYDFSEESTASADVLPGRVSPSQESLKALIYGTGLYLSPVHASGHVAHSIFSSLRPYKLNLPEASRDRCLGTAVEVALAVIQGPSAELPRGVMKRSGGDSRIIVCAGGPNTHGPGSVPHSPSHPNYPYMEKAALKWMENLGREAHRRNTVVDILCAGTCPVRVPILQPLAKASGGVLILHDDFGEAFGVNLQRASTRTAGSHGLLEVRCSDNIFITQVIGPGEEANADNHESFKNDSSLSVQMLSVEEMQCFTLSMETKSDIKGDYVYFQFSIQYSNVYHADISRVITVRLPTVDSVSAYLESIQDEVASVIIAKRTLLRAKNSSTANDMRATIDERIKDIALKFGSVMPKSKLYRFPKELALLPELLFHLRRGPLLGNIIGHEDERSVLRNLFLNASLDLSIRMVAPRCLMHREGGTFEELPAYDLAMQSDSAVVLDHGTDVFIWLVCH >KZN08436 pep chromosome:ASM162521v1:1:6771764:6771997:1 gene:DCAR_000982 transcript:KZN08436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFWFIKFFKNGVRQCLGLEAEPSPAGTSDGSKSSAHIEGEEEEALDVSFSAGTDYTMPVDTDQETPATVSSLPLPL >KZN10061 pep chromosome:ASM162521v1:1:32264848:32268971:-1 gene:DCAR_002717 transcript:KZN10061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAQKLIHHWKILRGDNVMITRGKDRGGTGLVKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQVVDPVTGKPCKVGIRYLEDGSKVRVSRGIGASGSIIPRPEILKERTTPRPTVAGPKDTLMNLVLEETYNAKTGKGMPDL >KZN11153 pep chromosome:ASM162521v1:1:42167848:42170001:1 gene:DCAR_003809 transcript:KZN11153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKHLPAGSSVFIKSLILLSTIHFAATLNFSYNSFTPDDPEIEHDGDAFTSSGDIHITKSLNGQSALSSVGRVTYKEPLHLWDKASGNLTDFTTRFTFVIDSINETWYGDGIAFFLVPNGNELPLNATGGGVFGLTNDSQPTNITANKFVAVEFDVFGNAWDPIESVDVNEGTEHVGVDINSVRSEKTVPWVNASSSITNGWTNEAQISYASSSKILSVSFRTGINGKDLHQSFNFVVDLRDHLPDWVSVGFSASTGSTFSYNRIRSWEFNSSLESGEDKAAQTHPAADVPSQTDPTAEGLIPSKSKNNKMGLVVGLAVGGFLLVCAAGIYVILKKKKKKKKKEREENQNPICVEDDFMDDEFEKGTGPKKFSYNALAVATKENERELVKWVWELYGREQILEAADPKLSGDYDEYQMQQLMIVGLWCAHPDWTIRPSINQVIQILNFNAPLPALPTKMPVATYSMPSNLSSLSLEYVTAQRNQTQSSGYSNDTDSSLSASAARLNNAR >KZN08762 pep chromosome:ASM162521v1:1:15015514:15016519:-1 gene:DCAR_001418 transcript:KZN08762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLDSFDFYNQYQKKATTQAFMCWDKSADDELIVVAFRGTETFDADAWSSDIDLSWYELHQGKKEVHGKVHGGFMKALGLQKSHGWPLIYQKDDEKPLAYYVIRDKLREILQANEKAKFIVTGHSLGGALTILFPAILAYHDDDLLLKRLQGIYTFGQPRVGDKEFGDYMEGLFSSYEIQYLRYVYCNDIVPRLPYDDKSFMFKHFGKCLYFNTFYEGKVVAEEPNKNYFSPWYTIPQTIPQTLNAIWELINTRRGQITKSQAFFKC >KZN09009 pep chromosome:ASM162521v1:1:19016024:19018151:1 gene:DCAR_001665 transcript:KZN09009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQGFFTALREEVVRGLSPRRSRDRTPGRVGSPLSGLLRRRKASSRSSNLGISENVISRSGSMRTLTPLMEGPDPDGGEIGDSKRVGLGQWITGQLTRTPSSLNASFDNKKSDLRLLLGVMGAPLAPVYVNATDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKVQNSIRNAYAMGKVKMLASEFETATRVMKNKNATRDAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPARPLRRALQGLDPRTTASLFADSKCIGEKMINGEECFILKLCADPKTLKARSEGPAEIIRHVLFGYFSQKTGLLVLMEDSHLTRIQSSGGEAVYWETTINSFMDDYRPVEGIMIAHSGHSVVTLFRFGETAMSHTKTRMEEAWTIEEVAFNVPGLSLDCFIPPADLRSGSISEARELPQGGREMSATALAVHRAKVAALENTNDDDMVWKVEDPTTELELSYPSNGGSKGGL >KZN12116 pep chromosome:ASM162521v1:1:51127767:51130547:1 gene:DCAR_004772 transcript:KZN12116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMVRGLLKHASKSVLNSKQPLKNALFNHSYYIYTPLFSRKQSFKAFCQVPGVSPLYELEKFGPRGRHSIHTTRWLNRFEEPVNPEEPTGHTEGSADGKTKRIKLKGKRAVVKWLKFFRFKKKKEYERMTAEEKILYKLRKARKKEERYVEALRKLEPKESAETKHDPEILTPEEHFFFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVVVKTFSPEEVREIAVELARLTGGIVLDIQEDNTIIMYRGKNYTQPPTEIMSPRITLPRKKALDKSKYRDGLRAVRKYIPRLEQDIDLLRARAENQAESNFGLTEESQTKNMPGLTSNTQLTDITTCNSGNLSKEQLEGSLKLQELMAQNDGCHEDDDSTTHSGIDSDSEALSDIFETESDTEYEKEEKNLYLDEFDKIPARNEGQADDFEVHLRQISADSKMEKSWEKDTEIPNLDEVDRMVLRAASLLKKKRR >KZN10218 pep chromosome:ASM162521v1:1:33629163:33633954:1 gene:DCAR_002874 transcript:KZN10218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEPNSSSSASASNSCSLSSSASPVAQPAVACSSGKRSRDPEDEVYVDNLNSHKRYLSEIMASSLNGLTVGDSLADTLMDSPARDEMPLQYSPMSEDSDDCRYYESPVNACSSQADSVPTSPVSPYRYQRFPCGFSSGSPTTSYPLHNCNLPVTCPQPRQRGSDCEGRFPSSPSDICHSADLRRAALMRSVQIRTQSPGPSLLEMSFSSGQEPGHNIEAQERPCSYTKSLVVERENHIVEGCPTSAIPELETREKSCRALNMDLKRDEAAD >KZN11774 pep chromosome:ASM162521v1:1:47983514:47986592:-1 gene:DCAR_004430 transcript:KZN11774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKASRGLVIYGDGLARLVDPTHTHLHKLASLSCCGFLSLPHSPPSESEDARVIREFAQLLDVGDTCMDTSGEGTTKDVTSEKLSSVKSISDRFMGMRAAVITDSSSLKSFGGKNGLSVLQLQELYDRNKAYAQPSHVVLAFELLKLLGFQEGKVLEASQFDLIFLHIGAHEEIFGQKDIEFLNSLIGGVMEIASVGSDIGSRLHLSLVLGYGDVSQNDDTNLSVLATNQSSNSDLILLFPRQSYCLKGMNLRTDVRPHCPILMTQWQSGVTRKDNVNNFFFQEFKEHCGNLTVPADRFLHEVAFKLWKAPKYGA >KZN11550 pep chromosome:ASM162521v1:1:45662548:45663771:-1 gene:DCAR_004206 transcript:KZN11550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLYKSKLQPLYTKLTPQFLHLRHFSPSILNPDSTTPLSAKDKSKAAFALIKSEKNPETILEICRAAALTPDTHLDRLIFSKAISKLSESNYYEGIREFLNELQTRPDLKNERFVSHCMVLYGEAGLLGDAVKVFDKMRELGIRRTVKSLNSLLFSCIVANDYGEMKRIFVEFPKRYGIEADVDTYNTVIKGFCESGSSNSVYSVLAEMDRKGCNPNASTFGLWIAGFYKEEKFDEVGKVLEEMRKRSMFPGISTYNIRIQSLCKLKRANEAKALLDEMFSRGMKPNKVTYYHLIHGFSREGKMDEAKGFFKKMNNAGFKPDSECYFTLVYYLCKNEDFETALSVCKESIAKNWIPSHSTMKLLVNGLASISKVDEALELVAHMKEKFPNSADKWNETEESLPKN >KZN10600 pep chromosome:ASM162521v1:1:37447571:37448062:1 gene:DCAR_003256 transcript:KZN10600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGLSKDGLTHEALGLLSQINEKGSMPDVVAHTAVVDAYVNAGQAKEGLNTYLRMVNSGIRPNAYTYSVLIKGLALSGDKKLFKEVKKIVVEMMGKGMKVNTGTCVAVFEAFVKMGNVEEGKEFFDVMKGKGFVLDEKEAREALKGKRGPEFRGVMDILFGK >KZN08556 pep chromosome:ASM162521v1:1:10380075:10382728:1 gene:DCAR_001086 transcript:KZN08556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIPMANEIEINNSMSKVPKELDKQELLQEKDKCVWIQTVDGGTVQVEQQILQLSPELWLQIKSGVGLMKSKPLLAPPKVQVAALTSVVEYCRFHRVPDRSDKERKLFADKFLKKDLDSLCLLTHAARYLGLECLCDKLCEAIARHISNSSSQEKHDIYRYATKHAKPETLEDMKNFIGPLQRRLIQKLSVKKEKELAEQENAMKVKAEVEASKCEDKCSVDDLVSFINGGDGDSKKGRTKKKKNHRRKKGPKAVPSVCPTAEPSSSTRDGSLPVEDEFDDGDCDPALKEEIDRLA >KZN09516 pep chromosome:ASM162521v1:1:26580892:26581047:-1 gene:DCAR_002172 transcript:KZN09516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLFNRGVLGKDNKTNPVYESHGKEIHTVSNIRIFYTAIPSQLIAFTNSV >KZN07903 pep chromosome:ASM162521v1:1:559621:565608:1 gene:DCAR_000572 transcript:KZN07903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVLKPMDAEQLRENAHKMVDFIADYYKNIETFPVLSQVEPGYLRDLLPHSAPDQPESLQNILDDIQAKILPGVTHWQSPNYFAYFPSNSSVAGFLGEMLSAGINMVGFSWITSPAATELEMIVLDWLAKLLKLPDHFLSTGQGGGVIQGTASEAVLVVLLAARDKVLRITGKDALGKLVVYCSDQTHSALQKACQIAGIHPGNCRVLKTESCNDYSLSPETFEQAISTDVASGLIPLLLCATVGTTSSTAVDPLLELGKITKMKGIWLHVDAAYAGSACVCPEFRHYIDGVEEADSFNMNAHKWFLTNFDCSALWVKDRSALIHSLSTNPEFLKNKASQENLVVDYKDWQIPLGRRFRSLKLWMVLRLYGLENLQSYIRNHIQLAATFESFVTEDPRFEVVAPRKFALVCFRLLPPSHKDEDCSNQLNRDLLDAVNATGKAFVSHTALSGRYVVRFAIGAPLTEESHIIEAWKIFQEVATVLLKSLKMNHTRPLN >KZN10483 pep chromosome:ASM162521v1:1:36160126:36168425:-1 gene:DCAR_003139 transcript:KZN10483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQHSARSSTVTVNDGDDEVSGGFLPLASGPQQPYEPELLRVDAERIEKQMQEVAVSNYRAFISAADALLAVRQEVSSIDKHLTSMIAEIPKLTSGCTEFIDSAEQILEKRKLNQTLLSNHSTLLDLLEIPQLMDTCVRNGNYDEALDLETFVGKLSTMHPKLPVIQALAAEVKHTTQSLLTQLLQKLRSNIQLPECLRIIGYLRRIGVYSEYEMRLQFLRCREAWLTGILDDLDQRNAYEYLKGMVNCHRMHLFDVVNQYRAIFADDTSGSEENHDGGLLFSWATHQITSHLKMLKVMLPKIAEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNMGTAVENFQLVLDSHRWVPLPAVGFSANSFGDENQEDVTPPPNLMDHPPLAVFVNGVSAAMNELRPCAPIRLKYIIAQELIKGLRAVSDSLLRYNTTRMLRENESVLFLSLCRSFTEVAFPHCATCFGRCYVGGASLITDSKGLFDGINRLVAASISRDLPKPVNNSENKNTTENGEAPPAENGVIDNGGQTESLGAVEKEEHDTSPQTEEKPVNDDATRA >KZN10882 pep chromosome:ASM162521v1:1:39902129:39910142:1 gene:DCAR_003538 transcript:KZN10882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATFPPASNSVRDDDVVESDIELDDADVVESDNDPPQKMGDSSIEVSEENLDAAQALKIKAMDALEKGMLAVISLLNEAIDYLTEAITLNPHSAILYATRASIFVKMKKPNAAIRDAEAALKVNPDSAKGHKTRGLAMAMLGSWEEAAHDLHFASRLDYDEEINSVLKKVEPNVKKIEEHRRKYERLKKEKELKRVEQERQRRQAAQEAKAATAFKDGQVIGIHTTGELETISSAASKASRLLVIYFTATWCGPCRYLSPTYVNLAGKYQKVCFLKVDIDEAQAVARLWNVSSVPTIFFIKNGKEVDKVVGADKNALEKKIIQHAG >KZN10073 pep chromosome:ASM162521v1:1:32345218:32355069:1 gene:DCAR_002729 transcript:KZN10073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRFPFSFSQSRPPNSPATSRFRNATVCSAAVAAGVGAIAAGVSLSQNNTSPFMQNTLEFLINKFTLNYNDPIWGSLSLAAAKADITESKTGVSFPAVLKDSQQLLGVGLRKKSVMGLKSINVYAFGVYADESDVKKCLAEKYGSLSVSQLKEKDVNEDLMESDVCMTVRLQIVYGRLSIRSVRNAFEESVGDRLQKFGGSDNKELLQSFTSQFKDDIKIPRGSTIDLSKERGYVLRTKIDGKEVGSIQSKLLCHSILDLYIGDAPFDQQMSSSTSSTFSSVSKPPLRMYSLAFNAERAYFVLSVLPLSFSYLLFRGFPAWLKFIPGISFRTENDPFKPQIENGDKIIMPPSALDRLGYASHIDYPMLFELRNAATERVSHCGVLDFVAEEGMLRDFNTEKLFMLNHWRVETVLW >KZN11423 pep chromosome:ASM162521v1:1:44516746:44522158:1 gene:DCAR_004079 transcript:KZN11423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPLGPVIGRHPSSTNGNDEQISSDGHGHRGGVIRHNRKCRDIGFLVFFIAFWVAMIVNSSFGFNQGNPLRLSHGLDFKGNVCGDKHAKPNLRGFDLRYWLNPNQVYLSGVKDSLNNLEDAKSICVKNCPVTSEDSLKWVCNYPEGEIHLSMKEWVHRDYDYFDMLTSEMRNSSLQLLGPCYPLLFPSVNVYWSCQYVARVSNASLQHWHQIGGVAIDENMLIDKTIHKSINSRSAVLKRYIADIGRAWGVLIVCGGLIPLFLSVIWLLLIRYFTAAMTWITVCTFNILIISVTIFYYLKAGWIGNDAVSPIIGKHDPYYHLTGREQGDLRFVAVLMTFAVLVSILSTIAIVRRILMATSVLKAITDELISLQVASKVIGEVQALIIFPVIPYLLLAVFYMIWFSATLYLFSSGEIEQNSCSSNCCTYDLGSNRVSCDHCCGYSIKYTPHLGLAIAFHLLGCYWATQFLKAFSSTVIAGSVASYYWARGEALQQVLFLPVFSSMKRLLRYNFGSVAIGSLIVSVVGSTRSILKSVRQRLKVADVRPENWFGKVAYYTTQYILASIGWTIRHVNRNAYIMIAITGETFFSASAIATDLIRNNILRIGKVNVIGDVILFLGKLSMSLASALFAFLMLDTHRYKSSHNKVSSPLFPVLVCSALGYVVATLFFAVVEVSIDTIILSYCQDSEEHQGNARYAPPLLLETLDDDNEMQRLTQ >KZN11357 pep chromosome:ASM162521v1:1:43933981:43934267:1 gene:DCAR_004013 transcript:KZN11357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPSVQATFCLILLIFAFGIMIMGGEARHVVWWPCTSDSDCQGPCSTPVYHCINGRCVCN >KZN11207 pep chromosome:ASM162521v1:1:42603260:42604950:1 gene:DCAR_003863 transcript:KZN11207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTLSSWDLMWFGMGAMIGTGIFVLTGLEARTVAGPAVALSYLFSGISALLSALCYTEFAVEIPVAGGSFAYLRVELGEFVAFIAAGNIILEYVISCAAVARSWTSYLATLCNKKPDDFRIYASGLGENYNQLDPIAIGIIIAICLFAVFSIKGSSRFNYISSCVHMAVILFIIIAGLINADTNNYHDFAPFQVRGIFKASAVLFFAYTGFDAVATMAEETKNPARDIPIGLIGSMAITTSIYCLLALTLCLMQSYKDIDVNAPFSIAFHAVGLGWAKYLVAFGALKGITSSLLVGAVGQARYMTHIARTHMMPPWFANVNSRTGTPVNATVVLLIATAVVAFFTDLGIIANLLSISTLIIFMLVSVALLVRRYYISGVTTTDNRNKFIAFVALILGSSIGTAAYWGISEDGWIGYCITLPIWILATAGIRVFVPHAREPKLWGVPLVPWLPSASIAINIFLLGSIDRDSFIRFGVWSAFLLIYYVFLGLHASYDSAKECQDKNYVKGTIPSSTGESASVAAANKNGHTHFSV >KZN09306 pep chromosome:ASM162521v1:1:22798146:22799790:1 gene:DCAR_001962 transcript:KZN09306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEREDFNGSGPLHLTDIDWTNVEHQRIIAASLVQSVYILELDRHENREGEQALAPPWWEFFHFKLHSMLVDDVDLSIFGAIFELDLQSPECSLSTSEMPHYVIAFRGTLTTGAAFARDVLLDIDVVRNGLHQSSRFEIAVQAVQNLVAAFGHSNIWLAGHSLGAAMALLAGKNMAKKGVFIETFLFNPPYFSAPIERIQDKKVKHGIRFAGSLVTAGLAFTMKTNEKINGNEQNSNKSEDPFAALSAWLPRLYVNPADHICSEYMGYFEHRRNMEDMGAGLIEKFATKYSMTSLFMTAMGKEPEEPLHLIPSAKLIAHLSPSDDFREAHGIKQWWKSDLNLQVTDHSFK >KZN10141 pep chromosome:ASM162521v1:1:32965135:32965443:1 gene:DCAR_002797 transcript:KZN10141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTRLGSEYPVVIFSKSSCSMSHSIKSLLSSFGANLRVYEIDEDSNGQQMETELKALGRKPSVPAVFIGQELIGGSNEIFSLHLKGKLVPLLLNANAIWL >KZN10596 pep chromosome:ASM162521v1:1:37335322:37339064:1 gene:DCAR_003252 transcript:KZN10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARKPLGRSTILFMVLLVIGSFFGTYTLITLIFENRTPNSLKEGVSVIDPVIEMPQTVKKTEHGKMLFHIALTATDAAYSKWQCRIMYYWYKKQKDLPGSDMGKFTRILHSGKSDNLVNEIPTYVVDPLPTGLDRGYIVLNRPWAFVQWLEQATIEEEYILMAEPDHIFLRPLPNLGYGELPAAFPFFYIKPAENEKILRKFFPVEKGPVTNIDPIGNSPVIIRKDLLEKIAPTWMNVSLRMKDNPESDKTFGWVLEMYAYAVASALHGVQHILRKDFMLQPPWDLETGKRFILHYTYGCDYNMKGELTYGKIGEWRFDKRSYLQGPPPKNLPLPPPGVPESVGTLVKMVNEATANIPNWES >KZN10345 pep chromosome:ASM162521v1:1:34778820:34782450:1 gene:DCAR_003001 transcript:KZN10345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDDKIDGEKEQFPVGMRVLAVDDDPTCLRLLDTLLRRCQYNVTTTSQAVVALQMLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSAYGDTELVMKGISHGASDYLLKPIRIEELKNIWQHVIRRKKLEPKDSKNSDKPCVDSGDSREGFQGIGEPEPNGKNNRKRKDQNEDDDEERDENGQNDDDPSMQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKRILDLMNVENLSRENKYRLYLKRISCVTSQQANMAAALGNADSAFLRMASLNALGNLPTMDSSGQFQSVAFNSFSPSSVLGRLNSPAGLGIRSLSSSGAVQFGLAQNSSSSINGTANFHSAPQTGNQNGILYGMPMSTEIHQLPHSNNVNYGVLPVTESMIYTNSISSLDGKVNVTGLSNSQYSASDGFSDVKIHVGSSSNACFGMPNNSLVVDGHHLHSQGLGGSVNQSLATANSLNSGFSFQMPDIERRGTWPSAVQSLGDQTNLHRSNDCFRHTSPSILRDNSLLVPLNIGSDPHDASSFTLRPTHVPDGNIDLLQCQSASSNTLQGWGDSKQDAVPHQTNLISSSVNSWLPQGVSSSFDPRFSRLLQVQCLCQELRDMSDAMELVLISITSLEANAWISVTFADLQPGPLTA >KZN08085 pep chromosome:ASM162521v1:1:2527688:2533158:1 gene:DCAR_000754 transcript:KZN08085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANSSQLSKRGDKRGKEWLAVNFKPENFIPGLIIGFVFGFLFDMAKPLKDYAIGSCSSLSRTRKQQTLALRNPNEELKMVLVVRQDLKMGSGKIASQCAHAATGLYSELIQSQRALVRQWEHCGQAKIVVTCKNQQEMNKLKEMAENIGLPTYMVADAGRTQFYLLIADLCAGKVHRPLAQMGALQSLLPLHSAVASARLTSCLGIDSKGSRSLSQGMLCSANPGV >KZN11902 pep chromosome:ASM162521v1:1:49275584:49277272:1 gene:DCAR_004558 transcript:KZN11902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSTAVSSSKSLDHFFSLLIVSLVLVYFLNNGVAAADRKLLASESHEFHTIKLSSILPDSVCDSASQGHKLPSPSSLKVVHKHGPCHKTEQSSLPSALELLAADESRVKSINSRINFNAARNTFASTKSVTFPAKSGLSLGTNNYLVTFGLGTPKNDLSLVFDTGSSLTWTQCEPCARSCYDQAQPIFDPSKSSTYSNISCHTPQCNQLKSATGSTPACTTEGTTCIYATSYGDNSSSVGFFAKDTLTLTPTNVIPNFFFGCGQNNQGLFGQTAGVLGLARDPLSIVQQTSKQYRQVFSYCLPSTSSKTGYLIFGKSYVSNSVQYTPFNSSPDKRFYSIDILAIYHTFTSVSLYSIRKQMTSYPLAKPVSILDTCYDFSKYSTMKIPTISIVFGGNTKIDLDDSGIMYAFSSSQVMCLGFAPNSDVSDTLVYGNLQQRTMQVVYDVAGGKLGFALKGCA >KZN08845 pep chromosome:ASM162521v1:1:16512217:16517457:-1 gene:DCAR_001501 transcript:KZN08845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLVNQLFYPIKLSNISFRANELHGSTASTELRKSFGLCNSGRSIACSCVVSPTNWKPADEFPASKNNNLPHGDNLRSVHEHEDESDVLIECRDVYKSFGEKHILKGVNFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVFVRGRRRHGLISDEEISGLRIGLVFQSAALFDSLTVRENVGFLLYENSSMPEKEIAKLVTETLAAVGLKGVEDRLPSELSGGMKKRVALARSIIFDTTKETIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHSKGEDTFGEPGKIASYVIVTHQHSTIRRAVDRLLFLYEGKVVWQGMTHEFSTSTNPIVRQVCH >KZN10250 pep chromosome:ASM162521v1:1:33865166:33866761:1 gene:DCAR_002906 transcript:KZN10250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAEITTCFSYILLFLSFSSTFSSAQDPTQSFLQCLNHHSRDISEFSYTLKNTNFSQTLLYSINNLRFAKPDTPKPLVIIRAVSESHIQSVIYCSKKHNLDMRIRSGGHSFEGLSYVSPLPFVILDLVNFKSFIADTKTNTAWIGSGLTNGELYYKIGETSGTLGFPSGLFANVGVGGILSGGGYGMMMRKHGLAADHVIDARLIDSNGRILDRKAMGEDWFWAIRGGGGGSFGVVISWKVRLLPVPKKVTVYKVYRTIEQNLTSIFHRYQSVAPKFPKELMIKADGQSIVSNISRRADKRTIIFLFEALYLGSADKMLSVMQGQFPELGVVREDCFEVSWLQAMVYFSGFDLFTPPEILLNLTVLPRPAFKSNNDYTEVPIPVQGLEGLWDLMYKLPPTKATLQFTPYGGRMDEISESALPFPYRAGTLLKFNRYAETDTDEAERMEWIKTLARFLTPYVTKNPRSAYVNYVDLTMGSNNLKGTTSYQQASRWGKRYFKNNFDRLVKIKSAVDPDNFFRHEQSIPPISA >KZN08470 pep chromosome:ASM162521v1:1:7388385:7388717:1 gene:DCAR_001016 transcript:KZN08470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTVKINEITKENHTMEEAIKTLEAENAKLEHQIKLMEIHQKHDEAVIDVLKKHIKERRAFNRFNMDASNFEPHKVAERERIREAFEAEAETRKAAKASEAGPKKDKN >KZN11324 pep chromosome:ASM162521v1:1:43697074:43697592:1 gene:DCAR_003980 transcript:KZN11324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQKVQHSPSSNSPPRRNEIDAPALTQIPKNSPEPKTAKTYPCRYCDKTFSTFMALGGHQNGHKRARGLHAGRAQTPLYRPYPATSRPNSGSDSMDNKKSNLSMESSTSSTSTWRLLQEFYAKFSVKSAPPSLNCVPPAATALSPGVSSTPKTSEEVKEEDASGLDLDLKL >KZN11345 pep chromosome:ASM162521v1:1:43838074:43845845:1 gene:DCAR_004001 transcript:KZN11345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPLAVPKVSLKDASNCKDLKGEYDLEGLIARKASQILWAHGKLSEPIPNGFYSILLAHAAHRARFYAFDHILEKGLKELFDMIPSLDELYAMDLKGIALDVVIVDLRKDKKLSMLKQLTLTLVKGLRSNPVAVIKKIAGLVADCCKPYNMKDAMLEGSDALESQGIHMLGQMRHGSCHPRAILFKVLADAAGIDSMLVAGLPREGVMERTDSYKHMSVLVVLDSVETLVDLVRHPGQLIPCSTKAILMCHIFVAGGSDSPENDSCDSPLEPSSPLCNLLDLGDLESTEYDESPQDLYQRRLEAAVAISGSSMNRRSRTMMKEQLNLSHSEPYVPNTFWVRSGKNVIAEQRTATSSASSPDPDTHMQARGRSNLGRHADPLLNFGEASRSTGASPTEFRRRRRRSISMIPEISDDIVRVVRAMTETIKNLPKDVDALSSSSAGEDHNHPDLQVSDFHQDERDKFSGESFAAYTLQKKKSSYQKAISLPSSPHKFSSHDSERSGAIEYVRSADMISTWNHVIRSSEILNKPLLPYPEWNIDFSELTVGPRVGIGFFGEVFRGHWNGTEVAIKVLLEQELTVDNIEDFCNEISILRHVTIVLLLSCVQYNFGQLQLYIDHLTFSFSIRRLTSVLFFSRLRHPNGNQLNFSGLG >KZN10468 pep chromosome:ASM162521v1:1:35986049:35987545:1 gene:DCAR_003124 transcript:KZN10468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQETRKAFVLCIQIEIHVQAVGERQGEVKMFENITASEVAGYGVGALLLYTTLSATKIDSVISTSQRSSLGMCKRCGDLKLIACSKCKGQGIIRENMFFNFNAMDDLSQSFGGNSRKGSLSCKNCRARGHFSCPECSKASQS >KZN11844 pep chromosome:ASM162521v1:1:48671465:48679782:-1 gene:DCAR_004500 transcript:KZN11844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQPAAQRSASPSQPSGKGEVSDLKAQLRQLAGSRAPGTDDSKRDLFKKVISYMTVGIDVSSVFSEMVMCSATSDIVLKKMCYLYVGNYAKYNPDLALLTINFLQRDCKDEDPMIRGLALRSLCTLRVPNLVEYLVGPLGSGLKDGSSYVRMVASIGVLKLYHISASTCVDADFPALLKHLMLNDPDAQVVANCLSSLQEIWSLEANTSEEASREREALLSKPIIYYLLNKIKEFSEWSQCIVLELVSKYVPPDSSEIFDIMNLLEDRLQHANGAVVLATIKLFLQLTLSMTDVHQQVYERIKAPLLTQVSSGSPEQSFAVLSHLHLLVMRAPMLFSTDYKHFYCQYNEPFYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPMARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDHVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKAKAALIWMLGEYAQDMQDAPYVLESLIENWEDEYSAEVRLHLLTSVMKCFFRRPPETQQALGAALAAGIADFHQDVHDRALFYYRLLQYDVSVAEGVVNPQKQAVSVFADIHSSEIKDRIFDEFNSLSVVYQKPTYMFTDKEYRGPFAFSEELGNLSIGVEAPETIVSSQIVDANDKDLLLSTSEKEDNKGLSNNGSAYNAPAYDGSLSLTAPQVQSDLLSLDAPLPSHPPHSSLAIDDLLGLGMSVASTPPTPPPPVLKLNAKAVLDPNTFQQKWRQLPDASISPQGVAALTNPQSLLRHMQGHFIQCIASGGQSPSFKFFFFAQKSEDSTIFLVECIINSSTSKAHLKIKADDESSSQAFSTLFQSALSNYGMH >KZN10898 pep chromosome:ASM162521v1:1:40120004:40127340:1 gene:DCAR_003554 transcript:KZN10898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKKNTSYCCALCDNSNVPSICSTCVNYRLNENYTNLKALKARRDQLYSRLTQLLVAKGKADDQHSWRVHQHEKLATLRQKLHHRKEQLLQGKSNVKKMTYDIKVKYEVLESAMSMLEKNRKEQLEKYYPNLICTQSLGLMAISSERLHKQSVVVKQICKLFPQRRVLEGERKDGVSGQCDQICNAHLPRGLDPHSVRSDDLAASLGYMVQVLNLVIHNVGAPALHNSGFAGSSSRIWQRDSYWNARPSSRSNEYPLFIPRQNFCSTGGETSWSDRSSSNFGVASMESEQRPNLDSPGRNSFNYSSASPHSVESHMDLQKGISLLKKSVACVTAYCYNTLSLEVPAEASTFEAFAKLLATLSSSKEVRSAFSMKMAGSRSHKQVQQLNKSVWNVNSPISSSTLLDSTYILPRSKNMFDKTSPSSANSFLYSAALSDSGKGENLIEGWDLVEHPTFPPPPSEIEDVEHWTRAMIIDATKYKK >KZN11887 pep chromosome:ASM162521v1:1:49115069:49117384:-1 gene:DCAR_004543 transcript:KZN11887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESKPWKPFTANCCSAEDHTIFRNFSRCRTSRSDFSKNVAPMPSFRLISVSDISRTSSSRINEDLMQTFGQDLFDFQLTELRAITQNFSSSFLLGEGGFGTVHKGYVDENMRAGLKAQAVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEDEERLLVYEFMPRGSLENHLFKRISVSLPWGTRLKISIGAAKGLAFLHGAEKPVIYRDFKTSNILLDSEFNAKLSDFGLATMGPEGSETHVTTRVMGTYGYAAPEYVSTGHLTTKSDIYSFGVVLLELLTGRRAMDKTRAKTEQNLVEWSKPYLTSSRRLRYIIDPRLLGQYSVKGAKEMANLALHCVSLNPKDRPKMPQIIETLEAVQPLRDMAVTCGHWPVSPKTGRNVSNGNNSMKKKESPVFVNRRA >KZN10521 pep chromosome:ASM162521v1:1:36572823:36576852:-1 gene:DCAR_003177 transcript:KZN10521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDNNISVVLKHKKMKKAVNIHTLPECESMKLDSLPQIQDPIFKDLEELFEKVKAKVEALKAAGTSNAGTTQFHDMPDSTPDETHPLEKTTENKELDCLCGEPSQKSEETADTSPLRVRNGEDIKELSSCKAILKEYADKINVENPNYRTEKANGSNSTFISSLSFNGKLYVGDEGRNKKESQHLAARAVILSILDSDAPEVISKIIKSKEQMLCPSRGKVQNPCNAYNMGMPARIQTGCDVGTSSSKGNELEVVLSDCMLKTATRDPSTKSSSGVSAKPVFLVEASATPTLFELSSCKAILKEYADKINAENPSYKTEKADGSDLAFVSSLTFNGKQYVGDKGRNKKQSQHLAARAVILSILDSDAHNVISKIIKSKEKMLCPAKGKVQNSCNTQNVGMPAGIHTGYNIRTSSSKGNELEVVPNDNMLKGASQEPCTGNSSSVTAKSVSLVEASATTTLIVPSNVEPLIVGSTSSKKRKRKAKKAKHEVGINAQ >KZN08771 pep chromosome:ASM162521v1:1:15177348:15178028:-1 gene:DCAR_001427 transcript:KZN08771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISALNTATVVFQEVQSNFYSRKLLLQTPLYQQQSAATPPVSGNAHVASEPYPGDNSFDANVVMVLSVLLCALICSLGLNSIVRCALRCSRLVASESSESSSARLANTGIKKKALKTFPVVNYTADLKLPGLDAECVICLSEFATGERVKVLPKCNHGFHIRCIDRWLNSHSSCPTCRQCLIQTCQKIVGCSMPSAPQPIPPHQENVTIRIAPLEPEGVVRSFQT >KZN08667 pep chromosome:ASM162521v1:1:13264695:13271746:1 gene:DCAR_001197 transcript:KZN08667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAQSYKRPASPPQKHHTTAAIDQTSLYEAAAAAAEAVASPFAKWRRPALSQEYLSHSTNLLFQELEVDYVIGESHEELVPDSRGPAAIIRIFGVTKEGHSVCCNVHGFEPYFYVSCPPGMEPDGISRFHKILEGKMREANKNAHVPKFVRRVEMVLDKSIINRQELGPQPFLKIVVALPTMVAGCCGMLDKGIQVEGLDMKSFVTYEGSICFAMRFMFDCNIVGGNWIEVLAGRYKKTLKNISYCQLEFDCHFSDLVTHVPEGEFTKMAPFRILSFDIECPVRGTQFPQATHDPVIQVANILTLQGEAQPLIRNVMTLKSCSPIVGVDVMSFDTEKEVLLAWRDLIREVDPDIITGFNICRFDLPYLIERAEVLGIADFPVLGRVRNSRARLGNATFLSRRFGTMECREITVEGRVQIDLFQAIHRDYLLKSYKLNDIAAHFLGDKKEDVHFSRISHLQNGSAETRRQLAVYCLKDASLPQQLLDHLMYVSKHAEMARVTGVPISYLLSKGQSTKDTPQLLSKAKKLNQVIPTAELPRQGTSGRANA >KZN11558 pep chromosome:ASM162521v1:1:45721700:45726632:-1 gene:DCAR_004214 transcript:KZN11558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELVVQSLCVYLNLLIVLICLSGSCCNSLHSASPAILNGNEPLLPVTSPTAAPQPFLPLLAPSPLGPFTNSSVPKLSGQCLLDFGAAQSMMSDASIDCVATFAPYLANVVEATLTVLIGQSSKFSKELALNGTSAKHCLSDFQQILVSQGANNSLQQICSIHASNLTEGSCPVKDVDEFESIVDTSNLISSCEKIDLVNECCEQVCQNAISEAAKKLALKAYDLMNIDGHHAVTDQSTRDGDCRNIVLRWLANKHNPSQAKKVLRGLSNCKINKVCPLVFPDVSPVTKGCHDGVSDQRACCNALAGYASRLQKQSFTTNLQALDCAATLGMKLQKENITKNVYELCHISLKDFSLQESGCLLPSMPSDVILDQYSGISFLCDLNDNIPAPWPSTSQLPAQSCNKTIKIPALPAAASGQRTSFKRASASSVNILVEDIIS >KZN07849 pep chromosome:ASM162521v1:1:133797:139785:1 gene:DCAR_000518 transcript:KZN07849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSRKRGRPKLCVTKEVLEEHRTQKRLQNAARRGQGQQPGHLRLQFLKGQQPGHLRLQFLKVLRRTCEATIVAVMEGEGWYYNCCPRCARKVQTTEGKYYCTFCSKEAGDFKPRFRLTVRVEDSTAQTTFTLFNKEAEQIVGIPVDKIIDELPEGTNIAEIPPVIRNIIGKRCVFDVKINEYNTVRGYEDYTVFRLKLSHQTEQASTSNKDNTDNSKKQRVN >KZN10355 pep chromosome:ASM162521v1:1:34867507:34869278:1 gene:DCAR_003011 transcript:KZN10355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLCSKKAGKGKRPGKGGNRFYKSVGLGFKTPREAIEGTYIDKKCPFTGDVSIRGRILAGTCHSAKMMRTIIVRRNYLHYVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGI >KZN10627 pep chromosome:ASM162521v1:1:37739803:37746815:-1 gene:DCAR_003283 transcript:KZN10627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRTQRKTNGPAVDETADCSKVPAEIKKQERPDSNEDTSTSRKRPKRRAACIDFKNKSVRLSEKTNLVGKSRVQTVQEESMAISLTTGVNVPRPNRRLTNFIFHNADGEPQPVEMIEVKDIFISGHILPLEETSDKEKDNGIQCNGFGRIESWAISGYEDGSPVIWVSTDTADYDCCKPANVYKKLYGQFFEKARACIEVHKRLSKSNGGRPDLTLDELLAAVLRSMSGSKNFPSGSSIKELIVSWGEFIYDQLTGLEGENFDELPVLSALRAESQRKANIPPTENSHSDGVHSANQPINAAHETLEKEDLKLAKLVQEKENWKSLRQNRRQRQANSVSKFYIRINEVEIANDYPLPAYYESTVQETDEYIICDSSIDIHDPDELPRSMLHNWSLYNSDFRLISLELIPMRPCPDIDVTIFGSGIMTDDDGSGFSVDAESSNSASSSSGLHAEDGIPVFLSAIKEWMIEFGSEMVFITIRTDMGWYRLGKPAEQYAPWYEPVLKTARISISIIKLLKDQTRVSRLTFQEVIKRVAEYKKDHPAFISHNPEVVERYIVVHGQIILQQFSEYPDANLRKCAFLSGLQNKMEERNHTRLVVKKRKIEDKEKNMNPRGAMEPVVSKKRAMPATTTRLINRIWGEYYSNYLADDMEDTHETNKDEELEEEAEENGEEDFEDSEEEKLEAGQLTSISKSKKHLLINSETKWDGEIVGRTYAGKALYKQVNVRGDVVAVNGSVLVDTEDSADHQPIYFVEYMFEESDGSKWIHGRLMIRACQTVLGNTGNDREVFLTTNCMDFQVEEIAKPVVVEIRLISWGHQHRKANANSEKADRSRAEDRRNKGLPLEYFCKSFYCPERGAFFSLPTNSMGLGTGVCQSCRTNDSEKEEKLFKVDKSMDSFRYQGTVFHTLDYLYVAPRHFMVDKKDIELFKGGRNIGLQAYVVCQLLEIEAHNASDNPEYVNIKVRRFFRPEDVSAENAYTSDIREVYYSDQITVVPVVVVEGKCDVRRRQDMPFLDGSYIFEHIFVCELLYDPQSGALKQLPEHIKLTGSREKVLNNAATQKRKEKLIEGEARFDSIEGQQEADPVKCLATLDIFAGCGGLSEGLQRSGASMTKWAIEYEEPAGEAFKLNHPDASTVVSNCNVILRAIMTAYGDEDDCISTSDAAEMAAELDETEIKKLPRPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSYVEYFRPRFFLLENVRNFVSFNKGQTFRLTLSSLLAMGYQVRFGILEAGAYGVAQSRKRAFIWAASPEEILPEWPEPMHVFAGPELKIALSKGVQYAAARSTASGAPFRAITVKDAIGDLPAVGNGASTPTMEYENEPVSWFQKRIRGESLVLSDHISKEMNELNYMRCQRIPKRPGADWRDLPDEKVRLTSGQIVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRILTVRECARSQGFRDDYKFAGNIHHKHRQIGNAVPPPLAFALGRKLKEAMEIKSSTK >KZN11523 pep chromosome:ASM162521v1:1:45440172:45441835:-1 gene:DCAR_004179 transcript:KZN11523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQKKPPLSLHWMTFILILIFIFNNISQARQPQFSAENPPSDQFFDANFRWILGLQQFQTTQIKFTISSVVSGLLCFVAASISSAGGIGGGGLFIPILSIVAGFDLKTASSLSAFMVTGGSMANVLYNTLLRSSNVDANKGLIDYDIALLSEPCMLLGVSIGVILNRVIPEWLITILFDVFLAWCSFKTCSAGVIFWNLESEDTRRKECDGVSTNCDENQGNVECVLEPLLEKEEKGGIAAIPLVKVGILIMVWFSFFLVNLLRGDRYRQSVIGIKPCGVNYWIISSTQIPIAIIFTIWILFRREGCNQNQNANLQEMDVKTTCESTHKLTFPVIATLAGVLGGTYGIGGGMLISPFLLQIGISPQVTAATCSFMVFFSSIMSAVQYLMLGMEHAYSALIYAIICFVASLVGLMVVQKVIRKHGRASLIVFSVGIVMILSTVLMTSFGAINVWKDYKSGRYMGFKPPC >KZN09048 pep chromosome:ASM162521v1:1:19452160:19455748:-1 gene:DCAR_001704 transcript:KZN09048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHAGKTNKNAFKTLITAEYTGVKVELVENFEMGVSNKSPEFLKMNPIGKVPVLETPDGAIFESNAIARYVASLKPDSSLFGSSQIEHGCVEQWIDFASLEIDANLLVWLRPRMGFAAHLRPVEEAAITALKRALGALNTHLASNTFLVGHAVTLADIIMTCTLYLGFTRLMTKNLTAEFPHVERYFWTMVNQPKVAEILGAVKQTESVPPVQAAKKPSQPKETAKSKPNEEPKKEVKKELPKAEAQASEEVEDAPKPKAKNPLDLLPPSKMVLDEWKRLYSNTKSNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGSEAPYKVKGLWLFRGQEIPQFIMDECYDMELYEWTKVDISDEAQKERVSQMIEDQEPFEGEALLDAKCFK >KZN09377 pep chromosome:ASM162521v1:1:24401883:24404226:1 gene:DCAR_002033 transcript:KZN09377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKPLHDYDLLDQIGSAGKDASWPVRRLRHPGVLHVVEALDDSKNALVMVTELLFASVANVLGNVDTTAKVPKELKGMVVETPVISIDATKGAAQSAIQYFENMRTVLVVVGGMKLKN >KZN08375 pep chromosome:ASM162521v1:1:5835768:5849649:-1 gene:DCAR_000921 transcript:KZN08375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYLGFLILLVSISSICVSASIFYPISDAHRSAASEIFTFSGASLEATYEALATSGVLGVVVNADVKTSTCKSLIDTLSSPTTTLKDLFFGLRVNRLLTCELSDADFMAISARIRDSIKSADSLLDFYYSIGSLVDIKGQTSEADVTLENADGIFRSIKALSQSDGKWRYSSNNPESSTYAAGIALETLGGVVYLASSQIDEYLISTVKNDIMKLFDSVEKYDDGAYYFDEKLVDASSHHGPLSASSSVVRGLTTFATVTSANLNLPGDKISGLAKFFLGIGVPGNAKDLYYQIDALACLESNRRSTVISHTRNDKIKVKVTTVLGSAAPALSVKLMQVFSAGSKDASIIDQELTFDSENGIYILEALPESVDVGKYIFVFEIVLHDAEHKKIYATGGRTKVPIFVTGVMQVDNAKIAVLDSDLGSVETQKKLSFDGQNSVSLSANHLQKLRISFQLSTPLGNAFNPHQAFLKLTHETKVEHIFMVGSSGKQFTVVLDFLGLVEKFYYLSGRYEIQLTVGDAVMENSFIQALGHVELDLPEPPEKATRPPPQSVDPLLRYGPRDEITHIFRTPEKLPRQEVSLAFSGLVLLPFIGFLVGLIWLGVNLKSFPTSTVPATFAILFHVGIGAILFLYALFWIKLDLFTTLKALGFLGIFLMFVGHRTLSHIAATSAKLKSA >KZN09276 pep chromosome:ASM162521v1:1:22460413:22475159:-1 gene:DCAR_001932 transcript:KZN09276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDNPAEKALILHRARLSDPNFIFAHFSHSPDTNYSKLKFIIASSVTEACNNSVLLLGPRGCGKLAVLELVLDDLLKEYPDMITTIKLNGLLHSDDKCALKEIARQLCAEHQLSFSKLASFDDNNQFMIDILRECGLAHKTVIFVLDEFDLFAQGKQRLLYSLLDAMQSVSSQAVVVGISCRLDADQLLEKRVRSRFSHRKLLFLPPSKEDLQGLIKHMLLLPTDSNIPEDYTTEFNARLLKLLEDGRCRDIIDTLSTSDSTFNHLIRFLFIAVCHMDMKLGFLTLENFKAALAGVQRQPKLECLKDCSVLELYVLVCMMRLELREQESYNFNTIMKEYSSIHDTFQTSDYFARNVCLRAFEHLLQRELISLVDSRGHTQSVEYHPVKLLISAHELHLGLKSYRCCPRPNFCSLLQAILQKIVDRGRFVNYIFGTKGTNAILSIEYYCCDRPNPILQAIYLAIIGATYYFIVTSSFNYIPGHYIGGIHRYLSVVAVGVGVLLFLLTSFSDPGIVNNENFSRYVSVYPYDNAIYIEKECSTCKFTKPARSKHCSICDRCVARFDHHCGWMNNCIGERNTRYFVAFLIWHFILCVYGAVAIALILAGQLKESQVIYILTEFYGIDNSFGSLAPHVVQWFLGSYNTQILIMVFLAIVSLLLAGFFGYHANLCLTNTTTNETFKWHEYLSWKRKVNEARASAEALKSSLAELSQEKPPQSKWKTFFQRSPLKDTEPVKNNIYDRGYIRNDNATMN >KZN08879 pep chromosome:ASM162521v1:1:17166409:17167211:-1 gene:DCAR_001535 transcript:KZN08879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRKAAEAKKVNVALRKSMVKKGDDESGATYLSDEMRSVASSSEDEIVRRQAMKEDNKHLQKLREPSPTTQAKATDSSYVPITAAAFSKEGETDKSHCLTRSEGKKFMKDLRGPLYNEWLLKWPPINRPGEATIIGATFSQSSIKNSHAKKEEEKAKLPLDEEDYLEEDELEEQSTQPIKSVRMITKTQFKFKNTPETAVDVDEDNDP >KZN08311 pep chromosome:ASM162521v1:1:5134942:5136528:1 gene:DCAR_000857 transcript:KZN08311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSSISSLSPLAPHSFTPILECDKEGKEDEESQDYYTSSVKSTPSQQHYSTSIKDFETKYVHQPTPLHHKNCKTSRQKSSSDSPSVEDRGVSCNKCRPSNRDKISAAPSENNGNNRQSFSSPNGIFKSFFGAKKSPRILSDVSSTSEERWKIVATELSNKLVLATRKRDEAVQEASKLKRSMAEIEKKINKLEIYCHTLKSGLDQCNNVSVSVMNKSVALKHESVVIGDKDNVVENFLVSVSDARSTVRFLARSLTLQLRRMGNKVYDQISLLLQSYDIKVSLSKNPKELMTYVEALLNKSFFKDFESIGFDKSSANQILNPIARCEANFASYYELRTLEWDDVLNKGTRHFSEEFSKFCDRKMSEIVAMLGWNRAWPEPLLQAFFGASKAVWLVHLLANSVHPSLPIFRVDKEAKFDSVYMEDMVGEKARRLVPAEVRIMVVPGFYVYGNVVKCKVICRYQNSSNGIDYVEGRGFSSIPSPK >KZN08065 pep chromosome:ASM162521v1:1:1964115:1964264:1 gene:DCAR_000734 transcript:KZN08065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIETNTITRWTAINKGLVAPIKCVYEFVDWLFAPNTFYILTDNRLSFI >KZN10451 pep chromosome:ASM162521v1:1:35799820:35802080:-1 gene:DCAR_003107 transcript:KZN10451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPIGKKKIAEGNVKNNKVSDRNSKLFDEDTAVFINLSQEYKEEGNILFQKHDYEGAILKYEKALNLLPKNHIDIAYLRSNIAGCFMQMGLAEFPRAINECNLALEVAPKYSKALLRRAKCYEGLNRLDLALRDVNYVLSMEPNNLLALEIMDKLKKTFEQKGLSIEDKEMVLPTEYVEPNVNRAWKDNRKKKGRQQTSKQQQNRTKEKEAKIKNKDVKVEDKKSEDIEKKMPEVKVVVDEKVSPMEDKMVTKPVKLVYGEDIRFTQLPVGCGIRLVREVVQDRFPYIKGVLIKYKDPEGDLITITTTDELRLAEASAGAIGSLRLYIVEVSPEKEPVYERMDDEAELENLNSKPSNGEGNGGVDKVKEIENAPSCVEDWIVQFARLFKNHVGFDSDSYLDLHELGMKLYSEAMEETVTNEDAQGLFETAADKFQEMSALSLFNCGNVHMNMARKRVFFGEDSSTDTIMTQVKISYEWAKKEYKMAGMKYEEALQIQSDFYEALLALGLQQFEQAKLCWYYAIGNKIDLKTWPSIEVLDLYNKAEDSLDRGMQMWEELEEQRLNGLYKADKYKIDLNKMGLNGLVKELSADEAAEQAGNMGSQIYLLWGTVLYERSIVEFKLDLPTWEECLEVAVEKFELSGASQTDIAVMIKNHISNGEALEGFGFKIDEIIQAWNEMYDAKRWQTGVPSFRLEPMFRRRVPKLHSVLEHVSLFCTTDDSTK >KZN09154 pep chromosome:ASM162521v1:1:20989934:20993121:1 gene:DCAR_001810 transcript:KZN09154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVEGIAHELNLENVDPLPEDFDPTGVIKDPLPPLVNDSRVGVNVEGNGEVKKEREIVLGRNVHTMCLEVTEPEADDEVTGEREAYMASVLARYRKSLLERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASCDTHYSIFKAARMYRMEFEKVNTLTSGEIDCKDLKAKLLCHQDKPAIINVNIGTTVKGAVDDLDLVIKTLKESGFNDDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHISALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVKKCLKNAHYLKDRLRDAGIGVMLNELSSTVVFERPHDEEFVRKWQLACQGNIAHVVVMPNITVEKLDKFLAELIGKRAVWYKNGTFQSPCVASELGNENCFCGLHK >KZN08676 pep chromosome:ASM162521v1:1:13351212:13351584:-1 gene:DCAR_001206 transcript:KZN08676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVCLRFWLSKRGELSYWSRRPTDNGQASGSKEQPVENPGAENTLEKSSEEAQPIWTEDLVDLSLAESPDQPLNTRIKKCRTEEDSGIIKST >KZN08765 pep chromosome:ASM162521v1:1:15062823:15063029:-1 gene:DCAR_001421 transcript:KZN08765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGGEELKARRVEEYLKRRNERMVKRYREALIEKELKQKIRRLLITELTSIADDDDDEESHHHHHKY >KZN09265 pep chromosome:ASM162521v1:1:22299775:22299951:1 gene:DCAR_001921 transcript:KZN09265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLILSIMMKIMFLDLRKQSDHITLVVLDFEKNGARWVKNRLCIIERRRDGDEENGP >KZN11612 pep chromosome:ASM162521v1:1:46304452:46309972:1 gene:DCAR_004268 transcript:KZN11612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEMEKSSKESKEPKTPTSQEQVSPVVAGSAAPVTPDWTGFQAYSPMPPHGYMASSPQAPHPYMWGVQHMMPPYGTPPHPYVMYPHGGIYAHPSMPPGSYPFSPFAIPSPNGVAAEASGNTPGSIEADGKVSEGKEKLPIKRSKGSLGSLNMITGKNNEASKTSGLGANGGYSKSKVIAASAAYSIAMKVCTDSFGLNQIYSGESASEGSSEEGSDANSQNDSQIKSGSRQDSLEAEASHNGNTHGLQNGQYANNSMVNQPISVVPISTAGPAGVLPGPTTNLNIGMDYWGGATSSAIPAMRGQVSPPITGGTLSAGARDNVQPQHWLQDEREIKRQKRKQSNRESARRSRLRKQAECDELAQRAEALKEENASLRAELSRIRSEYEKIVAQNAALKEKSRDVPGQEDQWPGRNDQHTGNGSKEPGNTESAQSGH >KZN12012 pep chromosome:ASM162521v1:1:50220274:50222742:-1 gene:DCAR_004668 transcript:KZN12012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAAKWFRSLFGLKRPNNITITTPCTDAKRTPSRRWSFKRSTSNRYHTLSDSIVSALPRVHLKGDKEQKHMIAAAVKNMSELKGNCDHWAAVKIQAHFRGFLARKALRALRGLVKLQALVRGHIVRKQIAGRLLQMQAALRARTRARALRAQIYEAPQSTIKPSHIHHLGPATPENSEHDIRRSRSSKYEQSVMLEKNGSITASKVGPDKGKLNVRYSTDGESQDRVYLQDSTYHQAYFYPLSGEEQSLSPLKYTIDVDEAFCAAETSLHVNTSRRASFTRSGPFTPRSDISKSSKSGYSDNSPSYMSYTASSKAKVRSPSLSAPHQKTLERSNTTKRLPINGGYGNMKSSSVHNVPSTLHSKFVSKAYPGSGRLDRLGSPLGSNGVAFSCGLLSSY >KZN09571 pep chromosome:ASM162521v1:1:27170597:27171952:-1 gene:DCAR_002227 transcript:KZN09571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLRRGVLIFCMLVSLIASTSAQKCAKYSFAGNRLFESCNDLPYLEAFLHWTYDPSSGFFQIAYRQTKIMSTRWAAWGINPDGSGMIGAQALVAYQKSDGSMRVYTSSVTDYKTSLQEGDLKFPVSDLSATFDNNEIIIFATLKIQNTSTLNQVWQSGPVASDNPGMHATTGENIRSSGSLNLLSGQPGATRGGGSSKTKQQNIHGVLNTISWGIMMPVGALIARYVKVFEVADPGWFYLHVSCQTTAYIIGLVGWGTGLRLGSESPGIQYSSHRYIGITLFVFGTLQVLALLVRPQKDHKYRLYWNIYHHTTGYMVILLSIINIFKGFDILNPDKKWQRGYITIIVILSISAAILEVLTWRVVLKRKNETSVEKTPNGMARYNAYGGRPNPRV >KZN09906 pep chromosome:ASM162521v1:1:30651616:30654398:1 gene:DCAR_002562 transcript:KZN09906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSKGAPSNGSVYVCNLPPGTDDNMLAEHFGTLGVIKKDKRTGRPKIWLYRDKVTNEPKGDATVTYEDPYAASAAVEWFNNTEFHGATIGVFMAESKKDDNSYNAVIPAEEPSLGGDFGGQGESVNDGNGSAGRGRGRGDGPAAKAWQQDGDWMCPNTRGRDSESGGPGRGGVAAPTGLFGPNDWSCPMCGNINWAKRNKCNICNTNKPGTNEGGVRGGRAGGYKELDEEEIEETRRRRREAEEDDGEMYDEFGNLKKKFRVKMQQAETGQVLPGTGRAGWEVEELGNVRIEIDAGAEVVRGTGEETETGAMIMTETENMAVTVSEKGTGTATEVLDFLVLQTIEFLYSWPM >KZN10561 pep chromosome:ASM162521v1:1:37044743:37050776:-1 gene:DCAR_003217 transcript:KZN10561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEQYLPPWFSVAPMMEWTNNHFRTLARLISKHAWLYTEMIAAETIIYQSGDLDRFLAFTPEQHPIVLQIGGSNLENLAKATQLARPYGYDEINFNCGCPSPKVAGRGCFGASLMLDADMCGFFIRKFVAKAMSVIAANSDVPVSVKCRIGVDNHDTYSELCDFIYKVSTESPTRHFVIHSRKAFLKGLSPADNRKIPPLKYEFYYALLRDFPDLRFTINGGINSVDEVNAARNEGAHGVMVGRAAYYIPWETLGHVDTAIYGAPRRYLTRRQVLEQYQKYGDSVLGKYGKNKPNVRDVVKPILGLFHAAPRNGLWKRNADAAFQRCVTLKSFFEETLEIIPDWVLDKPLEEYSSNCIVEAFANSKNLLPPPYAKEEKEYSEQDRMYD >KZN11788 pep chromosome:ASM162521v1:1:48096615:48100902:1 gene:DCAR_004444 transcript:KZN11788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSGEYTLPPSTYSSEDIIFCIDTHNECLREMKAKGAEGQAFTRLDAIKQSILLFITSKLTINSDHRFAFCALGNSPFWLQKEFSNTPESALASFRKITPHSSSDQADLTQLFRAAAHEAKRSRAQNRILRLILIYCRSSVPPHHKWPATQKLFTLDAMYLHDKPGAENCPQKVYDALVDTIEEVSEYEGYVFESGEGLPRILFRNMCVLLSHPQQRCIQDDIDIPKSLPKKSAAVEITAGGENVPSSSQELSGAQEEHNEADRRGVGSYLEMAKEVAAEAFEEGYAACLRKLAEAGANFSG >KZN08884 pep chromosome:ASM162521v1:1:17206062:17210888:-1 gene:DCAR_001540 transcript:KZN08884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQVAFNQSPAPSEDFEIVYGDFKVASQAQCSPLIDPSTIKLRHRLGRGPFGDVWLATLHQTAEDYEEYHEVAVKMLHHVKEDSIRTVLDKLAELFFKSRGLKGVCWMYGTSIISGKICIVMKFYEGSVGDKMARLKGGKLSLPDVLRHGIDLAQGIREMHSKEIMVLNLKPCNFLLNENNQSILGDLGIAYVLMGIPLPSSDLTRRLGTPNYMAPEQWEPGTRGPISYETDSWGFACSIVEMLTGTQPWCGMSIDNIYKTVVEKQEKPFIPEGLPSELENVILGCFEYDFRSRPLMSDILNAFKSSQNAISSDERGIGLGSNAILDRSATSGYTEWFLSKDYLQVGDMVRSRKLSNSCKPENMDVPEGSIVGLERDTDRRGFVLVRVHAIHDPVRVHASTLERVTYGLAAGDWVRLKNVDKKHSPVGILHFVHRDGAVGVGLIGVNTLWKGSYSDLEMAETYCVGQFVRVKSNVYSPRFEWPQKRGAEWVTGRICQILPNGCLVVKFPGRLSFGDESSNFLADPAEVEVVSFKNCPGMVKKYQHLEDFHWAVRPLLIALGLFTAMKLGFIVGNKVGGSKVKKDQKLISQEDSRMHMDGKNAIWVPAKMDGQNAGWIPPNVANIIFRDGVSTAST >KZN11182 pep chromosome:ASM162521v1:1:42363465:42371163:1 gene:DCAR_003838 transcript:KZN11182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNHSLTDRIVIVMHMLQMIPKKFASIHGKNLDDKISLKVPGGSVWPIKLKRHKNKGDSKFQVLVFDPSGLETDYPLTSTTENRNVVRLGRAKKRVVDSDDSTSVDVVRPCKRTTASSSCKAGEDGPKVKQEKAERNGVALASAKAFKSKNPSFIHVMKSSNVVGAWPNVYITNTFKEAYKKWKNNDKVILRVENRRWTVYCSVNSNQCRFGRKWGKFARENSLNVDDVCVFELINPSRKLLQVLIFREEETSLQVDLWIHIYVQMIPRKFERLFEKNLDNRIQLSVPSGSVWSIDLEKQQDKVWLQNGWPEFAKFYSIGFGYLLVFEYQEDSKFKVLIFDPSASEIDYPANCSTTLEFVKSTKVEKKRENEFNISDDSDEISPRKRTRASYSCIANSNGKSACNVKKDPALAAAKDFKSEKPYFIVSMTPSHIKGSSGMHITKTFEEVYKKWMKNVEVILEVGGKTWPVFSYFNLSNDRCAFRHGWADFARDNSLKVGDVCVFELINPSKKLLKHSSPEHSDYEALEMGDIIQVPACMDPLVKPRYFFKMIVSTITPHSRLMIPTKFLTTCGDDLADFIFLEAPAGSIWQVKLERSDSQVWLQNGWPDFAEHYSICFGHLLVFRYKGNSHFHVIIMDKSATEVEYPLSATSHSEETDHVTGLGKGKEVAADDIGRREDFTICLASSAQYTKTVLASAEASHQVNQKALQVTSEAEVSAKAFRSENPFFKINMRPSYVDGRCLSVPKIFVTTHITRDHCNVILQSSDGRSWPVKCTTSRSYRTAARFTSGWRNFAHANSLAGDSKFLVRIFDPSASEIDYPLATTKIPNSVKSRSKVKKTVIDIDDTTSSEMIRPSKKIRASSAPIAARNTGPKLQHKKAMENDEPAPASKEDKDRALASAKAFRSKNPFFIHAMKPIYVYENGSGVYIPKTFKEAYKKWKYQNQILLEAEGRIWPVTCSLYSHCNQCRIRQGWAQFARDNSLKAGDVCVFELINPSTKLLQVLIFRATKEAENLECLHHLKL >KZN10899 pep chromosome:ASM162521v1:1:40128901:40129595:-1 gene:DCAR_003555 transcript:KZN10899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLFIRVVAIMITMMMITDFAASDFRTCDSKKHPTGGSIECSCPGTCSCPTKFEEGCRSSSCANLCIKWYKGKLLDARCTDTTTCSCKFQC >KZN10578 pep chromosome:ASM162521v1:1:37178475:37180590:-1 gene:DCAR_003234 transcript:KZN10578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDGSMAKKSWFSLVKKFFVSDTYTNQYKKQRRRRWFLGKFKIKRLPSISAASPSRETKVSEAEVAQSKQDCNVASFTDATPDETAADVFDQPSSTPQYTYHSEDEAREFSSINFQYNAPPSTPQWGREIQELAATKIQTAFRGCLARKALCALKGIVRLQAIIRGELVRRQAITTLNQLQSVVNIQSQVCAKRSQMADSTSYSQGNKEPVEFKGKDIKIDQNSQRRWDDSLLTKEEENALSSSKRMAAIKRERIKEYTFSNRRSAELEQNKIDGRWRYWLEQWVDTRLAKTEDLQNLVSVFSMRDRNKDNEAGVKQVRSRNVHKQSHNEESELPTRNSIHHRKQRSTGDGYSTGGGSPVVPTYMAATESAKAKSRSLSSPRLRPMSFDSNSETFSPYKHKLSPISSINSEVTAISMFTNPTSGFMQRSPGFKGPVKSHRSSKYLSVD >KZN09517 pep chromosome:ASM162521v1:1:26583806:26583964:1 gene:DCAR_002173 transcript:KZN09517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIISIVLKMKVDRNEGRQIKKNNGVEILSLETKMPIYVHKLLSCLSTIKVK >KZN09974 pep chromosome:ASM162521v1:1:31257874:31262147:-1 gene:DCAR_002630 transcript:KZN09974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPLRSGGNNGAGALYFEISTINYRCLEMILVVRCVVFVFRQDVQNLQPEIVQHLLLDVPSLAFFTTYTLLVLFWAEIYYQAHEECADGLRPSFLIINSVVYAIQIVMWLVIWWNPIPVLVIISKAALNINSWAAISLFTALGFLLYGGRLFLMLKRFPVESKGRHKKLQEVGYLTTICFTCFLIRCIMISFNAFDKAANLDVLYHPVLSFFYYLLVEILPSALVLFILRKLPPKRGITQYRPIR >KZN11384 pep chromosome:ASM162521v1:1:44157531:44160835:-1 gene:DCAR_004040 transcript:KZN11384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLQLSLLLFTLLASTQAQNQEALYLHRVKLSLSDPDNTLSTWNTQNNTSPCNWFGIKCNPLTRSVNSVDLSSSNLAGPFPLVLCNLQDLTSISFYNNSINSTLPEGISTCTSLQYLNLAQNLLSGMLPESLSQLRNLKVLDLTGNNFSGEIPASFGKFRQLEVLSLVENLFDGEIPGFLGNVSSLKQLNLSYNPFLPGRIPPEFGGLVNLEVLWLSDCNLVGEIPDSLSRLSKLIDLDLAVNELKGVVPSWLTELTNLVQVELYNNSLSGELPSVGWGKMKGLRLLDASMNELSGRIPEELCSLPLESLNLYENRFEGTLPESIAKSSNLYELRLFGNRLTGELPKNLGMNSPLKWIDVSSNQFSGEVPSSLCEKSVLEELLLINNSFSGGIPESLGKCRSLTRVRLGYNNLSGEVPVGFWGLPNVHFFELVGNSFSGQIAKTVAGASALSSLIIYKNDFSGNIPEEIGFLENLKDFSASENRFSGVLPVSIVKLEQLGRLDLHNNDLSGGLPSGIQSLKKLYELNLADNDFSGILPEEIGSLSGLNYLDMSGNRFSGNIPVGLQNLKLNQLNLSSNQFTGHIPELYAKQMYRNSFVGNPGLCKEIDSLCDRRGGARSMGYVWMLRIIFLLSGVVLIVGLVLFLRKYKNVNLAKRANDKSKWTLMSFHKLGFSENEILGALDEDNLIGSGASGKVYRVVLRNGEAVAVKKLWGESKLVDGNGDVEKGSGRNDGFDAEVETLGKIRHKNIVRLWCCCTTRDCKLLAVDAIGKGNNSMSVIAGSCGYIAPEYAYTLRVNEKSDTYSFGVVILELVTGRLPVDPEFGEKDLVKWVCTSLDQKGIDHVIDPKLDSCFKEEICKVLNVGLICTSPLPINRPSIRRVVKMLHEIGGCDNQLKIVKKDGKLSPYYYEDASDQGSVA >KZN11574 pep chromosome:ASM162521v1:1:45857636:45882304:1 gene:DCAR_004230 transcript:KZN11574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVTIICLIAGFLGCLAAALGFAAEAKRVKTTQINDMATSQCKFPSSPAMPMGLTAAVALIIAQTLINITTGCLCCHSEQFRFNSRLIAAVFCSSMSWFTFSAAFLLFLIGAALNYKHGEESLYYGDYSCYVVKRGVFAIAAVLSLVTVALGILSYIKAERAKNMFDSWTPPAAPGQPGISMGQVQLPQQSGTAMGQAQIPQQRSQSAMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLRHKNIVKYLGSSKTKSHLHIILEYVENGSLANIIKPTKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILLTKEGLVKLADFGVATKLTEADASTTDAHCVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDERPPIPDSLSPAMTDFLCQCFKKDARQRADARTLLSHPWIQNSRRVLQSSLRHSGTLRNEENGSINTGTTNEDDQRNDEDTSTLKAKDNQTDLLSQEANAEIDNSSEDNNAKHDPAEKSVAGSGDDILSDQVPTLTLQEKLPVKSSSNSEAAMSPELHEPSQSRNQENMPINGELGSPNPRKKNIVTRKAEVRSSQVENGSSTSAPKSQDYNPKKVPKALITSGGNELSKFSDTPGDASLEDLFRPLDKTLEDHAAEASTFASSSKVDQGNALSVDEGRNVLATKLRAAIAKKRMEHESGRTSGGDLLRIMMGVLKEDATNTDGLGFDDQMPADNLFHLQAVEFSKLVSSLRPDEPEDVVVSACQKLNTFFDQRPDQKYVFITQHGLLPLMELLEVPRPGVMFAVLQVLNQIIKDNTDHLENACLVGFVPVVMSFAEPDHPREIRMEAAYFLQQLCHSSSLNMHMFIACRGIPVLVGFLEADYAKYRLRVQASEGSMTDREMVHLAIDGIWKVLKLQRSPRNDFCRIAAKNGILPRLTNTLYSLNEATRLALVSSGGEIALDGITLWPRSNQLDPGNPTFVQNVAQAYGDHPDYLKVKHGVVDDPLSSGTHDSSRASDSRSSDSRSFPLDSDRPQSSTASMDGPITTKLHELSSFNKLAHRTSTDRTPTSTDGESNGHFVTQPQQDNVRLSNEKKTNSLDLIGDFAEVTGHGREHANLESTVKSPPKIADEGTAASTSELASQTISGVLSGSGVLNARPGSASSSGLLSQPPWSADVTWEYVEKVADLLLEFAGSDTAVKSYMCSQSLLTRLFLIFNKIEPAILLKLLKCVNHLSTDPHCLENLQRADAIRYLIPNLEFKEGPLVSQIHHEVLNALFNLCKINKRRQEQAAENGVIPHLMYFIMSASPLKQYALPLLCDMAHASRNAREQLISHGGLDVYLSLLDDELWSVTALDSIAICLAHDNDNKRVEQSLLKKEAVQKLVNFFRGCPEQHFLHILEPFLKIITKSSRINTTLAVNGLTPLLILRLEHQDAITRLNLLKLIKAVYEHHPRPKQMIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVLDYFQDQKKLMRGKRPRQEA >KZN11939 pep chromosome:ASM162521v1:1:49536211:49536495:-1 gene:DCAR_004595 transcript:KZN11939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLLCLKAAEPGVDAMGGVPVMEGVGAVGPIAGAIGAGGELIDSGLMAGGVTVLGGLAIGDGASIVGGGMVGVGNITRGSGAMVVEGDMAGA >KZN09018 pep chromosome:ASM162521v1:1:19096994:19097182:-1 gene:DCAR_001674 transcript:KZN09018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCEDENASSYWMPDARTGIYVPKGHERVIEDVPENAASFHCTYWLRNVDGVDHPRPDYYY >KZN12142 pep chromosome:ASM162521v1:1:51377786:51380801:1 gene:DCAR_004798 transcript:KZN12142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNGRRVGGENGECENYNDKHVNPCDTRRRRQGKEETQGGGGEEEEKEGGGEFFACYLLTSLSPRYKGHTYIGFTVNPKRRIKQHNGEMTSGAFRTKSKRPWEMALCIYGFPTNTAALQFEWAWQHPTESLAVRKAASKFKSLSGIANKVKLAFTMLALSSWQSLDLTVNFFSTKYQRHCAGCPPLPEQMNIQMCSMDELPCYTGYEQKVYHHVGSDIEESDGLAKYKKGKNVGLGYIKVKETLDCNTGPNSVDCIKRAEKKTAVSTQNISRSDLVQPRSTLLEYNHHPQPSRTNKPLEEVVSCSHVAAFQGSILSQGKSCSAELISPAERDMPNINVFGKDEVLIVDPTVLSNVEVVDLSTPETGCRTRLHAKNRRNDESKFIDLTKSPVFV >KZN08978 pep chromosome:ASM162521v1:1:18590622:18592647:1 gene:DCAR_001634 transcript:KZN08978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIGCSKLKDTEWISRQDPYVCLEYASSKFRTRTHTDGGKNPTFQEKFVFTLIEGLRELNVVVWNSNTITYDDFIGSGKVQLQKVLSQGYDDSSWAIHTKASRYAGEVRLIMHYANANSQKPPSYFAPSVPPYAASPVPAPSAYSYPPQAANSPYPPPSSYPYPPPSSASPYPPPSSASLYPPPSSASLYPPPSSAAPYPPPYSNQSYYSNQHDSASYPGSTYPPLHLSAYPPQPYPPSVYPSVQYPPPAQSSHHYPPGPSYPGIYPPPY >KZN09419 pep chromosome:ASM162521v1:1:24819254:24825751:1 gene:DCAR_002075 transcript:KZN09419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCAAPKTSTQKEKRKGRKEKKEKPNPFAIDYSTNGTSGIKFRVLKEPTGQNVTDKYELGRELGRGEFGVTYLCTDLESGEKFACKSISKKKLRTSVDIEDVVREVDIMKHMPSHPNIVSLKDTYEDNDAVNIVMELCEGGELFDRIVARGHYTERAASSVMRTIVEVVQVCHKNGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPGEIFNEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPLVSDNAKDLVKKMLNPDPKQRLTAQGVLDHPWLQNGKKNPNVSLGEVVKSRLKQFSVMNKLKKRALGVIAEHLSGDEVAGIKEAFDMMDTNKRNKINLEELRVGLAKLGHSIPDPDLEILMEAADVDGDGALNYGEFVAVSVHLRKMANDEHLHKAFSVFDQNKSGYIEIDELRHALSDEDDANIEDVINAIMHDVDTDKDGRISYEEFTTMMKAGTDWRKASRQYSRERYNSISLKLMQDGSFQAPTEVR >KZN10643 pep chromosome:ASM162521v1:1:37849622:37852172:1 gene:DCAR_003299 transcript:KZN10643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSALMMRNALEKEKQVELKERSIANSKVVLDRGLVEFEARMKNLVEKEKDFDLKVKSIVCRETKLEMGLVDFKAKMKKLGQKEKEVELKEKRIENGKTELDVKMKNLVEKEKEVEVKEQSIENRKTELDRDLFEFNMRMKNVEEKENEVELNAKRIKNRETELGMAEFDEKVKDVVEKEKGVELKVKSIKNREDELDRLLDEIDLSMKNLIEKEQVVELKEKSIKIRENELDRGLVEFDAKMKNLKEKEKEFELKVKNFEKRDIELDGALVEFDSLEKKVKAKEKKLEAEKERLEVKENKLEARKRILKKRKTEIDRNLVEFDVKMKNSKENEVNLIQITCEERCRKLELEKEKLEEKIEELNIKNQKLSSVEHLIVKPEPWSDDVSYADIRFSVTMDGNNLFLYLINHESDLDSMTDEVYEALGKSMEPARLVLDALQGFYSKKEDKEFEVVCRSSILLLEQLRRISPHIQSYHKKAALELAIKWKEKMKSSMEVIVFLQLLASYKLESSFKPEEFLSLFEVIGQPIQISELLQQQISKLLQLLGYMEKINVLSEYEWSPGLAFRSPVARCHLC >KZN09684 pep chromosome:ASM162521v1:1:28450031:28458210:1 gene:DCAR_002340 transcript:KZN09684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASEESGVGRSLEGISSAQQRCQSSEALAEWRSSEHVENGSPSTSPPYWDTDDEDDCEVVWDPISKLFYESSFDFLINRQKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSGLKALQGPSKKPKGKYLADEEQPVPIVRIEKDVFVLVEDVLLLLERAAIEPLPANNEKGPQNRTKDVGLGATRSLGQSRASETWNTSELLASSPTVILVRKVCPLVSVI >KZN08332 pep chromosome:ASM162521v1:1:5376753:5377474:-1 gene:DCAR_000878 transcript:KZN08332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCRDMIWLILVHFRLGAPHQPVDDYEMTAISSDDNGYVSLSPNGSVQNSGFLSSTMTSLIDDRNSGDSMEKKSHPLVDANITSSKDNVQESSNAKFVTQALSAPLGNINLEAEQSTMQQQIVDMYMRSMQQFSESLEKLKLPMDLNKPETDNQGNVIQNLNNSVEVEKKKDVARGFYGSRAFFRIILNAAAADINFMNKFANGDS >KZN11150 pep chromosome:ASM162521v1:1:42158950:42160140:-1 gene:DCAR_003806 transcript:KZN11150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLVYLYKRQSKTSNVKHETFHNLNQCLLGPIIRCYRTKKIISAADQTAFRTLFLKNKCGLSGEAAIRSSKYGQFGPSDVNPDRVLSLLRTYGFTQPSISKIFSRDPRILQYCPEKILKPKLDFLLSSSLSQTEVIEIVTKNPRILCRSLNNHLIPFFNLLMSLTGCYSDAVAVIKAKPLILSYSISKGFLPNVEFLPTIGVPHSQILKLLCCYAQVLGQPHSKFRSHVLKVKEMGFDLTSSYFISAVKTLTFISDSTWHSRCDLLRSFGFSDYEILSLFKKLPAFMCFSDKNIRGRLDFFLNKLRWTPSRLSTNPIVMSYSLEKRTIPRCSVLQLLVLRNIITESLMLSTILAMTEQRFSKGFVTLHKDEIPEVVEAYHGKLRFNEYTFQQKAR >KZN11003 pep chromosome:ASM162521v1:1:41017300:41017812:-1 gene:DCAR_003659 transcript:KZN11003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSGTATTTSSGSSLLQNSGSEKDLQVLMDERKRKRMISNRESARRSRMRKQKHLDDLMAQLNQLKTENEHIMTSMSVTTQHFLNIESENSVLAAQVAELSNRLQSLNEIISFMDARNANLGSVQDHAYSVFGDEPSCDNMFINMNMPMNYLYANQHPIMASADGLQY >KZN11096 pep chromosome:ASM162521v1:1:41684294:41685647:1 gene:DCAR_003752 transcript:KZN11096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDSSFAIGLYNLQVYDILFSFHPSPSQIENMIDWRLSKLEMLKRRNKGPPKKGQGKRAAKRKPAVPK >KZN11336 pep chromosome:ASM162521v1:1:43758284:43762267:-1 gene:DCAR_003992 transcript:KZN11336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLKMILNNILLRFLLLSCLAFALAADTLLSPKGVNYEVAALMSVKNKMRDEYHVLNGWDINSVDPCTWNLVGCSSEGFVVSLEMASTGLAGTLSPSIGNLSHLKTMLLQNNHLCGPIPADIGNLPQLQTLDLSGNQFTGEIPSSLGYLSRLSYLRLNRNNLSGQIPRPVAKLTGLSFLDLSFNNLSGSTPRILAKGYSIAGNNFLCSSTSTEICTGVPDTVNGTNSYKQVSSHHRWIVSVIIGVSCTFVVSVLLLVCWLHWYRSNILATSYVQQDCEFDIGHIKRFSFRELQTATSNFSSKNILGQGGFGVVYKGYLANRTIVAVKRLKDPNFSGEVQFQTEVEMISLALHRNLLRLYGFCLTSEERLLVYPYMPNGSVADRLRGQKALDAGNGQVQKGMILDWVKTLNEDKRLEVLVDRDLNGCYSADELERTVEVALLCTQSQPNSRPKMSEVLKVLESITGQPLAMEEPQAAVNPCEGRTYSFAGNYSDGHEDYSFVIEAMELSGPR >KZN09943 pep chromosome:ASM162521v1:1:31026305:31029379:1 gene:DCAR_002599 transcript:KZN09943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVLEVPINVFGQRNNFSFSSSRRPPNYACGIRKARSFVLVSATSQSPVQSVEENTSIVSQKFAFPIMVNSCTGKMGKSVIEAAMNAGLDPLPVSFSCEEDSGKIIEVGGKDFELYGPKEREDALAKVFNENPGLIVVDYTVPMAVNDNADLYCKLGVPFVMGTTGGDRERLYKTVKDSNNYAVISPQMGKQVVAFLAAMEYMATQFPGAFSGYSMQVLESHQASKLDISGTAKAVISCFQKLGVSFDLDQVQQIRDPDQQIELVGVPEEYLSGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGSIDAAFFLAKKVRSRAEKRLYNMIDVLQEGNMR >KZN11845 pep chromosome:ASM162521v1:1:48680869:48683436:-1 gene:DCAR_004501 transcript:KZN11845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSALLCCMLVICFHFLPFETFCQEDKQFEECKKASYCGNQTIRFPFYTEDIPEFCGFPGFNLTCLNNQVLLLNISEAQYRISQIFYSNYSFRVSNVLSSRSGFCSLNKIRDLSLPTDHRFELYGTTNLTLLSNCTSEFARIFSGSKVGCDAGENDTDWVIAMKGKDSGLKYATKECKTVTVAPVLDYTEDSTNFLKLIRDGFDLKWVAADCSDCEDSGGYCGFEGRSVNKFKCFCKDRPHSRSCKPTLAGNNTRLKIGIATGVAGGSILLILALVINHRRLKATHNLSLSPHNASSYPRDVEAFIRLYGSSIPQRFRYSTLKKVTNSFKDELGKGGYGNVYRGRLADGRVVAVKVLKEAKGNGEEFINEVASIGRTSHVNVVTLLGFCYERKRRALIYEFMPNGSLEKFIYDTTPSSEGQQHLGWEKLYSIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDENFRPKISDFGLAKLYTTDESIVSSLLQARGTIGYIAPEVTSRNFGPVSHKSDVYSYGMMILEMVGGRKNVNASADHTSEIYYPCWLYKRIQNDDVLNLANEISAEENEIARKMVIVGLWCIQIYPSQRPSISKVIEMLEGRTALEIPPRPYLCSVPTSPSNSQRDLVFCSSSGTEAASSA >KZN07867 pep chromosome:ASM162521v1:1:277369:278517:-1 gene:DCAR_000536 transcript:KZN07867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNICKDVIKVSVSGFNSLSSDLTELILSILPLTSIVRATAVCKHWHSIVTSSTFSSAVAVTRKKPFLFLYGLNNIFPRNNQLLAFDPHLDKWLNLPVFRHQNDSSFMGCNGYYVCTSGSSFSFCPILSRDVHVTCMLNCPRLNPLVGAFYDKGCELPRFIVVGGVKFVGGLVDIEDGLFVEIYNPHCGCWENCSPLPADFRNGNTSQSLSSALFDGKFYVYGIYSGFVSTFDLDTHVWSEVQTLRPPGLLFAFLISCNELLVLAGLCNDHRGVSFNLWSIDDKTMEFSELAIMPAELLACLFDGHQEDYNFASLKCVGLDDLVYVFNEERHMNYTACVCEISNISKCSWRRIPDLPVPLNHFHKVTSFCSSVSIDNIVN >KZN11099 pep chromosome:ASM162521v1:1:41703943:41704500:1 gene:DCAR_003755 transcript:KZN11099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNIDLRFVVPVLVKIIIYLINRFSNSPGEADEMLIWDYLINHQSSLFNVALAFIFLLFLSAAKLSISVAVFAQPMILRLGDFNPSLPLVCLLIASLVFTPQGLFYVYLLCIFVWIISPWPCYVWATFVNWFQHNIPIFIITAAPPPPRPIIDLSGPSPSVYFEVDDEENSDDDLEINVILGHA >KZN10182 pep chromosome:ASM162521v1:1:33373160:33376399:1 gene:DCAR_002838 transcript:KZN10182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFHFDDIIKVDRLDADGKKYDKVSRIEARSEQLKMQLHLDVHSELCPVRVGDKFRIVLADTLNEDGSAVTSLLPKGKQNSLADKFEYVMHGLLYKISDEGSDANAEKVARLSFGGLQLVLKGDAAKMESFKVHEKYFLCMRKV >KZN10347 pep chromosome:ASM162521v1:1:34813843:34815361:-1 gene:DCAR_003003 transcript:KZN10347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLYVPQFVKHLDCIDCSVDEMPIPSSFSSRFGHRVTDSVKLKFRNGYKIRVTFDRDGSEFLGMHDVFVDFGLFGGEVLIFQLCRRDCFKVYIIGVDCCEIEYPAIVHSAQKCTPETVCVRPDGWRFVKHLNLANGFGDAVVPPQSFLGKFAATLPTRFKYFLNNGAEFRGHYNRQDGILTGLSSIPEYLRLEDLNFFDLVVFSFDNLKEFDVTCFDGRNVELVFHTYTIHSGAMLQSIRPPSFFAVVVQPFHMLEYCHGVDISAEYRTHTDWWGKREEISIFRGRRCWELEIRKRADNKRTTIHDGWIQMRDDLQLEVGDTCWFKWKDESYHRFTVVVEKASG >KZN09180 pep chromosome:ASM162521v1:1:21385102:21395695:1 gene:DCAR_001836 transcript:KZN09180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPKSKQESKSKEKEPQQHVVEEEDPVVSDSDDEDWSVEEGSEGSLSDSGLENSDFTDNKEDLTTSDDAVSLDDEDDDDDVGQDSQSESGESHQGVEESDSSEDEAAPRNTIGDVPLKWYEDEEHIGYDISGKKLKKKEKQDKLESFLERADLKNWRKIYDEYNDEEVEITPKEAKIIRRLLKGKAPHAEFDPHAPYVDWFAWDGAKHPMSNAPEPKRRFIESKWEHKKIVKLIRAIRNGYIKFDKPKEEPKVYNLWGDDQTEKAGGLAYIPAPKPQLPGHEESYNPSLEFIPTQEELDAYQLEFEEDRPKFIPKRFLSLRSVPAYEKAVKETFDRCLDLYLCPRARKKRINIDPESLKPKLPSRKDLRPYPSTCYLEYRGHKGPVMSISTDPSGQWIASGSSDGTVRIWEIDTGRCLKIWELDESVNFVAWNPSLELPILAVAVGADVYLLNAGLGREEQHKIEELLRVEKPEAPAPDESSKGAPTVIWTEDEKQKGIRLKHIKTVTSVEWHRKGDYFSSVMPSDILVIESRAISVHQLSKKFTQRIPFKLHGLPVSTAFHPSRSFFFISTKMTVRVYDLLKHELVKKLETGLRNVSSIAIHPGGDNVLVGSREGKLCWFDMDLSSQPYKILRPFPDPNSEWDVVGMFDPNVIQVLALCGPASLTQLSAYRCHKKDITSVAYHRTYPLFASCSDDGTAYVFHGMVYSDLNQNPLIVPLEILRGHHSSNGRGVMDCKFHPRQPWLFTAGADSVIKLFCH >KZN09030 pep chromosome:ASM162521v1:1:19259728:19266987:1 gene:DCAR_001686 transcript:KZN09030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHNVPVCGECVCFPEHHICVIRTYSEWVIDGEYDWPPKCCKCHAVLEEGTNGQTTRLGCLHVMHTSCLATHIKDFPPHTAPAGYVCPDCSTTIWPPKMVKDSGSRLHSKLKEAILQAGNEKKLLGNHPISVSAVDSRDTPPAFASDPLIHVSGSGGMLSGSTKPSSIGIEEIDNSSAGNPSSSNNESNFLKSSSPPGATTRKSALQAERQNSELSYYADDEDANRRKYTRRGSFRNKFLRLLLPFWSSALPTLPVTAPSRKDTSHSDEVQEGRTRHHRTSRMHPRKILLIIAIMACMATMGILYYRLAQGGFGEELPEEEPQQQ >KZN10064 pep chromosome:ASM162521v1:1:32279592:32286449:1 gene:DCAR_002720 transcript:KZN10064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVESITEETELHDKQSELDVAPALIAVHPTHHSLVVAIGSELRVFDLQGSCCVILGDGSSGHKDSIRAVSYGANGKLFVSAGDDKLVKIWNTDTWQCIYNVSSEKRVTAVAISNDGHYVSFADKFGVVWVVELEGLHQSSAVVEKKPAPMLSHYCSIITGLEFSPDGKYIVSADRDFKIRVTAFPTKPLEGAHEIQSFCLGHTKFVSCFTFVCNQEYPQGFLVSGSGDSTVCLWEYESGTLLNTCEVGAEAGLLQSNGSEEDILPVITDLCASRDGSLVAVAVQSLPGVLLLSCNLSVKSLSIVRKITITEEAFIPTCLSTSSTSELLWLVMGVSNLKGSVSPSLARVRAISCFGQNSEPIILADSEIPGGEQLLKTLQGNLSIEKEVFSRTAEAVKIAMNNLLIKKEYNVENREYRKRGRNDKKKYK >KZN08681 pep chromosome:ASM162521v1:1:13415296:13415995:-1 gene:DCAR_001211 transcript:KZN08681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNSSVLACSYAISGSGSSELSLNSKLVSVPALAAKVPVIRAQNVKASESSESGSQGRRAALLCLGAALFATATSANAGPIEDALERSKTNKELNDKKRLATSGANFYRAFTVQFGSCNFPYNFTGCQDLARQKKVPFITDDLELECKGKDKYKCGSNVFWKW >KZN10022 pep chromosome:ASM162521v1:1:31774196:31778994:-1 gene:DCAR_002678 transcript:KZN10022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMESLIGLVNKIQRACTVLGDHGGEGMSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKVEGGSEYAEFLHAPRKRFSDFAAVRQEISDETDRVTGKSKQISNHPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQPETIVQDIEHMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTSAIDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIAARRKEQEYFESSPEYGHLAHKMGSEYLAKLLSEHLEIVIRQRIPSIIALINKTIDELNAELDRIGRPIGTDGGAQLYTILDMCRAFDRVFREHLDGGRAGGHKIYGVFDHQLPAALKKLPLDRHLSPSNVKRVVTEADGYQPHLIAPEQGYRRLIDGSLGYFKGPAEACVDAVHIVLKELVRKSLIETQELKRFPTLQSDIAAASNEALEKFRDESRKTVIRLVDQESSYLTVEFFRKLQNEPDKTQEKNPDKNPNPQAPNAERYTEVHFRRIGSNVSGYINMVCETLRSSIPKAVVHCQVREARRSLLNHFYAQIGRKEKEQLGKMLDEDPSLMEKRETLAKRLELYKSARDDIDAVAWK >KZN11157 pep chromosome:ASM162521v1:1:42184060:42186240:1 gene:DCAR_003813 transcript:KZN11157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSMVSSHLRAGSTTISSILIRSLIFLSTIQFAATLHFNFTNFNRTNDDQIKYERDAFTANDVIHLTNAVVGQSAQSSIGRVTYRQPLHLWDKASRNLTDFTTRFTFVIDSRNDTSYGDGMAFFLVPNGTGVPALATSGGTFGLTNDSQPTNTTANKFVAVEFDVYGNDWDPGESLDPDLTIPPGEKPEHVGVDINSVESEETELWKYGSSSVINGWTNEAQISYASSSKNLSVSFRTLNYKDSPQSFYFVVDLRDHLPDWVSVGFSASTGAAISYNTINSWEFSSTLESHAEAPSPSHPLPPVPPPSQTGPVPQVPKHIKTNNKRMGLVIGLAVGVFLLVCSGAIYRILKKKKEKQEYENNICFEDDFMDDEFEKGTGPKKFSYSALAMATNWPIRPSIKEAIQILNFDAPLPVLPPQMPVATYSIPSNLCSENRATTQRSQTHSSGSSNGTDSSVSATAARLNNTR >KZN10386 pep chromosome:ASM162521v1:1:35187203:35192006:-1 gene:DCAR_003042 transcript:KZN10386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNSSALGSGNRSNRSTHEFGRTYVVRPKGRHQATIVWLHGLGDSGSSWSQLLESFPLPNIKWICPTAPTRPVALFGGFPCTAWCDVGEISEDAPDDLEGLDATAAHVANLLSNEPSDIKLGVGGFSMGAASALYSSMCHVLGQYGNGNPYTLNLSVIVGLSGWLPCSRALKYRLERSQVARSLAASLPILLCHGRVDDVIAYMHGENSAHNLSSAGFRNLIFRTYSGLGHYTIPEETDEVCNWLTRNLALAG >KZN09036 pep chromosome:ASM162521v1:1:19331038:19332824:-1 gene:DCAR_001692 transcript:KZN09036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLVIFPLTRLVTLFMVCSYVQSSLSSTGDINWWCNQTPNPKPCTYHMNHLSESSTMLSREEFLTMAQCIAMDRVTSELGRIKLLEPKLLNNAERSAWSNCLQFYHLTVKSLTKILDVNRGSTTAEIQIWLSGASTNIRTCHDGFFDVKVTTNIYPLVISNNVTELITNCLAVNKVLFDEEKGTNLQELSQSFSANKSVSQNANCVVAQDGSGDYKTITEALQASTRRPDVSQRFVIQVKQGTYAEYPVVTDQMQNVMIVGEGMDNTIVTGNAKGATLIDSATFKVYGEGFVAQDITFENTAGPSAGQEIALFSQSDQSAFYRCRFKGYQDTLLASMNRQFYRECEIYGTVDYIFGNAAVVFQKCLIYAGTVTTAQGRTDPNSPTGTVIQNCRIVSGPELPAGPSPAYLGRPWFDYSLVVVMQSFLDNVVNPAGWLEWPGAGQGRYSTLYYAEFNNNGPGSGTNARVKWPGYHIITDASQVQQYSVANFIAGNSWLPATGVPFDSGI >KZN10004 pep chromosome:ASM162521v1:1:31573639:31580610:-1 gene:DCAR_002660 transcript:KZN10004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKPILGAESREENLNFIRPINIPSDTNINNHSRSFTCTSTSSSSSSPPDFLGKVQAAFKRRRPLGNMRSNNIRPTRVLLPQRGTSKNSDVNVTSEADTDKTQEVQEDASITPPPDLGTIMSTQEENHVSFGIQDQPRSAADCMNNNSASLSLYRSEQVHQKKVSFASGDTARAHEMDWDVSNQMEAATAVSQDIKHISSRSDVQVAYMLAKGTMGSQDQVHPFRNFLQSDSNYNMTQSSVVGSSCPTTTLMNSASAPIHNSTTYCSYPHQINSSNLNVESLGELNMNVQPATERDKLPSSLGSLNHSSGLSADLDQLEVALKASPSFVDKDLDVKGYKCSKDQSLAIPKDSETTKDDLPPDNKVNKEKACVGDVTDVQCQAPLSKYQSSDVKFGSSKPEIQGKVAKSKAAATTRKKSYDPEQFFKVNGKLYQRLGKIGSGGSSEVYKVISSDCKIYALKRIKLKGRDYATAYGFCQEIGYLNKLKGKNHIIQLIDYEVTDKALLQEVTQGYMSNKDRKVSDDGYVFMVLEYGEIDLAHMLSQKWKELDDSSSTIDENWLRFYWQQILLAVNTIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNDTDADGNIIKCGRSSDIWSLGCILYQMVYGRTPFSEYKTFWAKYKVITDPNHEIMFEPVSNPWLLDLMKKCLCWNRHERWRIPDLLVHPFLVPPITPPLSICQDQSCKFLKLVXKGRSI >KZN09398 pep chromosome:ASM162521v1:1:24607884:24609253:-1 gene:DCAR_002054 transcript:KZN09398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKWSNGVQQEINEKDSRTESKIIEDIVTSFMQKYSEHYPRGQTSQQNCSQVMPLTESYRFDIEEGSVEVTKGNANPMKNSYGDMTFRSWMKDWMIQNPKFFILVVIIVIVILIFTVVTFIQIGIEASTADAVTSAFKDFPKTLNDVEPKNFRTTFPGGGN >KZN10511 pep chromosome:ASM162521v1:1:36460808:36465144:-1 gene:DCAR_003167 transcript:KZN10511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHSPNILLVLITALLAKSLVVVDATEPAISYDARSLIINGNRELLFSGSIHYPRTQPEMWPDLFEKAKLGGINVIQTYIFWNIHEAVKDKLTFEGNADIVKFFKVAQEHGLWVCLRVGPYIAAEWNQGGFPYWLREVPDISFRNYNEPFMFHMKKFTEMVINMMKKEKLFLPQGGPIILMQIENEYGAVQATYRQDGEKYINWAAEMATGLYNEVPWIMCKQPNAPPLVIETCNGRHCADTFKGTNGPNKPLMWTENWTAQYRAFGDPPSQRSAEDIAFSVANFFARGGSFVNYYMYYGGTNYGRVSSSFVTTRYYDEAPLDEFGLRRDPKFGHLRDVHRALRLSKKALFKGAKSEQKINEHVRAVIYDKPGDASICAAFLINNSTKIPATVKFRELDFYVPAKSISILPDCKTVVYNSDTVVSQHNSRNFVTVNTDNNLDWEFYREPIPTIETLPIKNPTPIELYSLTKDVSDYAWYSTSVNFDRRDLPMRPDVLPIMQIQNNGHAMVSFLNGELVGFAHGKLDAKKFTQEKPVNLRPGINHISLLCMTLGIQNSGAHMEKRWTGPDNLVIKGLNTGTLDLTDNDWGHEVGVNGEKLELFTEEGAQKVKWELDTGLGTPATWYKAYFDAPPGDDPLAITMDSMQKGQMWINGKSIGRYWASFITPLGKPSQSEYHIPRAFLKPTKNLVVVFEEAGGIPHNITILTVNRDTICTFLRETTPPSVHQFERKDNQLRPIVDDLKVGARLECPPGKVMQRVEFASFGDPVGACGMYSQGKCHSPNSQKVVEEHCLRKSSCTIPLKREAYDQKEQDTCPETFKVLAAQALCVATP >KZN11019 pep chromosome:ASM162521v1:1:41103947:41105742:1 gene:DCAR_003675 transcript:KZN11019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHEKVNGYSNSTRDLDNSHMKWNGNGVVENGHGVKRHTSYQPSVKLSLSWLDIRVFYVRVSKCDIDDSTPECLTLNHIPLNHETLLEVNGARTSIYSDGASTLLRRDRFDKKSEEVTYVSTDSLRMTGSVKFEVFNKDVLVISGLLESSQCTGSIGDSENHGQRWSMHCESDIPVRSSLFNAKQCTSLESPEVEVYVAGCFSGVPIILTKTLQLGSRKKQSRKGMLKSIPEYEATVSQKDVPAGFDLQVADYSDHKPENEEYNPLYHPGMQYLEGEDGELSWFTAGVRVGVGIGLSVCLGVGIGVGLLVKTYQGTTRNFRRRLF >KZN09460 pep chromosome:ASM162521v1:1:25492719:25494744:-1 gene:DCAR_002116 transcript:KZN09460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFRCIIRETRSLDGSFFPQKVELKDGLYYVLSAWVQASEGSDNIITAAFRYSSGAATQAGTVIAKQGCWSLLKGGIVSNVTAPAHIIFKAKNSSAQIWVDNVSVQPFTEEQWRSHQDQRISMVRKRTIRLNISDANDNGKTLGGAKVIINLVKQHFPFGCSMSKNIVNNQAYQSWFSSRFRVTTFQNELKWYDNEPTQGKENYANSDYMINFAHSHGISVRGHNVFWDNFNFQPYWVKGLSPDQLRAAADKRINSVVSRYRGGVISWDVVNENLHFRFFEDKLGNDFSKSAYWKAHQLDKGATLFMNEYNTVEDGRDPASLPQNYVKKLWEIQGSVGGAPIGIGLQSHFSQFQPNIVYMRSALDTMGATKMPVWLTEVDVKPNPNQVKYLEQILREGFAHPAVQGIVLWSGPAVDSGYFMTLADNKFSNTPGGDLVDRLLKEWRSEDAEFTTDDNGLLEVSLFHGEYQVTVVHPTTNSSTMLSVDINDKLPAKESFHIHIHV >KZN09841 pep chromosome:ASM162521v1:1:29886621:29890820:1 gene:DCAR_002497 transcript:KZN09841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSGSAGDAVSDQFPVGLRVLVVDDDPTCLMILYKMLLSCRYNVMSADDSKNVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKKKHEYKDFEQSGSVEDGDRQQKTPDDVDYSSSANEGSWRSSKRRKDEEDDTEDRDDTSSQKKPRVVWSVDLHKQFVAAVNQLGIDTPDMIYKYRIYLRRLSGQHQSGLSNSFMGSQEAPFGSMPSFSSLELQALAASGQIPAQSLATIQAASHGRSATKSAISPLVDQRNLFSFESPKLHFGEGQRNNSTQMNFLHGIPTNMEPKQLVSLHQTANSLGGMMQGTQSNPLMVQMAQPYSRAQNESISNYASRFPSSIGLSNGIASGVIGRSALVDNVKGPVYNQVSQPSSIADFSVSRSEDLSGTGFSIGGSLGSSLISSKGVLQGEPSMEVKESRGEGASYDIFNDLHQQKSQDWSLRNVGLTYDTSQHMNMQENTDASSYLVQQSFMANQDTAHSRNSSTGKEVFPGGDERAQRNEPIIGQQPNAHHTDNSFRVKAESFPETSNQNTLLPGHYDQDDLMSALFKQQGVESEFGFDGYSMDNLSA >KZN07857 pep chromosome:ASM162521v1:1:199848:200723:-1 gene:DCAR_000526 transcript:KZN07857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSSPSNNKAPIFNPTNSPKSATPTIKKPQPLPWTHQETINLIQSYQDKWYSLKKGQLKSSQWEEVSVTVAARCGYDQPSKSATQCRHKIEKLRKRYRAERLKPYPDSWPYFDMMDCMETGPFPVTMARPVSMVQCDQDSDDSDVDCNLNKSRSINRIVKGGNCGNVGSVERNVRAFGGSRKPSIGKRKDFYEIDEEEEDEEVDDEGEGEEGGEGSRVVMELAGQIRTFAENFVRVEQKKVQMMRETAKYQMEMENKRMKMIIESQKKIVETIHEAFSDSHKKMKTAP >KZN08490 pep chromosome:ASM162521v1:1:8080061:8084698:-1 gene:DCAR_001036 transcript:KZN08490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLQQKASGWSGVATEDAFGIDETNLFQKLGLQPFINLSTDFYTRVYDDEEEWFRSIFANSKKEDAIQNQYEFFVQRMGGPPLYSQRKGHPALIGRHRPFPVTHRAAERWLHHMQQALDNSTDIDAQSKIKMMNFFRHTAFFLVAGDELKNSNHGVSPKPGASKPAAA >KZN08269 pep chromosome:ASM162521v1:1:4677017:4678732:-1 gene:DCAR_001334 transcript:KZN08269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFKICKVFNAGCGYEKPLSRYSSVRIVCFQHSESVERGKQCVRKSVETSSSVAASRFSRGAKMEAQVALFDYLYCTRGFHYLDAEQISKNSPHFVQNLLCKVDSEEDVSRALAKFFRYNPINEFEPFLESLGLSPSELEHLLPRDLFFLGDDHILLENFHVLCEYGIPQSKIGKMFKEANEIFRYEYRILAMKLGTYEELGLSRPTVIKLVTCCPSLLVGDVQFVQVFQKLKQLGFGTDWIRDNLSDSKTYDWNRMLDTINFLADVGYNDIQMGLLFQTSPLLLFEGSGKRLYIMVCGLIKLGLEMSEVYSLFSENPQLLSAKYALNLAKAVSFMSEIGMETEAIAKVVTDQVHLLGSHPLKGPRTVLKSLEVDKATLCHIIKEDTSKLISLASKVASVKQTKLQNPSNFLEKTNFLLSLGYLENTEEMAKAWKEFRGRGDQLQERFDCLVQAGLDCSVVSSMIRHAPSVLNQSKEVLIEKIDCLRSYLGYTPESIVTFPSYLCYDIGRIKLRLSMYAWLRKQGASKPNITVSTLLVSSDARFVKYFVNIHPEGPVMWEFFRKLLHVG >KZN07874 pep chromosome:ASM162521v1:1:343400:345434:-1 gene:DCAR_000543 transcript:KZN07874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSVLVAQFSYSAASSFTGPKCLGFSRHLNRHESGFILSTATLSCTLQRRTRLRCLITCSASDEPTSSPQFSSDAKIRSEVLTPFRSVRMFFYLAFIASASLGGLIATTQLIGALSNPSRAAEVPKILEGLGIDIAAVSVFAFLYSGDNNAKNAQLARLTREENLSNLKLRVNEKKVVPVNAFRGFARLVIVSGPSSFISESFKFSEPFTEGLLERGVLVVPFATDGELPDFQFDESEEMKELTGKRKRLWQLNPVLLTEWSKWLDEQKKLANVSSDSPVYLSLRLDGRVRGSGVGYPPWNAFVAQLPPIKGLWTGLFDGFDGRV >KZN11696 pep chromosome:ASM162521v1:1:47059885:47062876:-1 gene:DCAR_004352 transcript:KZN11696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGSLKILNLSHCHCVVESPNFSQLRVLEQLILEGCASLVEINESIQMAEGLLIMNLKDCINLRKLSKNLGMLKLLETLIISGCSNLSTLPAAMKEMESLKVFQADGLDFGNSSFVIEEYVSWPIYFWGWVSKRNLGSQLLLSSLPFKSIRRLSLVNCNLHDNAFPKDFIAAPSLKYLDLSNNPIRFLPECFEGVKMLKRLTLRYCNQLQALENLPNIDSLHVTDCPLLEKIRCKEGASLISVASPWRCKKLLEMEKSFKVVPLEKMDSEMIKNCGIDDVESKKRIQIRLYNKSTFTETSCPIQGVYENHCRGGTFSIFYPGSSVPILFTNKHNMASKSFVVSSHSKLRYLNTRVWYKFVPGSIRSLFIVITNKTKKRMIIYSPRCHGVPDGDEYMTWLSHWKFGSHEMGPGDEVNIFVYDWHYNTSFEVEVGVHLVYEEQEQAGDHSAKLPNIEQTCVILQNIKPWGHRGTTQLYFLGHGGVRGDVLLESIFRRICSGCGRRICTRCGRRPVRRAIQSY >KZN09512 pep chromosome:ASM162521v1:1:26448268:26450691:1 gene:DCAR_002168 transcript:KZN09512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQNLFFVPILLLLCFSTTFHFSSGADSISTYGSLSGDKTIISSGGNFQLGFFKPSNFSKYYIGIWFKKVSAQTVVWVANRERPVTDKYSSELKVVDGNLVLYEKGIEIWSTDTKLKSSSVVAVLLDEGNLVLRNGSSNTTVWQSWDYPSDTWLPGANLGYDKRANRTQVLTSWKNSEDPAHGSYTYELDPIGNQYLLRRNRSQEIWNSGAWDGQIFTNVPEMAIRFLFNFTYISNATGDYFIYLLVNSSSYITRFVMDYNGQIKQFSWLADEEKWSLIWAEPKTQCKVNAYCGANGVCNDMSSPFCNCLPGFKSRFQKSWTSGDYSGGCKRIMELECDKANTTRRKADIFQKYTCMKWPDNRQAISTENAAGCKSNCLSNISCTAYAYYENSCSTWDGDLFNMQQLSVNDTNCRVIFIRLHSSDSSNNNEGIISGIVGGSIAIVLVFSGLLLIVIRRHKSRKATKIERGAEGTMVAFGYKDLQTATKNFSEMLGKGGFGSVYKGTLPDSTVIAVKKLEGVSQGEKQFRNEISTIGNIQHVNLVHLRGFCSEGNKKLLVYEYASNGSLDSHLFNPKKDESLLPWTTRYEIALGTARGLVYLHEKCRDCIIHCDIKPENILLDSYMCPKVADFGLAKLVGRNFSRVLTTMRGTRGYLAPEWISGGAITAKADVYSFGMMLFEFVSGRRNSEQTRDGKVNFFPAIAANVIMGRGDILTILDPNLNQVADVEEVTNICRVACWCIQENEHVRPTMSQIVQILEGFLEVDMPPDSRGLQVFIDNEDDIVFFTDKSSSSSLHIQSNPTRG >KZN09746 pep chromosome:ASM162521v1:1:29029495:29030061:-1 gene:DCAR_002402 transcript:KZN09746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLDHDDIFFADLNKQISLLIMEDDDDIHLPVSGYPHPSASFQPFSRVVHPRPTTQPQMFHDHIRQNEQSKGTGVFIPLSTQPRRKNKQGRFTSAASNGKFQKHSDDNSRRLPQGLASNNYYSFNHKRS >KZN08780 pep chromosome:ASM162521v1:1:15288589:15290985:1 gene:DCAR_001436 transcript:KZN08780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLETLIISGCSNLNTLPSEMRAMESLKVFRADGLDFGNSSFVIEEYVSWPVFIWGWVLKWNLGSQLLLSSLPFKSIRKLSLVNCNLHDNAFPKDFIAAPSLKYLNLSNNPIRFLPECFKGVKMLKSLTLRYCNQLQALENLPNIDSLLVTDCSLLEKIRCKEGASLITVASPWRCKKLLEMEKRFKVVPLEKMDLEMIKNCGIDDVESKKRIQIRLYNKSTLTETSCPIQGVYEDECWGETFSIFYPENSVSILFINKHNTASKSFVVSSHSKLGYLNTRVLYKFVPGSITSLFIVITNKTKNRMIIYSPRCHGVPDGDEYMTWLSHWKFGSHEMGPGDEVNIFVYNWHNNTSFEVKEVGVHLVYKEQEQAGDHSAKLPNIEQTCVIPQNIKPWAHRGTTQLYFLGHGGVRRDVLLESIFRRICSGCGRGICTRCGRRPVRRGL >KZN08572 pep chromosome:ASM162521v1:1:10634401:10634577:-1 gene:DCAR_001102 transcript:KZN08572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSVPVAFEPESSVHCLAAFTVHSSSLLLTDFDECYCLAGESAVNSVEIGASGEVV >KZN11828 pep chromosome:ASM162521v1:1:48438784:48439228:-1 gene:DCAR_004484 transcript:KZN11828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFLEKPFTSCEIIDLESPSFSTHGSTRPLATTFKANHGPKFVAPLETRNAKGLFPFSENAGPMSTKYANALKRDALDLATQPMSRPLNSIDEVCVINKNPADFNAACDPGNIYTR >KZN12014 pep chromosome:ASM162521v1:1:50237001:50237938:1 gene:DCAR_004670 transcript:KZN12014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSLAVAPRSEADQSKVSGMTSQQKSSHRPQQDQTGGVMGSLRVIELQLVAFIMVFSASGLVPLLDLVFPAFATAYLFALSRLAFPAHGKTNAPPEVFHNSKLFRFYVILGTTIGLFFPLAYVLGGFARGDDHAVRSATPHLFLLSFQILTENLISGFALFSPPVRALVPLLYTIRRLFVILDWLQDVWLNKTLSSDAKLKDVSWYWFGRSLAAANLAYFSINLLVFLIPRFLPRAFERYFSERDEMHSKAAEDNYAKAAAKQSKSADEKKSD >KZN08816 pep chromosome:ASM162521v1:1:15789964:15790745:1 gene:DCAR_001472 transcript:KZN08816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGVLSSCCMSMKPPPSPSSPSSLRRSTQVTSWPGKEGSWRRHLVIGMACIIMSLENGEIISLRQQHSRVANGAELAVESKKGSAQWSHQRRCEPWRLNSLETIVPENLPRPSAHRTWEKVNHSKHKDTASAPSLTLLTNIDKCFTM >KZN10429 pep chromosome:ASM162521v1:1:35640952:35642091:-1 gene:DCAR_003085 transcript:KZN10429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCEDEAEKQAKESHAVIVVMVPFPAQGHLNQLLHLSRLISSYNIPVHYASTAIHSRQAKLRVQGWNPLSTPDMMKFHDFSVPAFPSPLPNPQTEVGFPSHLVPSFYSVLHLREAVTKLLFALSPTTRRLVVIYDSVPSIIQDVGKIPNAEAYSFQSLSAFRISSYFWETLGRPHGIGDEIAKQVPSRASTLTPQVMEFINSQEEHNKYRSGALFNSCRAIEAPFLDVLAKVNSKQWVIGPFNPVEVCKNSGHKCLEWLDKQATSSVIYVSFGSTTTLTDEQIKRLAVGLENSGQKFIWVLRDADRGDIFGGDGRARELPEEYEERIELKGQGIVVRDWAPQLEILAHRSTGGFMRLRMEFMHGKYYNGSPFSNMAYAF >KZN08629 pep chromosome:ASM162521v1:1:12593238:12607034:-1 gene:DCAR_001159 transcript:KZN08629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGERAGDKSDSRYCGVETEFNDDIPQLLSLNINGGFDFVVATLMDPAYRPSLKSDEGNKSCGVLPFAGSDLVLSPSQWSSHVVGRISLWIDLDSEDELLRRDSETCLKQEIAWAAHLSLQACLLPSPKGTSCGNYARVVNQILQSVNNMQLWLRIPLQKSDEDTVNETGDLGREQMDSWELWNSFRNLCEHHSQLSIALDILPSLPSANSIGRWFGEPVRAAIIHTNSFLTNAKGYPCLSKRHQKLMSGFFNHSIQIVISGNQDINNSLRSTEAGPNNNNNRIESVQRHPLRPYLDYVGYLYQRMDVLSEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDTMKYIQYQRAICKALQDRVPDEASSTVTTILMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWEKIVTIVSSDMRCWEAPEKADILVSELLGSFGDNELSPECLDGAQRFLKEDGISIPSSYTSYIQPVTASKLYADIKSHKDLVHFETIYVVKIHRVARLAPSQPVFTFVHPEYSINNSNQRYKKLQFELPNDTGSALVHGFAGYFDATLYKDVHLGMEPLTATPNMFSWFPAFIPLRTPVCIRPGLPLEFHIWRCCGPTKVWYEWCVASPTASPVHNTNGRSYWVGL >KZN10105 pep chromosome:ASM162521v1:1:32655363:32656352:1 gene:DCAR_002761 transcript:KZN10105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQSYLITLGLLSVSLITTASATTAPMFREAPAFMNGDECTNSSIHVAMTLDANYIRGTIAAVLSILQHSTCPENVVFHFLWSQHDPEIYSSINSTFPYLNFNLYPFDSNRVQDKISKSIRQALDQPLNYARIYLADILPEQVERVIYLDSDLVLVDDITKLWQVDLEDKVLAAPEYCHANFTQYFTPAFWSDPEYANTFEGRTPCYFNTGVMVVDLDKWRQGGYTQKVEAWMIVQKQKRIYHLGSMPPFLLVLAGNIKAVDHRWNQHGLGGDNLEGKCRNLHPGPISLLHWSGKGKPWLRLDSRKPCTVDHLWAPYDLYRSSTHLFE >KZN11483 pep chromosome:ASM162521v1:1:45100162:45102220:1 gene:DCAR_004139 transcript:KZN11483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKMVGGDGFGVAASSRVLLVCLLRLGAIQEIQKELYSSETLRSNDPSEEIAGSEEDKMYTDETRDDESYTWELCDYKFGNAEKSFVEWNVKAPLEIIYEDEEEEDDDDDDNDRSENDFKAMERYPSLSLYYPETDTDCSSDDDFALDMNWESTESAAAAFRWSEMGDELIEIALDGKSRSQFFNDEEDSLIEIDISPGRNIEFAGQY >KZN11621 pep chromosome:ASM162521v1:1:46413232:46413903:1 gene:DCAR_004277 transcript:KZN11621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNENNDFGSMPLDMIIEIMKAAVVDGFGNFFNLFKAWAQTKRGSEITHLLELFPVRDLYPARLLGNDSDVACFDKFFTIAERLQNADAIVYKRVHEFLGGVGNLDAHLIELDGLASGGHYLAMMACVALRFSFQKHVSSASIIPCLLQLYGDPHFDSRFESSLIHLQNIKEGVAAGGRGSASNSHATCPILHTGVDGRTKGVANGVCFLCDIFALSKVFMKG >KZN09331 pep chromosome:ASM162521v1:1:23333629:23342880:1 gene:DCAR_001987 transcript:KZN09331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSWADSVAAADNAAAAAGASSGGGRSAYVPPHLRNRPPPSSEPPVLAHGGPSSGNIAPAGNRWAAPRNDYGRSGYSSGGGGGGGRGGGWNNRSGGWDRGSGRDREVNPFGDDEGADVEPVEQENTGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNKNIRRCKYVKPTPVQRYAIPISLANRDLMACAQTGSGKTAAFCFPIISGIMTGQFPPRAPHMSRTACPLALILSPTRELSIQIHNEARKFAYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRNIVQQMDMPPPGVRQTMLFSATFPKEIQRLASDFLAGYIFLAVGRVGSSTDLIVQRVEYVQETDKRSHLMDLLHAQRANGTHGKQALTLVFVETKKGADSLEYWLCSNGFPATTIHGDRSQQEREQALRSFKSGHTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSMARSLSELMQEANQEVPAWLARFATRASFGGKNRRGGGRFGGRDFRRDNSYSRGGSDYYGAGNMSSGYGAPSGGYGGAYGSGVTSAWD >KZN11925 pep chromosome:ASM162521v1:1:49456763:49457038:-1 gene:DCAR_004581 transcript:KZN11925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVSSSSSNSDMDHTPKEGAGTLTNWRGGYILHRTAPNADDGVSSYCSSIKPHQLTSFHLLRSFTSSNPSTCMTSSSRILMSSLSKTASH >KZN09200 pep chromosome:ASM162521v1:1:21613249:21616011:1 gene:DCAR_001856 transcript:KZN09200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILAKERFRGESSGDGATAEGHGSGVCQSGVEAMLQTHIIEFLMMRAQLAYVFTLKEA >KZN10733 pep chromosome:ASM162521v1:1:38519648:38520082:1 gene:DCAR_003389 transcript:KZN10733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVSAHVVSSKPVSLATASRILSNFVSMENGASHAVSVYLRRAATSFAELNQFHKDLKSSSSSKSLKKHSQIIAFDFGQDETEIKGEGDKVEEIEGNAGILVEKSEVTKKKKRKGEEIEGDFSGESAEQSGLSKKKRRKTEGD >KZN12028 pep chromosome:ASM162521v1:1:50402146:50406802:-1 gene:DCAR_004684 transcript:KZN12028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVLKAFRAMKAIGILEDKVKPVLKDLLKLYDKNWEHIEEENYRALADAILERDEMEAASEKNKNLGNSNDDENLEHDEPDRPLKRLRLKHQDNHLSSSLIDGSPDLGVGEFKKPKVEADEASAREITNPEPQSVSLQPLAKDKGKQPVSSEAGAPVISVPSHSSLIRSKDKGKEPLLPEDTPKDFRLFSERSSSHGVTIREPKVDAVISLPPKKVPNGYALTKLNDSSSIDEKLHADVAATAVHPGRPYVPLSSKPLPDGRSTVENVSVPDPTGQTVLSFHSVIDNDENNDIPDFASEKRINSELVKSQCRSNPDFDVTTAPCGDTGVSVSDCSTLGKPEVQYVPPPLNGADNIQPDAAVVSPQEPISLPPCSGMNGSPPHDNRMVNHYEVNSDRALTVNNSTNCQSLMAVQKPQAMLNNGNSVHDVNDLSKGQEKVVVYLQNEINSECQLSFNYIPENVIFQKAHVNISLAHIRESCCSKCSGDCLSSSTPCACADENNGEYAYTSEGLVKEKLLEECISIKREPQNQILLLCKECPLERLKNEEKIESCKGHLMRKFIKECWWKCGCSKNCGNRVVQRGIDRKLQVFMTVGGKGWGLRTLESLPKGAFVCEYVGEVITNSELCDRVLQGRNTGSHQYSVPLDANWSTKIVLKDEEALCLDATHYGNVARFINHRCVDSNLIEIPVEVETPDRHYYHLAFFTTREVKALEELTWDYGIDFDDHDRPISTFECLCGSNFCRNINRTSEYFFVL >KZN11697 pep chromosome:ASM162521v1:1:47079215:47080219:-1 gene:DCAR_004353 transcript:KZN11697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERNTVTWNTMITGYSRVGDVEKARDVFETMQVRDLASWSAMIAGYMSCGRWSRGLMLFRKMVAKGRLMPDQYMLCSSIMACGHMGSIGLLLGKSLNGFAVKNGWILSVELGTVLVDMYAKCGRWKDAYVIFEMMRDRNVISWTALICGAAQHGYGDKALSIFNEMIRTEVKPDELTFTGILSACAQAGLVEEGWNYFRMISECGLKPRIQHYGCMVDLLGKAGKVGEAFEIIETMPFEPNVLVWSSFLSSCKLHKQFEMAERVVDRILQSVHPDNHEGVYTLICNLYDLNGKWNEAEKVRKLMVDHNVKKPRGSSFISSGRLEGAKNQDDPV >KZN11129 pep chromosome:ASM162521v1:1:41979630:41979833:1 gene:DCAR_003785 transcript:KZN11129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVNRRGRPGVSVNSCKKGPVATIGNSIYVTIYKKRKKTFLHIHLCVRAMKALLKHKDGWPCFWIHL >KZN08327 pep chromosome:ASM162521v1:1:5312084:5312616:-1 gene:DCAR_000873 transcript:KZN08327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLGSSMTSAKRQSALVVDDDPVTRIITRGLLNYFGFEVSTLNDGIEVVNMYEAGKGHFDLIITDMEMPVMNGIEATKKLRSLGVGCKIIGVSSCDDETSRHHFMEAGLDHLFYKPLNVTKLQSCLENN >KZN11579 pep chromosome:ASM162521v1:1:45916111:45918819:-1 gene:DCAR_004235 transcript:KZN11579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITLKNELIMNSYKTIDGRGAKVEIAYGPCITIQGVSHVIIHGISIHDCKPGKSGLVISTPLHVGHRLGCDGDGISIFASSNVWIDHCSLSRSSDGLIDVIHASTAVTISNNHFSQHDKVMLLGHDDKFSADKIMKVTIIYNHFGPGLVQRMPRVRLGYAHVANNMYEAWQLYAIGGSSNPTIYSQGNYFNAPNYLEHKQVTKREVNNGWKNWKWRSSMDRFMNGAYFVQSGYGSCSPNYSKSQSFTVEEDKLRQLLWQSKGLVYKSDPPEPESKKELRRTDSVAFFWFGNLRSCSGR >KZN08609 pep chromosome:ASM162521v1:1:11932882:11933794:1 gene:DCAR_001139 transcript:KZN08609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFELGLKLTKCLDEFESADLVISKNGAGPLFISRETETMFILSAHLRGYRREQIKIAINEDGNRIAICGERPVQETSMAGWQVIKKDVEMRAFRKAFIIPDGVILDDIKAMFDQDESLLTITMKKATEGIKGVTVEEVKEETNIREKSESLQVEADKESSWKQASAEGADGIGAQKETSVNKAKEKIAEPSNTSSPIVGNVASEESRSDEGALADEVEDGKKLLDQSTQTDAPKRPKKLLCTPVIAGSSLLVSLIVFVIHLIRTKDKSEKKK >KZN11323 pep chromosome:ASM162521v1:1:43692185:43693522:1 gene:DCAR_003979 transcript:KZN11323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTKSHSSSSTKSKFTKSFFKVIHLKTPTKTISNNPFCLLIPQEKPKNGDQKLKKNDLVKAKNKAAMEAFVAKLFATISTIKASYAELQMAQFPKYNAQAIQLADQGVVDELRKLSELKQSFLKKQVDSSPPHVTLLLTEIQEQQSLMKMYEITIKKMEAEIEAKKHKLLSLQGQLQDTMLKNKSLEKKLNSSGCFSILENINLSAPNPKDFILVLQYTIRSVRNFVKLLVQEMESSSWDIDVAIDSIHPNLILSNKNHKCFVFESFVCQEMFQGFENPAFCLQNDQSCDSFVPYHMDQFKKLKSVNSVNFLKAHPTSSFAKFTRSKYLRLVHPKMEASFYGNLKQRKMINAWEFPETPFFNLFSEMARRVWILHSLAISFEQKLSIFQIGKKCRFSEVYMESVVSSESSSSGDGGLTVAFTVVPGFRIGETVVQSQVFLSPAK >KZN08875 pep chromosome:ASM162521v1:1:17082359:17083078:1 gene:DCAR_001531 transcript:KZN08875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNWALTTPTTHVSLSSKIPLITIISCGRNKIKINNLSPPLSTITHPPSTPNIPHTIKRFQVLKISSKHSHGKHGNVLFQNPNHLLLPPQLPQNLSPQTLSPKSLSPQTLSPESLSPQTLSPKSLPLLHRRPPRRHSLLSPSSPRRRIREGAALRLRPHSPHHDGSVPRPHLRSGQGLLLSPRQLHGRQRQGNQGDAEQRQGHLHGGQGAGGAGRRRHESCQSRDFRRSESDEEGDCY >KZN12074 pep chromosome:ASM162521v1:1:50800683:50802591:1 gene:DCAR_004730 transcript:KZN12074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLQVGDYSMPDDALLDLSKCMWNGGGPNEEVLPGMFEENTPLKACGDLPYDATSSEYMNKDLDLFRENSSQIKRRRMLQFDPDVFNAPLCNVDMTTSFLESEERMDSFEEALPDVSQWVNSFADTSASGYVPPDQSSEGWLTDCLGNADMQLSLEDMNLAGSSDLQIDITEFCDTPVQHEATAYATPPECFTEARPKLVIKGKKSNVRPPTKGTSSVVYPFAFVKPCGVHGDVTLRDINQRIRAPPPLKSKKNLQDPSAAYPTSALTGKPVVGKTKIRTEGGKGSITIMRTKG >KZN11375 pep chromosome:ASM162521v1:1:44094469:44096858:-1 gene:DCAR_004031 transcript:KZN11375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHYPIYCLHFFILFISVSSSGTQTGYSTSSAAKLAMFVFGDSTVDPGNNNYLQTPFKSNFPPYGQDFVNHIPTGRFSNGRLVTDFAASYAGVKENVPPYLDPGLSTDELLTGVSFASAGSGLDPLTAKLSVRLSSTSQIISLGVMSIPKQMECFREYKSKVEEMIGTERTQALLKKAVYIISAGTNDFIVNYYGASGIRRYSYSIPSYSQFLTQQLQELIEDLMDVGARRIVIVGVPPFGCLPAVITLYSNQILHHKRECIATMSSDAQGFNQMVKQKAKELERNGSKIYHVDIYETFKDIIQDPKEFGFNKVYSGCCGTGLIELSYSCNAQSRLCSNASEFVFFDSVHPTERTYFLVFKALIPTIDLVLKSD >KZN11470 pep chromosome:ASM162521v1:1:44961346:44970996:1 gene:DCAR_004126 transcript:KZN11470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTRSPPGNGDDASGIADDLRCKRSDGKQWRCTALSMPDKTVCEKHYIQAKKRAANSAMRASLKKAKRNSLGESDIYLESKSDDMESPIVSSKLGDYSGTVSGRKYNEKYEENFRSYGTPLSAMESSRSRSEKNFEDSPTLAQMLIMEINKCVFDLGAGIRSPLMQAQIHPMILRDKLAISVGKMTEKLSGASDVTEEDTVTVASQLGGYSNFTVEDIQSGCPACRGTCNCKACLRNDNLIKVKIREIPIKEKLEYLYCLLSSVLPVAKRIHREQCSEVEFEKKLRGNEIDLPRMKLNADEQMCCDLCRNPIIDYHRHCMNCSYDVCLSCCQDLRDLSMVDTKVKVEIELTGENSDKEAIAMSEQVKPSRNRLVDKFSDWRANRDGSVPCPPKEYGGCGCAALTLKRIFKMNWVAKLVKNVEEMVNGCKIHDTLSRQEAEHNTRLFQFAHRDDENDNYLYCPSSKDVRAEGIGDFRKHWVRGEPVIIKEVIDISSTPNWDPMVIWRGIRETGEEKTRNDTRNVKALDCLEWSEVDIELGEFIKGYHDGRFHENGWPQMLKLKNWPSPSSLEEFLMYQRPEIISILPLLEYIHSKWGLLNVAAKLPHYSLQNDVGPKIFISYGTYEELDRGNSVNNLRYNLRDMVYLLVHTCEVKLKGSEKTAIEPIQNDFEEHDANKLNNDPPLSLSKEDSPKLSPGEHENQAKQEGSLDLAKEEGTDSVATSMAEKEIVNCEDLNGDAKNSCKKSCPGAIWDVFRRRDVSKLLEFIKVHWKEFGRPDELTNDSVPRPLYDGVIYLNSYHKRKLKEELGVEPWSFEQHLGQAVFLPAGCPFQVRNLQSTVQLGLDFLWPESLQEATRLAEDIRGLPNNHNVKQHILEVGKISLYAASSAIKEVQKLVLDPKVGAEIGFEDPNLTALVSENLDKVTKRRQVA >KZN09713 pep chromosome:ASM162521v1:1:28706293:28708061:-1 gene:DCAR_002369 transcript:KZN09713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEREEVIQAWYMDDSDEDQRLPHHRNPKEFVSLEKLAELGVLSWRLDADNYETDEKLKEIRESRGYSYTDFCEVCPEKLPNYEEKIKNFFEEHLHTDEEIRYCVAGSGYFDVRDHNDGWIRVWVKKGGMIVLPAGIYHRFTLDSNNYIKAMRLFVGDPVWTPFNRPHDHLPARKEYLESFVQKATANNSVDATA >KZN11745 pep chromosome:ASM162521v1:1:47807256:47813523:1 gene:DCAR_004401 transcript:KZN11745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSFQRGGGTRYVQSVGSNRLEFTYDDDVSKESHWSVKLKEFLRGLRLLLAFLAEQPGQLKYIEWPSFQSTIYDIFQLLPPKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDDRMLVDIQKFYNVVVEELPANVADLL >KZN10136 pep chromosome:ASM162521v1:1:32932896:32938419:-1 gene:DCAR_002792 transcript:KZN10136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQQQHGDAVGGAHNTNKLDFGKMDYGCDHYRRRCKLRAPCCNQIFTCRHCHNEFSSALSNPKERHEIVRHEIKQVVCAVCETEQPVAHVCSSCGVKMGEYFCNICKFYDDDTKKQQFHCDDCGICRVGGRENFFHCKKCGSCYAVVLLDNHSCVENSMKSHCPICYEFLFDSVKGTLIMNCGHTIHSDCFAEMTVQNQYRCPICSKSVGDMSTIWQRLDMEVQATPMPHEYRYEVGILCNDCNKTSQVSFHFVGHKCSHCNSYNTRVISGRDNPQ >KZN09660 pep chromosome:ASM162521v1:1:28211122:28213635:-1 gene:DCAR_002316 transcript:KZN09660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSVFQEPDEITMSINDAQKKLRASVIVPLLYFALFVCVIMSLMLFIERLYMTAVILYVKALGKKRYTQYNLDSVKERMERNREHPTVLIQIPMYNESEVYKLSIGAVCALLWPQDRLIVQVLDDSTNTTIMTNVQAECRKWQNQGVNIKYENRANRNGYKAGALREGLQKQYVDNCEYVVIFDADFQPERDFLERTIPYLIDNKELGMVQARWKFVNADECLMTRLQEMSLNYHFSVEQEVGSSTCQFFGFNGTAGVWRIQAIKDAGGWKERTTVEDMDLAVRASLQGWKFVFVGDVSVKNELPSTFKAYRFQQHRWSCGPANLFKKMTKEIMLCRDSQAGVHNGDIHASLCCIQHVARQ >KZN08289 pep chromosome:ASM162521v1:1:4981285:4993261:1 gene:DCAR_000835 transcript:KZN08289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEKSNRGEDRALEVIRAYFSERMISEETLQRNLVMQKTYGDQIAIAFTYRRNGKLISCKYRDVNKKFWQESNTEKILYGVDDIRGASEIIIVEGEMDKLAMEEAGFRNCVSVPDGAPPSVSKNSLPSKEEDLKYQYLWNCREYLEKASRIILATDGDQPGQALAEELARRLGRERCWKVKWPKKDENSHFKDANEVLMFRGPEVLRGAIENAEVYPIKGLFSFADFFDEINAYYYQTLSYQLGVSTGWKALDQYYNVVPGELTIVTGVPNSGKSEWIDALLCNLCESFGWKFALCSMENKVREHARKLLEKHVKKPFFDARYGESAERMSAEEFEQGKQWLSDTFSLIRCDNECLPNIDWVLDLAKAAVLRHGVNGLVIDPYNELDHQRPPNTTETEYVSQMLTKVKRFAQHHSCHVWFVAHPRQLHNWVGAAPNLYDISGSAHFINKCDNGIVIHRNRDPAAGPLDTVQVCVRKVRNKVAGTIGEAFLSYNSHKRSSAREYRRSSKLQYTFRPGMFCHGGPPTSVFSGFHNFGANDPGIIRQHLL >KZN10135 pep chromosome:ASM162521v1:1:32923813:32926610:-1 gene:DCAR_002791 transcript:KZN10135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSRISSGLVQETKKAKTQEEETKNRLCDFCGEDSKAVLYCRADAAKLCLRCDFQVHSTNQLFTKHSRYLLCDSCDASPCSIFCSNHGLVLCQNCDWESHGSGSVLHDRRPLDGFSGCPSVTELLATFGIEDLGQRKKKSCGFDDYLIWDTPCIVGLDDLIVSDGSDHNFVATGVPPLPKNRNAVCGQHKEEIISQLRQMVKLEPNFGDDQFDIELFSGFQSSAFKDNCQQKDACFDFEHNMEATYLPSNAAGALKYCSVSSGEGEDLPSIPLQNFFSTDCKVPDGKPESVQSLNQGNSGHGTQVDASVNVSVPQIFPKVAPREFSSQERDNALTRYKEKKKCMRKKSGMNHARFVQKAEKELGGDLLKRITDRSCPQVVKYVGTLR >KZN12143 pep chromosome:ASM162521v1:1:51383241:51388233:-1 gene:DCAR_004799 transcript:KZN12143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENQQQQQQRFQLGTIGALSLSVVSSVSIVICNKALITTLGFCFATTLTSWHLLVTFCSLHVALWVKLFEHKGFDQKTVLGFGILNGTSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKQFSRNVQLSLTVLLLGVGIATVTDLQLNVVGSVLSLLAVVTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFLVGPFVDGFLTNKNVFSFTYTPYVLAFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLRDPFSWRNILGILVAVVGMVLYSYYCTRESQQQKASEASAQLSQAKESESDPLITVENGGDAQKPSAWKSKKDLQA >KZN10931 pep chromosome:ASM162521v1:1:40391530:40395889:1 gene:DCAR_003587 transcript:KZN10931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDEVEEVDNKKDYDVEYEPILNGDEIAMSDSKSFVSTQGWNSEMIVDYRINEDEFHKICLMDCDFFIRKPPDLDNDVYDFREMYVTPPDTDIYAIPRILAPMPQKYIRCAMSDYGCYNVTEPPIDAPRDPMYKSEREVSKVFLTKHFRNRRLGDPEFVLDFEEIYVLDSKTKSITRARVLVTVPEGRDRDRKEDLLVIRDNGNTFKIIPSSERDDPTTVIEKEEWDKSRQDMERHLRKLRDFSVSNWF >KZN11443 pep chromosome:ASM162521v1:1:44701646:44703601:1 gene:DCAR_004099 transcript:KZN11443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEADLEKLLLEAAGRTDSSGKKRQSPPLSRRRREGSYFGNGSDSREDDSDDDRRYARRKRSASQVPLKKRLIEDARLRSREETDVGYNREGDSDDDSIGSDLYKDEDDKRKLAQMTELEREMILTERSTKITDRKMKEKLRMQKEKMNQSRESSPPHVSSRTGVRSSARYAHRAAAKGDALNELRAKRLRHKDLEAQWKVQDSTSVGSESRRFSPVKKRYFTATAVSSSSHSASVSRSEDDGSTGYGDSDDDNISPDSAVPTFEDVKDITIQRSKLARWHMEPFFDDLIVGCFVRVGIGRWGNASMYRLCVVKNVDSSNPEEQYKLENMITHKFLNVVWGNENSATRWQMAMISDSPPTKEEFDQWVKEVQRSGGWMPSKQDLLDKKDAIQKTSTHVYSAATVKQMLLNKNLATSRPLNVAAEKDRLRREMDVAIMKNDEAEVERINTRLLELEASRQTQRKDCKAIKLEEMNRKNRIDNFKNAAGLNSASGLKAGDAGYDPFSRRWTRSRNYYVPKASGGDKAAVAGDGEQTAALLGPDGSGGLVGVVTEVGAVATAAALVAAADAGKLTDTSAPVDQGTESNMLHNFELPISLAILEKYGGPQGAQAGFMERKQRIEATVGCKVPENDGRTHAKTLTISDYKRRRGLL >KZN10287 pep chromosome:ASM162521v1:1:34216144:34217107:1 gene:DCAR_002943 transcript:KZN10287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWEDDQVLVDDQYEDHQESQFQSHGLSRLATCTSSSTLDYENLENIDDDPHGTVGTMFSGLCIDDQEFCDRGYGPDGRENSSRGCLFLDSDDDKEPIAAAWCSLPASPLHEQRSQADDQSKQYLSDNNCGKLSRMRKRVLRRKQWEERKTSLMNDEDDENTVEMKMNMTCLSQNSFSGESEGGLRVITRPKGGKRALCMDMEEVKACRDLGFELEHQQMFDLSTTPSRMTLSASTLDTTSSSGGSSPIPNWHISSPGDAPKDVKARLKVWAQAVALASSSSWRHLTS >KZN10303 pep chromosome:ASM162521v1:1:34357132:34363151:1 gene:DCAR_002959 transcript:KZN10303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAESGIYAQKSAMSVVWVLVLCLFCNGCHSLGADVRKRPETVNIGSIFTFNSIIGKVAKVAVQAAIEDVNSSPNILRGTKLKLTMHDSNSSGFLGITDALQFMEGDTVAIIGPQSSVLAHVISHVANEFKIPLLSFSATDPTLSSLQYPFFIRTTQSDLYQMAAISDVINSYDWRKVIAIYIDDDHGRNGIAALGDELATRRCEISYRVALETGSTRADIKEVLVKVALMEARIYVVHTYTGQGLDVFAVAQSLGMTGSGSVWFATNWLSTILDTSGPLSQEASSSVQGVITMRMYTPNSEHKRNFVSEWSNLTKKENKSAVGLSTYGLYAYDTVWLLAHGLDEFFNQGGKISFSNDSRLNELGGGSLRLDAMTIFNEGDSLLESILQVNMTGITGPIKFTQDKDIINPAYEVINVIGTGIRRIGYWTNYSGLSVERPEALYTKPPNRSSSSQQLYSVVWPGQTTRKPRGWVFPQNGKQLRIAVTNRASFHEFVAEERGTNMFKGYCIDVFNAAMNLLPYAVPHQLIAFGDGHKNPNGTELVYDAAVGDIAITTTRTRMVDFTQPYIESGLVVVAPVKKSNTSAWAFLRPFTRQMWFVTGVSFLVVGAVVWILEHRINDDFRGPPRQQCVTILWFSFSTMFFSHRENTVSTLGRLILVIWLFVVLIINSSYTASLTSILTVEKLSSPIKGIQTLVMNDDPIGFQQGSFSRNYLINQLGINESRLKPLTTPDQYVEALKRGPENGGVAAIVDERAYVELFLASHCEFSIVGQEFTRTGWGFAFPRDSPVAVDLSTAILKLSESGDLQRIHDKWLMSSACTSQTTKFEVDKLELKSFSGLFAICGLACVLALIIYFAIIMHKFTRHLPEEPGSAGGSSRSARLQTFLSFVDEKEVDLKSKSKRRHMEVASNVGVDEDTSVNGSNRSRKFMSTNNSMNTQGASETT >KZN11376 pep chromosome:ASM162521v1:1:44104626:44106767:1 gene:DCAR_004032 transcript:KZN11376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPPRDEIRRIRAQLQEYGQVGDANVFYWFQNRKSRSKNKKNSLQNSTTKSSLSTHPLSPQAIAKPAPLVSNSSSSSSDKSSHKSAERKPFSCNVLDGLNSPTGSVNQPYFSAFLPEPYMFPGSQSSLISGSSAAGLSLAQGFCFPELVASNDYVGHNNNSVSQHLGQAFGNCSSTSASVLLTDLMNLGGVSNYYKRGEDNDKTKMQQLLSYSVTTATPTCAQTILPPAVSPSVMSAINHIQGEEVRKSTVFINDVAIEVASGPFNVREAFGDDAVLIHSSGQPVVTNEWGVTLHSLQHGAFYYLLSASIANSHLHSHELEI >KZN08409 pep chromosome:ASM162521v1:1:6364848:6365704:1 gene:DCAR_000955 transcript:KZN08409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIEIDGFGFGSGDEVTEHSESQLNIELIKQFKQVSWPAGQEFGVIDRLKNEKANDVA >KZN09563 pep chromosome:ASM162521v1:1:27128363:27130082:-1 gene:DCAR_002219 transcript:KZN09563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAVRFKRVAEAFDIAARERSPVPESFTDLSDLVDSFIEGEEIRDQRDEALDETSDENEDYWENCVELLGGFLGGDDEVQLRIQEQVELACRCVGVDRSSPEFKRCLMIRLRETFDAGLCKSKWGKAAHLQPGDYEYIDVNVAGTRYIVEVSIATEFELARSTTRYASLLSILPPIFVGKIETLEQIVKLMCRAIKKSLKKSEMHVPPWRRYVYMHAKWFTSSYKRTIKEFPELKGSDSVSVSEKKRAVGFAPKAVTNRSYFCREACGRNRDRSIGFDQ >KZN08742 pep chromosome:ASM162521v1:1:14754796:14759149:1 gene:DCAR_001398 transcript:KZN08742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSGGGHVGGDKKGLVAVAIDKDKGSQFALRWAVDNLLSRGQTVVLIHVLHKQPNPINDSPASWINNSIVADINGDASANKQQLEKQTKDLFLTFHCFCTRKDIQCIDVILEDTDIAKSLTEYVNYTAIENLVLGAPSRHGFIRRFKVSDIPANVSKGSPDFCTVYVIHKGKISSVRNASRSAPFNSPLLAQIQGQTNNNSFQSAARSGHSFQSAARSGQSFQSHARGRSLSMKGAEMIRQMPRNLQDDQEPTNCPFMDLIFIPDEFDSVNNSNRSSAYSRGRDLSSRIGEVCDNDTDISFVSSGRPSTDRMPAYDSMDSGRTPRMSTSSDQSGRSSFRYGGRDSDISSLNDLSSQSSFESERTSISSSYSTNTTDDAEAEMRRLKLELQKTMEMYSTACKEALTAKQKAVELQRWRMEEEARIAEESAMDSPDSEKTKSMEAVESSEEAQKSKMHSQKELEKKEGEPQYEFRYRRYTIDEIEAATEHFSRSRKIGEGGYGPVFKCRLDHTPVAVKVLRPDATEGRSQFQREVEVLSCMRHPNMVLLMGACPEYGCLVYEYMANGSLDDRLALQGNTPPLSWRHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLVPASVANEVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSFGIVLLQILTAKPAMGLTSHAGRAIDNGTFEDMLDRSIPDWPVEEALCIAKLALRCTELRRKDRPDLGKEVLPELDRLRDLADENM >KZN08292 pep chromosome:ASM162521v1:1:5008229:5009197:1 gene:DCAR_000838 transcript:KZN08292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLCGPLGNKFVFANESKLLTSWWPLSVRKALFFPEFVESSTDEVSSLVYRFIRDILRPEALKQYIPVMDSMAREHVNSLWADNEIVKVFPVSKKYTFDLACRLFMGVVDVENVTRLAKHITLVNAGLFSVPIDLPGTAYNRGYID >KZN09266 pep chromosome:ASM162521v1:1:22302368:22315206:1 gene:DCAR_001922 transcript:KZN09266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSSSLISFSTILIFIISFFIVSSHGKTQSGGLDRLSRLKLSQTVGKGSFPDHFNPAVLRGIRILSQKGMKEKDKIERLPGQPPQKLEFSQYGGYVTVNQSAGRALYYYFVEAQHSKESLPLLLWLNGGPGCSSLGYGAMQELGPFRVHSDGKTLYHNHFAWNHAANVLFLESPAGVGYSYSNTSSDYKSNGDKSTASDNYVFLLNWLERFPEYKNREFYIAGESYAGHYVPQLAHTILHYNKKANASIVNLKGIIIGNAVINDETDVRGMYDYFATHALISDETAEQINKYCDFSPNATTQTTECNDAAQQADLDTFALDIYNIYAPVCNSDKLTVKPKRISSVIDPCSDVYVHAYMNRPDVQEALHANVTKLDHDWEACSEIIRGWNDSPTTVIPLLKEFIANGIRVWIFRNSVMSFMPNSSRHLEIVSETLSFSNTQKPSNNDEVLIPPDFKISYYTQTLDHFGYTPESYMTFQQRYVVNYEHWGGANTSSPIIVYTGDEVDVTALVNGTGHGFLGELASHFKAILMYIEHRYYGDSMPFGQETFDNSSTLGYFTSTQALADYAQLLTDLRRNLSAENCPVIAVGGSYGGMLASWFRLKYPHIAYAALASSAPILYFDNITPHDAYYVVVTKDFKDTSESCYKTIKQSWSEIDKAAADPSGLLHLGQVFATCRPLNSSQELKDFLALSFMVSAQYDFPTTRYVQKVCNAIDGAAEGTDILGRVAAGFNVSALGGIFGRSCNTVFDIQSINNNSGWAWQQCTELVIPVGSGANDTMFEPWPFDIKNLSRTCKAAFGVTPRPHWITTEFGGHDIKTVLGKFASNIIFSNGLRDPYSAGGVLQDISDSLLAVYTEEGAHTLDISTPSAPSDPDWLVFQRKRELEILEGWLAEYGN >KZN09247 pep chromosome:ASM162521v1:1:22040236:22047853:-1 gene:DCAR_001903 transcript:KZN09247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFECHVVNGKLSEKQKKRFYMKMKNVVVNVEVWAEGEEGGFDCEGGGVFISPQGHVLTLSHMLQDIEGNPISRLQVKINKGNTYAASLYSFHPNLGLAILQIDPIPETGFPYAQICTDELKIGEEIYPIGHPAFLDFSFPVGHISFPCRAHGELTQSLRQYLVQLKKRNGRESEEDESEEDESEEGESDKDESDKGELDASARHILYVDDLSCFRRLSSSVYFVMVNNVHGDGRGGASGMPLFNCREIDLVIIATGLHTFFGKAAHLVENTTHVGHFQMVGIDNLLVLLIGGIPIAMPTILSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDFLCSDKTGTLNLNKLTVDKNMIEVFAKGVDKDDAVLMAARASRLENQDAVDAAIVAMLQDPKSKSNALTYIDKAGTMHRVSKGAPEQILDLAHNKSNIEKREVPDNNKDSSGGPWEFIALLPLSDPPSHDTAETIRRALELGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSALLSKNGNGDSSSLPIDELIEKADGFAGVFLDHKYEIVKRLQELKHICGMTGDGVNDALALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAGFTSRSIFQRMKNYTIYAVSITIRIVKLQEKQTTLFVLGSAFTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYMALMTAIFFWAAYETNFFTESKVLKFLGFYVESIVMGVGMEGFTRWKMERRRCSVLVPGDIVSIKLGDIVPSDARQLEGDALKIGPVINCYGEVIGVSFALSRFNAFLLINIALKCLEDLKKIGKFQGPYLGMGVANLYTAKLEKLDELLEHSLM >KZN10810 pep chromosome:ASM162521v1:1:39211361:39213108:1 gene:DCAR_003466 transcript:KZN10810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSSSDSLGALMSICPTTEEGSPGNNHVYSREFQSMLEGLDEEGCVEEMGQISEKKRRLSINQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDSLKLKNDTLQQENQSLLKEIRELNSKLGEDNTDKTSEHSVKEEMMVTEDSEKATDVVLKSPSIECEDINTEVNFESFDHQSNNGITMAADLTTFFPDFKDGSSDDSDSSAILNEDNNSPKAAISSYATNGVPIRSHQFMPSSMNCFPFSSSTSKAFLGDAQKACYQPQFVKIEEHNFLSGDESCNFFSDDQDPSLQWYCHDQWN >KZN10147 pep chromosome:ASM162521v1:1:32995827:32995982:1 gene:DCAR_002803 transcript:KZN10147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGTGTRGSSIVGLGLDSCEDTVPLPSFMTNGGRNRRSTECRKKTECRSS >KZN09761 pep chromosome:ASM162521v1:1:29109727:29110245:1 gene:DCAR_002417 transcript:KZN09761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIIAKLSSYKPMISQTHITTIHRNPKALITRMNQSDTQADFPETPQTKPHTSKQLPITPNYPDFGPVPPEEFPKSNRENSGPNFPVPPKKNQQVPDAPKPPMPSPTGSGILPSPNGSEIPPSPTVSEISPPSVFPPNDPDAIPMGLLAVEPPRRLPKFSQKQIRNDCVRF >KZN08501 pep chromosome:ASM162521v1:1:9075835:9076332:1 gene:DCAR_032175 transcript:KZN08501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLKLNIDTVKSVPYLYKSKTVSSSGFKVNKVSCRVKEMGTKQERANFYELLSLNSKENVGFEEIKKAYRSKALEYHPDVCPPSNREESTRKFVEIRKAYDTLSDPASRQMYDYEMSLVDSFGGSYLYEGKRDGFSKKVWERQLSGLRKRSLDKSEKKNSFAL >KZN11206 pep chromosome:ASM162521v1:1:42591023:42599020:-1 gene:DCAR_003862 transcript:KZN11206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGEGGLSFDFEGGLDSGPTQPTASVPIIHQPPSLPAASAANTPYSAPPADVSDQSAPSNFSGRRSYRQTVCRHWLRSLCMKGEACGFLHQYDKARMPICRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPMEEVLQKIQQLTSHNYSNSNRFYQNRNPNYTQNAERPQVPASANGVNQVMKPTPTESPNVQQQQQAQQPVIQAQVQNLSNGQQNQANGSAIPLPQGISRYFVVKSCNRENFELSVQQGVWATQRSNEAKLNEAFDSVENVILIFSVNRTRHFQGCAKMTSKIGGSVVGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYLEPDSELMEISLAAESKREEEKAKGVNPEDGTENQDIVPFEDNEEEEEEESDEEDQSFSQGFPMMGQGRGRGRGMMWAPNMPLARGGRPMPGMRGFPPIMTGPDGFPYGPDGFPVPDMFNMGPRGFGPFGPRFPGDFAGPGGMMFQGRPSHPGNFLNGGFGMMMGPGRAPFMGGGPGGRALRPGLGDLHPMYPQSQPQSSQASNRGKRDQKATSNYRSDRYSAGSDQGKGVDVAGLGGQDISKHQRRMNPEQEDQIGDGNSFKNNESDSEDEAPRRSRHGEGRKKRRSSERDATAGSEDQE >KZN10217 pep chromosome:ASM162521v1:1:33627894:33628541:-1 gene:DCAR_002873 transcript:KZN10217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKITSNVMVIHNGPANLIPNGGEKKKRFSLFKTAMVMLRGRPGEKSKAKISINVSKHHDNSNNSDENWKKLVGSMRPLHSQDSRSPQPMKAFSRPSTSSDDDFFGSSSSFSTSSWGTPSNYGSTNNLQELTGLGRMRQSESTNSLSSKMSDTMSRYASALNLHDLDMEEEVDADVDDEEQNDSVLEKYCEADDMIDSKADEFIAKFYDQMKSQ >KZN09928 pep chromosome:ASM162521v1:1:30860116:30861016:-1 gene:DCAR_002584 transcript:KZN09928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAPSSSKNNKTTSLTFFSRFCANKSTVETVQIKAAVPEKPYRSTKEFTDQSPKLHKMISPQKNNKQAAAINKIYSHKKDDSEIDKLGIVEKNSKFHRSILLVKDPNRQNPKKEKKRSRSSNFVPLQVENDAVLSTKTTRVLPHSISLPPPNRPKETPVRGENEIKSGGENEGKLDPIIGMTIMMGTLVIMLIWGKVCAILCTCAWLYMVPRLRTVVDSRERKSGGLDFESVEYKKKVVLEGLLKRDRRRVAGNL >KZN08110 pep chromosome:ASM162521v1:1:2812567:2812758:1 gene:DCAR_000779 transcript:KZN08110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSASLVTLSVIAVVIALVGSAFAADAPAPSPTSSAVSVSPSLAAVGCAVAAVLFGSALKM >KZN08398 pep chromosome:ASM162521v1:1:6172038:6173333:-1 gene:DCAR_000944 transcript:KZN08398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKYQFLPNSYTFVSLFKACVQMGSVNVGLKCHGQAIKNGVQCVLQVENSLIHMYGCYGLIDVAKRLFGEMFVRDVVSWNTLVDAFVRVGDLSAAHELFDLMPHKNVISWNVLISGYLSGGNPGCGLKLFREMAGFKIKGNDTTMVSVVTACGRSARLKEGKSVHGYLIRTFVRLSLIINTALIDMYSKCQRTDIARKVFERLLTRNIVCWNAMILGHCLNGNPKDGLQLFAQMHTKTRLIESRIGDDNIIELGEQVLPDEVTYVGILCACLREGLLTEGINYFNQMTDVFGVKPNFAHYWCMANILASFGLMEEAMEILRNLSSFAELPKESSLWASLLGLCRFKGNVVLGEQIAKALIDKDPLNQSYYALLMTVYAVAGQWENVALTKETMKERGFKSMPGFTLADLKFIVHNLGVGNELHNRYIMLCS >KZN11377 pep chromosome:ASM162521v1:1:44111485:44113209:1 gene:DCAR_004033 transcript:KZN11377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRGDEDYDYLFKVVLIGDSGVGKSNLLSRFSRNEFSLESKSTIGVEFATRSIQVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHITFENVERWLKELRDHTDTNIVIMLVGNKADLRHLRAVPMDDAMAFAERENTFFMETSALEALNVESSFTEVLTQIYHVVSRKALDIGDDPAVLPKGQTLNIGGKDDVSAMKKGGCCSS >KZN11398 pep chromosome:ASM162521v1:1:44311404:44311850:-1 gene:DCAR_004054 transcript:KZN11398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKEGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGPKAKTNFPAPENGLGLDLNAPSDQRWGGSSGRIMMRDFLGESMGGGSRSHRGVVGSGGAPVPESSNTAAYLGIVRRGLGIDLNEPPPLWLA >KZN08767 pep chromosome:ASM162521v1:1:15073455:15086344:1 gene:DCAR_001423 transcript:KZN08767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKIVQQTIRRGSSLQSCPVTEKALIAYKSGSGDAMIPAMIVEIEVLKGLPVTAQTVLLAFQHYIVMLGSVVMIATILVPRMGGGPGDKARVIQSLLFMSGINTLLQTFLGTRLPTVMGPSFAYVISVFGIINDFSDSNFSSEHQRFLHCMRSIQGSLIVSSFINIILGYGRVWANLTRLFSPVVIVPVVCVVGLGLFGRGFPQLANCVEIGLPMLILFITVCGFKVY >KZN10026 pep chromosome:ASM162521v1:1:31829210:31832989:1 gene:DCAR_002682 transcript:KZN10026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPPSLLNLPLNEAIQGELERLFLDKVIANLGLVVSVYDIKSIDGGFVFPSDGASTYTVKFRLVVFRPFVGEVISAKLSESTINGLRLSLGFFDDIYVPVHLMPNPCHFEADAVNKNQVAWIWEYQEENYPIEAVDEIRFRVNNISYPPIPIEADKESHRFAPMVITRIASYIGLDAEQGILHMAALSHDHGQVNIGFDVSQEIVGKAALSLSHMTKFVVLILRPTQSD >KZN08794 pep chromosome:ASM162521v1:1:15600739:15602039:-1 gene:DCAR_001450 transcript:KZN08794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPPISPLLCISPPPSEGKYNVDGQYSHQRCSSESVAIEEQPSWVADLLDEPEMQPKTHRRSASDSSALYFDASTGTSDTMPPNMAVFNPWMSPQNVVHRGDPMLASFNANANGNRGQPSRFSTEALLNHSIFSVGDGMMLQGPGATSGPLADQELSLAAEANGQTESGESSDQSQAKSKAEAKRAKQQSAHRSRVRKLQYIAELERHVQLFQTESLKLAGEYEFLDQQNLILGMENRALRHRLESLSQEQLLRNMEHDMLERELIRLQMLYQVQRQQLQQQSQLYPKHRRSKSRDCGNKSLKNKEAAGSSHVSFGDVESHLASLSLAPKEASS >KZN09393 pep chromosome:ASM162521v1:1:24548889:24549113:-1 gene:DCAR_002049 transcript:KZN09393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCLSNLYHFYSKITNRLENQKRENKSGSIKKIMDYRNKGLVKVNVSRFYENDYDIGVVTGDRYGSTPSASQY >KZN08764 pep chromosome:ASM162521v1:1:15054920:15055138:-1 gene:DCAR_001420 transcript:KZN08764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKELLRQMRVKNTINEAEFNSDENLFFDLFIKANYGNDAPDCEVLDMEKNWINGEPEVLLGREVPIRGMEK >KZN08704 pep chromosome:ASM162521v1:1:13938608:13941061:-1 gene:DCAR_001360 transcript:KZN08704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFLLSLLSLLIFSALPSSAIRNHHNHRHDGKASATSATSIQQACKAARTLNTQLCETSLIQSKQVPPDPTAAQIIQASIWVTTQNLVKAQSMVQTILDSSGSNLNRSNAAQNCVEYLKTSDYRIKSAVEALPRGKTKDARAWLSAAEAYQYDCWSALKYVNDSQLINQTMSFMDTLIKLSSNSLSMTMAYDVFGSQTGSWVPPRTERDGFWENPSGSDLASGKIGLPGDLKPDVTVCKGGACDYSTVQEAVNAGPSNGKRFVVLIKEGVYDETVRVPLEKKNVVFLGDGMGKTVITGSRNVGQPGVSTYNSATVGVKGDGFMASGLTIQNTAGPDAHQAVAFVSDSDLSVIENCEFLGNQDTLYAHSLRQFYKSCHIEGNVDFIFGNSASVFQDCTILIRPRQLKPEKGSTNAVTAHGRIDPAQSAGFVFHNCLINGTEEYMQYYNSNPKVYKNFLGRPWKEFSRTVFIDCVLEALITPQGWMPWTGDFALKTLYYGEFNSSGAGANVSARVPWSNQIPANHVGSYSVQNFIQGNEWIPTSS >KZN09119 pep chromosome:ASM162521v1:1:20409104:20410021:-1 gene:DCAR_001775 transcript:KZN09119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTNTKTLLLIALVIVLAQTSFATRKLTALVVEKPVPMKYHNGPLLKGNITVNLIWYGKFTPSQRSIIVDYINSLSSGRKVLSPSVSAWWKITEKYKYGRGAGASVSVGKQILDEKYSLGKSLKNAHIMLLASQASKVRSVNVVLTAKDVTVEGFCMSRCGSHGSTRGKARLSYAWVGNSGTLCPGQCAWPFHQPIYGPQTPPLVAPNGDVGIDGMIINLATVLAGAVTNPFNNGYFQGSVTAPLEAVTACTGVFGSGAYPGYPGNVLVDKSSGASYNTHGLNGRKYLVPAIWDPNTSTCSTLV >KZN11472 pep chromosome:ASM162521v1:1:44983009:44988747:1 gene:DCAR_004128 transcript:KZN11472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLFSHPQLDTKLHPLYASREVDEAWRSLIRLPRGIVQSTSDLELKPLWTTNKSKANVPSPCYLLAIPVGINQKDNVDDIVQKFRPVNFTIILFHYDGKVDGWMNLEWSNKAIHIVGQNQTKWWFAKRFLHPSVVSVYDYIFLWDEDLGVEHFDPKSYLDIVKFQGFEISQPALDPNSTGIHHRITVRSRRKQFHRRVYDKRGKTKCSGDSEGPPCSGFVEGMAPVFSRSAWLCTWHLIQNDLVHGWGLDMKLGYCAQGDRTRNIGVVDSEYLVHQSIQTLGGPSAEKRHTVDVRSEIRRQSTTELQKFKARWEKAVEEDKNWVDPFKRSRRPRPPKKRQDTVQPA >KZN10518 pep chromosome:ASM162521v1:1:36554881:36556282:1 gene:DCAR_003174 transcript:KZN10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGERHKAGVTAFSKTAAGYSNVDVNAAIKYGVAVGNTPNNKMINQISPLPGLLVNLLKVQIMGVIGAGCIGSAYAGIMIEGLKMNLICYDLYQATRRKVCHRHVRVRY >KZN11105 pep chromosome:ASM162521v1:1:41733442:41743377:1 gene:DCAR_003761 transcript:KZN11105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEMEVKNKNNVMCLLSDPEGNPLGAPMYLPQDAGPKELQITVNQLLTNEEKLPYAFYISDQELLVQLGEYLQKNKVSVEKVLPIVYQPQSVFRIRPVNRCSATISGHTEAVLSVAFSPDGQQLASGSGDTTVRLWDLNTQTPMFNCTGHKNWVLSIAWSPDGKHLVSGSKAGELICWDPKTGKPSGNSLVGHKKWITGISWEPLHLQAPCRRFVSSSKDGDARIWDVTLRKSVICLSGHTLAITCVKWGGDGVIYTGSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTHKHYASPAEMKEAALKRYIKMKGNAPERLVSGSDDFTMFLWEPAVSKHHKTRMTGHQQIVNHVYFSPDGKWIASGSFDKSVKLWNGITGNFVAAFRGHVGPVYQISWSADSRLLLSCSKDSTLKLKLFVIPRKINPPFYLVIKVWDIRTKKLKQDLPGHADEVFAVDWSPDGEKVASGGRDRVLKLWMG >KZN08604 pep chromosome:ASM162521v1:1:11876395:11880902:1 gene:DCAR_001134 transcript:KZN08604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGGLSRRGFEVRLPGQHQRGKSHGAVHELHDQPVVIQDSCWANLPPELLRDVINRLEASESTWPARKHVVACAAVCRSWREMCKEIVKSPEISGKLTFQVSLKQPGYRDGPIQCFIKRDKANLTYRLFLCLSPVLLVGNGKFLLSAKRTRRTTCTEYVISMDADNISKSSSTYIEKLRSNFLGTKFIIYDTQPPHDGARVSPPSHSSRRFYWKKVSPKVPSGSFNIAQVTYELNVLGTRGPRRMHCVMHSIPNSALEPGGSVPGQPELFPHSLENSFRSISFSKSINSSTEFSSSRFSDIVGPCEEGEEGKERPLVLRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAAGAPTPSQPAQPAQPAQPDHDKVILQFGKIGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KZN09471 pep chromosome:ASM162521v1:1:25617952:25619543:1 gene:DCAR_002127 transcript:KZN09471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHATQRYAVVTGANKGIGLETVRQLAAVAGVTVVLTARDVKRGTDAVASLALPNVVFHQLDVQDSDSIRSLVDFICAKFGRLDILVNNAGASGVIVDEDSLRAMKIDPATWLSGQAMNMIQGVIKTSYDKARECLNTNYYGVKRVTEGLLPLLKLSTYGARIVNVSSLRGELWRIPNEQIRKELGDIETLTEQKIDGIVDKFFHDLKNDMLEVNGWSMMLPAYSISKATLNAYTRVLAKNYPNMCINCVHPGYVDTDINWHTGTLSVEEGAKGSVLLALLPAGSPTGRYFDQTEVAKF >KZN08079 pep chromosome:ASM162521v1:1:2097571:2098732:-1 gene:DCAR_000748 transcript:KZN08079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAYSAPTRHGCLRVVENNYCASKRGGVRCLSILNAVFAIVFGLLALFLGSTLLTLGSSCSVPLFWCYETSSWALVILYGTTSYLLRRKAAAVLDDSDFAGRNMGLEMLEANPLEVTPEVERRLNEGFKAWMGTSYLSSDDEDDPNDYLEVQHPPRTNSSRQRV >KZN08576 pep chromosome:ASM162521v1:1:10863708:10867192:1 gene:DCAR_001106 transcript:KZN08576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLILWFVVLGFLVLGSYGYPEEDLVVSLPGQPRVGFKQYAGYVDVDAKNGRSLFYYFVEAEADPHLKPLTLWLNGGPGCSSIGGGAFTELGPFYPTGDGRGLQRNSKSWNRASNLLFVESPVGVGWSYSNNTADYTRGDAATAMDMHMFMMKWLEKFPAFKTRDLFLTGESYAGHYIPQLAIALLDHNEHSKDYKFNIKGVAIGNPLLRLDRDVPSTYEFFWSHGMISDEAGLAIMNECDFDDYTFGSPHNVTDACNHAISEANDMISDYINNYDVILDVCYPSIVQQELRLRKLATKISIGVDVCITYERSFYFNLPQVQKALHANRTSLPYRWSMCSNRLNYDDKDGNINILPLLKRIIENHIPVWIFSGDQDSVVPLLGSRTLVRELAHDLKFNITVPYGAWFHQKQVGGWATEYGNMLTFATVRGAAHMVPYAQPSRALHLFSSFVRGRRLPNKTRPSIDD >KZN08587 pep chromosome:ASM162521v1:1:11161908:11163110:-1 gene:DCAR_001117 transcript:KZN08587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLETITRASAHKTHFTSDSNYPILLNPDPILLNLKSQINISSLLSKCDDGWEISKLDLQIIELGQKFSKALRRKLKNLSGFGEIEFLEMLDGFFGSCGEKIGVSVGEDDGVGKLIGKFGSLLGVDVLGLVLEGCVVLEIWEVLESLIVFGFVTPLVSGNLIRNLIVKGRSEMVCSFLKHVKDVQLGDFVLILKYFLSPSREVYGSMVSLRKVWEGDVLEAIEKADVKGVGGKVRNLAKEAAVLLMIAYDGFSANELCLHYLIAREDLDEGVFLSCVSKLNGEELMSLIRYLGKWLRKYERFPQVYPCPKGSSVLGLNACNWVPTLANVVKYFGLVVDEHFSSLVLQLEFHEELRSLERVANLLSSEATVCSTVTNIVKTLNQNSKVYTHFYWLKCSVI >KZN11393 pep chromosome:ASM162521v1:1:44249284:44249775:1 gene:DCAR_004049 transcript:KZN11393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQDNLMRNGWPLGLENMNTRLLQAADSSPQAAVVASEPSSLHVPSSSFSSFSSSNLDTESTISFFADHSVTLGRLIGINPRSRQSLRFTNTMQAETEQEPGSIVSANGEKMMCRGICVPLLRNIMVMKCKSY >KZN11053 pep chromosome:ASM162521v1:1:41306936:41307271:1 gene:DCAR_003709 transcript:KZN11053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNIMDDPLTAGPEFTSKPVGRAQGMYSTSSMEELSLVCAMNFIFTDDKYNGSTITIFGNNPILQQRRELPVIGGTGVFRMASGVAMLNTIYFDVAGGNATVEYNVMLQHY >KZN07877 pep chromosome:ASM162521v1:1:392295:393806:-1 gene:DCAR_000546 transcript:KZN07877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPNFVTIFFLVAAVVFVAEARTAPPQRPRAFFVFGDSLVDNGNNNYLLTTARADLPPYGIDSASHSPSGRFSNGLNIPDLISEKLGAPPTLPYLSSELNGGNLLTGANFASAGVGILNDTGFQFVNIIRIGQQLYYFEEYQRRLISFIGIKEARKLVNNALVLITLGGNDFVNNYFLAPITPRRIQFNIQDYTTFLIAEYKKILQTESTMCSGMRITQQSGPTG >KZN09904 pep chromosome:ASM162521v1:1:30634553:30638179:-1 gene:DCAR_002560 transcript:KZN09904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHSTWGVSKNPVKFRMPTAENLVPIRLDIEIDGQRFRDAFTWNPSDPDSEVVVFAKRTVRDLKLPPAFVTQIAQSIQSQLGDFRSYEGQDMYTGEKIIPIKVDLRVNHTLIKDQFLWDLNNFESDPEEFARTFCKDLGIDDPEVGPAIAFAIREQLYEIAIQNVSSARESRISKKGRRGFEHSLPSKAGGTGLDLMKLYGFRSSVIRKRKEWDVYEPIVDKLSKEEVGILEAKEERNA >KZN08624 pep chromosome:ASM162521v1:1:12424745:12426098:-1 gene:DCAR_001154 transcript:KZN08624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIEKKSKILIFGGTGYYGTYQVKACVAAAHPTYVYARPVKPHHDPSKLDLLKEFKSLGVTIFEGELDEHEKLVEAIRQVDIVIVTLGMPPIMDQLKIIAAMKEAGNVKRFIPSEFGNECDRISPLPPYQACCDQKKIIRRAAEKSGIPYTFVVANTYGAYFVNYLLRPYDKKSNKVTIYGTGEARFSCNYEKDIAEYTVKVAVDSRTENGLIIYRLPKCIITQLDLISMWEKKTGRTMEKAYVSEKKLIGLSETLPFPDNVPMAILHSLFVKGEEMAYDLKEDDLDVVELYPEYKYTTVEELLDIFLVDPPKPVLAAF >KZN10970 pep chromosome:ASM162521v1:1:40742067:40742990:1 gene:DCAR_003626 transcript:KZN10970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAAVEKLVNKLSSVSEQTRIESLCELRLLSKYDPETRPVIISAGAVPYITESLYSPCPVSQENAAATLLNLSIHDRRPVMSSAAGLLDALSHALRNPTSAAAAQSTCALLFSLLMEEEYRPVIGSKRDIIYALLDLIRNTRSHPRSVKDALKALFGVCLWRGNRGSVIELGAVPVLFSLVMKDGRVGIVEDVTAVIAQVAGCEEAWEEFGKVGGVGVLVDLLDLSTGCSDRIKENAVSALLNLVEYGNDEVVESLRRSVGAGLFDGIAEVVKNGSVKGKSKAAKLLKLLHSDHLDSSFESVSST >KZN09438 pep chromosome:ASM162521v1:1:25233518:25236128:1 gene:DCAR_002094 transcript:KZN09438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYYGPGRNHLFVPGPVNIPEQVLRAMNRNNEDYRSPAIPAMTKSLLEDVKKIFKTTSGTPFLFPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQQRLGFNVDVVESDWGQGANLEILASKLAEDTTHTIKAVCIVHNETATGVTNNLATVRKILDHYQHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYNMGSYWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHTRLAKATRLAVEAWGLKNCTQKDEWFSDTVTAVVVPPYIESSEVVKRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVQLGSGVAAASAYLQNSMPMIPSRI >KZN11162 pep chromosome:ASM162521v1:1:42210697:42214131:1 gene:DCAR_003818 transcript:KZN11162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFRKKTSPKDALRTSKREMSVATRGIEREIASLELEERKLVAEIKRTAKTGNEAKQAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMEPAKQAKVVREFQKQSAQMDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKKVDNAVPSAQSNDVEDLEKRLASLRRI >KZN08584 pep chromosome:ASM162521v1:1:11072538:11072993:-1 gene:DCAR_001114 transcript:KZN08584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVACDMGMGDAIVFTSRRDPFSNPGNNNAQFSALEELFGRGHFLGQVATFITKILFRRHSSVSALNALLSLHQNNLYRQYIVSDVECVEAIYRLAEPLGIAPTVDRLMPCPLHSMGDTKMFIRNCLESELCLFCDMECMINKFAIPGASK >KZN08758 pep chromosome:ASM162521v1:1:14929522:14930347:-1 gene:DCAR_001414 transcript:KZN08758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKDLIKISDNQGSSCDDQLSSDFDNNGTNFTKNDYMAAMIGEQPSGDITILAPEYLQE >KZN10117 pep chromosome:ASM162521v1:1:32734415:32740378:1 gene:DCAR_002773 transcript:KZN10117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPVDFLALQSIRKSLQDMPGSHYFASWDFTADPCNFAGVYCSGDRVIALNLGDPRAGSPGLSGHLDPSIGKLTSLAEFTMVPGRVMGILPPAISQLKNLRFIALSRNFFSGEIPAGLGEIRGLRTLDLSYNQFTGNIPWSIGSLPALSNVILSHNRLNGSIPRFGSSSLTRLDLKHNDLSGPIMQDFLPPSVQYLSLSWNRFSGPVDRVLSRLTRLNYLDLSLNRFSGNIPGILFTFPLSNLQLQRNFFTGPVQPVDQVRIATVDLSFNRFSGQISPLFSSVQTLYLNNNRFMGEVPSTFVDRLLDASIQILYLQHNYLTGISLNPTVEIPVQSSICLQYNCMVPPVTMPCPLKAGNQKTRPTGQCIEWKGSGANMYLKAQLQWNVIIPAENLDAKGLALQKAIIVRLLDEFSAKKATSTLGYFLAVTTLDKIGEGKVRQHSGDVLFPVTFTCLTYKAFAGEVLEGEVHKILKHGVFLRCGPVEHIYLSHLKMQGYSFVPGENPIFMSEKSAKIEKGVKLRVMVIGVRYMEAEREFQAVANLDGDYLGPIE >KZN08743 pep chromosome:ASM162521v1:1:14759461:14759808:-1 gene:DCAR_001399 transcript:KZN08743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKKISESRSKGGNKMALVAKEELKDMDMAAAAEQLMQLSGDEENDNTVTCSDTGNADERKNYSNAVVAQSHVGDDGRSSRNHQVLGGLRRLVIKKRRKYRSILHLYMSTKPVRS >KZN10650 pep chromosome:ASM162521v1:1:37914435:37914939:-1 gene:DCAR_003306 transcript:KZN10650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKEGKSTGGRGKTAPATKSVSRSSKAGLQFPVGRIARFLKAGKYSQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRVIPRHIQLAVRNDEELSKLLGSVTIANGGVMPNIHQNLLPKKVGKGKGDIGSASQEF >KZN11515 pep chromosome:ASM162521v1:1:45328797:45330338:-1 gene:DCAR_004171 transcript:KZN11515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSIDNILKLAKSMNHDSYETVLKKKSELIQLVEEFHKQYHLLHFFYKDSQEKGKQDVSDEDTASSTSYYDSEESEMYYTPKTTVMNSTGRSSFDRDYFAYKSGSPCAEDVREVVKEAFYVEPVSISSKVEKADVDGLISELKLLREELDEKEDQVHYLTKAHHALEVEKSEKIKALDWQLDALESQLQILSIQNQGLKETNMIKDNEAKRICGENLILKDRIAELELIMEEKGDEIFAVLKNFNDNENLLIDSLSFQEGKIELLLSQTGKRSDEILVLQQELEKKSHEMSEFLKLADSLKEELERKTADEQNMAEEMNSLRIQIQELEMLMNSLGESRGINNEVEQLMLQNEKLYDRIILLEGVLKEKEDELATLQNKIEINHNKDNDQTEKEAEKQLEEKELNRMHMVHRQTKGIADTNLSEDVNVFDREEELTELMQKNKKLEIKVGELVKIVIENAEDMHLLKEQKREAIRQLCVWQDYHISRYDLLKKAFSDMIARNQVMASWNGFL >KZN09420 pep chromosome:ASM162521v1:1:24842757:24842942:-1 gene:DCAR_002076 transcript:KZN09420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAFITSDNVDLLHRLNLCNIPSTFYPFKTDYKGKQDMGLVRQKNAHQLSYKFQKTKELL >KZN07869 pep chromosome:ASM162521v1:1:291404:294759:-1 gene:DCAR_000538 transcript:KZN07869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPKLLPFCIIIFTLTTNPVFPILSLSSTLETELLLTKIKPSLQGNSDNILLASWNTSVPLCQWKGLKWVFTNGSALSCSDLASPQWTNLSLFKDPLVHVASLQLPSAGLFGKVPRELGELSNLKSLYLGVNHLVGEIPLELGYSSSLSDIDLGNNLLNGSVPTSIWNLCDRLISFRVHANFLSGAVPRPALPNATCDKLEVLDFGHNMFSGDVPEFLTRFTSLKELDLGSNMFSGSIPEGIVGLSLEKLNLSYNNFTGVVPNFGGSKFGGDVFEGNNPGLCGGPLRGCTGSSGLSSGAIAGIVIGLMAGVVVLASLLIGYVQGKKRKNEDDEEYELADGEDDENGGGEGKLILFQGGENLTLEDVLNATGQVMEKTSYGTVYKAKLADGGTIVLRLLRDETRVGKPVLNWAKRHKIALGIARGLAHLHTSLETPITHEILLGKKPGKARSGSYLDLPSLVKEAVLEETTMEVFDVEVLKGIRSPMEDGLVQALKLAMGCCAPVASVRPTMDEVVKQLEENRPRNRSALYSPTETRSEIEEHLYYAVLMMDIELLPEEPISEFSFHCPSQA >KZN11138 pep chromosome:ASM162521v1:1:42044085:42045196:1 gene:DCAR_003794 transcript:KZN11138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARHSNLFPQQIITNREYMNTNQVNNYGYNDSNLGGMIYNGTTMAENLLPFNQSIYCEPNNSMKAESGLTYNLPAVTRKRSRDLMNELHRVIMPQKHSNFPDFQSFLPVQMQQQHLEIDQIISQHTKKIRMELEERQKQQTRTFVSAIGEGVMKKLQEKDDHIQKMLRMNLALQERVKNLFVENQLWKDMAQTNEATVMSLRCNLEQVLTQVSGERHFPAAGNVEEAESCGSSGHGEEGEEVFGRRRVGVDAPVDGGNRMCRKCGERESCVLLLPCRHLCLCTVCGTTSQSTCPVCNASMNATVHVNVSD >KZN09372 pep chromosome:ASM162521v1:1:24355398:24361318:-1 gene:DCAR_002028 transcript:KZN09372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQIHQIEQEAYSSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIWRIREWRANGLQSSMRSSGPARDPLPSPTVSASRKKQKASHSVAPLPMGAPSPALHPSMQPSSSAIRRGPVPGVRGKKPKTYSTTSFNGRGPVHVSGQGSAFGAIETAEVGNPDPLVGKKVWTRWPEDNSFYEAVITEYDHVEGRHALVYDINTADETWEWVNLKEISPEDLRWEGEDPGIAHRGGRSGPGRGFKNSISRGGSFAGGRGRGMVRNQSKRSFPPQNGIIKKTLGDIEILDTDILVKEVEKVFGASHLDSMEIEKAKNVLKEHEQALINAIARLEDASDGESGNK >KZN09685 pep chromosome:ASM162521v1:1:28469624:28476137:1 gene:DCAR_002341 transcript:KZN09685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFSFRAFWLGIDSNARHRMSREKTESVLKIIVKHFFIEKEVTSTLVMDSLHSGLKALQGPSKKPKGKYLADEEQPVPIVRIEKDVFVLVEDVLLLLERAAIEPLPANNEKGPQNRTKDGSSGEDFSKDSIERDERRLTELGRRTIDIFVLAHIFSSKIEVAYQEAVALRRQEELIREEEAAWLAETEQKMRRGIPDKDKKSKKKQGKQKRNNRKVKDKGRYEKPDLTVENELESGRSELLAEEAEPVGQTHALEDVSDVSDSVDCPPKRLQEDSRDRDSSMVNWDTNTSQMHLTTEANGSGISFVQNGERKSSALMDDSSSTCSTDSLPSVTANGPCKGTSPIKQNSLKSPSRGKYQRVKAIEEATGCAADTNIQPPDTSNEGYVIYKSGKSKVAKPEKGILNSLQHQTKLTEQVAKVGVASLQRKSTVIEEVGVEKPIKGKTPGIQSSLRSPVKNQSSAVQPTAQLKTAAVIARNLSSPSAEDTGKSTIAEKLSETIAVKTGMRRLTEKPVSQLVPNSSEKSAIVQMQATTVKPVSQQVLVKIEKPTTVQPGISRPLSAPVIPGPEKSSPAVTVAQTAQSLSRSVSAAGRLGPDPSPATTSHVPQSYRNAMMGNHATASSAGYTPTQSPNLAANLSNSQPSSVISAPMYSPESSERIDTKSVRSNLSFGIQSHDVLQNGPSWIDSRRRDSRRSNFDSPIHNGPRWMENHQRDTSRSINGDHSLRSDIQNFDMDKSLQNRSQDQSPIGFPVGTSGRQNPGVLADDFPHLDIINDLLDDEIIMAASTSSGFQTFSNRPHHQNQRLTFPGDIGLSNDLGTSTSSHMFEQTQSYHDDEYHYNYSSSSGQFDSNMFHQANLQPHPDGHSDRFMANQWQMDNSDLSYLSMRSSENDGFSYHIPEYSNMVSGVNGYTVFRPS >KZN10268 pep chromosome:ASM162521v1:1:34036945:34037361:1 gene:DCAR_002924 transcript:KZN10268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAEVIIQQIIIPTVQYGSRSSSNLREINDLVGVSDPGFNCDPVSPSGSLTALSPPLYDLAGVLV >KZN11072 pep chromosome:ASM162521v1:1:41473156:41486001:-1 gene:DCAR_003728 transcript:KZN11072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQQQHNPEVAEPQKQETRWCVVTGGRGFAARHLVEMLIRFDMFSVRIADLAPSILLDPSEQTGALGQALQSGRASYVSADLRDKSQVFKDVNYNVTKIKAVVADVSRAACEGVEVVFHMAAPDSSINNHKLHQSVNVQGTVNVIEACVELKVKRLIYTSSPSVVFDGVNGIFNGDESLPYPTKHNDSYSATKADGEALVIKANGVNGLLTCCVRPSSIFGPGDKLLVPSLVNAARAGKSKAYFITNMEPIKFWEFMSLILDGLGYERPKIKVPVSIMMPIAHLVEAIYTVLAPYGMKVPQLTPSRVRLLSCNRTFDCSKANDLLGYSPVVPLKEGLTRTIDSYSHLRAELYRRKDGPSKASIYLGSGRDLQALCH >KZN11677 pep chromosome:ASM162521v1:1:46884410:46887646:1 gene:DCAR_004333 transcript:KZN11677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGKSFARRDKLLEIESQVRKLWEENDVFRAEAGAEPPKEGEKFFGNFPFPYMNGNLHLGHAFSLSKLEFAAAFHRLRGANVLLPFAFHCTGMPIKASADKLCREVQKFGNPPVFPDVAEEAPKEVALKPEEVEGAPDKFKGKKSKAVSKAGGDKYQWEIMRSYGLSDSEILKFQDPYYWLTYFPPLAVEDLKSFGLGCDWRRTFITTDMNPFFDSFVRWQLLKLKGMGKVVKDLRYTIYSPFDGQPCADHDRASGEGVNPQEYTLIKMEVVSPFPQKLGALEGKKVYLAAATLRPETMYGQTNSWVLPDGKYGAFEINDEEVFILTEKSARNLAYQRLSRVPQKPTCLLELTGHDLIGLPLRSPLAFNDIIYSLPMMSVLTDKGTGIVTSVPSDSPDDFMALQDLKSKPAFRAKFGVKDEWVLPFEIIPIIHHPDFGDKSAEKICTDMKIQSQNDREKLDAAKKIIYKGGFYEGTMVAGEYAGLKVQDAKNLIKNKLLDIGQAVIYSEPEKKVMSRSGDECVVALTDQWYITYGEENWKKDAERCLEGMNLFYDETRHGFEHTLGWLNQWACSRSFGLGTRIPWDEEFLVESLSDSTLYMAYYTVCHLLQGGDMYGADTSSVKPEQLTYEVWDFLLCDGPYPSSSSLSPSLLDEMKKEFKYWYPFDLRVSGKDLIQNHLTFCIYNHTALMPKNHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSADATRFSLADAGDGMDDANFVFETANAAILRLTKEITWMEEVLASESTLRVGPPSCYADHVFANEINIAVNMTEKNYKDLMFREALKTGFYDLQAARDEYRLSCGSAGMNRDLLWWFMDVQTRLITPICPHYGEYVWKELLKKNGFIIKAGWPEAGLPDPTLKRANKYLQDSIVTMRKLLQKQASGSKKGKANASSQNKPTVGLIFVNEQYDGWKRECLNILRDKFDSGTCTFAPDQEILKALQESSVGKEGNFKQTQKLCMPFMRFKKDEVAAVGVQALDLKLPFGEIKVLVENLELIKRQLGLEKVEVLSPTDPDAITRVGPNASLLKQNPPSPGNPTAIFLNE >KZN11791 pep chromosome:ASM162521v1:1:48121303:48123910:-1 gene:DCAR_004447 transcript:KZN11791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRGSSLEEGKNPTVTVKINQPRVMPHRLLKLLLLFLVLCFIFSAVTISLIRHFGGQSIFVTNMSRTTFLSCIDEEPLNFDRWIRPPTNLLHSMNDSELLWRASFIPQRKEYPFKRVPKIAFMFLTKGPLPLAPIWERFFRGHDGLYSIYVHSLPSFKPQFPSSSVFYKRQIPSQVSEWGRMSICDAERRLLANALLDISNEWFILLSESCAPLSNFTVAYQYLMKSKYSFIGAFDDPGPHGRGRYKENMLPEVNISEWRKGSQWFEVNRKLAISIVEDNKFYPKFLEFCRPPCYVDEHYFPTMLTIRAPTMLANRSITWVDWSRGGPHPATFGRGDITEEFMKRVLEHQNCTYNGQQSSVCYLFGRKFAPSTLEPLLLLAPKYLGF >KZN09240 pep chromosome:ASM162521v1:1:21978668:21981841:1 gene:DCAR_001896 transcript:KZN09240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQKNSNVASGTYTINADNFKTRLKILYSHWTENCNDLWGSSEVITIATPPPSEDLRYLKSSALNIWLVGYEFPDTIMVFMKKQIHFLCSLKKASILGGLKGPAREALDIEIVIHVKTKNDDGSALMDAVVRAVRAQSEVDTPVVGHISRETPEGSLLEKWAKKLNNENFVLADISNGFSDLFAVKDSDEITNVKKSAYWTSSVMKLFVVPKMEKIIDEEKKVSHSSLMDETEKVIAEPAKIKVKLKAENVDICYPPIFQSGGEFDLKPSASSNDQSLYYDSTSVILCAIGTRYSSYCSNVARTFLIDANAIQTKAYEVLLRAQEAAISVLRPGNKASAAYQAALSVVQKNTPELVANLTKSAGTGIGLEFRESGLALNGKNDRVLKIGMVFNVSLGFQNLLTNTKDPKTQKVSMLLADTVVIAEKGPEVVTSTSSKAVKDVAYTFKESEEEDEEELGDIEATVGDPNTLKTTLRSVNHEMSKEELRRNHQAELARQKNIETARRLTAAGSGAVDNRGGMRSSGDLVAYKNVNDVPPPRGLLIQIDQRNEAILLPIYGSMVPFHVATIKSVSSQQDTSRNCYIRIIFNVPGTPFNPYDQNTLKFQNFIFVKEVSFRSRDPRHSSEVVQQIKTLRKQVASRESEKAERATLVTQEKLQLAGSKFKPIRLSDLWIRPVFGGRGRKLTGTLEAHVNGLRYSTSRPDERVDVMYANIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYCEVMDVVQTIGGGKRSAYDPDEIEEEQRERARKNKINSDFQNFVNRVNDLWGQPQLKELDLEFDQPLRELGFHGVPYKSSSFVVPTSSCLVELIEMPFVVISLSEIEIVNLERVGLGQKNFDMAIVFTDFKRDVFRIDSIPSSSLDGIKEWLDTTDIKYYESRLNMNWKTILKTITDDPEKFIEEGGWEFLNLEASDSDSEKSVESDQGYEPSDVQSDSESDDDDDDDSASLVDSEEDDEEDSEEGDEEEEEGKTWEELEKEATTADREHGAESDSEEERKRRKSKSYGKSRAPSGGNFSKRPRMR >KZN11912 pep chromosome:ASM162521v1:1:49355925:49361354:1 gene:DCAR_004568 transcript:KZN11912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSDIPCVGKIVDRISDAAVDALFRGFSYVFSYKNHVKALETEIQKLDTEMQRMSRRVGEEKDNGKIINAGVSTWQTDVEEVKKSAEEILEKYKNRSSWRCIQWMPIPKPLSRSRLGREAAHKAKRVTELSDSANILLANEIAYLSPVENAPISDTVYQTFKSRSDAYDELWKALESEGGSSILGIYGMPGVGKTTMMESIWKEALVKKIFDKVTRADVGNEDLDVFKLQKQIADHLDCHLNPEDDERHRASQLKNRLMNEEKILIILDDVWREIPLHRIGIPLGDGYSSTHHKILLTSRKKKVCMDNKCLHPVKIAPLDTDEAWQMFRNTVVADNIYLLPDESLAQEVCKKCGGLPLLIHAVGKALQFTSHDVWKDALNQLEKGNFEKIADIDPKVYACVKLSFDKLPDDAKSCLVLCSLYPEDAHIYINKLIRLATGSLLVHGGEARIRSMVEILTSSSLLLDSEDYMIKVHDLIRDVARSIAVQDPKYLFSSVRCGSLLPGDVDYCTRKFLRLHLEKNDIFFPDGLVCQDLHNLWIQCNRHAQQFLGDFFGMFVNLRFLLIENMLFCLELRFSLRPLVNLRTLMLDGCQMTQTNANFFPENLETLCSWNCNLPQPLNLPILKHLKKLEILGWDTEVQMAPNTISSMTSLQELHIQSGYKIGDGVSAPILGEISKLTALKSLQIFFLSNSEHFQDTNIFANLLQYNIRVGANWLRTKSRISVNRFIELQGSGLEGLEGLIERAEYVLLDCTDIDVGSIYNSNREAFTDLKDLYIENCNTIEYVARISCGEIQHLKSFSNLGLLEIKDCSALKYLFSNSVAKCLVHLQKLAIVNCPMMEAIVMNEGTSDRDDDIINFCKLQWLKIDNAAFPSLEELTLVDLSIDIWGYSGYDNVASTFHKLKRIRLDRCEKLERVIPLVMSNNLRNLESLKISDCPSLKNVFQHSSVVRDLINLEVLHISNCKMMRVIIDGKEEGEEEAREEEEEEAREEITNDKDVTIVVFPKLSILRLEDLLCLTSFHWSGEANHSKLKLQCFQRLKVLKVKKSGCSSLFSFSAFESLQQLQELEISECVSLEEIVEDLRSGEHCGADKRIVALFRLKSVSLIDLPKLKSFGNSPSYALNMPQLCRFYVERCPRIEYFTSLKTTTSLQTAYSDRYRYWEERFPDLNNYLENCKWEYESSTSASEVSNSYQESETDPEWVGEHGEVSNSDQVSETDPERVGEEQLQETEENA >KZN08548 pep chromosome:ASM162521v1:1:10179048:10182857:1 gene:DCAR_001078 transcript:KZN08548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRNLPSKDEPESSSKIKETRKEKVCRKLREDGFEGKYADLGFGDGYWELEAVANTSRDIVEYLLMLNLDTDMDRLEFLSLSALVAIVVSLFLFFFSFRRSKANVPPGSSGWPVMGESIKFFRLGPQEFIKERTRKYSQDVFQTSLFGQKMAVFCGPIGNKFVYANETRSLAAWWPLSVRKALFFPEFVESPTDEISSLMFISIRDILKPEALKQYIPVMDSMAREHVDSQWADNEVVKVFPVSKKYTFDLACRILMGVVDAELVIRLAKHFTLVTAGLFSVPIDLPGTPYNKGIKGGKLIKL >KZN10645 pep chromosome:ASM162521v1:1:37871371:37872165:1 gene:DCAR_003301 transcript:KZN10645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMMNVMKKKEEVKNREDELDEMLVEIDLSKRNVIEMEKQVEFKEKIIQIHETNMNKAWAELEMKSKEASSVEYSIVKPEPWSDDGSYADIRFSVTMDGNSLLLYLINHKGDLDSMSDEVYEALGKSKEPGKLVLDALQSFYSKKEAEEFEEDVVCRSTSLLLEQLRRISPHIQSYHKRAALKLASQWKEKMKSSKEFIVFLQLLASYKLESSFHPEEFFSLFEVINQPTEISELFQLLNYMGKVNGKFFLIAFVVYNSMLMS >KZN11407 pep chromosome:ASM162521v1:1:44385593:44386021:-1 gene:DCAR_004063 transcript:KZN11407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTAPPPSGNPSNLHVQAPVRSPLQVTKDPRNDVGGEKPGQMESNGL >KZN08833 pep chromosome:ASM162521v1:1:16046596:16046979:1 gene:DCAR_001489 transcript:KZN08833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGCHGAGQRMDIDPISPRMGRGSPIIFYGARELVHPLMGRGSPFILYGARETNHPLWGEGACSSSMGRGSPFILYGAREPNHPLCGEGASSSSMGRGSPIIILRGDVTRSGRRSPDDKSPKDLVE >KZN11261 pep chromosome:ASM162521v1:1:43178550:43188940:-1 gene:DCAR_003917 transcript:KZN11261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRENCCFDESKTDCLLNLILNQQPPRICITFIKDFKMFYDVKFGRKKESTGKDADANGHANGTAVSNGSGASNGNGRAKNTSDMAVYEQYRNQDRASSLNNNGHSSARIDERPQKPLLPPFESAEMRTLAESLSRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIITQRGEARSEHEASRRLKTELLIQMDGLTKTDDLVFVLAATNLPWELDAALLRRLEKRILVPLPEPEARRSMFEELLPPVPDADKLPYDLMVERTEGYSGSDIRLVCKETAMQPLRRLMACLEEKHEAVPEDELPNVGPIRHEDIEVALKNTRPSAHMHTPRYEKFNNDYGSQILH >KZN10603 pep chromosome:ASM162521v1:1:37485133:37488903:-1 gene:DCAR_003259 transcript:KZN10603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLPALIPRTVEEVFGDFKGRRAALIKALTTDVEKFYEECDPEKENLCLYGLPSEKWEVNLPVEEVPPELPEPALGINFARDGMAEKDWLSLVAVHSDTWLLSVAFYFGARFGFVKNERKRLFQMINELPTVFEVVTGKVEQPKDAPRSNGNKSKPNGSASRKSEPYPKAAKMSPPNEEVESDGDEDEAEAGEDEQATTCGSCGDDYAPDEFWICCDVCEKWFHGKCVKITPAKAEHIKQYKCPSCSNKRARVGM >KZN09545 pep chromosome:ASM162521v1:1:26888648:26891938:-1 gene:DCAR_002201 transcript:KZN09545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGSSSCLMGTYGSGDNNNHHEILQHFHHDQLIEDHNNNNPSRRHGNSSGSSSSASVKAKIMAHPHYSRLFAAYISCQKIGAPPDVVARLEEAYASVSVMGGGSTIGEDPALDQFMEAYSFEAMDRNGGSSEEDADTENNPVDPQAEDQELKGQLLRKYSGYLGNLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSEQQKIALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDAARPHYNYMDNVVANPFPMDIAPPFI >KZN11307 pep chromosome:ASM162521v1:1:43588601:43589908:-1 gene:DCAR_003963 transcript:KZN11307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVTFPRIGCSVRGTIERYKKANSDTPNTATVSEANTQYYQKEAARLRQQISNLQNSNRHLMGEALGAVPAKELKGLETKLQNGLSRVRSKKNELLFAEIEFMRKREIDLHNNNQYLRAKISENERAQQQMSLMPGASGSSEQYRDVGQPHESFDARNYLQVNGLQPNNANYSSHQDHQTQHVPLQLV >KZN10323 pep chromosome:ASM162521v1:1:34563316:34568583:1 gene:DCAR_002979 transcript:KZN10323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGAEVEDRVDFDDDNYEEMDDDVEEQLEDEGGDDVQDEQDDLKSQNSGKESPEDDKSRSSNNANESIEDEEKPSAPVDDEDTQKHVELLALPPHGSEVFIGALPRDITEEDLRDLCDSVGDIVEIRLMKNKDTGESKGFAFVSFKTKDVAQKAIEQLHSKEYKGRTLRCSLSETKYRLFIGNVPKNLTDDEFKKLIDEVGPGAEHIELIKVKALYVKNIPENTPSEQLKELFERHGEVKKVVMPPSKSGGKGDFGFIHFAERSSALKAVNDAEKYEINGQVLEVVLAKPQSDRKPDGANIHNSGLVPNYIPSPGYGGFPGNPYAAPVGAGYGVAAGYQQQPMIYGRGPMPAGMQMVPMVLPDGRIGYVLQQPGVQMPQMPARRNDRTNGTGGPQGRGRPGGANDAANRGRRYRPY >KZN11749 pep chromosome:ASM162521v1:1:47824876:47825394:-1 gene:DCAR_004405 transcript:KZN11749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRIQATEAKQQSMMNFLAMAIRNPLFLQQYMEKHGVDPKKIEIGRKRRLTLSPSVDNLENQISDYTSQQQEAASNAETEMESFLSAAEDNESSDNIRVPKVPTDDEYLDAFNENMWEELLGDDLRIGNKDEAIASDQPRVEVDNLAKSLSWDIDGLKDLVDQLEYLRSDP >KZN10552 pep chromosome:ASM162521v1:1:36878946:36885575:1 gene:DCAR_003208 transcript:KZN10552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRVLCKFYSHGACLKGENCEFSHDWKDPANNVCTFYQKGVCSYGSRCRYEHVKVSRSQPCATSSSAVTLQYSGSFPATNSSRNAASGRTAAEGVNRELLDSSRSFVPATNPAWNEISALPQSLDDTYTRESGTNPAELVMCSFAAAGNCPRGEKCPHIHGDLCPTCGKHCLHPFRLEEREEHSRMCEKRHIHLEALKRSQEIECCVCLERVLSKPTAAERKFGLLSECDHPFCVSCIRNWRSSSPISGMDVNSALRACPICRKLSYFVIPSVIWYSTKEEKQEIVDSYKSKLRSIDCKHFDFGEGSCPFGTSCFYKHAYRDGHLEEVDLRHLGADDGMTVIAKDIRLSDFLNSLRLR >KZN11784 pep chromosome:ASM162521v1:1:48074850:48080438:1 gene:DCAR_004440 transcript:KZN11784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELQYSTGGDAKRKYDGESSPPPPISGRRTTGFSSQSPDAGGPVAPDAEIQAAKQKAQEIAARLFNNSNVDAKRTKVENGGGYDSSEARGFSSAPPDYGHKPVMNAVASMPSSYGSYPGPSKKIEIPNGRVGVIIGKGGETXLQIQRFXVYELPGSRYSLWIRELVRXVTRDMDADPNSPTRGVELTGTPDQIAKAEQLINDVLNEAETGGSGIVSGRLPGHQSGTEQYVQMIPNNKVGLIIGKGGETIKNMQSSTGARIQVIPLHLPPGDPATERTLQINGTPEAIEAAKLMVNEVISEPENNVDNWVFYFRWEMLGRAGEWSRALDLAHQGEDGTSAGVAIIPLNQYVLELPLCSCECMQNPLLAQNRLRNPSMGGYSQQGYQPRAPTSWAPSGQQQPNYGYVQPGAYAGQAPQYNAPQTQYPGYPPQPSSGGYATGWDQPSAATTQQTTQAGGYDYYGQQSAPQQQAPGGAPGSADNTGYGYQQQAAAGYGQQGQGYSQDAYGAYHASAPQSGYGQPQPGYDQQHGYSSTPGYGNVANPTSEGQNASYGAQGDSNSAPPSNAVGQQGYNASQPSPNSSYPPPTQPGYGAPPNSQAGYGTQPSAGYGPGYGTPQAQKPASGQPAYGQPQQSPTAQGGYAQPAPVQPGYSHSQPPSGYGTAPASGYAAAPPPYGAAPAASQPSYGGQQPTYNSSYASGYSQPPAYAADANAAPASQTAPPNAAAKTSPQS >KZN11892 pep chromosome:ASM162521v1:1:49148940:49150784:-1 gene:DCAR_004548 transcript:KZN11892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHCGLQKNATPNGYNRNNSSVPVADPSHLGIAPMCVNCESGPPDTLGVLTCFKSMTWVLEAKDSTALHKIAVINLKLQEDNDNPPTESEVQFRLTRVSLEPMLKSMMRISQQLSIPANRVAVISLKLQDTKTTGGITEVKFQVSKDTLSSMLRSMVYIREQL >KZN09474 pep chromosome:ASM162521v1:1:25629964:25638156:1 gene:DCAR_002130 transcript:KZN09474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEPLERREDSKEKPPPDERPASPSPPPPPMRRRDRDSRERRDNAETVDRAVRREYNDRSGNLGREREYNKRRASPGSPPPSVYRDRRNYSPPPRRSPPGQGYKRMRRDEGFGYNQHLNGNDREMGGRSGYPDERPHGRHGGRSSGGYESGPSGWGSGRGGYTGAANTGRSQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYITTQKRAYFNAHKHEEWLKDKYHPTNLLAVIDRRNEHARKIAKDFLLELQSGTVDIGPGIDASASKAGQTADTNSENEVDVGGKMKRHERGLTKETDFPKAHPVSSEPRRVIIDIEQAQALVRKLDLEKGVEDNILCRTDNERTSRDKSHGSSSGPVIIIRGSSSVKGLEGIELLDTLVTYLWRIHGVDYYGLIETSEPKGLRHVRADGKNSDAIGNGDEWEKKLDSFWKERLNGQDPLEVMTAKEKIDTAAGESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELLLEVTSKVREDLFFQNYMGDENAPGGIPVMQPTVLKEKPQKRRLGPESYMKDERGRRERDNRTNGSERYDRGDNPHSVDFQANNDAQAGSNLDESMFDGFNGQGMPVSSFPSDMAPPPVLMPVPGAGPLGPFVPAPPEIAMQMMREQGGPSPFEGGGRNGRPGSHLGGPAPIIALPPQFRQDPRRLRRQVLLSGLTFFCEKWGCYDDLDAPEDEVTVIDYRSL >KZN09657 pep chromosome:ASM162521v1:1:28174920:28176315:1 gene:DCAR_002313 transcript:KZN09657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKKLNLNFKAFKLRKFAIGHSKRKDRNEKKPSWMVPISHGFHVVEDRSSIGEANELDSDSIVVQREENKGQELWFFSVFDAQIGDRVTKYMQSHLFDKKPPESEMRRKAKETIRKAYLGVRAKIRETTKRDDMWRVGCASAIVINGEKLVLAHMGEYRAIVCKDGEAHQVGRKHKQTSAKMSKLRFVDNSGGTRSSKSFEPLVSTEKIDSDTEFVVLASTGIWEVMKHQEVVNLIRHLDDPQEAAECLAKEALVRMSKSKISCLIIRFE >KZN11139 pep chromosome:ASM162521v1:1:42061301:42061992:1 gene:DCAR_003795 transcript:KZN11139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTAGHFHGHHHENSQLIRYRVIAMVLELGIVVHSVVIGISLGASNNTCTIKPLVAALCFHQMFEGIGLGGSILQAEYKTVKKTVMVFFFSVTNPFGIALGIALSKMYKENSPASLITVGLLNASSAGLLIYMALVDLLAADFMGPKLQGSIRLQIKAFTAVLLGAGAMSLLAKWT >KZN10924 pep chromosome:ASM162521v1:1:40330587:40333359:1 gene:DCAR_003580 transcript:KZN10924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKRGSIAFFTTYRPPVALDIYSCPLRPKSPSEELKMTDGKSYNYDGHYIPPAALKFIINRPELIPEGIKDADVESGNVSGMVFVSERDDLETLQIAIQTKSSSHTDTVSTKVFSVEDVYPRSDGVRMEDSPCIAGVKQDTLVYISTKEPAPRRRQPWTAVYKTNLKTGKTDRLTPSLQADLSPSVSPSGKRIAVASYQRKAGWAGEIQDLQTSIFVMNVEKPFNRRLVVENGGWPTWGSEGVLFFHRKVDKFWAVFRVEFTDTFVSEPTRITPDKSNAVTPVAIDATTVAVATIRKLADFGIGRKKEHYRHIVVFDSVIKKPIMNVTQVTKPLADHFNPFVIMDVGTNTKRIGYHRVNTDLIKVGENIERQFCKIKSPVPDIGLFRLSGAFPTFSSDGKKVAFVDNEFKSVWIADSTGLKMVFETEGPNRIFSPVWNQNPGKDILYVCMGPSFAADKPVDIALIPNASEGRQHVQQLTDGFNNAFPSTNPEGTKLVFRSTRDHPEDPEAKVKDGFKNLYIMEDAEEGDFGEGRITRLTEGDWVDTHCQWSPSGEWILFSSSRDKPTGAPRKDNDLDAGFFALYLVSPKHPDVIVRVFGSGDDLSGHVNHPFFSPDGRTIVVTADLAGVSVDPISLPLVEQSGRAYGDIFSVEIDKDDIKKNENVKEFKRITHSRYENALASWTMFSSDDPNSAWNLQFKGEYAPSCPYAPPNGAESWHMSGHICIAQRKC >KZN11576 pep chromosome:ASM162521v1:1:45890039:45893712:-1 gene:DCAR_004232 transcript:KZN11576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVLKTIGVALFFSFFLFPIVFSESKDGLVRIGLKKIKHDQNNRLASRLDPKAMESLKASINKYYLGSTLGASEDTDIVALKNYMDAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSVACFFHSKYKASQSSTYKANGTSAAIQYGTGAISGFFSQDNVKVGDLVVKNQDFIEATKEPGVTFVAAKFDGILGLGFQEISVGRSVPVWYNMVKQGLVKEPVFSFWLNRDPKADVGGEIVFGGVDPTHFTGVHTYVPVTRKGYWQFGMGEVLIDGKETGFCEKGCSAIADSGTSLLAGPTTVITMINHAIGASGVVSKECKTVVQQYGRTIMEMLSTGVQPKKICSQIGLCTFDGTHGVSIGIESVVDESSGRSSGLGDAMCSTCEMTVVWMQHQLRENQTQERILNYVNELCDRLPSPMGESGVDCAKVSSMPSVSFSIGGKVFSLPPEQYILKVGEGSAAQCISGFTAMDIAPPLGPLWILGDIFMGYYHTIFDFGKARVGFANAA >KZN08796 pep chromosome:ASM162521v1:1:15624080:15626732:1 gene:DCAR_001452 transcript:KZN08796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQLDNASVPGENCSTRKRGRPAVVVTEEVIQRRRISRQRVNAARPKREGPSKRRGGPKDAVNRGLSNTRVNPCQGDGQTTQETGGESSTRKRGRPPIVLTAEVIEQRRLSRKRINASRSKREGPSKKRGRPKSIVQDRQSHRTVGSSPDSVPPESQASDADANANPGCGSSPSTRSTQASTTIHNTRIAVWVVSYIALGHFLCIFASSF >KZN11303 pep chromosome:ASM162521v1:1:43554080:43565861:-1 gene:DCAR_003959 transcript:KZN11303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGELQTTDMSSGKENHDSAILRSRLERVLREKEFKKSNRSFNANEEGNDFKGDNGTVEEGQNLINEDWINVEQLFEGIIEATVLNDYKQNVQKGKPPKQRLLVVANRLPVGARRKGEDSWKVEVNVGGLVSALMGIDEFEVRWIGWVGVNVPDETGQKSLTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWTLFHYLGLPQEDRLATTRSFQSQFDAYKRANKIFADVFPIGIDPDRFIKALELPQVKDEIQRLKEKFSNRKVMLGVDRLDTMKGIPQKILAFEKFLEENPNWHDRVVLIQIAVPTRTDVPEYQKLTCQVHEIVGHVNGKYGTLTAVPLHHLDQTLDFHKLCALYAVTDVALVTSLRDGMNLVSYEYVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASISYALNMPDDEREKRHDHNFMHVTTHTSQQWAENFLSELEDTVAEAQRRTKQIPPPLPSKDVVESFSQTNNRLLILGFYATLTEQLDAHGIRIDQFKEMQLQLHPDLKDPLKKLCDDPRTTVVVLSGSDRSVLDENFGEFNMWLAAEHGMFLRSTQGEWMTTMPECLQMDWVDSVKHVFEYFTERTPRSYFELRETSLVWNYKYTDIEFGKLQSKDMLQHLWTGPISNASVEVVQGGRSVEVRAAGVTKGASIDRILGEILHRNDANSPIDHVLCIGHFLPKDEDIYTFFEPELSALPASTARISSASPVSGVASNHPAHKIHHPFPSPVKNISSNMNGNDTGMRGPTASVLDLNSENYISCTVGRKRSNARYLLNSSADVVSLLEELAESLNS >KZN08103 pep chromosome:ASM162521v1:1:2762800:2763832:-1 gene:DCAR_000772 transcript:KZN08103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGLSHLSHRSYPTVHVPPYDIVDDLTANIHSSFSVSHYSYDVSPNALSETDDYDIPVDVSTCDHFRIYVFKVKRCARGKSHDWTECPYAHPGEKARRRDPRKFRYSGTACPEYKRGECFRGDACEYAHGVFECWLHPTRYRTQPCKDGVLCHRKICFFAHTADQLRLLGNGDDSPSRLSGSPSQLGGDSFMQKSCLYSPTSTLYALQNESPPVSPCGSVGFNSSGSMSKMSPPSWDGLGSGRQCSVLRPGFSGLSVSPTRKMTRSGLGELDLWGEEPAMERVESGRDVRAKMYAKLSKENSLDRVDSVGSGYNMGWISELVQ >KZN09447 pep chromosome:ASM162521v1:1:25352267:25354174:-1 gene:DCAR_002103 transcript:KZN09447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHFVDLIGRYHPKFRHSSSSLCAAVYVLFRSRRVSEAQALILRMVRKSGVSRGEIVDCVVLGYRNFGFGCLGVFDLLVKSYVQARKLREGVEAFGLIRDRGFCVSINVCNSLIGGLVKVGWCDLAWEVYRGFVESGIQVNVFTLNIMVNALCKDRKLGDVKSFLMEMEKRGVYADLVTYNTLINGYCRQGMVEEALELSKTMIDQGLKPCVMTYNAILNGLCRIGSFDRAKDMLVEMVNVGVSPDTATYNTLLSECCRKDDLRTAQMIFAKMLLEDLIPDLFSYSSMIALYSRNGHLDRALACFEDVKRCGLVPDRVIYTIIIDAYCRNGIMTEALKLRDEMVEKGCAMDLVTYNTLLNGLCRKKMLGEAEELFNEMTERGIFPDYKIFTTLIDGFCKNRNMSKAVNLFESMLQRNLKPDVVTYNALIDGFCKEGDMERASGLWNDMLTKKLYPNYMTYSILINGFCSKGDVPKALKFWDEMVEKGIEPTIVTCNTVVKGYCRTGDSAKADEFLSNMILKGISPDQITYNTLVHGYVREENMDKAFDFVSRMENQGLLPDIYTYNVILDCFAREGRMQEANLIYSKMIDRGVNPDRSTFRSLINGYVTQDNLKEAFRFHDEMLRRGFVPDDKF >KZN09749 pep chromosome:ASM162521v1:1:29050819:29052108:-1 gene:DCAR_002405 transcript:KZN09749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSSLLLFLLCSLPLLSSSAEPNQIVLPIYKDSSTLQYLIQIKQRTPLVPVHLTIDLGGRALWTVCETGYISTTIRSGGCNTTQCQLAQASKSCEPCSWTLYGPGCTQSDTCWRFAENTVIRLAAGAEVATDVIAINSTDGKGRGKVFNVPQFVFFCARTMNADGLAEGVTGMAGLGRTKISLPVQLASALNLKRNFGLCLSSSTTTNGVVIFGDGPYNFDDSNILKYTSLIVNKVNTQVQVLRGEASPEYFIGVKSIKINNKIVNLNTTLLQIDNRGFGGTRLSTVNPYTVLETSIYNAVIKAFVTELKNVTRVPAVAPFGACFNSKNIGSSRVGPAVPSIDLVLEKDVVWRMFGANTMVRVNKDVLCLGFVDGGEPTFITSIVIGGHQLEDNLLTFDLVKSKLGFSSSLLFRQTTCSNYRLMSEA >KZN10316 pep chromosome:ASM162521v1:1:34518980:34523213:1 gene:DCAR_002972 transcript:KZN10316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPPHKASRSSILYLISAAAIFTLFFVAVQFTFFTGKNKIPSEDARILFDFQSNVQQCIANRGLGLTANIIDHCKLVLKFPEGTNSTWYNAQFKVFEPLEYSYDVCEAILLWEQYRNMTTVLTREYLDARPDGWLEYAAKRIAQLGAEKCYNRSLCEEHLNLILPGKPPFRPRQFKTCAVVGNSGDLLKTEFGKEIDSHDAVIRDNEAPVNDKYAKHVGLKRDFRLVVRGAARNMVKILDGSTDEVLIIKSVTHRDFNAMIKSIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCEIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAERMQDWSDVPSREMIGRAHAAALRLKRSQVGQVDGLGQFGSCKVWGNADSNGNGRISGSPDMSPVRKNSNYSKWEVMPFTSLRREAQDHYVQMEGVSLYKMDGNKLDDLVCVRHSLISEA >KZN08038 pep chromosome:ASM162521v1:1:1626135:1627607:1 gene:DCAR_000707 transcript:KZN08038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLEVVELSRGVSGCSGDSDVFKVLVLCTNECSWEPGVFEMLVKAYVKKGMVREGFVAFEEMLRFGLVPSVVTVNCLMNGLAKLNYVDRCWYLFEEMRRIGIHANCFTFNILTHVLCKGEDVDKEGLCPDVTCYNTLIYGYCKEGMMQEARTLLRDMIGNGVRPDNFSCWILVKGYEKQDRVLSALNLLVELQRFNVSIPRDIYNYLIVALCKDNRAMAAKNLLERMSRDGQETTEKIFNEIIICLCKRDYVEEALTIKADMVYKGFKPSLVTYRAIICCLCRSTRNVEGESLMREMIESGFLPDVEIFRALVKGFCAERNVYKAELLLSFFAVEFHIIDTECYNVLFKVLSEDGDIAKLIDFQDNMLKLGISPNVMTFRHIIDAMSKNMGSDTNNVCANQECKALVV >KZN11904 pep chromosome:ASM162521v1:1:49284712:49287376:-1 gene:DCAR_004560 transcript:KZN11904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAVGLSDDSETEILLDFKKTLSNAGALENWNKDVSPCNGNNANWNGLLCKANVLNGLQLESMGLSGTINFDSLSKLPTLRSVSFMNNNFDGPIPSNINKIRALRTLYLANNNFSGEIPGDAFKGMNQMRRVYLGNNGLTGKIPNSLATLSKLVDLQLQNNKFEGEIPNFKQKDLIANFANNKLEGPIPSVLSDETASFFAGNNLCGKPLNNCPASKKKSIPKVPIIVASVGALALAVIVITLLLFHRRNRRKTSKVERLGSTKSQKKETKYNYDNRKEIGLPKQTADNYKKTEQTGKLHFVRNGTERFELQDLLRASAEVLGSGSFGSSYKAILLSGPAMVVKRYKQMSNVGKEDFYEHMRKLGSLSHPNLLPFVAFYYKKEEKLLITDFAENGSLASHLHGNLKPNQPGLDWPTRLRIIKGVGKGLNYLYKAFPSLALPHGHLKSSNVLLDETFVPLLSDYALVPVINKEHAYQFMVAYKSPELAQNNRLTRKTDVWCLGILILEMLTGKFPANYLKQGKGGNADLASWVNSVVREEWTGEVFDKDMKGTANGQGEMLKLLKIGMCCCEWNVEKRWDLKEALEKINELKERNSEEDHSSYASDDIYSSRGLTDDEFTFSVNG >KZN09699 pep chromosome:ASM162521v1:1:28576514:28590690:-1 gene:DCAR_002355 transcript:KZN09699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLNHTSRPWSSPIPTQIYPSRRESMSCPCWWKQTSAIHARKWEENSCMVWDKANNNKILKVDLKSRLQHVQGKDKLMMSYLSCYSLGRMTQQISSNAVFNMLKDILLFVIRTSAATSSPFACISNSLNKPTPLKLDIILPSIRDIRWNFARLLYLFNIRLERNVATFLVVLLVACFSFVMIGGLLFYNFRGSTQSLEDCFWEAWACLISSSTHLRQRTRVERVIGFILAIWGILFYSRLLSTMTEQFRHNMQKLREGAQMQVLETDHIIICGVNSHLTFILKQLNKYHEFAVRLGTATARRQRILLLSDLPRKQVDKIAENIGKDLNHIDILTKSCSLSMTISFERAAANKARAIIILPTKGDRYGIDTDAFLSVLALQPLPDMGSVPAIVEVSSSNTCELLKTISGLKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLYNFTNLTGLKYKQIRRGFQEAVVCGIYRRGKVDFHPNDDEVLEQDDKVLFIAPIPGKKKPYLASPDVISEDVSGIHNLVQVNKNVESLSFAQHMEKLRFENIVKRPKKSGSKASDWTVGPKEWILMVGWRPDVVEMINEYDNYLGPGSVLEILSDVPIEDRNRARDLAGQGKLKNVKVFHRIGNPMDYTTLKETITNIHKPSTKGGDIPFSIVVISDREWLLGEQISIRHTLYF >KZN11700 pep chromosome:ASM162521v1:1:47102398:47108707:1 gene:DCAR_004356 transcript:KZN11700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHLPLVILIIVFVCNMDSIVIKLAKYLLAKAYKGLGVAAVKMVQTRELCGNITTSVKGKYNFKEEYINRVVSEPAIWRYSMTENIFSWTFEQADIVVNMPYGDIRTDLLISGDFHGSLSFHIHEETTQTPVSLRTLEGASFILPLPIGCIKKLGNTGQHKFLITSGGSVYVLSLSDPLVLHSPNPVGINLGEIASCTSYIWTADCNFNGSRALIGTNGGVALVHIETGSVSWACHFKSDVNSVQLDNSENIGLCGLVDGTILSVDIRQRPESNALLLPAHSILLDYGNSQLDSKKRWFKLHGNIYSNGTISTSSSITCLTSLKQHNEYYFLASSLDGCIKLYDHRMVNRGAVLSYEGNARLHTRIQHVVDPSEKFIMTGGIDKKVRLWSIKSGELLFEKLFMDFTPSQFCWGKSKDNCTRMANIYNQHSYFGEAWVGSPNGLYSLTW >KZN08820 pep chromosome:ASM162521v1:1:15809945:15815520:-1 gene:DCAR_001476 transcript:KZN08820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFVKKPKITDVDRAILSLKTQRRKLAQYQQQLEAVIDAEKQAAKDLLREKKKERALLALKKKKVQEELLKQVDTWLINVEQQLADIELTSKQKAVFESLKTGNNAIKAIQSEINLEDVQKLMDDTAEAKAYQDEINEILGEKLSAEDEEEILAEFENLETQMSLQELPEVPAKALTSENLEESLDLPDVPTKAPVASEGVETKAPSAIMEEPLPA >KZN11635 pep chromosome:ASM162521v1:1:46547220:46549543:1 gene:DCAR_004291 transcript:KZN11635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMETLSSSELLDFIIYDTISAIPFSCNVSSDTTSNLHLLQQNAHLASAATTPNALYNTSSMNLSVKPETNSERSLAVQGRKKRRRRPKVCKNKEEAENQRMTHITVERNRRKQMNEHLAVLRSLMPDSYVQRGDQASIVGGAIDFVKELEHILQSLEAQKFLQMQESREKLDSVEATPFSQFFTFPQFTTSQTPNKHYTCTKTNGAIADIEVTLIETHANIRVLSRRRLRQLSKIIGGFQAVYMTILHLNVTTLEPMVLYSISTKIEEGCPLKTADDIGGATHEMLRMIEEEEEVVAGLQDYGEDLG >KZN10311 pep chromosome:ASM162521v1:1:34447555:34454659:-1 gene:DCAR_002967 transcript:KZN10311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGNNPLESFHNSVQLVKNAFAPFEFSFQKVTNDFQHCWSASRNGADNAISFVAKKSELRILCGSHSKVGKEWSVECFLGGLFDDLVQNLQKLNLGAGEIECTISDLCLGTPSGNKFNHVKALVGIFKGRRTDDSGVLLNVKFARVGGVPAGNVAVIDSEKEMTCEASTVAPTVDIPAESVFKSALAGGISCAFSSFIMHPVDTIKTQVQASTLTLPEIIVKIPQIGARGLYKGSIPAVSGQFLGHGLRTAICEASKFVLVYLVPTLPDIQVQSAASLWSTVLGTTFRVPTEVIKQRLQAGIYENVGEALIGTWQQDSLKGFFRGTGATLCREVPFYVAGAGVYAESKKAVQHLLGRELEPWETILVGAVSGGLASVTTTPFDVIKTRMMTAIPGQPVSMSTIAFSIIRQEGPLGLFKGAVPRFFWVAPLGAMNFAGYELLRKAMDRTNAQKVIKESKLKFLFQKQLQNSDVNNLKRMVIPKKAAEAYLPKLDKKGGIYIEMIDVDGSHIWNFIYSSQANYSLKELINLDPGEFVNKHQLSTGDLILVYEDRESKEYVIEARKTLSKSPNDSPKKKILKTHSNKASARGQAPDDTPMNDVPAAAPPPSGVKDNLPVKLEPTDDATNEIQVMDCTNADSGMPLPDYGFDAYNPSGTIDNVLNLPNPNDSSSSLIYNDMNFPSDYLFNMWEPGASSTQPIPSFQNLCFDDILKGL >KZN12083 pep chromosome:ASM162521v1:1:50851789:50852103:1 gene:DCAR_004739 transcript:KZN12083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKITKCLFVMVIFLVANLGIAEGARDIPMKKDEIMNRSKGLLPPPPLWWLKVWWLAHGWLKPFPPLLGISPLAEIAKLKSIVGLLEKKYAFGLANIPEVDVEP >KZN09989 pep chromosome:ASM162521v1:1:31458447:31458845:1 gene:DCAR_002645 transcript:KZN09989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTPRYRVMGHVWNSEYFSSHQHSSFPFEKSLTPSVPIIQCNGINARPGCSGGPVFNMEGKIVGMLIAGGNDFDIVTHVSALRMYLANRCQSEDTSKKQRTC >KZN11897 pep chromosome:ASM162521v1:1:49204687:49210392:-1 gene:DCAR_004553 transcript:KZN11897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMSREQMSIPLHYKQLNKIKMPNQAQPVIIAVHQHINDPLLHDAEPAPPEAHIPLDGIPAPQANQPAAPVIPDQVVEDLFNEEEPPGGNVNPIALQAIEQNLNDAIALQPVANLQHNQAQAVIIAVHQHINDPLLHDADQPAPPKAHLPLDGIPAPQVNQPAAPVIPDQVVEDLFNEEEPPGGNVNPIALQAIEQNLNDAIALQPVANLQHNQAQAVIIAVHQHINDPLLHDAEPAPPEAHLPLDGIPAPQANQPAAPVIPDQVVEDLFNEEEPPGGNVNPIAIQAIEQNLNDAIALQPVANLQHNQAQAVIIAVHQHINDPLLHDADQPAAPEAHLPLDGIPAPQANQPAAPVIPDQVVEDLFNEEEPPGGNVNPIALQAIEQNLNDAIALQPVANLQHNQAQAVIIVVHQHINVHDGDHPAAPEAHLPLEGIPVPQANQPAVIPDQVVEDLFNEEELPGGNVNPFALQAIEQELNEKLVPNIRESRQTPVAAVQSGNLEPPNPYADKPVMSSRYTSLAPVNPFYSKFQQVRHKKLNILRYLT >KZN09901 pep chromosome:ASM162521v1:1:30606306:30611327:1 gene:DCAR_002557 transcript:KZN09901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNEAKKKPNAKGGGGGGGSKKKEVKKETGLGLSFKKDENFGEWYSQVVVSAEMIEYYDISGCYIIRPWAWKIWKLMKEFFEKEIDKMEIEDCSFPLFVSPAALQRETDHIEGFAPEVAWVTKSGESDLEIPIAIRPTSETVMYPYFPKWIRGHRDLPLKLNQWNNVVRWEFSNPTPFIRSREFHWQEGHTAFASKEEADVEVLAILELYRRIYEEYLAIPVVKGKKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFANMFDIKFEDEKGNKRMVWQNSWGYSTRTIGVMVMVHGDDKGLVVPPKVASSQVVVVPVPYKDADTQAILDACSDAVKTLTEAGLRAKADLRDNYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNFKKVDIPMASLAEQVKDMLDDIQKSLFDSAKEKRDACIEKVATWDQFIEALGKKKMILAPWCDEEEVEKDVKTRTKGEMGAAKTLCSPLDQPDIPSEGVMVRLPSDF >KZN10889 pep chromosome:ASM162521v1:1:39951997:39953982:1 gene:DCAR_003545 transcript:KZN10889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAVSHRITTPTLPHPDNNLHHILTSTSTLFSAPPDHFNPRISNPHFFSTSRRVESRATKPDLLRLLSKPWVSRGTKSKLRRLYKKLVNGMRRVIIHICVTGVSIIMLGQFLAKYCESLDHEMGTKFSGSLPDYLRKGHTVVIDGFIKPVSTCGELSKGFEYYYAVTEVLSEPHEIVAAMAGNKHIINVKQNVATEGEELNIGCITAEDKVSDDVANPSTTPGELVLEGRVKQSVVFSWMAKVEIERKLWEVLYDFEGLGDALREGHSVFVKRSVKPVKHQEMEEEDKSEDVSLIHALDTHSRILDIGLPVVKKRRKLVVKLSRNISHVYYSNFGICLGSWSRTTGCQGQVI >KZN11131 pep chromosome:ASM162521v1:1:41992796:41993463:1 gene:DCAR_003787 transcript:KZN11131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVKIDAAQAMKMGNKLPHVVGSEEKTKVVPANKLDYADPQAWKFYRDTAEFVGGSDPTVQGVYGVEELDSGKTYEVSFYVSVMNLPVSNPVTLTLELPNRKKQENTVDITVNPLVWQEVSVGKFENKFPYGDIKFKFSGVSGDTWRGLLLKGVHITSSS >KZN11200 pep chromosome:ASM162521v1:1:42505420:42508135:-1 gene:DCAR_003856 transcript:KZN11200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKEVFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRTKKRDMRSLWIERINAGSRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRSAFPGNKKAVLPPKKEGLQMLV >KZN08680 pep chromosome:ASM162521v1:1:13409961:13414235:1 gene:DCAR_001210 transcript:KZN08680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIINTRPSWAFLLLLFTVVAILTLQIKNEKSVLPTWAVPGRVGFPESGRAPSCVGFFKNVPERKVVKSIVDFGGVGDGRSSNTAAFWRAIKFMERWQEKGGAQLNVPRGRWVTGSFNLTSNFTLFLQEGAVILGSQNPEEWPIIEPLPSYGRGRERLGGRHISLIHGDGLSNVVITGHNGTIDGQGQMWWELWWNRTLEHTRGHLVELMNSQNILIYNLTFLNSPFWTIHPVYCSNVVIKDMTILAPLKAPNTDGIDPDSSMNVCIEDCYIESGDDLVAVKSGWDQYGIAMARPSSNIIIRRVSGTTPTCSGVGIGSEMSGGISNVLVEDLHVRDSAAGIRIKTDKGRGGYIANITISNITMERVKVPIRFSRGADDHPDEKWDLNALPKVKDISISNVVSFNSSKAPLLEGIVNAPFEGIRMENVSILGLQPSASWTCEFVSGSSDGVHPPPCAELQNNLSS >KZN10435 pep chromosome:ASM162521v1:1:35684857:35685888:-1 gene:DCAR_003091 transcript:KZN10435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTTQLYVHIDAIVAHRPGLSTSSCFCRANFINQKLRGTVKFSSVKAKATNSDQDTKKNSVICGDCDGNGAVLCSQCKGDGVNSVDHFNGQFKAGESCWLCG >KZN10397 pep chromosome:ASM162521v1:1:35307872:35310189:-1 gene:DCAR_003053 transcript:KZN10397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKFNLVLVILVLKVISFHGVSCGSSFRQVEKKRNNLKTYVIKSIQSEDGDIIDCIDILKQPAFRHPALKNHKIQMKPNFNPKTKVTERETTQWTRKEDFERIIVTSQVWQRNGIACPNGTIPIRRVQKFKKLRKNKYGRKNPTYQVMQSTDTLNLLLANHSTAVLIAQGFKYTAGKCDIIVWNPNVESDDEYSTSHVSLRHGPLHAYEQIESGWAVNPGVYGDRQTRFFAYWTADSSNKTGCFDHTCPGFVQTNNEIALGAAICPISKPNGLPYKITIYIYKDFITDNWWLQYGDNINIGYWPPELFKMLWYQANVVQWGGEVYSTRVGPVHPHTATAMGSGQYSDPTGHWIRSGQITRMRVQENGHELKFPEYVFPYSEEYDCYDQIYVSDYIDDPEFYYGGPGRSWRCP >KZN11142 pep chromosome:ASM162521v1:1:42090405:42097213:-1 gene:DCAR_003798 transcript:KZN11142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQEAQIYAQENGLFFMETSAKTATNVNDIFYEIAKRLPRLQPAPNPSGMVLMDRPADRTASATCCS >KZN10558 pep chromosome:ASM162521v1:1:36945607:36947455:-1 gene:DCAR_003214 transcript:KZN10558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVSTNGWYKNSVTMLQSKNLQSMNWSNSFLVSWYDSRLSARMLCSSSTAAAFDCTGKKQKQRYNKKLNIVTNELGGQYEETFNDVKLITLNCFTYKAVRTVLHQLYEMNPTEYKWLYNFVAENDPRDGKKFLRALGKENQDLAERVMITRLHLYGKWIKVCDHGAIYKEISDENLELMRERLLETIVWPSDDKNAGKSE >KZN11460 pep chromosome:ASM162521v1:1:44848673:44848876:1 gene:DCAR_004116 transcript:KZN11460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKQRQSSVKRLLPTTSAAFYVRICFLYTPTVVFIKGTKSVYSQTQNRTPGLHSRTPRQLINSLEF >KZN09533 pep chromosome:ASM162521v1:1:26751432:26755169:-1 gene:DCAR_002189 transcript:KZN09533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGNDHENQSNTQLREKIFVYLERFYCSIIEKTQSSGNILVQFWVADIVTRAKGRQYCELRTQSQLFYHDQSDDRRLLSYRNHVVARSFRVSAGQEEDEGDDENWQELLGITGSVFKNKKAEVSPRISHYSTDEYPERDFALSCGIQASLCLPLFATDDFSGHPNGVIELVFTSEEDFHHLKPYIYYYYSVHSTLRMPEVKSILRSNISCISISPDILNEIDHVLAVVSETFQVPLAQCWLSRDHSVGEYTVINQKGSLDYNNLVPWSKFKEACLQSYLDMGDGLVGRTFMSHTAFFCSEITKLSIRSYPLAHYLGNCGSVDCFTMCLHNYTTGDKDYVIEFFLPHEQMDSQCPESLLNSLLETLRQHFKTFVLASGEKLGTEMSVKVIDSFMYHESESFKIVCPNLSFPRQKIQQPGRGVSWLTPSAWKLQWDTDTNFLLSVPCLSPLGSPKRLDQNVQVIETSGSSNSFSEDVSKTEIPTRMKINRNVLTEESPGEESQPLTFQSKKTIPRQEGKEGTQLLDPLAQQLVLDDALQSQKKGLALGMENFNTDIHIVNKTRIEVTSDRENNSEESISFENLRKHFGRPLDDAAKSFGGNRSTFKRVCRDHGIKRWKSGKRRMGKNISSKLEGELNDEESSKRNFSHSGMAPVEDRTVVAHKIQDTNKMTVKATYNGVTIKFELSNLSGITELQDKVIERLQVERKCFSIKYQDDEGDWILIACDKDVQECIEISRSLKKTTIRMAFPSSNSASCVLLTTSNACYEHEKLYGRYVLDSQIYCIILHVIGLLLKKFIVNYFPTQLNVAFFNITPLRARENGNRAIVGIFGIAASVLLSAVQLKYQARTDSPFQDHPKAMTIAIASLLIFCFGCYVEQYLSSTRRSSTSAALLHHFVRLLGFISLAYLASVIFSTSTSSIPSLIVFLIFPCFFSARFVFHWIRYRNLHGNRGAYNAPNSYFNYIDTLPVYHIRSPNPV >KZN10968 pep chromosome:ASM162521v1:1:40713095:40725327:1 gene:DCAR_003624 transcript:KZN10968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMKTIEDLIEEAKIRTVWWALCIFSVSYFLTHTSKSMWMNVPISILIVCGLRILCNEVEFRWKVRNIRRQTYLSHLEKKQLSVNDSRLSNSPPPPKWKRKIDSPVVEAAMDDFVKKLLQDFVVDLWYSDITPDKEAPELIHAVVMDVLGEVSGRVKEINLVELLTRDIVDLIGKNLDLFRRNQAAIGMEVMGTLSSEERDERLKHHLLASKELHPALISPECEYKVLQRLTGALLAVALRPREAQCPLVRCIARELVTCLVLQPLINLASPAYINELIEYILTALKDVSIKQIGLGQSPNVEGHKGDHVITGDQHHVEAATNIATSSQSKGTDMTLSRYETAKESVSDGSENSITNTSHNEAMHPRSAEWARVLEAATQRRTEVLMPENLENMWAIGRNYKKKVQKLAAAGGQSPLIQGSDSSNALSTNLDKEISAQKAEAFTRMENQPLTPAHSRPPIDSRPSNQISSATLNTPTLNKVVSLKGNRIVNESENSTSSIASGNKNKMTRSNSTPDLNTEPVMKTDSICKSGGSVISEFCDKDLSSTSQATNAKSALNMVSSSEGQQAVKLRCRVVGAYFEKLGSKSFAVYSIAVTDIDGITWFVKRRYRNFERLHRQLKDIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSFGKSPSMMKSLAVNVDDAMDDIVRQFKGVSDGLIRKVASPTSVPEPASSAYGRNLSLKEDEINKLNLRRDTADSINSFSDEEGDKSTNLHGKDSGYDIQTNGWHSDNELNSKEFPPRVVTRSDDFRNISSEEKAFSTLQSELSSLRASPGVKSPLTPGQLDDPIGVPQEWTPPNLSVPLLNLVDNVFQLKRRGWLRRQVFWISKQILQLMMEDAIDDWLLMQIHWLRRDDTIAQGIRWIQDVLWPGGKFFLKLNIRSKADDNETNDISSQSTSLSASSNTSKPGSFELQLEAARRASNVKKMILSELLFPLMF >KZN08787 pep chromosome:ASM162521v1:1:15387716:15389028:-1 gene:DCAR_001443 transcript:KZN08787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVDCIPRMLKWSSEYNCKFEETYQTLSLTSSELQLRNISPTKKEIEDFQLEDTVHIDAHIFNHPPHQIGVLLRLLIYLIPLEMENKLAMLTSEVDDLNKTIGDMRSHFDLEFSKLRAIVEKQIRDEVGVGEGRKEVLVDGTGGPNVVEEGEAATMSETELIDLDRTIKMAATINQDHDVNMNDDSFLEYIGDEGWKSLSQCGFGNSTVADADT >KZN07945 pep chromosome:ASM162521v1:1:888546:890140:1 gene:DCAR_000614 transcript:KZN07945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSQNKNVGMLRNSSMTLREESLGKKETNVSAKSMLKVQHFKNVAKWASVEASVPSLGAFFGHRLADITEELGVPGDPALFTCQRCESILQPGSNCTVRIENNRAKVRKRKKSKALFQNNVVYKCHFCSHSNLTRGTPKGHLKELYPPKDKAPPKLKCANSILVKAPISDSLEDSAGHEKPEAASGRDIMASAEVAMSDVPKDDLNDPVTPVARTGMTLLEARSKKRNRSKSKKATESQISSTEAGETVSASNKRKRKSWTSLKEIAESSNNSNRRNLTELPIPFFI >KZN08481 pep chromosome:ASM162521v1:1:7571275:7571682:1 gene:DCAR_001027 transcript:KZN08481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMLIQFNRTRLDIVEMVFFPICAFEHFYLISYNIKNAAYEIIDNIDREIDAQICYGDKPRILTTWNLRVSLI >KZN11645 pep chromosome:ASM162521v1:1:46633499:46634617:-1 gene:DCAR_004301 transcript:KZN11645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPADIVADILSRIPIKTIVHCRGVCKRWRNIISEPYFVNLHLLRSPEGLIVHQMSGTRESDILKLGELDDQSDQHDIHHDPLMKFDLALGFEYSVLCLQGSVNGLICLWHYSQADATYICNPITREYILLPDHKYIRKSYALVTYGFGFVEASNQYKVIRFYQGSFPSAEGPYRSECEIYTLGTGTWRSLGPVSFSLGGRQNGIFVNGNLHWLAYDENDNTNEWVCTFDLEKELFQRTASAPRAGENLAYRSLGMLGGCLSICDNTSDSDIVIWVMKHYGMKESWSKEIIIPDDSTGLLYEIVHTLKVFKDETILMLFRNDFLFTYHPGNKTLQILDNFQRGVFDTFDAMVYVPSFITLRSFTSEKVSVF >KZN10523 pep chromosome:ASM162521v1:1:36582094:36583741:1 gene:DCAR_003179 transcript:KZN10523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCVAVVGHQNNPLYIQSFTDADDALKLHHIVHCSLDVIDERVNNPKKSGPLLNETFLGLLYPADNYKVFGYLTNTKVKFILVTTDVDVRDASVRNFFRRFHSAYVDAVSNPFHVPGKKITSKTFAGRVSAIVSSFGSSTTTD >KZN08569 pep chromosome:ASM162521v1:1:10604300:10612178:-1 gene:DCAR_001099 transcript:KZN08569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQVHGDESVLLLISHSNMKSFAPEVRFSLQMTIEAVKDKLWRKCGTSVDSMCLELNDHNGTKISNLSENMRPLGFYSPQDGHRLHIIDLDPSSVTSGGWLDDTSQVEKYTISEEAYDKLDGTYRKYKEKFAHQKPTTQVPKIPENYMEDLCTNMKIGDRCQVEPGDKRGVIKFVGQAEALGPGFWVGIQYDEPLGKHDGVVKGKRYFSCPPRHGAIMRPDKVKVGDYPEQDPFDEDEI >KZN10149 pep chromosome:ASM162521v1:1:33022177:33032135:1 gene:DCAR_002805 transcript:KZN10149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTELEEGEACFYSSNRVDSSLDPDVALSYLDEKVEHVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPVWSHPKTPPNVQNNNAPKSPTSLPLEGAHVNSVSSSASLSARHGSSAVGMGTLPLARGSSVDEYVKREATMPPTNVVNKSDNQSDQKTIKVKIKMCSDNSSTKKNAEIYSGLGLDVSPTSSFEDSPADGELARESKNSPDKSPASILEIMTSSPLHGSLMLSPLHNDLNSMCEKELLFQEGRSAPIRHRSSQEGSLISGNGPHSGRSDRKLVAMKKPKTLEKKAFRMEFKNNNVSNIQNSVELQVKKEMDADSSVCDELVSNALRLPLLSNSYGSVADSAKGTPRVDDISRAVKKGGMKEEFFSHLEINEPQEPTVIQENGVVGKAVSGTKVSEFKKTNSYDDGSRCPEKGGNLKRETIDDSLKVDINMSKVREGINPERMDHAKQSGQKSLSSVDDDMKVCSGKENLSSSSKRISKGSHSRGAEITTEVQNGSTKGDVVSAPKSRKTANLNAHMPTSEVEDIKQDLGKPKDRYKDFFGDLEMGDNDIDEDMPSISKTTNCPVFEKGNGKSSSVLKDQSSSKKIDQPSTTEAYARASSSLVPPTGNRFSSDAAAPLVPLVKEDWVGCDKCQKWRLLPAGKDPKSLPKIWLCSMLDWLDGMNRCSFSEEETTKAVLALHQTFAPGLAPAPVHEGQSSLNRYSGMASSGLVDSLQVDQSLQDIGGKKKHGLRDVLNASSHNGSSPYSSSKKKIPHASFKNQSFNGENRSPSQHEVDFQLSGQSSGLVGQKQRHKRKDKSKPHATPGEGDTKSLKIRNKRENNQEFSKASKKLKASSDHIEEEWKSDNGGAALKVGHSSSSGLSIKKTGKHRQKYDDHPKESKRDLKVSVRNSEDRTQFPSDERLLHTEYIDGDVKKRKKINEYHDIQPYTTSHITEGHRPENHRDFMEETSESNHREEKKARVSKSGGKERSMSKGSGVDKKSRSSKNQQTEVALENGLFDRSMDDLVKKDVRSTQPPVAATSSSSKVSGSRRSKANIQQEVKGSPVESVSSSPLRVCNQDNFTSNRGDLKGKGDSKEDILATSSPRKCLDGEDGVGSDQSRMLQKNVTITVKNRGSMVSSMPDLQERGQCQISGRNAAAEAVSSSQFATHHVTDPLQSNQYPLVSEKCSNDESGKMNQYHNNGSRRKSGKGSSSRSKDNGRGSRSESEKGSLKAAFDSNGYIDHSSHDENSKARSKLQDKLGINSEKTEKDIFPKNDPAANSSTESGKRETQSKWVPLDSSDKRQVVSNHDPKLNLPMDGNSEKSSKRFSSDKTGRVDASGKGKSHSLPPSGRGQNETSRWPQPINGIQKDNGINLSAASTSEGDDALNAAKQIKKLESQSGNGKQPINTKNPINGHKGREIDAPSPIRRDTSSQAANSAVKEATDLKHLADRLKNSGSTLESTGLYFQAALKFLNGASLLESGNSENAKHGEMIQSMQVYSSTAKLCAFCAHEFEKIKDMASAALAYKCVEVAYLRVINSSHSSVSKDRHELQSALQIVPPGESPSSSASDVDNLNNPATMDKVALTKGANSPQVTGNHVIPSRNRPNFVRLLNFAQDINFAMEASRKSRIAFVAANSREETKYREGLPSVKKALDFNFQDVEGFLRLVRLAMEAISR >KZN09967 pep chromosome:ASM162521v1:1:31236298:31237666:-1 gene:DCAR_002623 transcript:KZN09967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIVNESKKRRLPLWMLGVSGADQVKKSRKGDVLNVDKKEKGGNSGECKVKKSRGARSAQCDNEVAAPCEDFLDDGESNVVVKRQRVTRKRKDVESINSDAEEALIEKKSKRNVGRKTVQKSASSRREKRKSKGFESTEDVEAESKDLDGCDDIEAASPNEDDVDLTMDDLISIANEFVKDEKDRGQQEKSDAEQILHKQCSPTDISINERADSVATSETVKILPAQEETASCHYVSSTGDPAQDMLDLLLGPLLTQPPKKEKLDVITDEMIAAHRLKKQNQKLESTDAVIPLAKKKTSLRDQVAMFLN >KZN08347 pep chromosome:ASM162521v1:1:5478612:5480701:-1 gene:DCAR_000893 transcript:KZN08347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTANSLSLHIPPKFKPNITQKSQSSVYYFTRRPKKYSSLFPVARLFGPAIFEASKLKVLFLGVDEKKHPGNLPRTYTLTHSDITSKLTLAISQTINNSQIQGWYNKLQRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLFARLRFFIFSKELPVVLRAFVHGDGNLLNNYPELQEAMVWVYFHSNLPEFNRVECWGPLIEAAAPSSGFRVRANQNEESPSSNWNLPQPCEEACSCCFPPMSSIPWPRQLSNPVEQSSDEAIQSLPQEP >KZN08217 pep chromosome:ASM162521v1:1:4057194:4058902:1 gene:DCAR_001282 transcript:KZN08217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIANAYRDSFSPEKQFSGSHYVQQVQKMQVFKESFAQLQSTSPSPSPIHGGTLTASENFPSDGYLIDLSDPSSSGLEYYYEYVTLKDLMLDSNFRGGGIQVIKSLRTGIDNCYITHFNSFGIFVQGGHETYIRNSFLGQHITAGGDPGERSFSGTAISLNGNDNAVTDVVIFSAATGILLTGQANTISGVHCYNKATGFGGTGIYLKLPGLTQTRIINSYFDYTGIVAEDPVQLHISSSFFLGDAYILLKSINGIARGVNIVDNMFSGSGKGEDIVQLDERNSKFTNIDQVIVDRNNARGMNVKATVAIGTKNANDADDTSWTIDLNQALLFPDRVKTVHYSLIAGGDAFPNHALRNVTDNRIVVQSNVPVRANITVIVDQ >KZN08963 pep chromosome:ASM162521v1:1:18211970:18214272:1 gene:DCAR_001619 transcript:KZN08963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFNSQQLSLKTRPIFNAPNTITDLLGDVCNISDQIDKLNDIIQRLQDEIIKIDAFKTELPLTVLFVNDAIVALKEEIMQLATPKQIRELIQVDDLTNDEVKSHLQKYRLHTRKVPSGTTPTPANGPAASALGRLWSCKDQYSESAKQSMSQSGSPQGPLQLTETTGGTSTVKTDSMDDDEDDKSQSYCCKTWIQTSINSDV >KZN09183 pep chromosome:ASM162521v1:1:21403361:21405445:-1 gene:DCAR_001839 transcript:KZN09183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSPSVFIRSLFYLSTIHFAATLEFNLTRFSPNSDDIIYDGDAVPANEAIQLTKNLQDESLATSIGRVTYKKPMHLWDKASRNLTNFTTHFSFVIDSQDRTTYGDGIAFFLAPNGTKVPERAKQGGSLGLTNDDEPLNTTVNKFVAVEFDIFRNSGWDPENVSEHLGVDISSVRSEASVPWLNSSSSIKKGWENEAWISYDSSSKNLSVVVRTSLNSTNSTRNQSLHFVVDLREVLPDYVSFGFSAATGQLLSINRINSWDFYSSLESDDDVTGPTGPGGPNPSKTKGNKIGLVVGLAVGGSVLCASALGIYLILRKKKREEDEDDILVEDDSMDGEFEKGIGPKRFSYNALAQATNNFALKDKLGEGGFGGVYKGFSEELNCYVAVKRVSRDSSQGIKEYASEVKIISRLRHKNLVQLIGWCHEKRNLILVYEYMQNGSLDFHLFKGQSLLSWRVRYNIAQGLASVLLYLHEEWEQCVVHRDIKSSNVMLDSSFNAKLGDFGLARLVDHDKGAQTTIVAGTRGYMAPECFITGQASRESDVFSFGVVALEIACGRKPIDPKVEESQRELVKWVWDLYGLEQILEAADPKLSGDYDEQEMKRLMIVGLWCAHPDSTIRPSIRQAMHVLNFDAPLPNLPPKMPVATYSAPLNYSSVSSGYGLSTTQSSQTQNSGHSNDTGSSVSASAALLHNTR >KZN10664 pep chromosome:ASM162521v1:1:38049097:38050707:1 gene:DCAR_003320 transcript:KZN10664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSILCSFILLIVFSSFSLQTSASFSRQTSGDSAQRFVQCLTRYAKNSESITQVVFTPANASYNPILQLNLQNLRFNTSGTRKPLAIVTPVEDTQVQAVIYCARKNSMNVRTRGGGHDFEGVSYTADVPFVLLDMINYNRVNIDLKTSTAWVQSGISLGEFYYKISQTSDVLAFPAGLVSTVGLTGLLGGGGYGMMKRKYALAADNTLDARIVDYNGKILDRKSMGEDLFWAIRGGDPASFCVILELKLQLVPVPKLVTYFAVQRTLEQNGSALFQKWQSTAVNVFPRDLDVRVVVDTITSNSSAREDKKTVRFIFQSLYLGKIDTLLPIMKEYFPELGLVREDCVETSWIKTAPMFSFFPVGTDPKILLNKTAPTRNPVKIKSSFTTQPISLEGLNGIWDLWLKQPVQTTLIQYTPFGGKMNEFAESALPFPHRPGVLYMINIAVTLNQNAEATLQWINDLFKYYTPYVTKNPRTSYVNYRDADLGTGSKTYQEASIWGRKYYKNNFDRLVKIKSVVDPQNFFNHKQSIPLLM >KZN11511 pep chromosome:ASM162521v1:1:45309514:45310689:1 gene:DCAR_004167 transcript:KZN11511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYNSNYWDGYTGEYQDSSYNSHDVVPFHFSQSYSDFEPQTNYYNDSITYDASNQVEYSGFYDPCLVNCSGMNYSMHSFTEPAVVEYDPPTYQTQYFISYKTVTFNESNNEVYDPTPYDGIYDEYDPTPYDGGYDQTVTYGKPLPPSDQICYPRSSTSPAGLSLEGFSYNSIPSPYGDHDSGSSSTTKALEDGKSADGGGGKESNAENEGTLVTSTNFDEEKESNGELEIALVENYDTGNGRDIEGFNSGHDYPWIYYDYGYGDGRIEGYEKYGYDKQVVAQTQHGYGSDGVMNYCESILGYWPCLAKKNKKTNELAQENGNTKGGSNLPKETDLCKTAAEYIFGSSVGCGEASDRDGIESYYRQQPCYGQEMYYEKCDENSWIQKFNIF >KZN07893 pep chromosome:ASM162521v1:1:504414:505833:1 gene:DCAR_000562 transcript:KZN07893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGTEQNGMFSRRCVWVNGPLIIGAGPSGLAVGACLKEQCVPFVVVDRADCLASLWQNRTYDRLKLHLPKQFCQLPKLPFPEDYPEYPTRKQFIQYIESYADHFGIKPQFNESVESAKYDEAARAWRVTTVSTRGSVRSEVDYICQWIVVATGENAEPVIPEIAGLGDFGGEVIHGREYKSGKDYSGKKVLVVGCGNTGMEVSLDLCNHNAKPSMVSRSPVHVLPREIFGKSTFDWAMMMMKWLPIWLVDKILLTLTWFILGDLEKYGIKKPSIGPMELKIKDDGKTPVLDIGALEKIRSGGIKVVPGIKKFSKTMVELVNGQELEIDSVILATGYRSNVLSWLQETEFFSKSGYPKTPFPNGWKGKNGLYTVGFTQRGLLGASADAMKVAQDIAELWKEDWNKELKQKKPNV >KZN09028 pep chromosome:ASM162521v1:1:19236169:19240749:-1 gene:DCAR_001684 transcript:KZN09028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGNNARYVATELASDIVVSVGDVKFYLHKFPLLSKSARLQKLVATTDVGNGNEIDIHDIPGGPAAFEICAKFCYGMTVTLNAHNVVAARCAAEYLEMHENKEKGNLVYKIEVFLNSSILKSWKDSIIVLQNTKSLSPLSEELKLDTHCIDSIALKASVDVSAVDWSYSYNRKKLSEESANDTNWNGVRTKLVPNDWWVEDLCELGMDLYKRVIVHITNKGLVSHEVIGEALKAYAYRRLPGFSKGIQSGDLSKTRTIVEMIVQLLPSERSTVSCSFLFKLLKAAIWVDSADLTKDELIIRISQQLEEASVSDLLIKNRSEETLLYDVNVMHKILDEFKIQEQNFGADAAQDYKIQEMKRPGILSEASKLMVAKLIDGYLTEIAKDRNLPLPAFIDLAEVVSSYPRPSHDGLYRAIDMYLKASALQDFNSVVDVKSYGTLSLGKIMLCNFSPEHPGISKSERKKICKLMNCKKLSADACTHAVQNERLPLRVVVQVLFFEQARAAASSGCSTPDLPKAMKNLNNGPHGSSRSATTNTEEDWDAVATAEELLALKGEIAALRLGNGIERSNSRDSKQYGDKAAISKMKRMFMSKRVFSKLLSSKSRQTENSGSDSSGSLGSAIPEEGKSTPSRKVRHSVS >KZN09483 pep chromosome:ASM162521v1:1:25822030:25825182:-1 gene:DCAR_002139 transcript:KZN09483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAGFCQKACEERFCFTGFKRPAPRLCLSILELNHIMNALVIDPLQGDFPEVIEEYLEYGVMKCIAFNRRGTLLAAGCSDGSCVIWDFMTRGVATVLKDNDCVAAITSVCWSKRGHHILVAAADKTLTLWDVVKREKVVQVTLQQSALQARLHPGTSTPSICLACPLSSAPMIVDLDTGKETTLPMSLPETNGTTAPPSRNKFSDGSAPFSPTAACFNKYGDLVYVGNSKGEILVIDYKNNQVRGAVPISGGSVIKNIVFNRSGKFLLTNSNDRTIRIYENLLPLKDGVKALDEIIETLKDLTEVEKLKAIGSKCLTLFREFQDSITRVHWKAPCFSGDGEWVVGGSANKGEHKIYIWDRAGRLVKILEGPKEALIDLAWHPVRPIIVSVSLTGLIYIWAKDYIENWSAFAPDFKELEENEEYVEREDEFDLIPDCEKVKQSDVAEDDEIDIMTVEKDTTFSDSDMSQDEVLYLPVDISPDVPEEQDKCIGTGDSNHSGSPLSEDAEQNGQVGKYASSPIDAMDNSDNGGMRLKRKRKPSEKVLEQQAEMSKKPVQKKPLGKLEKKSKPGVDQDSDVSFN >KZN08305 pep chromosome:ASM162521v1:1:5101943:5103645:1 gene:DCAR_000851 transcript:KZN08305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQENLINVSDAWLWLGNFGNTNIRACILMTILVFISWFAVFIKSKKTSHPLPPGPRGLPLVGNFLSLDADNLHICFANLAKTYGPVMKLQLGRKACIVITSPSLACEVFKEQEINFINREVTAAGFEASYGGTDIVWTPYGPEWRMLRKVCVHEMLSNTALDSVYSLRRREIRRTVQYIYSQIGSPVNVGEHMFLTIINVITNMMWGSTVKGEERVVIGTKFRQVVNEITQLLGMPNVSDFHPGLARYDFQGIQKKMKDLVKRFDDIFETVISEKQKTGQEGIRDFMECLLKFKSDPDAKIPFTMTHIKALLMDMVVGGSESTSNTMEFALAELINKPQLMQKVQEELQTVVGDDDMVEESHIHKLPYLYAVMKETLRLHAPIPLMVPRCPTETSVVGGYTIPKGARVFINVWSIHRDPSIWERPLEFDPERFLDDKWDHSGKNFSYLPFGSGRRICAGITMAERMFLLSLASLVHSFNWNLPQGMKIDLSEKFGIVLKKRIPLVAIPTPKLSKLELYQ >KZN10156 pep chromosome:ASM162521v1:1:33110246:33110596:1 gene:DCAR_002812 transcript:KZN10156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPVFRFTIFSTLVLTAIIVSSSGTVAGGGDVWTPMRPACIGSVGECMMGEDFSMDSESNRRILATTKGYIGYDALKKNTAPCSHKGASYYNCQQGAEANPYTRGCTAITRCRS >KZN07907 pep chromosome:ASM162521v1:1:586822:588333:-1 gene:DCAR_000576 transcript:KZN07907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGCSFIAQSFLLLGDCLPSDVVQKEDYGDDYDYWSNLPPDILEVIVGKFSYLRDYYRFIAVCRSWRDSLSNFKKPCLPLTEYPFLLLAEDVAPGAMLEYDPSQDYSEVEDNNDEDNDSGDDEGDNDVSGGDDEEEDSSDDEDGSDNGDENHEEDNSGDDEDNDKIDYTKAFKYDHNKISVGSRRGFYSLLTGKTYYIDLPEAAGRLIVGTNKGWLVTLGRDLQMNLLHPLLNYQILLPPMLTFPLQMPYDEDYGPENYSDMFISKVVVYSSVLNVNSAFGLYPRHACPSPYVMAIYGDYYKLGFARLGDKVWTDVCVPAFNFSDIVYHKGNVYAVNCHGNIFVCGCDGDEEGRHIRGREIAWLESKDWEKKYLVEPTSGSGLLLLVRYRKELRLKYRTTHFSVWRLDLKYSDSLKDITCSLKQEKELGKESIFVGNASSTAVSSSEIMKPNCIYFTDDNKEPYYHEGGGHDMGIFSMEHHTIEPHFQGKSYHPVSPPLWYI >KZN09776 pep chromosome:ASM162521v1:1:29238716:29243662:-1 gene:DCAR_002432 transcript:KZN09776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEAIEQVKYIENDGNNGLEDLEQPFLEAKTAVHSDDEKTASGAIWVVLFSTLVSVCGSFEFGSCVGYSAPTESGIREDLDLTLAQFSMFGSLVTVGATIASFTCGAVTDFIGRKGGALPLDIGRFLTGYSVGIFCYAVPVYIAEIAPSNIRGALTTLNQLMICIGSSLAFILGTIITWRNLALTGLVPCIVLLVGLFFIPESPRWLAKVGLEKEFEVSLHRLRGKNSDITAEAAEIRANVQRLKKLSKAKISDLVEAEYIRSVIIAVGLMIFQQFGGINGISFYASDIFVESGLTGNSGTLTYAVIQVPVTMIGVVLIDKSGRRPLLLVSATGTFFGCFLTGTAFLLEGQNMLLEWVPILAVSGVLIYVATYSIGMGAVPWVMMSEIFPLHIKGLGGSMSVLIKWLGAWLVSYTFNFLMSWSCPGTFFVFAGVSALTLLFVANSRVVPVYLCGWGLFAMPHELCSSIFDSTGRAFWILIGLGSITDLGIMLLVGIGGSSGTVAGERYCNHGNHPDIIQSADVSNLALMFLLIACDREAVPLQMAFIYAVYQFRGGVAVLGIKISFESVCLLVHSIAFSTTCCGNCRHTGVQSNPFSKLHEEDGLVREEQERVEKEQEELKEKLPQRRTRRPIQRAKRKHFEYEEDSSEIQDQASHQQ >KZN11746 pep chromosome:ASM162521v1:1:47815241:47815588:1 gene:DCAR_004402 transcript:KZN11746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYRTNSYGHGGLQMERYYGARSTTANPHDFRSYSASAYTSTYTAPSSTRNKEMQFKKGTSVNGTASRSSIFSDPEFQRKRRVASYKVYSVEGKVKGSFKKSFSWLKNRFYEWF >KZN11350 pep chromosome:ASM162521v1:1:43882118:43882675:-1 gene:DCAR_004006 transcript:KZN11350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDIKPNDFGEGSSRSVTEQNQQLVSAQPAPAPLSRYESQKRRDWNTFGQYLKNQRPPVALPQCNCNHVLEFLRYLDQFGKTKVHLLGCVFYGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPEKNPFGNGAIRVYLREVKECQAKARGIPYKKKKKRKLPTKTNDQDQVKFAKQTT >KZN11761 pep chromosome:ASM162521v1:1:47917649:47919418:-1 gene:DCAR_004417 transcript:KZN11761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLHIPLVAFQEMKDSNSKCEDTNVPDLKTAKKVIEELGYPFQLQQLINNGDREKFNQYLYAVVEIQQSINSGLITGYETHGSRAIKSLHLVFRGILDCSISANTSSDPSSSSLYSSGVPSTYSYELQGRNRTFEYELSSEKIYYLRSIVEKLNAIECLGDCIDVYKNLRRSAVDAKYQWFRIGNWTTTDLKKLDSRQFAAKINIWIQVAKIFYDGFFVGERLSFEQIFKGVTAATYHNCFVPIVEHVAVELNNFASAVSSIASFQNLFPVLDLYSALDVILPDILIMFSTSWTINISQGAINIRRSLLTLVGKLLCSFEDTVQNELSTALLPEGTVHFLTAYAMEYVTKISLHKELLRYMIESPTKSLGNQADDQFLNSAGGTPLALRVVWIIISLRINLERKSSHYRDAWCRYVFVTTNVNYVIKTIKSSPELLDLIGKEYSSKLSTYIAQARQDYSSTIWDRVLYCLRDDGLKHKFLFYNRISRNSVKNRFKTFNTTFEEACRSQSRLFVPDIDIDSQFHMLILSKLLPAYKVFLEKYSSHLQSEKYKERCIKYSSEDLQSKIQTLFTEKLIVHNGTEENLMIP >KZN11247 pep chromosome:ASM162521v1:1:42983713:42996312:1 gene:DCAR_003903 transcript:KZN11247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMLIDCVQNSLRHLLYRNAIFMCERLCAEFPSETNSQLLAACYLQDNKAYSAYHILKGTQQPESRYLFAMACFQMDLLNEAETALCPASEPSAEVPNGAAGHYLIGLIYRSCVEVEGLRCYLKFLLFRYTDRRRSAVHHFKQALSVDPLFWAAYEELCVLGAAEEGNAIFGESASLYVQKLYSGHAVVSQSMLSSTENKISVSVRNLSPHDPSPRQVKNMHSNTLKDNSGSYHGTALSVGASGQFINGSSANMTFYSTPSPMTAQISAVAPPPIYRNMQANGPHPSLLGNDGSSRSTINTTMQAPRRKFVDEGKLRKISGKLFDSGPRRSTRLAGESGPNTGSSTASSTGNGTSHSSKYPGASKLGSVASRSATFRKGQARGSESTDEGVQQENYDDSHPSITTSSTSSLACDTKSLEEGILMTTGGIIMSESQAITGASDLITLLAILGEGYRLLCMYSCQDALDVYRRLPHKHYNTGWVLSQVGKAHFELVNYLEADHAFSQARLISPYSLEGMDVYSTVLFHLKEDMKLSYLAQELVSTDRLAPQAWCAMGNCYSLQKDHETALKNFQRAVQLNPRFVYAHTLCGHEYVALEDFGNGLKSYLSALRVDERHYNAWYGLGMIFLRQEKFAFAEHHFRKAFHINSRSSVIMSYLGTALHTLKRSDEALLMIEKAISADKKNPLPLYQKANILTSMERFVGALEVLEELKDYAPRESSVYALMGKIYKRCNMHDKAMLHFGLALDLKPSATDVATIKAAIEKLHVPDELEDTL >KZN08249 pep chromosome:ASM162521v1:1:4295277:4296582:-1 gene:DCAR_001314 transcript:KZN08249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQMLSGMVKELTGGYKIGYHANGFENEAIEIDFSPPFRRVDMIEDLEKMAGLDIPKDLASDEANKYLAEAWAKFDIKCAPPLTTARLLDKLVGHFLEETCVNPAFIIDHPEIMSPLAKSHRSKPGKTERFELFVNKRELCNAYTELNDPVAQRRRFADQLKDRQSGDDEAMALDETFCTALEYALPSTAGWGMGIDRLACC >KZN09762 pep chromosome:ASM162521v1:1:29126000:29139037:1 gene:DCAR_002418 transcript:KZN09762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLAGCCASLTCGLCTSVASGITKRSARLGYCGLFGVSLVLSWVLREIGAPLLKQFPWINDSESYSDEWYRTEAVLRVSLGNFLFFAILSLIMIGVKDQNDTRDSWQHGGWMAKIIIWALLIILVFFFPNALTSFYGILSKFGAGFFLLVQVIILLDATHTWNDSWVAKDEQKWYIALLVVSVSCYLAAFVFPGLLFIWFNPSGQDCGLNVFFLVMTMILAFSFAVIALHPKVNGSLLPASVISVYCAFVCYTGLSSEPRDYECNGLNKSKVVTTGTLVVGMLTTVLSVLYSALRAGSSTTFLSPPSSPKSGERTPFLEADELESGNKKNDKEARPVTYSYMFFHMIFALASMYSAMLLSGWTDSAENSDLIDVGWTSVWVRICTEWVTAGLYIWSLVAPLIFPDREF >KZN08265 pep chromosome:ASM162521v1:1:4620218:4621472:-1 gene:DCAR_001330 transcript:KZN08265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYTERAHFYHRYKIVNMLQGSENMTTTVLLSCFDMLRVAYRELILWVLLEPEWAGFGYDWWQFS >KZN09546 pep chromosome:ASM162521v1:1:26899843:26905459:-1 gene:DCAR_002202 transcript:KZN09546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLGEIRTKLQDESEVGPFLSTAHCTIKSVNKLLVSFIHENVYVRIEDTSDGAFLNFLKMAYGTIQALSARIEHIFPSLIDSDERIHKEVIVLIDKDLISTFKCLLEIEWEVFGDELESLWLMMISHGASGHLVMDKSEQSLLIPGIIQLGVQVISLYSELRQVNIAVFTLCKAVRGLLSVGDGQMCYSNVWKPSVYCEERAKILRIVLCSHEFRLSIYNAIKFIPEGQVSGFIQLLTADVSESLVWMEGDCSTNARTKPGELGSDNCSFPCFKLKAEVLGRSLSELYSLILDSVTATTGNSTLVGVTVKDLIGEIRPNMQCLVGVQSDSVYSFMSTLTGRTITMEDECKHEQQVSDLIINNDEEVSNLMHEAQELTSFLVEYIPLDKNQLIANDVKDTELSVQSLPNNDEWDFSISSMNKKSLPTAIWWIVCQNIDVWCAYPAQDKLKDFLSLLIQSSLPSSKNNFSVSGEHVFDKHGHMKTIRPNQISVELFRNTALYEQSARKSLISLKETLSSKDVKSCTVQEMEKISPTISCIQGFLWGLASALDEIGGERCEFKSKSLKLKCDPFLRIRTHIDAYAEFISDFINIMFLEKDHDDVLLLKVSSSKGYGNDPDYNVKQQISQNAKDHLAFKMNDKSNIRQSVLRKHDDRASFFTRIKFQQQYLKKSILLRFLRGEHLEAAYFLRQLFIAYSAILRINLHTIKSEFLSASWNPTFVGMAEVLLLEFADMVELPHELCFVWLDGIAKFIEELGRQLYTAETTLTKDEATSTKDEATSTSSTTSTRDEATLTKDEAT >KZN11113 pep chromosome:ASM162521v1:1:41835725:41835976:-1 gene:DCAR_003769 transcript:KZN11113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSRIRPYTSNGKVIDLANCLGLGVSTAFFASLQCFSCMHLNTTDDTDDEEDDEEAHDRPLMLTVLPSLSVSSSARATKFV >KZN09860 pep chromosome:ASM162521v1:1:30083208:30083768:1 gene:DCAR_002516 transcript:KZN09860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSKIICIFLVLCLINLPVNHSQVGAPPDDYITYICLQTIDPSLCVSVLYNLPNTTHADLPRLAELMIQQPFLKGLGVLQDIGTCIVTKVNDTVLSSYCNLCFGDFESALFRGLRPATAQIKFRRNFYSIKPLVKIATDSITHCLRAFTEGTNVSDYRNPTFGERAYIVRDMTLIAGNMLSLLQG >KZN09931 pep chromosome:ASM162521v1:1:30888998:30892391:1 gene:DCAR_002587 transcript:KZN09931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSSTLEDLLSSLPREEGINSAYSYQYQGFWYGPTLLQGLIDCQQHFHAHENDVFLVTSPKSGTTWLKAILYALINREACSPQDPHHPLLQKTPHQLVPFLELLKPSDYDFVSNSSDNSISRIFACHIPTASLPISIREAGKIVYLCRDIKDTFVSHFHFSNEANVRPSPISLENAFDLFCKGTSLAGPVWDHILGYWKESLERPDKALVVVYVRNKQMNTSSAPRYTDLISSLPKEEGILEPYSYQYQGFWYSPVGMQGIIDCQQHFQAHKNDTFLVTSPKSGTTWLKAILFALINREAYHPQDPHHPLLNKTPHQLVPFLELLKPSDYDLFSNSSNRIFACHISSVSLPKSIMQEGKIVYLCRDIKDTFVSLFHFSNKANFRPSAISLEDAFNLFCKGVTLSGPIWDQILGYWKESLERPHKVLFMRYEEMMQEPHLQLRRLAKFLGKPFSQEEEKSGLPDQIIKLCSFDSMSKLEVNKTGTLVGAISNDSFFRSGVVGDWKNYLTAEMASKLDQITEKKFRDSGLSFTMLPSI >KZN11626 pep chromosome:ASM162521v1:1:46451164:46451601:-1 gene:DCAR_004282 transcript:KZN11626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVHRNIQERDIEVGRNVGNWILRWLDKMKPSAEIRGGQPPSTAKSDTSVKKQLTNLPHQKMPGGHSTCSVKNGDRESGRQLFSSTKSTWRKQFPSITMTMPGVPSQYRHMSTCAPQVFKPNYGGFGSEGFIRKDIMEWMVRN >KZN11770 pep chromosome:ASM162521v1:1:47961887:47962657:1 gene:DCAR_004426 transcript:KZN11770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHENISTSTEGDGSMRWMSSKMRVKRKILPSSNNHSDQINFSDHGNSSTDVVVRVCSDCNTTRTPLWRGGPRGPKSLCNACGIRRRKARKAIALAAHNSIESVSKMDQHSSTRPSKFHKGKKLHTTYHDVTHSRQTTGNSKLSFEDFAMSLVNKKSAELFPGDEEEAAILLMTLSCSLISG >KZN11671 pep chromosome:ASM162521v1:1:46844236:46847817:-1 gene:DCAR_004327 transcript:KZN11671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTRLDLKNKPFGSAPSEESSVDLERNYSKHLDLPSCSPSPLQAVASGGQFSESSAAYFSWPTTSRLNDAAEDRSNYFGNLQKGVLPETFGRLPAGQRATTLLEVLTVRAFHSKILRRCSLGTAVGFRIRRGVLTNIPAILVFVARKVNRQWLQHIHCLPTALEGPGGVWCDVDVVEFSYFGAPAATPKEEFYTELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDQWYGIFAGTNPETFVRADGAFIAFAEDFNISNVSTSVKGVGEIGDVKIIDLQSPIGSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLIGQNGEKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITSKEGLRASIRDQRKASTAGMGSAFEESSAHVRKQLKGCNKKEPLGSIPTEGSSHCRLNPPFMPKEFNIEGSPRSAPSVEHQFIPSAAGMHQHNKQEITESKSLLAASRCEEITISLQLGDPEQKRRKQSDSSLIAKDRN >KZN11040 pep chromosome:ASM162521v1:1:41244839:41248049:-1 gene:DCAR_003696 transcript:KZN11040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPSADVTLTEFVNNVETPCTVSELDEFDFSKFPQQPRLLKKEGDRLGSRLSLDKISFSDLKCFSYQMSSETDSTSKLPHSDALPSSTVKSDSDTTSSAEGHETSPMISEAWEALKRAKLHFRGKAIGTIAAMDSSEEKLNYDQVFVRDFVPSALAFLMHKEAEIVKNFLLKAIRLQSSEKKIDCFHLGEGVMPASFKVVNDPVKNKETLVADFGESAIGRVAPIDSGFWWIILLRAYTKSTGDDSLAEKPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCAQELLKQDAEGKEFVDRIRKRLDALKYHMRSYFWLDFKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPQCGGYFIGNVGPSKMDFRWFCLGNCVAILASLADRDQSNAIMDLIEARWAELIGEMPVKACYPAIEGKEWKILTGCDPKNTRWSYHNGGSWPVLVWLLTAASIKTGRLKIAKEAIELIEKRLSGDGWPEYYDGKTGRYIGKQARKQQTWSIAGYLVAKKLLEDPSHMNMISLGADIPMKLPRRRAASCSIDDKLRRTK >KZN11894 pep chromosome:ASM162521v1:1:49161678:49170098:1 gene:DCAR_004550 transcript:KZN11894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGNIYTRRVKVFTLALVMYLDYKALQKREKWVSKSKRDALWEKLHERNAKRVLKVIIELEGMWVKLGQYMSTRADVLPDAYIRVLTQLQDSLPPRPLKVVCETIQKELGKSMGDLFLNFVQVPLATASIAQVHRATLTDGREVVVKVQHEGIKAIILEDLKNAKSIVDWIAWAEPQYDFNPVIDEWCKEAPKELDFNREAENTSLISRNLGCNDQHDEKVPANHVDVLIPEVIQSTERVLILEYMDGVRLNDLVSLENLGVDKQKLVEEITRAYAHQIYVGGIFNGDPHPGNFLVSKEPPHRPILLDFGLTKVLTSSMKQGLAKMFLSSVEGDHVALLSALAEMGFRFRMDLPDEAMDLTALIFRNSAPTREEDEHLKSYKEKAKLKKESLKLSQKESRRFNPVDAFPGDIVIFSRVLNLLRGLSSIMKVRINYVDIMRPFAESVLQCNIDNGPRLNAQWIYNTPVHSDVEAKLRNLLVELGNANKILGIQVCAYKDGRVIIDTAAGVLGRYDPRPVQPDTLFNVFSATKGITAGMVHWLVDNRKLKLDENVADVWPEFRSNGKDGIKVHHVLNHTSGLHNALSDLSREDPLLLCNWDECLNRIAEAVPEGSPGQQQLYHYLSFGWLCGGVVEHASGRKFQEILEEAFVRPLGIEGELYVGIPPGMMILTCLETRLATLTVDTEDLSKLSGIASRNDLPSSFQPGDILQMLTTLPATFNTLNVRRAILPAANGHCSARALARYYAALADGGVIPPPHSTSSKPLLGSHPHIPKFSAKKIDKKSKGRKSKGAYEALSTSDKSEPVTTDEHTINLNGRDESHISIDSVPTAGSSRSSNNNNITNTSATDHHEYGSKIFNDESIHDAFLGAGQYENMIFPNGSFGLGFKRIKSKDGFLIGFGHSGMGGSTGFCDMNNRFAVSVTVNKMSFGSVTAAIIKFICSELNLPVPVEYSGSGGPDISASLEKPIIN >KZN09624 pep chromosome:ASM162521v1:1:27758356:27765140:1 gene:DCAR_002280 transcript:KZN09624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGLVFQSPFVLSTKGKLQFADLALPTRIILPLLSSSASLKCREVRRLNSTSKMVMVHASSVGAGAGGYEGRDENDNQKIFVSPSNDSDTSEIKKLPFQLPYPASIALVLLGCALVYSLIVFAKGSPSSVLGAIAKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYEKALVLVGSMGALSLMTVLSVVIGRIFHSVPAQFQTTLPVGEYAAVALLMFFGLKSIKDAWDLPSAAEKDSEENTANLDEYTEAEELVKEKASKRLSNPLEILWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHLLATMIAIVGGAILAKYISEKLVEDITHSELLHFLL >KZN10939 pep chromosome:ASM162521v1:1:40470797:40470982:1 gene:DCAR_003595 transcript:KZN10939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSSRYVLSVQELVKDSKSAIPARYVHKDLEPVVSSSVKSLRVPVLDMNTLLDADSITGT >KZN08359 pep chromosome:ASM162521v1:1:5618881:5619345:-1 gene:DCAR_000905 transcript:KZN08359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVKDCDKHNKMQGRGKKIKMSASCAAQISQLTKDLGFKSEDETLQWLLDRAEGRGTANQSANATGGASYEAPKVPEKKKAEAVGEDGAPKTSGVAPVGPTPVYIPGKGFWMVPDDGGKPQQVWPVPLALTRGIGVRMQGPYGPGSSDQNQKP >KZN09027 pep chromosome:ASM162521v1:1:19224668:19232186:1 gene:DCAR_001683 transcript:KZN09027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVSEAVSSNSSRNPKASPISDNPRRPPLLPSEKDNGINPKKPKSRQVTSRYLSPSPSTSNASPSTSNSRRCPSPLVSRNSANSLSTPATLPKRSVSVDRRRPFRPDLESKVGNVGEVSAATKMLVTSTRSLSVSFQGEAFSLPISKTKVAPASPNLSNVRKGTPERRRTNTPSRGKLDGGGDQVENSKPTDQHRWPARTRQPNSLARSVDCSGDLNSKLIGSGNVIRALQQSMNGESRRASFDGRLSLDLGNTEVMKSIEQTPDGKSLNLPSVSSDLTASDTDSVSSGSTSGVQECGGIPLRHNGPRGIVVSARFWQETNSRLRRLQDSGSPLATSPGSKSGVSPKFIQSKKFQCDSSLSSPRTMASPNRGGARPASPSKLTPYVASSPSRGMISPSRARHSVSSTINSHFSETPSVLSFAVDVRRGKVGENRLVDAHLLRLLYNRQLQWRFVNARTEATLLVQKRNAEKNLWNAWITISDLRDSVTKRRHRLQLLKQKLKLAAILREQNAFLEDWASLERNHSISLLGAIEALKASTLRLPVVGAIADIQSMKEAVSSALDVMQAMGSSICSLLLKVEELYSLVAELAQVCEKERSVLEQCKDFLSVLATMQDYYIIRMDSDGCWFSTISPRDYVQSLMVNFGGFNGAVLMCFCGNFSRIPLFILLVPFFVYLHTRIRLT >KZN11907 pep chromosome:ASM162521v1:1:49309548:49311628:1 gene:DCAR_004563 transcript:KZN11907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWVFGYGSLVWNFGFEYDEKVIGFIKDYKRVFDLACIDHRGTPEHPARTCTLEYEEGAICWGVAYCIRGGLEKERAAMEYLERRECEYDRKTIVEFFKAKDSEQPAITGMLVFTSTPDKVSNRYYLGPAPLEDMARQIATAHGPCGNNRDYIFLLEKALFNIGHEDDMIIELAAEVRKYTEITSIVKATGVVKSNDRRLTGITGSSSPRGVHMSSPLKLLPLQEAVATDS >KZN11991 pep chromosome:ASM162521v1:1:49918038:49922719:-1 gene:DCAR_004647 transcript:KZN11991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQGRYQPGFRGTRGGGVFRGSPNYYQQRPGVQQNNQHQQLWLRKRPGGPGERRGGNTFQAQAHNDSGFLLQDDMTRPICVGTPDRKETLLSLRDMLPFNFHGAVKISSQDWKAQVKKPPPDNRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFDKPSPIQEESIPIALTGSNILARAKNGTGKTAAFCIPALEKIDSDKNVIQVAILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQHVHLLVGTPGRILDLTKKGVCLLNECSMLVMDEADKLLSPEFEPSVKELIDYLPQNRQILMFSATFPVTVKAFKDRYLGTPYVINLMDELTLKGITQYYAFVEERQKIHCLNTLFSKLQINQSIIFCNSVSRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPRTSETYLHRVGRSGRFGHLGLSVNLITYEDRFNMYNIEKELGTEIKQIPPAIDQAVYCR >KZN08145 pep chromosome:ASM162521v1:1:3153229:3157737:1 gene:DCAR_000814 transcript:KZN08145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFKPEQARVPPAIPLPTPVITKFKIGLCQLSVTADKERNIVHARTAITEAAEKGAKLVVLPEIWNSPYSNDSFPVYAEDIEAGREASPSTAMLSEVARTLKITIVGGSIPERCGDKLYNTCCVYDTDGELKAKHRKIHLFDIDIPGQITFKESKTLTAGETPTIVDTEVGRIGIGICYDIRFQELATIYAARGAHLICYPGAFNMTTGPLHWELLQRARAADNQLYVATCSPARDAGSGYVAWGHSTLVGPFGEVLATTEHDEAVIISEIDYSQIDLRRTNLPLQNQRRGDLYQLVDVQRLNSQ >KZN10438 pep chromosome:ASM162521v1:1:35694594:35698526:1 gene:DCAR_003094 transcript:KZN10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSGAKAKLLCSLATPPFHTTGRASEQNILSLLQSCKTLLNLTQIHSQILKFGLQNNPLVLTKFASTSSLLNAIDYASSFIFSSNSTTHYDAFLFNTIIRAYAFTSHTKKNALLLYKHMVGINVMPNKFTYPFVLKACAGLGNLNLGKQVHGSVIKFGFDDDIHVNNTLVHMYCCCSGGIEFARIVFDEICKSDCVSWSVMIGGYARLGMSTDAVELFRNMQIAGVKPDEVTLVSLLSACTDLGALELGKWVESFIEKEKVQRSVELRNALIDMFAKCGNVDKALSLFRGMKDRTIVTWTSVIVGLAMHGQGLEAVALFNEMTESGVAPDDVAFIGLFTACSHSNLVDEGKKYFDLMMTEFGVVPKIEHYGCMVDLLGRAGLVKEADDYHIFFACSVAKSVWSMTNVGELSSLDDIEADSTGKESDLVESPLSREQSPFDLVETQCGKFKVRV >KZN09197 pep chromosome:ASM162521v1:1:21581222:21582953:-1 gene:DCAR_001853 transcript:KZN09197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSSGEIYNLYIQPCPFRQAPPPAPASSGGGGGSMLGGLGATIAQGMAFGTGSAVAHRAVDSVMGPRTIQHETVPAAAGSAAPELSKDACGMHLDAFTKCLNSSGSEISKCQFYMDMLNECKNNSGSMINA >KZN09448 pep chromosome:ASM162521v1:1:25360644:25361318:1 gene:DCAR_002104 transcript:KZN09448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAMVCYNPNQRLSLIKLIFWEGTTRILTGKKTLMAGEIMFEFPDRMVCHADSFYIGQPIPALAIEEELLIGQTYFVLPIDCFPCNVLSASAFAALVALQEKRVFPTKLVAGSRSPLRTKPAISFKDTTFEYIKGSNGRVLIKVSPEFMVKIMTIVKENGNEQVMGNSPSSFLCSTPELKKHYHMLIGSKGQMWSPKLDTISECKIVSKFSPRRYISKNRISY >KZN11292 pep chromosome:ASM162521v1:1:43454874:43456757:-1 gene:DCAR_003948 transcript:KZN11292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELMAQRGGAANQPNPEQQNAQEEAKREADERRQMMLSQIVSSEARERIARIALVKPEKARGVEDVILRAAQMGQIVEKVSEERLISLLEQINTQTAKQTKVTIQRRRSVLEDDD >KZN09116 pep chromosome:ASM162521v1:1:20380687:20386339:-1 gene:DCAR_001772 transcript:KZN09116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVMLTLKYFAGPEVPRYVLFLVGYTWFSSISIIILVPVDIWCAIIGEDLRELSFFWSLSYWSTFMLTWAVVPIIQGYEDAGDFTLTERLKTSLHANLFFHMCVASIALCGLILLFILRANWSGGILGFAMACSNTFGLVTGAFLLGFGLSEIPKGLWRNAEWINRQKALSHKVARMAVKLDGAHQDFSNAIVVTQATSKQMSRRDPLRPYMNIIDKLLQQMLNEDPTFKPQGGNLGENDMDYDTNDKSMAQLRRHLRRARERYYRYRSEYISSVTEALELEDTLKNYEHRDSTGWKYVSSFRVQRSGTFGSFLDIMELVWRCILQKQLDRLFSIILGFLSASILLAEATILPSGVDLSLFSNLIKLVGKHEVLVQRMGNIDDAVPFFGRRFNKIYPLIMVTYTILITSNFFDRIVSYLGNWKIFSYQSEAAEILDGYDPSGVIILRKERTWLQQGHKVGELVIPLARIIYDANSDIESASNSTVAVDKKSNASSSGDGEIIGKKYKAVRSHQKEDEMDPSSSVNRPSVESSNDGGDTSNTTSTSQSRVTATWETMKTGFQSIKTNIEAKKFLYLRESDENNLKESPEASKTESLDEIFDNLKRPARDRRKYSSHIDFDDYGMDIDKQIPR >KZN11414 pep chromosome:ASM162521v1:1:44434401:44434721:1 gene:DCAR_004070 transcript:KZN11414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKALNSRWLMAIHADIAALQSSYYCKRKITQTIKAKRYLQQKPSNHLNLLQNHLPHRKTDPSRYLRAPWHPYSQQNKELQWNLLHHKYIKEKVLKLSRLGQSSGV >KZN09542 pep chromosome:ASM162521v1:1:26823483:26825878:1 gene:DCAR_002198 transcript:KZN09542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRNTASRQDSIQMGDNNSAQYVGIDEVPSPRAVGINKVSLLPLVFLIFYEVSGGPFGVEDSVKAAGPLLALLGFLVFPFIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPDLADGFPRIAAVLVLTAVLTYMNYRGMTIVGWVAVLLGVFSILPFVVMGLVSIPKLEPSRWMVVDLHDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHDVDWNLYLNTLFWNLNYWDSISTLAGEVHNPKKTLPKALLYALIMVVLGYFLPLLAGTGAVPLQRDLWSDGYFSDIAKILGGVWLRWWVQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRYGTPLVGILFSASGVLLLSWMSFQEIVAAENFLYCFGMILEFIAFIQLRMKHPAASRPYKIPLGTAGSILMCIPPTALICVVLALSSFKVFVVSMIAVIIGLVLQPCLKHIEKKRYMKFSTSSDLPDIDHITNEGTLLG >KZN08066 pep chromosome:ASM162521v1:1:1968916:1969044:1 gene:DCAR_000735 transcript:KZN08066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLAVLLVMKIPLPESLQPWHSRPPSSWSSRPNRLSSLPAR >KZN08700 pep chromosome:ASM162521v1:1:13838776:13843803:1 gene:DCAR_001356 transcript:KZN08700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVDSVEVLDSEDLVPGGKIGAGLSLESKVNWQRLPSEVPFGATLNENGLSTPSFRWRRVLLKVSGEALAGDQKQNIDPKVTMAIAREVASVTRLGIEVAIVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNAIYLQATMESLGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYSEDPRDNPDASLLENLTYHEVISKELSVMDLTAITLCQENNIPVVVFDLNKTGNIAKAIKGEKVGTLIEGSRNVVTASS >KZN10140 pep chromosome:ASM162521v1:1:32959924:32960235:-1 gene:DCAR_002796 transcript:KZN10140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKISRMASENGVVIFSKSTCCMCYAVDILFRELLVRPVVHEIDKDPEGREMEKALLRLGCNAPAVPAVFIGGKLVGSTNEVMSLHLSGSLVTLLKPYQAVAS >KZN08984 pep chromosome:ASM162521v1:1:18652251:18658042:1 gene:DCAR_001640 transcript:KZN08984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMITTTSTTFTPIRNRHLAPAAAHPLIKKLSFHSPTRERLDFQQPRLAFFQRNGLVGRPDDKGPFSFVSSAAKKESEPSSTNQFQDPPSSQNCDPLCSVDETSSQEFEATYQPKTDFLKALAIFAATGTGALAINHSWVAENQDLAMASLFGIGYAGIIFEESLAFNKSGVGLLMAVGLWVIRSIGAPSTDIAVTELSHASGEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPRTLLWVVGFVTFFLSSVLDNLTSTIVMVSLLRKLVPASDFRKLLGAVVVIAANSGGAWTPIGDVTTTMLWIHGQISTLPTMKDLFIPSVVSLAVPLTLLSFTSDVNGNGKDSSNVLASEQMAPRGQLVFSVGLGALVFVPVFKALTGLPPYMGMLLGLGVLWILTDAIHYGDSERQRLKVPQALSRIDTQGILFFLGILLSVSSLEAAGILRELANYLDAHIPNPELIASAIGVVSAIIDNVPLVAATMGMYDLTSYTQDSEFWQLVAFCAGTGGSMLVIGSAAGVAFMGLEKIDFFWYLRKVSGFAFAGYAAGIASYIAVHNLQVSLPTVAQIPFLPGS >KZN08082 pep chromosome:ASM162521v1:1:2385303:2385548:1 gene:DCAR_000751 transcript:KZN08082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGLLSPDKAKKAYEKKQRKQKQLRLGTPIKSPPPPPSRGESSKRPQQSSKNGDAKAKKRIIDDSDDEDDFVLSHKRRKG >KZN09808 pep chromosome:ASM162521v1:1:29588303:29588623:1 gene:DCAR_002464 transcript:KZN09808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVWVFKNGVVRLENPGADTADGRRHRKVLVHLASNEVITSYSALEMKLLSLGWERYYDDPDLLQYHKRSTVHLISLPKNFNKFKSMHMYDIVVKNRNVFEVRDV >KZN08586 pep chromosome:ASM162521v1:1:11137200:11138551:-1 gene:DCAR_001116 transcript:KZN08586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTSARASVLHYSHHHSFPFSAQDKKVTNYLPGSSKSNKWTAAVVSFSSSRSRNMRRPALSIVCKASVDTVQVVTDTTWDSLVLGSELPAIVDFWAPWCGPCRMIAPIIDDLAKEYAGKINCFKLNTDESPNVAGRYGIRSIPTVLFFKEGEKKDSIIGAVPKSTLCDTIDKYI >KZN08510 pep chromosome:ASM162521v1:1:9460125:9461030:-1 gene:DCAR_031709 transcript:KZN08510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRLSAFHGSRLCLLPPRTDSHILQAVKCERTLNGPVSPPSVSNGMPTICDLRYSNYGTCFCLISFLSQDISSGVNGCNRSIKLWIAAYCLVTRSGWKRTVLGP >KZN09315 pep chromosome:ASM162521v1:1:23103371:23106266:1 gene:DCAR_001971 transcript:KZN09315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILRSGRILKTGCSSSSSSSVASISPKQVSHFKLPKKPGWTTVKKRADDPSMPYITEALKSYNQNSGKRYKLVDPGSLTTVILANYFLYHIDFEAKNTDAPHASTEMFFAELTSPSAWQVLSVRLCVSLGPVNSISGDIDKLNGCYYCRRLNNVHHPKAGGFVRGGDTFYKTVEDICGIKLNAEEKHLPMLKEVSQNFECNKESVIGEQVDDATPYSTEAITFFNRQPHRSYELMEPGFFTRVVLPTCSLIHVNFTAKETDVDVARELFFAELTSTGEVLSCNFCVRLHPRDSALGDETGDKTNGCYYCREYNNVLHPKRGGFLAKFQHLDSMRYYG >KZN07985 pep chromosome:ASM162521v1:1:1206454:1211852:1 gene:DCAR_000654 transcript:KZN07985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLETLRNSHPELSDWSNTLADLYQRKLWHQLTLKLEQFISHASFQTGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLDGVIEKLRSTKEFRIEEPILYIRMQIAMFNLEKGDQKECKKLLDDGKSTLDSMTDIDPSVHASFYWISSQYHKSRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGENIYNFGELLAHPILKSLLGTKVEWLYYILEAFNSGDLVRYQEMCRVHNAALSAQPALVENEKKLLEKINILCLMEIIFSRPSEDRTIPLSIIAERTRLTVEEVEYLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGISQIKSLRDRLDNWMGKVNTALLSVEAETPDLVAS >KZN10441 pep chromosome:ASM162521v1:1:35704403:35720644:-1 gene:DCAR_003097 transcript:KZN10441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYTKSKSLLRQVTNKGFFKFTVVPVEGESAAAIKLRKKDIPDTKLIATMQNNLIIFTFGGMNGSIVYELDRPENGGLKKSLKILQKAKSEIDVIQKVSWADMIAVAGAEAVSICGGPSIPIQLGRIDSMVPDPEDKLPAESFDASTLKQCFQKKCFSAQQLVALSGAHTIGGKGFGEPTVFDNSYFKILLEKPWLSSAGSMIGLPSDRALVQDDECLRWEVVNLQQMMDADNVIVVSRNGISSETEDHEKHPAKFDDDASLEEGNGSDIERINRSFEGSLKLSNRSFTEDEEMTREDIDSLSESKDLGMGERKESEDSALQRDVSNVVNGKPSNQKSSSGKREKKIGNGIESQATPVLSASATVDSQRKQPFALRTKNTQINDKHISESKTKPAPMQIKARHSEKSSAPSSRTKLSESEGLMEKPKRETQKIVGPDKAEGSTHSSSSSGAGDSKTKRLGTLPSYGFSFKCNERAEKRREENQEAEIKNLRKSLKFKATPLPSFYQEPPPPKAELKKIPTTRAKSPKFARKKDSPSRDSQENNRRSLPFSRLSMDEKISQNNPNKAHSPVPVKKPTRKSLPKLPSERTSLSSERTKAGSHKAASTSEKDKSISPELALSNTCDESASCTNHEVTPNTEPSQSQQLPSCAPEVEYQTQIISGEESVATED >KZN11478 pep chromosome:ASM162521v1:1:45030882:45036093:-1 gene:DCAR_004134 transcript:KZN11478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARIARNGLRRSGSVGSYASHNDTPYEGLSTCSYSGTSAAKVERGGSLAYLTSIKKVDHISFGSRGISVTPHYQFAQAERIVEESDSEYEKQKYPSLEATKPGEKPRVVVLGTGWAACRFLKGIDTNIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVTRIQSALAKNPKSYFYLANCTDVDTDKHEVYCETAADVGLPHEPYRFKVSYDKLVIAAGSEPLTFGIKGVKEHAHFLREVNHAQEIRKKLLLNLMLSQNPGISEEEKERLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYTHVKNYIKVTLIEANEILSSFDVGLRQYATKHLTKHGVRLVQGVVKEVHPKKIVLSDGSDVPYGLLVWSTGVGPSDFVKSLNIPKSHGGRIGVDEWLRVPSVEDVFALGDCAGFLEQTGKQVLPALAQVAERQGKYLVKLFNNIGNQNGGKAFSTKDVNLGEAFVYNHLGSMASVGRYKALVDLSQSKDAKGLSFAGFTSWLIWRSAYLTRVVSWRNRVYVAVNWATTLIFGRDNTRI >KZN08144 pep chromosome:ASM162521v1:1:3150794:3152725:-1 gene:DCAR_000813 transcript:KZN08144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRKKRTPKKRAPSHNDPHGETGTTDNVVRPNEFVDVSVNDFEQPGGDDIMFNAGGDADDPSRWEYQSEYVHNPFGAGPSGVNNQRGSSGVNNQRSEQNTVISDPGNSAFENPTQFFEWPDPPRQYDCTYCQVLRYIVHIKGQEQSTKLEIHGRIGMVCHGILEISSGDTTAPNREYRTFDFCQKSLSDVKKFLIEYYEDRANTSYATVDDPLLTFYDTLCARSEYDWVFNNDNEAEAQGGGVRPKKRTVVEQRAYCETLTIQDVLPYFHLRQSEAAKLLGLGTTKLKEIVRNAGIPKWPAREVNAKDRAIKKIVKKMNPSNPRKKAMAEKEIQELQKAIADIYAPLQKKNAAG >KZN11920 pep chromosome:ASM162521v1:1:49412713:49413804:1 gene:DCAR_004576 transcript:KZN11920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAARFSLGLPFLLAFLTYKARRRHLSMYNTIEDFLQAQNNLMPIRYAYSDIKKITNNFGDKLGEGGFGTVYKGKLRSGLLVAVKILGRSKATGKEFINEVATSGRIHHVNVVELLGFCFEGPKHALIYEFMPNGSLEKYIFRNQGSEEEIVSLSWKKMYEISCKVASGIDYLHRGCDIQILHFDIKPHNILLDKNFNPIISDFGLAKSYATNDSTVTLNARGTMGYMAPEMFYKNIGGISYKADVYSFGMLLMDMAAQRQNLNPILDHISQIHFPSWVYDQFSDGKELEMKDVNEEERKLVKKMIIVALWCIQMKPSERPSMYKAIEMLEGDVEELVMPPKPFRHPQEDPAEINNSIHTEE >KZN09806 pep chromosome:ASM162521v1:1:29558908:29559159:1 gene:DCAR_002462 transcript:KZN09806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKMNADSIFVGTLRGFDQFMNLVIDNTMEVNGDERNDIGMVVIKGNSLVAVEALELVLICCDFI >KZN10655 pep chromosome:ASM162521v1:1:37952380:37953417:1 gene:DCAR_003311 transcript:KZN10655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESSLATFSSRHLVTLCSEGDCGKDNPETNEEMKKDVITLLTEEISLQKTVIDDNLAHTKALIMDARKSSSHYQKEAEKCNTGMETCEEAREKAEAELTEERKLSLLWENRARENGWRDR >KZN09712 pep chromosome:ASM162521v1:1:28702672:28704463:-1 gene:DCAR_002368 transcript:KZN09712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSGLVPMLEVTGSSSSHSRGLCVAVPQALEGLQGASPPPFLAKICDLVDDSRTNHIVSWSKGNNSFVIWDPDSFANQLLPKYFKHSNLSSFIRQLNTYGFRKVDPDKLEFASEWFLRGQRHLLKQIKRRKPPPHPQNLLQGPSLSCVEVGQFGSEAETDCLQSETKVLRVELVRLRQEHQNTKAHLKAIEFRLRDTEIKQQHIMGFLTRMIRNPSLVQKLFESGRRGELVEAISKTKSKGTDQVCGSVEFREISQGKRQIYVELGSKDLTDAEIPEMNTLSIEMQAVEETSKGSDEGQDGGNNGLDKKFWEDLLNDTNEEELGLFG >KZN09783 pep chromosome:ASM162521v1:1:29311325:29316104:-1 gene:DCAR_002439 transcript:KZN09783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGFAFGAVVSKMNNLSTSDHSSVVSVNLFVALLCACIVVGHLLEESRWMNESITALAIGICTGVVILLFSGGKNSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTLISFSIISLGAIHFFKNINIGSLELGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAVQNFDLSHIDAVTALQLIGNFIYLFISSTLLGVFAGLLSAFIIKKLYFGRHSTDREVAIMILMAYLSYMLAELLDLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFIFLYVGMDALDIEKWRYVSDSPGTSIGVSSILLGLILAGRAAFVFPLSFLSNLTKKSPENKIELKQQVTIWWAGLMRGAVSMALAYNQAIFTREGHTQLRGNAIMITSTITVVLFSTVVFGLLTKPLVRHLLPSPKHLVSMISSESLTPKSFVVPLLANSQDSEADLCSTMTRPTSLRMLLTAPSRSVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPTEQDDSQWQMDASK >KZN08888 pep chromosome:ASM162521v1:1:17274524:17278727:-1 gene:DCAR_001544 transcript:KZN08888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMSFEGVSASACEVISVIVLSTLLIVLSTLLMLSVLFSAVFHSSSPSPSSFLSGVRSEFRVPVVALQTNLLDHQHAPAAVVSTDFNSALSSPHNHTVIREEDRVEQGLARARASIRTAAASTRNLSTVLGSDYIYRNPGAFYQSYLEMERRLKVYVYEEGELPIVHDGPCKDIYTTEGRFIQEIEQGKKSKFRTRDPNLAHLFFMPFSVTWMVKYLYTPKSYNLKPLQDFVSDYIRVVSTKHPFWNRTQGADHFMLSCHDWGPHASRGNPLLYNTSIRVLCNANSSEGFNPQKDVSLPEIHLFDGTIPAKLLTPRPTSPPQYLAFFAGGNHGPIRPILLNHWKNRDTTLPVFEYLPKGLDYYSFMLTSKFCLCPSGHEVASPRIVEAIYAECVPVILSKNYVLPFSDVLRWEAFSLEIPVSDIPRLKEILSEVPEDKYVKLKEGLRQVRKHFVLNSPATRFDVFHMVLHSVWLRRINLRVDQDATYP >KZN11100 pep chromosome:ASM162521v1:1:41706886:41710286:1 gene:DCAR_003756 transcript:KZN11100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDNRHELSGLLKTLPPIDFCFMYGSSLHPNNNDKSSMIDYILGVSDPQQWHSENLQLNRDHYASWLMRLGGARLVTGVADGIGVGVHFNPFITYGDKMYKYGVVRVDDLIQDILDWKTFYLSGRLQKPVRVLVDNLGIEHKNSVNLKSATSAALLLLPSKFTEEDLYTKICSLSYMGDLRMFFAEDKNKVKKIVQGQYNLFQTMYKSCIEDYASKELLRFSSSGNNQVHITQDCGLSATSTLVSSLPGFIRSEMGIKLGEKMGPGETDVKHSVTGKKKFLHLQLDLQLHHSHLCRLYVTSHEGQIISKVVTGSRQEAAECMQKILRKKVMVSSGRQAVAGLLTVGAVHGARYLANKVKKAWRSWS >KZN09179 pep chromosome:ASM162521v1:1:21345442:21346489:-1 gene:DCAR_001835 transcript:KZN09179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRIIRSLLIQIITDLSRCSFQVLNLLFHSHWLFIALHLDASGRSGLLPPMYCTDARTLPEATNKGRTNLDCMSRGGASGSSSGTGTEPIILPQYRPGIRKAKVLRYREKRNEWKFEKTIRHSSRKANAETRGRVRGRFARRT >KZN09940 pep chromosome:ASM162521v1:1:31015648:31016402:-1 gene:DCAR_002596 transcript:KZN09940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKKRRERLKAMRMEAAQSGADSEGGNSSRTQGLPNPLLQTAATNQESCPQRFGYSTDPMAAFSGNNRSKVSQNIAQEHLAPSVQQITPWPLPSLGKYQQQESVWSPMGMVRPSGMQPGTPHGAWNGPGSTYGYNIQILS >KZN09104 pep chromosome:ASM162521v1:1:20284063:20288197:-1 gene:DCAR_001760 transcript:KZN09104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEESPSVSLRLLVDRMKSEVVFAEANHDFVDVLFSFMTIPVSTVLKLTGDQSFSCMNNLCASVKNSDENLLLHKSCREVLLHPRSAAEIYCRNLKVNWYETDGNEYFECESCNLVSYYWQNGHCSCGCQLRNELKLPSPSPNLPGGFTKSTTRFMITSDLNVRPLSTMKGLMLLNSLEGGENNMLEERTIDVGKDKILQLLKFSLVSKNPLTEAFLRLVPPSNNSCNITSLCGSVNVDHSQETVSSSAKTEGMISLKLIINSVNNTVLYAEAANDFVNLLCSFLTFPIGFLFQKNSYLPFNGCMDNVYKSINNIEVELFKSPLMKETLVDSRLAPGLAQIKKVISIKDATEPTYQEFIGHYNAKRFNSSSMSRNKETVIANGFIKGPSSFMIMDNLEVMPLSPISGLCLIKSMQIPFDKIVEKEVVIGEKEAIRLFTASLVAENALTDAFLRDEIKQEQQDLINQAPSSSDNKGMLIGFLVGGALAVCVGILALAYYRKRRNGEEDGDEITFDDTMDGEFERGTGPKKFSYHSLAKATKNFAEEQKLGEGGFGVVYRGFLRALNCDVAVKRVSRTSKQGVKEYASEVKIITRLRHRNLVQLLGWCHEKNDLLLVYEYMQNGSLDSHLFRGKSLLTWPIRYKIAQGLASALVYLHEAYKTFRPSIRQAIHVLTLEAPLPILPPTMPVATYYPPLNMSTAALAMAYGHTVWKHRPGESSGNQNNESSSNASTDSTFQSVLYPR >KZN10781 pep chromosome:ASM162521v1:1:38894183:38897156:1 gene:DCAR_003437 transcript:KZN10781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPETEHPVQAFGYAASDTSGTLAPFKFSRRATGDYDVRFKVLYCGVCHSDLHMVKNEWGMTTYPIVPGHEIVGKVTEVGSRVEKFKVGDIVGVGCLVGSCRSCEICNDNYENNCAKQVQTYSFTNIDGTITYGGYADSMVADQHFVLRWPENLPLDSGAPLLCAGITTYSPLRYHGLDKPGTKVGIVGLGGLGHVAVKMAKAFGAHVTVISTSASKKQEALGKLGADEFLVSSDTDQMQAAVGTLHGIIDTVSAAHPVVPLLELLKLNGKLVMVGAPEKPLELPVFPLLMGRKVLAGSNIGGLKETQEMLDFATQHNITADVEVIPVDYVNTAMERLVKSDVRYRFVIDVANTLKTE >KZN10749 pep chromosome:ASM162521v1:1:38625545:38628958:1 gene:DCAR_003405 transcript:KZN10749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSSAAGDTIAANESISDGQTIVSARGEFEMGFFSPEGNPHNRYFGIWYKKISNGTVIWVANREAPISNTSGVVRVSSRGIVVGTNHTTRSIWTSNSSASVKNPVAQLLDTGNLVFRDENELLNFAWQSFDHPVDNFLPGMKFGYDLVNGLDRYFSPWKSDDDPAPGEYTHRVDKNGYPQLILWKGSVPWYRTGPWVGSRFSGIPFLKPNGFYVIDFVITQTEVYYVFNLVNSSESPITRWTLAPNGVSTRYKWNRDKHSWSQYLTLEVSDCDDYEFCGANGVCNVNKSPRCECIKGFDPKNPEHWAEADWTSGCSRNVELECGNGDDFFRYTGLKLPDTRWSKYNMSMSLVECRDKCLKDCNCTAYSNTDIRNGGSGCLLWFGDLKDMRGYSADGQDLYVKMAASELKGSKNSGQKTGVWIVLVPAFVVLAAICCLLLLYRIRKKGIKRAGKKHILQAWRCYTENNLLGIADKVILNSSNEHEVFRVTEIGLLCVQEYPEDRPSMSSVVLMLSSEIALPCSKKPGFCSERKKPNDTTSPASNHTSCSINSSSVSFYAPR >KZN08445 pep chromosome:ASM162521v1:1:6930269:6935906:1 gene:DCAR_000991 transcript:KZN08445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKKNYYFMPDVALVPNLNFGFHEEIIRVRIIRVWDDVSYRAPDELATYFILLDEERIYLNLQEMQDPNKWVQPKLFRKQAFFCKVTIVDILLHEKWDFRHRRRSRRVILVADSTTNARLVLKAHDLEQIAGLTMLELITDCSANHAQSQIMLTVWNNQKQDYSQFRVVPNSTGYRIVNSEIALSFDHNTKVIPKEETERIPLFKFELTKFEDVPWLLWNTKNLIGMNYLPLTVNKDMIVTLWEEKANGFQNGLAAADDGAAFVIITGLLGILGVELPNAGEDNLTQDIPPVMNNIIGRRCAFEVRVSSYNRDGRAGYTVGRLIEMPGSSSHVKGGEHHDEVGPSKKARLSLPK >KZN11355 pep chromosome:ASM162521v1:1:43911474:43911995:1 gene:DCAR_004011 transcript:KZN11355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYALCMALFLLIMGLLLPYEVSSSRAGPQLGKTMFERHQEWMLQHGRVYRDAMEQEARFKIFKENVERIEAFNSDPNRRFTLAVNHFADLTDEEFRVTHASGYNRSMTRTASFSGSSVRPEFYGDYGRPRRSYFRYANVQGVPPSVDWRQKGAVTPIKYQGSCGKCFRFC >KZN08204 pep chromosome:ASM162521v1:1:3912824:3913033:-1 gene:DCAR_001269 transcript:KZN08204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQLYAQFEHEESGRGFTCAVNGEYVAPVIRGSGKAALFDMGMKRLQWIRRVRMKMVVESCMDLLRLF >KZN11365 pep chromosome:ASM162521v1:1:44018080:44022704:-1 gene:DCAR_004021 transcript:KZN11365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFTSKEITAINLILLISLTPILRIACESPSCERTFTSIISFGDSLADTGNKLHLSNTNNPPASASPPYGETYFKSPTGRCSDGRLIIDFIAQYLGVPLVPPYIGGKVANFGAGVNFAVAGATALDKPFFEERGVDIPVWNGSLGTQLSWFKDLLPSLCKTSSDYDELFERSLFLVGEIGGNDYNHALLAGVSMEVVETFVSPVVAAIVSTVNELLDLGARTLVVPGNFPIGCSAAYLTTFMTNSSTKDYDPQTGCLNWLNNFAMQHNTMLRTELARIQESHPHANIIYADYYNAAMQLFLNPYKFGFSKGAITACCGGKGPYHYNTSEMCGQPLATVCADSSQYVNWDGLHLTEAAYSFIFKALFQGPHTVPPFSSLCSAASHPQYDQ >KZN10121 pep chromosome:ASM162521v1:1:32785670:32787121:-1 gene:DCAR_002777 transcript:KZN10121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSQVNISEAKRVVPLHTWILISNFKVSYNMLRRPDGTFNRDLAEYLERKVPPNAVPVNGVYSFDVVHRATNLLTRVYRSAPNNEAPPYGIVELENPLSTTEIVPVIIFYHGGSFTHSSVNSAIYDTFCRRLVGICNAVVVSVDYRRSPEHRYPCAYDDGWEALKWVHSRTWLKSGKDSKVHVFLAGDSSGGNIAHHVAVRAAESGVEVLGNILLHPFFGGQERKESETRLDGKYFVTIQDRDWYWRAYLPEGEDRDHPACNIFGPRSKSIKGLSNFPKSLVCVAGLDLIQDWQLAYVEGLKKEGQEVELLYLKEATIGFYFLPNNDHFYSLMEKIKNFVNPNC >KZN10912 pep chromosome:ASM162521v1:1:40236260:40238139:-1 gene:DCAR_003568 transcript:KZN10912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGEITATQQSSLEISMSLSPAQGDSKCFDDDGRLKRTGTFWTASAHMITAVLGSGVLSLAWASAQLGWVTGPIVLLLFALVTYYTSCLLASCYRSGDPVTGRRNYTYMDAVETYLGDFKVKICGAIQYLNLFGVAIGYTIAASISMAAIRRSNCFHDSHVKDPCHFSNNIYMLAFGAINLFFSQIPDFHQISWLSILAAVMSFTCSIIGLGLGISKVAETGEFKGSLTGISIGAVTQTQKIWRSFQALGAIAFACSYSLVLIEIEDTIKSPPSESKIMKKATLLSVSVTTVLYMMIGCFGYAAFGDLAPKNLLTGFGFYNPYWFLDIANIAIVVHLVGAYQVSCQPLFAYVEKRAATKFPQSAFINEEYNMIKGSKAYKLNLFRLVWRILFVISTTIIPMVMPFFENIAGILGAIGFWPLTVYFPVEMYIVQEKVPKWSSRWISLQMLSVACLIISIVAAVGSFAGVVTDLKAYKPFQTNN >KZN09192 pep chromosome:ASM162521v1:1:21542270:21547477:1 gene:DCAR_001848 transcript:KZN09192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHIVRKKLLSSATSSSSLLTRISPIIPNFTRSASRKLVHSRFFTSRCINHDPKSELAIYIKHKKACHHSLGSLEGKRIDIGVFPLTAAGSKANHDQWINTMKKFEAASGKYIDKEAAKNFVAEHLSNQQVVRDVGTDVAVDSLEEKTVVYNIFAVGDIEDKVTRTLDNKVLRVPGTLERKDCFSFCLTLDKGKDQHFSDDNREVYRTTDLNSLHLADCIGRGIPIKEDHWFSLLVSRAKNQQPLLSRLTTFNRIDVPASSDPLNGMYIGSNGYLATEVIQLKKLFGPWHKVDGIKEVSEPELCEYVEAVNLTGDIDMPAVVSLNLQVAFQAKIGEKYKLYPGIILEEKYGAVARYKGKGRLSGFQNFKLVDVDVLILGEESIKNILAEYGIYEGAAGDKATEATSHVFFRREFTKA >KZN09023 pep chromosome:ASM162521v1:1:19173443:19174288:-1 gene:DCAR_001679 transcript:KZN09023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFGDFITKTRLNSRGRTTKPIRWYMTKLSGHHIEDKTERSLNKNVSLPECDDENARSYWIPDPRTGIYVPKGRERVVEDVPENAASFQRTYWLRNVDGVDHPRPDYFFNYFPGDI >KZN08999 pep chromosome:ASM162521v1:1:18885681:18891770:1 gene:DCAR_001655 transcript:KZN08999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGGWVMVGKPTDRDVWSPSRMVGVEAEEAAKPLKITSFEPAKHWTDALPIGNGRLGGMIWGGVASDTINLNDDTLWTGDPGNYTNPDAPKVLSEVRKLVDDGKYAEATKAAVNLSGNPSDVYQLLGDIKLEFDESHAAYDEKTYVRELDLDTAIVTVKYTAGGIEYSREQFSSSPDQVIVTNISGSKPGSLSFMVYLDSKLHHGSRVNGQNQIIMEGSCRGKRIAPKSFENEDPMGVQFSAVLDLKISDGTGKIHVVDDKKLKIEGADWAVILLAASSSFDGPFTKASESKKNPTSDSLKVIDLIKKFSYAELSARHLDDYQKLFHRVSLQLSKSTKNVASDECSNTRKHLKATDEKVSTAQRVKSFKIDEDPSFVELLFQYGRYLLISCSRPGTQASNLQGIWNKDVEPAWDGAPHTNINLQMNYWPALPCNLKECQEPLFDYISSLAVNGSKTAKVNYEASGWVVHQVSDIWAKTSPDRGEAVWALWPMGGAWLCTHLWEHFTYTMDKDFLKNKAYPLMEGCASFLLDWLIEGRGGYLETNPSTSPEHMFVAPDGQPASVSYSTTMDMSIIREVFSAVISASEVLGKSQDDLVKNVLKAQSRLYPTKIARDGSIMEWAQDFEDPEVHHRHVSHLFGLFPGHTITLEKTPDLCKAVDYTLYKRGEDGPGWSTTWKAALWARLHSSEHAYRMVKHLVDLVDPDHEADFEGGLYSNLFTAHPPFQIDANFGFCAAIAEMLVQSTTKDLYLLPALPRDKWPNGCVKGLKARGGVTVGICWTEGDLHEVGLWSNNSNSVSTIRLHYRGNTVTTKVTSGRVYTFNKKLKCTSACFL >KZN09148 pep chromosome:ASM162521v1:1:20905422:20906410:1 gene:DCAR_001804 transcript:KZN09148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGENNVNLPPGFRFSPSDEELVVHFLQRKASLLPCHPNIIPDLDLYPYDPWDLDGKAMEEGSKWYFYSRRTQTRITRSGVWKSIGEDEPILSSESGSSKRKVIGIKKYYVFYIGEPNSEGIKTNWILQEYSLSDQCGSSSSARRKSKNDHSKWVVCRVYEHKSYGGEDDGEQELSCLDELFLSLDDDYDEICSSN >KZN11121 pep chromosome:ASM162521v1:1:41944132:41944695:1 gene:DCAR_003777 transcript:KZN11121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDEKETTQVFEKLFNFTGNNLKNIVEAPSHEGPESNPGRYCFRLNKNRVYYVSESLVKRATNVKRDKLVSLGTQIGKFTKGGAFHLTIQSLNLLAANAKHKVWLKPTAEMSFMYGNHVLKGGLGRITENINTNDGVVVFSMSDMPLGFGVAVKSTQDCRKMDPNGIVVIHRADIGEYLRMEDEL >KZN10728 pep chromosome:ASM162521v1:1:38492424:38497042:1 gene:DCAR_003384 transcript:KZN10728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRNDPSEEPNKPKYTTADNDDEFAESVEMMFESKQVPPWQKQLTLRAFVVSFILGILFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTSFLSKSGLLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSDIVAKQSTEANAAQNIKNPQLHWMIGFLFVVSFLGLFSVVPLRKVYTIMIIDFKLIYPSGTATAHLINSFHTPQGARLAKKQVKALGKFFSFSFAWGFFQWFFTSGDACGFKEFPTFGLEAYRNKFFFDFSATYVGVGMICPYLINVSLLLGAILSWGIMWPLIEDRKGHWYPADLSSSSLHAIAMILGDGLYNFVKVLGHTLFGLSRQIRTKKPETLPVEAGDSSAETSSLSYDDERRTQMFLKDQIPTWFAVAGYVTVAVVSIATLPHIFHHLKWYHVAVIYIFAPILAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGASHGGILAGLAACGVMMNIVATASDLMQDFKTGYMTLASPRSMFVSQIIGTAMGCVISPCVFWLFYKAFDNLGVPGSEYPAPYALVYRNMAILGVEGFSALPKNCLKLCYVFFIAAIVVNAIRDAVGKKRAKYIPLPMAMAIPFYLGGYFAIDMCVGSLILFVWQKLNKTKADAFGPAVASGLICGDGIWTLPSSILALAGINPPICMKFLSRQTNAKVDAFLGS >KZN09597 pep chromosome:ASM162521v1:1:27473566:27476828:1 gene:DCAR_002253 transcript:KZN09597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKFHASTALSYVLCLCLLCSPALAIKRAYVVYLGEHSHQDSDLYSAELKQRVADSHHQFLASSLGSKQRAREAIYNSYHNHINGFAAVLEEEDAAKIARHPDVLSVFLDQGRKKHTTNSWEFLNMNAEGFIRRDSLWKQANFGEDIIIANLDTGVWPDSKSFSDEGYGPIPAKWRGTCDKTKISCNKKLIGARYFSKGYEEISGKLNASVKTPVDHEGHGTHTLSTLGGNFVHGAKVFGFNAGTAKGGSPRARVASYKVCWPPVGKNGECFDSDILEAFDWAIHDQVDVLSLSIGGMPTAYFNDAAAIGAFHAVKKGIVVICSAGNEGPGEGTVTNVAPWIITVGATTTRDNFRRLILSGPRKEATPFDYGGGHIQPNLAMDPGLVYDLNVDDYLNFLCGMGYNSTVMKTFSDGSYNCHHKYNLLNFNYPSITVGKLSADGTTTVLRTLKNVGTPGTYRARVLQPQGVSVSVLPSTLTFDKIGQEKSFELILEPKITKESNNSVFGELLWSDGKHNVRSPIVVSSIVA >KZN08390 pep chromosome:ASM162521v1:1:6035167:6036293:1 gene:DCAR_000936 transcript:KZN08390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLSSFIQLSLLVLVLLILSSEASSTSRKIKPGFIYTRTRGKCSPQYWSSRSESWPRMVPEESTVSNVFGSRAYERYRYDLTLLEATERNDDVENEFARLVKQSTAALLNSYARKEYPYTAWEIKTLVIQGLVSKEAAARQAQLFHEANEACN >KZN11240 pep chromosome:ASM162521v1:1:42947711:42948109:-1 gene:DCAR_003896 transcript:KZN11240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVENQLLGISLGSSNTSNDEKKMNAVYDKVRGLVTGNAVVVFTISGCCMCHVVKQLLFSLGVGPTVVELDREAAGPDVLGFLSRIAGEGQQNAVPAVFVGGKFVGGVETLMACHINGTLVPLLKDAGALWL >KZN09035 pep chromosome:ASM162521v1:1:19312124:19312948:1 gene:DCAR_001691 transcript:KZN09035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGFEEKIDIWLEAEDFPDWIIQSSVEWSADDDISDGDASSSVNLQPNLSHNYLGMILCFDLQDKDAYYSVTTSANNIFESRIYSSSIVIVPRSILTVTDSDHKIQFASRVRRHWIHLLYKNEDNCITVNVADERNTPSANDTVDMSNDDHSASELEDDEDEDEDKEDGRMLELQREGDDGNETEYESFSSDEDSEVVTKTQRVIDRDDTEYESFSSDEDKEGDDRNDTEYESFNEDNDDL >KZN10096 pep chromosome:ASM162521v1:1:32596331:32605052:1 gene:DCAR_002752 transcript:KZN10096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALHSIVYNANRLATLVENKKSLHNWLTYYQNKYERNPSAKPTVKTGFWGLWGQRVDAIDYYATEIEKLTEEEEAERDKITRDPKAVIPSAFVSFKSRWGAAVCAQTQQSSNPTIWLTEWAPEPRDVYWRNLAIPYVELSLRRLLIAVALFFLVFFFMIPIAIVQSFANIEGIEKVLPFLKPVIEAKTIKSFIQGFLPGIALKIFLILLPTLLMIMSKIEGYTSLSALERKSASKYHKFILVNVFLGSIVTGTALQQLHDFLHQSPAQIPKTVGVSIPMKATFFISYVMVDGWSGIAAEILRLVPFVIFHLKNSFLVKTEKDREQAMDPGSLAFSITEPRLQLYFLLGLVYAPVSPILLPFIIVFFAFAYVVFRHQVINVYDQKYESGASFWPDVHRRILIGQVISQLLLLGLLSTKEAAQTTPFLIALPLLTIWFHLFCKGRFESAFLRLPLQNAVIKDTLERATEPELNLKVYLEDAYIHPIFKCGEYRRPIIDEESDPVIVATKRNSRKGSKTGSSESSLKLSSTR >KZN11087 pep chromosome:ASM162521v1:1:41620093:41622966:-1 gene:DCAR_003743 transcript:KZN11087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIKLSLAQESFANTACLEIEREALLSFKQGLQDPLGRLSSWNGVDCCRWSGIKCNIAGNVIKINLRNTVPSTSKRSCLGGKINSTLLELKYLGYLDLSLNCFEGLQIPEFFGKLENLRYLNLSFSLFEGEIPPQLGNLSSLNYLDLNMYDSSYSLSSRNLRWLSGLASIKYLNMGNADLANLGSELLQVVNMLPFLEELHFHRCDLYNLPSSLSYVNLTLLSVLDLSDNQIQSLIPNWIYNLTSLTKLDLSNDYYNLNGNIPRECGDKDSKEDPDPQLYYGFEGRIPGSLGSLCGLKVLNLSGNLLTGGLDKFVDSFTTFCPDNSLVSLSLNGNQLAGGLPNSLGKLKYLKQLHISHNCFWGSIPESVGNLSFLQELDVSLNEMNGTIPRTLGQLSRITDLNFRDNHWQGVLTEDHFMTLARLQYLYVSTDRARPLVFNATTEWSPPFRLLSLELYNCIVGPAFPAWIRAQSELNHVVLHKAGIEDTIPEEWFFNISSQVTHLQLSYNKIKGKLPQKLKFPALGYIDLRKNQFEGSLPLWLPNAAEIYLQQNLFSGPIPDDISELTQLQVFDVSENHLTGMIPPSLCLMTNLGILSLRDNQLSGKLPTCWDDSQSIYILDISSNNLSGEIPSSLGRLYDIIVLSLSNNSLSGEIPSSLQNITHLESLDLGNNKLSGNLPQWIGNVSLKLWILRLSSNKLTGIIPAQWCNLSTLHILDLAENSLSGVIPSCLGHLRTLVYTKSDSTVGYGGWLGHTFKEQMFMVTKGRELEYSSTLKLVNIINLSGNNLTGEIPPGITNLTALGTLNLSRNHLTGSIPNEIGNMRRLETLDLSHNKLSEPIPDSISLLHSLSHLNVSYNNLVGRIPTGNQLQTLTDVSMFDGNPLLCGKPLLSKCRGSEVGSDVPISESPDNDFQSELENLLCYCCGYVFGICCVWCTLWKMATWREAYFGLFKLA >KZN09706 pep chromosome:ASM162521v1:1:28634692:28638383:-1 gene:DCAR_002362 transcript:KZN09706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHMTTPHPRAAFPLPLAPPHTLLHSKTASTSSSWRIRVSNGSESYLDMWRKAVERERLAIKFNHIKTASDADEQTQPDEEVLEKKSSEFEKLLEVSSEERDRVQRMQVIDRAAAAIAAARALLKDNSPHKTTSYGTNDLEDGSEDPIGDDPGGDQNTGTFFSSGNDENRTPGPTFWSWIPPADEDRTSDDEGDLKLGMKSSSSTSQARPVMELERSADILSIPFESKHENEHNPPLPPLQSLMEVEKVEFSSSTPETPHLEEERELGVFFSVHAAEAAKALHKVDDKSPHGIYPDGSRWWKETGTEVRPDGVVCRWTLTRGVSADKAVEWEEKYWEAADDFDYKELGSEKSGRDSSGNVWREFWKETMYKKDGSVHLEKTADKWGKNGEGNEWQEKWSEFYGPAGLTDKWAHKWCSIDPNTPLEAGHAHVWHERWGERYDGQGGSIKYTDKWAERSEGDGWTKWGDKWDEHFDPNGHGVKQGETWWEGKYGERWNRTWGEGHNGSGWVHKYGKSSSGEHWDTHEQQDTWYERYPHYGFYHCFENAVQLRQVRKPSDLP >KZN08118 pep chromosome:ASM162521v1:1:2874437:2874646:1 gene:DCAR_000787 transcript:KZN08118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEEMLHLETVMADEAGGPMAAGLAAEFLMVAGFQLAPLEPGNQEEEIHDFVYEDDLDVEDGAVVMQV >KZN09611 pep chromosome:ASM162521v1:1:27613760:27614668:-1 gene:DCAR_002267 transcript:KZN09611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVIMGSQEIAPDVTSPDLCTQDAVYGALQVAAEIGRDELIRRINLQNLRNREKLCLVLDLDLTLLHTKPLHKLSPEELTGLCNSTRKKDLRRWKATGVEYLTKLRPYVRKFLREASKLFDMYVYTNGSRDYARIMVGFLDPHGVYFGSRILSKEDSTVKGQKGLDVVPVHQSGVLILDDTENVWARDRGNLVLIKPYDYFAPKEPNGTRSLSEEGTDESGSAGPLSCALRLLKGLHESYFVNYHTFEEELQGILLEDNGIHGEQRQEVNGKSYLRALGSSKRLRVSDECSSLVVTKRQRC >KZN12004 pep chromosome:ASM162521v1:1:50164018:50165211:1 gene:DCAR_004660 transcript:KZN12004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSSSLSGKRCALVTGGGREIGFEICRKLAENEIRVILTARNEKNGIEAVKKLKLAGLSDVNFHQLDVKDSASIEAVANYVKSNFGKLDILVNNAAAPGLVIVKPQELRSFKDGAGFVIDENAQLLEELFVEDYELAEDCLRTNYYGTKAVTTEMLPLLQLSNSARIVNLSSFYGELNVSG >KZN10598 pep chromosome:ASM162521v1:1:37372639:37372998:-1 gene:DCAR_003254 transcript:KZN10598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRYRKLSYLDEKMAQPRRRWPKRMNIKLKGLRISGHRKLKLKAFSVALWPRKIAKLCADILDKIMKIDGACPGIIFSSQLGFPVLSHPTSECRRNALCFEKRPFHHISPFSVTNEVI >KZN09505 pep chromosome:ASM162521v1:1:26408781:26411273:1 gene:DCAR_002161 transcript:KZN09505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARKTPFFVPILFYLCFFINLQFSFGADSISAYQSLSGDETIVSSGGNFELGFFKPGNFSKYYIGIWFKKVSARTVIWVANRERPITDKYSSVLQVVDGNLVLFDETHTEIWSTNTKLKSSRAVAILLDEGNLVLRNGSSNSTVWQSRDYPSDTWLPGGYLGYDKRANRTQILTSWKNSEDPSPGLYTFELDPVGNQYLLRWNRSRQIWTSGVWNGRLFTNVPEMASGVIFSFTYRSNTNGSYLTYFLENTSSITQWRFIVDYNGQIKELGWLADQQKWSSFWSKPNTQCQVHAFCGAYGVCNDLTSTFCNCLPGFKSRFEKSWTSGDYSGGCKRLMELEYGNANTTSKKADIFQFFSHMKWPDNPQAFSAVNAARCKSNCLSNISCTAYAYYQNTCFTWHGDLYNMQQLPENDNNGRVIYIRIPSSDSSKNNKGIIFGVVGGSIAILSIFSGLLLLAFRRHKANKIERAAEGRMVAFGYKDLKNATKNFSEMLGKGGFGSVYKGTLPDSTVIAVKKLEGVSQGEKQFRNEISTIGNIQHINLVHLRGFCSQGNKKLLVYEYASNGSLDSHLFNPKKDEGILPWTTRYEIALGTARGLVYLHEKCRDCIIHCDIKPENILLDSYMCPKVADFGLAKLVGHNFSRVLTTMRGTRGYLAPEWISGAAITTKADVYSYGMMLLELVSGRRNSEETRDGKVNFFPAIAANVIMNEGDILTILDPNLNLVADIEEVSNICRLACWCIQEDEHVRPTMSKIVQVLEGVLDVDMPPDPRGLQVFIDNEDDIVFLTDKPSSSNLHIQSDPAWASSFVEEQYTNKKTQGSKIQECESD >KZN07974 pep chromosome:ASM162521v1:1:1127971:1131846:-1 gene:DCAR_000643 transcript:KZN07974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNSDSGFSPNSESQGVGSVDPLLIISEPPDLKNWFSSYEYRSPELNTADGLESWNSMIVDDSEEDEEVSFVEDSINVKGEDLGVFEEHKCSEDEWKSSEEVEGNDFSEEGSYVDDSFKVKDENLRVFEDLGDLRRDECNEGETCVADSIKVEGENLGEIGGLDSIDFVGCGGYKRDDCSEEETYVEDSIKQKDEKSRVLRETGNVDTFVQDKVGSEGYVGCENNIDIDDANQVADMSDTLNFSSEPPDIKNWFSSYTYESPALDTNDEFRLSSYKKGKTKQAGLHFGMSLKSEEENGIGTTKTPYNEASIGKQMDSAGSVKTTSSAEEKRQDHKNWGINSCSTINPPFKVISQQILESNSASDSEAVSIEDVEFSDPNTREIPRTRKRKFPQNDFQKPFEALNSSGNEESSPRKSLNRSIYVQEDSGGRSQSDKDMFVSPKSNLDFVASRRSSSRTDMSNNKENDRASCAASGFILARKNRSSRENDENSLIRPPTANSQSVRNGVKVSSVGNRAEYLNRRALTDTTNTQPSDVLGVAGKWKCPQKSKPNLGPPMKQLGLERWVRRL >KZN09293 pep chromosome:ASM162521v1:1:22653637:22658772:-1 gene:DCAR_001949 transcript:KZN09293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSCDIVTLKYITRPIKLGGHTGRGRDAMFLLKHKILKSILLRRTKVGRSADLALPPKMIFLRRDSLDIKEEDYLRSLYNDTQAQFNTYAEGTVVNNYANIYDRLMRVRQALNHPYLVVYSKNALSQSSVANDDGEVKCGLCHESVEDPVFFSSMSEYFLTCRYAEGTVVNNYANIYDRLMRVRQALNHPYLVVYSKNALSQSSVANDDGEVKCGLCHESVEDPVVNACGHTFCQFCMINFSASAGQGSCPTCFITGFKSSSILNRIRLHDFKTSTKLEALREEIRFMVQRDGTAKGIVFSQFPSFLDLIHYSLQKSGVQCVQLDGSTNMKARNTAIKRFNEDPDCKLFLMSLKAGGTALNLTVASHREEIRFMVQRDGTAKGVVFSQFPSFLDLIHYSLQKSGVQCVQLDGSTNMKARDTAIKRFNEDPDCRLFLTSLKAGGTALNLTVASHVFLMDVWWNPAVEQQAQDRVHRIGQFKPVRQVPWLYSYLQSSSTIVQPFF >KZN11506 pep chromosome:ASM162521v1:1:45282530:45284920:1 gene:DCAR_004162 transcript:KZN11506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPKRCSCIIGFRPKSEDEWRRGVWSGGCIRKEDLKCDESGTSDGFLELQSVKLPDFADTQAGDSGDCEDKCTKNCSCSAYGYVEGIGCMVYSGDLIDIEHLEAGDNSVYVRVSNSELGRKKSVSTAAIIGIAVGGIIILGILFLAFWRFRGKLKGVLKKEQRNSLLTIGGRSQDLSAGFSAVDELSTDGKQDTGPQLPLFSFTFVELATEYFANKNKLGEGGFGPVYKGILPGGQEVAVKRLSKWSGQGLEEFKTEMILIAKLQHRNLVRLLGCCIEGEEKLLIYEYMPNKSLDSLLFATYISEKNAKFVQFQAWNLWKEGRTEEMIDPIIADSCPHTKVLQIIHVALLCVQMSAAHRPTMSQVLNMIESENATLPIPKNPDMSPTNSADMDSIMQDHDTNISSTEVTVTEVIGR >KZN10786 pep chromosome:ASM162521v1:1:38970404:38978959:1 gene:DCAR_003442 transcript:KZN10786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLGEDGKGYELARKLETHGVWRQWLGDSIYTSFVNNLSSQSNWNNFMKTDTSKTRAQIELQLRVRALLFDKASISLYLNSNHSASSSSSPSVISKLNPNYLKLHGDDIYFTLENSSPQRDGVLATNTASLKAHSKASFGIGARYGESDTDSMSHKFPDSWYSQFFEKYRVSKPYRLSFGDGDTDKRTPEQMSAYLNVAEKHKKRRIVFKDDQNTAFGNNVFEISNLRSNSVVDDLGTSDNENLFFPETMFTVNCVPDSVLPPSTGAEDNKKVDFNGILDTLPQVKTKSPIMIERLGIRPEYLNMDKKNLSKEQALEMSKKVIAHTLKNVGFESASEESLEILSQLLSGHICKLGRILKVLSDNYRKQYSAMELIKMFLQTTGHSNLGALAQLVKDNSRNYAQQTQQLQNLQSQMQLQQQAFIRQPQQMSRELHPQLQHMVQPQNLTLQQQQQLMRRRQPPTSQRPMMNVNTGFNNSNMDKERPMLQVKIENTTDFPIDNTFTTMNSRHSQMQFRQQQMTAMSALQAQAQAQAGNQFRSLGMVRAPPVKVEGFSELMGGDASLKHDENKLTSPSK >KZN07868 pep chromosome:ASM162521v1:1:286802:289627:-1 gene:DCAR_000537 transcript:KZN07868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYLSISLLLLSPEYSEFTAEGRRVTKLDQILLNGNNIAILVPGGSPDPE >KZN08093 pep chromosome:ASM162521v1:1:2583390:2583710:-1 gene:DCAR_000762 transcript:KZN08093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGKNPRFRQQQETDIKHTPSRKAKTTSPANKTFIRKTTKHTNNNNQNSLLNLYHTPNLKQQLPYKLNKPRLQQQHQARNSQTKELQNVATTSAPKQKSSSIPKR >KZN09060 pep chromosome:ASM162521v1:1:19659817:19663296:1 gene:DCAR_001716 transcript:KZN09060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVELENLAFILVESRKQFDAANRQFNKCLKSCIDYNTVNNNEEFLTRVEAAQVFVCSEADQFENQSRQQEKETDGASQYNFEPLGNPVSEEREVQAEKQAEEERQVEKERNIQEVEEEREVESEKGADGVQKEIEKERPVEKTVSPVQSSKEIEQEKPVEKTVSPVQSSKEIEQEKPVENTVSPVQSSMGSEVIRMLDAAEKDYQEKIRAQEMASNVNVVGIATEAVSGLHDERTSDTEMPVAEHGADKEEAVEEQAAPEALDVSSRKAAQLVKKDGKKVKIIFNTSRRMNVVSSTVPPPQKLTIKTTAQPNVQSSGEVLPSFSLGLTQVEKEAELERQRKSEEEAAQVDKGKRIIHAAEVLKSPWKIRLTRISTKINKEEQKLKDWLLTIDPEGYFLYFDTANAILDNSNCISFQPKQLVTAQVVDAFCHILNMNEMYKAEQSPLRLFVPHHVTVYVLRHSNSEEEEQHFKKFVRDFDDVLAAYDHIKFNDVDLRNFLVRYMVLKNHSKAKDIASLVPVRLEMKWRTEHNHIDCGLFVMRHMEHYQGVSKNWDCGLAVEGKVQDQQLDVLRTRYAHQILLHECNKQKHHVEYQIFEEHMKKAELEKQKAKEKKEKDTKAPAKRQRRA >KZN08781 pep chromosome:ASM162521v1:1:15303518:15334994:-1 gene:DCAR_001437 transcript:KZN08781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYTPLLERTRVPQPSIQSYAVISIFDKFRSSPPHLIDAGREAIAQCLLSSSPAVVDQSVRQLCRLVIQEKFKLSDALMELQSALEASQSRFVDVFVKALGFLVRLGFRNHPHSFRFSSSNAHPFVKILCCRPEVQSELVKQVVLFMVSNKSIGMLKVCDFLSSFFNFMTIRMSDFNSCCRSLVSSVASLCCSYPLDALPVMKLLVKCLRFCPSKNEEENVSYVLEHMVNMFIVVLRQLVEIGLLVHETQLQCVELLETIFSLNIYLDKGSCRSEAVIEMSRRLVTVQNDLSLSYIPEFSSVVLSLFIALVQEEFEHKQLSILRMLLLLLKWKGEKGNLVTECVVEELLFIFPLMNLMSSPSISVKQAATNLLSMLYKSATNLLVTQAKDQGIRRKKPPISRPEHIFYRLLLHIWYQDQFLSYASSNLVMTNGEINMKGTYQLPKRWTDMMAEYALGVIEKQKSSVPISQSESILLKEMAPYLSAIMSVLVLHRAMGASAVDLLAVSGDMNPKLGVPLLLVILFYNNINCAKDRDFHQILLKLLGILPSLASHPAMVPLVVQTILPMLQNDTKPVLYATATRVLCKTWEINDRVFGSLQSVLLPNRFIQYASQRDICISMAISIRDVCKRDPDRGVDLILSVSACIESRDSSIHTIGLLSLAHLCEADVIDFYTAWGVIRKHLLNYLENPIICHGVSLLLRCGAMDAAVFPEAANDILHILWEIGTTLHPASELMWTKARAAAFESLTYYEVLHVQNSTPEFRERTMELLTAETDTEVLWAMEKFVINIIKFEHQTRQRLVKEKRVPRNKIEKLVNISPQLNFKQGDDRKIRDLPGAALFSLTFTHDVNKPGAAKDLHAKFRTALLDIAASLHLSRNISVAVLSFQSWKIFMQRWIKEQIMLNDVKGLSTSLNNTNKPANDILKSIIQIAEESIPRLAENIALAVGAFCMVLPASAHAVKSTALNFLLKWLYQYEHEYRQWSAAISIGAISSNLHATDYKQKFQNINALLEVASICKSTLVKGACGLGLGLSSQDLITRVKVDDESDSHKETYMMQETYLLGRIVRALCQMLSKITRLSSDALELQSICAYFGQATDAGDSESFLIIHDNLEEDVWGIAGVVLGLGNTVAAVYRTGNHDAVCKINGLISSWMRHVNPLIINSTTSEKIELALLVGSCLALPNLVDFFQRVDDLDDSELDNLISSFKDLISELVSVNKSGVLYQSLLFASCTGVGNLLACILNEGVHLVRVEYVTDLLMLFKKCYTASYPPLVHLGGMLGIVNILGAGAGFLFQRDCSTFLHPVHDLKNSSYITGSLLSSSAMEPNLVSLVQDIFLVSQNPDDQQLQSYAAWAISFLRHYLWYRNVRNEDDNSQRDAVGSKFVSSNPPDDSLVMKLSLWLMNHSFPGTGTAHVNTVLTALRCLTSAPRLPLLDWGAIIRRCMRLNSVDHVLLPSDSASSRRVFVREECLLFSLAHAKEFDPLLSLLDELSDLPRFRMLELNMQSFLLSRLADMSKVFSGSRIEKLFDDVANFVKLLVPSHQLYNSEQKSLLRISCWKGLSLCLMGATADGEDHVSYMENCLKVLFCSTSSSAVVGQDHLSEEWSAAIKCLAQARQPWLLDFFKPRDTLQVSEVDFRSGDMYFLEVKKKIQATCMLARTGSIPLSHIGSLKAYMLNTRSQDIWEVLVEVAATLQNADGSIKRQWVLDAAEISCVTRHPLTAMQFLGLLCGSFSKYMPFLIVDRMTVLCDFPVALASLLSETSWGNVAESVVSLLWSTTERLHDWVTNTEIVLSDSPISQSIDQSEDSMADFLLKLLHHTCVSLKDYLPMEKQLSLANMVIP >KZN08286 pep chromosome:ASM162521v1:1:4967864:4969363:1 gene:DCAR_000832 transcript:KZN08286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRLVVLFILVLTTSNVFLYASPGNDTDQHALLSFKAKITDDSLGVLDSWNNSIHFCQWKGVTCSRRRQRVRAINLVNKNLRGTISPHIGNLSFLRGFYLYNNSFHGSIPNEIGRLFRLQQLNLESNSFEGGYPANLSHCVRLTNISIYDNKLEGKLPTYFASWPQLYLFALGKNYFTRSIPPSIGNLTLLHSLHLDYNSLVAGIPLEITHLANLELLALAVNNLSSMIPLALYNMSSLRIVSLTQNELEGTLPGDLGITLSQLQEFYIGENRFSGLFPPSLANASNLVNFEIWGNNITGPIPNNLGNLPNLEMLSLSQNLLGGGNNRLIDDWSFFDSLVNCTYLQYLSLNENSLRGQLQMSIVNLSTTMEVLYMYTNQIYGGIPREIGKLVNMTTLSLFGNLLTGTIPGSIGELSKLGTLDLSTNGISGVIPPSVSNITQLYFLALRCNMLQGSLPPELFTITTLQEVDLANNRLTGVIPVEIVFSYQLFILLLTQN >KZN08964 pep chromosome:ASM162521v1:1:18221762:18227131:-1 gene:DCAR_001620 transcript:KZN08964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSQKQAVSVKLIRNKQTGQSERFGFIEFLSHAAAEKILQSYNGTVMPNTDQMFRLNWATFSTGERRQDAGSDQSIFVGDLASDVTDSILLETFSSRYSTVRGAKVVVDTNTGRSKGYGFVRFGDENERLRAMTEMNGQYCSSRPMRIGVATPKKPSTTQQQYSSQAVILAGGAAANAGLTQGSQSDGDTSNTTSRCPDNHGMMFLFFLSYIYRSSAEVSIEQINGTVIGKQTVRLSWGRNPGNKQFRGESGNQWNGNSYGKQGYNGYQDPNMYGGAATAYGASTNGYGNQ >KZN09747 pep chromosome:ASM162521v1:1:29031952:29034269:-1 gene:DCAR_002403 transcript:KZN09747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENQSQVELHKLSYAETIKKIKGLQLFEPSFRILGFVFLTILVTCSFLYFDYGDLGRGFRVSVQSGTFLGLNFNGSSRGVEEKVEFLSENGGSCDVFEGDWVWDESYPLYESRDCSFLDGGFRCSENGRPDLSYTKWRWQPKACNLPRFDAKLMLEKLRNKRLAFVGDSIGRNQWESLLCMLSSAVSNKSNVYEVNGNPITKHKGFLIFKFVDYNCTVEYYRAPFLVSQGRPAANSPSGVRMTLKLDIVDWSCLQWRNADILILNTGHWWNYEKTIRAGSYFQEGSEVKMDMQVDTAYHKALITVVDYIHHEVNTTKTQVFFRTSAPVHYRGGNWKTGGGCHMETLPELDAALNPSDINSSYSALVDALSAHSNTSNENTIEDCSHWCLPGVPDTWNELLYTLFMKRQAAHSANSSTLYK >KZN10499 pep chromosome:ASM162521v1:1:36325698:36326584:1 gene:DCAR_003155 transcript:KZN10499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFLFTIAILATLSSITEVDSTSFRIVNQCRYTVWPGILTGADRPVINPTGFALKPGKSKTIPAPNSWSGRIWGRTLCSTDRTGKFACLTADCGSGKVECLGSGAIPPASLAEFTLNGDSGLDFYDVSLVDGYNLPMLVVAKGGKSGGCASTGCLADLNNGCPIELRVSSGKRGVACKSACEAFGDPMFCCSEGFNTPDTCRPSVYAQYFKHACPRSYSYAYDDKTSTFTCRGADYMIIFCPKPHTRYQLIHLLLMLSYMF >KZN09809 pep chromosome:ASM162521v1:1:29591844:29608854:-1 gene:DCAR_002465 transcript:KZN09809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMSSYKVMSMRSFSSFRNETSVFIINSRRSSSANFLAFLNMTYYSTNSGNDYHPSSKRRSRGPVMAAKKASKGEKLEDGKYKHTVDLPKTKFGMRANSTTREPEIQKLWEENQVFKRLSDRNNGGSFVLHDGPPYANGDLHMGHALNKILKDMINRYKLLQNFKVHYVPGWDCHGLPIELKEKLLNNVVGLHYDITELLGQFPLPSTILVLQSLDQEARKALTPLKLRAKAAKFAKTTVKAQMSSFKRYGVWADWDHPYLTLDPEYEAAQIEVFGEMVFQGYIFRGRKPVHWSPSSRTALAEAELEYPEGHISKSMYSNFKVLSAPNSGRLLELFPNLFLAIWTTTPWTIPANAAVAVNAKLKYAVVEMHRPLADVSTSSADGKKRVGNFLKEQENSFLIVAEDLVSTLEAKWGVELVIKKLLLGSDLENCRYHHPIENRECPVVIGGDYITTESGTGLVHTAPGHGQEDYVTGLKYGLPVISPVDDDGKFTEEAGNFIGLDVLGDGNAAVIEYLDKQSSIIMVEPYRHKYPYDWRTKKPTIFRATEQWFASVEGFRKAAMDAISQVKWTPSQAENRISAMASGRSDWCISRQRTWGVPIPVFYHVETKEPLMNAETISHIKSIISQKGSDAWWYMSVEELLPDKYRGNASEYEKGTDTMDVWFDSGSSWAAVLNKREGLGYPADLYLEGTDQHRGWFQSSLLTSVATKGKAPYNGVITHGFVLDERGLKMSKSLGNVVDPITVIEGGKNSGEAPSYGADVLRLWVSSVDYTGDVMIGPQVLRQMSDIYRKLRGTLRFLLANLHDWETDYAVPYCDLPAIDQHALFQLENIVKNIKESYENYQFFKIFQTIQRFVIVDLSNFYFDVAKDRLYVGGTASFTRRSCQTVLSEHLLSIARVIAPILPHLAEDVWQHLPFQYATKDGDIAKFVFESRWPDLNDTWLGFPVKEVEFWGKILELRTEVNRTLEAARTGKLIGSSLEAKVYLHASDESLAVKLNEMCENKNDADTLYRIFITSQAVDMLEHHYMSTALGYRIQLVEILSSLDNAPMENIPYTGEYLTEGDNKIWIGVSRAEGLKCERCWNFSSQVGSFAEHPTLCSRCFSAIDGQLTPTLAAAV >KZN08974 pep chromosome:ASM162521v1:1:18542986:18544493:1 gene:DCAR_001630 transcript:KZN08974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGESRHSEVGHKSLLQSDALYQYILETSVYPREPEAMKELREVTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYEIGLPIIEKAGVAHKIDFREGPALPVLDQMVEDLPCAAVSADRQAI >KZN07862 pep chromosome:ASM162521v1:1:249273:250746:1 gene:DCAR_000531 transcript:KZN07862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGVEGADEFTRGLQLNGGTILGMVMKTAIELGLFEIIAKASTVNGISPFGDDAKKLCSDDIVAQLPTQNPAAPAVLGRILRFLAAKSILNWTTVAGEDGKEKSLFSLTSTCKYYISDEDGISLAPALVMLHDKVIIDSWYQLKDAVLEGGIPFNKAHDGMHAFEYPAVDSRFNDLFNQGMYNHTTLLMKKILEVYTGFEDIKEIVDVGGGTGATLARIISKYPLIRGINFDLPHVIKNAAPLAGVEHVGGDMFESVPKGEVIFMKWILHDWSDDHCLKLLKNCCKSLPELGKVIIVESVVPEYSDTSSLARLNNAVDSDMIMLALNPGGKERSLREFEELAKESGFAAVKTICSASVYSVLEFSKKTM >KZN08314 pep chromosome:ASM162521v1:1:5158748:5160488:1 gene:DCAR_000860 transcript:KZN08314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTQRDHRKNLPINRKLKLQQPSFHRRNATLRPRRLLKSSQVLTRCNSAPLLGNGFYNGNGNDNNSRNEDHRSLASRSELGIFRRQTCVDIFCPGENSLLQSPTKFEGYNRDAKVVVNVTVEGSPGPVRAMLKLGSTVEETIRLVVNKYGEEGRSPHLDKRAASSFELHSSHFSLQSLNKSDMIGEAGSRSFYLRKSSSDRRGDSASSSFIQDIVCVNDVSRPPHFLFLPAFISRRIDKFIRRTKKLWKIMGCMPSSG >KZN08720 pep chromosome:ASM162521v1:1:14233562:14238636:-1 gene:DCAR_001376 transcript:KZN08720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRRGSEKENKEQNGVLPSGQVRVLVVGDAGVGKTSLVHLITKGSSCARPPQTIGCNVGVKDTSDTKTVGPFFIPKSMVRGEPSCLCSFEKQLEPDYLFKAMQFVYYLRRTKSSLQSWAVEIAANGTFSAPLRSGGPGGLPVPYLVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLSSSDELPLTESFPGGGGLIAAAKEARYDKEAVMKFFRMLIRRRYFADELPAASPWAIPVNNSSQSGEIMSDEDRLYKSTSSLVDESYKYNALPPLPAQRNLTPPPTLYPQQPMSTPDTKYTTIPRYAITSSNEYSIAARSKRTDINV >KZN08208 pep chromosome:ASM162521v1:1:3936942:3937109:-1 gene:DCAR_001273 transcript:KZN08208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVILYHIIFNKGKKNPNYAKHYPGIYSSLYHYCAEGNIKNQRLLMKQQVVKYR >KZN11275 pep chromosome:ASM162521v1:1:43316461:43316670:-1 gene:DCAR_003931 transcript:KZN11275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEESNATQLLETPDSELTDSQACLACCGGCALCVVCLPCEIITAVLSCLLCPFQCCFALCLGAAAAA >KZN09605 pep chromosome:ASM162521v1:1:27514847:27515692:1 gene:DCAR_002261 transcript:KZN09605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEATTQCILYVPDDNEERSPLALCANIKGISQTHQDHQVCAYVIGIMINMVPARRQQLLDLIKFRIDIIALPSQAEVTMLDLTNLPIYDNSTYSVLLGFCFLLLFKNCTQQNYSSFAHFAGVAPDEEIPNPSSFKKAQAVREVLGSIPELKRLVIEFIINWSKSGRTSFNCMMAHLASLLEYNEMSAFRFCYETLILSESPVLKDPRVSDEVSNLYEALGLVNRSEYPKYFRYLAPKEQSSKIDRARFPTLAAVAQKIKAEVMGNRSVLQMVSFSSKGVT >KZN10608 pep chromosome:ASM162521v1:1:37524955:37538342:-1 gene:DCAR_003264 transcript:KZN10608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRFQIFLLVFSIQIFSIASQDDAVLQALRSQWKNTPPNWVGSDPCRGNWDGIECSGSRVIAITLSSIKLTGQLPSDIVNLSELQILDLSSNPGLTGSLPLSIGNLKKLSNLILAGCSFTGSIPDSIGSLVQLRFLSLNTNRFSGRIPPSIGNLLNLYWLDLAENQLTGTIPVSSRSSPGLDNLVNTKHFHFGKNQLSGDIPSQLFNPNLTVLHVLFESNKLSGSIPSTLGSVRSLEVVRLDSNSLTGSVPSNLDNLSGVKELYLSNNGLTGPFPNLTGMTSLNYVDLSNNSFTASAIPSWFSTLPSLTTLNMEDTGLEGQIPTSLFSAQLQTVNLKGNHLNGTLDLGSSFSDQLQVVDLQKNSIDGFINEPRYTNDLILVDNPICQESGVRESQKYCSISKQSDPLYSTGPNSCARTPCSSDQISSPNCKCAYPYSGTLFFRATSFSNIINPTIYTSLEPLLLLAFQSNQLPVDSISLSNPTRNLDNYLVLNLDIFPTGTDRFNHTGISGVGFVLSNQTFKPPDTYGPYIFIGHSYNYFAGEGSHKSSSTGIVVGVVVGGCVLVLLLVIAGLYAYRQKRRAERADKQNNPFASWDPNQSSGGIPQLKGARNFSFEELRKYTSNFSEVNNIGSGGYGMVYRGTLPSGLLVAIKRAQQGSTQGGLEFKTEIELLSRVHHKNVVSLVGFCFEQGEQMLIYEYIPNGTLKDSLSGKTGIRLDWMRRLRIALGAARGVQYLHDLANPPIIHRDIKSNNILLDERLNAKVADFGLSKPMGDADKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVVLLELLTARNPIEKGMYIVREFKMTMDTTKRLYNLQGIIDPVISGNELIGFERFVDLALSCVKEAGINRPSMSDVVKEIENVMELAGLNPNAESAQTSENYEDVVLKSLKDVWENLPPSWDGTNPCQENWEGVGCTNSRVTSITLASMNLTGTLSGDIPVLSELLYLDLSYNKGLTGTLLPSIGNFKKLTNLILVGCGFSGIIPDAIGSLPSLRILSLNSNAFSGQIPPSIGKLSNLYWLDLSDNQLHGSIPVSTRTAPGLDMLFNTKHLLFENNQLTGNIPSTIGLVQSLEILRLDRNLFSGSVPPNLNNLTRVNELYLSNNKLSGPLPDLAGMNFLFYVDMSNNTFDVSDFPLQLTTLRSLTTIVMENTNIQGEVPLELFSIPQLQTVILRNNHLNGTIDVGSSFSNQLQLVDLQNNNISAPVQRAGDKSEIILIGNPYCESGGTEKYCTLPQQSNSSSYSTKTNNCVPALCSSDQRSSPNCICAYPYQGHLYFKAPSFSNLEDPSIYTSLQLSMMSFFQSTRLPVDSVSLSNPTKNLDDYLVITLEVFPLGQDRFNRTGISSIGFVFSNQTFKPNKTVYGTYYFNADNYGVFSSDAINRTSKSSSSGIAIGAAAGGSVLVLLLLLAGIYAFRQKKRAKRATQLSNPFGSWDSNSSSTGGPQLKGARCFSYEDLKKSTNNFSEANAIGSGGYGKVYRGSLPGGLLVAIKRADQQSMQGGHEFKTEIELLSRVHHKNVVSLMGFCFEQGEQMLVYEYIANGTLKESLSGKSGIRLDWNRRLRVALGAAKGLQYLHDLANPPIIHRDIKSNNILLDERLNAKVADFGLSKPMANRSRGHVTTQVKGTMGYLDPEYYMTQKLTEKSDVYSFGVVMLELITARRPIENGKYIVREVMLAMDKTKYMYNLQAILDPAFDLTMTPKGLEKFVDLAFSCVDETGEQRPAMSEVVKEIENIMLSAGFDPKADLASSSSEYKGSSMGYEHPYSDKSLFMLSATQIYQPPKVEPK >KZN09273 pep chromosome:ASM162521v1:1:22421426:22438137:1 gene:DCAR_001929 transcript:KZN09273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIFKKLHIGGSNHEPSSTNSNPNRSNETNNTTNITGAHRSNETSNTSINNTSITSTTTGSTPTPPSIVSSISDHHHNQDYYTSEEEYQVQLALALSVSGRDSPDIQQIHAPILRDSPADLLSRQYWDYGVLDYEEKVVDGFYVVYGLATDSTKHNKMPSLAELQTSNDNSGFEVVVVNQKVDPVLEELMQIARCIADTTTAEVGLLVHRIAELVTEHMGGPVRDANTILQKWTESSTKLRTSLHTSVLPVGSLKVGLSRHRALLFKVLADYVGITCRLVKGSHYTGVEDDAINIIKLEDESEFLVDLMGAPGTLIPMDIFSTKDTSLKPYIPNLSKIPNLPIKNDSKDVSSSSSAQYKTSSERVAGNGLPVGGKSGLDKTDVPSVSRANGDAEAGSSVYKKKGSNNNQLDHIPSLAIGSSLYKGGRGLNAVGDGSRMNVNVVPYAQTGNDEAKNLFADLNPFQIKGPGKMSMQNKPNENKVNDFQKVTNNVASGRPPVPLKWKNTYAYNDTSREKRYGGAFSKENREANSYEAPFASTSSSANANYTDNTKVHVDMGSTPTGYAEKDSSTESCVPNQLLETYNVPRTSYTEQDQWRSDDLDTAKEQSEMVYNQSENRLAKYDLNLNNPEIPSKAIDFSRTKVEIDDVWECEIPWEDLVLGDRIGLGSYGEVYHADWKGVEVAVKKFLDQDFSGAALAEFKREVRIMRGLRHPNVVLFMGAVTRPPNLSIITEYLPRGSLYRLIHRPHSQIDEKRRIKMALDVAKGMHCLHASRPTIVHRDLKSPNLLVDKNWNVKVGDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNENSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQNRRLDIPANLDPLVARIIWECWQTDPNLRPSFAQLAVTLKPLQRLAMPSHDGQENSLLQQEIPVNFMP >KZN09336 pep chromosome:ASM162521v1:1:23396268:23399276:-1 gene:DCAR_001992 transcript:KZN09336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQGNPPSNKCLLTWSSTFVPRNVCPSVHFEVNEKKKKKRGSRIIESSLLETTPVVLWAGRVCIHYALLKAGLAGSPASPLVSQDTGIGGGAADLGFAKWFEELQGKPDKEAASRRKLVSKWHPTTKGTLKRSYRIPSKSEGRRLLRAIASVLSDDDQFRDATSHKGCQIRRETAHGETVCCNNVRALFDELPTPHLTVEITPFPAGPLTEKDYSKAEKLEKVLRSGPSV >KZN07912 pep chromosome:ASM162521v1:1:631433:632200:-1 gene:DCAR_000581 transcript:KZN07912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYDEDYGPENYSDMFLSKVVVYSSVLNINSAFGLYPRHACPSPYVMAIYGDYYKLGFARLGDKVWTDVCVPAFNFSDIVYHKGNVYAVNCHGNIFVCGCDGDEEGGHIRGREIAWLESKDWEKKYLVEPTSGSGLLLLVRYRKELRLKYRTTHFSVWRLDLKYSDSLKDISCSLKQEKELGNESIFVGNSSSTAVSSSEIIKPNCIYFTDDNKEPYYHEGGGHDMGIFSMEHHTIEPHFQGKSYHPVSPPLWYI >KZN09297 pep chromosome:ASM162521v1:1:22709209:22715676:-1 gene:DCAR_001953 transcript:KZN09297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETEFHHKYHPMHKGNEMRSLCNHTPFSHFCWWDKIFLRRDSLDIKEDDYLKSLYNDSRAQYNTYVNEGTIMNNYANIYNLLTRVRQALNHPYLVVYSKNAMSKESSAANDGGEVKCGLCHESVKDPLVNDCGHTFCRSCFIDFSASAGQVSCPTCSITGFKSSSILNRIRLDDFQTSTKLEALREEIRFMIERDGSAKGIVFSQYPSFLDLIHYSLQKSGVQCVQLDGSTNMKARDIAIKRFNEDPDCILFLMSLKTGGIALNLTAASHVFLMDAWWNPAVEQQAQDRVHRIGQFKPVRQVPWLYSYLQTAVF >KZN11440 pep chromosome:ASM162521v1:1:44665608:44666954:1 gene:DCAR_004096 transcript:KZN11440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEILSRELIKPYTSTPPSLGRYKISIVDELSPVMNVPTIFYYAADIHGSGIENGTSISRCMHLKKSLSRVLTRFYPFAGRYVKESYMVDCSDQGAEFVEAKVDIRLDEIIGQGKDLKVELLNSLLPRPIGAGDEITDPVLAIQVSFFTCGGWAIGVLSSHRIADISTTSTLIKEWAIEAKLLLGGYDENQVAVTPLWNSASLFPGQKLSGLPLGLTRAKENVEDHKIVTKKFLFSKSAISKIREKARLDKSSDRLPTRVQSLWGIIGKAIIDIYVADPEKPRGFLIIQAVNIRERTDPPIPKHQCGNLYLISTTQSVAGEKGVDFEGLVYQCTCSAKRDVEICKMLLSAGDGGRLISQGFNDLTKSLTNPEISSVSLFSDWSKFPLYEADFGWGKPVWVSSANIPLRNNVYLFSEKFGGSIEAWVNLHIDDMPKFEQDPNIMEFTT >KZN08882 pep chromosome:ASM162521v1:1:17190235:17193076:1 gene:DCAR_001538 transcript:KZN08882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTALQPNLPSKFCFVRLDRIQKRFAFVTHQRKRTCAVWIKSVLQEGNPGFSDSEATEPSITILERLYDQKQKLEKQTCEDLNLTQDLRSELGNLDCALQVAWAVLKKKEKDLREAETKVMLECNELNQAKEELDRREEELSAASLKHKTLEEELKQFNTDLTRQATEIEDLKLQVKKKDQDISVAQCALSLKEEEINKSVNELIRKSEVYANIEIELKYRNKLLNAANNVVKRQELEVQELRQGILVEEKEVGASLNLRKVENERLEVAEANLEKQTTAWLLAQEELKKLAYEASKNGREANKTVAEFKRVKKLLASVRSELLSTQKGLSSSREKRNEQQQVLEKQLIELEEQRSNVATYMTSLKDAQIEVDSERIMLRTAEAENHQLEVYLQLKKELINGLQVELNEEKSAVQHAIGEMYSLQEHLNKRVSDHEASVKLLQVKEEELVEGRLKIQHLSSEKRSLQLILEEKNLELSNTRIMLEDVNQEMNELSSFMDGREDQLHQATTILKETEEHAQKVQHELTDAKIRLSEAEIVVEQIAELTEDEDYDSQNLCSEMEHKFHDLREKPIDVFKWKKEQLETVLEITRESLRSKEMEVLAGQRAITIKDGELEMVLQRLDEREKELRMVKKALDSDVNHLQDLYTLTQGKFGGESVGDLAFEKLQLEAAQLEVEAATTTLGKLTEMSQQLINQAHLNMEVDYNIQAVTHEPGINANKESFSNVQAELAQFSALTDQLVKQAGIVDDLL >KZN08982 pep chromosome:ASM162521v1:1:18600875:18601438:-1 gene:DCAR_001638 transcript:KZN08982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQVAFCLAFLVTAFIVLPYVQSGLSSTGDIDWWCNQTPNPKPCTYHMSHIPGQEGTNISRKQFLTLAEQTTLAAASSELGYVRSLEPRVINNAERSAWSDCLLFYNLTVKSMSQVLDVSRKSTAADVQIWLSAASTNILTCEGGFFDVNVTTNIYPLIINNNVTQLVSNCLAVNKVFYDREKGNK >KZN08907 pep chromosome:ASM162521v1:1:17527991:17536643:1 gene:DCAR_001563 transcript:KZN08907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGSSGNDERRERKSDFENSEDERRTRIGSLKKKALNASSKFKHSLKKKSSRRKSDGRVSSVSIEDIRDAEELQAVDAFRQTLVLDELLPEQHDDYHMMLRFLKARKFDIEKAKHMWAEMIQWRKDFGTDTILEDFEFKEFNEVLKYYPQGNHGVDKEGRPVYIERLGKVEPNKLMQVTTMDRYIKYHVREFEKTFKIKFPACSIAAKRHIDSSTTILDVQGVGLKNFTKVARELVMRLQKIDGDNYPESLHQMFIINAGAGFRLLWSTVKSFLDPKTTSKIHVLGNKYQNKLLEIIDGSELPEFLGGTCTCADQGGCLRSDKGPWKNPDILKMVLSGEAGRARQVVKVLNSEGKVVAYVKPRYPEVKGSDTSTAESGSDAEDIASPKAMRSYSSLRLTPVREEAKVNGSAGHASTFLGYDEYVPMVDKAVDAGWKKQASFKKVTASKGTPTPAPTLPASQKAPEGNRAQIIALLMTFFMTLYTYFYSVARHVISINPGKSSNNDENVKEITTDSMPENEHSPSPPTPKFSETELLASVLKRLGELEEKMDTIKAKPSEMPYEKAELLNAAVCRVDALEAELIATKKALHEAWMRQEELLAYIDSQEEAKFRLLFVETQFDCETAIRTITNTRCAKAMAAIEYPSHRPYLKNNNTICSNKSASKGRINIKISSKNKSLVALLVQNPTKTPASKSKTHKLNNNFKHSADEAEADDRSKMGSDFGLKSDSEVMLAIGAGSDPTRFDGDEKLLEDLLYPAERPKGCF >KZN10402 pep chromosome:ASM162521v1:1:35368510:35369625:1 gene:DCAR_003058 transcript:KZN10402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLQQEAIDFVYVVKRRFTNQPSKFNEFAQVLASLKLQQDHTRLISKLNSLFADDHPDLLHALQLFLPNTSEFSAANNNENDDDDDHVIRDEDHPPSKRLCTADKSWFLCESSSELFDRIRDRCVEKNDVAFRVLIEFTESVLAYYEKRISYCQVDQICKDLFRDDPDLFIESNRVISQSVKKCDEKKRLGLWGNSEKDKLGEKYESLSNGEKKDKSSDKGYNSLYEATMDVKEFYLFEMDLAFSRVDSTIEKLEKNVDNLEECLTVLDFKCIRKLYNDFDDDRDGGFGEAMVEILKSDSVARVQGRVVVLQRLRQKKMQLEEQKLRLDKIWNEIFEDIMKQGRVCRHREFLRRTKEASINGYKSRSNQV >KZN11582 pep chromosome:ASM162521v1:1:45968602:45972859:1 gene:DCAR_004238 transcript:KZN11582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSLSTPLSSHSLSALSPQRLKPISSPSVSFTPIKSSQQLASKPTPPNALSFQTIKPNPTLHFTASAPPSQAMRGGDTDAMGLLLKERIVFLGSQIDDFVADAIISQLLLLDAQDPTKDIRLFVNSVGGSLSATMAIYDVVKLVRADVSTIALGISASTASVILAGGTKGKRLAMPNARIMIHQPLGGASGQAIDVEIQANEIMHNKNNVTQIISEATGRSFEQVQKDIDRDRYMSPMEAVEYGIIDGVIDRDSIIPLEPVPDRVRATLNYEDMSKDPKKFLTPEIPDDEIY >KZN09369 pep chromosome:ASM162521v1:1:24309792:24319825:1 gene:DCAR_002025 transcript:KZN09369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSLEDLLAKEGFKSRKLKSISRTSTSSEPTSRPLYTNYDNNKSGLSPGATRARRTEKTKSDIPRLQSDLIKDVRAIPRDDLFRKEVLDENLKKEAMLKLNRKNSLQFRGSKSFDIRRAAIFPESEIVEADLDHHEVDTGSQHDERYADIYSNEVFSPSGSTGKVSNGSGGIVTARNMSGKNLQDYKRRGNNSNQNLLGHVSSRLNNHKTMKQTEASSYTPDGGSQKSKSSKESRHRRQPETEEAPAEPALDEIAVRAMISILTGYIKRFFIDEDFKASLRHNCFASLNFVGLEEGINTESKVIDNLEQAIETVERAAEESATATELKKASLHLSVITGLNANDLKDGFTSGIPNSKLSACAHLYLSVIYKLQKKDRIAAKHLLQVFCDSPFPARITLLPELWNDIFFPHLSHIKAWYNHEAVSLADAPSKEIKLKILEKVYNEIMDSGTYQFAAYYKDWLTEGVEAPTIPSIRIPSASIQGVNGGVSNSNYSDPGNTLIPFSSQPMLSLKLYHSDLGSFKKSGADQVVDFEEAENFDISRRSSTASVVEDKETLAYSSNAADHKELVIQQKIAHHEDGLTSCTRSGSGEINYIDKFDDAYQLQVTNSIDMLQELPYTKVNELTIKKLAKAVFSLPLTEECRNEINSSLLTNKDAHCYKPLFNKSLISALSNSVFYESEVQANHPMLFHQGWSENSDSFVHRPSFLSIPEDFICPLSGLCFEEPVTLETGQTFETEALRQWFNKGHKTCPISGVTLEYQAVPLTNFILKRVVDTWKFEHCRTVLGFASQLAVNIEDKFKDEAAVSIFEHLLSFSSRDERIKNARLLISLGGLEFLIRRFGSGNLEEKTIIAALLSHCIEADSGCRNHIARNIEKTCFVELLHCKQAKSIANAVSLLIELLFLNRRMDVCSFLSGLENKELVNTMHVILIYLQSCPSDRRPLVAVLLLHLDLLIAPKKYSIYREEAVDAIVVALESSLTDDKIRENCCHALLVLGGRFSLSGKVMIEDWILKIAGFIDCHEPELLDSNEDLRAANCTLPDTDEDQANEEWLRILSASLLDEKKSFLEKISMCLGSGQLDLVRTCLTTVAWMSSSIASLPDFRRSAFSPLISHLKGVLENSERVEHKVLVSMSLLNFSTIPECRIQLAAIAQELESPLRSLAEVTWTAEKLYITLFGESL >KZN11390 pep chromosome:ASM162521v1:1:44222790:44224201:1 gene:DCAR_004046 transcript:KZN11390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEDMSNSARRMSTRTRRVAPKMVAALASNDNRTQAVLARLEALENDNPGLETVEVNDDSDASLDDDDEQVKRQSKGTKRKTRQAKALENAKKAPRTFHELLHEANLESLPRHVPTYLRAAVGPPSSVARRHFCTVCGFSSNYTCVRCGTRFCSTRCQNIHNDTRCLKFVA >KZN10224 pep chromosome:ASM162521v1:1:33684908:33686595:-1 gene:DCAR_002880 transcript:KZN10224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFKSFSFIKFVLILFRVLPKHYNKQKGLQISSASDVYVESFPQFKHRCSESSETTLIFNVEGTLLRSSSLFPYFMLVAFEAGSIIRALLLLLLYPFLRLISEEMSLKVMVLVCFMGIKKESFRIGSSVLPKFFMEDVSAESFAALRMAKKKIGVTELPQVMVESFLRDYMEVDVVVGRELKEIGGYYVGLYEEKETSKRAQCAEAKLFRDVAELSSTVIGLDNISCSTQNQLFSGCKEIYMVSEAEKLNWSILPRDRYPKPLIFHDGRLAFRPTPLSILAMFMWFPFGLVLSIFRITIAVILPLNVSLPVLFFSGIKLRLLNSDSLNNADHDIIGPKGTLFVCNHRTLLDPLFLSFGLKKPVAAVTYSLSRLSEMISPIRTGRLTRDHDQDSKMMKELLNHGDLVVCPEGTTCREPYLLRLSPLFTELSDNIVPVGMDSHVTMFHGTTAGGSKCLDPFFFMMNPDPSYTVRFLNRVRGASLCKQGDRSRYEVANVVQSELGKALGFECTMHTRKDKYLSLAGNEGLVSA >KZN09581 pep chromosome:ASM162521v1:1:27365454:27368762:1 gene:DCAR_002237 transcript:KZN09581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLEELVAHPDPVILELNRLQNQLKEKERELGDTRSEIKGLRTADVLKAKAVEELANELNKLDEKLRLNEALLEQKNLDIKKLTNEKKEALAAQYAAEATVRRVHADQKDDDSFPIESVIAPLEADIKMYKHEVMTLQEEKRALERLTKSKETALLEVEKILKSALERALVVEEIQNQNYELKRQIEICQEENKILEKTNRQKVIEVQKLGETIQELEEAILVGGAAANTIRDYRRRISELNEENRTLERELARIKVSANRVATVVANEWKDENDKVMPVKQWLEERRVMQAEMQRLRDKLAISERRANTEAQLKDKLKLRLKTLEEGLKQTPNITVNQKASSGSPKPGNSSSTENVSNGERMRSVSQPRASAINRNLQKPILEKELKRGNSLKMRYGFGELLVRKGLWSSRSKVGNIDELERAKTGKENDVNIDKMKENGSEVLDNVEPYVAVREDSESITGLNPQTDDSVSGFLYDKLQKEVISLRKSCEKKDNNLSAKDEEIKVLMKKVDTLVKALEVESRKIKRETAEREKNSAANMINGYNNSRKTKSGVK >KZN11736 pep chromosome:ASM162521v1:1:47607763:47610767:-1 gene:DCAR_004392 transcript:KZN11736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMASAVALPFRLGNLICDNTTTRTHMDITKLKLMTDNTGLLADSVTKSPAECTSGKSEVIICDDSEMDSNCVGVSLPAESSAGPSVSGKENDLISNDAVIQESEEDDLLSVEGDNILDSSFSFSAISDSSSIGADEILAFEALSDMGRPSTLVADKNVGGTVVITKPGGFIESDVTMDVKDSLAVTVGIEDNLANGPDHKPAAVVQLPPEQGSGGPAVRSVFEIDYVPLWGFTSLCGRRPEMEDALATVPRFMKIPIQMLIGDRALDGVTKCLSHLTAHFFGVYDGHGGSQVANYCRERFHSALAEELDLLMAKLKEGSTNDDCQEQWRKAFSNTFLKVDAEIGGEANGAPVAPETVGSTAAVAIVCSSHIIVANCGDSRVVLCRGKEPLALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFIPRTRDDECLILASDGLWDVMSNEEVCEMARKRILLWHKKNGVTLPMERGEIIDPAAQAAAECLSNRALQKGSKDNITVIVVDLKAQRKFKSKS >KZN11277 pep chromosome:ASM162521v1:1:43333798:43334100:-1 gene:DCAR_003933 transcript:KZN11277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGETKLSNEVVEPMTKDGGAENQAPAETKPVSDEAAKPEDVKIAACYACCLVGATCVVCFPCYIFGVAIRCLLLPCKCVSVFCCPSEETLPVAKRPAA >KZN09986 pep chromosome:ASM162521v1:1:31411490:31412600:-1 gene:DCAR_002642 transcript:KZN09986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQPRVESFIEHQDACNIREIRPNHQHASLPQQQQVCPPRPASSTSPSSDANLTDWVTLSRSFSMPVTNTHPQLDHENFDQHNLELRLLPSTSHMQECSLYSSRNENNSTQLKLSIGTSSCSIQDNENRRTDEGGGEEESLKKAMAEKALADEARKVGKRQIELAEMELGNAKRIRQAAQAELEKAQIAKEQATNKIRSLMLEITCHACKRDFEAAKPRNNGTTAARIFADDQACFAMSYMPSADHITR >KZN10449 pep chromosome:ASM162521v1:1:35780031:35780771:1 gene:DCAR_003105 transcript:KZN10449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCVNPLPPYYANNFSMHGLWPAAADGRTLQSTRRPRRIPDDVFERIESKMNRWWANINSRHASRSFWEHEWSKHGVTGNWGEDGAQNYFLDGIRSRQNIDLLFILRSGGIRFNTYYTKRDIVGAIRKWLPVQNIYISCSGRPGGFYYLHEIFICLDHNLNYISCPLDSNPRRCFGDFFQIPST >KZN08392 pep chromosome:ASM162521v1:1:6042905:6044330:-1 gene:DCAR_000938 transcript:KZN08392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDSTAAANYASNWFNVQNVPKSYVFPEEVRPGELPPVCHTLPVIDITKSVQADTVQHIMKASREFGFFQVINHGVPAEILLDTAKVLRDFFEMPEKESSDSGGKRNWVYGGSTSFTRNGFDLWRENLKHPCYPLKDCMEHWPEKPTGYREVVSTYVTEVNKLGNTILQLISRGLGLEVGYFDGISGVEILSANNYPPCPDPSLTLGVLKHHDPSLITILYQGNVPGLQVLKDGVWISVGAIPNAFVVNIGNQLEIISNGIVRSALHRAVTNSSEARLSIAAFINPSPNFIVEPAQALVTELSPAQYKPTLYKEFVNGTNAFGPHTKAMQRA >KZN09696 pep chromosome:ASM162521v1:1:28545333:28546013:-1 gene:DCAR_002352 transcript:KZN09696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAVAKPKAPKVAKEKKAAVVKKPRAHPPYADMIKEAIASLKERTGSSQYAITKFIEDKQKDLSPMFKKHISLQLKKLVASGSLTKVKSSFKLSAPVKAPVAVKKAAVEKKTVAAKPKKAVAAKPKKAVAAKPKKVVAAKPKSVVKPKAKAVEKKPKAAKASALGKRKAVEKAAPAKKAVKKVKSLKSPAKKAKK >KZN10727 pep chromosome:ASM162521v1:1:38479875:38480600:1 gene:DCAR_003383 transcript:KZN10727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTLILLNPTLDSHRRHNSTSPSLQTHFPKHILTSRVSNPHVFFPFSEKPTCPISRTSKIFAFPSNTQDPAQSQLTDSTRTVASLLALALSVSRLFSEKIATFLVKMKGICAVPSQDELLGIKNVQDNVVCAMGPLFFAAINTGPRALNTPLTVVAAGMSKWLEIYSAVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPLFDTLDVSPLLAFAVLGALAGVLGASNKPY >KZN11344 pep chromosome:ASM162521v1:1:43828022:43829992:-1 gene:DCAR_004000 transcript:KZN11344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKIDFNAPLLSVRVNRKTKEVPRPVRNLSLPSQKSDWELTEVAKPAAVPFIWEQIPGRPKNGSTNQSLSPPERSVSPMFPPGRTFDNKKRVSGEQFQDKYVCRPHVEASHTNDDVKCLDVCKKSAGSLSDDESYSDALDTLLESESISWNCSVSGLSDYDSSYARSSSNFSADPQTREIMMTRFLPAARAMAVKKESKKQTMPSELPRHVRQVLSGELRPTLEKYGSNTAIHPKQYKGSVASEDEDNEFIERGRKSIKACGLLPRLCVKNSLSLLGPVPGTKSRAQSPIPSVPEFRRMARTAHSGPLPQTHHEKQDWNDVYWKNMQKNIQSRVQAQELVNNKLNGVSKRFNHNTDLYKTKGLSPHRLSRSGGVSPFRNEKPQSPFYDGARFLGIPKIVKDDKASEFRPVDRESDKQDISVHQIYKQGSGPLIALDPPYDAEVPNLDSYSLKIGRIDKAYEKTLGESRQVEGLSPPKSFPQEKVVKPILLSPPRADKPSYTDIYVIKNQETSDGMENHEQDIGQKPSAQCLASSLKDNLDKIENTEKVKNQDKSNSATPKSPKPPPLPKSPSESWLWRTVPAVSLRNPFSQNQPKKQHQKPSKTAAKWETIVKASHVRHEHLRHSEV >KZN09275 pep chromosome:ASM162521v1:1:22452120:22459830:1 gene:DCAR_001931 transcript:KZN09275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMHRHARSSSLVRKPNTKEAAQRLAMFMSNKSGGGGSGDESSEDDDLDLLDYDPSNASSFRLGAGGRAARPSSPMAVRPSSPLSVRASSTEHAPLRSLAHRISKPVNFEEQQSSSSESSRASKPVSTKVDQRPASARSLRGHLPQSANLVEQQNSAGNMETESVDYSEEEASPLGSSQVPQDFKKQNSSARLHSPSRSSRAHSIDQPQSTRSSISSRTSQAPTTGEQNHPLSARSGSGGRAFPFSASLEQLSSDHSALSTRPSSGVKNAHIIPSSVSVSLGPTHSGITGEHRSISRKANSFVLQKRKLMNRKKGPDNLRNRQSAREAATSAFQQLKDVASELSSLKTMTQRSMLTQEEMEEVVLKRCWLAHYWGLCIKLGVRSEIAAARHEYWSSLAPLPDEVVLAAGQMAKDEDSLGLLQWQSSKSICTLLLAKAGAHLSSSHLLYKHSAENNDLKERSEISQDVNEFLGDANIENMLLVEKGLRELTSLQIENSLALAMAHQRPRDSLKSCLTDEVELPEEGKKETIDLGEEELDDVLFKQAWLAYFWRRAKNHGLEPDIADERLIYWINQDTEVPATSQDAVDAERGLMELWKLGIETQLWEESRKIIDHDPKVKLQMDSSF >KZN09630 pep chromosome:ASM162521v1:1:27821517:27830329:1 gene:DCAR_002286 transcript:KZN09630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIINSNLYSLPFRSPVASASRDANTNPKSVKLRDDWRTKSRPIPPGGTYPAKDHCSQCGLCDTYYIAHVKNACAFLGDGMSRIEGLEPVVHGRGRKDDSLDETYLGVYEELLYARKIKPEFPERCAAEFCSTWLDFGFVEVQCDDWIPGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPDDRFAPRPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEQHLNLEKLYVLGTNCVDNGKREGLDKFLKAASSEPESVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYAGVSMPRHPQYVTVRNERGREMLNLVKNLLEITPTVSSGDRRPFVMETVKADDKAKLGKGPAEPAPKFVGNIIAFLLNLVGPKGLEFARYSLDYHTIRNYLYVNRVWGKQRAEKHMPSYAKKLVAMYNQNDEIDQMLSSK >KZN09968 pep chromosome:ASM162521v1:1:31239119:31240501:-1 gene:DCAR_002624 transcript:KZN09968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRRLIRSSIFRRHLHVISRTKATIKPASPTPLNLKQYNLPLYDCIAPNYYVPMLFFYPNQNSDHGTQQPSPNMTATTISNQLKNSLSETLSKYYPLAGRLTSETQVDCSDQGADFVEVQIGCKLSEIQGNNIVKGDKFSGHLFPPNSIWDEVPNQDSSLVRVQLNHFDCGGIAIAASVSHKLSDALTVCSFLRYWANVSRHSGDHPKLLDLCPQFQYDLMPKSCYDDSVTTKIVCPPKHWITKEIVFHNKNIETLKATARLKDKLDGVVEDQKYTRNELVTALLYKCSVAAAAATSKSGEYAPSVLFQTVNMRRMMEPKVPETSVGNIVTPIHIPTTTESETMLNNLIRKMRQAKMQLRGIKNPNEFELIPVKLEEYVKSNHRVVAVSSMCNFPIYKEMDFGWGRPARVSLVDTPVSDLFTLMDTASGDGIKAVISLEEKYLEHFQNNQELLTYGCFL >KZN11014 pep chromosome:ASM162521v1:1:41079153:41079684:-1 gene:DCAR_003670 transcript:KZN11014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWTAQQNKAFEKALAKYDKDTPDRWYNVAKAVGGKTAEEVRRHYEILVTDVRRIENGNVPFPKYRTTGG >KZN10237 pep chromosome:ASM162521v1:1:33768584:33778517:1 gene:DCAR_002893 transcript:KZN10237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSASDSSSKAKVSDVIASVKQKILKLEKQIQADRIQYVKEIFQTNRQKLDTHLSFVPADGSNYDISSAEKSNLGNMLFSKIENPLHIYRGIAQGLGDREVFSCQDATYPTSATLPLVEKIPSYTTWIFLDRNQRMAEDQSVVGRRRIYYDQNGSEALVCSDSEEEMTEPDEEKHEFSKEEDHIIWTIAEEHELSDEVLNVLTHYISGTSSEIMERYNVLKRSHEEKQRPNPSSEEKRLDTSMYLEKSLGANLDSLDNLFCRRCLVFDCRLHGCSQGLVNSTEKQPYASESEDDGKPCSDQCYLQLRIAKDLPDTSLANSSAKIESKEEECSDPISSNDGESGKRKCLTQTSEFQESLTGSSNDSQVYNKKQKVLSGPTEVATDAKTSLAEDDRSSTKLADMDIIEIDKDDFGSSTKHVGSTSSSHDSNKAPVFVGSQAKGVVSSNEWKPLEKDLYLKGIEIFGRNSCLIARNLLPGLKTCSEVSAYMNHDAAAMSHGSTALSNSFFDGDGNVDAKKLEQGLQARSRLFRRRGRTRKLKYSWKSAGHPSFWRRIADGKNQSCKQYIPCGCDSICGKQCPCHQNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRRGDGHCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFANERIEASEELFYDYRYGPDQAPEWARRPEGWKGDDASIPQGRAKKHQSH >KZN11010 pep chromosome:ASM162521v1:1:41065749:41067935:-1 gene:DCAR_003666 transcript:KZN11010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVFAVAKPLLNLQMKCYGMTSQIIEIEPGTKIKMLVPTALTKNNPKVTSKPAVMFLQGFATDGTFSWLSQVTAFASEYSVFVPDLLFFGGSMTDKPDRSLEFQAECLVKALRKFGVEKCSVVGLSYGATVGFKMAKLYPDLVDCVVASGTVVDLTESTSEACLKRMGYSSWSEFLMPESVKDLKAFLAMTNHKPPSMPDFVAKDFIKEFMVNRKERTELLQAWVVSDNEAVPCNYSQKIHLLWGEDDKVFNSEIAENIKQKLGGEATLEFVKEAGHLVQSDQATEYNNRVKKILSSLQKA >KZN08688 pep chromosome:ASM162521v1:1:13494294:13497062:1 gene:DCAR_001344 transcript:KZN08688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHDFPQVIDGSYSSSPYTGLKELTSSQVGYEWHQSERSSDLTVMNLVSPASCYPSAYYVPQDEVSNPRLYYSHEYEPPPTVTPKSYASNLCGYQMFDTSSEREYVFANDNFDTTRTVASLYTLTCHTLSTGQPYYALESASPLTTPCSNVASSIELISVLNNHEFGEELTNINEVANGVSVLVKTGGYKECGDGRSTVMGGNDEDKRLRGNLLENNINLGEVIKDDNNKENKVKSAVEEIENDIDDVIGDGSLTDPTRTVIRLEKLTNTFDYLFVMDNFQIHQNSSSVTEYVEDFMHIKNRAEFIFKRKFDDDLLSYCFVEGLKEDFRDALELWAPRTLQEAIILARYQEFLLEESLMVVNVEMKCDKSQFWQQLQRYSQFPDFNNYLAFIFSRAQSTSVEVRQAAGLLLKNNLKNAYTAMPLENQEFIKSELLPCLGARDRQIRSTTGTIISVLIQLGGAASWPELLNTLVNCLDSNDLNLMEGAMDDLSKICEDIPQVMDSETPGLSERPINIFLPRLFQLFQFPHALLRKLSVASNNQYIMLIPSVLYIFMDKFLQVLFILANDPNAEVRILVCAAFVQLIEVCPAFLEPHLRNVIEYMLQVNNDPDDAVSLEVLPTLMHVEAKLSTSDDETWKEREAAVLALDAIAESWLNDPYPHLSQIVAFLTPLLDDKFSLIRSISCWTLSRFSKFSVEGINHPEGHKPFDKVLVGVLRRILDTNKRVQEAACSAFSTLEEEAVEELPPRLDIILQHLMCAFGKYQRRNLRIVYDVIETLADAVGGDLNQPMHLDVLMPPLIAKWQQLSYSDKDLLPLLECFTSIAQALGTRFSLFVQPVSQRCINISRTSRHIPWAGTEVFASGTIECEETIFTWVQQHNYLVSSIARRMASAWYWSIVRLVFDPGDFIYSHPGDFSCCEHP >KZN10101 pep chromosome:ASM162521v1:1:32625699:32630894:-1 gene:DCAR_002757 transcript:KZN10101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVALRNQNTKRIARIATSIINSNVSQSLLHRNDAAAASWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRDLLNFYKFPGDDIPIIRGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRIEQGMIKVGEDVEIMGLMPGNLKSTVTGVEMFKKILDHGEAGDNVGLLLRGLKRDDIQRGQVIAKPGSVKTYKRFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADVTGKVELPEDVKMVMPGDNVTAVFELITPVPLDAGQRFALREGGRTVGAGVVSKVLS >KZN09619 pep chromosome:ASM162521v1:1:27703570:27704653:-1 gene:DCAR_002275 transcript:KZN09619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKISIGSLGDSLSHGSLKSYLAEFIATLLFVFAGVGSAIAFNNLTADAGLDPAGLVAIAVAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGNITLITGFFYWIAQLLGATVASFLLIFVTGGKAVPIHGVGAGLGAAEGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGDFSGHWIYWVGPLIGGGLAGLVFGDVFIGSYAAVPASDYV >KZN08602 pep chromosome:ASM162521v1:1:11588384:11589745:1 gene:DCAR_001132 transcript:KZN08602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLQSGNMFSGMGAYGGGGGLDLQGCLGVHHHQQPSSLQQHQQQQQQQQQQSDCGQGGIPHPSIHDNFPHATGGRQDYDQTISMAEYSRGKHSASDEEPSFTDDAADGHSYGSRGNNVPHWHRVKWTDVMVRLMITAVSYIGEEVAAESGGGARRKYANLQKKGKWKSVSKVMAERGHFVSPQQCEDKFNDLNKRYKRLNEILGRGTTCEVVENNSLLEVLDHIPEKLKEEVRKILSSKHLYYEEMCSYHNGNRLHLPPDPELQYSLRLALRSKDDHETNEARKHPHNDIDNDDQDIEMEEQANSLDRHASQGERRETYGIPGASMKRVKQCQGHESNAYGNSLTSLDCNKGFSSQAQIAPVDMNQVLPQGVNANLSQKQCMEHHSMQIERQKLQIQAQMLELEKERFEWQKTCRKRDRELEMMKMENERMKLENEHIALELKRKEMGADN >KZN10436 pep chromosome:ASM162521v1:1:35687446:35689621:1 gene:DCAR_003092 transcript:KZN10436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTVSNGSLCLTPDPEQKLAGKSPSFMVGRVLYKHPVLAWPAFFSTTFTISILPEPDSVGGDGMSFIMAQDDRPSPPESFGSFIGILDPSTQGGALSQLAVELDTYKNEGEPDANHVAIDTTSVVVPVTVKSLNSTGIDLKSGKEIRVRITYDGYSKIVSIDLAYAENPLLNFLNHSIIMRDTVPNYVYVGFTASTGTLSETHRVLDWNFTSYELPLDSLSKNSQKRDKTKMFVIIIVPIFVGLLVVAAIASPLALRAIQRNNERNIRKDDMENMTRNAANAPRIFTYRQLSKATQHFSRERLLGSGGFGSVYLGILPDRTAIAVKKISATSTQGEKEYLAEICTIGRLRHKNLVQLQGWCHERDQLLLVYEYMPNGSLDKFIGKCFLNWKTRYQILNGLASVLVYLHEECGVPVVHRDVKPNNVMLDSDFNPHLGDFGLARLLQTDSYVTTMVAGTPGYLAPEVSFTGSMESLPDEMTVVYDE >KZN11400 pep chromosome:ASM162521v1:1:44341927:44346202:-1 gene:DCAR_004056 transcript:KZN11400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDAKNDDDYAQHQNQCLEELNHQQQESGSLHKSLQNKPPLSDDGQKADESVEESVKGRPQQQQLGIIRKTRTIMDCLHRFCKKCIDKSFRLGNHECPSCRRHCASRRSLRDDPKYDELISALYPDIEKYEEEETAFHEEERIRNEQYSLSWAAPNFYSSNYPPTIRGTRKEALKGKEALSARAIVGTSARRWEVSRSPGRNNGANEQHGSGA >KZN08730 pep chromosome:ASM162521v1:1:14572984:14573283:-1 gene:DCAR_001386 transcript:KZN08730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLMEGCRKLRKPEIKDCPFGNAALLYNLKKYESMRCLWMSACNVIMNSCWILAKFMPRLNVEVMKDEGSVHDDADELYVYRSVAGPRREAPPFVLTL >KZN11934 pep chromosome:ASM162521v1:1:49503143:49506316:-1 gene:DCAR_004590 transcript:KZN11934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLKNNLSEENAMNLPLENGGSGRVKYKRRSVSAVRDFPPGCGPNACRVDGNPRETVETERGKIELGDGLVDRSRLLDGATGVSPDVCDKSLECGLDVPITNTATQEITQMETGTVKTELETSVATLETSEMLIGVAGFFESELQHLETSLPEIESDFSRETNNEDMEMVMEMVRELSQVESPALERQEPCDRDVYPVVMEKESQEAKFFESSTNEEAHNTEVRSEMDHIGGKELVSELKIEGKHNVMVASGSEKSIQLVNDDDNLPVGTSSRKKITPRKVSATRDFPPFCGRNAPVPTADDRVRINSGSSNSKSGVIDDACNKIVITTQKESHGQKISEDGVDQGGDSARVIVHALMASCDPSSLKERPICNSKEVIVHQEDRISDIKMYDDELDFQKMSGDGVDEGGNSGRVVVNALMANSYDPSSIKERPVCNLMEIIVHQNDGSKAKKRKSSGKEKSRSGSEIKRSRNILSSKRAKKSVAVRKNRDEDVYDSIMREDGDFAAEHAAQIEDSSVSRVPGKIEVTLPPYGPNTSSSHDMRNRVRETLRLFQAICRKILQGEEARSRGGELSKSKKKTKRIDLEAAKIVKERGKEVNTDKQILGAVPGVEVGDEFQYRVELAIVGIHRLYQAGIDYMKYKGDEIVAASIVASGGYADELDNSDVLIYSGQGGLSGKDKQPEDQKLERGNLALKNSIKFGNFVRVVRGSKETKASESADARAKTVMTYVYDGLYTVERYWQEEGAHGKLVFKFELRRSPGQPELAWKEVKKSDKSRTREGLCVDDISEGKEPMPIWAVNTLDDEKPPPFTYVTRMIYPDSFNLSLPKGCDCKNGCLDARRCLCAAKNGEIPYNFNGAIVEVKPLVYECGPSCKCPPSCYNRVSQQGIKFQLEIFKTESRGWGVRSLDSITSGSFICEYTGELLQDREAERRTGNDEYLFDIGQNYNDCSHRPDAEANSNNLTEDGGFTIDAAYCGNVGRFINHSCSPNLYAQNVLYDHENKRMPHIMLFAAENIPPLKELTYHYNYAIDQVYDSNGKIKMKMCYCGSSECTGRMY >KZN10267 pep chromosome:ASM162521v1:1:34026995:34029890:-1 gene:DCAR_002923 transcript:KZN10267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASVVPTLGTKEPTENSAGVDRLPEEMNDMKIRDDKEMEPAIVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSTTEKEELYLNLVLEFVPETVHRVIRHYNKMNQRMPMIYVKLYSYQILRALAYIHGSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALDALMHPFYDELRDPNTRLPNGRFLPPLFNFKSHELKHVSPEMLGKLIPEHARKQSASISS >KZN10154 pep chromosome:ASM162521v1:1:33063484:33063720:1 gene:DCAR_002810 transcript:KZN10154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHHLSGDSSLIRESKMTIGEKLRRAYATTMTVMVGDTNPNQRGNIKISKEIERRNGRSGLEDPLRTMMFLGSWSHT >KZN11361 pep chromosome:ASM162521v1:1:43980735:43987251:-1 gene:DCAR_004017 transcript:KZN11361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGKVMGLQRTSANPKATAPRKQTPALSSDKMTQKAPSRSFGGYFPRASAQVQPRPPDVAELHHLLDEMRQREALLKAEVVELRKFKGNAEIVSSLESKVAWKESEVRRAEKMVGCLEDENERLRQEVEMLHCKLSEVSRESGDRIKVLEEKVSELSRMKDAQEGSKSQRFKGKFNVKKNGNVLEKFDYLEQSKGKEKEVELELTLPKESVDFAASAESRLPRIPRPPPRLNVSCSDSAGCLEPSVSASGLVKVAPPPPPPPPKGLMAGAGKVRRVPEVVEFYHSLMRRDSRRDPGGGGGSDGLPVTATARNMIGEIENRSAHLLAVDERAVLKHFQWPEKKADTLREAAFGYCDVNKLVSEASSFKDDPQLPFVPALKKMQALFEKLETGAYNLSRTRELAAQRYEGFHIPIDWMLNSGFTSQIKLASVKLAMKYLKRVSAELEMVGGGSEEEELIVQGVKFAFRAHQFAGGFDTETMRAFQELRDKVKLCRIQCQKAMAAEQTPETHLISVPSPSIKLLFVEMGVGYDQHGQDVTKAAMKACRDAISSNSIPAFRRGSVPGVSSDQMKLQIKLGVPRPLQSSLDIQKVKSVFPYGDIVHVEVVDGGLICSSGTHVEELGDKNDDCYIVNAAVYVGY >KZN10241 pep chromosome:ASM162521v1:1:33784629:33785066:1 gene:DCAR_002897 transcript:KZN10241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQNQVSSGSDGDLRYAAFDEKKRKRMISNRESARRSRMKKQQHVDKLIAEMSELQSQNKVVMQKINEATDRFVGVSSENNVLRAQLSELTDRLYSLNSVLHMVEEVSGLAMDIPQLPDTLMEPWQLPCPAQPITTSANMFNF >KZN10920 pep chromosome:ASM162521v1:1:40303434:40310863:-1 gene:DCAR_003576 transcript:KZN10920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKRGSIAFFTTYRPPVALDIYSCPLPPKSPHEELSMTDGESFNYDGHSITPAALKLIIKRPKLIPEGIKDADVDNGSVSGIVFVSERDDLETLQIAIHNSASRKAVTTKVFSFEDVYPRSDGVRMEDSPCVAGAKRDTLVYISTKEPAPRRRQPWTVVYRTHLKTGETGRLTPSLQADLSPSVSPSGKRIAVASYQRKAGWAGEIQDLQTSIYVMNVEKPFNRKLVVENGGWPTWGSEGVLFFHRKVDAFWAVFRVEFGDSYISEPIRVTPDKSNAMTPLAIDSTTVAVSMIRDLAKFGIDRTPEHYRHIIVFDSNTKKPVMDVTQVTKPLADHFNPFLIMDAKTNTKRIGYHRVNTGLIKPGENIERQFRKIESPVPDVGLFRLSGAFPTFSNDGKKVAFVDNEFKSVWVADEKGLRMVFEMDGPGKIFSPVWNQNKDLDILYVCIGPAFSADQLLEIHRIPNASRGRQHAQLLTDGFNNAFPSSNPEGTQLVFRSTRDHPIDSSKPGTERNDFKNLYIMEDAEEGDFGEGKITRLTEGDWVDTHCQWSPSGEWIVFSSTRDKPKTAPLKDNKLDSGYFAVYLVNPKHKDVVVRVFGSADDLAGHVNHPFFSPDGRSIVVTADLAGISVDPISLPLVEHSVRAYGDIFSVDIDKDDIKKNENVKNFKRITHTRYENSTATWTMFSTDDPNASWNLQFSEEYTPACPHAPASGAESWHMSGHLCIPKRCC >KZN12003 pep chromosome:ASM162521v1:1:50161165:50162633:1 gene:DCAR_004659 transcript:KZN12003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIGSLSGKRCALVTGGGRGIGFEICRKLAENEIRVILTDRSQKDAIEAVEKIKLSGNSDVVSHQLDVKDSESIAAVANYVKGNFGKLDILVNNAGAPGLVIAKPQDFRSFKDGAGFVIDENAHLLEGIIEENYELAEDCLRTNYYGTKAVTTELLPLLQLSNSARIVNVSSFFGELKWIYNEKVKADLNNLKSLTEEDIDKTVEWFLKDYEEKNLKANGWPIVVSAYKISKAAINAYTRLLAKKYPNMLINCVHPGYTQTELTSKTGPLTPEEGARAPVMLALLPDDGPSGTYFLEMQPSTF >KZN10204 pep chromosome:ASM162521v1:1:33510111:33511256:1 gene:DCAR_002860 transcript:KZN10204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSLDSDLVKGKIVICDRGGTSRATKGETVKIAGGIGVVVANLATTGEGLVADAHVIPGLSVTASAGKKLRAYVKLNKNPRASIVFRGTQVGVKPAPVVASFSARGPNAESIYVLKPDIIAPGVDILAAWPNEAPPTELAMDTRRTDFNILSGTSMSCPHVSGLVALLKGAHPDWSPAMVKSALMTTAYTMDTEGRPIRDEKAYNDSTIWDMGSGHVDPDKAVDPGLVYDLTSIDYLNFLCSSNYSRQDIRLIARRSLRCNKTKQRPWDLNYPAIVVAFEESEASRFEVIVRRTVTHVSENASSYTAEVISPKHVSVSVDPPRMRFTKKGEKQSYTVRISGKKMGVSAGSMLSEEGKLIWRDEHHVVNTPLVVVYQKPLF >KZN10638 pep chromosome:ASM162521v1:1:37819521:37823007:-1 gene:DCAR_003294 transcript:KZN10638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDSVDTHYVITGGNLYGWLVNCHGFLHNIGLIVPSLLFVVFLVVQAKKSFVKLSNDRSLVIIAYYGFLWLVALFNLAWCLLQAWECTSARQTPWNILSLFTDAGMLFLEVSLVAFLLQGNHASGKEALTRTFVVSGLIVAFDTLLKAIYLYGFSIPVFINDGEGGSRVKWGLWVIHKLLLTAVYGIILYMYNSDWRERLPARPAFYKYTTIMFCLNVTASLACMLLTGHGASFGAWLYGVTTVCYHALYLPLLYVTFLADFFEEDALNLESVYYSEMKDAGFFDADWEL >KZN11712 pep chromosome:ASM162521v1:1:47205048:47213287:1 gene:DCAR_004368 transcript:KZN11712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQGRRFRRFSRSQAHQTVLPLRVRHFSNTVSCWYCDFKSSAFNDPLFHFGRRYSRYLRVWFSVGVGFSVTTLLAVTLVLLWELAQYLHLFGSNYQILSLLSESLFGYSPSVFSLADAGYICISTVISVAAHELGHALSATSEGIQIEYIAVFLAVLFPGALVAFNDEVLQALPRVAALRIYCAGIWHNAAICAACALAMFFLPLILYPVYIHGESPMVLDVSSASPLFKVISPGDFVISLDGMRIHDAEEWMKITNMLHQKTNQNLKDGEYLKVDKGYCVPRSLIEESYHIRSDTKSTCPDELSSFVTMPCSPISTSNDTSNEDNIEKRSDHIHCLNATDIVELKKCGDGWMEIARNESSCPCSEEATCLAPIQIPGLTWTEIKYVRPVTMKCVQLMRESLSSVNSSKFEELGCGGTFVFIGDVFSMAHSIRLTSYQPRWSIPFGACLPDVFEKLLKFTFHVSLMLALLNSLPVYFLDGESILEGLLPFFRLISSRKRETLLRSFLLGADKLMYNDVNLSGFRQDFRGVRGFVGFKLIKCNSRTLSAPTRIIVSGKRKKYGGELPSILRSLESGEEVGEALSLYYGRLSPKEQTVILKEQGSWEKVLRVFEWMKLQKEYVPNVIHYNVVLRKLGRAQKWDELRLCWSEMAKKGLLPSNNTYSMLVDVYGKAGLVKEAVLWIKHMKFRGMFPDEVTMSTVVKVLKDAREYDVAIKFYKDWCVGKIDLDSFIGSDNGSSLAPMSFKHFLSTELFRIGGRSLASNALPVVDAENSAQKPRLTATYNTLIDLYGKAGRLTDAADVFAEMLKSGVAVDTVTFNTMIFTCGSHGHISEAESLLGKMEERGVSPDTKTYNIFLSLYADAGNIDAAIKCYRKIRDVGLRPDVVTHRAILQVLCERRMVELVEAVIMDITKSGARIDKQSLPVIIKMYVNEGLTDRAKHLFEKYQFDGGLKSCTYAAIIDVYAEKGFWAEAEAVFYCKRDLVGQKKDVVEYNVMFKAYGKAKLYDRALSLFKSMRSNGTWPDECSYNTLIQMLSGGDLVDQARDLLSEMQGAGFKPQCITFSSLVGSYSRLGRLSDAVSIYREMVAAGVKPNEVVFGSIIDGYAEIGEIEDALHYFHVMEECGVSANQIVLTSLVKAYSKVGSVEGAKQVYMKMKDFPDGPDIIATNSMLNLYADLGMISEARLIFNNLIDKGWADGVSFATMMYLYKNMGMLDEAIDVAEEMRHSGLLRDCVSYNKVMACYATNGQLVECGELLHDMVNENLLPDAGTFKVLFTILKKGGIPSEAVSQLHSSYREGKPYSREAVLASVYSVVGLHAFALKSCESFLKEEVLLDSSAYNVAIYAYGATGRTNEALNIFMKMQDEGLEPDIVTFINLVGCYGRAGMLEGVKRIHSRLKYGEIDPSESLFKAVIDAYRNANRHDLAELVTQEMKFAFDTETDPVSQREEVGEESPLDF >KZN08002 pep chromosome:ASM162521v1:1:1342295:1358056:1 gene:DCAR_000671 transcript:KZN08002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEGGDRAVNGSAGANRLIFAYYVTGHGFGHATRVTEVVRNLILAGHDVHVVTGAPDFVFTTEIQSPRLILRKVLLDCGAVQADALTVDRLASLEKYSETAVAPRDSILKTEVEWLHSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYDHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELGIADDVKLVILNFGGQPAGWKLKEESLPSGWLCLYYQGGVEMIRRDLLTGQWRPYLERAVTLKPCYEGGCNGGEVAASILQDTAIGKHCASDKLSGARRLRDAIVLGFQLQRVPGRDISIPEWYANAESEFGLRTGSQNAGTNGSSVFEDSYIQDFEILHGDLLGLSDTMSFLKSLAELSTLYDSGKNAEKRQMRERMAAAGLFNWEEDIIVARAPGRLDVVGGIADYSGSLVLQMPIREACHVAVQIIHPSKQRLWKHAQARRQASSPVLQIVSYGSELSNRGPTFDMDLSDFMDGGQPISYEKAKDYFARDPSQKWAAYVAGTILVLMKELGVRFENSISMLVSSAVPEGKGVSSSASIEVASMSAIAAAHGLDISPRELAVLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVLGLVDIPSHIRFWGIDSGLRHSIGGADYGSVRIGAFMGREMIKSTASNKLTKALSVANGTHTDESEESAEPLEAEKSLEYLCNLSPHRLYESHYAKILPEAILGDAFLEKYEDHNDPVTIIDRKRNYGVKASAKHPIYENFRVKAFKALLTSAASDDQLTALGELMYQCHDSYSACGLGADGTDKLVQLVQEAQHCKLSKSGDGTLYGAKITGGGSGGTICVVGRDCLRSTQQILQIQQKYKASTGYLPVVFEGSSPGAGKFGHLRIRRRLSKKQE >KZN09052 pep chromosome:ASM162521v1:1:19545539:19547070:1 gene:DCAR_001708 transcript:KZN09052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTESSTGSGFYPTVAYPCAGCGASIYSSNLVTSPLNHVLMCTACLQGTSAFPYEAQPTTYSLSNTVVNQANLACDHQQVLNPFSELIYNPSSTDVDNHLLVPKFHTENRVINQKDSIEITDEIDSWLVLDPLLGEEQAKNGPPCIEANKNLDPSSCWPCSDQLEYEFLYDHLQQAFQQTESIVPVEGLHLAGQAHNLNMVLEHNASKASTFQTPSSRLTASSLQCTDARIVPEATNRGSTSLHCTSRGGASGSSSGTGTAPLILPQFCPWIRKAKVLRYREKRKERKFEKTIRYSSRKANAETRRRVRGRFARRT >KZN11271 pep chromosome:ASM162521v1:1:43267905:43294417:1 gene:DCAR_003927 transcript:KZN11271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDADRSVNLCNSLHDMWSLPLQIGVALYLLYTQVKFAFVSGIAITILLIPVNKWIAEAIADATRSMMKQKDERIRRTGELLTYIRTLKMYGWELLFSSWLIKTRSSEVSYLSVFTCLALFNNLISPLNSFPWVINGLIDATISTGRLSRFLCCPEIETATSSDNYASSCTDEYPCVSFEDVAVVINEACCLWCSNDQEQLVMDHVTLSVPKGHLIAVVGEVGSGKSSLLNSILRETKIINGFVHTSGSIAYVPQVPWILSGSIRDNVILGDEYDPRRRLSDVLEACALDIDVFRMTGGDLAFIGEKGLNLSGGQRARLALARAVYHDPDIYLLDDVLSAVDAEVAQWIISNAILGPLMSQKTRILCTHNAQAISSADTVVLIDKGRVRLVGSPSQSSVSSYIPPSLREDLSISSEVQSEDNDVDAQKKVLLESDDICVSEEAPCAIEVEQRKEGRVEVVTYKNYAVFCGWSVTILTCLSAIFMQASRNGNDLWLSYWVDTTGVDKQKDRTSFYLVVLCIFCVMNSSFTLVRAFSFAFGGLRAAVQVHDRLLKNLVDAPVHFFDQTPTGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGIAIVLSYTQVMFLLLLLPFWSTSRELRRLDSVARSPIYASFTEILNGSSTIRAFKTEDFFFARFTENVILYQRTSYSEIIANLWLSLRLQILAAVIVCFVAVMAVVGSRGHLLISFGSPGKVGLALSYAAPAVSLLGNFLTSFTETEKELVSVERVLQYMDVATEELHECQLLDTEWPLHGNIEFQNVTLKYRPSLPAALCDLTCTFAGGTQTGIVGRTGAGKSSILNVLFRLNPLSGGCILVDGINIAKTSLRDLRTRFSVVPQTPFLFEGSLRDNLDPFRVNDDLKIWDALAKCQIEDEVRAMGGLDMHVKESGASFSVGQRQLLCLARAFLKSSKVLCLDECTANVDTSTALKLQNAITSKCRGITVITIAHRISTVLNMDTIFILDQGSLVEQGNPHVLLQDKMSRFSSFVEASTTRTHLDVSEENKSCSAEMAIPMARFHLQLLHSFPFPIKTPRFRFTHQFNFNHNRKLCSSLAPRASSQVNCSIVGGLLDYLNESWTQFHATAEAKEQLIAAGFQLLSENEEWDLQPGGRYFFTRNMSSLVAFAIGEKYSVGNGFHVIAAHTDSPCLKLKPKSASSKSGYLMLNVQTYGGGLWHTWFDRDLSLAGRVIVRHNDGTFLHKLVKVKRPLLRVPTLAIHLDRTVNKDGFKPNLETQLIPLLATRHEDATADHKGKNSELSSKDAHHPLLMQILSDEVGCKIDDIVSIELNVCDTQPSCLGGGNNEFIFSGRLDNLASSYCALRALIDSCQSPEDLSNEHAIRMVALFDNEEVGSDSAQGAGAPTMFQAMRRIVDSLVHNYVGESVYERSIRQSFLVSADMAHGVHPNFSDKHEEHHRPEIGKGLVIKHNANQRYATSGVTAFLFKEVGKINNIPTQEFVVRNDMGCGSTIGPILASGIGIRTVDCGISQLSMHSVREICGKDDVDTAYKHFKAFYQNFSDIDKKLCVD >KZN08539 pep chromosome:ASM162521v1:1:10084878:10086030:1 gene:DCAR_001069 transcript:KZN08539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSMNLKTVNVWDDSFEIHFDNFISTTRNYNVIAADTKFAGILEKIRYKKKDDVYAQMKRNIKETKCIQFDMKTDTYCPDAMESEADPDRSKREKHARDGIPTHKFCEAMLNSDLLLTGALSVYQKTWVTYGENYDLGHCVKLFQPTNVLPDSQLVFGDMVRSHFGERLFDVKLMLAYTDLAYGKMKLANVAARFNVDNGGVSYEAAADALVTLKLYHILKIKDGNVNCVNMVTDLFYGATYS >KZN08175 pep chromosome:ASM162521v1:1:3469095:3474901:1 gene:DCAR_001240 transcript:KZN08175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLLSLACIGQKKYGDLSPTPHYPSMPKGVTPEHEKLLRGSESVALYSVVGMTCSACAASIEKAVKRLPGIKDAAVDVLNNRAQVLFYPSLVDDETIREAIEDAGFNARLMEDENIDKSTKVCTILIKGMTSTSCSTAVESALQALPGVLKAQVALSTEEAQVRYDSKFVTQSKILETIEETGFEAVLVSSGEDLSRIELKVDGMETDKCKRTIEIYLKALPGVENVAIDPELSKVSVSYNASMTGPRSFIQVIQSTESGRYKAYIYAEGEGGGGGRDAHKRKEIRQYFRCFLWSLIFTIPIFLSSMVFMYIPGIKHALNRKVVNMLEIGGLMRWILATPVQFIIGRRFYTGSYKALRHGSANMDVLIALGTNAAYFYSVYMVLRAASSQHFEATDFFETSSMLISFILLGKYLEILAKGKTSEAISKLMNLAPHTATLLTIDSEGNVDNEEEIDSRLIQRNDMIKILPGAKVSSDGIVVWGQSHVNESMITGEARSVAKRQGDTVIGGTVNENGVLHIKATHVGSESALAQIVRLVESAQMAKAPVQKFADRISKFFVPMVITLSVFTWLTWFLAGKFNSYPKSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGATQGILIKGGQALESAHKVNCIVFDKTGTLTMGKPQVVDTKLLRNMVLGEFYELIAAAEVNSEHPLAKAIVEYAKNIREDGENPVWPEARDFESITGQGVKAVVRNKEINVGNKSLMLDLNISIPIDAEELLAEAEEMAQTGILVSIDGQLTGVIAISDPLKPSAREVISILKSMNVKSIMVTGDNWGTARSIAKEVGIDTVIAEARPEYKAEKVKELQASGYTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFTRIRLNYIWALGYNLLGIPIAAGVLFPSTGFRLPPWIAGAAMAASSVSVVCCSLLLKYYRRPMELESLEIGEITVE >KZN09543 pep chromosome:ASM162521v1:1:26828305:26844315:-1 gene:DCAR_002199 transcript:KZN09543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQVNNNNRPPFPPPFAQQGPPGPQQGPPGPRPGPPPPGVVPRTPIGPPQGALGPPNAPPGRPSGPTPPFGVRPPGPPPPGAFSSAGPAPPPPGTRPGPFQSAPMNSGQVPPPSTGAPGPFSNGPPSGGVQGGPRFPSPVGMQRPVSGPPSLPSGPPSLPSMPPSAGMRPFSGGLAASPLPGPAPLAPSFASHSQGVPPPPLGSSAFSAPAQGPQFQVSPFGSQPWSMQQRQVAPPSAIPGAAPPPRMYNMPGPESAASISPAMSQGPSKIDPNQIPRPIPSSSVVLHETRQGNQANPPPSATTDYIVRDTGNCSPRYMRCTINQIPCTNDLLGTSGMQLALLVQPLALPHPSEEPIQVVDFGESGPVRCSRCKGYINPFMRFIDQGRRFICNLCGYTDETPREYHCNLGPDGRRRDADERPELCKGTVEFVATKEYMVRDPMPAVFFFLVDVSMNSLQTGATAAACSAISQVIADLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLQSDVIVQLSECRQHLELLLENIPTMFQNNKTADSAFGAAVKGAFLAMKSTGGKLMVFQSGKLIFFLSIYFFVLFCIVLPSVGIGALSAREAEGRTNITAAEKEAHKLLQPADKILKTMAIEFAEYQVCVDVFITTQTYIDIASISVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNITRPQGFEAVMRVRSSQGLQVQEYSGNFCKRIPTDVDLPAIDCDKTIMVTLKHDEKLQDGAESLHFSQLSIFLVQCALLYTTVDGQRRIRVSTLSLPCTTILSNLFRSADLDTQFACFLKHAAIEIPSSPLLQIREQMTTLCINILHSYRKFCATVSSAGQLILPEALKLLPLYTLALIKGTGLRTDGRIDDRSYWINYVSSLSTPLAIPLVYPRMISIHDIDLKETEGILPPAIPLSSEHVTDDGIYLLETGEDCLIYIGNSVDHDVLRQILGISSVEEIPTQFVLQQHDNPLSKKLNEVINEIRRQRCSYLRLKLCKKGEPSGMQFFSYMVEDKAPSGLSYVEFLVHIHRQIQAKMS >KZN10758 pep chromosome:ASM162521v1:1:38681756:38683885:1 gene:DCAR_003414 transcript:KZN10758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSKFPAKAAALNSFYLLTGAGAATILTAHLLNPNYLTTSPVVTPSDLKAVLNGVVRSSRAVRTIASSVVDYKYSLHGLSVDSDEYSRVLSEVHLRSAKRVRNLCEANKGFYVKAAQFAAAMRKIPKEYSLALSPLQDKAVPYLFDEIKDVLISNLGPNLSDIYESRVRARG >KZN11269 pep chromosome:ASM162521v1:1:43252919:43257283:1 gene:DCAR_003925 transcript:KZN11269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALQSPPLRVSPLDPLRKPTSGAATVTDVRPPHKKTFISAATTTTSAPKRETDPSKRVVISGMGLVSVFGNDVDTYYDKLLAGESGIGLIDRFDASKFPTRFGGQIRGFSSEGYIDGKNDRRLDDCLRYCIVAGKKALENAGLGGDKRLKVDKERAGVLVGTGMGGLTVFSDGVQALIERGHRKMTPFLIPYAITNMGSALLAIDLGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMIAGGTEAAIVPIGLGGFVACRALSQRNGDPKTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIQNCLQNAGVSPEEVNYINAHATSTIVGDLAEVNAVKKVFKNTSGMKMNATKSMIGHCLGAAGGLEAIAVIKAITTGWLHPSINQFNSEPSVEFDTVPNQKQQHEVNVGISNSFGFGGHNSVVAFSAFKP >KZN10764 pep chromosome:ASM162521v1:1:38720180:38721331:1 gene:DCAR_003420 transcript:KZN10764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSEPSSTKKTQAEALRRAPHEKPPFTIGDLKKAIPAHCFEKSLITSFRYLIQDLIMAYALYYVATNYIDQYLPHPLNYLGWAAYIAVQGCVLTGAWVVGHECDHDAFSDYGWVNDLVGLIVHSSLMVPYFSWKISHRRHHANTQSLENDEVYVPRFKSNIRNYYKIFNNPPGRVLVWATTLLIGFPLYLMFNVSGHKYERWTSHYDPHSPLYTERERKQIIVSDVAILAVIYGLYRLVLLKGFAWVFCVYGGPLLVVNGWFTLITILNHTHPSVPYYDSTEWDWLRGALCTVDRDYGILNKVFHNVCNAHVCHHIFSMIPHYHGLEATEAMKPLLGDYYQYDGTPILKAMYREMKECIYVEKDEGETKGVYWYRKDI >KZN10361 pep chromosome:ASM162521v1:1:34926276:34928060:-1 gene:DCAR_003017 transcript:KZN10361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRRPATHTFVVRPQTLLQSFRNITNTNVVTDIQHRSLNIGHNDNFITPHLGQLLDKFTHSEDSRAGFSIHACLIKVGFSGLWNKLLNLYCKCGEFYVARKLFELMPERNVVSFNTMILACLRNGYVVEGLSLYSEMINGGEFKPDNITLAGVIGGGGVHLREILHAQAIRYGLSSNEFVASSLIDVYAKEKRLEDAVRVFNEIDVRDLVSWNIIINACVQNDANGCAWEIFYHMVQENVVYDGFTLTSIMKTCSEARDLELGMLVHCCAIKSGLAFETPISNALITMYSRCEAGMTSAKKIFNGLFAPNIISWTAMIAGFMQNENNKESVGFYRYMLRLDIRENSFTFASILPAYSNLASLEEGRSVHARIVKSGFEFDLSVNNALIDLYSKCGSLDEAHLVFRTMRYHDRISYTAIITGLGKNGEGGKALEFLNEMLTEGIKPDDITFLGCLYACSHGGYVNDGMHLFKDMVDIYKIKPKREHLSCVVDMLGRAGKLKEAEVFIGEMGIESDIFMWQSLLGACNLYGEIPLGEKSAQMIMELHPERHGTYVSLANIYAENELWEKKSVTRENLRSGSIKDVGCSRVSSQRL >KZN10996 pep chromosome:ASM162521v1:1:40951376:40952169:1 gene:DCAR_003652 transcript:KZN10996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRCEECGNQAKKDCTYMRCRSCCRNRGFECQTHVKSTWVPVSTRRPRPLLHPLISTCTSATAHHHPFQNPKRLRHHHASSSSHHPLGYEGGDFPAEVSIPATFRCVRVSCDENAVDEYAYQTSVNIQGHVFKGILYDQGPAAQETSTSSFQHPNLFTTTSTYPFNVGTQFFPYPKS >KZN09215 pep chromosome:ASM162521v1:1:21737285:21739076:-1 gene:DCAR_001871 transcript:KZN09215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASSMNQDHPHHDDDNKADDEHDHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITSLDLYRFDPWELPALAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTDSYRPIGLKKTLVFYSGKAPKGIRSSWIMNEYRLPHHETERLQKAEISLCRVYKRPGVDDHPSLPRILPTRASTSRNANNSTPNSSKKLKNIVEQTQVHAEDKFSTDQTTKISTSTDIGTALGLSSSCIALEPNISTPNGNNIYSTVPSLVPLPNAIDDLHRLISFQQASVNIQHGQQMYHNPNNIDDVLPGGSVQSAFADRLWEWNSVTGAAAKDYDAPFK >KZN09957 pep chromosome:ASM162521v1:1:31167439:31169202:-1 gene:DCAR_002613 transcript:KZN09957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILMWVFKFLILVSFFHLSLQAPPICSETDRAALLDFKGRIFKDTTGILASWVGLDCCDGGWEGITCNPRTGRVTQVVLQRPADGDSGVFMKGTLSASLGKLRFLEVMIISGMKRIAGNIPQSFSGLTSLSQLHLEDNALEGNIPSSLGDLPYLRALSLSGNRLTGPIPPTFGKYKNLVQLTLARNYLEGPIPTSLKSLFSLQYLDLSRNTLSGFIPDFVGQFKNLTFLDFSSNHLSGAIPISLGGLGSLSDMSLSQNQLTGSIPNEIGHLKSLTSLSLSMNQLSGQIPDTLSQLQNLWHLNLSGNALSNPLPDALSKGIPSLLSIDLSYNRLNLVRVPEWIRNRELSDVNLAGCNLIGALPVFAKPNSLSSIDLSNNHFTEGLSNFFSKMTSLQKAKLSNNQLKSDISAITLPAGLASLDLHSNQLFGSLSSLLNRTSNFMQAIDLSNNYISGSIPEFSEGSSLTLLNIGSNKITGQIPDSISNLVTLERLDISRNQVTGTIPTSLGLLLKLQWLDLSINKLGGKIPVSLLDIEKLRHANFRANKLCGMIPQGRPFNAFPATAYGHNLCLCGKPLPPCKKMDKER >KZN08406 pep chromosome:ASM162521v1:1:6295408:6308302:-1 gene:DCAR_000952 transcript:KZN08406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLMEQELQDTLVGEACLKWQHQLDISYPVNNGIVQNWDDMGHVWDHVFYSELKVDPAECKILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLQRRGYAMNRSADFETVRDIKEKLCYLSYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEIQDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWINREDYLEEGVACLSKCGQA >KZN10080 pep chromosome:ASM162521v1:1:32422882:32431966:1 gene:DCAR_002736 transcript:KZN10080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLHSHSTSINTHLSFLFHRPNRHLHPPHHLKLRRHFSVTTAVANNSTSSNQQPRTLFPGGYKRPQIKVPALVLQLNPQDVLVQDNRDVLSLIDDAVSKNWIGIVVLNDGGDASGGKMYEAACLLKSFIGGRAYLLLSERVDIAAAVNASGVLLSDQGLPAIVARKTITDAKFESAVLPLVARNVQTPVAAENASNSEGADFLIYNVDGRKPLDEVVDTVFGRIKIPIFILTNTLKDDELFGEASRLMKAGASGLVISLNELKVLSDDVLYKMFKSVNLSKKRQQEILPNLADKLRTNDASNGSFVKEGVVGFVNLEDKVQKLMEREKSVLTEAIDVIKRAAPLTDEVSLLVDAVLQLDEPFLLVIVGEFNSGKSTFINALLGEKYLQDGVVPTTNEITFLRYSGLDSSEQQRCERHPDGQFVCYLPAPILKEARTNVILQRQQRLTEEFVPRADLLLFVISADRPLTESEVTFLRYTQQWKKKVVFVLNKSDIYQNATELEEAISFIKENTKRLLNTEHVTLYPVSARAALKAKLLASSNVHNKNEEISVDHSQQRTSGFYELEEFLLSFLDGSTSTGIERIKLKLETPIRIAEQLLAACQIQTMQDYQQAKQDLMSVNELLGSVEDYAQKMESESILWRRKTLSLIDDTKSRALKLTESTLVISNIDIVTTYVFRGNKGALVPAASVIQNDIIGPALSEAQKLLGDYVTWLQSNNAREGRSYLESFKKKWPSIVNTENLVELETDNFLGRKYELSREAIESFNAAAASKLFDQEVREAFIGSFGGLGAAGLSASLLTSVLPTTLEDLLALALCSAGGLLAISKFPARRQLVVDKVKRTADALGRELEEAMLKDLVETTESLKNFVKLIGKPYQDLAQHRLENLLATQEKLSSMESTIKTLQIENQNLHIS >KZN10960 pep chromosome:ASM162521v1:1:40654061:40657748:1 gene:DCAR_003616 transcript:KZN10960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGVYICSVHLKRISAGTKDEALSVQVVRGQCLVPHVEPWEKPYVHYPNPETFRRDECACHPVRSFAILSTQRSGSGWFETLLNSHTNISSNGEIFSVKDRRSNISTITETLDKVYNLDWLTSASKRECTAAVGLKWMLNQGLMQYHEEIVEYFKSRGVLVIFLFRRNHLRRMISILANSYDQNAKPLNGTHKSHVHSSHEAEILAKFQPTINTTLLIPNLRQVDEMTTKALEYFRSTRHIVVYYEDIIKNRTKLDEVQDFLRVPRIKLTSRQVKIHKGSLNEQVTNWDDIEKTLRGTPYESFLNADYKL >KZN08858 pep chromosome:ASM162521v1:1:16730291:16734080:-1 gene:DCAR_001514 transcript:KZN08858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSFFCIFLLIFLSIWAIQSCNARIFTSDIHHRFSGLVKNWSESSRSNFFAGNWPEKGSVEYYFQLANHDHLLRYRRRRLSGSQDLPLTFSDGNATFRISSLGFLHYTMVSLGTPGMKFMVALDTGSDLFWVPCDCTQCAPIEDAPDDSDFELSIYSPVRSSTSKKVTCGNSFCTHQNSCGILNNCPYSVSYVSSETSTSGILMEDVLHFKSEDRKQEAVETYITFGCGQVQTGSFLDVAAPDGLFGLGLEKISVPSILSREGYTANSFSMCFRQDGNGRIDFGDKGSLDQEETPFDVAKFHPSYNITLTQIRVGTTLINLDFPALFDSGTSFTYLINPAYKRLTESIQDKRRAADPRIPFEYCYDMSPDKSSSLIPSMSLTLKGGGQLAVIDPIVIISTPRELVYCLAVIRSADLNIIGQNFMTGYRIVFNREDRILGWEKSDCQYVVEDSSSSHVLSRNSTTVPPAVAAGVVIPRPTLFT >KZN09064 pep chromosome:ASM162521v1:1:19738926:19745742:1 gene:DCAR_001720 transcript:KZN09064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESPPVSSPAPAGDSRKEKRKALKKEKRKQLRKELAEKARLAEEARLNDPEHRKQIQLEEEKERERLERERLEFEERERLFLEALAKKQAREEEEEEEERRRALEEEAEESKKNQEENEPNEDDDWEYVEEGPPEIIWQGNEIIVKKNRVKVKKKDSDRHIEKVDADRPTSNPLPPQSEAFADYKNAPPISAQQLLENVAQQVPNFGTEQDKTHCPFHIKTGACRFGSRCSRVHFYPDRSCTLLMKNMYNGPGLAWEQDEGLEDTDEEVERSYEEFYEDVHTEFLKYGEIVNFKVCKNGAFHLRGNLYVHYKSLDSAVMAYQAMNGRYFAGKQVNCEFVGVTRWKIAICGEFMKTRLKTCTRGTACNFIHCFRNPGGDYEWADWDKPPPRFWVKKMIALFGNSDETRCDKQMEEEHLRKPRKSSRQPQEDEERYRSRRSHSKESDSCRRRFSRSRYEDYDIRKSTDRHRLPSRDEGKWQRTLEEHSCKETNLTRLQHRSNRTDDSDSAGDHSDIERNTETFQGRTRKGSRSLRSKVKELGGYSKGRRKRTRETDTDGDSSDCDTGREKKHDIKLNHKSLTTKCTTEHKFKDVRSSRTHENSYDGDLSDSDIKIGRHRGPKNKSSSHSKEVSKVLDDFGNSRSGSHVTDSGEWSDEGRDKHRQRKGLRSRKKASAIHEHTRSRESETRTINCRAVLLEEERYRPEESPEERYWPDD >KZN10349 pep chromosome:ASM162521v1:1:34825883:34832461:-1 gene:DCAR_003005 transcript:KZN10349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVSVVSSTPNAAAASSLSKEKMKLGSCNFNSLLWQGKRPSFATPKSLSKVTMSVATNVSRFESITMAPPDPILGVSEAFKADTHEMKLNLGVGAYRTEDLQPYVLNVVKKAENLMLESGENKEYLPIEGLVAFNKATAELLFGADNSVIQQQRVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFDGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPEQWVKIADVIEEKNHIPFFDVAYQGFASGSLDEDASSVRLFASRGMELLVAQSYSKNLGLYAERIGAINVVCSSADAATRVKSQLKRLARPMYSNPPVHGARIVANVVGNPVLFNEWKEEMKMMAGRIKSVRQKLYDSICAKDKTGKDWSYILKQIGMFSFTGLNKAQSDNMTNKWHVYMTKDGRISLAGLSSAKCEYLADAIIDSFYNVS >KZN08552 pep chromosome:ASM162521v1:1:10282304:10286475:1 gene:DCAR_001082 transcript:KZN08552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLHLRPLAGGSGITSAGAICRKAKVGRTNCGICRCSVESLGPFRAWSVLKERRVGLRFAGFCSESKDKAFSGRDEVLKAFSGKDENFGEKDEVFSGQDGVLEAAVKSGEGEINKDKVFKGAAKSGEGEGGKDEVFKGAARSGEVEGEVKKELEEKRRLNRRPRGNEGGMAGLIAGSPDLLAIPGVGPRNLKKLVEKGIGGVAQLKRIYKDKFFGKSSEKMVEFLQGSVGIIHKNHAESITTYIKESVDEELRDDTSSLDVKPSQKKRLTFCVEGNISVGKSTFLQRIANETLELQDLVEIVPEPVNKWQDVGPDHFNILDAFYAEPERYAYTFQNYVFVTRLMQEKESSGGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSSLPGLVPDAFIYLRASPDTCHKRMLLRKRAEEGGVSIDYLRGLHEKHESWLFPSESGNHGVFSVNKLPFHVDHTLPADIRDRVFYLGGEHMHSSIQQVPALVLDCEPNIDFNKDIEAKRQYARQVADFFQFVKKKKEDTCSEAEKSSQPHALIPNQGGLWLPNGKHLPDSALKSLDFRRAMSCMSS >KZN07988 pep chromosome:ASM162521v1:1:1236186:1238432:-1 gene:DCAR_000657 transcript:KZN07988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSLMDETGRWHMEVIDDVFNSGDAELIKRVPLPLKEKNDSWFWLLDDDKGLFTVKSSRAATGGCKGSVTVCTRCLPTAHALAVKQVVESAQCPCCSHKLPERLERSLDYGLVDAAVFNNRRVLGWGVCCNIHWEPLIEKDKFEVLATAGDTHLGGEDFDNRLLNHFVEEFKRKHGKDISTNAKSLRRLKNECEKAKRILSNIPMTTIDIDSLYEGIDYSGNITRARFEDLNVDLFTNCISIMEKCLKDAEMDKSRVHDVVLVGGSTRIPRVQQLLQEYFDGKELCKSINPDEAVAYGAAIQAAVLSGARNNIIRDIVLLDVTPLSLGVQKLGKAMSTIILRNTTFPTSKKREYYTARDYQDSMCFRVYEGERTRSTDNNLLGKITLHGLPSALRREVKVMVTFGIDANGVLHVTAECEKAGVKTAATITNDKGRLTKNEIERMIQEAEIYRAEDEEFRKKIKAMNKYEDYVYNMRSVVRAVCNLNASTKKNAENVIKEAIQWVDANRRAEVEEYNSRMRKLKAFFSSVIPSNAGIRIEEVD >KZN09840 pep chromosome:ASM162521v1:1:29872189:29877925:-1 gene:DCAR_002496 transcript:KZN09840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFGARISTSSKRYQFLSDPFSEEEEMEGDEETRPEYMCPFCAEDFDMVGLCCHIDEEHMVEAKNGACPICAMKVGMDLVSHITMQHGSLLKVQRKRRYRKGGSNSTLSFFRKELREGNLHSLLQGSSGLVSSANTEADPLLSSFMYNPPANDDPVNIQPHSSDKAFSVEDNFVESSSKRKAPQPVLSDKDHEERARKCEFVQGLLLSTFLDDGL >KZN11999 pep chromosome:ASM162521v1:1:49952493:49954315:1 gene:DCAR_004655 transcript:KZN11999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNPFFNLSSKRSSSFPTQNNSPRLNQDDQELPMPQNNSFDLDHHSEEFPDFRAPPNNSHGIYHDYQEFHNFPVPRNIPPDWTPQQFPNFPTTRNNSPGRTPQQVPNFPMTRNNYPGPDSSPQQFSNFPMAPDFRVARNNYPGLDQGPRKNQVDVSLTLAKHFLLNYGKDSNLVFSPISIQVVLSLLAAGSNGQTRDQLLSFLKAESVDELNSVYALLVDVVFADGSSSGGPRVSVANGVWLDESLSFKDAFQQVAETMYKAASHRVDFQNKAEEVKHLVNSWVEKETCGLIKNILKSVERSAQLILANALYFKGEWSSPFDAFLTRNYDFYLLNSSSIQVPFMTSNKDQYISVFDGFKVLELPYQQARNQSWEKRLSFSMYIFLPDAIDGLPALVERAGSEPGFLDRYVPSRRVEVGEFRIPKFKFEYNIEAREALQSLGLVSLFGPSGGLGEMVSNSFPLFVSRIVHKSFIEVDEKGTEAAAATVFCTAPGSSCIPRVKVVIDFVADHPFLFVIRENATGMVEIIGHVLNPSVHA >KZN08699 pep chromosome:ASM162521v1:1:13759762:13762828:-1 gene:DCAR_001355 transcript:KZN08699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVYVGQKFHAHEGLIWTMKFSPDGQYLASGGEDGVVRIWRITTTCTSCKKLGIECDDQERYGKSGSGLKKLNHTSVFIPDTNFQMEELPVQELHGHTSDILDLAWSNSNHLLSSSKDKTVRLWKLGHDKCLGVFNHKNYVTCTQFNPVDENYFISGSIDGKVRIWGVHEKRVVDWADVRDVITAICYHPNGKHFAVGSLSGTCRFYDASGNDLLVAAEFQVQGKKRCSGNRITGIQFTEEDSQKVMITSEDSKIRVFDGIDIIHKYRGLSKSGSQMSASFTSSGKHIISVGEDSHIYVWNYDDEGVTTKHPRSVRSCEHFFCEGVSVAVPWSNREINQKASDCHEYSMPKQDIQDSTIGIRDSERFSLANWFFMDGSFRGTATWPEERLPLWELPALKQNHELFDNDQPYQQPNHHNISHDTWGLVIVTAGSDGMIRTFHNYGMPVKN >KZN10935 pep chromosome:ASM162521v1:1:40426171:40434635:1 gene:DCAR_003591 transcript:KZN10935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNQFDHNRYVSHPPHHHRDAHRHHLPPPPPFHLPPPPPPLYPSLPPPPPPPPPPRHSDFTFVNSSPRVSSGRLPEPYPVTRPDLARGSGGYNCESDYNSGGYESIDGYRDGNCNVNVNRNRSQSQRSLWNGKNDDVVNYEGYGYSESRNSGFRVDNRENSNYESRYVNVLRNERLLDERSKNWRSVDMERNRNDIDLVRCVKERERERERERERERISFREREEEENFCTPQKKSVLLRIGKPNEVRNSRSYEKNLSGSRGKDKAKAKEKERDRVVFPKFRLEDERERSPVDLDVSFRSNGLVAKTIVTENGSPGKRYTPRSRKGRRKNAFYSPLTKVVEPSVWLGGSSHLRDSPSSCEKTPMKVENNTDSGTVRMVRSSADTSSGETPEKVEYGLVSGGASETKSAGSREMKRCVQDTVSDRSPKRSGFCESPSYRTRKKKKVASVILHASDLELKKESEDVTLNDSTHIPHSPIQGLMHMDKKISSVFIKNDDIVSLPSPVGLDVNNLDAVPQTIASDMNNVTDYSGRSTLPNSTTNSSLHLLDPLLPQESELEKDTSIAKMYVSVLDNVGTESENDFTLSGISRENDDTKEPPKSGNCPSLEDGLCNRSSEGIMVSSIDFGFRSTTVSNIDLIDAGAKQSAAIENSNLLQADIEEACLDAANINIELSKADADKVNDGTVNEHSFNCSPSSPSSSNFAITIGGENIVSCPVDDTGNQISHTETTIHVNTLVGDCLKAEVPTVGNVEVCSGMDYTSNGMKRKRFTRQNQSYLSSTKTCDKQVKVVTQSLDEDNVISCSVKDGSGAENLHAAHQNVKDRGISAQGSVPNEIFLEVGIVRTGTDVDLEGASPIKKIKVLHNDEKIVSGDGDGAALTPNKSLNNLEEERKELVHDKFINTNDASIPSQDTNTTIEALKPIKGDLALTRKKPTSAVPRVFTSPLSLHLSNSKRATPSNHNAKSLSWHRPATVIASAPASKTFSPPVSASKKSPRKAGKVQGSSYIRKGNSLVRKPSTVSAIPYSSYASTVYRLNSSGKDDIRKSSGSGNKIGTNGSASWLRTRDGDENHKSSRSESIGVIAGSASQLITGGLSEGPKTLPLPQINKSKESTGGLVATSEGPKTPPLPQINRSKDSIAIHAGDCTPSLLGNIPSDSSEASSTPLQQTSNVDITNILEDDPKLSGTSEYRAGVESNFGNEMLLDKGKAGGKITYVKRKSNQLIATRNVNEMSNQAADKIQVLPSDSYYKRRRNQLIRASTDNQAMPREVPHSNAKGRTGGSLSKQHFNKGGYWVFNITIRKLLFSRKRDTIYTRSRRGFSLRMSKLLSVGGSSLKWSKSIEKNSKRANEEATLAVAAVQKKKRDQKNAPCVVTGTKSRGNFSRERIFRIGSVRYKMDPTRRTLQRISDVEPSCSPTSNSENNVKKSYVPRRLVIGNDEYVRIGNGNQLIRDPKKRTRALASEKVRWSLHTARLRLARKSKYCQFFTRFGKCNKDDGKCPYIHDHSKIAVCTKYLSGSCTSVNCKLTHKVIPERMQDCSYYQQGLCSNRSCPYRHVNVNANASICEGFLKGYCDDGNECRKKHTYVCPKYEETGICPQGSQCKLHHPKKRSKGIKRKSSRGQKNANGRYFGSRSVETTAVSEKLHLKNSDDIFNLEGKFTDYISLDISDEEAGETVDPMMEEITVCASDVEATDLNEQIKPICLVQPSHHRTFSDH >KZN11652 pep chromosome:ASM162521v1:1:46674527:46680257:1 gene:DCAR_004308 transcript:KZN11652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNNKRPNPANHTHISIFILVSFIHLALPTLVSALKVEPLVGYGYKIGSSTVNPGSSLTCDLQLINKSSVFGSDIQNLKLVASFETKDRLRIKITDANQQRWEVPQQVLHRNAPSSQESHSTSSKKKGYTLSSPASDLIFTLHKTTPFGFSITRRSSGDTLFDTSPDLSKANTTFLIYKDQFLQLSSALPADRSFLYGLGEHTKRSFLIEHNQTLTMWNADIASANIDLNLYGSHPFYVDVRSPDSAGKVAAGISHGVLLLNSNGMDIEYTGDRITYKVIGGILDLYFFAGESPENVIDQYTELIGRPAPMPYWSFGFHQCRYGYVDVNDLEGVVAGYAKSRIPLEVMWTDIDYMDGYKDFTFDPNNFPLDKMRKFVETIHSNGQKYVVIVDPGISTNSTYDTFIRDLSKANTTFLIYKDQFLQLSSALPADRSFLYGLGEHTKRSFLIEHNQTLTMWNADIASANIDLNLYGSHPFYVDVRSPDSAGKVAAGISHGVLLLNSNGMDIEYTGDRITYKVIGGILDLYFFAGESPENVIDQYTELIGRPAPMPYWSFGFHQCRYGYVDVNDLEGVVAGYAKSRIPLEVMWTDIDYMDGYKDFTFDPNNFPLDKMRKFVETIHSNGQKYVVIVDPGISTNSTYDTFIRGMKADIFIKRDGKPYLGNVWPGSVFYPDFLNPRAGIFWSDEVKLFRDLLPVDGIWIDMNELSNFISSTPLPNSALDNPPYKINNSGFDRPINEKTVAATAIHFGNITEYNSHNLYGYLESKATNEALVKATGKRPFVLSRSTFVGSGNHTAHWTGDNAATWDDLAYTIPSILSFGIFGVPMVGADICGFSKNTTEELCQRWIQLGAFYPFARDHSDKFTIRQELYLWDSVAATARKVLGLRMRLLPYFYTLMYEAHMKGAPIARPLFFSFPQNIATYRINTQFLVGKGVMVSPVLNSGAVTVDAYFPAGNWFSLFNYSKSVSVDTGKYIRLDAPRDHINVHIREGNILAMQQEAMTTRAARKTPFELLVINSTGNSSGEVFLDDGEEVEMGGKSRMWSFIKFSFNIKGKTAIIKSEVVNGEYALSQKWIINKVTILGLKKRVNSLYNNEFYSGITRKRLLRNTTRRQIADDTNGFVTMEISNLSLLIGKEIKLEVTL >KZN08737 pep chromosome:ASM162521v1:1:14704187:14712334:-1 gene:DCAR_001393 transcript:KZN08737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGELISQTPDDAGGNADIALIQDVNDDNVLKVVAGQTSESAVDSRTARPLVSALSVNPLHICSTSAGDSHELKDASDELVKVDQVLDFLGDSGGDLDAKIEVLLNTEKQMRLNGDVAGTKKAVTEILQLCFDARAWKTLNDQIVLLSKRRGQLKQAVTAMVQQAMQYIDQTPDIETKSELIKTLNSVSAGKIYVEIERARLIKILAKIKEEQGNISEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKAKEGDNVVEEAPADIPSLPELKRIYYELMIRYYSHSNDYLEICRCYKSIYDIPTVKENSAMWIPVLRKICWYLVLAPHDPMQSSLLNSTLEDKNLSEIPHFKLLLKQLITMEVIQWTALWNLFKVEFDNEKNMLGGSLGDKAEENFRERVIEHNILVVSKYYSRITLKRLAELLCLSIQEAEKHLADMVVSKALVAKVDRPMGVVSFQAAKDSNDILNSWAMNLEKLLDLVEKSCHQIHKETMVHKAALKV >KZN11544 pep chromosome:ASM162521v1:1:45606007:45606636:1 gene:DCAR_004200 transcript:KZN11544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKLWNDKQEREMYENFGELFAIIKATEKLEKAYVRDIISSSVYEVECQKLIAHFKTLSSTLKYTIPSIEKFHETYKMDCPAAINRLVTSGVPATVEHRAAVAMSSTAAASVVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLTLLGPDFEGKTKMKEWIARLAKMGAADELTEQQARQLHFDLESSYNSFMAALPTAGT >KZN09383 pep chromosome:ASM162521v1:1:24454910:24457323:1 gene:DCAR_002039 transcript:KZN09383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEIVAEISWDVEGDDLRGVDLADKDVSDEDIEAEELEKRIEEALVHQLTSENGGSGITDSVSGGRNQKDRLSDSSDSEYDVDGVQDRVRSVSSKDGCRVKEMTVEPSVPSNKIGPQLQNKEKGKKANKAEVQQHKRQKQCVETNVVEQQAARVVTEHRDEIRSTIHDINRSDAQLYAYNMPAGQRESDALLSVNDKDAHRHFEMPAPGLNTNNYFVNVSANVISPSSFSGERSFLYPVMGNMLYDHRFTQGPQESGLAVVHQSSLPHGSGNYGLHFGSHDPGLHHVPDNGLLHQDRPYDFQNTSVQFAARHDEQRSQVTNNDIRMKPNSSALHLPVSHEYGGASDGHHSGNHVPDKFHNEQAPTVPSDFEFHNEQAPTVPSDFEPPLGDIPMDDLPELDSPFNLEFGDLSSLDQDFWQEGGQMQYFGS >KZN09107 pep chromosome:ASM162521v1:1:20305615:20308349:1 gene:DCAR_001763 transcript:KZN09107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPGSVQKSEDEWRAVLSPEQFRILRQKGTEYPGTGEYDKFFNDGIYTCAGCGTALYKSTTKFNSGCGWPAFFEGLPGAINRHPDPDGRRIEITCAACGGHLGHVFKGEGFGTPTDERHCVNSVSLKFTPEK >KZN11141 pep chromosome:ASM162521v1:1:42086710:42088721:1 gene:DCAR_003797 transcript:KZN11141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYSGVAPKMPLVAFARLLFPSEAKLAMDIANAETTSQYPGLMASRGSSGNLREVDLNETPIAKNERILARMAALKKTVETGRRYFPNCSEVLDKFMLDDLHDDSLYLDKGSAEEQKNKKQRFMELKEDVHKAFTKDKAELHRVGLSSTSSSPTLKRQRKLLK >KZN11765 pep chromosome:ASM162521v1:1:47929911:47930801:1 gene:DCAR_004421 transcript:KZN11765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQDIFHSVSSISRGAIKTINSLPTLVRKLFSSFEDTVLNELSNAPIPEGKIHFLTEYAMIYLTRISLHKELLTHIIVSKPTKSLRNQEDDLFLDASGGTPLELHMIWIIISLKINLERKSELYQDSTLRYVFLTTNVNYIIKTITAYPELLKMIGKEYLSKLSNYVVQAAQDYISSIWHRVLHCLRDDGLHYQIPFYNGISRKSVKNRFKAFNTTFEEVCQTQSSMLVPDIHIHCQLHKQMISNLLPAYESFLQKYGMQIQGERYKERYIKYTSEELKFKMLSITEANLALNSFE >KZN09259 pep chromosome:ASM162521v1:1:22206578:22210480:-1 gene:DCAR_001915 transcript:KZN09259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHNFKPGSRVEVRSDDEGFKGACYLATVLENLENNKYLVAYDDLMESEDKDSNRLTETVHAQDLRPLPPVDRDEMIKIHDVVDAYHQDGWWTGQVIEILEEGKLVVYFQNPPDELIVHRNHLRLHLDWVDGRWEKPNKQAQNHKMISRGTEVEVTFEGENYNVWHLGSVLQVEGNDRFLVKYRCLGLENKAEFRTEVIDSRCIRPAPPRVEERDFDVLHKVEACYFSCWWTGVIRRIVEDRKYVVLVSHSRIEIECDHFNLRPRLDWIDGKWTTTILNTKLLAINNERFTSNIRKSTPKKLHSGKYHGTTNVENATGKKNDAAVRVDKDITSVKEELGASSVIPVTKNPKKQMRDLLNTEGLHGGKRSLNKKRGRLGKLSLEEQTAESDAGKDNVMLKTIDKKANEDQFLLSRKEFKKLIVAERRVLNHFHRRNEFLSRITNISQVEDQTNETGIYAKIENLPNESLSDIYKEIKANKNSTKNRADRIVKKQRTRFRQQGVTTSIHVTEDQANETVAKQIDNHNAEDELLTDGPLCDLSNGQPLLSGALLVGDKFAAATDGEKQSQKSSAVATKDMAHFLALQNNIATDLEKHGFEVEPIRARLTLLLSKKAEERKLESEYKQIEEKITNNMLEKNELDEEIIQINQKIKELSESLNEAQYRKVIKEDEISTLHSKHEAILANVCSIKREFESIAAGSL >KZN09929 pep chromosome:ASM162521v1:1:30881142:30882851:-1 gene:DCAR_002585 transcript:KZN09929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFGERRFFLPDIQLLELLMAFLVFVVIYAFRQKKRQGLPVWPVIGMLPSLVFGVRANMYEWLTDILIRQNGTFTFQGPWFTNLKCVVTSDPRNLEHLLKTKFSNYPKGEYFRDALSDLLGNGIFNADDEMWQQQRKTASIEFHSAKFRNLTTESLLELVHARLLPVFEESVQNNTTIDLQDILLRLTFDNVCMIAFGVDPGCLQPGLPAIAFAQAFEAATEAAIIRFVTPTLVWRTMRYLNVASEKTLKQSIKGVDAFAEEVIRARKKELSITDDTDHQRSDLLTIFMRLKDERGQPFSDKFLRDICVNFILAGRDTSSVALTWFFWLLDRNPEVEEQILSEISRIIGEREDGKKGIDGDALIFRPEEVKKMDYLQAALSEALRLYPSVPVDHKEVISDDVFPDGTILKSGTKVEKNHVVVPKLALTMYMKHGLKVKLHRRHKSELQKCTN >KZN10394 pep chromosome:ASM162521v1:1:35290781:35295732:-1 gene:DCAR_003050 transcript:KZN10394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLKSPCKGMITDFRGRKACYRQDWTSALGTGASLLAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVEALASTAICGIIHSIFGGQPLLIVGVAEPTVYMYTVLYQIAKNSSSLGGHLFIAWAGWVCIWTSIFLFLLAIFNAGNIISRFTRIADELFGMLIVVLFIQEAVKGLVSEFNVPKGEDSTEEKYQFTWLYTNGLLAIIFSFGVLFTSIKSRTARSWRYGTGLFRSFVADYGVPVMTILWTALSYAIPAKVPSGVPRRLESPLPWDSESARHWTVIKDMGKVPVEYIFLAIIPALMVAGLYFFDHSVASQMAQQKEFNLKKPSAYHYDIFVLGVTTLICGLLGLPPSNGVLPQSPMHTKSLALMRKEMVKGAKECIKNQGTSSEVYGKMHAVFIEMDSSANTTSVDKELKDLKDAVLNSEDLEKANGKFDPTKHIDDHLPVRVNEQRVTNLLQSLLVACCVAAIPVLKRIPTSVLWGYFAYMAIDSLPGNQFWERFLLLFIPSNRRFKVLEGTHASFVESVPFKIIAAFTLFQLVYFLMIFGVTWIPIAGILFPLPFFMLIWIREHILPKFFPPEYLQELDSAEYEESTGVPIHNRTTSMREHGTENYSDSTEDEGDLSAAEFLDEMTTHRGELKLRTISFNDRQQQIYPTGGA >KZN10934 pep chromosome:ASM162521v1:1:40415605:40419744:1 gene:DCAR_003590 transcript:KZN10934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKLPEKYIIWPEYQESKMIHDGVVGAQPECCSERTVVVGVKMDPHSKELLTWALVKVAETGDRVIALHVLGDHEIVDQDGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSVRKILVREAKAYFATELIVGTARTHHSLRSSVSVAKYCAKKLSKNCSVLAVNNGKIAFHKETSSRSNVGAAKGAEEHHRNGILSALQSSVSKEHEILLDRSLANSMLKCEHNTSTNSSQNSSKIGSDRNESVNICSICSPDCRSVDNTCASPKEESSADGDECNSMAIVPLQKPEVSSSSRSLSTKEPPELKPGWPLLCRAISTNWETSRAMFPYVRQISVVQWAMRLPSRSCHSSFDSSDKQSYFQCDEGQFSKLNGETGAIVPVGNGAFISPSSPSSSTKNLPEELVGLHEKYSATCRLFQYNELLSASSKFSPDNLIGKGGNSEVYRCCLPDGKELAVKILKQSEDILKEFVLEIEIITALCHKNIISLFGFCFEDNNLILVYDFLSRGSLEDNLHGDKKDPLAFGWSERYKVAVGTAEALAYLHNNSSQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTTSSYLTCTDVSGTFGYLAPEYFMYGKVNDKIDVFAFGVVLLELLSGRKPISSEYPKGQESLVMWARPILNGGKFVQNLDPSLDSNYDHDLIERMVLAATLCIRRSPRARPHITPILKLLQGDAEMVKWARLQVDTSEPCDAMLQNSASEESDTFDDEGLSHLNLKNHLNLALLDVEEESLSTSSIEQTISLEDYLGNRWSRSSSFD >KZN12007 pep chromosome:ASM162521v1:1:50181478:50183447:-1 gene:DCAR_004663 transcript:KZN12007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNIEICSNHENCEARRAPINMTKGFVAKEPMKWHMASSFFQKKIESLSYICRHIRKEIYYVNELRVAGQNGELSGATFIMYNQAKQQVKGGNKRESIQLGAPIFGPIKEDATDHCPKSNIEPIPDILQGVIDHLVMWRWISENRKPNSCIINFFDEGEFSQPFLKPPHIDKPISTLLLSESEMAFGRTLVGRLCARTPCTPSTLESLLVLVMKQCRCSKACYVLAPVRPMVLGPKRMSRGGTGVFLPWHANGTRKHTKHPPPRAQKGRFLSLPPPVETHKTEVTSDAEMIYKH >KZN11412 pep chromosome:ASM162521v1:1:44423133:44423852:-1 gene:DCAR_004068 transcript:KZN11412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSMKLQENQNPSLVSSPNSNSTNSSSSSNTTHQKSMTHPCTPRAPAPPPVIRSEPNNPNPTTFVQADSSSFKQVVQMLTGSSDTAKIAANASNRPDPIKNPIPIVKTGSKKEKASKLYERRNSMKNFKISPLIPGLVNGYSGSPRKPSPAEILSPSMLNFPSLVLSPVTPLIPDPFNRSQQNGLGPNINMDAENKAIAHKGFYLHPSPSNTPRGSEPRLLPLFPVTSPRASGSSNSS >KZN07927 pep chromosome:ASM162521v1:1:717583:725463:1 gene:DCAR_000596 transcript:KZN07927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALVTTRLLFLVGDSLSVKKFSRFPRAAPLPKNIRLFSRISHDNGPLTLTSLGFMSEHETTTRDEKYNLPQISSTVKVPKSKVKVVRSAAVRSVIARNPLEIEAAPFSAKSFAELGLPSLLIERLEREGFAVPTDVQSSAIPTILKSHDVVIQSYTGSGKTLAYLLPILSGIGALNELQNTVKPRKKMDIEAVIVAPSRELGMQIVREVEKLLGPEDRKLVQQLVGGANRSRQEEALKKNKPAIVVGTPGRIAEISASGKLHTHDCRYLVLDEVDELLSFNFREDMHRILEHVGKRPGSGKSDSKIPTARKAGRQTIMVSATVPFSVVRAARSWGCDPLLVQAKKVLTLDSVTPSGPVNLTGTSSASTTTSQTPSAVQSLPPALKHYYCVARLQHKIDTLRRCVHALDAKSVIAFMNHSRQLKDAVFKLEARGMNAIELHGDLGKLARSTILKKFKSGQVRVLVTNELSARGLDIPECDLVVNLDLPTDSIHYAHRAGRTGRLGRNGSVVTICEEREVFIMKKMQKQLAVPIQSCDFAEGKLLLTENEKTSDTGRCALVTGGNKGIGLETCRKLAATGITVILTARNEINGTEAVEKLKLSGLSDVVFHQLDISDQASISAVAKFVETNFGKLDILVNNAAAPGIVIAKPDELRSFKDGAAFGEVADKYADLLEGILVQDYKLAEDCLRTNYYGVKALIAELLPLLQLSSSARIVNVTSNYGELHFIPNEEVKEELGNVEHLTEEKIDGIVKWFLKDFKDNKLKANGWPITVSAYKISKAAIAAYTRFLAKTYPHMIINCVHPGYVQTDITSKTGPLTPEEGARAPTMVALLPDDGPSGLYFYEMQPSAF >KZN08606 pep chromosome:ASM162521v1:1:11923139:11923498:1 gene:DCAR_001136 transcript:KZN08606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLNESDEVVEKQVNDHRPFQDKLLGPLGFKAVFMPTPGFVPFLPPRNTPPASTPPNQLPPNSGTQESSTLPPTIKTTSKAASQSSSKASLEGSRRSTRLLQKKAFSWTNTPDDPVEL >KZN10791 pep chromosome:ASM162521v1:1:39011652:39024673:1 gene:DCAR_003447 transcript:KZN10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGCTLQLSPQLGMYRNHPSSREFQSWAASGRVKLLGAVSSISLRQDSLSICLSDRLHKRVHAAPYKRNHFTCHSLLNLGHSLHISSLKNTAAELTRSCGALQGKHVVFKLSGTNYVVTSYLQPILLWTGATLICRALDPVVLPSEASELVKQRLLNFVRSLSTVLAFAYCISSVIQQTQKYFMETSEPADSRNVGFQFAGKAVYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIQATRPFIVSEWIKTKIEGYEVSGTVEHVGWWSPTIVRGDDREAIHIPNHKFTVNVVRNITQKSHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQKLHRRVFLENVNPENQALMILISCFVKTSRFEEYLCVKETILLDLLRVISHHRARLATPIRTVQKMYGDADLDSMPFADSVFTRGRAPTNRPLLLIEPSNKVNGEGKTKSQTRSARTNGEQDNKAVARTSDNQIDVKGGPSDAKTKEKSSSDSKVKANSSAGVVPDPDSKKDQKFEGMPVYDTKQGDKVGDKVLSKSTAKAVSKIGEISSSNSNAPVSKNVTENNSTKKQPKNGELKSAPQNIVVENPSAAVPETSKRKANSSISNSKQAERLTAVDIPASRPVSEENIVLGVALDGSKRTLPIEEGMPPSATSKEVKELATSRSGSASSTVDKDKKDNQNSAGATPADK >KZN10448 pep chromosome:ASM162521v1:1:35768423:35774920:-1 gene:DCAR_003104 transcript:KZN10448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVRRASHAGSWYSDNPKKLAEELDEWLIASGIPKSPDVRGVIAPHAGYSYSGRAAAYAFGNIEPSNISRVFLLGPSHHYYTPKCALSKATIYSTPVGDLPIDVEVNEELKATGKFELMDLRVDEAEHSMEMHLPYLARVFHGYKVKIVPILVGALNAENEALYGRLLAKYLDDPTNFFSVSSDFCHWGSRFDYMHYDKKHGAIHKSIEALDRMGMDIIETGDAESFKKYLLETENTICGRHPISVFLHMLKNSSTKIKINFLQYEQSSQCKSTRDSSVSYASAAAKVEG >KZN09473 pep chromosome:ASM162521v1:1:25624287:25627891:-1 gene:DCAR_002129 transcript:KZN09473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIQFALRSFNGGLSHQLRTPGPRVVVRAFSAGAEANVSDNRFETRDDFEQRIFGGFTGNSLNDSPSQQSFFRRLDRAERAHNHPDYGNSGGRFNLDNRSEIFDGLDESFNTLSDGMDDKLKEAATCYDALEIFEDPEYEYRPDVNWSRIGDTYELRDLDIKKPGIWEPNKRQEFETTTEEVLRKADFRNVRFLANFITDAGIIIKRSQTKISAKAQRKVAREIKTARAFGLMPFTTMGTKHFRYGKTMESLDADYEFETYDTSYNFVNEEASQAPP >KZN10788 pep chromosome:ASM162521v1:1:38986994:38987617:1 gene:DCAR_003444 transcript:KZN10788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSILVLTLCIFYAVNKFSKQTLTNIRVKNRFKAQAHRHFIQGAHLLSRARSTANRTTSLNLAKDAVNLADQALSLEPRDGATLILKGLALDLMGHKSAALKCVDQALTFPAVKALSEKERGDALFTRAELRMAVNRRRRVDSAVADLLEAVRLSEDNGKAFCLLGQCFVIKGMRNEAKNAFERALRIEPDLVEARQGLLDLDSGA >KZN08735 pep chromosome:ASM162521v1:1:14684580:14685694:1 gene:DCAR_001391 transcript:KZN08735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADNGIRNSLLVAPMPTASTSQILGNNECFEPYTSNLYSRRVLSGKFVVVNKHLLHDLTEMGLSSPNLKNKIIYESGSVQKMSKVPDDLKLIYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNILMDQPNSGKLTSLHFHAWSQGLKTGMYYLRSRAAADAIQFTVDASMLKDKVTQEDNDDTTKMAQMVCSLNNHDKCMSCGS >KZN09085 pep chromosome:ASM162521v1:1:20065970:20066753:1 gene:DCAR_001741 transcript:KZN09085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMFDRECSSGCESGWTLYLDHEDSFLSPFPSRKSGDDSVKQDEDDYDEEDMSMLSDASSGPPHFRDEEYYGNDLSNNGFFVQTHSDAALPKNNGKRKKNSEKRRQRVQEQQLSFLDDTASSPIFDVSSNNIALSNNQASTENMLDFSQAHSSTQYEGKTSFREHYGFCKSPVSGTKLQQNQLSESF >KZN09672 pep chromosome:ASM162521v1:1:28313421:28316036:1 gene:DCAR_002328 transcript:KZN09672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFQKGNYSLVPIALARIDYLKDAIEPGLLQEGLKGEEEALWAIHRFLFNEGWWARAENLKVKGASTNHKEDTVLINFLQANAELIHPNTRHLATSGDAEGIRMALNQIHYNSLTEKHHRSGPSERGSTKLSDLLDITRSFLKGFAQFVEPSVLNDALLGNDKAISLALGQIHFHSLEAGMDNNSNDNISQQGSFKDVLLKQHSHEKKQEDVKTTKAANNFNTRGPIGSTYGIRTKKAEHTVFFTGFQENSHPKDLWKFFKQTAKIKDIILPRKRDKYGKRYGFLIMENEEAVQIIVKKLNSASTEYGKLYLSRAKDKSTSSGPSKTARPGKHSPELKCTPSTRTHPVQGILSSPKGSNVVMQEALPQESSKLSHKEARTNAHPQVRDHVQIEEVPIYNQMREHTELTINPSEEMSNVIKSSIFIRTVKNETIDTVRMIAEGLGAHNAQIRGITGTTFIAFFANKVDYESLDMEFLQIGFVEVRQVNVEDLMPSRKAWVEVRGLPIMGWTESNFRDLIRDCGNVLLFSKIYDAEGFYQHPKFLIETSYLEEINIQRTISLLRKKWKVRILEVTVQEYERKFLYNLANIPKEVNLR >KZN10513 pep chromosome:ASM162521v1:1:36475789:36477195:1 gene:DCAR_003169 transcript:KZN10513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGELDFSNHEMFGGTNLAEIGDLPANCSMDSFFDELLKDTHACTHAHTCNPPGPDASHTHTCYHVHTKIVPASSEDKDPSDDTAESADKKSKKRPVGNREAVRKYREKKKAKAASLEDEVIRLRAINQQLVKRLQGQAVLEAEVARLKCLLVDIRGRIEGEIGAFPYQKPAKSAIYQNPGASSLPGAYVMNPCSNDQVYCLHPGAESRSEEDAVLNVEAFNGCDFENLQCLGNQSSAIDELPGCGISGSVPAINIPGGNKRKALLVWQSRLAYYDIFSVLGSYFLRRLWKTIYLLLFDAPRIHTALVAC >KZN08507 pep chromosome:ASM162521v1:1:9329395:9330537:-1 gene:DCAR_031712 transcript:KZN08507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQYTLKNENPNLESPCDADVYLKNRKRKPGKKYKSNAKAVKKQIITKQLEAKFNRKLQDNIAWMLKKLGEANPNMKIDIGDLCATV >KZN11222 pep chromosome:ASM162521v1:1:42793668:42794456:-1 gene:DCAR_003878 transcript:KZN11222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASQTLILRNPTFASPNISSLAVTTGIAFTLFSSRISRRRNDNYFSPKPNFYKPHNLTYKNPSKPYTLSCSSNINSSTSPLTEPTRPSPEDQTRQNPSESTRQVFTESTRTVSTLVATVILLSKLLGHAIAKRMQGVCKIPSPNQLLSFQENMINTTSPLFFAAVRIHRQQLQTPWTIMASGLARCLEVYMALLGIRVTLSFFPNVEWNRQPYSGLRDLCDPFILLFQNIIPPLFNVDMSVTVAFTVLSVLVEILTPRLF >KZN11922 pep chromosome:ASM162521v1:1:49417808:49425753:-1 gene:DCAR_004578 transcript:KZN11922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELILSTHSVYRSSLLGRRPDFRPVKQIPARKAFKTARISNAATVNEGQLLNLKMNGSALEQLDIERGVCIPFRKYTPQTVRNKVLESQGAILSLIGRGVEIVWSLGFYYSTLVYDFLVGRDEEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNEVAFNIIEEELGQPLETVFSKISSTTIAAASLGQVYRATLRATGEEVAIKVQRPEIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKGDPTVKIPRVYKQLSGSRVLVMEWIDGIRCTNPQAIKDAGLDIDGFLTIGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAQLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVTPIVPALESIWQNSSGKGLADFNFRSVTGKFNQLVYQYPIRIPERFSLVIRSLLTQEGICFTLKPEFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVAKMSSNPALQGKQMELSREMQVQKKLDLTDTIKDGARLFLVDGGIRRQLLLALTEDSKLHIDELVDVYKLLEDQIDMPSVAVEVARGFPSVAREAMLSWSASVLSDR >KZN11409 pep chromosome:ASM162521v1:1:44414398:44414735:-1 gene:DCAR_004065 transcript:KZN11409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKASEAESQVSAATAVLLGALAPGVNGQTWNTLKVAFLMLGLCLTAMLALAFSASDLSLIIHVTFLVLITGILFFLLTRYL >KZN09470 pep chromosome:ASM162521v1:1:25608484:25616481:1 gene:DCAR_002126 transcript:KZN09470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGVGLQLIHHIVSCRSLHANKGVRLRVVGLCDSKSLLVASDVYSMELDDSILLEVCRVKSNGSSLVTLASSGEHQAYSGPEVMRKVIEIASLLGKSKGLTIADCSASSETIGVLNQVVDLGCCIVLANKRPLSSSLEDFDKIYGDPRRIRHESTVGLPVISSLNRILSSGDPVNRIIGSLSGTLGYVMSEVEDGKLFSEVVRTAKTLGYTEPDPRDDLSGMDVARKALILARLLGLRINLDSIKIESFYPEDMGPSVMPVNDFMKNGLPLLDKDIEDKIKRASSNGNALRYVCMIEDSRFALLLWCEVGIRELPKESALGRLRGSDNVLEIYSRCYNQQPLVVQGAGAGNDTTAAGVLADILDMQDLFP >KZN09825 pep chromosome:ASM162521v1:1:29698509:29700941:-1 gene:DCAR_002481 transcript:KZN09825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKAVTMIGVSSVVLVAVAVAVAVTLNKGNHHDGQVTTSNKAVNVMCEAVDYKDTCVKSLSTSDSEDPKELMKTGFKAAISNIGDAIGKSKTLEDASKDPRTQKAYELCKELLDTSVKDLNRSVDRVGQLEASKMEEYVLDVKTWLTGAIDYQETCIDAFENTTGDAADKMKNLLKISNELSSNALAMMSDIKSIVSSLHIPGIHRRLFDYDYETPQGPQGDPPPNEDPASQANAGTHKRRLLDYDYETPHGDPPPNEDPGGPYTAKSHKRRLLDYDYETPHGDPPPNEDPGTPYNARNHKRGLLDYDNETPQGDPPPNEDPGIPFNVRNHKRGLLDYDDETPHGDPPPNEDTGFSAGTRRLYDAAYGPPNEHSLAEDPGQGAAATVRRVFDNDNESQSDNGNDSKKMTKVGKASGNGNNLMHDAEQISKARKVGGTDDLPEWAGKHQRSLLQANPKPNAVVALDGSGQFKTISDAIKTVPANNVKAFVILVKAGVYKEYVDIPRGTNNIVMMGEGATKTKVTGNKNFIDGVNTFKTATFAINGDGFMAKDMGFENSAGAAKHQAVALRVSGDRAIFFQCQMDGYQDTLYTHTYRQFYRDCTITGTIDFIFGDAAAIFQNCKMIVRKPMDNQGCMVTAQGRKDRRSTGGNILQNCQITAEPAFMQANPPIKSYLGRPWKEFSRTIVMQSFIDSNIAPDGWSPWTGNFGQDTCYYVEYQNRGPGSDTSKRVTWKGVQKNVSPQVISQFTAASFFQGDAWIPVAGIPYDPGMMKV >KZN10069 pep chromosome:ASM162521v1:1:32319404:32322133:1 gene:DCAR_002725 transcript:KZN10069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDLDDAFKALTRLGTRIPISACRSNTISPCPFAFKLQFLLENKEMSQQQPQRPQYDASQDMASDEASAMQSAAIRNANAAGGLHVIAGSVGGQAVEEDANMSPGIGSKDGDTITIGEALEATALSEAGDKPVEISDAAAIQAAEVRATGSSQIIPGGVAATAQSAANMNSRTTDDANKTTLGDVLADASLKLPDDQPVKAEDADRVIGAELRNDPGLTVHPGGVATSMAAAAELNKKMQ >KZN10334 pep chromosome:ASM162521v1:1:34671803:34674321:1 gene:DCAR_002990 transcript:KZN10334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQIIFSMDTLPSSVSSLQVPNYTLAHRKSSDFSRFKPPQGSTCSSKRGHRLSLVEIDVKRFLKLLGNKQLQGFLTDAHVKQSEKGKVVKEIAEKGKFHKHLVVLIRMLVDKTKLGMVPQVLVEFQRLFEELRGTDRFLVPL >KZN08156 pep chromosome:ASM162521v1:1:3283370:3286255:1 gene:DCAR_001221 transcript:KZN08156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCCSHMIGAVTLHDYRNCNHDYRPWHCTHQFSPCLFLISKFISPSLFSFTCSCPSIDGARGTIPAVKLRSPSLALFILDFKFVDHHSNNTRLIRWNRKMGQMRRPTQNSSFAANNELAEIHANDPSEYEDLNTESFLADRLQLPMYPTTFPAASYVTHSGCAGAAYAPGTGKNTTTTNVSTTTPITNQGVTGSSFDFGDHFSLQAGAKETRAYFLCGIGLGKPLQKDYMSSLTNLIFCISKKKTRSEALNCARTYLYLAPFASVHMYEAQKLMGLGRSAR >KZN09800 pep chromosome:ASM162521v1:1:29492228:29493495:-1 gene:DCAR_002456 transcript:KZN09800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVMEKFIVASMFMWIVPVAILYGFNHELFPGSSELSSSSLTLLSGFLAVISVNVVIAFYICLAMREPVQKHEPDPKFLSEATASVKQFKPDERGESSSTHKKEE >KZN10670 pep chromosome:ASM162521v1:1:38089691:38093012:-1 gene:DCAR_003326 transcript:KZN10670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNLQSISEATSGAIGALVSTTILYPLDTCKAKYQAEVREQGHQRYRNLSDVLLEAISKGQVLSLYQGLGTKNLQSFIAQFVYFYGYSYFKKLYLKKSGSKYIGTKANLLIAAAAGSCTALITQPLDTASSRMQTSSFGKSKGLWLTLTGGSWSEAFDGLGISLLLTSNPAIQYTVFDQMKQKAIRKQVKTQNGSSSESLSAFSAFMIGAISKSIATVLTYPAIRTKVMIQAADPDEDANGNGKTKSRKTISGVIYSIWKKEGLLGFFKGLHAQILKTVLSSALLLMIKEKVAATTWVLILAIRRYLVVSAGRIKKA >KZN09638 pep chromosome:ASM162521v1:1:28037986:28038327:1 gene:DCAR_002294 transcript:KZN09638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLKIIEAIIIIMVIVAITQVTEADLGCNLNLATEVAKAKPGCNLNKLVSKCSRALYDFMLEPSIKCCKEIKKAIEDDKKCMCKYLYSNCGDKFPGLLETVLVCKAHISCFK >KZN08374 pep chromosome:ASM162521v1:1:5785291:5789699:-1 gene:DCAR_000920 transcript:KZN08374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSSEPQSAPRKEKNIKGQGRGRVPSELVDDDSGSKTGGHAPVGPRPVFIPGRGYWIVPEGGVPQQVWPVPMALTHGICTTMQGRCAAEFSKHNQSTFSSDDESTEDEFEEVYLRGKRLLFPKVEQGSPPEEPVQGSSKFVQIEEKALEDLMSQAAKGDLYDEMIKSNGQLAPAEISVEKGKDVMWKDVLTDSEEERLALDIPQFADFLNSVPSTFEVGESSGQGDSEAWDMDWFFNF >KZN12046 pep chromosome:ASM162521v1:1:50583623:50590481:1 gene:DCAR_004702 transcript:KZN12046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTESSEDNRDLIYHCGETLKQFLPIYLSRIRKHYEPSAPRRGFPKLSPLDFHRKSEMASYSDRALGSAIRNISPSVVAVSYFYGVQRKVDCSGFIIRWDDFTQVATVLTSAKLMRSPLGRDDYYIIVRLANGKLLEAVEDYVDYYHNIATFKVKSDAKLKPVDLCCVETSDGDEVFALRRDFHNCKLSETGGSIHHDHPYFGCEQLLSSTCRSSQVGEGGPLINKMGIVCGINFFDGHRCVHPLPTSVIDLCLRNWESYGIVMRPWLGFTVMDIATLPPDTYELMPESSVDRSPADKIEVCPGDSITAVNGSRLSGGLRVYAEELNAASNVLSTLSACGHTNFMVYVKVNDGRKLVVADNLNVNDKKFCSSWLGDDSDWTMEPLIGSQTRAAVDIASW >KZN11567 pep chromosome:ASM162521v1:1:45805404:45805655:1 gene:DCAR_004223 transcript:KZN11567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSMFLGCFLDSSPQVAVEGDDKTASAAAYSLDISENNCESKSHSDQDFSSGDVEKEINNKSKGSQILVSYFPTGSRLSYL >KZN09435 pep chromosome:ASM162521v1:1:25168572:25185445:-1 gene:DCAR_002091 transcript:KZN09435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPQNLKTAKEVEAIVRENGAEPATIAILDGMPCVGMPYPQNLKTAKEVEAIVRENGAEPATIAILDGMPCVGLTSEELERLATLGTKCQKTARRDIAHVVATKGNGATTVSATMIFASMVGIPVFVTGGIGGVHRYGENTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVTAYKTDEFPAFFSGQSSCKTTPFTIIVVTAASQAPCRVNSPEDCARLIDANLNLQLGAGILIAVPIPEKHSAVGSVIESAIQRSLKEARDKNITGNAQTPFLLARVNELTGGASLASSILLSVLCN >KZN09689 pep chromosome:ASM162521v1:1:28505295:28507430:1 gene:DCAR_002345 transcript:KZN09689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKNALLKDLTQVPCDSTIGSKTLPRKPTKVTKKNESSASAGSGNQPNGSRNQPLVSRRNQASTSVSSRNKARRSRTTRSRTTSTVSSSPALVRRGLYLRMGCTENNSATYLSSGNPCLDFFFHVVPDTPSAQLVHHLKLSWDHDPLTSLKLICNLRGVRGTGKTDKEGFYTAALWLHEHHPETLASNVHVLANFGYFKDLLEILFRVIEGHDARSKLKREWALKTAPRVRWAWRREVFRMLKQEKKEISRTEEMKRRRRVPREVRIARNKAKVMEEKEKARILRRKKQMNRAKKVQELYKTDMNYRFLHDQIATFFADRLRADMRCLNSGKAKDISLAGKWCPTIDSSYDKHTLICASIARKVFPRESYPEYEGFEDAQYAYKVRDRLRKQVLVPLHRALKLPEVYMSAKKWRLLPYNRVASVAMKNYTDIFMDRDKRRFIQYLEKVAEGKAKIASGALLPHDILASCLCGATEGQKIVADLQWKGMVNELLSKGNLTNCIAVCDVSASMTGTPMEVSIALGLLISELSEEPWKGNVITFSKNPQLHVIKGSSLLEKSEFVRKMHWGANTDFQKVFDQILKVAVDAKLSEDQMIKTVFVFSDMEFDMASANPWETDYMVIQEKFKKNGYETVPNIVFWNLRHSSATPVKATENGVALLSGFSKNLVTLFLGEGGEINPEHLKKRAEFNPELVMEAAISGEEYQKLVVYD >KZN10404 pep chromosome:ASM162521v1:1:35380110:35384095:-1 gene:DCAR_003060 transcript:KZN10404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSRDARRRRIAERGSDRLALITGRLNSLPSSKSFSDLPPTVVPQKEDKHTTSFSCDLSNDPSQHEKYEGGTGIEQHRVQSHSSSQESRPSALDADEKIKQRPVSSKTEGSPISTLNAEHQSANIEPVIHARSNGISPRQISIAVAASEMIRIYCSLAAAIVVFLSYIGFPILGNGIIKSVILSRPLYLLMVTNISIVLVPLLLDKQRPVNSSAEASGASPLGAFQFGKALEVGMMLQQVFGGLFMDCSVYSVVVVCLLSLAQKLGW >KZN11539 pep chromosome:ASM162521v1:1:45581544:45582272:1 gene:DCAR_004195 transcript:KZN11539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTDVHHTPLIANAEVTSKFIRNTLINSSITITNLVGPQEKMSLSDHPVKGLYFFVVGSPQSAQVTVMSYVGMLRLGIEVEKNFINEYKFKSCIANAFNMISEAAAVP >KZN11980 pep chromosome:ASM162521v1:1:49861151:49862299:-1 gene:DCAR_004636 transcript:KZN11980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVESIGATSLLRHRPVFGLHYSKDTGGRRKVVNIVRIPRTEFIGQRLVCSTSRGVGPARPLTRMALVKSQAMGLAQEAYSYKDEERLPRNFNIGTDSGVDRKPGMWPPENKADNAALQNPLLRQERMGCGWLGAIFEWEGVLIEENPDLEKQAWLALSQEEGKSPPPTFITRRIEGMKNEQAISEVLCWSRDPSQLKRMASRKEKIYKALQGGIYRFRPGSQEFVNVLIHYKIPIALVSTRPRKTLENAIGAIGIEGVFSVIVTAEDVYRGKPDPEMYMYAAQLLQFLPERCIVFGNSNQTVEAAHDARMKCVAVASKHPVYELGAADLVVKRLDELSIVDLKNLADIDSPEFGEPELEMELEEEADPSSSSSVAFDDDFW >KZN08129 pep chromosome:ASM162521v1:1:2971568:2973856:-1 gene:DCAR_000798 transcript:KZN08129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSTPEGSAASRESSSTALEFPTLCQLPSASVLSGVTIEMGSQTYSFQHYSQRGLRAGTYLRSNTFNAGRHKWAISLYPRGINDQCSGYLSLYVCLMSEETDMYAMFQLTLVDQSGEGKHKACRLLGRGREKQVSVSPSRGIQWGFPLFMNKSYLEELGYLKDDCLVVKCEVGVLPLDISSPVSLTSNQLALRVDDQVKQFKEVQRMKKSNWEDTVLSYLLSVAEVIIEYISATAVEYDQEHEKFGHYWSSVATDDIMLLNDSLEIPDHGIPGIDSVKMLQLVFREILENSHSVCSSGSSSPSSVTSIYSYELQGGSHTTYDVLSTEQIHRLSSIVERLNSAGCLGDCNKVYRVSRKSAVNARLQRFGIQKWNIHYLKGLNGEDFTRTTRLWILAAYDCFHNIFPGEKQYYEQIFGGLGSGSSDNFLMAIVKDVAVELIDSLEAIINATASFQYLFDILDLYEAISVALPKIKATFRSVRSVDIYSGATKTIGRLIILARKLLSSFEDAVLYEQADTPLATGKIHSATKYVMDYVSRMLTYHDSLADILGSMPAKSWRKLEEDKFLGAQARTPLEHYVSRIIIRLRINLEGKSCYYRDPSLRYAFLLCNVNHIIQIINESPELLEMIGEEYLSKLSKDIETTAQNYVSSTWDRVLYSLGDDGLNQWFAFYRGLSGNALKQKLKKFNKSFEEVCETQCTQLGPHLQLRGLLLELILKKLIPAYKSFLDKFTGIEGYNQRHIKYSLQDLQNKVHILYLEH >KZN10808 pep chromosome:ASM162521v1:1:39195327:39196990:1 gene:DCAR_003464 transcript:KZN10808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLQFQYHLTTSSPNSRPCSLLPPKNQHLFVANQRLHSLHVDLKIYAKRIIIVRAKDDSDDESDDDSDDESKDEAPVPPKRPPFEWKKWAVGVLFFFILPSYRLRMGPFAILKDKVDEMFETAETAAAVVEDLAELVDRLAEEAEKKLPDGTKLDDLARSIENLAERVDEKAEQAQELIKEVQNMAEDLEDIMETKIIEATRREESHHKDRGRRIHRPEDENLKDGAETIESLTEQAEKRAEETQELVQQVDKLGSEIRDMDSRNPAATSGSQKTGHENRSGKRLTKV >KZN10907 pep chromosome:ASM162521v1:1:40187951:40190006:1 gene:DCAR_003563 transcript:KZN10907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTNLGCKRNKENAMDGSFSGCNLTPLSTWHFNGNHGHGFNSQITSTGRTNSAGFSLAVIFKTRVIT >KZN07925 pep chromosome:ASM162521v1:1:710913:712776:1 gene:DCAR_000594 transcript:KZN07925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAVHSRPVSEEDDEALSTTKKLKSEEKYKEHSEEEMQKQHEFDSTEEKALECSQVSEDTNKYTLVTDCRFKLPHYLTEFALAEFNANQKVMYEKVNVLETMKSLNVNEVTTYLITFEASLSDETSNNVQTLQTKIIMSLLDYTTKIDVKFVRIKLSEFDVNEEEDSREKLSESNEEEDSKEKLSASNEEEDSEVSESNTEDSEASESDTEDSEELFDSDEQDTESDKKDSEQEKLSEFEEDDPKEEKLSDSDDDELCSYEMKQYLIDVEKSDGFEVGNYPHAKIPCCMIRRYYDPPGRTQSTTNLNRLVYLSRLAICIYNMKEDTYFDNVKVLKAMAFGCGCTNYNITFEASLCDGDHVTFQTSIYRSVPLPYRNIEIRFVRIKPSINPKDIST >KZN09592 pep chromosome:ASM162521v1:1:27458626:27460435:1 gene:DCAR_002248 transcript:KZN09592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRSGLDRFKKAQTLEPFSVATGSTAKTTVQSASNAVSQPLVSFPRSQISNQPAQYKNEHQVSRVPVVSDVSTTLSLAQNATQIGGGQSTWQPPDWAIEPRPGVHYLDVLKDGEVLDRINLDKRKHIFGRQFQTCDYVLDHQSVSRQHAAVVPHKNGSVYVIDLGSAHGTFVANERLTKDSPVELEAGQSLRFAASTRVYILRKNEAALFPAPPQLKDISILPPPDPSDEEAVLLYNTFVNRYGLTDSGLESESKQLGNSSSKRQGTQQNEERAAKRLRKIKVAFKDQVGGELVEIVGVSDGADVETEPGPLGVKEGSLVGKYESLVQTTVIPKGKEATSVKEVNPSQKGVTDKLKEVLNKVKNPSKGGIYDDLYGEALPDKVGSSWAYPSASASGRHPSPTKNSETKSLGSLTKKTESNSLYDDDENDEDLFG >KZN11181 pep chromosome:ASM162521v1:1:42357281:42362517:1 gene:DCAR_003837 transcript:KZN11181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGGLSRISLKVPNGLAWRVTLKTRNGDVWLKNGWTEFARFYSVKFGHLLIFKYRGHSEFKVRIFDSSSTEIDYPSFRSAKLDMGESSQMRKRASEIHHSASSHLMRPHKKRVKNHAPNDAGHSGVFMTQNLQQETKKSGNCASKEAEARALALAEAFRTDNPFFVYVMRRTYVDGKGRGLVIKKAFEESYKKWKDNDQVHLQVEGKKWLVHCDMKQDSCRLSSGWDKFVQDNSLTVGDVCVFELVDERRRLLQVVIFRATKGTKGQENEILPRVKSEAKPARVVASVNAFASKYPCFKAKVCPSCLYGASLTVPRKFIKKYITEDCCHVTLENSDGETWLVKCSAYESGTKFGVGWKQFARANQLAVGDVCAFELINFSEKMIPRKFVRKHGNKLGSRISLKAPNGLVWKVNLERDENEVWLQDGWPQFAKFYSIQFGHLLFFKYRGHCDFKVRIFDPSCTEINYPSSKSAKLKSGESSKKRKRAIETDHLMLKLQKEKRDCPQEIEEARARALASAEAFKSDNPVFLRVMKISHVDGKRRGLVLSKAFEETYKKWKDNDQVHLQVAGRIWPVNCIMKWNRCRLGSGWDRFAQDNSLSVGDVCVFELINTCTKLLEVHIFSAAEETNENTRLPRVKREAKEAKVPASVDAFTSRYPFFRINVYPSSLSGGSLGLPQKFVKRFITKDSCNVTLRISDGREWSVRCGVYNSCTKFSVGWKIFAQANRLAAGDVCIFELINFSEKLLNVVIFREVAECCHQC >KZN11606 pep chromosome:ASM162521v1:1:46211511:46216371:1 gene:DCAR_004262 transcript:KZN11606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPICRTRAMVLPAAPQLSCTIQFDTVLFSSVHCLRFTVQQVGHSARPVLVKSSDNGTSATSNGLQSVVGVLHLVVSLGIILAMDKYLKQAFVAAAIKFPSALFGMFCIFSVLVILDLTSPGLANGLMEFFEPALLFIQRWLPLFYVPSLVVLPIAVQDIPAASGLKIAFIIVGGWLASLAVAGYTAISVRKMVKTEMIPAEPMSKPSPFSTIELWAWSGIFVASFAGAYLYPTLLGTPARTCLPFLLASTVLGYIIGSGLPSGAKKVLHPIVCCALSADLAAYAFGYLSKSGIYPILGHYLTKVSSNPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSVIIATLFSLYSTAFMGRLVGLEPSLTISVLPRCITVALALSIVSLFEGMIISCAHGLGTAALSAKEPEALPFCAIAYALTGIFGSIFCSIPVIRQSLLAIVG >KZN11016 pep chromosome:ASM162521v1:1:41088539:41089646:-1 gene:DCAR_003672 transcript:KZN11016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPNNMSDSDFDGLDHGWRSPDFSFHSSMPSGSVVHLLQVDHTGLAATRGHCSGDKHGKDTGRIFSDENSPFYCRSTTKSAQKGRYDSSNRGLSTPMLTRSVTDSVIVDEISPAHVISNDILSNVDEHNNISDSDGFYHGWRSADFSLDSSLHSGTGLAAACGHCSDSKHGRTPLSDVSNQSFSACRQVKIFRA >KZN11611 pep chromosome:ASM162521v1:1:46301518:46301799:1 gene:DCAR_004267 transcript:KZN11611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSGVVDCYHFNTECKSDYHCFCKNELEARIGLLSLPLRVCCKGKETPETLIQSIFVICTHLHDKFNAEKVLQTERLIYFFIEELIISFTPL >KZN08706 pep chromosome:ASM162521v1:1:14050606:14051394:1 gene:DCAR_001362 transcript:KZN08706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQNSGDNFPYFPPYFPHSPPKITPSHNSPTPHNKPIVTPPPKFPAPRHPPTPAAKPPKHSPPSPTPPPPPKFPAPAPPPRHPPTPAAKPPKHSTPPPPSPSVIPPPRPTYPPPPPFHPIHPPPPPHIVPPPPPPGHHSHTVIIVIFVSLGGIFFLAFLSVALCCFLKKKKKTVQETDNLKIDQHLKIKEAIIPGPHGPQTVILSVEDDIHIQEDIRKNETSVGQGHSHIKSAAHHPQLLNLEPSTSGSSPHHQLDQKTA >KZN10686 pep chromosome:ASM162521v1:1:38178335:38182668:1 gene:DCAR_003342 transcript:KZN10686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNVELDTEIKDFGGSRSGFGSSTEDIKCELVNDVVVSGLNEARVSEMGGFGGDLGGNVDAEVEESKVFGEGNGRGELSDGETEGLSSGKKGKNEKSKEVSRKGFEEKTGSFYEVGDLVWGKVKSHPWWPGQVYSEELASVAVRRSKTEGYVLVAFFGDCSYGWFDPSELVPFDSSYAEKAQQTSLRTFVKAVEEAVDEASRRRTLGLACRCRNAYNFRPTTVSGYLTVDVGDFENGAVYSVDQIRKSRDDFRPREMLNFVNQLALSSVNVEHEAIDFVKNKATALAYRKAVYEEFDETYAQAFGHEPERPSRKLLDVSQPPKLATPAPLSGPLVIAEVLRKGKGSVKSHKSKDPVKKDQNLFEWRDEPDDVKTTSSEQPVYGEGSLATAAGEHALQKKSPFVSKKHKVSAKHQRRESSSRGEVSNPRQESGEKGGITMDNKTAGVGVGMIDTNNGKAVGEESFKSEAGVGHVGMNTTDSVADRPCPVDVSDDKARPTTYESVKSLEQSSSLAAKHKLHGELGQDGCVAHPLGTGDAKSRQEGSHLQSGIEAKFKVRKRPSEELNGESSNPLMKKRKKQIISSKNMKMPLSGGKFEPSVGKTAKLPRDSAISSREVLQVNQHIKKEKVSYEAEKMSQVATVGLDIQQLLHSLQDLARCTAGSSGCMPVVRQTFLRYRSLVFEKSLVPSPAADGDSTEICYSDLPAVTKANNIHAEGVRERSTSKLQKQMVRPDDPSTGGRKRGPSDRLEEIVAKKKKKISDMKVLTSEKKTVQKTPIVQRADGIKETSGPTVRSLKPAPLRKPETYYARAPDPVMLVMKFPPQGTLPSIMELKARFARFGQLDHSATRIFWKTLTCRLVYRHRADAESACKFASSNSTLFGNVGVKCYTREVDVAASVTEPGQAQKEDSSKGTSQSRDLAVEQRPATSLTSRTLQQSGSQPKSILKKSNGDETGGTNGGGKGTRVKFILGEEETNRGGEHLITGNKNINNNAVFVDGGASSSTSHPGMDFNSKNIVIPPPPLPMLPIPTAAQFLRPPNYLPFTELVSRNPHNYNVMIPPLVTPPSPKIDISLQMLGLLHKCNDVVNKLTAFLGYLPLHPL >KZN08151 pep chromosome:ASM162521v1:1:3178133:3180945:1 gene:DCAR_000820 transcript:KZN08151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVSNPKTGEISMSEAKKSSEVVVMISGQEKDVKNSPRRVSIDSHFDAVKFAPVGMPSPEIARYAPSPNRPPRIPTSETTTPRKSLARSVYSKPKSRFGEQPIHVDSYMLEEDSSFIQGYLKSNGNSPLRNMSTQASPNIRAASTHTSFKDMNRASSINTPRTPLMASPGGVGGVDEDEEIYKKVSNRNKLKYKRVKIKVLIEWIVFLLILGNLISCLTIDSLKHTRIWGLEVWKWSLLVMVTFSGMLFTNWFMHIVVLVIELNFLFKKKVLYFVHSLKKSVRVCLWLTLILITWLSLFNNGVHRSATATKVLDYITWTIVSMLICSFLWLFKTLLLKMLASSFHVTTFFDRVQESIFHQYVLQVLSGPPLLESAGMLGRTNSSQFSFRSTKKGKPSKEGSKKAVIDINKLYQMKQEKVSAWTMKMLVDVISNSGLSTISDSLDESDYYGNNEQVDKEITNEMEAIAAAYHIYRNVAQPGSKYIDELDLRRFMLREEVEFVFPLIDVAETGQVDRKALTEWVVKVYNGRKALAHALNDTKTAVNQLNTLVTVILIVIIIVVWLLLVEIATTKVLLFLSSQLVAAAFLFGNTCKTIFEAIIFVFVMHPFDVGDRCVIDGVQMIVEEMNILTTVFLRYDMEKIYYPNSILATKPISNFYRSPDMGDSLEFCIDFRTPVEKIGSLKEKIKKYLERTPQYWHPNHNMVVKEIENVNKIKMALFFNHTMNFQDFAERNRRRSELVLEMKKIFDDLSIKYNLLSQEVQVVKSDASR >KZN08341 pep chromosome:ASM162521v1:1:5451572:5453773:-1 gene:DCAR_000887 transcript:KZN08341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFGFFLSIVCVLSFLCLSIAQNASFKTYIVHVRIVDDKALAQFENHEGYYRSFLPETISSSDQEVRMVHSYRNVVNGFAARLSDEEAEVVGKKDGVLSIRPQSVYSLHTTHSPNFLGLHQNFGVWNGSSYGKGVIIGLLDTGITSDHPSFSDEGMPPPPAKWKGSCEGTTCNNKLIGARNFVAGEAGPPTDEEGHGTHTASTAGGNFVKGANVFGMANGTASGMAPLAHVAMYKVCSETCAESDILAAMDAAVEDGVDVLSLSLGGLSAPFYADSIAVGAFSAIQKGIFVSCSAGNSGPENSTMSNEAPWILTVGASTIDRNIRATALLGNKDELDGESLFQPKDFPQTLMPLVFPGSNGDENAAWCAEGSLDNVDVKGKVVLCERGGGIARIAKGQTVKDAGGAAMILMNQELDGESTLADPHVLPATHVGYSVGVSVKQYMNSTSSPVATILFRGTIIGVDWAPAVTSFSSRGPSVASPGILKPDIIGPGVSILAAWPVSIDNASTTATFNMISGTSMSCPHLSGIAALLKSTQPTWSPAAIKSAIMTTADQLNLANDPIIDQNELPADFFAIGAGHVNPSKASDPGLIYDIQPSDYIPYLCGLGYTDEQVGMIVNGVVSCANETSIPEAQLNYPSFSIALGSSTVEFSRVVTNVGDAVSSYTLKIVSPPGVVVSVTPATLEFSSVNQKLTYKVQFSPSGEAPKTPFVQGSLMWMSGKHVVRNPISVKF >KZN11646 pep chromosome:ASM162521v1:1:46645715:46646932:-1 gene:DCAR_004302 transcript:KZN11646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNSKLTPTRSLPPSSLPTLPRDLFAEILARLDVKTLTLLKSICKPWRALISTPNFIKQHLAHTQSDPLNESLIVHSLSDDYDDVVTVFRIGDIESPVTQALNFPQTFFKMELVGSISGLVCLCDRSLGFMIVVWNPATRECKMVPFPNKERHAEKVFVGFGYDSVGDDFKVVCVFRVTANEICLTRFYVYSCNAECWKEIKVDFPYDMPHMWCVVTVKGNPYWMGFSIENGDETNGKEVCVTFDVRKEVFRFLGLPKCESNSGTSACLANYKDKLADMMFSPGSEVNKLVDVYVLDDRSGVWSKIYTVGPIPLEVQRVLHCFKNGEIVVEDHDAKLFLYDPKSKAVKDLRIDNTKELNKAFCYTESLVTIKKMHHVEEEIPETSRKTRKRFDLFVNICLTVCS >KZN10759 pep chromosome:ASM162521v1:1:38689704:38703619:1 gene:DCAR_003415 transcript:KZN10759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFLSLDEQPIAAASIAQVHRAVLKNKQEVAVKVQYPRLEQQMRFDLATMSFLSKSVSLLFPEYRFQWMISEFTKNIAMELDFNQEAKNSERTARNFKYNNLVKVPYVYWDFTTNQVLTMQFCSGGKVDDLDQLKKMDISPTMVAKALAEVFAEMIFVHGFLHGDPHPGNILVSPEGRNGFSLVLLDHGVYKEFDEKFRQSYCQLWKALIYLDSNKILQFGEQYGVGKYARYFPLIFTGRTIDSKSALGEAMSVDEKKNLRQELKSLKVDDFSSFMESVPSEFLTLLRTDELLKSLVSKLGSSQQIRLLSYAKYALRGLSPKLNPENVSLVKVMLSRIQMSIPYIQLRFVLVILELLSLIKAMKLSVRKNFRGMVISSKMCAQFLAILLITISGCVSQVRSSASNHKYKSNDPVPLYVNKVGPFQNPSETYRYFDLPFCMPASTQWHDKPEALGEVLNGDRLVSAPYELNFLVEKDSETVCKKKLTPQEVSKFRSAVAKDYYFQMYYDDLPLWGFLGKAEKDAKADPSETKYYLFKHLHFEILYNKDRVIEVNVRTDPNALVDLTEDKEVLADFMYTVKWKETDTPFEKRMDKYSQSSNMPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEETAEDQEETGWKYIHGDVFRYPKYKSVFAAALGSGSQLFAIAILVFMLALVGVFYPYNRGALFTALVVIYALTSGVAGYTAASFYCQLEGTNWVRNLLLTGSLFCGPLFLTFCFLNTVAIAYNATAALPFGTIVVIVLIWLLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRGTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHAWWWRAFLCGGSTGLFIYGYCLYYYYARSDMNGFMQTSFFFGYMACICYGFFLMLGTVGFRASLFFVRHIYRSIKCE >KZN09358 pep chromosome:ASM162521v1:1:24105972:24106717:-1 gene:DCAR_002014 transcript:KZN09358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAWGRCSLFDSQTAPYKYFVQGERAELQCLAKCHTSNTLEAGRAAVKDTGAAPGPLVDPAVVDPIDYAVDHHFIRCHDYCYLRVACKR >KZN08467 pep chromosome:ASM162521v1:1:7359435:7360034:-1 gene:DCAR_001013 transcript:KZN08467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDLSYHLDRDMGMALMSPLLEVGSNMGEGEVIDAPKPPSPVRGLKRKFSTLDRATPTAHPSFVPTTLSLSIPGSSLHANRDKGKSKLYKDYAFNEDGVVCPRAIKMMEEVKLAGFNDFFKHEVVDMDVPLLSGIYARDVLHHVVQGTLHSLLADRSSQWDSFSRMFSGFMQVEEVLQAMGFSTPPSKGSKKFTGPSN >KZN09801 pep chromosome:ASM162521v1:1:29518877:29527694:-1 gene:DCAR_002457 transcript:KZN09801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNGSGDGEASASKKIPPATSMLWVRNLRRFIGSGTGLGSEALMELETKRILLDMFKEKQQKNAESGSLPSFYKKKPEDGSISHRVQRLAKCRFLKKQSDLLLNADDLDAMWACLRENCVIDDATGAEKMNYEDFCHIASECTEQIGSKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQAHQMKTYISDLIPNLAQLRDMPEAFIDTYCRIAAHKFFFFCDPQRRGKACIKKVLLSNALQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFMALDKDMNGTLSKQELREYADGTLTDIFIERAFDEHIRRGKNGGGNSREMDFENFLDFLLALENKDTQEGLTYLFRCLDLHGRGFLTTADIHTLFRDVHQKWIDGGNYELCIEDVRDEIWDMVKPADPLRITLADLLSCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >KZN09885 pep chromosome:ASM162521v1:1:30486845:30487899:-1 gene:DCAR_002541 transcript:KZN09885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNQGLNTSQIYSDTDKAAQKLYKACVFSITSQFVGLFFVVLYLFHLYKKYFVFSHDLPPSSPTITRSPSFAISVSEVVLEDLNDSLPMIVFDEELKAKNSICCVCLGEFEMKEELLQVPSCQHIFHRDCIGNWLSSSNTCPLCRSVVEIVDNTRLVLPPSQRSPAVRLNVDTEIQLVAS >KZN09955 pep chromosome:ASM162521v1:1:31137185:31142175:1 gene:DCAR_002611 transcript:KZN09955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVRARLISSMLKRNCNRCFSATVNAPKEQIVSSSSTVLNEQVADQVPPPQSPPKKAGFATRLLKYGLIASVTGALATAGYATYAYTVDEIDEKTKSFRASANVARQDDGSILSKFQAIIQSAAVTVPANLLELYIDGRRSIEEQVRGFTEPSSEKLLPDLHPMEQNAFTLVLDLNETLIYSDWKRDRGWRTFKRPGVDAFLEHLAKFYEIVVYSDQLNMYVDPSVERLDPNHYIRFKLSRSATKYQNQKHYRDLSMLNRDPSRILYLSGNALETSLQPENCVPIKPWKGETDDTALLDIIPFLEYVATHRPADIRPVLASYKGHDIAKEFIERSKEVQKKMQEQKQQGRLWRR >KZN11137 pep chromosome:ASM162521v1:1:42024176:42035571:1 gene:DCAR_003793 transcript:KZN11137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKTLIYAFVARGTVLLAEYTEFSGNFNSIAFQCLQKLPTSNNKFTYNCDGHTFNYLVDNGFTYCVVADEASGRQVPIAFLERVKDDFVTRYGGGKGATAPANSLNKEFGSKLKEHMQYCIDHPEEISKLSKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHQQAQDFRTAGTQIRRKMWFQNMKIKLIVLGILIALILIIILSVCHGFNCGK >KZN08084 pep chromosome:ASM162521v1:1:2516177:2521291:1 gene:DCAR_000753 transcript:KZN08084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFRDRSSLSSLSSLSSLSHSKRDSLSGTASSRFSGGGGGALPSPFGDLSPALSSTDLRDTAYEIFVAACRTSTGKPLTYVPAGNSDRLSGSPSMSGSPSMQQRSITSTAASKMKKALGLRSSSGSGLSPGSGTGSPSPPVKPKRPLTVGELMRTQMRVTDNNDSRIRRALLRIAAGQVGKRLESMVLPLELLQQFKSSDFPSQEEYYSWQRRNLKILEAGLLLHPYLPIEKSNAASQRLRQLIHGALDRPMETGRNNESMQILRNAVMSLASRSSEGSLAESCHWADGFPLNLRLYEILLEACFDANDETSILEEVDELMELIKKTWPILGLNQMLHNLCFSWVLFNRYVSTGQVENDLLYAADSQLAEVAKDAKATKDPTYSKILSSILSAILGWAEKRLLAYHDTFDAGNISSMQSIVSLGVSAAKVLVEDISNEYRRRRKTEVDVARNRIDTYIRSSLRTAFAQRMEKADSSRRASRNQPNPLPVLAILAKDVGELATKEKDVFSPILKTWHPFAAGVAVATLHVCYGNELKQFISSITELTPDAVQVLRAADKLEKDLVLIAVEDSVDSDDGGKAIIREMPPYEADTAIANMVKIWIKTRLDKVKDWVDRNLQQEVWNPRANQEGFAPSAVEVLRIIDETLEAFFQLPIPSHPALLPDLMSGLDRCLQYYITKAKSGCGSRNTYIPTMPALTRCDAGTKFQNVWKKKDKPVSSQRRSAQSAVANGDTAFGVPQLCVRMNSLQRIRAELEVLEKRIITLLRNSESANEEDFSNGLGKKFELTPAACLEGVKQLSEALAYKIIFHDLSHVLWDRLYVGEPSSSRIEFFLQELEQNLTTIADIVHERVRTRIVADIMKASFDGFLLVLLAGGPSRAFSRQDCQIIEDDFEALKELFWANGDGLPTDVINKFSATVRDVLPLYRTDTETLVERFRQLTVETYGSSAKSRLPLPPTSGQWNPTEPNTLLRVLCYRNDEVASRFLKKTYNLPKKL >KZN09617 pep chromosome:ASM162521v1:1:27698890:27699625:-1 gene:DCAR_002273 transcript:KZN09617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAARILTSGPVLSRPVTFVTGNAKKLEEVRAILGQSIPFQSLKLDLPELQGEPEDISKEKARLAAKEVNGPVLVEDTCLCFNSLKGLPGPYM >KZN08050 pep chromosome:ASM162521v1:1:1767400:1774467:1 gene:DCAR_000719 transcript:KZN08050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYSSKKLAKERKELLLADDKIWDQNGFNDLVLRQLGPSVNKESGLANAYDGKLKLGILPAIIFCSGHTYFVQFKAVFIRKMPFTVSLTRNYYDVLDFIKFSTTKLDAVAALVAHCLAVKAGVLAHLPSATSLLVKYSRGGCVGYSMTLFDEIMDKDVVVWNAMLTGAIENHCYVDSMKLFVQMIENNEFDSATLVIVVSVLCRSNKLSQGQEVHGLSVKAGIMLDTYYCNALINMYAKCGDLRSSESLFANLECRDFVSWNSVISGCLYNDEPVKSLLYFKKMSCSGVLADNVSLSSAVAACTRLEEWDAGLVFHGLGAKLGFDGTAHISVSNSLIPFYSGCRDINAACNLFRRLICKDVVTWNAMIDGFASNGLILEAFDCLSEMQVTRHVCPDTVTILTILPLCAELNLSKEGKAIHGFTLKRALEFDLSIMNSLIDMYMKCNRVKEAEQVFRAMPETDLVTWNTVISGYSQSGCSGEAQALFKDLLHWCSRCSLSTMLGVAPSCNSPASLNFGRLLHGWLIKLGFINHMLVVSSLMFMYINCGDLITCFSLVWRYYAIMDTACWNAVIVGCAQNGYYSDSLRTFNLMRSETRVSHDSITLVSLISACGNLELLLEGQRSHGLAMKTLVDTDIRVQNALITMYGKLGEIRSAYSVFNFCNHRNLCSWNCMISALSQNNNANEALALFRSLDIEPDEITIASILSVCTQLGLTGFGKQIHGYALRFQFHTNSFVVAALVDSYSNSGRLERAATVFQHSSEKSVAAWNSMMSAYGFHSKGQEAINLFEEMNLDFVSWNSVISGCLYNDEPVKSLLYFKKMSCSGVLADNVSLSSAVAACTRLEEWDAGLVFHGLGAKLGFDGTAHISVSNSLIPFYSGCRDINAACNLFRRLICKDVVTWNAMIDGFASNGLILEAFDCLSEMQVTRHVCPDTVTILTILPLCAELNLSKEGKAIHGFTLKRALEFDLSIMNSLIDMYMKCNRVKEAEQVFRAMPETDLVTWNTVISGYSQSGCSGEAQALFKDLLHWCSRCSLSTMLGVAPSCNSPASLNFGRLLHGWLIKLGFINHMLVVSSLMFMYINCGDLITCFSLVWRYYAIMDTACWNAVIVGCAQNGYYSDSLRTFNLMRSETRVSHDSITLVSLISACGNLELLLEGQRSHGLAMKTLVDTDIRVQNALITMYGKLGEIRSAYSVFNFCNHRNLCSWICMISALSQNNNANEALALFRSLDIEPDEITIASILSVCTQLGLTGFGKQIHGYALRFQFHTNSFVVAALVDSYSNSGRLERAATVFQHSSEKSVAAWNSMMSAYGFHSLWGALLSACNYHGDVEMGRKVADILFDLDPENAGYYISLSNMYVAAGRWSDAVDFRSLVHDKRLKKPAGYSLVDVAFG >KZN09702 pep chromosome:ASM162521v1:1:28610473:28611777:-1 gene:DCAR_002358 transcript:KZN09702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTSSSLRRTSSSSGSGGIILEKYQLGQILGRGSFAKVYRAQNLGDNSSVAIKIIEKPAKSDPTMENLLGVMSTNWFSKSLKVETEVDKLGVLLGKDCKNDGSVNAFDIIMMSSGLDLSGLFEADLSKKERRFTSRGSMKEIEERVGRVSEELGYKMEKGKGREMGLVKGKIILLVRILELVTKMGLLMVEMEVISDGDEVEKGCDQLEQFKVGLGDIVVSWHNNDVVVNG >KZN11524 pep chromosome:ASM162521v1:1:45446823:45450305:1 gene:DCAR_004180 transcript:KZN11524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVSFFKGFIDNTTNLSKRWSDKYNHACGRDNSVNYTSNSLYKRNLDTAQANLISAADTNSSGFYTTSVGNGLDQVNALVYCRDDVQPDICRSCVKDSMNELRELCPSTKEADIWYDECVLRYSNASIFNNVETWPTVLISVQRNASDMDQFNKNLRELLDKLKGEATQQKFATGSASGPDFTTIYGLMQCSPDLSSTQCTRCLDGLMGNIQLCCSGKIGGRIINPSCLLRFTTNDLFYNETVADAPPPQPQPPPTSQSAPVPAADKDDNNTRTIIIVVVVIVGIVVMLLVLVCIFKRKQKKRPATETLLTELELYMYSHISEQPEIAFAGTLQNGEEIAVKRLSRGSNQGQQEFINEVLLVAKLQHRNLVRLLGFCFEGTEELLIYEFVPNASLDHFIFDSVKRSCLDWEIRYKIIGGIARGILYLHEDSRLRIIHRDLKASNVLLDAEMNPKIADFGMARLFNLDETQGITNRIVGTYFQNGENVEDLASFAWKNWREGTPANVIDPILRNSSGSIHEMIRCIHIALLCVQENVADRPTMASVVLMLNSFSHTLAVPSEPAFFMPSRIGQEVSSATDNTNSRGSNRSVNSKVNSVKYSINEASITDPYPR >KZN09011 pep chromosome:ASM162521v1:1:19049804:19052286:1 gene:DCAR_001667 transcript:KZN09011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSLGVFTALFAGTPPAAVNQPFFPVPKKPIFVTSPSAANLRRLTCAASATPNPVETKKIREPRGITKPRPVSPEMQAIVGVSEIPRTQALKLIWAYIKEHNLQDPENKKIIICDEKLKKIFKGNERVGFLEVAGLISPHFL >KZN08534 pep chromosome:ASM162521v1:1:9852621:9855008:1 gene:DCAR_001064 transcript:KZN08534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYGITFCYYLSPCCCVYILICCNFIYMLKVVKNLLKSCFFKIDIFNCIFNLGILVCGKPMLDVTHICIYGFFTFCYMLIRLMEHDEERWKKFKEYQWKRLRDIEEHERTGKKDDLNLNRSLSNSEYLRNIESEARKKQEERNKKRREKYRAQKEASKQDGLNLEIEARKKHDQRNQKRRAKYKAQMNNGKRGTRKGVHNIKMLNNGKHKTRKDVHNIKMLVQRKEKNARTNDIRRIEKALMQA >KZN09820 pep chromosome:ASM162521v1:1:29680030:29680733:-1 gene:DCAR_002476 transcript:KZN09820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSIVLESDKVTTKTSSSSCTRKTNTSAQVINKVMTINRKSAPPLSPSSRSSSFGKASFLDQCFLCGTRLLPSRDIYMYQGDRGFCSEECRCRQIYMDEQIEMKKKTTSTTKTASPKKKCSLAAMRSSATSSPSSRKAT >KZN10848 pep chromosome:ASM162521v1:1:39496974:39497441:-1 gene:DCAR_003504 transcript:KZN10848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGNKNRVRFQTTEVSSSNMAWNQSFSLDCLGTIESMSSMLFKGTVIFELRWRSNISIFGRKRKSQLLAKAEVPWRTVYESSTMDTENWIVMNSRKSLADGVKPPAVQIGIKLGGALPAIPNAMTQNKSCGARCQCRSCVNCELFALDAALEFF >KZN09897 pep chromosome:ASM162521v1:1:30573677:30577683:-1 gene:DCAR_002553 transcript:KZN09897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGNKYELGRTLGEGNFGKVKFARNLDSGHPFAVKILEKQRIIDLKITDQIKREIGTLKLLKHPNVVRLHEVIASKTKIYMVLEYVNGGELFEKISSKGKLSEAVGRRLFQQLIDAVSYCHDKGIFHRDLKLENVLVDAVGNIKVSDFGLSALPQHLQIFKGETQIPKWLSPEAKHLIRRILDPNPSTRITMSEIKEHDWFKQDYTPAHPEEDDDDVHIDDEALSINEPPGAEKDLNSPSHRINAFELIGMSSCLDLSGFFEKEDVSERKIRFTSNYTHEELTERIKSTVLQMGFSVQKKNGKLKVTQEHKGGKNSANLSVAAEVFEISHMLNVVELRKSFGDGKVYRQLCEKLMHDHGVSRSQELLAAEF >KZN08058 pep chromosome:ASM162521v1:1:1854599:1854869:1 gene:DCAR_000727 transcript:KZN08058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPPVPSNTAADNATPNRASAPITAAVSPAINSSRNLRGLNKPKCIKCGNVARSSA >KZN11841 pep chromosome:ASM162521v1:1:48619538:48622492:-1 gene:DCAR_004497 transcript:KZN11841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTNRKAHPRAAGRHYGGNAVNRNELIQLSPIKRKNQDGWIQFSPHPESILCTFCLGKVSCRTVRSKTKLMNILTERGKPEEALSIFDSLVKGGHKPSLITYTTLLAACTMQKRFNFIHSIISHVEDNGMQPDSVFFNAVVNAFAECGNMDEAMKHLMKMKKSGIRLSTSTFNTIIKGYGIAGKPEEGLKVLELMSREDNVRPNLRTYNVLIRAWCNKNNTAEAWNVVRLMLASGLRPDIITYNTILSAYAQYGQTKLAEGIILEMQKNRVCPNERTCGIIIGGFCKEGKMNDALGFVYRMRDFGVRPNLVEFNSLIKGFLDAKDREGVDEVLTLMEEFGVKPDVISFSTIMNAWSAAGFMDKCREIFDDMVKAGIKPDTHAYSILAKGYVRAQEPEKAEEVLSAMIKSNVSPNVVIYTTVISGWCSSGRMDYAIQMFQKMHDYGISPNLKTFETLISGYGEAKQPWKAEEVLQIMKEFDVEPEDTTLHLVANCWRSSGLTKEDSRIYNGAKDQDSFHQMEDDILMRSLQKSSEKEEITESYKNLLQIPNSFGYDAKLSDGALKGRRMVLREAESLHESTLWSATKSMHRPCRFGTKMQLISCQPSQGQFRAYNQNAHSCTVVFLS >KZN09504 pep chromosome:ASM162521v1:1:26380778:26389367:-1 gene:DCAR_002160 transcript:KZN09504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLSGKPSFRVYTKAETNCSLAIRDGQVVLALVDHSDPFQHWVKDERSASDFEDEEGFPSFALINKATGQVMKHSVGAANPHWLKVEKYSTEVKDEYGFPSFALVNKATGQAMKPAGASHPVLDRLNQMPSIRVYTKAETHCSLAIRDGNVIVAWADTSDPSQHWVKDEKYSTVVTDEYGFPSFALVNKATGQAMKHPDGDGHLDVPKPLAWDRLSWMPSVRVYTKAETNCSLAIRDSKVILARANISDPTQHWLKVEKYSTEVKDEYGFHSFALVNKATGQAMKPAGASHPVVPHPDVPTHQVLDFLNQMPSVRVYTKAETHCSLAIRDGKVIIARADTSDPTQHWVKNERYSNWHTDEYGFPSFALVNKATGQAMKHSVGAGHLFFISNAGDKFQFSNFE >KZN10913 pep chromosome:ASM162521v1:1:40241037:40242814:-1 gene:DCAR_003569 transcript:KZN10913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGEITATQQSSLEISMSPAQGDSKCFDDDGRLKRTGTFWTASAHMITAVIGSGVLSLAWATAQLGWLAAPSFFLSFALVTYYTSCLLASCYRSGDPVTGRRNYTYMDAVQTYLGDFKVKICGAIQYLNLFGMAIGYTIAASISMAAVRRSNCFHDSHAKDPCHFSNNIYMLAFGAINIFFSQIPHFHQISWLSIVAAVMSFTYSLIGLALGISKVAETGEFKGSLTGISIGTVTQTQKIWRSFQALGAIAFACSYSLVLIEIEDTIKSPPSESKIMKKATGLSVSVTTVLYMMIGCFGYAAFGDLAPKNLLTGFGFYNPFWLVNIANIAIVVHLVGAYQVSCQPLFSYVEKHAATKFPQSTFINKEYNLKAYKLNLFRLVWRILFVMSTTIIPMVMPFFENIAGILGAIGFWPLTVYFPVEMYIVQAKIPKWSSRWISLQMLSVACLIISIVAAVGSFAGVVTDLKAYKPFQTNN >KZN08726 pep chromosome:ASM162521v1:1:14377925:14382076:1 gene:DCAR_001382 transcript:KZN08726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQGGLYEDRHSHLEMGSSNIGLMYDPPSFTTNSLSQIPFGCNNNIQSPRSNHSNFTTTTVPSSSSLAPPPSDSPKQDHFDMNTTNHLLSLQRSSHINLWAWGEENDCIISSSNGKRSRTNINGGEIDNHSHHNNNSTLGISAIKMKKIKAARRKVREPRFCFKTLSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHTPSQDEDDSSSSQLNNFFW >KZN09409 pep chromosome:ASM162521v1:1:24689098:24690495:-1 gene:DCAR_002065 transcript:KZN09409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLACLLYVTALCVVAATTGASAHGSWPYVYASPPKTLYTYSSPPPPYIYKSPPPPSPRYSYKSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPPPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPPYIYKSSPAPSPSPLPYIYESSPISSPSPSVAPSASSPSPSASNPEYYYSSPSPPPYIYESSPISSPSPSPSASSPSPSASSPEYYYYYSSPPLSDGY >KZN10555 pep chromosome:ASM162521v1:1:36928151:36931329:1 gene:DCAR_003211 transcript:KZN10555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMWECSSSNCVWGGIREFIWIVYGWYQKVSLNYLDKQAFKAARSALIVMARNDVEQSVAAKGKIPLMVFFKDASLLFKMDALGSEILRIAFPAALALAADPIASLIDTAFIGRLGAVELAAVGVSIAIFNQASKVTIFPLVSITTSFVAEEDTIGRLEKQMQLDEMVKVKAEDIHLDNLEKGGSATKMETQEVKAENTTLSVVETSASETSATLSGVATSASETSASKPQNVECRDFNKIQTKAKKHQSTDSKTTVARVKRHIPSASTALVMGAVLGILQTIFLISLAKPLLGIMGVKSDSPMLEPAERYLKLRSLGAPAVLLSLAMQGVFRGFKDTTTPLYATVAGDLANVVLDPILIFVLNMGVSGAAIAHVLSQYLILVILFYKLMKQVYLLPPSLKSLQFSRFLKNGFYLLGRVIAATSCVTLAASLAARLGTIPMAAFQICLQVWMTSSLLADGLAVAGQGHALSNVLQQAIIACAFAEKDYQKATAAASRVLQMAFVLGLGLSLVVGLGLQFGSVVFTKDNHVLHLITIGVPFVAATQPINSLAFVFDGVNYGVSDFAYSAYSMVLVALASITSLFLLSKSNGFVGIWLALTIYMSLRALAGVWRMGTGTGPWRFLRDL >KZN08919 pep chromosome:ASM162521v1:1:17651962:17655729:-1 gene:DCAR_001575 transcript:KZN08919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVLRPKEDNEIYAPKECPNYTDSDMFTIKLFYGGVLESIQNAMLELPRSDFEMGLNDLSSDAKIMEMAEIVGFMPPKLVYIYSVTQNPIVSCTPDGQVTQEFHPSQEFNTESQFEECAIQFYMAADKYFGEGGDNQAQEGADHSGEEGAANNSREGADNNVEEGAGNQSRSGIDKNVQEDFHQNEEEVIDEDGYSDSEDEDYEARDEDSESSQGGVFRPKKATTTRNTPLGIQPEDFGDGRNAPVTSLRKLEAAKKARQDNRNKFTEKAAWKI >KZN09029 pep chromosome:ASM162521v1:1:19248700:19249473:-1 gene:DCAR_001685 transcript:KZN09029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEALSSPTIPTPPPSFHYKTLTLKQTNPTPTMHEPWMKKKRTKRPRSETTETPPTEEEYLALCLIMLARGTTDTTTASAAVMSHRVEASSTDHYKCSVCEKSFSSYQALGGHKASHRKLANGAGEEVSATTNSGTTATSVLNPSGRAHECTICHKSFPTGQALGGHKRRHYDGNIGSAAASAVTSSSGNGSSHHKDLDFDLNLPASPEVAVPLAVNVDFGRKNLNSGEQEVESPHPGKKARFSRPATMDGFGLAH >KZN10825 pep chromosome:ASM162521v1:1:39365333:39366322:-1 gene:DCAR_003481 transcript:KZN10825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSEEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDTAHFQTLTPNEKHFVTHVLAFFAASDGIVLENLAGRFMKEVQVAEARAFYGFQIAIENIHSEMYSLLLETYVKDSCEKSRLFRAIETIPCVERKANWALRWIDGGECFAERIVAFACVEGIFFSGSFCAVFWLKKRGLMPGLTYSNELISRDEGLHCDFACLLYDLLRVKPSEERVKGIVADAVEIEREFVCDALPCALVGMNGDLMSQYIRFVADRLLVALGYGKMYDVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMNSVNGNADSHVFKLDEDF >KZN09537 pep chromosome:ASM162521v1:1:26767464:26782007:1 gene:DCAR_002193 transcript:KZN09537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEQQEEEHFDVLTKTGHKAGFTKPRSAVHRDGDYHRAVHVWIYAESTQELLLQRRADCKDSWPGLWDISSAGHISAGDSSLITASVTNGGTFINNEYCDVYLVTTLEPIPLEAFTLQESEVSAVKYISFEEYRSMLANKDPQYVPYDIDGQYGQLFNIILRRYKGNVEERSLDLQKKLSRYAPVSLNPELMGLTDADKGALKYIIKAAMIMNDIFYLQIWYSNPSLRDWLSKNAEVSSLDNLKWRYYCINKSPWSCIDENESFLSTADSAIKLLPEVTTPVTGWKGLQYRSAFPVEKAPSANFYPPDMDKMEFELWKDSLTVDQRQEATGFFSVIKRHCELDLDSSKCSNATINSTLPYDLYVVPYSQEYKKFLAKAAEFLRKAGDMTSTPSLKRLLHGKADAFLTNDYYESDIAWMELDSKLDITIGPYETYEDAIFGYKATFEAFIGIRDDEATAQLKLFGDYLQVLEKNLPLDDVYKSEDVIAAPIRVVQLLYNAGDVKGPQTVAFNLPNDERIVKDRGTSMVILKNVSEAKFKLILRPIADVCITKEQQNYVDFNSFFTHTICHECCHGIGPHSITLPSGKKSTVRLELQELHSALEEAKADIVGLWAVKYLIDKDVLPNTSIRSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLFEKGAIVLHEGDTFSVDFDKVEGAVESLSRKILTIQAMGDKDAANELLQKYCDMTQPLKIALQKLEIVQVPVDIAPTFPIADQVLQTSPER >KZN09075 pep chromosome:ASM162521v1:1:19965675:19966373:1 gene:DCAR_001731 transcript:KZN09075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGIYNSPKGERFFGGTQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYVRQKEDPWNSIIAGAATGGFLQMRQGFGAASRSAAFGGVLLALIEGAGIMLNKVMSAQQNFPPMEEPLPNMPGIPGYQMGQLPGQAPINIEGMGSGASSTAATSPSSSSSSWFGGLFGGEKKQEAGTSSGSKTEVLESFDAPTPPSFEYK >KZN10644 pep chromosome:ASM162521v1:1:37860041:37861890:1 gene:DCAR_003300 transcript:KZN10644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMMNVMKKKEEVKNREDELDEMLVEIDLSKRNVIEMEKQVEFKEKIIQIHETNMNKAWAELEMKSKEASSVEYSIVKPEPWSDDGSYADIRFSVTMDGNSLLLYLINHKGDLDSMSDEVYEALGKSKEPGKLVLDALQGFYSKKEAEEFEEDVVCRSSSLLLEQLRRISPHIQSYHKRAALKLASQWKEKMKSSKEFIVFLQLLASYRLESSFHPEEFFSLFEVINQPTEISELFQLLNYMGKVNAEMTQNSGKKRRAAGPKAQTGGSNRKRRRTELLAETS >KZN10315 pep chromosome:ASM162521v1:1:34492150:34505038:-1 gene:DCAR_002971 transcript:KZN10315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPLRSYGGVPVLLAHLHRHYDPPDGFTFEINDVSPPIQDGRVNALYSTPSIYTDAKYAADEKWPVKTGDFFPCVLISLYCLGDCAHLTQDHNPLSCNNIYSLVCGLLDYSVSSVVPVCPLLNISYCPPSESLLSNGKSFVVIVYNPLGWKREEVVRIPVSFKEVIVQDHDGREIVSQLLPLTNFSLNTRNYHVRAYLGVSPGNTPSYWLAFSAFVPPLGFSTYIISRANKTDASVTMSAISRPEGGSNNIVEIGQGNLKLHYSAQEGKLVHYSNSRNLVRTSAEQTYSYYSGYEGFDRVNQASGAYVFRPNNTYTIKPEGQVPLTVMRGPVVDEIHQQINAWIYQVTRVYKGTEHAEVEYNIGPIPVDDKVGKEITAKISTALKTNKTFYTDSNGRDFIRRVRDYREDWDLQVNQPIAGNYYPINLGIYAKDEKMELSVLVDRAVGGASLVDGQIELMLHRRLLYDDARGVGEVLNETVCVLKDCKGLMVNGMFYIKIDPLGEGAKWRRTFGQEIYSPLLLAFAEQDENNWLSSHVPTFSAVDSSYSLPKNIAVVTLQELDSGIVLLRLAHLYETGEDKDYSVMSSVELKKLFTGKKMTKVVEMNLSGNQERAEMEKKRLVWKVEGSTAETKITRGGPIDPKKLVVELAPMEIRTFFISVNNLKMFGS >KZN11857 pep chromosome:ASM162521v1:1:48797606:48802609:1 gene:DCAR_004513 transcript:KZN11857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSTVSQEPNFPLSLVHKLADFAFDSKLNHINSNGVSPKLSNFSCVDKFGGLDKKVNFKASLCVSSKKVSIFEKFVAQFNSFIRFHCEKVPIGLPFNGISSCDDTNGLGGNGNVVVEDEGVPLNVVESKTPKRVLILMSDTGGGHRASAEAIKAAFNQEFGDKYQVFVTDLWADHTPCPFNQLPRSYNFLVKHGSLWRVTYYGSAPKVIHQPYFAITSAFVAREVATGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKTVFTTVITDLSTCHPTWFHKLVTRCYCPTNEVANRALKAGLEHSQIKIYGLPVRPSFVKAVRPKDELRKDLGMDLNLPAVLLMGGGEGMGPIEATARALGDSLYDENLGGPVGQVLVICGRNKKLASRLSAIDWKIPVQVKGFVTKMEECMEACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPYVVENGWGKFSKSPKEIASIVSQWFGPKADELHAMSQKALRLARPDAVFKIVHDLDELVRQKSLVPQYSMT >KZN10256 pep chromosome:ASM162521v1:1:33948457:33949937:1 gene:DCAR_002912 transcript:KZN10256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVMNQSNGSFRKSKAVEAGKKKAFVTFLGGGGDYVKGVVGLAKGLRQVNSAYPLVVAVLPDVPQEHRLLMVQSGCILKDIDWVQPPKIDKSIGWAHEHFAINYSKLRIFQFEEYSKMVYLDGDIQVYGNVDELFDLPNGHLYGVLDCFCEWHHSPQYKIGYCQQCPDRVQWPAELGQPPSSYFNAGMFVFEPSQATYDDMMHTIKITPPSAFAEQDLLNMYFRGIFKPIPNIYNLLVPMLWTHPENVELEKVKVVHFCANGSKPWRYTGKDEHMDREDVKMLVKKWWDIYNDESLSYKKPLAANVGVKVPKDLSDKEKRGLRPMVTGVVKVPAS >KZN08518 pep chromosome:ASM162521v1:1:9600942:9608557:-1 gene:DCAR_001048 transcript:KZN08518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPQTLNTEALTPGSMDKTPSSPSSSGGRELQCVGRLEIVRPKPVGFLCGSIPVPTDTPFLSFDSALVPSTQEVRAPRYQIIPPETDLNLPPLLHNVREKVLPIAAVRTGKDLPYTSGAITSNLATKGQALAVSGVAEYGDELDVIAPADILKQIFKIPYSKARLSVAVHRIGQTLVLNAGPDDEEGEKLVRRHKSHSQCPDKSLFLNFAMHSVRMEACDCPPGYNVQSEGRNSSVLPGGYETRERSADSSEHSMQENTSHFVGSSEISRGEGSKDSLKDQHVKKDKYILGGKKSRSKSHEAVKKVTKVKETPRSLRQENDKYRRASNDGFLKVLFWQFHNFRMLLGSDLLIFSNEKYVAVSLHLWDVSRQVTPITWLEAWLDNVMASVPELAICYHQDGVVQGYELLKTDDIFLSKGISEDGSPAFHPHVVQQNGISVLRFLQENCKQDPGAYWLYKSAGEDAIQLFDLSIISQNGPSEDSDGSLNSPPLIDRGRNDSLLSLGTLLYRIAHRLSLSMAPADRARCASFFRKCLDFLDEPDHLVVRALAHEQFARLLLNYHEEPDVASEALIVESKATIVDAGDQSFDFFSNRSESIVRELIYSPLLEDEPTNTEAPEDVNLKACSSFSLDVNMPSSQTIAPPDNMNFRESETSNDQNFISADVPASSPIVQTVDPLSSRLAAIHHVSQAIKSLRWTWQMQNSTQNDMGCNANSQDCPSSVDVSVCACGDTDCIEVCDIREWLPTSKLDDKLWKLVLLLGESYLALGQAYKDDGQLQPALRVVKLACLVYGSMPQHLKDARFISSMVCGSISDSKVNGQKAIGGAIEGKCRVENDFYTSEQLSSTYLFWAKAWTLVGDVYVEFHMVKGKDDSLQPERNPFRRELKMSSEVLKEVKRLNKKLGPLENCSSCSLVNCSCKSDRASSGNSASSSRRDSGKQSKKATAKNVSYRSEKTVADPDNRKERSEVTLDGGCLRQNKIDIPECTYDTSPGDSAKSTPELLNAVPAISETSQQEPKLKNGGIFKYLQGPLTADDEYNLSASLSCYEAASKALGGAPSHSADLQAVVKKKGWVCNELGRRLLERKELCGAELAFIEAVKSFEEVSDHTNIILINCNLGHGRRTLAEKMASKRDDLMNHASYNNAYNQLVEAAKQEYAESLRYYEAAKVELNALDENDDSVSSSLKNEVHTQLANTYLRLGMLLATEDTVAKVYGNAFFEDSVVTGVERAKKELRKHEISASDAMREAIKLYESLGELRKQEVAFAFFQLGFVQRDRCLKLLESDQKKTNLSKGKTSGMQRVKQYASLAERNWRKAIDFYGPTTHAMMYLTILIEISDLSLRMSSTLHSNSMLDSAFTTLLEGRHVSKDEIPDSLQNESKFVYTHFWRQMQSVLKKLLSASLSSNTNKSSVKSEHSNTNRPGEEKLRQLYKLSLTPTDFTQLHEIYNTWIS >KZN08006 pep chromosome:ASM162521v1:1:1386962:1388409:-1 gene:DCAR_000675 transcript:KZN08006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWVFGYGSLVWNPGFEYDEKVIGYIKNYRRVFDLACIDHRGTPEHPARTCTLEYAEGDVCWGAAFCVRGGPEKERTTMEYLERRECEYDEKTIVEFFKEEDSVQPAITGMIVFTSTPDKESNKYYLGPAPLEDMARQIATAYGPCGNNRDYIFSLEKALFDIGHEDDTIIELANEVRKILGTTDCVKSKERKMTGSSSPLKAHVLSPLNRLPLQEAVATDS >KZN11158 pep chromosome:ASM162521v1:1:42190575:42192776:1 gene:DCAR_003814 transcript:KZN11158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSMVCSHLRSGGSTISSIFIRSLIFLSTIQFAATLHFNFTNFNRTNDDQIKYERDAFTTNDVIHLTKAVVGQSAKSSIGRVTYGQPLHLWDKASGNLTDFTTRFTFVIDSRNNTAYGDGMAFFLVPNGTEVPSLATSGGTFGLTNDSQPTNTTANKFVAVEFDVYGNNWDPPPQSQDDEIFTNPIDYYEHVGVDVNSVKSETTVFWENGRSSVMNGWTNEAQISYASSSKNLSVSFRTNITGNSSASHQSFYFVVDLRDHLPDWVSVGFSASTGAAISYNTINSWEFSSTLESHAEAPSPSDPIAEVPTLSQTGPVPQVPKHIKTNNKKMGTVIGLAVGVFVLVCAVGTYIILKKKKEREENENTICFEDDFMDDEFEKGTGPKKFSYNALAMATSNFAPHGKLGEGGFGEVYKGFLSELNLDVAVKRVSRNSRQGIKEYASEVRIISRLRHRNLVQLIGWCHERNNLLLVYEYMQNGSLDSHLFKGKSLLSWSTRYKIAQGLASVLLYLHEEWERYVVHRDIKSSNVMLDSSFNTKLGDFGLARFVDHDKGAQTTIVAGTRGYMAPECFVTGQASRESDVFSFGVVALEIACGRKPIDLMVVENERELVKWVWDLYGMEQILEAADPRLSGAYDEHQMQRLMIVGLWCAHPDSTTRPSIRQAIHVLNFDAPLPSLPRRMPVATYSTPLNFSSVSSESKATTQTSQTHSSAYSNGTDSSVSASAALLNNTI >KZN08887 pep chromosome:ASM162521v1:1:17242474:17254453:1 gene:DCAR_001543 transcript:KZN08887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQHHHHHHSEAVSSTSSDNHPPQLIRVSSSSASEKPSPSVDDSSPAAAPTSRDTFAIACQESVTIERRQGGGGAVCKWSIANFSRVKARSLWSKYFEVGGYDCRLLVYPKGDSQALPGYISLYLQIMDPRGTSSSKWDCFASYRLTIVNLNDDSKSIHRDSWHRFSSKKKSHGWCDFTPSGAVLDPKSGFLNSNDCVVVTADILVLNETVSFTRDNIDGQSSLNGSSVGGPVGDVLSGKFSWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSTVNGVDYLSMCLESKDTDKTVVSDRSCWCLFRMSVLNQKPGLNHLHRDSYGRFAGDNKSGDNTSLGWNDYMKMSDFVGNDSGFLVDDTASFSTSFHVIKEFSNFSKNGALSGMRTVGGLRKSDGHIGKFTWKIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQKMEDKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVNDTVIFSAEVLILKETSIIHDFTDQESESTSDCQTDKVEKRTFTWKVENFLSFKEIMETRKIFSKFFEAGGCELRIGVYESFDTICIYLESDQSVASDPDKNFWVRYRMAILNQKNPTKTVWKESSICTKTWNNSVLQFMKVADMLEADVGFIVRDSVVFVCEILDCCPWFEFSDLEVFASEDDQDALTTDPDELVDSEDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGSNDVKELTKNDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEASSNDNSSDVTAKPSPDDSEATTLHDSDKGTGAVETAHLHVNDRLDVAMEENMHSSAVQSSDMYNIDTPVKSVPGQPICPPETCAAGSAHASGRSKTKWPEQSEELLGLIVNSLRALDGVVPQGCPEPRRRPQSAQKIALILDKAPKHLQSDLVALVPKLVEQSEHPLAACALLDRLQKVDAEPALRLPVFGALSQLECSSEVWERVLSQSFELLADSNNEPLAVTVDFIFKAALHCQHLPEAVRSVRRRLKTLGVEVSPCVLDYLSRTVNSCADIAEAILKDIDCDDDCSTMSCGLFLFGENSSGDGLNAPEEQAFRATATSNFSDIYILIEMLSVPCLLVEASRSFERAVARGSIMAESVALVLERRLARRLNLTSQFVADNFQNSEVIVEGEVIEQLRAQQDDFTLVLSLAETLALSRDPRVRAFVKMLYTILFKWYADESYKLRILKKLVDRVTSATDSSGDVDLDLEILVILVSEEQEIVRPVLSMMRDVAELANVDRAALWHQLCTSEDEILHIREERKAEVLGMVKEKDVLSQRLSEYEATNNRLKSDMKAEADRFARERKEFSEQIQEFENQLEWLRSEKDDEIGKLSAEKKVLQDRLHDAETQLSQLKSRKRDELKRVTKEKNVLAERLRSTEAARKRFDDELKRYATENVTREELRKSLEDEVRRLTQTVGQTEGEKREKEAQVARCETYIDGMESKLQACQQYIHTLESSLQEEMSRHAPLYGAGLEALSMKELDTISRIHEEGLRQIRVLQQRNGSPAGSPLVSPHTLQHSHGLYASALSPMTGLTPSHISNGAGIHSNGHVNGARIHGNGHVNGAVGPWFKNS >KZN08211 pep chromosome:ASM162521v1:1:3952939:3953961:1 gene:DCAR_001276 transcript:KZN08211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYIYKNLIKNIANVSLKTAPLCFLNQRLFSDTVKNTSTVSLFKNYGFTEPQITTLLSKRPSLLSLSEDKNIRPRLDVFASYGFSSADIYQILVSDIDILRRGIKNQIVPCCEFLKSVVKDNESFIATVKRSTWVLKHDYKHNLQPNIEVLRDYGVPEYRIVRFLQVQPRVLMLGSDRFKVVVGEVLEMGFEPVKGHFLTAINALVGLSKENRERKWDLFKKWGWTDDEILLAFRKQPNVLVVSEEKLERVFGFLIKKMGWNAADVWSCPIVILHSLENWTMPRCLVVQFLLSKGVLPKDTTLNNFIVPMENRFKNKYVDKYCLKYPQVLKLYKRTVEA >KZN10236 pep chromosome:ASM162521v1:1:33762859:33763713:1 gene:DCAR_002892 transcript:KZN10236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQCGQAEKNASVSGSVSFKKGFQILTTTLLSLLLPLSFLLIARLSAAQYLLDSSLYLNDQPLSKLFSVFLYTNPILLHVLVSTVSVSAFLHGLSNGRIVVSSNERQEPVVRPHIYTAWIFICALQILVGLGIEGSIGEGVTGSNFGYERSLVSRVMFFFGLHETMLHWSRTVVKPVVDDTVFGSSREEGWAERVLLAASFSVLWWWRLKDEVEALVVVAEVKRHLLSSVGVADVVGWWLYYLIVAVGMIRVVKGVLRAILLLLPRKAEGNTAVSSANDEKV >KZN08057 pep chromosome:ASM162521v1:1:1839170:1845057:1 gene:DCAR_000726 transcript:KZN08057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPPVTDNSTPNRASAPITTAISPAINSSRNLRGLNKPKCIKCGNVARSRCPYQSCKNCCAKAQNPCHIHVLKGSTSFPDKAPATGSPSSEQQPHDVSPSGNPHRLSSVRQLSSNFSQFNNLQNPIRSRKPLTVKEAGEINEWRYQKVQEYKDMNIEVENEAFDRYMRNVSLLEEVFNVKSTPDGPVNDGGSPISDGNATSAEDERQMEIAKIKSILRSDPTRAENFRNRMQCAVDEVLKKLQKTEAHDAGTESSEQEEYVGSPGKKNLSWNEAVAALIDKINKAQNEEDLKVCMEMKDQLFNQRTESPQAESESVPIPMALQTDDVVNLQTDDVVKPQFAHPPVKCVTTKPVDPEEFKQISAYFDSLEDIEEL >KZN11855 pep chromosome:ASM162521v1:1:48752724:48776054:1 gene:DCAR_004511 transcript:KZN11855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERENVTDLEFKWGQKIGVDCLQKEAQFYKSFTYDGTQYTLYDCVYLFEEGVPEPYIGKLIGIWETVDKTKEVDVQWFFRPVEILNWLGDQTPMPKEIFLATGEGIGLSNVIPLEAIAGKCCVVCTSEDIRNRQPSEEETKMADYIFYRTFDVGLCTILDKMEDSVGGLEVKYVFNREDGKNVSDLQTYGSSKVEVVSDTVACKGKNKHLIPNSSDELKLLETCENRDNSVVIKDVSAKSEWVRGESSDYKSGNNTNVLVVTNKKTQAHASGQSVKSSEVHSMVDGVKKAGYNKLRAKEKLSSDALQDKRAKKARICNSTKPCEDKISNLAKNSLVQADNSTMHAFTCKDKTKSDGSTSKLMKDSVLKSTSKERINENTRKLSSTYATKIENREEIENRGREQKVSQRSDVDTGNWYSSISRVQNAQGNGKVLLLWNLDPNYTSRDVKDIIDDSFQTNCVAIRIPRTRISSPHSGEALIMLTNVEIAKRVFRKLSEGCLMLPNGRPLVATKAPPIHFTEGQHAYFGHLVIDSIKLQRNARDAVSTSHCSQPNTIEYTMAMEWCLLQAQFDRIWEGLYKHHKEDMRRLKRNLKLK >KZN07944 pep chromosome:ASM162521v1:1:870275:870769:1 gene:DCAR_000613 transcript:KZN07944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPKKFWFGDEHEEDEHEEEVKRLFAEMDVCLKEFEEEEEKEAEVEEEEEEEGHTREELVAIFENYIKELQELDEEEDEEEKKKIKKELGFDLE >KZN10967 pep chromosome:ASM162521v1:1:40702347:40705216:-1 gene:DCAR_003623 transcript:KZN10967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPALSVFRPITTAHHHRRRRLALSSITLLQSTPPNIFSRSLTKPRRLRTFSSLYSARTSVEHIDCNAPVEEVWDFPDPGDKDMFNFDSGFGLTEMSKPGSSSGSLSVEVKELEELPEQWRRSKLAWLCKELPAHKQGVLVRVLNAQRKWVRQEDATYLAVHCLRIRENETAFRVYKWMRQQHWFQFDFALATKLADYMGKERKHLKCQDIFDDIIQQGLVPAESTFHILTVAYLSSSSQGCLEQACTTYNRMIQLGGYKPRLSLHNSLFRALVSNPGDSAKHNLKQAEFVYHNLSTSGLEIHEDIYRGLIWLLSHQDVVDKERIASLRLEMNGAGFGESKDVLVSILRACSKEGDVVEAESTWTKLLSFGNRPPSLAFVYRMEVYARVGEPMKSLEIFRSMQELFGSPTVSAFHKVIEVLCNAQEMELAESVMTEFINSGMKPLMPSYIDLLNLYYSLGLHDKLEATFSQCLENCDPNRSMFNIYLKSLVQIGNLEKAEEIFNQMYRNEAVGINTRSCNLILRGYLSSGDNIKAEKIYNLMCKKKYNVEPPLMEKLDFVLSLSRKVVTKPTILKLSSNQREILVGLLLGGLQIVSDESRKNHAIQFNFREDSATHNVLKRYLHYEYHEWLASFCKAEDGADDYIPCQFTTISHSYFSFYADQFWPSGRPAIPKLINRWLSPRALAYWYMYGGYRTSSGDILLRLKGSQEGVAKVVQALKMKSLDCRVKKKGKAFWIGLLGSNSTWFWKLVEPFILDDLKDILRAGEQSTSEMGETREINFNSGSDFDSDSDDYQNLQGDR >KZN09778 pep chromosome:ASM162521v1:1:29263932:29267120:-1 gene:DCAR_002434 transcript:KZN09778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVDAAGDPIPTSAVLMAASKHIASRCRDANVAFLKCKKSDANPEKCLDKGQQVTRCVLGLLKDLHQSCTKEMDSYAGCMYYNTDEFELCRKEQKEFEKVCPL >KZN11958 pep chromosome:ASM162521v1:1:49679707:49680048:1 gene:DCAR_004614 transcript:KZN11958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAATFSCVKNNFNPIRVKWGAKSISVPKKTLNNDSGEVMIVKEKVDPIVAFSKPPPLPPVIGPLVVLSLLDSYFSRDNDD >KZN10800 pep chromosome:ASM162521v1:1:39118058:39127521:-1 gene:DCAR_003456 transcript:KZN10800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPKQPTLDISGQMESVKLSDPKPETVDVTVDITNDNTSKSTTPTGSKSRKSVSWSEDLVESRSVHSYSSAANDNNSGGSNNPYVAYSPAQSDQSSFNFKESVESVRGVLGRWGKKVGEATKKAEVLAGNTWQHLKTAPSFTDAALGRIAQGTKVLAEGGYEKIFRQTFDTVPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAFCSDNPLSYKAEDKMEWSYYKVVIPLHQLKAINSSTGRINSAEKYIQVISVDNHEFWYMGFLNYESAVECLDEALQAANNLNSA >KZN10294 pep chromosome:ASM162521v1:1:34294564:34297603:1 gene:DCAR_002950 transcript:KZN10294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEQTGASNNYMEEEAADTEQGDSENNSLHTPLLKRNGNLTLSSTPLATVGAKVSHIESLDYDYVEGFVYFTTVNLLLTLLASALCVFFAPTAAGPGIPEIKAYLNGVDTPHMFGTTTLMVKIVGSIAAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGSSSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRLSMEYCKNGNCGLFGQGGLILFDVSDVSVRYHVVDIIPVAVIGVIGGVLGSLYNHLLHKILRIYNLINEKGKLHKLLLSLTVSLFTSACLYGLPFLASCTPCDPSLQEACPTTGRVGNFKQFNCPSGHYNDLATLLHTTNDDAVRNIFSTNTATEFQYFSLIIFFALYCILGLFTFGIAVPSGLFLPIILMGSAYGRMLGTILGDYTKIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMLVLLIAKTVGDCFNPSIYEIILDLKGLPFLDAHPEPWMRNITVGELADVKPPVVTLRGIEKVGRIVEVLRNTTHNGFPVVDDREVPLGHLPTGERELHGLILRAHLLLVLNKKWFLQVRRRTKEWEVREKFTSVDLAERLVKIEEVAVTKDDMEMYVDLHPLTNTTAYTVVETMSVAKALVLFREVGLRHMLVLPKFQGSGVSPVVGILTRQDLRAHNILSVFPHLEKAKAMKEGN >KZN07915 pep chromosome:ASM162521v1:1:646560:648452:-1 gene:DCAR_000584 transcript:KZN07915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNLQMSGNKISGQIPPELGNLTQLGVLQLDSNALTGDIPSELGQLSRLFNLNLSNNHLSGEVPQSLGNLTKLQYLDLSTNKLRGSIPKVFGNCVNLLSLNLSHNSLIEAIPSELGSLSHLQYVLDLSSNSFTGIIPPSLGKLSSLENLNLSHNNLSGRIPNALSDMISLQFIDVSYNNLSGPIPSGKVFEQALANAFTGNKGLCGNATGLPSCSGTTKTAKAEKSSNKVRIIVIVPVVSILVLAIIIALYVVFYKKVKQHNDDSKSSDITDNSESLIWGKEGRCTFRDIIKATDDFDEKYCIGRGGFGSVYKAVLLTGETVAVKRIGMPQSTDVPAINRWSFENEIRTLTEVRHRNIIKLYGSCSKLGCLYLVYEYMEKGSIGNLLYSEDGAFELGWDTRLRIIQGLGHALSYLHHDCTPPIVHRDVSPNNILLESDLEPRLSDFGTARLLTTDSTNWTSVAGSYGYMAPELAFSMRVTEKCDVYSFGVVALEIMMGKHPGELISSLSTKTMSENDLDFKDVLDQRILPPTGTLADEVMFAVNAAVACTHSTPDSRPTMRFVAQELSARIQTREPEKFGQIKLSKSPSLQRVSHGIFLDSE >KZN11864 pep chromosome:ASM162521v1:1:48870811:48878029:1 gene:DCAR_004520 transcript:KZN11864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEAAASGSDVQSGNMVFKPILEEGVFRFDCSADDMDAAFPSLSFVNQKDRDTLLLTTKGKPSFTPTFECVAGQQTVKIELPAGTTFYGTGEVSGQLERSGKRVFTWNTDAWGYGAGTTSLYQSHPWVLAVIPSGEAFGVLADTTRRCEIDLRKESTIMFCAPSSFPVITFGPLPLPVDVVALFSRITGTVFMPPKWSLGYHQCRYSYDSDIRVREISRTFREKGIPCDVIWMDIDYMDGFRCFTFDKERFSDPQSLVKDLHDTGFKAIWMLDPGIKHEEGYFVYDSGSEKDIWTQTADGRPFVGDVWPGPCVFPDFTQSKARLWWSSLVKDFTEYGVDGIWNDMNEPAVFKTVTKTMPESNVHRGDAELGGCQNHAHYHNVYGMLMARSTYEGMKVADESKRPFVLTRAGLIGSQRYAATWTGDNLSTWEHLHMSISMVLQLGISGQPLAGPDIGGFCKNATPKLFGRWMGIGAMFPFSRGHSEKDTSDHEPWSFGEECEEVCRLALRRRYRLLPHMYTLFYLAHTKGIPVAVPTFFVDSKDSKLRTNENSFMLGPLLIYASTTPDQRVHEMQHTLPEGIWLSFDFDDSHPDLPALYLQGGSIIPFSHPYQHVGEADPSDDLSMLIALDENGKAEGVLYEDDGDGYEYTKGEYLLTTYVAELQSSVVTIRVSKYEGLWKRPKRRLHVHLLLGKYATLDAYGVDGDSIQITMPSESEVSDIVYASNKKFTSRIETARQIPNVEKVSEAKGIALSRTPVELKSSDWVLKVVPWIGGRILSMEHINSGTQWLHSQFDIDGYEEYSGTEYQSAGCLEEYTVIDHAGEQAKEVESLSLEGDVGGGLVLERTISLPKDEPKVVQIDSSIVARSIGAGSGGFSRVVCLRVHPTFSLLHPTESYVSFVSINGSQHDCWPTCSEQRFEGDSRPNGEWMLVDKCLGVTLVNKFNVSQVHKCLIHWGTETVNMELMSEDRPVSDKSALTICHQYEVREKKSKPASGWI >KZN11253 pep chromosome:ASM162521v1:1:43038826:43043918:-1 gene:DCAR_003909 transcript:KZN11253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQRVPLSEQEEWSDVIPVTQNDGPNPVVPIAYSEEFSETMDYFRAVYLADERSPRSLKLTALAIRLNAGNYTVWQFRRLILEALNVNLNEELDFVDSISSNNPKNYQIWHHRRWLAEKLGKGSLNRELEFTRTIFQQDAKNYHAWSHRQWVLQNLGGWENELAYCQELLEEDVFNNSAWNQRYFVVTKSPLLGGLESMRESEVSYTVEAIIANPENESPWRYLRGLYKGSMQSLVNDPQVSSVCLKVLTANNYVVFALSMLLDLLSLGYEPSQELKHAIDALTLETQPPDSDIAKTVCSVLEKVDFMRVNYWKWRKSSLPAQTV >KZN11921 pep chromosome:ASM162521v1:1:49414811:49415791:1 gene:DCAR_004577 transcript:KZN11921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRNYCSFVIFFISMLSCAVNTYSDPCDPKNLRDPKTLRTDQLTVLINGYSESRIPLLQSIAATYASSPIVSSVQILWGNPKTPLKILSLLSQNLSIASPGAPIAVIRQNSDSLNSRFNPRRSISTRAVLICDDDVEVDTKSVEFAFAMWSKNRDKIVGFFARSHDLDIVNRRWMYTMHSDKYSIVLTKFMIVRIEFLYSYRCGGDVAAMAKAREVVDRRRNCEDILMNFVAAEEGEGVMVVEAERIRDYGDSRNEGRSGVESAGLSSRKKDHRKRRGECITEFHRVLGRMPLKYAYGKVVRGVGEQGLCEKGGKLVLCDHQIVD >KZN08417 pep chromosome:ASM162521v1:1:6495647:6498763:-1 gene:DCAR_000963 transcript:KZN08417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQHLLFTLFFFIYSTTLLASVSQDKTSLLSLKKNIYDDPFSLLTNWKSNTDHCQWYGITCDSLTGRVIAVNITGNISGYLAGSVGDLSELRVLSIPDNVFSGEIPVQVGNLRLLRVLELQRNNFSGVIPNSIRYMSSLVIVNLSNNSLSGQIPDGLIGFGSLSEIDLSNNGLTRGLLIGGNCLFLIHLKLSNNFFVDQIPGEIGKCLNLRTLLLDGNIFQGQIPEEIGGLLELRVLDVSRNSLTDKIPKELGNCLKLSVLVLTNLVDFSEDGDSSMGIFRGEFNAFEGGIPYEVFSLPNLHVLWAPRGNFGGQLPSYWNQSCSLRVLNLGQNKFTGLLHESIVVRCENITFLDLSDNALQGVLPLQLPVPCMLYFNVSGNSLSGLLPRFSNTSCRSSLNSYNELLDEENVIQESYSGKPIWIEQIIVDNYVIAHDFSWNSFVGPLTSFSIVNEFLAKGVISYKLFLNNNNFTGSLPGNLFPGCNHIRTFFINLSANRISGIIYPEMLLNCLKITELVAADNLVEGSLPAEVGSLKMLRRLDLSKNRLSGSLPDQLGELEDLTGILLGENNFSGIIPRQLGHLASLMILNLSQNSMTGSIPLTLENASNLEILLLDHNTFSGEIPLFFSNLSRLTQLDLSFNNLSGHIPHFQNFTDCSSFIGNKLLNSCPDQYSMPPASDPVQLAVHKSSNKSKLRTFVIVMVSSASFVLLVLAVVFLVFLHGRRKLSRITSLRIKGLVTFSDTPVELNYDNVVEATGNFSISNLIGAGGFGSTYRAELAPGFIVAVKRLSIGRFQGIQQFDAEIRTLGRLQHKNLVTLIGYYVGEEEMFLVYNYLSGGNLETFIHEKSGSLAQWPVIYKIAIHIADALAFLHNSCVPRIVHRDIKPSNILLDEELNAYISDFGLARLLEVSETHATTNVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELISGKKSLDPSFSNYGNGFNIVGWARLLIKEGRPVEMFSLELWASGPHENLLGMLRLAAACTVESLPVRPTMKQVLDKLKELQP >KZN09262 pep chromosome:ASM162521v1:1:22244264:22245272:1 gene:DCAR_001918 transcript:KZN09262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPESGLKVAARGHGHSLQGQSQADRGIVINMESLRTPEMQVHTGEFPYVDVSAGELWINILHKTLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQICNVQQLQVITGMYSNFRYVSHISGLYCRSRTKVSHRTLYLQPVSRKGEVLTCSEKQNSELFHGVLGGLGQFGIITRARISLQPAPELVKWIRVLYSDFATFSRDQEYLISAGETFDYIEGFVIKNRPNLINSWKASFDPQDPAGASNFKSDGKLLFCLELAKNFNPDKTDIIYQVRPGQNNF >KZN11686 pep chromosome:ASM162521v1:1:46994659:46998937:1 gene:DCAR_004342 transcript:KZN11686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHPPCFHTTIIISCVVFILFFSPALSLQEPVLLLSNETHLDADDSEVRKTELFPEILRDEAVGRLNELGQVSDANGYLERTFLSHASVRAAKLISKWMEDAGLTTWVDSMGNVHGRVGGANPSSKALLIGSHLDTVIDAGKFDGSLGIVSALSALKVLHVNGRLRKLRQPVEVIAFSDEEGVRFQSTFLGSGAIAGILPVSSLHIRDKSDVTVQGALEDKSIEINEDALSHLKYDPESVSAYIEVHIEQGPVLESVGLPLGVVKGIAGQTRLKVTVKGSQGHAGTVPMSMRQDPMPAAAELIVLLESLCRYPENFISQNGQCDASVVDSLKGSLVCTVGEISSWPSASNVTFTVDIRAMDDMGRAAIIYELSNRMYQVCDRRSVSCLIEPKHDANAVICDTGLSTQLKSAAYAAVKKMAGEDISDVPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWAAGLAILSFLETQM >KZN09216 pep chromosome:ASM162521v1:1:21741147:21749400:-1 gene:DCAR_001872 transcript:KZN09216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDVEKPIEVPELEAEVEVDEEEEDSWSSDSEIGDALDYLDSKHDEDAIDGAFTPQSRRPNAHGGLHTRPNASSLQPLSNRNQKFTNHIRASPLEEWEGRFDVGMSNSVTTAIRGSVRDMAIGKTKTTEKADRATVEQAIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHATKSDGQELAIKIYKTSVLVFKDRDRYVKGDYRFRYGYCRHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPLLLRLHVLVMEFIGKSGWAAPRLKDASLSLDKLREAYMEMVMAMRTLYQKCKLVHGDLSEYNILFYEGHLYIIDVSQSVDLDHPHALDFLKEDCLHVSDFFKKHGVAVMTIRELFDFIVDPSISDDSVDSYLEMAQQKILARGNLISAEDEIADSVFVQSYIPKTLDDVMHAEEDVMAITSGKDTGDMLYQTITGLKEALAIAQPSLPRRDDQQQPREVIFEAPTDQDGHINSQEIESEEETDESEDSLIGSDEEGSLSENEPKRPEDIKAARKENKKKVKEEKREARKTKVPKALKKKKKKLAKAKKTR >KZN12134 pep chromosome:ASM162521v1:1:51303224:51307766:-1 gene:DCAR_004790 transcript:KZN12134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEKSLDFLCLNNGGGFEKGKLKLPLPFYVTFMNSLPSKLVLHGPSNDLWPCTFDKGDHRLHGLEEWMDHYKVNPYNVVRLHYLDGPDFGFEIYTQFAVEMNYPAVTSVPASKRSVYEVDKLFSKYLFNGFRNCVGKYSLSIDHSHFVEESYPKILANDASEKLGMAKFVPSIILGFEDYEWTISLKWANNFVQFGSEWVNFIKDAAVLVGDVLVLQETKYKGFFKVAIFDASIVSELEQSAVVKYQEIPYVFRRKFGETLGNVVKLYFGGKHTVEVGYSSSTGCVNGLLQIIKYFHLMENYILLFTYNGNSAFSVMVYDSQCMNHLRDVDGYCSIADFESTEVESGLDDGMDEDSPCNEIIVISDSSTDSSDSDDSSDSDDSSVSDVHDYDVEAIFAFNVVLKRSHVDQRGHGVYIPPPFWTETRQWQNRTNVALVVGTVAWEVEILRFGNQARFSGGWNAFVSGNHLSVGSILEFTYVGELRGYRTLDRGSGAIIATPDVLWSIFVKKKGSGVAQLPLISSYLKEGICCARFLYRAMRSMECSGIVADKFCIRLDYNDTLSNELTIPSSFCSKYSSFLTDSMELKVRNGYVQPIQIDMEKCLIKGVFPFFKNMELNGGELLLFEYFGRYNFHIYIIGSNGSEIRYPVEATLPGIVTIGDAGWRFVIACPNGDAVFDEIHPPVAFVERCGFALPMQIQYVLSNGKNFVGSYDSTNSRFTGLSYMFEMLGLAFMNGVHSFLFTYDGTSRIFISSFDSELNELVFPGTPLSEDANGCGPFVGKCFHVKLESKHMFDDCYVVEILDDFTGLSEVWQNFQCINIYSGSCRWRLVTRNRDGHNYCAIVDGWQRLRDDLGLEIGDICLFQCPMQCYDQFSIQVLKFDG >KZN11083 pep chromosome:ASM162521v1:1:41597505:41598416:1 gene:DCAR_003739 transcript:KZN11083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GGPS-1 description:geranylgeranyl pyrophosphate synthase MDSSPTPSTFDFKSYMLEKAISVNKALDASVSLQEPVKIHESMRYSLLAGGKRVRPLLSIAACELFGGHEAVAMPSACAVEMIHTMSLMHDDLPFMDNDDLRRGKPTNHKVYGESVAVLAGDAMLALAFHLVATETRGVSSDQVVRVIVELAKAIGAEGLVAGQIVDVCSEGDPNLGLEDLEFIHRSKTAALLEGSVVMGAILGGANDEEVEKVRKYARCIGLLFQVVDDILDVTKSSKELGKTAGKDLVADKTTYPKLIGIEKSREFSDELKREAIDQLAGFNSDKTAPLIAMANYIANRDN >KZN09838 pep chromosome:ASM162521v1:1:29855710:29858000:1 gene:DCAR_002494 transcript:KZN09838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNYFPSSSFHDPAESQHLHLFGMQMNEHSGTSNSSVVNVETSSNAGDEEYSNQPYHEHCFDILKSGDDQVDEHEESYNQGSVVKPRFTTKELFPVRGSPRRMDPLVQQQVQQVKRSRRGPRSKSSQYRGVTFYRRTGRWESHIWDTGKQVYLGGFDTAHAAARAYDRAAIKFRGANADINFSISDYGEDLQKMKNVTKEEFVQILRRQSTGFSRGSSRYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGRDAVTNFEPSTYDNDFCSEAETGVTCSTLCFTTGSGQSLDLNLEMSPTDFADGAKGTDDFGSLSFQNHLKDIPDVRESRHKVIQIEESSPSAIMGTPLPLGQVYTPGLPSFRSGVNSSLLPVYEGRAMDKIIEVDLQPHWAWSNQGSYAEPTSVPLFSTAASSGFVTSRATAASAGFYFISPPHRHTSKPCQIAANTNSVFYY >KZN09397 pep chromosome:ASM162521v1:1:24595827:24596990:-1 gene:DCAR_002053 transcript:KZN09397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQVSPDLDINHLRAIKVLGKGATGTVFLVHHRISDPSAASPFALKVVEKSPLLDAKVDTDRRARWELSLLRRLNNSPATTSHPFLPTLLGSFETNDLIGWAIPFCPGGDLNVLRYNQSDHIFSPAVIRFYVAEIICALEKLHALGIVYRDLKPENILIQQSGHLTLTDFDLSRTLVKPTRHHDVVYEPEPLKQKTSKKTKNARVAPDSRRKSSVTSNGERANSFVGTEEYISPEVVRGEGHEFAVDWWALGILAFEMLHGTTPFRGKNKKDTFRRIVMMPPEFIGRPSTLTDLIGKLLEKDPTRRLGYRRGACEIKEHPFFHGLRWDLLTELSRPPFLPSRELHEMKQSKSVDIREYFEKLRMPPLSLDSPAREECLYNVSLTEF >KZN10302 pep chromosome:ASM162521v1:1:34351660:34353373:-1 gene:DCAR_002958 transcript:KZN10302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAKDEASSSSYTTENSTLIPKPHLLSSQSKTFANVFMAIVGAGVLGLPYTFKRTGWLTGSLMLFSVAALTFHCMMSLVHTRRRLETLYGGFSKITSFGDLGFAVSGSSGRLAVDVMIVLSQAGFCISYLIFVANTLAFLFNSDSQLLGVSPKSIYIWACFPFQLALNSIPTLTHLAPLSIFAGAVDLAAMGVVMVEDVMVFMNAMPVTRAFAGFSNFFYGLGVSVYAFEGIGMVLPLESEIRDKDKFGITLALAMAFISLMFGSFGVLGYFAFGEDTRDIITTNMGSGLLSTFVQLGLCVNLFFSFPLMMNPVYEVVERRVCEGRYTLWLRWLMVLDLCCLLCSIGWLIRKIWVGRGXVLPLESEIRDKDKFGITLALAMAFISLMFGSFGVLGYFAFGEDTRDIITTNMGSGLLSTFVQLGLCVNLFFSFPLMMNPVYEVVERRVCEGRYTLWLRWLMVLVLTFIALLVPNFADFLSLVGSSVCVVLGFVLPALFHWMAHKEDMGWKGWLWDALVVVIGFVIALFGTWSSLVEIFSSKTG >KZN09507 pep chromosome:ASM162521v1:1:26416903:26419407:1 gene:DCAR_002163 transcript:KZN09507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKTSFFAPILFYLCIFINLNFSFRADSISTYHSLSGDETIVSSGGNFELGFFKPGNFSKYYIGIWFKKVSAQTVIWVANRERPITDKYSSELQVVDGNLVLFDERHTEIWSTNTKLKSSRAVAILLDEGNLVLRNGSSNTTVWQSRDYPSDTWLPGGYLGYDKRANRTQILTSWKNSEDPSPGLYTFELDPVGNQYLLKWNRSRQIWTSGAWNGQAFTNVPEMASGAIFTFTYISNTNGSYLTYFLENTSSIITQWRFIVDYSGQIKEMGWLATQQKWSLFWSKPNTQCQVHAFCGAYGVCNDLTSPFCNCLPGYESRIEKSWTLGDYSGGCKRFVELEYGNANTTSKKTDIFQFFSHMKWPDNPQAFSAVNAARCKSECLSNISCTAYAYYENTCFTWHGDLYNMQQLPENDNNGRVIYIRIPSSDSSKNIKGIIFGVVGGSIAILSIFSGLLLLAFRRHKSGRANKIERAADGRIVAFGYKDLKNATKNFSEMLGKGGFGSVYKGTLPDSTVIAVKKLEGVSQGEKQFRNEISTIGNIQHINLVHLCGFCSQGNKKLLVYEYASNGSLDSHLFNPKKDEGILPWTTRYEIALGTARGLVYLHEKCRDCIIHCDIKPENILLDSFMCPKVADFGLAKLVGRNFSRVLTTMRGTRGYLAPEWISGGAITAKADVYSFGMMLFEFVSGRRNSEQTRDGKVNFFPAIAANVIMNEGDILTILDPNLNLVADIEEVSNICRLACWCIQEDEHVRPTMSKIVQVLEGVLDVAKPPDPRGLQVFIDNEDDVVFLVDKASSSNLHIQSDPAWASSFVKEQETNKKTQGSKIQEWESD >KZN11259 pep chromosome:ASM162521v1:1:43123021:43123278:1 gene:DCAR_003915 transcript:KZN11259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKLFLAFPVFVISMVCVIIYVVYDLCLKPKFLRAKLIEQGIDGPKPTLIMGNMPDIRQIKSKELVLDAVTYDLNKSLSLDCC >KZN11767 pep chromosome:ASM162521v1:1:47935838:47936671:-1 gene:DCAR_004423 transcript:KZN11767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWFTPTVLFVLLNVMIGTILFSSNLSTSPQQQQQNQENQEHKQEKQESNTQNHQNDQNTPVLQKSPSVLQRIKSINFKSFTEGLDSDTTEQHLNQELQSAIETQTHYLFDDHETMAVQAQFSFEQTHQESGPLREPHESESQIQEPHEEESTEVEDVVPQSLDEVYSHFEKLTDSHFSRTTSDTKPASGEVPAKLSAKMKKSASMKSPFAHFEEDDIVEARRPVTVRERGAKVTAVDEEVDAKADDFINKFKQQLKLQRIDSIMRYKEVINRGAN >KZN11439 pep chromosome:ASM162521v1:1:44654023:44655963:-1 gene:DCAR_004095 transcript:KZN11439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSIVIRSVRKLQFTSSLKLTPHYHHHHHRPTSSFTSNHIQSPKKPRRCNYSTKTTLAPSAARAGVIVDNDVYAAVELALDSVVKIFTVASSPNYTLPWQNKSQRESTGSGFVIPGRRILTNAHVVADHTFVLVRKHGSPRKHRATVHAIGHECDLAILVVESEEFWEGMNPLDLGDVPFLQEGVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLLAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPIPVVEHFLAGVEESGNYVGFCSLGLSCQPTENVQLREYFRMRPDLTGVLVSKINPLSDAHKILKKDDIVLAFDSIPIANDGTGAPFF >KZN11811 pep chromosome:ASM162521v1:1:48298548:48301428:-1 gene:DCAR_004467 transcript:KZN11811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMDSLAATKARVDSLKRVVEEQKARKDKYAEIIFQQSKVLSACEEKCKKDSKCREEVEEAISWYNRVLGFRIQCGLGIKFIFKNINPNDPKEEYYFTIRHENDVYSLLDSDPCLNDTKGLIIELNKSNGLFQFVRTMREKFEATTSGTSPNTITLVPDTSTITASAPVSSISTCISSESPIKQKALQAGDSDRLPKKPNRGKGRLSDLKAPESSSVRRSPCHATKLIVVWKSGLGATRATID >KZN11848 pep chromosome:ASM162521v1:1:48711664:48713809:-1 gene:DCAR_004504 transcript:KZN11848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKRERSLSELTPENKCEGKNSGCGKKQAYDGRVFRALFKRQSSIKAAAGKICTRNRLIYLTMEYERIQNFSFTQTSLISPTKLRMKPMGHQRKIDDSDIKSARTHPQLQDIEFVENSLLASNVDYDEQGNNTICSGVAYCKISMAYMFSLWLLWEYF >KZN11957 pep chromosome:ASM162521v1:1:49670114:49671660:-1 gene:DCAR_004613 transcript:KZN11957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTDHQSSFLNRISIRRNQVSTMENNHDQELEDIELFQKHVADRLAELASSGDESNVFLSIAWFRSLLDAFLCCEAEFKAVLIIDRDPTQFIKPPLDRVSAELQDRCVKALDICNAITYAIDMVHYWQKLAEIVVTSLEERPIGDGQVARAKKVLNALLVLITEDYKEQKTTERSWSFGRRGSGASVSKDQSSKNFRYLNMCFAKSWSSAKEIQAMASNLVEPRGKEANGLAMPVYVMSSVMVFSMWALVAAFPCQERNGLPTHFPVAKNLNWTQSLISLQASIEKIGEEWKKKEKKGKGGLLDELQKMEKVVTSLIEFTEAFKFPAEEEKVKEVEAQVAELSDICKRMGESLGPLQQQVREIFHRLVRSRGELMDLVEQAGFITAASN >KZN08868 pep chromosome:ASM162521v1:1:16939803:16939994:-1 gene:DCAR_001524 transcript:KZN08868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIYTSRSEKNQEKKKESELIDIFLETNEKETKDETEIMDQLSPLGDFLKNKKEEPHVNTME >KZN10207 pep chromosome:ASM162521v1:1:33544608:33547714:1 gene:DCAR_002863 transcript:KZN10207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGDKRIMKRLVTLILIVSLSHVVQHCAADNIGQCKSNGPLKPRPHSVSILEFGAVGDGKTLNTIAFQNAIFYLKSFADKGGAQLYVPSGKWLTGSFNLTSHLTLFLEKEATILGSQDIAHWEVVDALPSYGLGIELSGGRYRSLITGNNLVDVVITGDNGTIDGQGSVWWEQFSSHSLNYSRPHLVEFISSKDVVVSNLTFLNSPSYNIHPVYCSNVLVQNVTAYALPESPSTSGIVPDSSEYVCIENSNISVSYDAIVLKSGWDEYGIALGKPTTDVHIRDVRLQSTTGAGLAFGSEMSGGISSVLVEKLDLHDSFIGIEFKTSIGRGGFIEDIYISDAKIKDVKTAILATGQSESHPDDSYDPHALPVVKGITFKDIVGKNVTIAGNFSGIPESPFTSICLSNISFTTTTYASASWLCSDVSGASENVNPDPCPALQNSYSSPSSVCSLLFYPSSHAAVL >KZN09959 pep chromosome:ASM162521v1:1:31183192:31184552:1 gene:DCAR_002615 transcript:KZN09959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKPEDDWLEIGTEKFKWRIQLHWRDGELWFDKGWNVFAEAFKLGVGDRCFLRNTTHGLKFKVAIHEKHRMTELLSKGGVEGKGVVKWFKMMTWMSVHTGEVEVPYLFGKAYASAITDNAKLSPLTVELNQADQCTTRRITAQNKLHVGQRLSFTFVGNATFKVNAST >KZN11494 pep chromosome:ASM162521v1:1:45178608:45178826:-1 gene:DCAR_004150 transcript:KZN11494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRGGLNGESASSKSSRTGSNGFASSKQSLSSFGGSAEVKQSYVKGTNAKANPPAEESLRIVMYLSCWGLN >KZN07940 pep chromosome:ASM162521v1:1:823470:825442:-1 gene:DCAR_000609 transcript:KZN07940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLNKHGVLSYEFSVQSLEQIIVAKAWQIGNARDWVYTFHNCQNKRKSHASGREFREGNKVSSVVGQMQVSCSLYAELEDARDFDNSLRTEFVLYDVAQVRKSLSTQSSSLSLLDTATAPIVSNEASAGGACKLDVSTESNSIKHRQKHFSEDNILRPTELHTNLEIAAIVIEVPFEKRESLKGKKGDQKSDEPLHDLLVLPGTEQRTDCSIPAKVNVVTTSGNHSMPTTESPGPSGLLDRWKLSGSCDCGGWDMGCPLVVFGSSDIQCAKDVPIVTGQQPWELYAQGRKEEALSFRMTVTKEGEYEVDFHAQLTTLQAFSICVAILHCTEIASVHERNKKLLKCDPLRFFVDEGVKCLIDSITLGEKRKAGPKIETPQSFKLDPPFSPIGRV >KZN09889 pep chromosome:ASM162521v1:1:30507591:30508040:1 gene:DCAR_002545 transcript:KZN09889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEADRLMREMRGFGLYPGKITYVAMTKGFVEGDRLEEACGLFKVMESHGCLPNTVMYSTLLDGVCSLGSPDKALQLLGEMEKAGGDSKPNVITYTSVIQSYCENGKSLEALSILDRMVANGYAPNRVTASTLIKLICRFVGESFVSDT >KZN10821 pep chromosome:ASM162521v1:1:39316181:39330570:1 gene:DCAR_003477 transcript:KZN10821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGRRSRTQRKHFKDGRENVWKRPRSDAQQQEDFNAKKHWEPFATQNPAFDDYYKEQGIVSLEEWDTFNKFLRRPLPAAFRINSSSQFCDDIRSQLENDFMKSLQAEDTDGNEVAAIKPLSWYPENLAWQSNFSRMQLRKNQILERFHEFLKLENEIGNITRQEAVSMVPPLFLDVRPDHFVLDMCAAPGSKTFQLLEMIYRSVEPGTLPSGMVIANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQHFPSCRLKKNNTAKSETGGVKELAIDQLLFDRVLCDVPCSGDGTLRKAPDIWRKWNVGMGNSLHCLQVQIAMRGLSLLKVGGKMVYSTCSMNPVENEAVVAEILRKCGGSVELLDVSAELPNLIRRPGLKKWKVRDKGSWLASYEDVRKFRRQGIVPSMFPSGKHYNEESANDQEADLEVIPENGGESINGSYLMEDKTSSDNNCEEEISDLPLEYCMRIVPHDQDSGAFFIAVFQKLSPLPAIQNHAAIQKKTITPPELLTSNDRSEKSEDLGTEEDLMEIALTDVKEQSTEPFQDSDIADEQTAASTDTEANNISEETEPEDIPAPVSQETVPEVARGKRKLQLQGKWRGVDPVVFLNSDAIINGIKTFYGIKESFPFSGYLVTRNSDTNHVKRIYYVSDSVKDVLKLNFLGGEQLKITSIGLKMFERQTSKEGNNAAMFRISSEGLPLLLPHITKQVISAKEIDFNHLLQYKTIKFADFVDAEFGEKASKLLLGCCVVVLNKGCEIVHDPPHVNASTIAIGCWRGRTNVAVMVTAIDCQELLERLVPKTDKVNGSTPDGCKPPIADDAVTKVNGVDSEDIVTHTPDISDSVKDVLKLNFLGGEQLKITSIGLKMFERQTSKEGNNAAMFRISSEGLPLLLPHITKQVISAKEIDFNHLLQYKTIKFADFVDAEFGEKASKLLLGCCVVVLNKGCEIVHDPPHVNASTIAIGCWRGRTNVAVMVTAIDCQELLERLVPKTDKVNGSTPDGCKPPIADDAVTKVNGVDSEDIVTHTPDISG >KZN12124 pep chromosome:ASM162521v1:1:51228864:51231446:1 gene:DCAR_004780 transcript:KZN12124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRDILHKMKEKVGFGSSSAESGKGKSKMSKHVTHGYHLVKGKSYHDMEDYVFAQFKQIDDNELGLFAIYDGHLSHDIPDYLKTHLFENIINEPDFWTETEKAVRRAYHITDKTILDKASDLGRGGSTAVTAILINCKKLVVANVGDSRAVISKNGVAKQLSIDHEPSKERSSIEDRGGFVSNFPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVSVETISESTDFLILASDGIWKVLSNQEAVDCIKHTKCPQTAAKQLTEEALARKSTDDISCVVVKFR >KZN08148 pep chromosome:ASM162521v1:1:3171045:3172810:1 gene:DCAR_000817 transcript:KZN08148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESRASNPSPESTINQGLLRSLKDGLPAYYSVKIDGFSRLVDLDIEKYDSSTFHACGSNWRLILFPNGNAEKGVEGHISLYLAIEKTDCLPLGWEACVTCKMFVFDHKKDKYLTIQDDSVNQIQRFHQEQKECGFDRLISLKAFNDEANGYLLNDSCVFGVEVYEVKYSGIGEALKMIENPEEVTFEWIVSLDSIYSEKQVCSEEFTGGKHKWRLSWYCEADDRVSLYLELAESPSTIKKVLAMYSLVLMHLGWPLCRGEKSEYKWFSNAELSTDVSSRWGFSEFPLENYVLFPMYCVEVRAKVNIKAEVTNFS >KZN08299 pep chromosome:ASM162521v1:1:5069997:5071949:1 gene:DCAR_000845 transcript:KZN08299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKYIQAVVYDSWSWLWLLIHTNDLAYAVAVTATVLLVFSLFSRIFFKSKKISPQLPPGPRSFPLVGNLLSLDPDLHTYFASLGRTYGPIVTLWLGHKVGIVINSPVIAREVLKDHDTTFANRDVPAAGIEATYGGKDIVWTPYGPKWRMLRRVCVSEMLSKTTLDSVYDLRRKEIRQTIGYFYSRKGLPVDVGEQMFLTIMNVITNMMWGGTVKGEDRAGLGTEFRLVVNEMTQLLGTPNVSDFYPGLARFDLQGVRRKMKVLAKKFDDIFETMIKKRQEMDGQEGSKDFLQFLLKLKDTQDAKEPLSMTHLKSLLMDMVVGGTYTTSNSVEFALADMMNKRQIIQTLKEELDSVVGKDNVVEESHLPKLTYLYAVMKEVLRLHPVLPLMVPHCPSETCVVGGYTIPKGSRVFVNVWSIHRDPSIWENPLEFIPERFLDGPWDYSGKDFKYFPFGSGRRICAGIAMAEKMFLFSLASLLHSFDWKLPEGQELDSSEKFGIVLKKTVPLVLIPTPRLSNPELYQ >KZN11364 pep chromosome:ASM162521v1:1:44016024:44017700:1 gene:DCAR_004020 transcript:KZN11364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKLARFSSCRGVAFEIKPSSDPFAIKTAPIDYPPPPSARHWLPWGSSKRITPSMGSSRRYASSIGGLTQRTSSSHFCDLDLDDDDDEEYDGLMADIEEGFDKEEEQKDSPLARSPTQQPSKVATKPRDARLSVILLDQGLFTVYKRLFVLCLTINITFLVLACTGNFQYAKDNAALFSIANILALTICRSEACLRGVFWLAVKIFGHSWVPLRLKTGVTSFLQCLGGIHSGAGVSSVVWLIYALVLTIQDRENTSNAIIGIASIILSLLVLSCLAAFPLVRHLHHNVFERIHRFAGWASLVLVWAFITLKITYDPITKSYKNSSILLKHQEFWFTLAITILIILPWMTMRRVPVKISAPSGHASIIKFQGGVKSGILGRISPSPLSEWHAFGIISDGKQEHMMLAGAVGDFTKSLVSNPPSHLWVRTVHFAGLPYLVNMYNRVLVVATGSGICVFLSFLLQPSSADVCVLWVAKGIEQNFGKEIKEWTSGFPKDKVIVHDTAILGRPNVSQMSIDAAKNWGAEVVIVTSNPEGSRDVVNACKASGIPAFGPIWDS >KZN08659 pep chromosome:ASM162521v1:1:13153876:13154037:-1 gene:DCAR_001189 transcript:KZN08659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYYYTQWKASEQQAASLALSIEMIVYQQRPWLFVFDAQIMNTQSLRRPGGD >KZN08241 pep chromosome:ASM162521v1:1:4220380:4221711:1 gene:DCAR_001306 transcript:KZN08241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEILSTELIKPSTPTPPSFRHYSISMVDELSPVMNVPIILYYPAGLHGGISRCMHLKTSLSKVLTRFYPFAGRYMKESYMVDCSDQGAEFVEARVDVGLDDIICQGRNLKAELLNSLVSRPIGAGGEISDPVLAVQVSTFSCGGCAIGVLSSHRIADIATTSTLVMEWAVDAKILLGGDDERCVPVPVSPNWNSASLFPGQKMSGLALGLPRAKENIADHGIVTKKFLFSNSAISKIREKAMLDESDEGLPTRVQSVCGLIGKAIIDIHVANREKPRGFLVIQAVNMRERTDPPIPKHQCGNLYLVSPARSVAGDEGVEFGGLVDLLTRSVKKDVDTCKMLLSGGDGGEFIAQGFNESLKSHTDPGISCVCFFTDWCKFPFYEADFGWGKPVWVSSVNVPLRNSVCLLSDKSGEGIEAWVNLHIDDMPKFEQDSSILEFTS >KZN10132 pep chromosome:ASM162521v1:1:32884587:32895385:1 gene:DCAR_002788 transcript:KZN10132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLAATNLCFNSFLSGADAGDSPASCKRLIFTPRNVGPLFLPKPISQSSKKHISDYPFSSFYCKSSKQSLLADWHKQLQLFNYQKEDAPQNFSRRSKDESNSGRSRSTAFKSVGQRKGTKGFLVDSKEQQVGIPVCTNTYSAFMIGDGKLLTNAHCVEHNTQVKVKRRGDDTKYVAKVLAKGVECDIALLTVESEEFWKGAEPLQFGRLPRLQDSVTVVGYPLGGDTISVSKGVVSRIEVTSYAHGSSELLGIQIDAAINPGNSQIVGNSGGPAFNDQGECIGVAFQVYRSEDTENIGYVIPTTVVSHFLDDYERSGKYTGFPCLGVLLQKLENPALRSCLKVPANEGVLVRRVEPTSGASKVLKEGDVIVSFDGVHIGSEGTVPFRSTERIAFRYLISQKFSGDLAELVIIRAGSLMKVQVALTPRVHLVPYHIEGGQPSYLIVAGLVFTPLSEPLIDEECEDSIGLKLLAKARYSLSRFKGEQIVVLSQVLANEVNIGYEDMSNEQATCKDRYLVFEFEDNYLAILDREASSIASSCILKDYGIPSERSADLSEPYIDVIEESQLTEPQNFGDSPVTSSEFGFDGILWA >KZN07956 pep chromosome:ASM162521v1:1:959110:962285:-1 gene:DCAR_000625 transcript:KZN07956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWSKPKDILALTSYETNCEGHKMPGDNLGFTVKDRIFHDCKDDLESLFDQVIPIFLREISVDNCARPLPAMLSNGQPVNLFQLFCVVKRNGGFCNVSENGMWGLVAEGCGFSPVLMSSIELVYIKYLNELDGWLRKIKMDARMDNGETQIIKKLGLLIEESKELKGLVCSDEDKGRNTGKVELDFDSTGKPTDIYGGKHGPQQSDDSMNLNKVNHAVESSYDNEKDIIIQHDKSITFSAKSFVENIINSQKKKLCSEAKVEKVQVKVEASRSFVDNRTIGVRDDYDILLSARSVVNKVISSMKSELGPSRPSQEVANVRKTNDYDRYFSPKRIVDKDVDSRNKVNSLLPWKGINVVHGNVGNTKADCGKVRIPDNARVGNPVVFRKRKREHISLPGMLKWMAHVAKHSNDPAIGEVPGPSTWKSHGTQEYWRQALLAREALFVKRPIYADLVESSFQKKQKMHPSMYEDTDVIHKSAERVQRSKSFLLSKSQFSPHWNRDDATPRNLASPGQVETDNARKVPSSPMSEGILSRFALAVSGEEAPVSDVSVGLSFQAEIPEWTGVASEYDSKWLGTKMWVPDKDENNKTPTEKHRIGKGRQSTCDCLAPGSSTCIGFHIAEKKLELKVALGPLFYRWKFNRMGEEVSLGWTREEQKRFKAMVLQNSTGHNHFWNNAFKLFPSKTREDLVSYYFNVFVLRCRSYQNRVTPDSIDSDDDETDLGPIGRSSYFAGNLAPVTYFT >KZN10497 pep chromosome:ASM162521v1:1:36305613:36306209:1 gene:DCAR_003153 transcript:KZN10497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDGSRLKGSVKWFNDTKGFGFITPDEGGEDLFVHQSSIKSDGFRSLAEGESVEFVIENGSDGRTKAVDVTGPDGASVQGSGRGGGGGGGRGGGGYGGGGGGYGGGGGGYGGGGGYGGGGGGGCYKCGENGHMARDCDNGGGGGGYGGGGGGYGGGGGYGGGRGGGRGGGRGGGGGGGCYQCGEDGHFARDCPNSNR >KZN08564 pep chromosome:ASM162521v1:1:10539063:10543027:-1 gene:DCAR_001094 transcript:KZN08564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLPYFDPDYENLSSRINPPRVSVDNSSCKNYTLVQVDSVNKPGILLEVVQILSDLDLSISKAYISSDGGWFMDVFHVTDQQGNKITDSKTINDLEQALEPKCRKANVSKTWSGQRVEVHSMGDHTVVELIGRDRPGLLSEVSAILSNLHINVVAAEVWTHNKRVASVVYVNNNTTCRAVDDPTQLSKMKEQLRNILGGCEEEEKVSHTDFSEGSTHIDRRLHQMLFADRDYEGVGFTSGNDHHHAFKPNITIEHCEEKGYAVVSVRCKDRSKLMFDIVCTLTYMKYVVFHASISSDSPYASQEYYIRHVDGCTLNTDGEKERVIKCLEAAIRRRVSEGLRLELCAKDRVGLLSEVTRILRENGLIVARAGVTTVGEQAMNVFYIRDSSGNPVNMKTIEELRKEIGHRMMLNVKLDPASEKPPEASKWSAKPSFSLGGLMGKFLS >KZN11679 pep chromosome:ASM162521v1:1:46945223:46946686:-1 gene:DCAR_004335 transcript:KZN11679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKVEILCRELIKPHTATPPSFRNYNISLIDEVSPNMNVPTIFYFSAPDHPDTNIITNIKSTDPDTNIKSTGPDTNIITNIKSTGPDTNIKSIPSVYEHLKNSLSKVLSNFHPFAGRYNVETHSVDCSDQGAEFVEAKVDIRLDDLLNHRKDLKIELLNDFLPCPLGAVDEYTDPLLAVQVNAFSCGGFAVAVCISHRIADITTITSFVKAWAVAAKQELGDVDKSYVPMAWSFDSASLLPGQNLPCLPSGLTREKENIEIHKMVTKIFYFSDCKISSIREKAKGEGSSKNSPTRVQSVFGVIAKAIIDIHVANPENPKGYVVVEAVNMRGRTVPPLPKNQFGNMYLAACVQSVAGPEGVELPCIVDQLSSSVKRAVDACGVVLSLDKEGQTMLSQELGEMLKSLFSPDVYFAGTFSSWCNFSLYEADFGYGKPVWVSIANIPMKNSVVLVDEKSGGGIDAWVTLDESDMQKFIKHCDIRDVVDCN >KZN10027 pep chromosome:ASM162521v1:1:31834300:31838312:-1 gene:DCAR_002683 transcript:KZN10027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFFLFIHLLSLHAFLCTSQSQSNPQRKNTTFQVGVLLDLDSAIGSSGLSYLKMALSDFYEIHTNYSTRLALHVEDPKGHVVDSAALALKLLKEVKVDAIIGPQKSSQASFVLDLGDRAHVPVISFSATSPSLRRRTPYFIQTAQSDATQVGAIASIVKKFQWREAVVIFEDTDYGHGMIPYLANEFYDNDVRISYRSIIPLQPTEDFILKELYKMMTMQTRVFVVHMSRALAVRLFLKAQEIGMMSEGYAWIVSSGIMDMISSLDSHILESMQGVLGVRPQIPESENLDSFSYRLGRFSENASNIKQKTNLSFTGLWAYDTLWALALAAERVGYTNSISEYNPSHLNSTNLFDFETSETGPKILREMLVTRFRGLSGEFSLVNGQVEPASYQILNVVGGEAREVGTWSPSHGLSRTLKKNGKTYQVPNDLGGIIWPGESTHPPRGWEIHVGGKKLKIGVPVKDGFTEFLRAERDLQTNTTKASGHYIDLFDSVIKALPYSVQYEYVPFLIGIDGTYNDFVHQVFLEKFDAAVGDITITTNRSLYVDFTMPISEGGVSMIVPITYEDSNNKWTFLKPLKKDLWLTSIAFFIFTGFAVWVLEHRINTAFRGPPSQHVGMICWFPLSTVVFAHREKIMSNFARLVVVVWIFVVLILSSTYTASLSSRLTVQRLRPSYTDVEELIKNGNYVGYQAGSFIPGLLKSLGFEETKMKSYRFAEECSEALTKGSKQGGISAFFDVTPHAKLFVSKYCNKFMEVGPTYRTDGFAFVFRKGSPLVADVSRAIISVTEGKKISIIDPVCPESGSNMGSNSVSLESFEGLFAITGSVTATCLAVFLFLYLYKNRLFLQRIVANSSSTTWSKICAVCRHFDNRDLSSFPFSRSTHDSKFRLDNGSTLSPSTADLATSSHVQLATRPIPVATEGANHEITPPNPQDVV >KZN10278 pep chromosome:ASM162521v1:1:34144157:34145585:-1 gene:DCAR_002934 transcript:KZN10278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKDSFQEPPHLKQTLLESRRDFSFDTTNFSSNHEIEDPSSSFSSSGGDVEDGESEASAISQFFASQSGRSKRFHRCKTAPAMTVMRDHVKNIGKPVPDSSSLVRQAAVLLIIYLSLGVFIYSFNRDHFSGIETNPVIDALYFCIVTMCTIGYGDIAPSSSAAKIFACLFVLVGFGFIDILLSGVVNYVLDLQENMILTGIEEKSQEGFSVRNYIYDKAKGRMRIRMKVGLAIGVVFLCIGVGSVVLYFMEGLSVIDSFYLSVMSVTTVGYGDRAFKSPQGRLFAAIWLLVSTLAVARAFLYLAEARIDKRHRRIAKWVLHREITVEDLVAADLNHNGFISVTLPYNDTGYGGKEFLDNRWSFTCE >KZN07983 pep chromosome:ASM162521v1:1:1189165:1192088:1 gene:DCAR_000652 transcript:KZN07983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVKNQSLSIHPDELKFQFELEKQICCDLRVSNTTDQHVAFKVKTTSPKKYFVRPNTGVIQPWDSCMIRVTLQAQQDYPPDMQCKDKFLLQSTKVAPETDIDELPQDTFNKDSGRTIEDSKLRVVYIFPQSGSTNAEDGYKSSDSNMQGSANYDDNKAVQRLRIEKDAAVRQAQQLQRELDTLKRRRSRRNSGFSFKFAIFVALIGIMVGLLLNLSLSSPPPTPGPVPSAPPTDSVPVTAPSTPPTE >KZN10252 pep chromosome:ASM162521v1:1:33883698:33885248:1 gene:DCAR_002908 transcript:KZN10252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGIERVLKEKFGNAVKDIRQVYDEEAGETTVEAVNNHLDILRPAIKNFGGSVDVLSVAGGDCLVKYEGPESIGSGVKAAIKEKFPDIVNVVFST >KZN09916 pep chromosome:ASM162521v1:1:30730147:30734276:1 gene:DCAR_002572 transcript:KZN09916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLDLTTMTDNITLQKHDRSINIMESKLNSVEENQIAAEEQITELKDQLAQMCLEYAETKRTVVTLRELVRTELLKKTVEADERSILIPGSKEVSSSIDPVHHQAPELLAKPHPQIPQSKTPVQSTPVNEGRAHAPMYMSAGTYTSPNVFSDQGPQISDESCQLQEEDNCICKAILNEYSMKVNVEKPIYNSGITDGQPSASVTSLTFNGKYYVGDKGRNKKESQNLAARAAILSLLDSDANMVISKIISKWRLSSLKDKVKLPLVEQGKVREAKNSVGIYPR >KZN10490 pep chromosome:ASM162521v1:1:36228902:36230498:1 gene:DCAR_003146 transcript:KZN10490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFINSTPFFIILIVSSTSFSSAFAGSVVEDLNNLKPPPNFKQTITNNCQLNPSLRYCNATPHDLDEIFKSTIVASHLCRESKNPNCVESFPKINLRDRPKIAPLYLSFSFFWKYCPLTIVVIDISHNSLRGEFPSDVFHCTQIRRLDLSHNELSGEVPIQNFSLLNNLTMLNISYNYFSETKISDAQFLKRFNSSSFIHSGLLPDHNKFKIKAVLLLILFPLVVILFVVLLSWLCFWRPDFLPRMFRRKYKFTPAMLKAETDGFSKSNLVRRSAKTDIYRGVLRDGSRVRIEIYKSSASRENRRKFVEECRVLVELCHENLVQVLGWCDSRRLKAVVTEWVDEYNVEMWLSSTAPQWKYRVGVLMGIVEGMSYLQEGWPEVDYDLKTSSILFSQNEEPMISRFRVEEHHSCTRKIYKFGVLVLEMVTNRRPREFEGNEVSFVEWVTEYYPGNSEEVIDEKLSRAGHDLDHATEALELGLMCTETTQGQQPSLDEVYDVISRLHRCCVA >KZN10886 pep chromosome:ASM162521v1:1:39933824:39940563:1 gene:DCAR_003542 transcript:KZN10886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIGWYGPLIDLCNAPFHVGEYVQLLVFVHKSTPLQYKLLQGGEVVRTDIQVGDDTRRFFSISVWQKNMGSTIVAGSVGLLQNVKVTRFGDFVEARTVQCSSFRCFVNSHKLLFSEGADSSIEELRVSIATKEKLRKVVEWVRRSELTISYVGPQSSKKRKLASINWAVNEDTQSQHCFSLSDVLYITSSCRAKFSASVGEIFLPVKWKSLNETEVERRFIKQRLCTMGDRCLADDLICSGCQHCGAPLSSELGFNIAQGTNPLYCPKSSNHIHTISLIYRPFMLYVWDDFEYIPLLVTNKQAEVLFGNISAENVYSSFKKEKEVKIMEYNEVQVQNNSAARASAQNNAKTQLQRKQTRNTYLIWLILLKTILQQGKCSPLRFQVKVNPSKDVESGRFELVSMSIPCPVAT >KZN07960 pep chromosome:ASM162521v1:1:1022646:1023634:-1 gene:DCAR_000629 transcript:KZN07960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMVFPGQVFRTNACSNSLKMLPLPVYKGTTQQMHALTKTKGMLKYSNKRNTIGIRPGGNHRLQAILSSTNKSDFTPSDTVEQFYECINYKNVTKLGQFLANDCVYEDFSFPKPFQGKKEVLNYFQQLTKSMGDNIEFNAEIISKGEEDDHFTIAVYWYLDWNKIQIPFTKGFSIFILSKREARPLIKKAQVVIEPPLKPGSLALVNSCC >KZN10251 pep chromosome:ASM162521v1:1:33869003:33874577:-1 gene:DCAR_002907 transcript:KZN10251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLFSKGKRVEVSLEKVENCEVWFPAVVEEETGNNCFLVEYQCIGKNGVPESLRVKVDFLHIRPSPPQFVKNYDLLEKVDAYLDFGWWSGVITKELPDSKYLIFFKQTKKQRIVNQSEIRAHMEWKEGNWFSASQELLQSPDHQGSAKNPHQTALVVPGGLTTLKENAAQGATSFFNLLDIRNEWLAPSNIEPSTLSQRKKTKLLGPNTDEQNKMMKQADMADLSHMSTNHLTPSSVGNRDEEIHFGWATGTDGGAKTTNLEQSMGDQLSDKISRTTRRKRARSKVKRYKPVESKSTTYSLRIYEGRLLESPTSETQALAGEKIVGELGNLSLEPLVKNNEMLPIAQLNGRKILEDKTHDDVRCTKENFVERECVTEVPVTCNLECEVIEASQSDKLPPTPPEEMVEPSGHREQQYHDPAVNATKEADELEGHKESTGKRKRGRRPRKFTINLEVSQENVDKLAKSPEVSQHTGKKLAKSPEISRQSGGVVAADTASEVLPVVVGLKAAQVHGSVSKKGRRGVDKKIVTGSAHQESKLITNIEVSPLEKVEHHEMDSSKGATRRSTRINAKYLNQDPKDASSGKIAEANAKDGLIKEAETSLTFNLSDDQPLSMWMGSSKATSATCASQGMNMEPGTSKRSPKDIAMLSSQSNDDDSLPFVKSSSLWKSIESMEVFLQLPQRPHFQSLCSRKESSREGLAIALMVNFAGVVEKTSTLKIDDPRSTIEDKLETLTELEKNGFDVKVVRDRLLRLLLIKDKQEELQANSKETTDKIKEINQDKIKIDERIEEIDNQIRLLQEERALVLSTKGEKDSSIAALEVKSNELSSSIKNASLEFEALVAVPLPGA >KZN10740 pep chromosome:ASM162521v1:1:38559477:38564466:-1 gene:DCAR_003396 transcript:KZN10740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERANNKRSREAAGINAAADDNIDLSLLEAVEKSQNSIQVLDVKTLKRLVLAFERKFRDNIAARLKYPDNPEKFADSELELHDEIEKLKILAGGPELYPELVNLNTIPSILSLLNHDNTDIALDVVGLIQDLTDEDVLEDNDENAQVLVDCLIENNVLELLVQNLLRLNENDSDEMAGAYNTLATIENLIEVRPAVAEMVCERTKLLRWLLGKIKVREFDGNKQYASEILAILLQTSAGNQKRLGGINGVDVVLQSVAMYKSRDPKSSDEEEMVENLFDCLCCLLMPLENKEKFLKAEGVELMIIIMNQKKLCYGSAIRALDFAMTNYPPACERFVDVMGLKTAFPAFMGGISRGSRRERLLSKFVENEFEKIDRLMELYMRYSNRVKAETERLNNHELDDLEMDEEEIYNRKLESGLYSLQLIAVILGHLWTSDHSGIKARIELLLKQQKLTRKDVRDILQEYHDNIGDLDGPEEKERVQTKIEKFISAL >KZN08350 pep chromosome:ASM162521v1:1:5513019:5514436:1 gene:DCAR_000896 transcript:KZN08350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNPTSTGLLKPLTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKLKEKVSTKS >KZN10588 pep chromosome:ASM162521v1:1:37260011:37268825:1 gene:DCAR_003244 transcript:KZN10588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDQLPCTKDTINHTKAYIQATRLDQEVKTTDLPVAKDCLIFQAQEITVQDIQIAFNQKKLTSRKLVEFYISEIQRLNPVLKSVSEINPDALYEADKADQGRKSKAPVSLSNIHGSYALLGSVVPRDAGVVEKLRKAGEITLGKASLSEWSHYRADFIPSGWCARGGQAVNPYVASADPCGSSSDSAISVAANMAAVSLGAETDGSILCPSSYNSVVGIKPTLGLSYRAGVVPIRPRQDSVGNKKIFQVHTKWRLFTISWVDGLRGKRLLITHYPGFGFSNDSAAVNAFEPHLCKLRDKGAVLVDKLLEIPNVNDYFGSSGEETAMSLEFKSAINTYLKKFLVSHVRTLEDLIKFNYKFSDLVDKPTKQDGGSQILQDLSDDKESDLVGYDWHTIGSFEDLDRMFSIGDTEFGCTSLENTDELWPCSMDYSSFASGAPSTSDQCGKTEDMLDQDQPCSLGYSNMNDLTRCFPQKKLAEFKNHPFGDNKIDRDMVSKTLQVDSDFSTGKVSVPTEFSDKVDRQKYILRRRKRSGEDSKARPYQDLPKTWFSSENQFLQLEDHHAKTGQSPAFKGINRQIKFPETASSPYNKFTTALFSPSGHTHVHIQDPFTPILPQFHSSSKVPSGRSKTLNKFPASSANPLTMTPEEKIKKLRRRQQLRAMLAIQKQQKQFGHDTSESQQSIYQKYTIPDQLQLAGGNLEVDVSTLNMNSPAEQDYSGTMSLASDDCCPESAILYRLQNIVAKLDVQLRLCIRDSLCRLAQSATQRQHAKDTGSAMKQSGNYLNSLSEEGTNSDNRYARTPEGETDTNPIDRTVAHLLFHRPIELSENLETAESPRSAKMLQERTSTC >KZN09506 pep chromosome:ASM162521v1:1:26412573:26413197:-1 gene:DCAR_002162 transcript:KZN09506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSISRVSSGVGNSSSRCSLTLSFRLPTGHTEIPIGEQEPKPEPESKDPNQKTSPEVPLRRLAYLNKPEIPALFAGSPAVIANGVIYPIFALGTVSFVAYPAQSQFFAVAGCNLIRRIRSLCFEKVASMEVGWFDKPENSSWAIGARLSTDAATVRALVGDRLGQLVQDGASAVPV >KZN09852 pep chromosome:ASM162521v1:1:30004383:30012044:1 gene:DCAR_002508 transcript:KZN09852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPSRRQTDKVQCYEPATMKYLGYFPALKADEVKERVSQSRKAQKIWAKSSFKQRRQFLRILLKYIIEHQELICEVSSRDTGKTLVDASLGEIMTTCEKITWLLAEGERWLRPEYRSSGRSMFHKTSKVEFHPLGVVGAIVSWNYPFHNIFNPMLAAVFSGNSIVIKLCHLDGHRAVKIAAKAQLTCLEPFEAHPSVSEHASWSGCFYVRIIQTALAAVGAPENLVEVITGFAETGEALVSSVDKIIFVGSPGVGKMIMRTASETLIPVTLELGGKDPFIVCEDVDVPHVAQIAVRAVLQSSGQNCAGAERFYVHKDVYSSFIAEVVKIVKSVRAGPPLAGKYDMGAICMQEHSERLQSLVNDAIDKGAEVVCRGTVGNIGEGAVDQYFPPTVIVNVNHKMKLMQEEIMRTASETLIPVTLELGGKDPFIVCEDVDVPHVAQIAVRAVLQSSGQNCAGAERFYVHKDVYSSFIAEVVKIVKSVRAGPPLAGKYDMGAICMQEHSERLQSLVNDAIDKGAEVVCRGTVGNIGEGAVDQYFPPTVIVNVNHKMKLMQEEAFGPIMPIMKFSTDEEVVRLANDSRYGLGCAVFSGSKHRAKQIGSQLECGVVAINDFASNYMCQSLPFGGVKDSGFGRFAGIEGLRACCLVKSVVEDRWWPLIKTKIPKPIQYPVAANGFEFQESLVEALYGLDVWDRLRAVVKVLKILTDQNQPSPSNKKRRND >KZN11589 pep chromosome:ASM162521v1:1:46043208:46044296:-1 gene:DCAR_004245 transcript:KZN11589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPCELIHEILCLVPVKYLLRCRCVSKQWCLIIDSTEFVEKHFKTSVERNRGGIISNGGGNLYLADYESLRDDDAPSVARMDDPLSNALFVGSDNGVVCLCKDKGNVILLLNPSTRKWRTLPSVPAEFPRCFVTSKKYLCGFGYVNDDYKVVKVVECDRPSRGIMVIVYSFKTNSWTQIQNVPSNRNILRSDAWGRFASGTLHWPAIYRYWVSPAIDGFDLGSEQFKEVALPGGKNFVHPVRLVALGGILCFLDYQNYSYVDVLLTNDNGEESSWFKAYSMDRDALEFCFSAKPLEYSKCQRNVLVEVDMKRLVWYHPETKTVKNINLQGLPVLFSSHMYTESLVQLTENKRPQRPSHEIK >KZN10619 pep chromosome:ASM162521v1:1:37663399:37665198:1 gene:DCAR_003275 transcript:KZN10619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNPSMLQKVVESTNETAFVGLSWINNDDLLILNTSVVDGRGARFLEFEVKAIVQSLCGSINNDGSLFLGAKGAQVLTTAKIPNTRIITVGNNSVAVKMLNHNGDEVGDVGGDLTCQANDGIIQPMPINILETEYDLYCSTVKILGVDLVLALAMRVQGPETFKDKQETVFENYAQIKDTSVGQEGTGLGLGIVQSLVRLMGEEIEIMDKEVGKKGTCFKFNAYFSICETDQRSKSDDTGSHVSAYMSPCQSFSPQGSSSELTEGSRVIFFIPNEERSRVCQRFMDRQRIESLVVRTCKELASSLKRMIGHVRVHSLSSSSKKSDGLQSVAGSRTSSPRLEEAQFNSPAQIDQEMPSTHKRTRTRESLLAHFTLIIIDIAVGTFSELVGLVAEIRRNLSTGGHRIVWLDSSGFGNTQLQGLRDTLQATDIILFKPLHGSRLYQVLKLLLEYGGERPAEMSEVYPPNYLSSGSLIASDNLGWH >KZN08674 pep chromosome:ASM162521v1:1:13331136:13332548:-1 gene:DCAR_001204 transcript:KZN08674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERNVVSYNLLMSSLTRHGFYGESVGEVKLLRVVHGVGIVIGLEFDVVGCNALVDAYGKCGDPDHAYLVFSRMVERDIVSWTSMVGSYARVSRLDDACSVFDQMPVKNTVSWTALIAGFAQSGQGKEALNLFEQMLDEGIVPSAHTYVSVLSACANLTLIERGKQVHGHIARSISLSFINNLFLSNSLIDMYCKCGDMASAVRLFETSEDKDIITWNAIITGFAQNGHGHNSLSVFQRMLKAKVMPNHVTFLGVLSACSHGGLLGEALEIFYSMERDFGVIPRPDHYAALIDVLGRKNRLEEALDLILTSSNGSDHVGMWGALLGACQVHGNLALAERAAKALFELEPNNSGRFVMLSNIYASTGKWGDVSQVRELMTQRGLRKNAALSWIDIRNERHEFVAKDKCHIQIEQIYEMLDILVEHMMDFGYLILADLKFIE >KZN09404 pep chromosome:ASM162521v1:1:24630609:24631919:1 gene:DCAR_002060 transcript:KZN09404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTSVGSGFLLGPSVGFIDLESPVQQRQQSQLGQSSTQHQMPSTMNMLGGNDDDRSIRFMDGKGLNPKSFSMSFGRGNVACVNAVNHHSVSEEDEPNFEDGNGENSSGAKGKKGSPWQRMKWTDMIVRLLIQVVACVGDDGSLDGFKGTKRSSGMLQKKGKWKTVSKIMISKGCYVSPQQCEDKFNDLNKRYKRLNDILGRGMSCRVVETPSLMDSMPQLSSKMKEDVKKILSSKHLFYQEMCAYHNGQPIPNCAELDLQTHSLPNVQCSKENNVSEAEAEEDSEDDDGDSDSENDNPADQDFETFGRANAHEDGFQAEVARFFEDPTKSKWEQTVWAKKKMLELQDQRVSIQAESFELQKRRFKWERFCEKKNTELEISKLENERMLLENERMAMQLKHKVVEMDSGNQGPSVNQIHNPNRQQVKNQIDLGRHL >KZN10708 pep chromosome:ASM162521v1:1:38323000:38324571:1 gene:DCAR_003364 transcript:KZN10708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKKLSIFYLLMLVSSLSLSNATFISQQARRMYVDASLFMFRNSWAAGLSLLNPFNDKKANFDSGVNFAVAGSTATSVEKLEAQHIPGNITTTHSLDVQVRWMDEYLAKFCKGDADCGDKLKNSLFMVGETGGNDYNYALMARKTIKEIKATVSQEVVDTIINGTRRLISRGARKLIVPGNFPVGCLPIYLTLFQTKNSTFDEHGCLKEYNELSMYHNTKLKDALDQLQEEAPYTTIVYGDYYNAYLWVYTHAKDLGFKSLQKACCGIGGKYNVGFGKMCGDPGVPVCPDPNNHLNWDGVHPTQQTNMFLATWLITNMLPSLDCY >KZN09834 pep chromosome:ASM162521v1:1:29820968:29821937:1 gene:DCAR_002490 transcript:KZN09834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSASSLNQVVMHSSKSPALQAPSFRTYTTPAFIKFQHKNLFKPSLPNLHFCKASSPVQSVEIKNEITKNVEGHSTVTAAQMYKAMFLDMDVVIPRILPQLIKSIQVLEGDGGVGTIKYLTYGEAVKATSMKQKIIIMDEEAMTYSYIVIGGDILPEKVESVTNHFTVVPTDDGGCVVKLSVVFTPVAGEMVPEEYIKESIAQSFQVFKATEAYIQAD >KZN10183 pep chromosome:ASM162521v1:1:33380423:33384400:-1 gene:DCAR_002839 transcript:KZN10183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLQTPDTQEDKNDTQQLSLPKSLPIASITLSLSSLLPTHFFLPPKITSLFSSSPSRVKIPSQISALYDASLSSSCSTLSPQKPFFKSTVSPTPLQNTLFLNPKRPSEPSNAAGLRRASIVWFRNDLRVNDNECLTAANNESMSVLPVYCFDPTDYGKSSSGFDKTGPYRATFLIESVSDLRKNLQAKGSDLVVRIGKPESVLAELAKAVGAEAVYSHQEVSHDEVKAEGKIENVMKDEGLEVKYFWGSTLYHVEDLPFKLEEMPTNYGGFREKVQGLEVRKTIAALDQLKGLPKAGDVEPGEIPSLSDLGLNSAAAVGQDGKPAASASLVGGETEAMQRLKKFAAECQAQPHKPSKDGSSDSIYGANFSCRISPWLAMGCLSPRAMFDELKSSASRSISAASTKKDGGSGSSDTGMNWLLYELLWRDFFRFITKKYSSAKQRNAAPVTACTGAVA >KZN09388 pep chromosome:ASM162521v1:1:24486294:24490888:-1 gene:DCAR_002044 transcript:KZN09388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDHSTSSISASFSSSSSSPASSRRSTPLNSPKNTPVVSIQCLKSSSKSEEWTPDTLQTGDIVEIITIGNLTVRSPFKNGKSGVQKILHECYKSKDTSIRVSVRRGADQFAELMACIVPNESGGRKQYMLRSIGDPNYAVGFVDRTEAECFNLQASRNSRMVDALAKTPLKDGQVSYPWEKRMQEQLSVPNSSFFLSILFLPRTSDKNPSRYNDLEDTLARSNAWLTASQASGVPIVFMNIQTESLLTKISGETASSTVNAGSLSDLSNLANASLYGFEDYHGVDIGVVRAVRLWYSPLEGEIPVEIKIKETDTKLGFAISRTEEGFIYISSVAEGDDDASSTRSGLCNMYKEANRAGKLLVISRVSNQKVLPWIVSPAGQIRCYDTVSLSQKLSLHRHAKVSILLHTFLWDSSVSSLIKGFGAMAPAALSLPPETQTAQLANDNRLSSFTYDESNESTQSSVRSEDGPRLQPERNTLGDTSFRF >KZN11397 pep chromosome:ASM162521v1:1:44299208:44299846:1 gene:DCAR_004053 transcript:KZN11397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKEKTAPPCKKQTNVDEVHYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEAAARAYDAAARGFRNNKAKTNFPTPDEIMNVSDFKKIDTSKKVGNEYDVKQSQSPTSTLESSSQERFLSLDLNVAADGFGSGYGSGFGSPILYPFQIQPVQIFGFVPAAGYRSSDGSGFRVSSGSQSDSDSSSVVDVNMNRRGIMIDLNELPLPEYF >KZN10536 pep chromosome:ASM162521v1:1:36693236:36695578:-1 gene:DCAR_003192 transcript:KZN10536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTKSTKNHQPKTLPKSSLTDKYTPQSIDVDVSNARKNQLLTRNLVSHVNSGDIESALYLFERMPKSDTFVWNVLIRGLTDNGFFREAVDLYYRMCFSGVRADNFTFPFVIKACGELCDLREGEKVHAKVYKAGLNFDLYVCNALICMYAKLGYIDCAERVFLGMESRDLVSWNSMISAYAIVGDGWSSLLCIKKMQSVGIEHDKFTLISSLKACSLLCSLLIGKEIHGQVIKSTFRLDVVVQTSLIDMYGKCCHVQYAYNLFNRIPERGIITWNAMIGCYALNLRPLESFSCFRNMQKSGVVHPDKITLINLLPSCAQVGALLPGKSIHGFAIRKEFLPHLVLETTLIDMYGKLQELRLAEQVFDQMNVKNMISWNAIIAAYVQNGQSRQALKLFSNLVYKHCKPDASTISSILPAYSDIASLTEGKQIHGYIIQNEFSANNYISNSIIYMYARCGDLLSAQQIFDRMYNKDVISWNTIIMAYGIHGFGKESLELFCRMRENNIEPNASTFVSVLSSCSIAGLDEEGWKHFHLMKREYGIDPQIEHYGSMLDLLGRKGDLDKAKEFIHEMPLAPTARIWGSLLAASRHHRNIELAEVAANHILSLAHDNTGCYIVLSNMYAEVGRWEDVERIKDLMSEQGMAKTIGFSDIEVNYKTIRFVNHDRFHLETDRIYDVLSILLRQIGEELFVSLQKFRPVDLIRTRLNSPKCHSVRLAISYGLISISIGKPIVIKKNIRICRDCHDAAKKISDITNREIVVGDSKIYHHIKDGHCSCKDYW >KZN08113 pep chromosome:ASM162521v1:1:2849437:2850000:1 gene:DCAR_000782 transcript:KZN08113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFHPMVMMQNADVFKMVVEEVWEMGFDPVKSHFISACQVKFGLSEAMWKRKWDCFKKWGWSDNAIHSALMKQPNIMAVSQKKVEKVMDFLVNEMKWEILKVASCPNVVMHSFENWTKPRCLLIQFLLSKGAVKKDFALTTVIVSVESQFVEKYVKKYCAEFPEVLELYASLSLQKNTQAAGQVSL >KZN09371 pep chromosome:ASM162521v1:1:24322957:24323646:-1 gene:DCAR_002027 transcript:KZN09371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNNPAAPARNHGQPSFGQPSFPDGSSPPAIKSRLCNKYNSAEGCKFGDKCHFAHGEWELGRPTVPYHEDPRGMGPMPGSRFGSRMEPTPPSYGTGTSFGANATAKISVDASLAGAIIGKNGVNSKQICRQTGAKLSIREHEADPNLRNIELEGTFDQIKQASQMVQELIVSIGSASGPPPKSHAMSGTGPANNFKTKLCENFAKGSCTFGDRCHFAHGAEDLRKSGI >KZN11174 pep chromosome:ASM162521v1:1:42299086:42314885:-1 gene:DCAR_003830 transcript:KZN11174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNRSSSQLDEPVVIDAPTGWKSQLQADSRRRIIIKILDTLKRHLPFSGEEGLQELEKIAVRFEQKIYDAATSQSDYLRKISLKMLTMETKNPLPNVVQFNSANHGQNPPNPDFATELDDGEVCNPGPLTPVQVFVENCMQFEEIEAENPGLMDNNNWRPTQSGGEPAMDAGGDWRSQLQADSRQRIVTKIMDTLKRHLPFSGQEGLQELEKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETKNPMPNAMQSNSSNNGQNPPDPGSQNMQSQLNSQAPPLSVPVQSNLTQSGQLLTQNIANNIGSGGMQGSASLTSSLPPVGSLPQTNIPNVVNQNNNMQNIPNISGVVQNSVGSAIGQGVPSNMFGNSQRQMQGRQQQGVPQQQPQQQSQSSQQSLYQQHLKQKFQSGAISQSQMQSHLQQLQQQQQQQNLLQSNQLHSSQQSVMQPSMMQSAPLSSLQQNQQSSAQQSTQSVLQQHPQALRQRQQQASNIHQQQPSLPQQAILPTQQQQQQHLVGQSNNTNIQQNQLIGQQNSIPDMQQQQRLIGQQNNLSNQQQQLIGLPNNLPHMHQQQLGPQGGVGGLQQQQQQHHQLLGAQSGTSVMPNNQHSVHMLQPKVPMQQSQQSMSNLLPSQGQQSQQQSQQQMMSNLLPNQSQTQQQSQQMMSQIQSQPGQLHKQLGLQQQPNPLQRDMQQRLQTPGTLLQQQNLIDQKQVFQPQRAMPEASSTSQDSTANGGDWQEEIYQKIKAMKDMYFLDLNDMYQKIAAKLHQNESLLQQQPHMQQGKNPQLEKLKAFKSMLERFISLLQVSKSNIQIGYKDKLGSYEKQIMSILNSNRPRKPIPPMQQGQALPPQHMHPMQQSQPPQSQMNQLQPLENQMNSQMQSLNLPGSGGTMQQKSIASLHHNPSSPVSGLPNTQQNMINSLQPSSALDPGQSNSMNSMQQVASGSLQQNHASGAFQQQHSASQRSAYQQLKPGNQFHISSPQLLQPASPQISQHASPQIDQQNMLSALTKNGTPLQSVNSPFIVPSPSTPSVPSPMPGESEKVNSGVSTLSNPGNIGQHQTAGSLVPAQSLAIGTPGISASPLLAEFTSPEGHHAVPATVVPGKSNATEQPIERLLKVMKSMSSDALTASVRDIGSVVSMIDRVAGSAPGNGSRAAVGEDLVAMTKCRLQARNFISQDGTTGTKKMKRYTTAMPSDVVSSTGSMTDSFKPFHGSELSDLESTATSIAKKPRNEANLALFEEIKEINQRLIDTVVDISDEDVDPTATATAATIEVGEGTIVKCSYSAVALSPNLKSQYASSQMSPIQPLRLLVPKNYPNCSPVLLDKFPTEDSKDYEDLSMKARSRFSTSLRTLSQPLSIGDIAKTWDRCARAVISDYAQQSGGGTFSSKYGTWEDCLTI >KZN11794 pep chromosome:ASM162521v1:1:48136082:48136999:-1 gene:DCAR_004450 transcript:KZN11794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNCRKSVTKNLATSYFTVRKTRRHAVCLSEKQVPMKLEGVRMKRSRWVAEIEHPKKKNVRMWIGSFATQEEASKAYQMRKMELEEIKFKDAVKKDKFVGDQEVEAFCDDGDDGVVVCDDQVMKGVDFDGEKNGVTIEGVRICLGSYTAQEEASKAYQSEKMEHEKLKLRAIARKDNLGDDQEVVSGHEESNAESLPDNSDVVVKLADFDDQVATLVGFDDANIVESRGESQSDDCDVSVNVIGSDDQAMEVIHIDYQAERSLSRRIVEQEAVDMLLQSGPILMDRYGCLLGEFSWMDDLSIV >KZN08422 pep chromosome:ASM162521v1:1:6535395:6535646:1 gene:DCAR_000968 transcript:KZN08422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLETPQTFDNRYFKNLVAGKGLLSSDQVLFSDPASRTSVRDFASSPGDFNAAFTTAMKKLGRSGVKTGDRGQVRKDCTAFNS >KZN12000 pep chromosome:ASM162521v1:1:49959689:49961151:1 gene:DCAR_004656 transcript:KZN12000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNNSFGLDQGSRKNQVDVSLTLAKHLLLNYGKDSNLVFSPLSMQIALSLLAAGSSGETRNQLLSFLKAESVDELNTVYALLVDVVFADGSSSDGPKVSLVNGVWLNESLSFKPSFQDVATTMYKAASHRVDFQNKAEEVKNLVNSWVEKETRGLIKNILNSVETSTELILVNALYFKGDWLSPFDGDDTRNYDFYLLNSSSIQVPFMTSYEDQYISVFDGFKVLGLPYEQDWNQSREKRLSFSMYIFLPDAKDGLPALVERAGSEPGFLDRYVPSRRVDVGKFRIPKFKFEYNIEASEALQSLGLVSLFGPSGGLGEMVSNSSPLFVSKIVHKSFIEVDEYGTEAAAATEVECMMGSSCVFEEKVLTDFVADHPFLFVIRENATGMVVFIGHVLNPSDQS >KZN10216 pep chromosome:ASM162521v1:1:33625324:33626439:-1 gene:DCAR_002872 transcript:KZN10216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNQFVAGVTVGPSCYLDDQRHARRKQRFTLFNAALDMLSGSPNEKNRKNKKSVSRKDDKINGNNAEGWKKFVGSMRPLHMQATRSSSPSPRHSPISEPALGFPLSATSWGTASKYDSPNSLHESGSTGTMSLGTGTVSLGLSPCASPNNLQGASTGTTSPDLSPCASPNNLQGASTGTTSPDLSPCASPNNLQGASTGTMSSNSSPDNLDKSTGTTSPGLSPNNIGTMSNSVSDDDLEEFIGTVSHSVSASNLRNLAIANSRRKHRKSSGDRSKLGRKSRMSPSKSTSNLQDLSLQKMRRYKSALNLHDLDLNEETEAEEDTDDKLLEKCAADVMIDVKADQFIKQFYQQMKSQHKGSKASTQTLTHK >KZN11690 pep chromosome:ASM162521v1:1:47028401:47031908:1 gene:DCAR_004346 transcript:KZN11690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKIESIFIYPIKSCRGISVSQAPICPTGFLWDRHWMVINAKGRACTQRVEPRLALVEVDLPSEAFSVGWKPTKSSYLGNYILCPIHEEQDIVLFQRRTLVPDCFSIIKLSISPTVIRAPGMDELKVSLSKPSEKSEGVTVWEWTGSVLDEGAEASKWFTDYIGKPSRLVRFNNDSDSRPLPEYAPTPGYNLVFTDQYQFLMLSQGSLDALNSQLEEPLPVNRFRPSIFIDGCEPFAEDLWKEIKINNITFISIQLCPRCKVPLVNQENGIPGPEPNETLMKFRSDKILQLSTKHHGKVYFGQGLVCSKDSFDEVGGMILKVGDTIHVEKVFASYADAIA >KZN09602 pep chromosome:ASM162521v1:1:27499115:27501625:-1 gene:DCAR_002258 transcript:KZN09602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVYRTPKGLCTSWEATIILKVKVLARESNLYGQKGSVRGRKRLWDTDPGMLIMLRRGMDSRVKSDTIVLVLNLMDIGQVSLLRGYVCTYWVGIQCNKNGTRVIVVHLPGVGLYGSTPVKSIEKLDALQDSIKNNGDVIHRLIIVIRMMHDGHVADHETSTAREMPF >KZN10109 pep chromosome:ASM162521v1:1:32683319:32686888:-1 gene:DCAR_002765 transcript:KZN10109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDDKRVEKETRVPLVSESNSNSQRDGNGVEDQTMVYFSTFVAVCGSYAFGSCAGYSSPIQSAITKDINLSIAEALRVASGFCVAGWLAIYFAQGTVPLDIGRLATGYGMGVFSYVLMITTGVSVSFIIGTMISWRALALAGIVPCAVLLLGLFFIPESPRWLAKTGNQKQFEVALQRLRGKHFDISEEAAEIQDYIETLEKLPKAKLLDLFQKRYIRSVIIGVGLMVFQQFGGINGICFYVSSILESAGFPADVGTIIYACIQVVITGLGAFIIDRAGRKPLLLASASGLVLGCLITGLSFYLKTYEIGLKAAPALAVTGILVYIGSFSIGMGSVPWVVMSEIFDINIKGAGGSLATLVNWFGAWAVSYTFNFLISWSSYGTYILYAAINALAIVFVIMIVPETKGRTLEQIQSTLNSS >KZN08316 pep chromosome:ASM162521v1:1:5168886:5169811:1 gene:DCAR_000862 transcript:KZN08316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLADLEFDYINPYDSASRINLVILPEFITEGVLCFLYLVTGHWIMSLFSVPYLYYNVRLYMRRQHLIDVTEIFNQLDWEKKKRLFKLGYLVFLLFLSLFWLIYSALEDDEE >KZN11266 pep chromosome:ASM162521v1:1:43245250:43247139:1 gene:DCAR_003922 transcript:KZN11266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYKFHQYQVVGRGLPSETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGGIEQMYTEMGSRHRVRNHCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVSKKVRPPSRKLKTTYKASKPNLFV >KZN08026 pep chromosome:ASM162521v1:1:1545175:1548934:-1 gene:DCAR_000695 transcript:KZN08026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDAVKRANLSSRKTESIKLPNYDDISRTRDMFHIREFLRHPSGIESILNTSALQSYYSLDSNTYRCTLPRLQLLNFEVAPELDLQVTPTSKDCLVKMLSCKFEGSEIVERQNEHFSASMTNTITWDTRDSDSYLNVDVRLNISLEIYTRPFSLLPVSAVETPGNLMMQALVDRLVPLLLQQLLRDYDKWVKQQRQDLPEDLVPS >KZN09033 pep chromosome:ASM162521v1:1:19288027:19290547:-1 gene:DCAR_001689 transcript:KZN09033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKSGVLVKFLEDMSSDENGIEVDHKAALLQITSIIPVLEDGDFWPNKGFYLKVCDVTHAMYVTLPEEENEMVLSNNLKLGQFIYVQKLEKSHPVPILRGVTPLPGRRPLEGTPEEIFPGKHMESFLEPSITDLLVDKSVISEKKIDKSLGTLCRAVSDSEALMQKNVGLNQGNETQGRSLSASFIVHPDDEKMGMDGSSEKYDSEKMQVDGVGDNFCPDEDSDSDLSTWSSVSSRRNLKRRSWTQSELLSAKEMFDSSNVMPGRSRGRTPERKRVAHSRSANASPVRTVRYDSSDDTLSSTRQRRRASLPAKRPVEASKNSKVSDSAAVEENSHSITFPSLEELKGAETKISWDSLPSRLLKISKEVVRQRDAALRGAVEAVQEACAAERLIRCLSTFSEFQSNEVDDLKPAVNKFLKLQDDLTHARLIMQSLTDIGLLRTSDTGNSTCTVKEVLDLAIERKRNADLWVKSAVASDLLPPSNSTKSLAVACDKDATETKKSCTTCCATKPKAPYIYPKQPNDEMSLMLAGNKEDQMEWARGSSHCASNDLAASLQDECQRWFFGCGEKYLDEVEAKASSTRSDRKLVAMMFKMKKLNDWLEGIVSKGSQSLEGQGKESSTMSDEEGEICERLKTRIREIMLKNAERTAMAI >KZN07890 pep chromosome:ASM162521v1:1:486442:490330:1 gene:DCAR_000559 transcript:KZN07890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSANSLDKVTADVAAVAKRMHLKVLGPFMIPPKIMCIRSRLGPGPNGNNLHGYFKLCIYENLIEVFSSTEVVEQIIAGSNECNVKIEVTVGVRGGSSKQGQVGESFLSQLPKGSEKQLQQIKIRMSSRRLQILNKVTADFVAVAKGMHLKVLGPGGILKKAVNMTRLAPGPDGTNLGGNFKFKLLHIYENFAEVFSSTEVVEQIISGFNKWDVEIEIYFVVVGVWSG >KZN09114 pep chromosome:ASM162521v1:1:20363270:20367462:-1 gene:DCAR_001770 transcript:KZN09114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVNGLSLSNGVQLVNLFNSNGFTSESSCRMGGRLGFSMKADGKVKSTLLPVNGSLFLVKKSRKNALHSSSSSGIKVQSDAVSLGTLSAETTPSGTNFPTDSDEYDLDHPTAGFSSIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAASKVTPEAMAFIVKHGTGIVCVSMKEQDLERLQLPQMVTHKDNEEKLTTAFTVSVDAKRGTTTGVSARDRATTILTLASKDSKPQDFNRPGHIFPLRYREGGVLKRAGHTEASVDLTMLAGLEPVSVLCEIVDEDGSMARLPKLREFAKAENLKIVSIADLIRYRRKRDHLVERSSAARIPTMWGPFTAYCYRSIIDGIEHIAMVKGEVGEGLDILVRVHSECLTGDIFGSARCDCGNQLGLAMKQIEAAGKGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPSKYVGLKGYGLAVSGRVPLVTLITKENKRYLETKRAKMGHVYGQGYNSNASNIIPENGKPSTEETSES >KZN10479 pep chromosome:ASM162521v1:1:36093531:36100042:1 gene:DCAR_003135 transcript:KZN10479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKEEGNERDSIGSPRLVQTNWLTNFHRDLVAGAVMGGAVHTIVAPIERAKLLLQTQDSNMAILSDRHKRFKGMFDCIVRTVRDEGILSLWRGNGSSVLRYYPSVALNFSLKDLYRNILRSGFFEEGHFATGASANFIAGACAGCTTLIIIYPLDIAHTRLAADLGRGEARQFRGIYHFLSTIRQKDGIRGIYRGLPASLQGMVVHRGLYFGGFDTIKEKMSENPELEVALWKRWIAAQAVTTSAGLISYPLDTVRRRMMMQSGLEKPMYRSTLHCWKKIYRTEGITSFYRGALSNMFRSTGAAAILVLYDEVRKFMNWGRL >KZN08561 pep chromosome:ASM162521v1:1:10502163:10502804:-1 gene:DCAR_001091 transcript:KZN08561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSNNFSGNSPRKEIQGPRPTPLQVRKDSHVIRKTPVNVSQRVSQPHHHHPPPVIIYTLSPKVYKVEPNEFMQVVQRLTGRKGPVGQSSSAVFDRNSGVISPAARFAVTEYVDRASKGETGSRAMGEADIGAGIDEITGMFPGILSPPPSSLPPIPQDIFLPLSETSPLGGKHYMDNDHTTLEPTHSNNAFSPKIMAYSPNSQDYFINFYDI >KZN09738 pep chromosome:ASM162521v1:1:28911366:28921615:-1 gene:DCAR_002394 transcript:KZN09738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAQNYRSQAGPFRGDRRPSFNRNHHHLSTNMAPAINNSYNVPGNFVFNYDYSYDNPRNFREHSNYSKYAEANAPAMKRRKTSTSGWESNMRPYIQPYIYENGPSANRNSSVSMGGTRPHADQPPSCKRDRSSFEDEDLVFMSRDEIERCSPSRKDGIDALQETRLRYSYCNFLQNLGIQLEIPQTTIGTAMVLCHRFFVRKSHACHDRFLISTAALFLAAKSEETACPLNNVLRASMEILHNQDFDLLLYRFPVGWFEQYRERVIEAEQIILTTLNFELNVQHPYASLTSTLDKLGLSQSFLVNLALSLVSEGLRSSLWLQFKPHQIAAGAAYLAAKSMNMDLTSSQNVWQEFQTPPSVLKAINGALLDGMEDKVYRTCVLWLLAASESSQITSSPNPLINRRFSDQSEPPASSSPVECDRIHRYGEQGYSVVLPQISQEGESNIYRSVHSPLKLITTFPNHPEISTLHDNFERSAEIFRDERYLGTRVGVDGSVGEYRWMTYGEAATARSSIGSGLLNHGIPKASCITRLYFINRPEWMIVDHACCAYSYVSVPLYDTLGPDAVNFIINHATVRAIFCVRQTLDTLLSSLSKIPSVRLVVVVGGADGQMLPLPLKPGVEVVSYSELLKQIFLVKFTVWKFSVPLNLNVTT >KZN08638 pep chromosome:ASM162521v1:1:12806856:12807332:-1 gene:DCAR_001168 transcript:KZN08638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLKIPLSSWNTRLSKIAKSTKKHARRSASAILAHDCSITNDQVPGPSYPQQSSNANFVPITIKVEASIFCLFELQTLNFADETTDGSSSSGEQVNVYDMDSSQILQTSDTVLENKETISLAGQQETCVNTFGVLENKEIISILTWKIRKSYALPDV >KZN08885 pep chromosome:ASM162521v1:1:17227466:17229298:-1 gene:DCAR_001541 transcript:KZN08885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTRETPAEHFSENPTLTQPIYDTTTPTVSVTEFEDLKFKVQYLEAENLVQQEELVELKSTMEQRLATLEAKLLASQLSKEDYSTEGERAVEKARGKRVITGVSKELIDSALRGQSSYEHDEYIPEFVDNDRVIRMDIAEVTREYAKQRRAREKLENQRRIRKERREANLHKVGEEWDAARAVFDFPEVDQENNDNEMKDIFKSFRNNYKDLHDYHEVLNDIISSVSVVVLLRRGWMVNISFELKREGHGLKHVTCQFLRDLSLTKLFVVRNKIISTGRKHNEVFRDMVEEWITDIGVEIHDKPSVIKYFKDGMIQSLGLTDEALTSYSPRILRYLEGEIRKKCSRTSKGRLTAELLYAYRLNLAAVRDLDLSVINRQQPYPLPPLDPEIPETPNEPVVSYNPTSITFKKKKDSEATAIPLEEIGKFSSKRITRAVGAVKLYVVKEDKGVLKKLLELLEIRKAVETVHNTSRVRAHPSRVIMKIEGMKLNITFKKLKKMVHIQTLEKMKKNLEQPPPENTLEQVELSIITARIEEIENKITERKKEEAAKKRAELSLINARAKKPKKN >KZN09892 pep chromosome:ASM162521v1:1:30534532:30536719:-1 gene:DCAR_002548 transcript:KZN09892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNSANSIVSYAGEFSSTENKYNGKDSDKLLMHLNDEQMKNAHDSSRSGSFNKLENKNLGSGSGEEGNKNYHPSSHPQNVSSPLSGLSEFSQLGWGHWFTLRDLEVATNRFSKDNVIGEGGYGVVYQGRLPNGSLVAVKKIFNNLGQAEKEFKIEVEAIGHVRHKNLVRLLGYCIEGTQRMLVYEYVNNGNLEQWLHGALRQQGCLTWEARMKVILGTAKAYVAPEYANSGLLNEKSDVYSFGIVLLEAITGRDPVDYSRPADEVNMVDWLKLMVGSRRSEEVVDQSMETKPSRTALKRALLTALRCVDPDSEKRLTMGEVVRMLESEEYPLPREV >KZN09640 pep chromosome:ASM162521v1:1:28045747:28046190:1 gene:DCAR_002296 transcript:KZN09640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSANMSLAVIIFSISFLIFAPSRLAFSSENKLYLTSPTPSPSADHFEHGNRILPELKKFVAKCGENLSGHCGQEIRNDLLEIEDISGYCCKQLVKMGKVCHMGMVRLAATTSVNKEESSAIISNGSRVYDKCAMLINIIASSPH >KZN09395 pep chromosome:ASM162521v1:1:24554558:24562872:-1 gene:DCAR_002051 transcript:KZN09395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLGITSRSPFRKMSLMFCNMLDLVVVMLLFCDFAWSKECTNVFPELSSHTVRYQLQSTNNQTRINEIFPLYYLNPTDSSTWTNGIPRKVLREDDGFDGNIMHKNVIDASGFKVPKGLLKELPLRNVRLGSNSIYGRAQQTNLEYLLMLDVDRLVWSFRKTASVPTPGCPYGGWEAPNVELRGHFVGHYLSASAQMWASTRNETLRKKMSAVVSVLSDCQVIIGTGYLSAFPSDFFERVEALKPVWAPYYTIHKIMAGLLDQYLFANDTRALRMVKWMADYFYKQVKTVILKYTIERHWQSLNEETGGMNDVLYRLYYVTKNSSHLMLAHLFDKPCFLGILALKADDISGFHANTHIPIVIGSQQRYEITGEPLYREIGMFFMDIVNSSHAYATGGTSVSEFWSEPNRQASNLRTETEESCTTYNMLKVARHLFRWTKEMAYADYYERALTNGVLSIQRGMEPGVMIYMLPLGHGQSKAMSYHGWGTLNNSFWCCYGTGIESFSKLGDSIYFEEEGKVPGIYIIQYITSSVEWESGHLSLIQQVKPIVSWDNHLAIALTVSTKKKTNAISSTLNLRIPIWSRSDGAMAALNDKPLSLPSPGNFLSITRRWSSKDVISLMLPISIRTEAIKDDRPEYASLRAVLYGPYLLVGLSSGDRDISPEAADSPSEWMTPIPAEYNSHLISLSKESKNLKLVFAKFKTVILLDNLPKPGTNYSIYATFRLIPKNSKSSKTLVAKDFIGESVMLESFDHPGMVVTHHGDNEILEVKAASYQSSSFFRLVKGLDKKKYSVSLESESRKGCFIHSEHRLGAVVKLKCEAESPHNEFKEAASFRLRDGISKFLKELPLDDVRLDPKSRQGIAQQTNLEYLLLLDVDSLVWSFRKTAASQTLGEAYGGWEGPDCELRGHFVAVEPVWAPYYTIHKIMAGLLDQYLYAKSSRALKMVKWMTQYFYNRVQNVMLKYSIEQHYRSLYEATGGMNDVLYNLYSITNDPKHLLAHLFDKPCFLGLLAVQADDLSGFHANTHIPIVVGSQRRYEVTGDPLYKGLGQRSTINLRIPSWTHSNGMKAALNGQGLSLPNPDDRPEYASDHAILYGPYLLPLPEPELYQNGDITKSNNNSYRMETLPEPGSKYSVHATFRLIPKDSKTSKISKMHGNDARLGISHSSDSNASDVKLSCISESSGSAFEQGASFTLRDEISKYDPISFVAKGKSRNFVLQPLHNIRDENYVVYFNSSS >KZN10480 pep chromosome:ASM162521v1:1:36102018:36102638:-1 gene:DCAR_003136 transcript:KZN10480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTFPRVEQLKNAHSTAAILLLTACIVATFVVISALCGFSRKKQSSSKRKSEEPVGSQINTATEDTNLKRNASKKAELVSSERPRSPPQLKRSRSSSYHFHTSSSESLGRIRSSMSLRLQGGMKSLRQSSVRDDHFKEWKEKTFRHEDSIYKKKIILGEKCRVPDEDDDTVLYDEKGDKFLSYHPKRPATLPSLSRQSSAHEMHN >KZN10444 pep chromosome:ASM162521v1:1:35740120:35741822:1 gene:DCAR_003100 transcript:KZN10444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHAASFYSHCKFLSLILLFGFFSFSSSSSTNTLSLNPYHQQDSTFNVSVGTNEQGNAGRVEQRLARARAAIREAVRTQRYLSNKNESFVPRSSIYRNVYAFHQSHIEMRKRFKVWVYREGDDPIFHNGPMKDIYSIEGQVIDELDTSGKSPYSAHSPDEASVFFLPFSVTKAVLYLYPIPRAHYQRYHLQNVVTDYISIISHKYPYWNRSRGADHFFIGCHDWGPDVSAASPLLYRNVIRVLCNANTSEGFNPTRDATLPEIKIQVESLEIPSLGQSPGNRSIFAFFAGGNHGDVRSLLFESWYNKKDTDIQVHSYLPENLNYYDLMGRAKFCLCPSGWEVASPRVIESIYAGCVPVIISDNYVLPFSDVLDWTRFSIHIPVADIPHIKTILKKVSYNDYLQKQKLLKDVQRHFTIHRPAQPFDLLDMVFHSIWLRRLNLKILGT >KZN08641 pep chromosome:ASM162521v1:1:12832412:12857813:1 gene:DCAR_001171 transcript:KZN08641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILVDNTLDHSRNKESGDMALVETAQEMSADPFARSYQLDALEMAMKQNTIVFLETGSGKTLIAIMLLRSYAHLLRKPSTSLAVFLVPTVVLVSQQAEVVQMHTDLKVGKYWGEMGVDFWNAADWKKQQDDFEVLVMTPQILLNALRHSYIKLETIRILIFDECHHARGRHPYACIMMEFYHRQLESNNLQLPRILGMTASLINTKASSDEEGYWKQICELENIMNSKVFTCSSESVITDYVAMSTPKLKSYRHVDIPYSLFEDIARELKTLKKKFECDIEKANVEESQKENTRQRLSKLCSTFFFCLTELGLWGLEDLRCIVFVQRVITANVLCRLLNVLLPSLSGWKTEYMAGSNTRLQLQSRKAQNKIVEEFRKGTVNIIVATSILEEGLDVQSCNLVVRFDPSATVCSFIQSRGRARMQNSEFLLLVESGDNSSFTRVDSYLASGQVMRQESLRHAAVPCQPLDTEIYNEVFYRVDSTGAIVTLSSSVSLIYFYCSRLPSDGYFKPFPRCNIDKELGKCTLYFPKSCPLPSVTVIGHVKTLKQLACLEACKTLHIMGALSDNLVPDLVEEKDEEMGHLEYVDEHDTYVPSELVGQGLPNGAKTYYCYVLQGLEDLRCIVFVQRVITANVLCRLLNVLLPSLSGWKTEYMAGSNTRLQLQSRKAQNKIVEEFRKGTVNIIVATSILEEGLDVQSCNLVVRFDPSATVCSFIQSRGRARMQNSEFLLLVESGDNSSFTRVDSYLASGQVMRQESLRHAAVPCQPLDTEIYNEVFYRVDSTGAIVTLSSSVSLIYFYCSRLPSDGYFKPFPRCNIDKELGKCTLYFPKSCPLPSVTVIGHVKTLKQLACLEACKTLHIMGALSDNLVPDLVEEKDEEMGHLEYVDEHDTYVPSELVGQGLPNGAKTYYCYVLQLERSFSYDVTMDHIILAASNELNFDEDNITFDLEVDRGSLTVHIKYVGTISLTSKEVLKCQQFQVTIFRVLCNNNYSKLHEILDVFHPWNDLTGLIRNEPFDPKMWIIPGEQMEAFYEVQLSNATKVFTKGVRKIKSKVVADVVEALIGVFLSSGGEIAALSFMNWLGIEVNFFNIPYKRSFSAHPEDLLNISYLESILNYSFSDASLLVEALTHGSFMLPQVPQCYQADHSQENQAVDMPLVQTAEQLPADYLPFARSYQLDALEMAKGQNTIVYLETGSGKTLIAIMLLRSYAHLLRKPSTFLAVFLVPTVVLVSQQAEVVQMHTDLKVGRYWGEMGVDFWNAADWKKQQDEFEVLVMTPQILLNALRHSFLKLETIRILIFDECHHARRRHPYACIMTEFYHHHVKANDLQLPRILGLTASLINNKGSSDRIRCWNDIRELENLMNSKVFTCSSESAIAEYIEMSTPKLKTYRHVAFPCSLFSKISIQLKRLHEKFICVIENANMEDSQKENTRQRLSKLCSTFSFCLTELGLWLALKAADSLSSQGSEMLIWQQLDKSGERIITDFSLDVFKVLSGYIPSDPNWSISDDMEANVGNGYLSTKVICLFDSLLQRRGLIDLRCIVFVERVITAIALCRLLNVVLPSLSGWRTEYLAGSNTRLQLQSRKAQNIIVEEFRKGKVNIIVATSILEEGLDVQSCNLVVRFDPPSTVRSFIQSRGRARMHNSEFLILVKSGDNSSITRVDNYLSSGQVMRQESLRHAALPCQPLDTEIYNEVFYRVDSTGAVVTLSSSVSLIYFYCSRLPSDGYFKPLPRCNIDKELQKCTLYFSKSCPLPSITVHGNFKTLKQLACLEACKKLHMLGALTDNLVPDMVEEEDAEELGHLNYVDEHDIYVPAELVGQGLNSGAKRYYCYLLELERNFTYDIEIDNLMLAARNELKFDEGNNLAFELEVERGIVIVRIKYATPISLTSEEVLKCKKFQVNILSVLHNKNYNKLKERLDVIHPPEDLDVYDYLLLPTTGSQQSLYIDWRSVDSVLFRSENIIHDHARSLLPALVLYLIPTEVHGTLKDEGLIRNEPFDPKMWIIPGDQMEAFHEVQLSTTTKVFTKGIRKIKSKVVADAVEALIGVFLSCDGEPAALSIMTWLGIEVDFFNIPYKRSFSAHPEELINISYLESILNYSFTDASLLVEALTHGSFMLPQVPQCYQGSRHIYVFE >KZN10542 pep chromosome:ASM162521v1:1:36736120:36736528:-1 gene:DCAR_003198 transcript:KZN10542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRISSEIPAIKYSIGNTYEMTMNVIENAPLFGLKDVETACCGSGTQTCTPEASFCSNRDEYLFWDLYHPTQAASLLAAQTLYIGEKKFVSPINFSELAEC >KZN09822 pep chromosome:ASM162521v1:1:29686959:29688776:1 gene:DCAR_002478 transcript:KZN09822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASKKYNALMQSATVISISDSYLNSIELKFRNRHSTNAKDSWARRSLYSLYECIPGVMNPQCKTVGILSSVFIFFFLLAFLIRTLLIFSHHAEKDRNCIVDSSHMGTALSTMIDLTKVSFILYIILMFRVAYVDPKSRVFVYDSKKVALNYLSGYFIVDLFLVVPLAQVIVRWVILRTTSFSYGPIEVVYFLQYMAVLCRLLSMLANQSTNALVFKSWLSKFVINLLAFFLFSHVVGSFWYYFALNRVAYCLRNACGENWCLMYVSCERRYENENFVKDSPSFKNWKNNNNATACFGPSGYKYGIYVEAVSLAQESNLPMRYIYSLFWGFQQISTLAGNQTPAFFVVEVLFTMFITATGLLLFSLLIGNIQSFLHALGRRSLEKSMRGLDVEHWMSHRRLPEKLKRQIRKSERYNWLATRGVNELLLLENLPEDLQRNIRRHLFKFVESIPIFDTMDESILDTIKERLKQKTYIKGRKLMVSGGLFDKLVFIVQGKLESIGEGSSSVVSFSEGDVCGEELVTVCLEHSIMKRNGEDFRIPAQKLLSKRTVRCLTDVEAFTLGAADLEEVFRFYSGLLIRNPHVKGVIRKQCPPHRQGLTRRKSF >KZN07934 pep chromosome:ASM162521v1:1:762671:766456:-1 gene:DCAR_000603 transcript:KZN07934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQPPPINWSNIVKQPPKPPATKPPIVAPASIAAGSCKSTNGIAVAVVDANAIIQGGEKLHKMSDKFVSVAEVIDEVRDPRSRHSLNLLPFDVNTMEPSPDSLKKVINFARATGDLQTLSDVDLKLIALTHMLEAQIHGTKHIRDSPPPMHTVNVKRLPEKDLPGWGSNVPNLEEWEALEHAVGDGNGPESRILPLKDLNMNVIPAEDNTSIDDGSVGNAVESHSENKEGFDGGYTKPRKYFPKKKEVNIEGKMVAAGVDASQGALDNNTDDWLPAVSRSTHRRFLRRKARRETYEESVEKDIQNDTAKTMDSDKQDSASYQDLVTDQSLNESHLENEIEKSNVTDGDLSTILTQMRLEEDSSEVIEDGNDVSNPQGPEEVLEVENISEDGVDDEAHEVEHFNLSNQAGESGNVSIADDNSSEQSWMLRSLSESSVACITSDFAMQNVILQMGLRLVAPGGMQIRELHRWILKCHACYNVTTEIGRIFCPKCGNGGTLRKVAVTVGENGIIVAARRPRVSLRGTKFSLPMPQGGRDAITKNPVLREDQLPQKFLHPKTKKKNKDEDDIFASNHIFLHHTDKKAPLQPPVRKALAVFSGRRNPNDNHYSRAKH >KZN07992 pep chromosome:ASM162521v1:1:1260600:1262573:1 gene:DCAR_000661 transcript:KZN07992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAPQLGAIRLNSLRGRLNYDCASRAAGCVKLAGVVRASMVESSHDNSVNRMERAWLISKQPRPVSCSSCESNGHVECKWCGGTGFFIIGDNMLCQVPSRNTSCVICSGKGSTRCSDCKGTGFRAKWLEEPPVQK >KZN10477 pep chromosome:ASM162521v1:1:36072983:36074528:-1 gene:DCAR_003133 transcript:KZN10477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKELSSKALARKPQRPPPSLKFQKFLLYLLVTCVTIFVLFQIQSLRTPSTTPAALPPTWTSFQYWQNLISKTEITLKSCTKKLDSTASKLKESVTFLPLKDLRYAHAAQEGHTWFMSSMYDTQEKGEAQYQQFPSNSSKGRLLCIKGHDNHDGAWNSYALAWPEALPRNATLMKGLTFISNNHYNYANLWHGLSALVPFVSWHIKNECATPSRWILYHKGELRYKMAGWLRNLMEATYSETVNIQTFEGFGDDEPLCFEKAVVMRHSEGGMSGENLMQVYDLVRCKARILCNVSLEDSSEGKIGMTLLMRTGGRSFQNESEVVRIFDGECRKVEGCRIMVAHSDNLTFCEQVQLMSSTDILITPHGAQLTNLFLMDKNSSVMEFYPKGWLKLAGIGQFVYKWMTRWSGMKHQGAWHDPDGDQCPYSEDDRRCMSIYKDGKIGYNETHFAEWGRNVLNEVKRKKTEEASQGRLTNSHQCICA >KZN09185 pep chromosome:ASM162521v1:1:21483069:21488933:1 gene:DCAR_001841 transcript:KZN09185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGRLHLKCRRAPTSAFPALACTRGFRSNAALEALTKASENKTQNIVLYNYPSFSAAYSALFAYLFHSHLQSPCLVLPFSAVEPLRVEDVCIDGLKTCYFLDFLGPRGFAAELSRRTMCQVIAFDHRKSVVSKITIPEDCSQNLIFHMDTERSSSTIAYEYFSAKLLEMRSNDAEIINLLSWKEQDRVEKVLKYIEDLDLRRGTLEDVKAFSIGLSECRSHLNCIINPHMYKQLNQVSSEQLLKICAKDLISKGNSQIRTRQEEAIKFLDRVFRVRLGRGFYGDCLGVRADGNPSLSDEIGKELSRRSSAAGLRPIGAVIYMQGKNLKMCLRSTDEGTDTSEVAKAYGGGGFPCSSSFIIRMDEYNEWLSVNTT >KZN08242 pep chromosome:ASM162521v1:1:4225980:4226683:1 gene:DCAR_001307 transcript:KZN08242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKNSRLPNLHGPQGVKPIDMAASLGQKEMVKYLHPLSTVVNWSIDEKATLLTTCIASGLYDAAFRMVHNDSTLAAAKDGNGLTALHVLARLSSSFNGVHQEGLWRRIIKSSYLRVKEENEEQSQQVYAHKLLQKLEILNL >KZN09137 pep chromosome:ASM162521v1:1:20671933:20680274:1 gene:DCAR_001793 transcript:KZN09137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAYNVFRNLQAPNVFTWNTMIRGYAESDDARPAVDVFRRMRWVGVESDTHTYPFVLKAIGRLMDGREAERVHGGVVRNGFEELVFVRNALVHVYAACGEVGSAHKVFEGMSERNLVTWNSVINGFALNGRPNEALTLFREMGVEGVEPDGFTMVSLLTACAELGALALGRRAQGYMFKVGLDRNLHAANALLDVYAKCGKIREASRVFYEMEEKSVVSWTSLIVGLAVNGFGFEALELFKELEREGLVPSEITFVGVLYACSHCGMVDEGFAYFNKMIEEFRIMPKIEHYGCMVDLLGRAGLVKQAYEYIKNMPLPPNAVIWRTLLGACANHGHLALGEIAKVQLQKLEPKHCGDYVLLSNLYASERRWSEVQKAYQHLREENIPKFTVIVSQKNHHTKLFQADGVSENVLPDCPFGVVSAGEFIEILAFSLFIIWIASVFIIKDSNSSAVSAIRSLWEKSVLMLEITGLRFGLIGLFCLAFLFLPVSRGSILLRLIDIPFEHAASSSLCGVSRLIFPSTPAEEQAVKGVCRKLDAESSPSDSCTFKRRRSAQFHPSPFERSFSQIGPISSQIGAGMDMS >KZN09743 pep chromosome:ASM162521v1:1:28971555:28972433:1 gene:DCAR_002399 transcript:KZN09743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIVYQGFFEPQPAETTTLRLKLAAPKPIDFENNSDRENRVTEKSNSGGWNFLQSLSNSSVNKSLDSESFYPALRLSQKSLELCTENLGSETGSDDAGDIDILSFNSTEARCAKLTTTTTTTTTRKVQGHVKRSFPPPLTTIRGSNSIQVRPHREDGRLIIEAVEAPCNRTCFEAERSNGRLQLKFLKNCEFFYDQKMSSGEEEYNNIGDDTNDTENFLEDDEFEKNDADDMDDEVKFGGYVKKDMDGNRKDAEVEMGKEKYLRHNRRCNESGHGNNNKGLCYREAFWVAT >KZN09485 pep chromosome:ASM162521v1:1:25832827:25835192:-1 gene:DCAR_002141 transcript:KZN09485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSRNQCRTIMSTKNGKPEVGSEDIDMKFVCPNFASNYIPGVVIWPKDFCLEYVETLPETVFLHLPGGVVWTGTYRKDRFWVEGLEKMMTFYCVKPYNLILFEYIGGPNFNIQIYNEYAVETNYSISLKLDEALGVGRNFFDLSEIVVDKLRGTFAFNGYNSGHGLYDMVLRRSHLRKKDQYKIFKTKALPRLSMVQSMDWVKLTFRNLTWKVKLQWKFGKVYMDRKWYGLARSAKLSEGDTLVFAMTGRQQNYEMCVFEKCLLSRCNKSGLGNKTGVMHWFKMLNEQFISTGQMEIPRAFVKHGGAVLSEDVTLIFGDGESYVAGYCPRRHFLSGFRNLIVHYSLEENDVMVFDYVSDSKFVVHLFKASGMQFNYNNVPGPSTNIENIIHEEDLIIISDSDSSIEISNHHLSDMAEDVHVQANDNAVVNHMQAVVPHQNFRSFQVYLKPSHVDKKCHGAYFPRDWYSVYRNWTKSTTLRLIFENTVYRVHVLRFDNMCRFGKGWTEFTIGSQVAEGDTIELVMTEANTLVVQLLT >KZN12114 pep chromosome:ASM162521v1:1:51108410:51108910:-1 gene:DCAR_004770 transcript:KZN12114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLHFCILLLSALSFSTQLRITHSFESVTIYDLLKAYNLPRGLFPKGVKDFSFDDSGSFQVYLDQPCNSMYENELHYDRNISGVLSLGQIDQLSGISAKDLFLWFQVKEIRVDIPSSGLIYFDVGVVSKQFSLSSFETPKECLAVQFSDSRVIVQQPPAAKVYAH >KZN08880 pep chromosome:ASM162521v1:1:17177917:17179227:1 gene:DCAR_001536 transcript:KZN08880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIDIVSTQFIKPSSATPSHLKKFRLSFLDQCMPAHFYPLIFYYYYDETSNITQSTMRFRLKSSLSDALTQFYPLAGRMEGQSFVDCNDQGVKYLETRVDSRLSDIIEFPQVAVLDQLIPYNLSDACMLKAEEQLAIQVNLFNCGGIVLGTCISHRIADACTLSNFMNSWAAIACGKSNIVFPSFNSAVMFPPRDYSLSSMTDAKNQVVIPPVDRLVTKRFIFTASAISALKANAAETLQPTRVEIVTAFIWKCVMKKGKASAVFHPVNLRCRMVPPLPTHFFGNMFQMASAVISGQEAQDYELSFLVNELRSAFAKINSEHAKELLGKDGYEFAINNFKNIGKLMSQKDMKVLRCTSWCRFPIYEADFGWGKPCWVSSASFPTKDTIILLDSRQTSGIEAWVIMEEQDMAEFEQHHDLQEYVSSYPEKEKTSVSE >KZN10197 pep chromosome:ASM162521v1:1:33452434:33454259:-1 gene:DCAR_002853 transcript:KZN10197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLYSSITHIIFLLALLYSTTSARNSTTPSVSICNSTPYPGLCSSSLQTNNDTANVYDYGRFSVRKSLSAARKFLRLTEKYLQKSHALTTGAIRALEDCHLLAELNIDFLSSSSQVVDKAQTLSAIQADDVQTLLSAILTNTQTCLDGLQETASSWSLKNGISTPLSNYDTKLYSVSLALFKKGWVHKKKKGPSSTSKKQGALEKGHFSVKMSKKNKAIFEKVGRRKLLQDTDSDVDQIPVSEMVVVSQDGTGNFTTISDALAVAPNNSVASDGYFLIYVTAGVYEEYVTITKKMKYLMIMGDGINQTIITGNRSVVDGWTTFNSSTFAVLGQGFVGVNMTIRNTAGAIKHQAVALRNGADSSTFYSCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAAVFQDCNIYPRLPLANQFNAITAQGRADPNQNTGISIQNCNIRASDDLASSDGTTETYLGRPWKEYSRTVYMQSFMGELINSDGWREWAGDFALNTSYYAEFNNRGPGSKTDGRVTWPGVHQIGAADASNFTVSNFLLGDDWLPQTGAPYSGGL >KZN10507 pep chromosome:ASM162521v1:1:36416727:36417735:-1 gene:DCAR_003163 transcript:KZN10507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRSHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPTTHRPMNETNCAQEITTISFGSGAAAVNMKEEQQEEEDHKLMMIKSSYVFQENDEKMVMERCPDLNLDLKISPPHPHQTDALKTGGRNRGLCFACSLGLQNSKDCSCNSNNGTSSSNNGTSGYDFLGLKAGGVLDYRSLEMK >KZN11264 pep chromosome:ASM162521v1:1:43224218:43228869:1 gene:DCAR_003920 transcript:KZN11264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCTTRCKWVVVCALLVAHHIAALVEDGLLINGDFETPPPGGFSNFGVSDGPASIPGWKTNGTVEIVSSGEKQGGMILIVPEGEHAIRLGNDAEISQEVKLEKGSIYSVTFSAARTCAQLESLNVSVPPASQTIDLQTLYSVQGWDTYSWAVQVEEDDARVVFRNPGMEDDPTCGPIIDDIAVKKLFVPEKVKDNAVLNGDFEEGPWMFRNASLGVLLPTNLDEETSSLPGWIVESNRAVRYIDSNHFTVPEGKRAIELLSGKEGIISQMVVTKPNKAYRMSFSLGHANDKCKQPLAVMAFAGDQAENIHYTPNSNATFQTADVNFTAKAERTRVAFYSVYYNTRSDDQTSLCGPVVDDVRVALSGASKLKLGGIVIMLALAFSLFEM >KZN11867 pep chromosome:ASM162521v1:1:48901661:48907699:1 gene:DCAR_004523 transcript:KZN11867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRGWLINGVIIYIASLVSSEVQELYHQENAAVPPSICSGDLSFTKGYRCVDYNVITEDGYILRLWRFHEGRTKESRKERKQPVFMQHGDGRCWFAVSHADQSLAIQLVEQGYDVWIPNTRGTTYSQQHVSSNITYSSEGYWDFTYSEMATYDLRAFLNFVYLETGQKVHYIGHSQGTTMMFAAFSEWKVEERVKSAVMLAPVVFLNHMPFGLTYALAKSYIGVRKPIFAQYDYGNPATNMEHYGVPEPPPYDLRKIPKDFPLFLIYGGQDLLSIREDVHILLNKLRSHRIVRELYIDNYAHFDFLQGITAKDVVFPDIVGFMQGID >KZN09077 pep chromosome:ASM162521v1:1:19999698:19999913:1 gene:DCAR_001733 transcript:KZN09077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELGPTGEFFKRRDAWRKHPMLSNQFRHATPGLGIALVAFGIYVVGEMAYDKIYAPSHAHSAASESHSH >KZN10059 pep chromosome:ASM162521v1:1:32239317:32242245:1 gene:DCAR_002715 transcript:KZN10059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGNCKKSKLSWPKTLVKKWLNIKTKTEDFHADSEDFVYEGGDQEWRNNFSEKEACTIKKSKADRSSKKNSDRFRRGKIDPDAAQVTDVNNYRIFVATWNVAGKSPPSHLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWLALIRNTLNSLPGTNDGCRTPSPTPDPLVELDADFERSTQISSSFFSRRSFQSLSRSMRMMGNDVSMSQPRLDRRFSVCDRDMFGVKSSNYETSVGWNCSSEEESGDSPCSIHYSPMSYSGSVSMDDGDRQPGNSRYCLVSSKQMVGIFLTVWVKSDLRDYVRNMKVSCVGRGLMGYLGNKGSISISMSLHRTSFCFICSHLTSGQKEGDELRRNSDVLEILKKTRFPRVNGLGDENSPRTILEHDRIIWLGDLNYRIALSYRTAKALVEMRNWRALLENDQLRVEQKRGRVFEGWDEGRIYFPPTYKYSNNSDRYAGDDMHPKEKRRTPAWCDRILWYGRGLHQMSYVRGESRFSDHRPVYSIFLAEVESINHSRFKRRMSCSSSRIEVEELLPYSYGYGELNFFRDMEQY >KZN10357 pep chromosome:ASM162521v1:1:34890032:34891158:1 gene:DCAR_003013 transcript:KZN10357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVGPAAKASGSVWDEKGKSEIAQIYIGVYENRFKFIQFLNVENGTTLATSKILGLPCEGGCTFFNTVTLDYPSELITGVSGWYQDHNGSKYLRSISFHTNKTTHGPFNASPVNKGLEQIEFNYQVGSKFHGFFGTYLQNGVESIGIYSKPMEKLTEIVKKT >KZN11002 pep chromosome:ASM162521v1:1:40994362:41000524:-1 gene:DCAR_003658 transcript:KZN11002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDTLGVSVDASPAEIKKAYYAKARVVHPDKNPGDPKAAHNFQVLGEAYQILSDPEKREAYDKNGKSGVQGESMMDPSAVFGMLFGSELFVDYVGQLALAALASAELDVDDGVPEVRMQKVQEKMKALQKEREEKLVSFLKDRLQPFVIGQTDEFVNWATSESRNLSKAAFGEAMLHTIGYIYTRQAARELGKDKRFMKVPFLAEWVRDKGHMIKSQVSAASGAVALIQMQEEMKKLSQGENKEESIIKAFEAKKDAMVQSLWQLNVVDIESTLSRVCQAVLKDTTVSKDVLKLRAKAMKKLGTIFQGAKAAYSRENSLRHEDQSDLNATTSKVPRQLTVERTVSAMKTKAI >KZN09880 pep chromosome:ASM162521v1:1:30291706:30293201:-1 gene:DCAR_002536 transcript:KZN09880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHIKNHAHFLIFLIIISTVSSISGASNTTAECTRPIGGCHDKPYAQKLKIIAIFAILITSMIGICLPIFTRAVPALSPDRNLFAVVKAFASGVILATGYMHVLPDSFDALRSECLPDRPWHKYPFTTLVAMFSAVLTLMVDSFAMSLFKRHYALESGEQKEVSNESVELQSHCHGHGVAAEGSDATLLRCRVVAQANYRAKTNAIMVFFFSATTPFGIILGLVLSNVYSDNSPAALIVEGLLDAASAGLLNYMALVDLLAPDFMGAKLQAHLKLQALCYVAVVLGAGGMSVMAIWA >KZN09498 pep chromosome:ASM162521v1:1:26040159:26053803:1 gene:DCAR_002154 transcript:KZN09498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGKKVIFVLVLVVCELGWAKGNVVFEVKHKFGARGERSLSALRAHDHRRHARMLAAIDLPLGGKGRAGDSSLFYTKIGIGTPSKDYHLQVDTGSDILWVNCAGCNNCPKDTKLGIKLATYDPKGSSTGTPVTCDQEFCTDVLKASYSDCSVGRLCEYSITYGDGSSTAGFFVKDSVHFNRASGDFQSTVLNGSVTFGCGAKQSGELGSSDESVDGIIGFGQNNSSLISQLASAKKVKKVFSHCLDGKNGGGIFAIGEVVQPKYASTPLVPDMPHYNVIMKAVEVGGDVLELPTDIFDAGDNRGTIIDSGTTLAYLPDEVLRPMMKKIMARQPDVKLHTLEEQFTCFPYKGNVDDGFPVVTFHFEDSLSLSVYPHDYLFAVDPKGSSTGTPVTCDQEFCTDVLKASYSDCSVGRLCEYSITYGDGSSTAGFFVKDSVHFNRASGDFQSTVLNGSVTFGCGAKQSGELGSSDESVDGIIGFGQNNSSLISQLASAKKVKKVFSHCLDGKNGGGIFAIGEVVQPKYASTPLVPDMPHYNVIMKAVEVGGDVLELPTDIFDAGDNRGTIIDSGTTLAYLPDEVLRPMMKKIMARQPDVKLHTLEEQFTCFPYKGNVDDGFPVVTFHFEDSLSLSVYPHDYLFAVDDDQCFGWMNSGLQTKDGKELTLLGDVALSNRLVVYDLENQSIGWTDYNCSSSIKVKDEQSGKVFSVGAHNISAASINSFVTSKILTLLLFMAILCNLM >KZN11800 pep chromosome:ASM162521v1:1:48185953:48199354:1 gene:DCAR_004456 transcript:KZN11800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRCVYINDDDDLSHDLYCDNRISNTKYTLLNFLPKNLWEQFSRFMNQYFLLIACLQLWPLITPVNPASTWGPLLFIFAVSASKEAWDDYYRYLSDKKANEKQVWVVKKGVKKHIQAQDIHVGNLVWLRENDEVPCDLVLIGTSEPQGQCHVETSALDGETDLKTRVIPPACAGIELELLHKIKARSHFTLDLRKAQNSVEIATDVKLCPQGVIECPHPDKDIRRFDANMRLFPPFLDNDLSKSKILMVAVTFFVTNAVIPSWHEVLCNETKLGMSRGVPEPKLTAVDAMIDKLTGAIFVFQIVVVIVLGIAGNIWKETEARKQWYVLYPNAGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSTYAKFIDWDHEMVDIKTGTPSLAANTAISEDLGQVEYILTDKTGTLTENQMIFKRCCISGTFYGNENGDALKDAKLLNAVSDGSPDVIRFLTVMSICNTVIPLHSKDGVLSYKAQSQDEEALVRAAAQLHLVFVKKNANILEINFNASLLQYELLDTLEFTSDRKKMSIVWRVSEVCQRLEVDLDILGVAAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFVSPEPKGQLLLINGKTEDEVCRSLERVLLTMRITNSEAKDVAFVIDGWALEIALKYHRKAFTELSILSRTAICCRVTPSQKAQLVGLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFVSGVSGSSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEKTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHASVVFLITIHAYAKEKSELEEVSMVALSGCIWLQAFVVAVETNSFTVLQHLAIWGNLLGFYIINFIISAVPSAGMYTIMFRLCGQPSYWITMFLIVVAGMGPVLALKYFRYTYRSSKINILQQAERMGGPILSLGSVENQQKSLEKDVSALSISQPKSRSSVYEPLLSDSPSATRRSTHLDSPTATRRSFGNTDFRPRNHKDN >KZN11974 pep chromosome:ASM162521v1:1:49812674:49813583:1 gene:DCAR_004630 transcript:KZN11974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASAGFVSASALGMACGPALAGLLQINFRFYKLTINQNTLPGSVGWLVYLVWLWISFREPAFPTEENDTAEANAVSQLLYAFLEAESYLNNRHRSLLQVQQRVKRLKRVQLLIYFMLKYVMEILLSESSITPHTTSVGLPAKLQYFLHALG >KZN12097 pep chromosome:ASM162521v1:1:50975076:50993934:1 gene:DCAR_004753 transcript:KZN12097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIDLFVIEDSTSEMLENFIVGTRNAWGEKVHEDYEVRVLPDVDETKINSFQFVDKEGSEPIHLVFCHKNGYYIAWGKQDKMIIFNDYKKWFKVQEDDDIDNDKLDRKTVKRNDKRRQKSKQPQPVAIITHRSSSGVEFHLSTAQECGLDSGYMCLESEGGDRHTIQVGQRALLHAFYAFRKPFAPVIFVGTVTDIAGEVVELKLEITKFKTSDKVVAKLWDVHVEAPVPIPIKDEVLIQSGGTVTDIAGEVVEVGPEVAKLKAGDKVVAKLSNVVPNYESIWMGNCAGIEPCTITMDMEATDGREQGEFMMQLVSPRTTTLMFVIRDKTRALTETVGLKMDRSGPKAYVPVTAASGVADVAVEVVETGSEVKHLKAGDKFVAMLCIFNLAHLVKLVKEGKVKTVVDSNYPLSKVGDAWAKSIDGHATVRFVYSRFGGMMEWNPSLRITRNRPDMLHRYNPNFYADPPAIAQLLEFSVKRKKDTFRHLIRKIKKGFHNGQMEKIGKCTNELGKMIREDPRLTQYLDVNFLQLLAELLKCEQYSDLQVHAATIFKPVVTFSLTRKDVKDLVVMIEHIIPIVVRLICFGVYLVXISTTHIKIRFVYSRFGGMMEWNPSLRITRNRPDMLHRYNPNFYADPPAIAQLLEFSVKRKKDTFRHLIRKIKKGFHNGQMEKIGKCTNELGKMIREDPRLTQYLDVNFLQLLAELLKCEQYSDLQVHAATIFKPVVTFSLTRKDVKDLVVMIEHIIPIVVRLICFGVYLVRVEALWLLRTLVSVISKYEVEEILSPVASLIIEDSTSFNVLHAASATLLVVCEEHPNISPEKLKLTLPALMKLIESNILMLLTSGSWGLAFLCDGRGEMIVEDKYLECLITRLVDLMNAEERVMILSALHAMGSVVKWGSDDVIEAIIDGVPEGKLIDPLVKIMLNCNLNDVRKEAAWAISNAMCGVGIDQIECLRNSCSNIIWADLLNSFSSDRLFVLVTLEGLLNIRVAEVTWMGNPVDAVTLHSFFESILEGIDHRDSFIVSEFFNESDGWTVSGHSLIPEFLMSNDYALNFKFEDQRCSNMFIDYNGSMEFC >KZN10649 pep chromosome:ASM162521v1:1:37910178:37913633:1 gene:DCAR_003305 transcript:KZN10649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFCLRFSGLIVARKPLLLAAELSATRRRNTLKRVDRELSKGNYRAALSLVKSCGLRGFGAAKQIQSLDDLIIERSYTPSLQTRLDLILDSIKDSIRFTSSGKLSLLELESLMHRKSGDYILNDHLKCMQHEAGHFLVGYLLGVLPKRYKVPTMDDLNQDELAGGRVDFLGLIEEVDPDRKSDRKLDKEKFFDETVCRVLSVIVGGLVAEHSVFGCSAGLHSDVKKMYIILKRWDLKEDEAESQIKRAALNAVLILDRQYDARSRLAEAMALGRSVGDCIDTIEKALTDPVI >KZN10666 pep chromosome:ASM162521v1:1:38058639:38059904:1 gene:DCAR_003322 transcript:KZN10666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSTPTYIYFLLISSLALPLISSPIKTLVLPIAKHSSTSQYLTTLYQRTPLVPINLVINLGGPFLWVSCDNSRYNSSSYRSAQCKSPLCSLTHKFLNIQPQCSNNTCMITAENVITSKISSGSLSIDVLSLRATNGLNPTNLTSIPRFVFWCAPEFLLQGLPSGSTGILGLGHSQLSLPTQLANQYNFTEKFAICLSPSTNSNGVIFIGEEPYVFFPGIDISKILIYTPLAITSKNAREFSDPHEYWINVRYIRINGRHVPLNSSYTKIKTKFSTINPYTILETSVYNSVTNYFIREAIAANNTLVDEVAPFRVCFSTAEETGSLTRPGMPFVDLMLQSEIVFWRIFEPNLMVPVNNNTACLGFLDGGINQKYGIIVGGYQLEDNFVEFDMVKSRLGFTSSLLLRETSCDSFNFTNNSG >KZN09167 pep chromosome:ASM162521v1:1:21185616:21197915:1 gene:DCAR_001823 transcript:KZN09167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLELLLIQFLMPDNDARRQAEDQIKRLSKDPQVVPALVHHLRTAKTPNVRQLSAVLLRKKITGHWAKLTPQLRQLVKQSLIDSITLEHSPPVRRASANVVSIIAKYAVPTGEWPDLLPFLFQCSQSVQEDHREVALILFSSLTETIGNSFRPHFADLQSLLLKSLQDETSTRVRVAALKAVGSFLEFTHDEAEVIKFREFIPSILNVSRQCLASGDEDVAVIAFEIFDELIESPAPLLGESVRSIVQFSLEVCSSANLESSTRHQAVQIISWLAKYKFNSLKKHKLIIPILQVMCPLLAESTNRDEDDDLAPDRAAAEVIDTMALNLPKHVFPPVFEFASLSSKNVNPKFREASVTSLGIISEGCSDLMKSKLEPVLLIVLGALGDPEQMVRGAASFAMGQFAEYLQPEICDHYENVIPCLLSALEDTSDEVKEKSYYALAAFCENMGEEILPFLEPLMGKLLAALQSSPRNLQETCMSAIGSVASAAEKAFIPYAEKVLELMKHFMVLSNDEDLRSRARATELVGIVAMSVGRTGMEPILPPFIEAAINGYGLEFSELREYTHGFLSNVAEILDDGFTQYLPHVVPLAFSSCNLDDGSAVDVDDSDEDDNIHGFGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFALHTKSAYAPYLEESLKILIRHSTYFHEDVRLQAITSLKHILTAAQEVYQVNYLEGAAKAKEVLDSVMNIYIKTMTEDDDKEVVAQACMSVADIMKELPYITVEPYMPRIVDATLLLLREDAVCQQVESDSEIDDDDTAHDEVLMDAVSDLLPAFAKAMGSNFAPIFSTLFDPLMRFAKASRPSQDRTMVVACLAEVAQDMGAPISGYVDALMPLVLKELASSEATNRRNAAFCAGELCKNGGDSALKYYADVLRCLYPLFGESEPDDAVRDNAAGAVARMIMVRPDVVPLNQVLPVFLKVLPLKEDHEESMVVYSCVCNLVLTSNSQMLSLVPELVNLFAQVAVSPIETPEVKAQIGRGFSHLLSLYGNQMQALLENLSPTHANALAAIVPKS >KZN09097 pep chromosome:ASM162521v1:1:20232643:20234035:1 gene:DCAR_001753 transcript:KZN09097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFCDVQQSSSGYKSAGQSQILREFSSLKINDQRHYCAPGTRLNDKPKTQLWKVSNAAHMKEKCNIRGVLEATAHISRGPRGVGQMRKIVSDLPAVDKWKWLSVQRDDYNLQDFQTKYDNAKFYIIKAVSEDDIHKSIKYNVWSSTPYGNSKVNAAFLETQDETSEVDTACPIFLFYSVTESGQFVGVAEMIGKVDFAKDLNFWQTDDWNGFFPVMWHIIKDIPNTRLRHITIERNNKKPVTHTRDMQEIGLQQGLEMLKIFKDYTATTSILDDFSFYEKREQLLMAKRNKPASY >KZN09991 pep chromosome:ASM162521v1:1:31466103:31467015:1 gene:DCAR_002647 transcript:KZN09991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDENAVTIYTTTAITDANKNSSLSMKCVVVVESVESGISRMPDPGLLKEVKRAISIPVMAKARVGHFVEGQILEAVEADYIDESEVIGIADEDHFINKHNFRVPFVCGCKDLGEALRRVREGAAMIRTQGDGMKLGDVVETVGSVRRVMGDIRILSNMDDDEVFTFSKRIGAPYDIVMQTKQMGRLPVVHFACGGIVMPADAALMMQLGCDGVFVGLEVFGCGDPYKKVRAIVQAVRNHSDPRVLAEVSSGFNEGTASFNVNENSVEQFDASRTY >KZN11781 pep chromosome:ASM162521v1:1:48038367:48039483:-1 gene:DCAR_004437 transcript:KZN11781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEIPENVKLLRLRVLRLLGVTFSSYESLGKLLLNCPVLEDLAIEECKGLTGNSLSICGSVLKRLTYFGSCVEEFVLRILIDTPRLEKFEILSFTDGDNDILFKENLPFLKIAEIDIYNYGIPRGVDCMFGLLKKINNVKFLTLSDRTMGVLRPDPPGYVNEFIIGHYEFPAFHNLTELVLNIDDYCHETLLDNFLQNSPNLESLKFPQGLVSRLFADYSHRSWGWSQIRVPECLSAHLKTVHIKKFNGINEELAFVKFLLGYGSSLRNVSIEISNLPKDAEARQELLNLQSESTTCKLNLIDEKGTCSQSI >KZN08802 pep chromosome:ASM162521v1:1:15663106:15663582:-1 gene:DCAR_001458 transcript:KZN08802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYFTSFQSILVQPSILMLLFASLLVAQARLHPISSVVKVVQVQFNGFLACTPTATHPTRRGVSGAAGVLVSASCKGTLGTAVTNTSGYYAGLISFEEPKFYDPSRGVPCFVTVRLPAIGSSCQVLPTTGTLRAPVYLVSLTGGQIAIVNAKPLQYVA >KZN11451 pep chromosome:ASM162521v1:1:44777218:44777691:1 gene:DCAR_004107 transcript:KZN11451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILADKCYNTLEGLGDNFASFRSDISKLIAQKQELESAAKKEIEIIGDIEARYNHQAQSLIEAEQRLSSAEDKLSATMTRADSLKAKKEEVTGAILKLTEQLNEAEERIKALKAETEQRKEAHSVAEAELRKLYQYIADKKEFERMADRLLQLVRK >KZN09309 pep chromosome:ASM162521v1:1:22819481:22820719:1 gene:DCAR_001965 transcript:KZN09309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPATSASPNKQQFLSSVLSQRGPSSLPYSEDCKWLIRQHLVSLADSYPSLQPKTATFTHNDGRTVNLLQAEGTVPMIYQNVTYNIPIVIWLMESYPRHSPIVFVNPTRDMIIKRPHPFVNPSGMVSIPYLQNWIYPSSNLLDLCRNLSHYFGRDPPLYSQRRPVQNVSPSPSVSPSMSPSVSFDSSSGGAAARPAIPPGTYPPSPYSSGGRMQFPVPTQPAPPQRISEDAGEVFRRNAINKLVENLHGDIGAMRKSKEAEMEGLFGVQGALRQRAEHISKGVREMEGEKEGLEMQLQMVSMNTDVLEGWLRENEGKKKDGVGIDDAFVPVDNFSKQMLECTSADLAIEDVVYALDKAVQDGSIPFDQYLRTIRSLSREQFFHRATALKVRGVQNQAHVSSMAARASPYLA >KZN09750 pep chromosome:ASM162521v1:1:29053037:29054359:-1 gene:DCAR_002406 transcript:KZN09750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPCSSKLLFLLCLVLFQSSSLAKTTSPPKQFFLPIHKDASTLQYVTQIKQRTPLVPIRLVLDLGGRALWTVCEHGYISSTIRTAGCNTTQCRLAEASSNCLTCSWGPFGPGCTNAPTCWRFPLNSVTRGTAPSELASDIVAINAVGPEGRAGKIVTVPQFVFICASTANVGGLAKGVAGMAGLGRTRISLPAQLASTLNLKRSFALCLSSSTSSKNDGVVIFGEEPYEYDLQGNISSSLKYTPLILNKQNTEDEVLRTESSAEYFIEVKSIKINNKTVELNSTLLQLNDRGFGGTKISTVHPYTLLETSIYKAVIKAFVNELKNVTRVAPVAPFGACFSSKNIGITRVGPAVPTIDLVMKNGVVWRVFGANSMVKVNKDVLCLGFVDGGEPTFIASILIGGHQVEENLLSFDLEKLRLGFSSSLLSKRTTCSKYRPKP >KZN11434 pep chromosome:ASM162521v1:1:44615977:44620944:-1 gene:DCAR_004090 transcript:KZN11434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQYLYYFPVFATTNRNTTQKKPPPSPPRLPIIGNLHQIGPYPHVSLRALAKKYGPLMLLKFGSVPVLVVSSADAAREILRTYDLVFSDRPISNVSNKIFYYGRNVGFSRYSEYWRQVKSICVTQLLSTKRVHSFHNAREEEVALFLQKIRGSHSKTVNLSEMISQLTNNILFRVVLGGKSDSPHKWNYSYRIVVKKILDMLAYSHSMGDFFPYLVWFDWLSGLNGKVEKAAYEVDAFLESVVRDHHAATDDGCANKDFVSILLDIGSPIDKECIKAVILDMLIAGTETTATTLEWTMAALIKNPDVMSKLQKEVRKIGKGKSQILEDDLVKMNYLKAVMKESMRLYMPAPLVVPREARQDVNVLGYDIEAGTQVLINAWALSRDPSLWDNPEEFRPERFLNSPVDYKGLHYEYLPFGSGRRGCPGIQFAVAINELAVANIVHNFNLELPDGKRVEHLDMTALSGLVLHLKSPLLVVATPHV >KZN09923 pep chromosome:ASM162521v1:1:30785220:30786182:-1 gene:DCAR_002579 transcript:KZN09923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKYSSNPPEDLLASLPRQEGILQPYCYQYQGFWHGPVGLKELIHCQNHFLARKNDIFLVTAPKSGTTWLKAVIYALINREACHPQDPHHPLLTQTPHQLVPFLQYVEASCYDSVCNSLDDSGTRIFGSHIPTVSLPESIWMEEGAKIVYMSRDIKDTFVSLFHFANKIDSRPSPISLEKAFDLFCRGSSPSGAVWDQILGYWKESLDKPDKVLFMRYEEMQNEPLVQLRRLALFLGKPFSQEEENSGVPHQIINLCSFNTLSNLEANKKGNILRVLRNDSFFRSGVVGDWKNHLTLEMASKLDQITEEKFSGSGLSMTT >KZN08352 pep chromosome:ASM162521v1:1:5526503:5526922:1 gene:DCAR_000898 transcript:KZN08352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLILASLVATLLILLSTHAEAKHGKLYPADRCSVSKHTKATLTVNSFEKGGGSGPCACDGQFHDDDTRIVALSPCWYNGGSRCMNRIIINANGQSVDAIVVDECAGCNAIVDASKAVWNALGLDTNVGVYDITWTDA >KZN08071 pep chromosome:ASM162521v1:1:2017764:2019921:1 gene:DCAR_000740 transcript:KZN08071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLQERAWKESNDHHVNNNNNNNNNLRRVFVPGPVIVGAGPSGLAVAACLKQRGIPSVIIERTNCVASLWQLKSYDRLRLHLPKQFCELPLMPFPQSFPTYPTKQQFITYLEAYATKFDINPLFDESVESATFDSGIGFWRVRSVGKGKSGEEGGGHEFVCRWLVVATGENAEEVVPEIRGMKEFKGQIVHTSCYKSGGAFQGKKVLVVGCGNSGMEVCFDLCLHNASPSLVVRDAVVPGIKRLTRQTVEFVNGETEDFDAIILATGYKSNVPSWLKAEVKNLTPLSRS >KZN09092 pep chromosome:ASM162521v1:1:20134814:20140969:-1 gene:DCAR_001748 transcript:KZN09092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYIETENVRYVYQPIESLFLLVVTNKQSNILEDLETLRLLSKLVPEYAYSLDEEGIGKTAFELIFAFDEVISLGHKENVTVTQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIEKNRGEKGGFMSLQSMGSGSFGSDLNISSSGSGFGSGAGFGLTTDVETFSSKSKVGRPPSSATAPPKGLGMKLGKSQRANQFLESLKAEGEVILEDVRPSAGPAKAAVVPLTDPVTLIVEEKLNVTLKRDGGVSNFDVQGTLSLQILNQDDGLIQVQIEAAGNQGVVFKTHPNINKELFNNENVLGLKDPQRPFPTGQPGDGVSLLKWRMQTVDEAVVPLTINCWPSVSGNETYVSIEYEATSTFDLQNVVVSVPLPALREAPNVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSAFFPISVRFTAANTFSDLKVLNIIPLKGGAPPKFSQRTQLSTENYQVV >KZN08123 pep chromosome:ASM162521v1:1:2919423:2922340:1 gene:DCAR_000792 transcript:KZN08123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGTRFTCLTPERNRLYQGVCVSKVSTLNGHVLLYPGSSNKRRSSTLGGLGLNGSITGNSLVGKICSSAGSCTYPDSSIESHSHIAEEKIGVLLLNLGGPDTLQDVQPFLFNLFADPDIIRLPRLFQFLQRPLAQLISIVRAPKSQEGYAAIGGGSPLRKITDEQAKALKKELEAKEVPVDVYVAMRYWHPYTEEAIQQARFIAATLSSVFYIYNWVKHPCPGTYVQREGYVKSMADLIGKELNSFFQPEEVMIFFSAHGVPVTYVEDAGDPYRDQMEECIYLIMQELKSRGVNNNYTLAYQSRVGPVQWLKPYTDEVLVKLGQNGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFITDLADAVIEALPAATAISTASTSMDDELDPLEYATKLFFGSILAFFLLLSPKMISVIRGQIF >KZN08338 pep chromosome:ASM162521v1:1:5419224:5422604:1 gene:DCAR_000884 transcript:KZN08338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSKLSADSSSSKFDNLTEISSSDVVVVDEGGGDVGVHKNKSIVDPFLSGSLSKNLSIHESCFSCGYEGEISADRSSGKYENLTENRSRDVVVETEIRSPDVVVEDEEGDYVPGQDYAFTNVRCEPVVDFLHARVFTDTPQVYGYIRIIDLQNSKTFPAYDRHRFESPQRLYPSLPLGNFLQLSGPDYIPTLNEPMVAVGINTVETGDVIAHGQQHLSKSTEFTSTTDDAFEKLYTLTFQDEALAGDRVELQCVAFTFGVYACVQVVLCHDDYPESDDHLSDENDDESDENDDEQSRIELFGLIYAKCKPMLLSEHDYKNYMFNVPKEERVRVGFGRQIVLSKDLVVVPAYSDLEISLELKGFEDDDFVVKGSVCFEPSNFHAGWKQVRGRNGCYVDVQVTWQKPSLLNRPWCKEDTISSAFYMGDGPITLASHLLEVFSLFIARPNGKEVNMYGSVDIYCTDGWCNIFCREKDDAYFMSRGCNLLPLKGPERAPRPGMMFSISVDLRDVDGHVKIKGIIESSVGLDERQKPWFDRRLYSVVKMKGKKEKSFVAVHYTMFSYAVQAVVEVCLVWKRRSSAHVNIYGDIIASYDKEVLYSTPYDKKFFKRVLFTSKKANPLEVLFTGKEAHSLEREEKFVLTKNLVAVPMTSSLLAEVNLSFQTAESTCHVAKIVKFRFEDSMMIIPSDDVDICIAVAWKGFPYR >KZN09975 pep chromosome:ASM162521v1:1:31268474:31269395:-1 gene:DCAR_002631 transcript:KZN09975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEENLPRDAKIVKTLLKSMGVVDYEPRVIHQFLELWYRYVVDILTDSQVYAEHAGKSAIDSDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPKSISGPGIALPPDEDTLISPNYQLAIPIKQASQAVEETEDEEMADPNPKPTQEPKTQVPLNASQQVSFSLGAKRPRGSI >KZN09449 pep chromosome:ASM162521v1:1:25367044:25367268:-1 gene:DCAR_002105 transcript:KZN09449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTRRVQERNTYSHVRGSKVAKSYLFRSVTYPNPSLRTLGLVGPGYTDVKVLQGIDETESRTVVPDLGILVNF >KZN11202 pep chromosome:ASM162521v1:1:42542234:42545344:1 gene:DCAR_003858 transcript:KZN11202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQHKLAFFYWSGLTLLSFASGCIDAERRALLDIKSLLINPSNRLNSWHGDECCRWKGIRCSNLGNVVSLDLRNPNPEEFLVNLNSERIPASSNANQTALQGTISGSLFMLNQLHYLDLSFNDFKSSKLPSGLSNLTRLTYLNLSNAMFQDSITTQFANLYSLTQLDLSCSIEISDSSTISVSLTSSSRISSGSVYSYSPKGNLYSSNLNWLLGLTNLQELRLTGIDLSEASQSTGWAEPISSLFNLSTLYLSNCRLSGRIPIDQLLGLTHLHSLIMDSNNISYQIPDQLANMTSLSELDLRNCNLLGSIPYLPQLKGLYLGYNYGLSIDLSSMFSVPWSRLERLDIQFAQVGGSIPDSFANTTTLVSFVANNCSIQGSLPLSITNLSRLEILQLNDNNIRGHLPTTLSDMKSLWLLSLSQNFLSGSIPETICDMHSLSYLNLYYNQLTGGLPECIGSLPNLSFLFLARNYLTGSVFISSFRSSELRYIGLGFNALSVKIDDYSSELNFNFQVLELASCNMGGGIPNFIGNLTELLYLDLVNNSLSGPIPSWLFKLPLLSTLDLSENNLQGSIPSRIQLHSSIFPRLLNLANNKLQGAIPSQLENVEVINLSGNSFSGLVPTQIGELSSIRYISFAGNKLYGQIPPSFGKEVNALEVLDLSNNSLSGRIPPSLGNCSSLVFLSLGGNNLDGNIPTELEGAKHLKYLDLSGNDFTGTFPNVIRKFLSLEGLKLEKNRFEGQIPKFIGELYNLRLLVLGSNMFSGPIPQEILNLEKLQYIGLSNNSLSGPIPEKLENLKTLTVRPTDGTILGFLISAAFVGVELDIVTKGSSYQLDLVYSYHSGIDLSLNALTGSIPNEIGLLQGLSMLNLSHNYLSGEIPRSIGKMSGLESLDLSFNTLSGEVPQTLANLDFLSVLNLSYNNLSGKVPVAPHLDTLDRNGFNGNQFLCGAQDVKISCNNNDYPTIETNNEDSDAEENLLLFGIIAMGYGCGLSVFFLILFNMTGKWKEMYCRFTDTVVLRIIEYLQGDRKRVSASW >KZN12145 pep chromosome:ASM162521v1:1:51422662:51423335:1 gene:DCAR_004801 transcript:KZN12145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILQMIRCICKANIVEVLNGNGWYYICCPNCARTVRPVEGKYSCTQCPRADIQYTQRVVVRGEDDSGTTTFTLFNKEAEQIIGVPIQTLLSEEGEKQPMKDLPTAIKNLIGRQCAFQIKVTTYNMTHGCEEYTVTRVTDCSSPSTTPNNPMEEENRKKKQKCD >KZN11392 pep chromosome:ASM162521v1:1:44241058:44241588:1 gene:DCAR_004048 transcript:KZN11392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTTYFSILIFLTLFSSLHVHARESKFFSKFTNDNNAKQLLPPKEQEQPLNKPEQEPNFIPQNQQNSGGYGLYGHESGQLPPTTTTTENLPYTTETENSYNKESIPSSNNGQYYNNDAQVNTESYSNNDQYYNNNAQVNTESYSNNGQYYNNDAYVTKPQGMSDTRFMDEAYKP >KZN12135 pep chromosome:ASM162521v1:1:51308899:51311637:1 gene:DCAR_004791 transcript:KZN12135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNNSNTNTYEEARKQRLLENKKRIEELGILKISKSLSDITKSGKPQRRQPKPRATSISILEPRRSSRARNIVSSYRDDIGVELPLMRKRSKFNSSWTSYLARPVDEVKSSTLEERNAAMKSAEKIQCSQQSKFPSFIKSMVRSHVYSCFWLGLPTWFCEDHLPKSDGNTEIVLEDESGAEYEAVYISKRTGLSGGWRAFALDHKLDDGDALVFELTEPTRFKVYIVRACDDPGKEEMLEVTEEEKISKEVPKEIMAKTNRKTESKKGSNSVLVSGRKWKCDESSLENDNTLEIREELKSLKEIKAEDKKKPIRVSSRKRSSRQ >KZN09509 pep chromosome:ASM162521v1:1:26430238:26432628:1 gene:DCAR_002165 transcript:KZN09509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKTSFFAPILFYLCIFINLNFSFGADSISTYQSLSGDETIVSSGGNFELGFFKPGNFSKYYIGIWFKKVSARTVIWVANRERPITDKYSSELQVVDGNLVLFDERHTEIWSTNTKLKSSRAVAILLDEGNLVLRNGSSNTTVWQSRDYPSDTWLPGGYLGYDKRANRTQILTSWKNSEDPSPGLYTFELDPVGNQYLLKWNRSRQIWTSGAWNGQAFTNVPEMASGAIFTFTYISNTNGSYLTYFLENTSSIITQWRFIVDYSGQIKEMGWLATQQKWSLFWSKPNTQCQVHAFCGAYGVCNDLTSPFCNCLPGYESRIEKSWTLGDYSGGCKRVMELGDIFQICSHMNWPDNPQAFSAVSAARCKSTCLSNISCTAYSFYDNTCFTWHGDLFNIQQLSPNDNNGRVICIRIHSSESSKNNKGIIYGVVGGSIAVLSVFAGLLLIAFGRHKSGRATKIERAAEGTMVAFGYKDLQTATKNFSEMLGKGGFGSVYKGTLPDSTVIAVKKLEGVSQGEKQFRNEISTIGNIQHINLVHLRGFCSQGNKKLLVYEYASNGSLDSHLFNPKKDESLLPWTTRYEIALGTARGLVYLHEKCRDCIIHCDIKPENILLDSYMCPKIADFGLAKLVGHNFSRVLTTMRGTRGYLAPEWISGAAITAKADVYSYGMMLLELVSGRRNSEQTRGGKVNFFPAIAANVIMNEGDILTILDRNLNLVADIEEVSNICRLACWCIQEDENVRPTMSKIVQVLEGVLDVAMPPDPRGLQVFIDNEDDVVFFVDKPSSSNLHIQSNP >KZN11682 pep chromosome:ASM162521v1:1:46969491:46970132:-1 gene:DCAR_004338 transcript:KZN11682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLILRLRGVLPNGMFLRPNVCRADGYNSCGLVREYGQAVRKVEEEQDEEVEYDQRRLPTDYDPATFDPTEHRSPPTERVWRLVDEMAGLTLMEAAEFGSIMMKKMGMKEPPVVGMMKPGAGLGAMGAMKGPAAAKEEAIPEKTAFELKLESFDAPAKIKVIKEVRSFTDLGLKEAKELVEKIPAVFKKGVSKEEAEQIIEKMKAIGAKVVME >KZN10212 pep chromosome:ASM162521v1:1:33604070:33607935:-1 gene:DCAR_002868 transcript:KZN10212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARTEVRRSRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLQAQQFTATAAQLAQKLESIPAMVAGVWSDDGNLRLEATTQFRKLLSIERNPPIQEVIQSGVVPRFIDFLGLDEFPQLQAVWALGNVAGDSPKCRDLVLSQGALIPLLAQFNENAKLSMLRNATWTLSNFCRGKPQPHFEQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIDAGVCPRLVELLLHPSASVLIPALRTVGNIVTGDDMQTQVGEAEKNLGTTGDVNLYAQLIDEAEGLEKIENLQSHDNTEIYEKAVKVLETYWLEEDDEQLPVGDTPQSGLDFAGNAPAVPSGGFSFS >KZN10229 pep chromosome:ASM162521v1:1:33729180:33729515:-1 gene:DCAR_002885 transcript:KZN10229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPQPINLVSLSSTTRSQRIRRRRQIKTGKRPRRIAMKRGSRRRFGGLEGSRRPRNEVGRRVEVLKKLIPSSSDSMGLDGLFRETADYIVALEMRVKVMQIMVKALSTV >KZN09432 pep chromosome:ASM162521v1:1:25125393:25137423:-1 gene:DCAR_002088 transcript:KZN09432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPKSLSSLSLHNCSDPKSYLQTPLASNDDLVILGIETSCDDTAAAVVRSNGDILSQVVSSQADLLAKYGGVAPKMAEEAHSQVIDQVVQEALDKAKLTESDLSAVAVTIGPGLSLCLRVGVQKARKLAGSHSLPLVNVHHMEAHALVVRLTEREVQFPFMALLISGGHNILILARELGQYLQLGTTIDDAIGEAYDKTAKWLGLDLRRSGGLAIEELAREGDAGSIKFKVPMKQHKDCNFSYAGLKTQVRLAIESKNIDAEIPISSASTEDQSSRADVAASFQRVAVLHLEEKCERAIEWALEMEPFMKHLVVSGGVASNQYVRDRLDQVAKKKGLQLVCPPPSLCTDNGVMVAWTGIEHFRVGRFDPPPPANELEDARLTISASKSVSYTKHCASVVPEATPTTYDTNVTFSELDTLNSFVPEGRRIFRENSSVTSLNFRSVGRIYETDLKASRRPRRFERLIHGFWSESSGKGCLVGSAPWYSSKESLSHLNDDSYFNPISVYSVPEVTWTSSSSSSGDAHLGDCSFRLSLYYPSVWSIKTRDKVVGRIWTNKTAQDVGYFDPINFRNSVGFIKVPGFKYEYTEIEKVYKLCPKKALTRGERYPSGEFYDMRFDMSLQDSEYIGGASAKPIFIGNKSYLDYSVFRTNSRQGGNGENVKSEVEFENVVSDNVQLNVSYKLGISLMSGVKSGSGRHSILHTSFRPHGYIIISAEGVYDSGTGSLCMTGCRNLAFRNFQDCDIVLHFHFPGSTRAKGGFMKGSIQSTRNQSDPLFFEQLNMTSSASTSSEERQSLWRIDLEITMVLISNMNACILVSVATMVAFIMQFRLLQLAWTARHAGQSTDPDISVAEIKTLLILLPIYIVGGMDAYLLKWEKYNYSKSSRALNYSRVQYQQYTLWGYLRSYATLILDGFLFPQVILNLFQMSRQSALSMPFILGTTLVHSVPHANHLYVNNYVYANPSADFYSAAWDTIIPLASFLLVAVIYLQQRYGGLVILPTKFRDLELYAIVPEA >KZN11663 pep chromosome:ASM162521v1:1:46792682:46796016:-1 gene:DCAR_004319 transcript:KZN11663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGNKNINAKLGRDIFLLAGPGGWGFLGRGTGRLSGISMIKVLLGDVGTGKSSLVLRFVKGQFIEFQESTIGAAFFSQTVAVNEATVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDLTNQASFDRAKKWVQELLSQGNSDMVMALAGNKADLLDAKVVATEATQRYAQENGLFFMETSAKAATNVNDIFYEIAKRLPRLQPAPKPSGMVLTDKPAGPAASTCCS >KZN10271 pep chromosome:ASM162521v1:1:34053448:34054470:1 gene:DCAR_002927 transcript:KZN10271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNVCDKSIKIYFAELICRWTFTLVLLYFAIGIIVSSRGCSMYSKKPSTQVADGDTLSKKDHHEKANNFTTNVKAIETKSLSGQEAGALEILMHSIYHACAGAVVLTDLVFWFILVPLMSGKDFKLTVVGSAVTFFFLNIGSSDLV >KZN09283 pep chromosome:ASM162521v1:1:22552748:22554309:-1 gene:DCAR_001939 transcript:KZN09283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQHYLCTWKSTSAPQISMSQPSQDNKDTLDKTSYRLDKPPSEQFIPLASRFQRRLVTGIGSASLVAVGANFAGVTSFLLGNFPDNSRSLKLDVLYPIGGYSRCIEKEEGFEFIYPSNWVGDQTLLYRAAGKAESQRLLDPPPLSRRRRNINEPVVAFGPPGSTGELNVSVIVSPVAPDFSIEAFGEANEVGEAVVRTITKAARQPDVKGSLIQASLREDKISKNLKYYELEYKVESSTFQRHNIAVCCAYKGKLFTLNAQAPESAWPKLKSDFYKISNSFTLIDT >KZN09676 pep chromosome:ASM162521v1:1:28369741:28371664:-1 gene:DCAR_002332 transcript:KZN09676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITDSTNELPLQDETDAFLDRLRELEAFDNSRGGVKGLVDAGVVTIPKIFIRPQDELCQDKLNACLLGDVQVPIVDLGGIEFCVEKRREVVNEIRVASMTWGFFQVVNHGIPVEVMDEMIGGVRMFHEQDLELKREFYSHDHMKTVRYDSSIDLFRSRFAKWRDTLNISLLVPGDFKPDHLPPICRDITMKYMNEATNLGHALFDLLSEALGLDRNQLRSLDCANGRKFVGHYYPACPEPELTLGANKHTDPSFLTILLQDSIGGLQFLHENQWIDVLPLEGSLVVNIGDLLQIVSNDIFRSAEHRVLANHAGPRISVASFFTGIVVPKQVYGPIKELIPAGNGAIYKEFVVSEYIKRFYSRSGDLSGVDSLKLS >KZN11687 pep chromosome:ASM162521v1:1:47000169:47003673:-1 gene:DCAR_004343 transcript:KZN11687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRLLYSQLVSLLVGCVVLHLGDSSRVLVASGNETSSAELCPSGWTLSLSKRKCFHYIENSTSWDESENQCQGNHGHLAAVDSLQELKFVQKLCGEVVNGCWIGGKSNISATGVDWRWSDHTSWNATIIPQTHIRSNCTDLSCQNEEKISLCTLTSETNGTILSTGRCNSSHAYICMIYIENKCRHMHCHREYLISLAVVSGVILFTTLSVVVWLLVYKRSKRRKKSRKLSNPAALALVPPSWKIFTREELKSVTKNFSEGNRLLGDAKTGGTYSGLLPDGSRVAVKRLKRSSLQRKKEFYTEIGRVARLHHPNLVSIKGCCYDHGDRYIVYEFIVHGPLDRWLHHIPRGGRSLDWVMRMKVATTLAQGIAFLHDKVKPQVVHRDIRACNVLLDEDYGAHLMGVGLSKFVPWEVMHERTVMAGACTINATKNVTCCSPAAAVGLTFAVISTLLGYKNVIHTSKKSTASTRVCIVVVQFCYNINLDLTLLN >KZN11373 pep chromosome:ASM162521v1:1:44082985:44085420:1 gene:DCAR_004029 transcript:KZN11373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPNDTTGKSWDRNVMQLNYEVLLVSQFTLYGIMKGNKPDFHVAMSPDRARPFYNSVVERFGKAYRTDAVKDGIFGAMMKVNLVNDGPVTMHLDSSQSPKNGNNEAAGASQESS >KZN10999 pep chromosome:ASM162521v1:1:40971460:40979860:-1 gene:DCAR_003655 transcript:KZN10999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAQRLQNALGIPTAISPRSDFYSTGRKRMRINEDRGYSYPSKANSFVEYREADYLQDLESGKYGSVTEDIKKLFERGMDFLKPKYAMNPDLRLLRSHDKSSINEATNQPAMFVNLDDDCDPRGMRQAPVLIIDSDDDEPVEQSSSRQYQGVLLPMPASGPLILDPVKIEYPQSQTWVDNGSMAIEPEVRNDKGEYVGVEDESEDEQYDESCDGLANIWTEMTFALESSKEAPVSPSFNNGEDDEDDEDDCEHSFILKDDIGYVCRICGVIQKKIETIIEYQYAKNTKNTRTYWYEGRNGKDGEISEDFPVGLPEEDFTATELFAHPRHSKKMRPHQIEGFNFLVRNLVTENPGGCILAHAPGSGKTFMIISFIQSFMAKFPSARPLIILPKGIMQIWKKEFLLWQVDVIPLLDFYSVKADSRFEQLKILKQWRGERSILFLGYQQFSSIVRDDDTSKTTTECQEILLSLPTLLILDEGHTPRNEETAMLAALEKVKTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLRMETSKKIKKRILSRVSSSKRGNMFKKGDNEFYDLVEQCLVKDDNLKRRALIIQELREMTCKVLHYYKGDFLDELPGLFDFTVFLNLSSRQKRELVTVKELKGKFKISSGGSALYVHPELKSIPRAPEDKDGVDQSKVDKVLENLDVREGVKAKFYLNMLRLCESSGEKLLVFSQYLPPLKFLERLTVKAKGWSPGKEIFVITGQTNSEVREREILVDLFNSSPDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQTRKVYTYRLVAADSPEEEDHNTSFRKESISKLWFEWNESCGPQDLQLENADVQDCGDEFLQTPWLNQDVVSLYRR >KZN07910 pep chromosome:ASM162521v1:1:623192:623980:-1 gene:DCAR_000579 transcript:KZN07910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFPLQMPYDEDYGPENYSDMFLSKVVVYSSVLNINSALGLYPRHACPSPYVMAIYGDYYKLGFARLGDKVWTDVCVPAFNFSDIVYHKGNVYAVNCHGNIFVCGCDGDEEGRHIRGREIAWLESKDWEKKYLVEPTSGSGLLLLVRYHKRLRFKYRTTHFSVWRLDLNYSDSFKDISCSLKQENDLGNESIFVGNASSTAVSSSEIIKPNCIYFTDDNKEPYYHKGGGHDMGIFSMEHHTIEPHFQGKSYHPVSPPLWYI >KZN11135 pep chromosome:ASM162521v1:1:42014954:42017053:1 gene:DCAR_003791 transcript:KZN11135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKEAKYKITIIECMASLSHLHVPHILTTRLSTVQKKQVYAAASSVESNSRRALLFSSVSTALSLLICSESTALARPTTISDFFELPDSGGVKALDLRVAQGELPVDGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGEPIPFTFVLGSDNVISGIQAAVRSMKVGSIRRVVIPPSQGYQNMLQEPLPPNYFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELVSLRHR >KZN09158 pep chromosome:ASM162521v1:1:21031184:21053114:-1 gene:DCAR_001814 transcript:KZN09158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDKKKIFSVRIVSIDYYMSPPITDMDISYSSFQGGRVKEVPVIRIYGSTPAAQKTCLHIHQALPYLYVPCSELSLRPELEGEACMHSLCLAIEKALKLKGNAGSKRQHVHGCSVVQARRLYGYKSSEEFFVKIFLYYPQDVSRAANLLVGGAILDKVLQPHESHIPFLLQFLIDHNLYGMGHLHVSKMKFRTPVPDGSQSEAKGNRHHRQKTDSSDCFPVDSLVESSGNACFGLPLWTSLTIPDGWLWQQSSQLDPSIGPNPYIISRQSTCQLEGDASVHEILNQQNLSYSSLSQTGSDVKFVQSLIPIWEEFEKAGMHGVASLSIPGKPLPEDTLRILSDGGEFVDKYMELFSNTSTQIKDHITIFSDDANVDESNRVICESSPYSKAKNSAGLDLCAEKLLADKVLSTVGPSNVKSADYEAEALLKWLASSQAAEDINSDDELARETILSPLLPASTMVKMLERANIDYESQSQQECQDILDCVEDSIDIVASKRKESRPIDDCQLPLKENITRAEGSNEERILISCSAKSSEIETNSEFEKSLQSYTPVDFGSGFTTIQKRKRPLQRSGSFSMDQKLEGDIHPEISNENCFGVNNEIKRRNVATGCEENDLECSEETSVNIKSSMRDLMRRKRCYQVGLPECDTSHERVSIQEELKKDASLCIEDNSEDLKHRERNFSQQTAIVVRRTCEAQPKSGAAPVEPDNFRYGILPLLSHSDHSGPLTITADGSCFGDINDPKVTAPTARSGNRYLGSMAIHEHTKIASQLVDSENQNSAAELGSFENKTGEDLKDVSKIVTPLSFSRSDPCCRKLNFDDDILLETEKVLPTAAVCSSQPCTLQPQNIVFDNHGHFSGSHSTNPLQREMEVSAENPSGLDLRITHINTRCSSSGQHERNEDQNTRRIAEDFNYHQKGVMGIPAHFYNDNSSIYILTPAISPPSADSVDRWLSQDDTDSHSSLADARRDLGPHEDAIIAHVKQPIEESHTAGLRPLHSDSTKMPNNDVTTLIIEQNTCYAEDTSQISAPDGKSKLTPTSQIGFQDPASAGGSQQLTIFSIEVQAQSKGDSRPDPRLDAINFIVLVIFEDDGSLTETHVLLHSDTAPAPRNLDGISGCKMLVFSEEKELFNHFIKFICSYDPDILMGWDIQGGSLGFLAERAAQIGIGLLNKISRTVSQTKELGQDSDVRVKSVITDALLESTVADSAVLDDAIIEDEWGRTHASGIHVSGRIILNCWRLVRSEVKLNMYTAEAVAEEVLRQKLPFIPCKELTKWFSSGPGRARYRCIEYIINKAKLNIEIMTRLDMINRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAIAPGSQQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKVTPAKSNTLGVSSYSPDPNVLMDLKDEILLTPNGVMYVPSKVRKGVLPRLLEEILSTRIMVKQAMKKLAPSERVRERIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNAHEKWKAKVLYGDTDSMFVLLRGRSVKEALEIGQDIASTVTAMNPNPVTLKLEKVYHPCFLLTKKRYVGYSYESPYQVKPIFDAKGIETVRRDTCGAVSKTMEQSLRLFFEHQNLFKVKHYLLRQWKRILSGRVSLQDFVFAKEVRLGTYSSRASSLPPAAIVATKAMKNDPRAEPRYAERIPYVVVHGEPGARLVDMVIDPLELLAINSPFKLNGLYYIKKQMIPALQRVFTLVGADLNLWFQEMPRPEQKAFGKHQLNALNPNRTRIDSYYLSKHCILCGELVQASTYICGKCSKNEAAVATAMVGRTSKLEREIQHLADICRHCGGGDWIIESGVKCTSLACSVFFERRKVQKELQSLSVIAADADFYPRCIVEWF >KZN12079 pep chromosome:ASM162521v1:1:50823356:50828358:-1 gene:DCAR_004735 transcript:KZN12079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKSVLTTAYNVDHWRASDQCRFLSPDSISIRRRTPISRWRSPTFSGNYANGVNAQVLGVKKEMEREREGEKTSLAEKLRLGSLTEDGLSYKEKFIVRCYEVGINKTATVETIANLLQEVGGNHAQSVGFSTDGFATTPTMRKMHLIWVTARMHIEIYRYPAWSDVVEIETWCQSEGRIGTRRDWIIKDFATDEVIGRATSKWVMMNQDTRRLQKVSDDVRDEYLVFCPKTPRLSFPEENNKSMKKISKLEDPAQHSRLGLSPRRADLDMNQHVNNVAYIGWVLESIPKEVLYTHELETITLDYRRECQHDDVVDSLTSPEPDEDTAVTKVIGTNGHAAAVTEAKDDSLKFLHFLRVSGQGLEINRGRTEWRKKSEKR >KZN09499 pep chromosome:ASM162521v1:1:26057413:26057766:1 gene:DCAR_002155 transcript:KZN09499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRFSSHQLNNGLNVSGRPEQPTKKPRIDYVVSCRSLHRWGRRELGKIFGILIVRFGSSMGWRPGQHDPTRQSGKVMYGPSVTSLTEEGKFGFEVLKVAMWVFSVMVLMGLVVGYS >KZN09694 pep chromosome:ASM162521v1:1:28529906:28533727:1 gene:DCAR_002350 transcript:KZN09694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVLDIIICSVPLWAATLIGLMIDWDWSPSWTTLVYVAIRRKFKLFWNFPSLTALIPRRLWIAFTALSVVSLATRFWSSSQLQELMDWVDALAGDWDESGETTHAAMRITTGASSRENVAVDENDLEHLLHIINGKGGQMNWQHLMDRSTSNMAYQAWFHDPETGPVIYKSKTLLEDATPEILRDFFWDDEFRPKWDPMLAYFKMVEECPNTGTTIVHWIKKFPFFCSDREYVIGRRIWEAGKTFYCVTKTVQYPALLRRNKPRRVDTYFSSWVIKPVQSHKSDEKTACEVTLVHYEDMGIPKDVAKLGVRHGMWGTVKKMNGGFRAYQLARKSEAPISRSALVARNTTEINPEENADLLSELICGDNETSGALNVQGREQNAIDWKLLVIAGTVALAYGLHRGLISKTFLVGAGKRIARM >KZN11242 pep chromosome:ASM162521v1:1:42959173:42959529:1 gene:DCAR_003898 transcript:KZN11242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSQLETSSTKPEELDMPKADRSSHVDGVKKLLFRRMLVGVNDGRFFMGNFYCLDKQGNIILQDAVEYRSMRRNSPTPLEQRCLGLILIPSSCRTSCHVDSSIEEQLALLSLQGQK >KZN08505 pep chromosome:ASM162521v1:1:9163163:9171625:-1 gene:DCAR_032171 transcript:KZN08505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDTTLSPRVNSLKPSKTVAITDQATALVQAGVPVIRLAAGEPDFDTPAIIVEAGVNAIREGYTRYTPNAGTLELRSAICHKLKEENGLSYSPDQILVSNGAKQSITQAVLAVCSPGDEVIIPAPFWVSYPEMARLADATPVILPTTISENFLLDPKVLESKLTEKSRLLILCSPSNPTGSVYPRKLLEQIAAVVTKHPRLLVLSDEIYEHIIYAPATHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYIAGPKHFVSACNKIQSQFTSGASSISQKAAVAALGMGYAGGDVVSTMVKAFRERRDFLVKSFGELDGVKISEPQGAFYLFIDFSCYYGSKADGFGLIQDSESLCRYLLDEGQVALVPGDAFGDDTCIRISYAASLSTLQAAVENIKKALTALKPAVLA >KZN10238 pep chromosome:ASM162521v1:1:33779928:33780122:1 gene:DCAR_002894 transcript:KZN10238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGPRIVHEKLRGPNLVKEIAVAATMGLVIASFWKYKHWEMKNTRRQFYDMLDRGEVSVVIDE >KZN08060 pep chromosome:ASM162521v1:1:1867800:1922428:1 gene:DCAR_000729 transcript:KZN08060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVAYLLQKYLGNYVLGLSKEALKISVWQGLMSSIFQVPWSRIGQDPVIVSLDRIFLLAEPATRVEGSTEDAVQEVKKTRIHNKSWLGSFINTIIGNLKLSISNIHIRYEDLESNPEHPFSAGVTLKKLSAVTVDEKGNETFISEGALDHIRKSIELEELAVYLDSDIIPWHINRPWKELLPIEWSQVFKYGTKDGEAADELVREHNYILQPVSGNANYSKQRPNASAKVDQPLQQALVNLDDVTLCLSKKGYRDLLKLADNFAAFNQRLKYAHYRPFVSVKSDPRSWWRYACKVISEQMKKASGKMSWEQVLRYASLRKKYISLYAALLKSDNTRAVVDDNSDIEEMDRELDIELIIQWRMLAHKFVEQSMESDLYIKKQRAKKSWWPFGGDNQSAEDENGPGHLTVEDWEQLNNMIGYKEGDDTLLPGTHDKGDVLHTLLEVHMKHNASKLTDAQECLAELSSDNLGCLIKLYSETKIFDLKLGSYRLSSPNGLLAESATSYDSLLGVFCYKPFDVDLDWSMVAKASPCYVTYLKDSVDQIVNFFESSAAVTQTVALETAAAVQMTIDEVKRTAQEQVNKAMKDQSRFFLNLDIAAPKITVPTDFCPDNINSTKLLLDLGHLFIRTRDDNEPFSSDDNNMYLRFDLVLSDVSAFLVDGDYHWSRPLLNSSAGSVKILPVVDKCRLIVNLQQIRLENPSYPSTRIAVRLPSLGFHFSPARYHRMMQVVKIFQAKDSDITDTQRPWDQADFEGWLYILSWKGVGSREAVWKRRYLSLVGPFLYILENPGSRSYKDYLSLRGKQLYQVPTETVGNIEHVLAVCDSEKSNNKVVEDANALILRCESDELRKIWQSRLQGAAYRASGSAPITGMLETSSDSDDSIKSGDKRNSMDISKIEMVFITGVLDELKIRFDYNNQHEKAFRKVLLAEESRLFEFRAIGGQVELSLRGGDMFIGTVLKALELEDLVCNSGVSQDQPLYLARSFIRSTDEPSIFNETEAQTCDKIERTLSEGDDNFYEASETLNDPVDSPRVSFGNVSEYLSSQKSLSEYLNSQKSLSSEKSILKPPSFCRIDGLLPDVMLQTRYDNIDVTDDLDSFVKAQIVIIDQNSSLYDHVDKQVTVTLATLSFFCRRPTIVAIMEFVDAITVEDDTCESLSNSSSASTARREISTEDEVHTQQLAMNDEPTVKGLLGKGKSRVMFFLALNMTHAQILLMKEDGTKLATLSQDNLLTDIKVFPSSFSIKASLGNLKISDDSLHSSHMYFWACDMRNPGGSSFVELEFSSFNSDDEDYKGYDYSLLGQLSEVRIVYLNRFIQEVVSYFMGLVPSTTDDIVEVKDQVTDSEKWFTKSELEGSPAVKLDVSLRKPIILMPRRTDSLDYLQLDIVHITVRNTFQWSMHNKQDISAVHMDILTVMVEDINLNVGTGTDLSESILQEVKGVSIVIQRSLRDLLKQIPSVEVAIKIDKLKAVLSNKEYQIITECALENMSETPNVIPPLEKFIVPSINNVTEHVVSQGLDDGGSDSQSAGIWIATKVSVVVGVVELSLHYGLATDASLATLQVSGLWLLYKSNTLGDGFLSSTLKSFTVIDDREGIEQELRLAVRKSRAIGYTMSEHLTEDDSSIVENNVLDDNNSELVPTMLLLDAKFSQFSTSVSLCMQRPQLLVALDFLLAVVEFFVPAVRGMVSSEEDESSSRFVDAIVVDTPTYTQPTIEFSLSPKVPLVVDDERFSHFIYDGKGGTLYLQDRRGLNVTSPSAETMIFVGSGKKLQFKNVYIKDGRYLDSCISMGSGSSYSVSEDDKVYLVGLDDDQALNSSRKSNDSVHQSNTVGRSTEFTIDLQAIGPELTFYNSSKDVSKSSNLSNKLLHAQLDAFCRLVMKGDAVEMNASALGFTMESNGIKILEPFDTFLKFSNASGKTNIHATVSDIFMNFSFSILRLFIAVEDDILAFLRKTSNKMTIMCSEFDKIGTIKNPSSDQTYAFWRPHAPPGFAVLGDYLMPLDKPPAKGVLAVNTSFVRVKRPESFKLVWPLSSGEIMDSAGSVMHDQTTKSVLCDGDENFSIWFPVAPKGYVALGCVVSPGRAQPPLTSAFCISASLVSPCSLRDCITISTSHQNPSILVAFWRVGNSVGSFLPADPTTLSFSGQAYELRLELLRVRDMSLNSSERSYTQQSSNGHERNVSSERSLTLNSGRRFESVASFQLIWWNQGSSSRKKLSLWRPLVPHGMVYFGDIAVKGHEHPNTSIVLHGSEDEELFKIPVDYQFVGQIKKTRGTEIISFWLPQPPPGYVSLGCVACKGTPKQSDFVSLRCMRSDMVTGGQFLEESVWDTSDYKFTREPFSIWGVCNELGTFIARSGFKKPPRRFAVKLADPNLPSGSDDTIVDAHIGTFSAALFDDYGGLMVPLFNISLSSIGFSLHGRPDYLKSTVSFSFAARSFNDKYEMWEPLVEPVDGVVRYQYDLSSPGVPSQLRITSTRDLNLNVTVSNANMVFQAYASWTNLSNVHESYKMREAVSSTFGETPIIDIHHKRNFCVIPQNKLGQDVFVRATEIRGLTNIIKMPSGEMKPLKVPVLKNMLEAHLRGIHCMKLRTMVTIMISEAVLTRAAGPSSRQYTVAVRLTLNQSLVSGSPLNQQSARTCGTSSVASVSSELVSVKWNEIFFFKIESSDNYNVELIVTDMGKGDPVGYFSASLNLIAETGDTSDYVDYTKALKWIEFTSLKSREMTQRNVLKKSTGRIKCAVFLSRGSDAESNEQALDRSKKSGFIQISPTREGPWTTVRLNYAAPAACWRLGNDVVASEVSVKNGNRYVNIRSLVSVCNSTDFSFDLCLKRRENDIGPQDDAVEDVQGNSNEIVTDDFLETEKYNPETGWVSSVTEPKDDLADGGVSPQGNFGINLPSGWEWIDDWHLDTASVITGEGWVYAPNIESLKWPNSYDPLKFVNYARQRRWIRNRRSVSAVTKHHIFVGPVGPGQTLPLPLSALTQSGSYILCLKPSHTKNQNRYSWSSVQNKPGESEDSDGCKQREVCVSSLTESEKLLYCSEVSGSSSHTSRGLWFALTIHASEIAKDIHSDSIQDWSLVINSPLSVSNFLPIAAEYSVLEMQASGHFVDCSRGVFAPGETVKVFNADIRNPLYLSLLPQKGWLPVHEAVLISHPTKAVARAISLRSSVSGRIVHIILEQNHNNDNPVLEKIIRVYSSNWFAIARCPPLTLKIHDMSRKSTTRLSLPFQSKKSNEVILQEITEEEIYEGYTIDSTLNFKMLGLSAAISQSGLERFGPVADLSPLGDMDGSMELRAYDADGNCMLLFVSSKPCPYQSVATKVIFVRPYMTFTNRVGRDLHIKLSSEDEPKVLRAWDARVSYVYRESGEGGETSKLQVRMENTEWSFPIQILKEDTISLVLKKRDGLRISLRTEIRGYEEGSRFIVVFRLGSPNGPIRIENRTSNTTLKFRQSGFGDDAWILLGPLSTTSFAWEDPYGEKLLDTEICSGGSTRVWKVDLDKPEICPSGDGQSQVSFNVVEIDGAKVARFVEDRTSGGIQRQFDMQTEMRETSAPLELIVELGVVGVSVVDHRPKELSFLCLERVFMSYSTGYDGGTTSRFKLILGYLQLDNQLPLTPMPVLLAPEQASDINHPVFKMTVTIRNENLDGIEVYPYVYIRVTDKCWRLNIHEPVIWAFVDFYNNLQLDRISQSSSVTQVDPEIRINLIDVSEVRLKLAMETAPAQRPHGVLGVWSPILSAIGNAFKIQVHLRKVMHRDRFMRKSSVIPAIGNRIWRDLIHNPLHLIFSVDVLGMTSSTLASLSKGFAELSTDGQFMQLRSKQVWSRRITGVGDGIMQGTEALAQGVAFGVSGVVKKPMESARDNGVVGLAHGLGRAFLGFVVQPVSGALDFFSLTVDGIGASCSRCLEILSNKTTLQRIRNPRLIRADNVLTEYCEREAVGQMVLYLAEASRHFGCTELFKEPSKFAWSDYYEDHFVVPYRRIVLITNKRIMLLQCLAPDKMDKKPCKILWDVSWEELMALELAKAGYSRPSYLILHLKNFRKSESFVHVIKCNIEEAAEDREPQAVSICSVVRKMWKVYQSDMKNLTLKVPSSQRHVCFAWSETDWRDSRNQNRAIIRSRDLSSSDSISAERKFVKHSINFSKVWSSEQEPRRRCTLSKKQVVGDSAVCSIWRPICPEGYVSIGDIAHVGSHPPNAAAIYFNSNKLFAHPVGYDLVWRNCSDDYITAVSIWLPRAPEGYVSLGCIVVPSYDEPEPSDMYCVAESCAEETVFEEQKVWSAPDSYPWACHIYQVRSDALHFVALRQPREESDWKTYRVLDQQSPTD >KZN09673 pep chromosome:ASM162521v1:1:28329018:28329626:1 gene:DCAR_002329 transcript:KZN09673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGKQQATPGSEESTQSKINPLTPRPRPLSPPPGKHPEIIEVLDMETIKLQDRSPSQEHTIHIRTSNWIPREQQSVSSLMGISHSKDDSLTNEDISEPADQANEYYSQDLLDDLDKLRVKSKRGRPRKFNSNQFNKHFKLPKRKRNSKKSKGEGLQQTTHFFLNDTHDEADAIFETGLLMGLLPASNKKESLALIRSNLAS >KZN09055 pep chromosome:ASM162521v1:1:19603534:19607698:-1 gene:DCAR_001711 transcript:KZN09055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTSEMQASCLAGHDVFLSFRNLETDKIFADHLSKALNINGLRTLFYDSELRAAEDNPLVQAIEMSKIIVVVLSENYASSRWCLDELVEILRSKQQVVPIFYHVHPADVRKQTGEFGTAFRKMKSKRNNRKKAQSWKAALNEVADLRGYVLQDLQSGLELELKRIPEIVKRVKLGISNCVLLHTATTDNPDGRESGTQNLKEVYNKPPYPINTLWTASQADETEAQLNFLGEDGTLFDIKDLHGLLEKASQLKLLQSKFVAVRNLKVMHISNSEIPEVPENLSFPDIEKIILQPNLDLSYIPPSFFKRMPALRVLDMSNASINTLPPSVCQLIRLEKLCLRCCANMRELPHEIGALENLQVLDLSGCTDLAAIPESIHLLQRLSSLVLADCKSLTELPESLCMLKSLQNLNLSGCTNLVEIPESIVYLQRLSSLAIADCISLTRLPESLCVLTSLQNLSIPGCTNLAAILESIEFLNRLTSLIITDCRSLKTLPQSIYMLQFLQNLHLAGCTNLDGIPESIEFLEGLSSLTLTDCKSLKELPQGLWMLRSLRHLNLSGCTKLSEISECIEFLEGLTSLILTDCKNLKELPRGLFMLRSLQILNLSGCTKLSEISECIEFLEGLTSLILTDCKNLKELPRGLFMLRSLQILNLSGCTKLSEISECIEFLEGLTSLILTDCKNLKELPRGLFMLRSLQILNLSGWTKLSEISECIEFLEGLTSLILTDCTSLKELPHGLFMLRSLQILNLSGCTKLSEISECIEFLEGLTSLILTDCTSLKELPHGLFMLRSLEILNLSGCTKLVEIPESIEFLERLSSLVLTNCRSLRRLPHGISMLRSLKNLNLSGCTNLAYIPEY >KZN08199 pep chromosome:ASM162521v1:1:3846116:3847251:-1 gene:DCAR_001264 transcript:KZN08199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHHCCSKQQVKRGLWSPEEDEKLIKHITAKGHSCWSLQRCGKSCRLRWLNYLRPDLRKGPFTEHEEWTIIQAHGILGNKWAQIAKHLPGRTDNEIKNFWNSCIKKKLIAHGLDPKAHNLLSVHPNHSKGNNIDNACMATTDFSVDTSKTSAYEENTTRTALECRIHHSLWSNSQSCESLLGFTTEPSLKYLPVSSEPSTLQQELRLHSQPEDFDGEFTDNFDELMSVVNQGMNDQSFEGINFDMQFIETALNCAVWNNV >KZN09310 pep chromosome:ASM162521v1:1:22825275:22830423:-1 gene:DCAR_001966 transcript:KZN09310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRRIGRSSGASRSCFFISRGGFSTTTATSPDGTTLLPTKTSTPSSSTKSINLFTAINQALHIALESDPRSYVFGEDVGFGGVFRCSTGLADRFGKKRVFNTPLCEQGIVGFGIGLAAMIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPREAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEDDYMLPLSQAEVIREGSDITLVGWGAQLAVMEQACADAEKDGISCELIDLRTLIPWDKETVEASVKKTGRLLISHEAPVTGGFAAEISASLVERCFLRLEAPIARVCGLDTPFPLVFEPFYMPTKNKILDAIKATVNY >KZN08040 pep chromosome:ASM162521v1:1:1657045:1659597:1 gene:DCAR_000709 transcript:KZN08040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAKLCQLLHTLVSALILLKVDGLFVNITYLQSAVAKGAVCLDGSPPAYHMDKGFGTGINNWLVHIEGGGWCNNVTTCLARKNTRLGSSKAMPKLLAFSGILNNRASFNPDFYNWNRIKVRYCDGASFTGDLDAVNLATNLHFRGHRIFLAVIEELLAKGMKNAKNAILSGCSAGGLTSILLCDTFRALVPMSAKIKNILVPGVADPHGTWLKCKLNINNCSPAQLKLMQEYRLQFLHTVPPVGSSTSRGMFLNSCYSHCQTELQETWLMNGSPMLFNKTIAKAVGDWYSDRSPFQKIDCPYPCDKSCHNSIFDPTEHPDI >KZN09587 pep chromosome:ASM162521v1:1:27414056:27431836:1 gene:DCAR_002243 transcript:KZN09587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKPQFLLKELGSKLHNLPTSKDTLIKLLKEAAATLSEIDQSPPKSVLTSMQPFLDAIVKPELIKHQDREVKLLVATCICEITRITAPEAPYNDDILKEIFHLIVSTFSGLSDKSGPSFGRRVVILETLARYRSCVVMLDLECDDLVKEMFSTFLAVASDGHPESVLSSMQTIMVVLLEESEEIQEDLLLVLLSILGRNKNDATKAGRRLAMNVIEQCSGKLEPGIKQFLISSMSGDSRSLDSQIDYHEVMYDIYRCAPQVLSGVVPYLTGELLTDNIDTRLKAVQLVGDLFALPESAIFETFLPIFSEFLKRLTDRVVEVRMSVLEYVKRCLLSNPSRAEAPQIISALFDRLLDYDENVRKQVVAVLCDVACCSLTSVPVETVKLVAERLRDKSILVKKYAMERLAEIYNNYCLKCSDGSYTSVDDYDWIPGKILRSDAVEPILCSSLFPSEFSVKDKVRHWVKVFTKFDKVEIKALEKILEQKQRVQQEMIKYLSLRQVHQDNDGAEFRKKVLVCFRTMSRCFIDPGKAEENFLILDQLKDANVWKILSTLLDPNTTCLQACRCRDDVLRILGEKHRLYEFLSALSMKCSNLLFNKEYVKEILQEADMQKSTGTAEFILSCMNILVILACSIPSVLSGIEEDVVHLLEDENEVIKEGVLHVLAKAGGTIRDQLRISSSSLDLILERICLEGSRRQAKYAVHALAAVTKDAGLMSLSVLYKRLLDMLKEKKNLPAVLQSLGCIAEIAMPVFETRENEIETFIKKEILEQSHMGDDKTKESWDERSELCSLKIYAWKTLVKSYLPIRDANLRLGIEDLMAMLKNMLHFGEISKDIVSSSVVKAHMKLAGAKSILRLSRHWDHMIPVDLFYLTLKTSEVDFPQVKRLFLRKIHQYIKDRLLDPKYACAFIFDLEPQQPDFEEDKHNLYDIVQMCQQAKARQSVQSDAASSAAYPEFILPYIVHAIAHHSSCPNVDECKDVKSFEPIYRRLYVFLSMLVNGEEDDKSEDVIKEKENISTIVSILETIKLSEDSVDTTKSKVNEGRTWLADDVVVSHFESLSLEANATVHAGIAADEDIKDSDTDGNEVPLGKMIKRLRAKSMKARKMMKDERSPPKSKTKNDIDILKMVREMDLDNAGKLDKYEPSSHEYARHRSDDRKRKKIKRKTSESENAPILKRQRSSSAQAHNVPSVSRAATKRVASTLADSLSQERKPTSESMEMDDRLKNDSEEKSSQENTNEAAESDFLAPSLRKKTGSLSKSKGRGSANDRNGVHKAEENSDHDLEKPEKHRDADGIYTGTDSKSGSVKKRKRKSVVGLAKCTSKEARHSTADLIDCKIKIWWPMDKQFYEGVVKSYDQNKNKHVIKYDDGDVEVLCLDKERWELVEKGRKTTKKVKVSKNRSAKGLSSEKKKKSSGNSKESKQPAKISLSSKVRGKRTPRKNLRHGQKRVSETISAEFGEDSCHSPGGLDFEPVTTLKAEETDTEEEQIDRMEEKLGTEEDPVTHGFTISSEHQTDAGSDSSDAEKPKEDDDFRRKIKHAAGTSHTLSGSEEHGSDAEGTQEAVVSGKGFRVVTSEMQNSDQEIDVDDAHSSPVGADEFKPSSTNDSEAEISDDEPLGAWKRRVVKSAAGKSQ >KZN08034 pep chromosome:ASM162521v1:1:1597356:1597505:-1 gene:DCAR_000703 transcript:KZN08034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIALKCTQSPVSLRPTMSEVVVMLVNYASPEQQKINKDSVKYIEDDV >KZN08213 pep chromosome:ASM162521v1:1:3971303:3980145:-1 gene:DCAR_001278 transcript:KZN08213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSDIPFVGKLVDRISDAAVDTIFRGFRYMFCYKDLVKTLDSQVEKANTEEERVSTKVAAERANGKIMEDRVFKWQKEAEEIKESAQEFAERYKNRHSWRFIQCLPIPNPVSRFRLGREAVKKTERLTELINSGKELLADEIAHPAPNENLPKSTTEFQDFQSRKDAYAELWQALTTDSSLILGIYGMPGVGKTRMMEQLWKEAKEKKIFNKVTRGNVGNEILDVIQLQEQIAGHLGCTFDSKDNAESRASQLKQCLLNAGKTLVILDDVWKEIPLDVIGIPCGDGKSPMGSKILLTSREKDACLHNNCKTPVKIKPLSNDEAWDQFSAVVGTARFNSLQEESLAKNVCKKCKGLPLLIHAVGKALKFKSLSSWEDALEQLEKGSFEKISGIDREVYFCVKLSIDQLPDDAKSCLFLCCLFNEDADIPISKLIRLATGSQLVSGESRVLSMVDTLRSSSLLLDCKKDDRIKLHDLIRAVGRSIAFKDPKFAFSQVKCDVQLLDVADVETTKYLRLDLDGNNIHIPDDLVFPNLHSLWILCKNDIDFSAFALEGRFFSMSSNLRFLYVEGGLSYPPKLPFTTLQSLGKLRTLVLDCCDLSQVTHINNKNVGFFPENLQTLCILYGIFLDPLDLSKLKYLQKLEITGEGLRIMPNTISSLSRLEELHIPHGFEIWRDDSSAVAKPILAEINNLTHLKSLQIQFKISEPLQNSNIFHKLKLFNISVRQRWLGFENADLSYKTSVELEDYHEESLNSLIKKAEYVSLKRTDINVISNIFDSNREAFTELRKLYIEECNKMKYLARMSQGEIQHSQQTSFSNLTCLEIKKCSGLRYLFCNSVAKCLTQLQKLIIRNCPAMEAIVMNDGSSKGDIIHFPNLEELELSKVPRLSSFCSENKDAMMQPSAQFQPLFHNMSIIESRLNEALVGRIRLSGSDMVAECSLISGVGTDASNIDVCGLPALRHLYLNELPCLAETGLKSGNLYPNLKKLEINDCHSLTNVVPRDVMHLEEIIVRKCKKMKRIVGEAKQGEINDALVFPELTCLRLELLPNLTSFCGEEIDTCKVEFPNVVKLGIRSCKEIKLELIEFSSQLKSLDISCDEEMQLPSTWQPRLHNLETLFLSGCWSHELKSLQFPVLKVLKVRRYSGGAALFTFSGFRSLQQLRQLEISDCAFLEEITEDDKISGINKKTITLLMITVELPNLVKLEISSCEEIKLELIEFSSQLKSLKISCDEEIQLPSAWQPRLHNLETLILNGCWWHELKSLQFQRLKVLRVLRYSGGSALFTFSGFRSLQHLQEIEISDCAFLEEIAEDDKMSGMNKKTITLSHLERVVLKDLPKLKSIIHGANYGCHVPSLKKVEVEDCGLSNLFSFSELTSLAFLNISSCAHLEEIVEDIRSDEVSGMNKKTITLSQLERVVLKDLPKLKSFIYSANHECLLLPNLLYVSVINCGLSSLFMCSAGFGSLRSLRELKVRDCRMLEGIFEYARGDETSGMSEDIISLSKLDTLELINLPDLKSFIHGANYDCYMPALWNIKVDNCGFSTLFTCSVFRNLLKLQYLTVLNCILLEVVVEDARGDDTNDKTITLPRLELIKLENLPNLKSFSRDESYVFNMPLVKYFSLVGCPRAKNFTCLNTSTGNGYVRTDGDKREEVPDLNDYIKRLFIEPI >KZN10616 pep chromosome:ASM162521v1:1:37605914:37611328:1 gene:DCAR_003272 transcript:KZN10616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEMHGGIGKIASNQHMKKELTQIRKAARVLRDPGTTSTWRLPLSSGRSLAVVANEALPSFRYNHCYDSYRSNGSGNELVYDSNKVNLGDENDGRCSGKVKDKRVFLCNWKSQKSGSEKSMQSLGQIVRYEKRDGDNDGDMSLEEGVDNSVSGSRNGGGDSKTDTFFADRYAALVFGCKGAKLIPSVRRNTMKRKVKRTTRSAALWEYHLQQQIVGSKTGKEEDLESFVDQSDDTGYFNSEDLRRYSAESPFLARLKSSKLLRGSFKDVSSYAYSTPGMSGCSFNRYSVRNPSTAESWNPTTESCNDEINDSLDSRGSRGCGLPCWSRRSSPKYRGARGSSCSPSLSDILRRKGSSLLCGSQTMYHRRYYGASLSSKQKTFESKTSQGLVPLLTSTGDGRVGSSLETWRTDDDLSTNYGGTDLEGSNRLDGRRWSTTYESQDGLELVALNKEREYESVGTLSHRYRPMFFSELVGQNVVIQSLMNAILKGRIAPLYLFQGPRGTGKSSTARIFANALYCLANEKSKPCGVCRECTNFIGEKSKDLTEVDGSNKKEIVKVRCMLKRLSASPLVTFSRYKVFIIDECHLLPAKTWLAFLKFLEEPPPLVLFIFITTDLDNVPRTVLSRCQKFIFNKIRDRDIVSRLQKISHEENLDVESDALDLVALNADGSLRDAETMLEQLTLLGKRITTDLVNELVGVVSDEKLLELLELAMSSETVETVKRARELMDSGIDPMLLMSQLATLIVDIIAGTYHIVDSRHDDSFFSGRSLNDAELDRLKHALKLLSEADKQLRTSSEQSTWFTATLLQLGSVPSPDPSLSGSSRRQSSKTIEEDPLSTTREVIIQNGYALRKSTTPRSLFKASQRDSISQEEQLHSLDSKATQCRYLDSSPPNVSHNDSAMETTNSSSADSGILNDLWMQCIEKCHTSTLRQLLHTYGKLVSISEVEGDIIANIAFQNRAVKIRAERSVSSIENSFKIVLQHDVVVKIILVTDDENSVSSGRRVISPESMVQKQMYKTEVINGERRVICTNELYGYSDLESYQPVQVSRASLNDSDCKPVGISDLNAESPSRMVEGNAKSSSAEERKLVAPVRRIESIIHEQRLETAWLQIAEKGTPGSFSRWKPERNQILPQEGIYNRNQSESMDSKSLKSQHWEDELNAELNALKINGGKVLVRDQIGKRIDHYPMSPSLLHNNFNRESTGYESSTGAGGCSGLLCWINPKNPKRGKNKQRTPGHSQKSRRFLWFGECAKLRTQDRFRS >KZN11838 pep chromosome:ASM162521v1:1:48586363:48593835:-1 gene:DCAR_004494 transcript:KZN11838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVDSPESPNSDPPFQFHFVKLKALRRESVMVLRELDLHMEKQSPIGALRSLKWRFMRAFDNHDVDYVCRRTSGPTRRSTKGQWTPEEDEILRQAVQRFNGKNWKKIAECFKERTDVQCLHRWQKVLNPELVKGPWSKEEDDIIIQLVEQIGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKDAWTLEEEMALIRAHQVYGNKWAELTKYLPGRTDNSIKNHWNSSVKKKLDSYLASGLLTQLQSVPQSLSSSSRVQQYSGDDSIPRDGREAEETSECSQASTALVCSQSTNDMVNATGREDPLLIEQSCHCEETEMPCEFASSGNLLVHNYPDDWITSPGKDWQLDSNGLPNKSPHCKQESTSLLHCVAEDENHEQVPPSMQSSLAICSSAPTGNIINECDQPKHMLVSEDSHPEVRNEGSFAPGYSAKCSMITSADGFTDSLLYHSSDYQIPESAHLPSNSYCSFTEMMVNECCQPFSVPTQFPDDGGNICGSNPDQFSGHSLQNQEEELLRAPHDGFTYPSGTIDSHFNERIDSAGQQDQPDTQGDCSNSTPEEIFAPTEFNNTMICPSLNENPAEPSEQDDAGGLFYEPPRFPSLDIPFLSCDLIQNGADLQQEYSPLGIRRLMTSSMNSFTPLKMWDSPTRDNSPDAVLKSAAKSFTCTPSILKKRHRDLVSPLSEKRCEKKLDSNFSNLAKDFSRLDVLSDKNGTHEAIPASPSTNMTTCSNASDENKENVTDDSEIKEKEEKECTVHLENRLSSEETGTSPGDKIQEEIVSYDAKTKQTAACGQTVQEPSGVLDEKMYDMLSFSPDQFGNKSNRLQSSGARTLGSQYTRRLEAASSQVAVSESSGSPLLSILSPSSAVRKKGDSHPVATSSIQPDSSTPSEIIFANARKFDSSENFSIMGETPFKRSIESPSAWKSPWFMNSFVPGPRIDTDITIEDIGFFMSPGERSYDAIGLMKQLSEHTAATFADAQEVLGEETPDSILKKRCSNKEKIDSTLNNVTERRTLDFSECDTTPRKGAESGRFSAGLSFSSPSSYLLKGCR >KZN09098 pep chromosome:ASM162521v1:1:20243107:20246313:1 gene:DCAR_001754 transcript:KZN09098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIGEDFDSNKSRTMPSESCNSAYVRDATNSTTDVSRRVKSSNLRQNNNLHQYATSPSITKSHFHTKHLRSGYRSAAMFPSQRIFSSCSANNKDGFCVRDNGLNYSPSTRTWNRSDPLNFKEKCNMNGERAATVELTCGPRSQGRKSVISSLSVDNRMRLLVQRDDYNLKDFQTEYDNAKFYVIKSFSEDDIHKSIKYNVWSSTPSGNRKLDSAFCDTQDDTSEEEIVNKSGQFVGLAEMIGKVDFAKNLNFWQSDRWNGFFPVKWHIIKDIPNTELRHIILANNDNESVTYSRDTQELMLVDFILTSHQIEFKQGLEMLKIFKNYTAKTSLLDDFNFYEKREHSLRVKRNNMQANETQDNEQPAEDLPPMAVQTSYSAFSGKPKDS >KZN08339 pep chromosome:ASM162521v1:1:5445249:5448631:1 gene:DCAR_000885 transcript:KZN08339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQAQNLFLEEWLTLNSNGSSSISSIQSSSSSARAIIQAWGDLRDSLKQNFFQPHHLQSLQVLHNSQSSVYVSDPQAKLLLSILSSKDLSPPLESYPLLLRLLYIWVRKSSKPSSVHIDSAVVILHQLFSLHIHSEQSPYFYSEAFLLAGALTCVPSISEKSKTDCLELLSRLLKEEYPYIFTSNGYTAKFLAGIGYALSSSGTVHLVRIVDSLLKIWNRADGPSGSVSDGLMILHLIEWVLYGFVKTHSSDKISVLTGEILRTSTPTCASFAVLMAAAGVLRASSRSVSSVLMVSRSSAEECIGRVARNLISGTEGCNTSGSQPGNILLLHCISLALARSGPVSFQVSLLMCLSSALLNEIFPLKRLYAKILENHHDSSTIVFNEVKQHLSSAIFREAGAISAVFCNQYLLADEGSKSVVENCIWKYCEDVYSLHRQVGLVLRGIEVELIGNLEKIAESAFLMVVVFALEVTKQRLHSRFSRETQLEISVRILIAFSCFEYFRRMRLSEYMDTIRKVVVSVQENDLACISFVKSIPSYSQLINKDGPSSLNKLDYIWSKDDVQTARILFYLRVIPTCIEQVPASVFREVVAPTMFLYMGHPNGKVARASHSLFMAFISSAKDSDDEERLSLKEQLVFFYMQRSLESYPGLTPFEGMASGVAALVRYLPAGSPSTFYCIHSLVERANNLSSEIMADDNEAWTNWQEEAEPCKKLLELLMRLLSLVDIQVLPSLMKLLAQLTVQLPKEGQNMILNDLYAQVAESDDVIRKPILVSWLQSLSYLCSQPTSGNAALTANTGDLGFNKIDARL >KZN10528 pep chromosome:ASM162521v1:1:36646992:36647399:1 gene:DCAR_003184 transcript:KZN10528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKGGDNMGKGEKGAPEPQQPRAVGPYRGLVIRNDDFSIGLGLDFKEPLNHWFLQTKEAKTHPTNASEPRAPVSSEPDKKNSLITPSPEMGPKPLAFVPAVNGATFSNGVPNVEDAAAAEMNGSKDIDLDLKL >KZN11299 pep chromosome:ASM162521v1:1:43522694:43524854:-1 gene:DCAR_003955 transcript:KZN11299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNGLFSTYSLCSDAAGVAGNIFAIVLFVSPIPTFRRIIRNRSTEQFSGLPYVYSLLNCLICLWYGLPCVTPGIILVATVNSVGAIFQLVYIVIFIACAERTRKLKMSGLLLGVFALFAGIVLVSIKLFDPPSRQLFVGYLSVASLISMFASPLFIINLVIKTKSVEYMPFYLSLSTFLMSLSFFAYGMFKHDPFITVPNGVGGLLGIIQLALYTYYSRISGAESREPLLVSYA >KZN07997 pep chromosome:ASM162521v1:1:1299325:1301323:1 gene:DCAR_000666 transcript:KZN07997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIHNNAGRKWMCEGSCCVEMDMSLSYCCFAATNELLINPKLALLRSSTVSLSARIIRKPLRCMPLEEQVDGGILCEPCKGRGWLLCDFCKGQKTNVKAENKKIYRRCPSCRAVGYMLCSKCKVFKCVTFPNYTDGEDLTYGS >KZN10985 pep chromosome:ASM162521v1:1:40864023:40866986:1 gene:DCAR_003641 transcript:KZN10985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSSSSAPPSKKGSSSSSSPSPPKGSNNNGNKKSPSTPSSSNRNSYSPSASSRSNSPSGKSNAKALAHKSKSSGDNDTAKKAIMGGVIGGAALLLLFTIACICCCCRKKKKRKADYYGDNFNRNQSNGYYNSGPPPANWTNPQSSEYHLKMPQSGAVSSEYGWRGPPPPPPMGHSSDMSSAAYSGPMQPPMRPPHPAVALGFNQSTFTYDDLAAATNGFSQSNMIGQGGFGYVHKGVLPNGKEVAVKSLKANSGQGENEFQAEVEIISRVHHRHLVSLVGYCIAGSQRMLVYEFIANNTLEHHLHGKGVPVMDWASRIRVALGSAKGFAYLHEDCHPRIIHRDIKTANILLDEHYEAKVADFGLAKLSNENNTHVSTRIMGTFGYLAPEYASSGKLTDKSDVYSYGVMLLELISGKRPVLMDDDENDTLIDWARPILLRAVEGGDYEELVDPRLEDNYDADEMLRMVACAAACIRHSARRRPKMSQIVRALEGDVSLEDLHEGVKTGHGPLTGYSSSEYDGYSVDMKKFKKAGGSSQEYTSSEIGDTGEYGHSSGNPSQEMRPNRSQMNTP >KZN08233 pep chromosome:ASM162521v1:1:4188284:4189693:1 gene:DCAR_001298 transcript:KZN08233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPTILYYPVDIHSCGKQYQESKMEVEILSTELIKPYTSTPLSLRHYNISLIDELSPTINVPTILYYPVDIHSCGKENVTTHSICMHLKKSLSMALTRFYPFAGRYMKESYMVDCSDQGAEFVQAQVDIRLDQLIGLGKNVQVELLNCLLPRPVGACDKDTDPQLAVQVSAFACGGYAIGILSSHIIADMSTTSSFVVEWAREAKQLLEGLDHDHDHDLSVSPSWNSAMLFPGCKLPRLPPRFSVDHKIVTKVFSFSDSAILKIREKARLDSSSEKLPTRVQSVFGILGKAIVDINCVIPGRPKRFLVSQTVNMRGRTDPPISKKQCGNLYLVASARSVAGEAGVDLQSLVELLTDSVRRELANCKKIVPSKGEKMMITPGFNELGKAFADPEISSVVMFSDWCKFPLYEADFGWGKPGWVSGVHVPMPNIVYLLRDRSGEGIEAWVNLSVDDMAKLEQDANIMEFTS >KZN11110 pep chromosome:ASM162521v1:1:41781425:41783141:1 gene:DCAR_003766 transcript:KZN11110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISSVVYIFVMFLFVNQASCKFHKQKKLYDFETKLLRKTSGGAAAAPLPSQDSSFSMPSSAPKSVGRVFYPIGYGADPSGEQDSSDAILEAMEDAVRSDKQGLELMPGITDMGGVVIDLQGASFKINRPIRVPAFTGNLVIQGGTFRASESFPTDRHLVELWSPNSIKLDSTEIAQLDGFSDQKVQTHGIYYEAITFRDILFDSAFRGGGLFVVDSARIRIVDCFFLHFMTQGILVQKGHETFISTCFLGEHPTVGGDRRERDFSGTAIDLASNDNAVTDVAIFSAAIGITLRGQANIVTGVHCYNKATYFGGVGILVKAGQTRIDNSYLDYNSIIIEDPSQVHVTNGFFLGEGNVVLKAIKGRISGLTVVNNMFTGNAKSAKPTICLDGKFTKIDQVVIDHNNVNGMKLKSTVGKMVVAGKGAKWTADFSSLLVFPDQINHVQYSVYQGPREVSTGHALTKISKNAVVVESEKEIEGVVSIVVDQYSLDGEQYLFM >KZN11836 pep chromosome:ASM162521v1:1:48545811:48548843:1 gene:DCAR_004492 transcript:KZN11836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGTCQLTCHFSYFPSPLQLTTYSSVYTYNNTYKVKLRSTAGITRRKMAGKVEAAGEDGLKLRSRFIHLLTTRRSPQVPLTVEPGKPVAEPMYQETPKPIFSEAMESCPKANIPNFNKLLKEENLYLTTEEGEQGRLPVLILSIKESTPQRRPAIVFLHSTNKCKEWLRPLLEAYASRGYIAVAIDSRFHGERASSLTTYRESLVSAWKNGDTMPFIFDTVWDLIKLADYLSQRDDIDPSRIGITGESLGGMHSWFAAAADTRYAVVVPIIGVQGFRWAIDNDKWLPRVNSIKAVFEGEEP >KZN10568 pep chromosome:ASM162521v1:1:37090170:37091591:-1 gene:DCAR_003224 transcript:KZN10568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTRVSPETISSAVSALLKWKETKSASEKAQLLPQDEFFYLTLTLKHIPQEGRRVNPYKIPLPHPLVQDSEICLIIDDRPKSKLSSKEAKKKVQADGINVAKVLKFSKLKTDYKPFEAKRKLCDSYDMFFAAKGVIPLLPKLLGKSFFKKKKAPLPVDLSHKNWKEQIKRACGSGLFYIKTGTCSVVKIGRLSMEEGEVVENVVEGIKGVIELVPKGWEGVRSFHLKLSGSPGLPLYQAVPDVKLKIEGVRESVEEGNESEVEVKEGEKKDGRLGKNKKKKGRIHEVKYMDVSGEDEVASDVDEGEKESEKVNDESELEEKTKKRGSAKEKAIGEDKSGKKTKKAARGGRVGAIEENVIKETDDKHDLDVKEVELKKKRKKADAGGQVATVKNVEKEKPAKKTNKKADVNERQVTTDKNVEKPAKKTKKKADGDEQGKSQLPVSAEESAEKKGKKKSGLGTTVKKAKITKKK >KZN08761 pep chromosome:ASM162521v1:1:14957286:14965621:-1 gene:DCAR_001417 transcript:KZN08761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKEEKTQMVHSPILTYFSMLSLLSLCPPFVILLWYTMVHADGSIYQTFDLFRQNGLQAFYDIWPKPSMTAWKIIFCYGAFEAALQLWLPGKRVEGPISPAGNRPVYKANGMAAYAVTLITYLSLWWFGILNPSIIYDHLGEIYSALIYGSFIFCILLYLKGHVAPSSTDSGSSGNAIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLALTYCIKQYETNGKASDSMLVNTALMLIYITKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGIQLSLYILLAGILCIYVNYDCDRQRQEFRRTNGKCVVWGKAPSKITATYTTSSGETKTSLLLTSGWWGISRHFHYLPEILAAFFWTVPALFSHFLPYFYVVFLTILLFDRAKRDDDRCRSKYGKYWKLYCQKVPYRIIPGMY >KZN10506 pep chromosome:ASM162521v1:1:36401256:36403963:1 gene:DCAR_003162 transcript:KZN10506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYPSIDSQEVAEKLFPETDSDVPIHRDSGENPPAFESSEEILIKIPGAIVHLIDKKRSVQLASGELNIVRLRQGDNVVAVLARVGDEVQWPLAKDEPAVKLNGSHYFFSLRVPEEEKGDGEELLNYGLSIAAKGQEDLLREFDGILESCSAFSVKEVKGEVVWGELGNEVSPGEMAVDGVKKEEMERNSGAFWTALAPNVEDYSGSVARMIAAGSGQVIKGILWCGDLTVGGMKWGDEYCRKRMGPRSDSDISPQTLRRMKRVKKLTKMSEDVATALLSGAVKVSGFFTSPIVNSKLGKKFFNLLPGEIVLASLDGFNKVFDAVEVAGRNVMSTTSVVTTGLVTDRYGDEAAKVTSEGLDAAGHAIGTAWAVFKIRKALNPKSFMNPTNLAKAAARANSSDLQAKYKKST >KZN08366 pep chromosome:ASM162521v1:1:5692374:5692802:-1 gene:DCAR_000912 transcript:KZN08366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRGKNIKMSASCAAHISQLTKDLGFKSEDETLQWLLDRAEGRGTATPSSNAVGGASSETPKVPEKKKAEPVCQDIVPKTSGSAPVGPTPVFIPGKGFWMVPDDGGKPQQVWPVPLALTRGIGVKMQGPYAPGSSEQNQKP >KZN10240 pep chromosome:ASM162521v1:1:33783811:33784248:1 gene:DCAR_002896 transcript:KZN10240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQNQVSSGSDGDLRYAAFDEKKRKRMISNRESARRSRMKKQQHVDKLIAEMSELQSQNKVVMQKINEATDRFVGVSSENNVLRAQLSELTDRLYSLNSVLHMVEEVSGLAMDIPQLPDTLMEPWQLPCPAQPITTSANMFNF >KZN10193 pep chromosome:ASM162521v1:1:33440550:33442829:1 gene:DCAR_002849 transcript:KZN10193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLIAGGKSKRTKRTAPRSDDIYLKLIVKLYRFLVRRTGSKFNAVILKRLFMSKTNKPPMSLSRLIRFMTGKEDKIAVIVGTITDDVRVHEIPCMKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGKAPGVPHSHSKPYVRSKGRKFEKARGKRNSRGFRV >KZN11699 pep chromosome:ASM162521v1:1:47089826:47095195:1 gene:DCAR_004355 transcript:KZN11699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSRIAVPSSSHLIEPEEDKAYEGLGITTVKLLHTRELCGNVTTSARGKCNFRDEYTNILASKPVIWKYSVRENISRALEQVEVIAHMPNGEFRTDLLISGDINGTLRITAVGSVYVLRLNDPLMLDGRFSNTSPNLLRIADINFRILDADCNSDGSRALFGTNKGVTIMDLETGVASRVCSCTRPGIRAVQLVSSEKIALCGLENGAIVSVDTRQPHKHAWFTPTLNLQSLIMHSKRGRLTSLKLYKQYFLASSEDGTMKLYDHRMVERGAVLSYRGDIGLNDNIQHAVDPSEKFVVSGGTDGKVGLWSVKSGELLFEERFMDSIPSQFCWGIKEDNGAKVGSIYNQHSNFGEAWIGGLHGLYLMRW >KZN09145 pep chromosome:ASM162521v1:1:20864446:20867526:1 gene:DCAR_001801 transcript:KZN09145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSGISPFSNTPVGVYNHTPRSPGFDLRANSNVLNSSENLESPIVRYYRSGGSPLSAIENLDISPNTLFKTSVKVEEDVLVMDGILVGPVAASRVRSSSSTSDSGGSSSSGGKSFYKTEICRSWEDFGTCRYGAKCQFAHGKEELRPTRFFNKSKSETQICRAYSSGTCSYGSQCRFSHEQTNSPASGTDSPFTLASRTVSQNNSPASATDSPIPASKTQAVLPIKLEKAMNCAGRSPLTARTISQNNSPASSKDSPLCAIPSEILLPMKLEKAAKCAGKSPLTTRTTITLNNSPASLEDSPFSVIPSETLLPGKLEESMNCAGKSLSTTQIVSQHNSPASATDSPVSMIPSKPGTVSPINLEKPMTCAGKTSITPTVSPIKLQNAMDCSESFIFANSDWSPLDDDIEVALPCSSSADKALSREEVDAYINRVLYGPSKRKTLPAFAAICPR >KZN11658 pep chromosome:ASM162521v1:1:46748237:46756186:-1 gene:DCAR_004314 transcript:KZN11658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKESCATQLIDGNGEFNMEGVNDFVKRIKLGDCGLSYAVVAIMGPQSSGKSTLLNHLFHTNFKEMDAYRGRNQTTKGIWIAKAVGIEPCTVVMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLVNMWCHDIGREHAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPFEYLEPLLREDVQKIWDTVSKPVAHKYTPLSEFFNVEVTALSSYEEKEEQFREQVAHLRQRFFQSISPGGLAGDRRGVIPASGFSFSAQKIWEVIKQNKDLDLPAHKVMVATVRCEEIANEKYMRLANDKSWLELEKAIQAGPISGFGEKLSSILETFFSEYDSEAVFFDESVRDAKRKDLELKALQLVHPTFMTMVGHLRSKSLHNFKLRLEESLHKGEGFAAAVRTCTKSCMLDFDSGCADATIKQADWDVSKTREKLCRDIEAQAAFIRNEKLSELIARYEKKLNTALSEPVQLLLESGAINTWASIRNLIKHETDATVEGLCAAVTGFELDQSAFDKLVQNMRSYARGLVEKKARDEAGKILSRMKDRFATVFNHDEDSLPRVWTGKEDIRTITRDARVASIKLLSTMAVIRLDEREDNIETVLLSSLLDGPVAVASPRYKNMGTSGDPLASSTWDKVPPEETLITPVQCKSLWRQFRMETEYTITQALSAQEAYKHSNNWLPPSWAIMAMILLGFNEFMILLRNPLYLLVLFVIFLLSKAMWAQMDIARDFQNGTLAGLLALSSRFLPTIMNLLRRLAEEGQGNPTPQPPQRPPRPIRHQSFKNDNQQSASVSSTMADTALSSNVTSVDDDPEYSSPQLTHRHVSRGDNIETS >KZN08515 pep chromosome:ASM162521v1:1:9585946:9588695:1 gene:DCAR_001045 transcript:KZN08515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRSLLIDTSRLVVDENGEDSPTTKKPVGAKAVAVNRWEFVAAFAVFTVFSTGLFCIYLTMPEAEYGKLKVPRTIADLRLLKEHLALYANHYPAKFILGYCATYIFMQTFMIPGTIFMSLLAGALFGVIKGIFLVVFNATAGASSCYFLSKLIGRPIVNWLWPEKLKFFQGEIAKRKDKLLNYMLFLRITPTLPNLFINLASPMLDIPFHVFFTATVIGLIPASYITVRAGLALGDLKSVKDLYDFKTLSVLFLIGFFSILPTILKRKRIYE >KZN08695 pep chromosome:ASM162521v1:1:13645392:13645625:-1 gene:DCAR_001351 transcript:KZN08695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNDTRSSRFLLILLHVWFIVMISAEASRTNSNIFNPKARPNSGHFLNNMPRRMPIPFSGPSRRHNDIGLESWNSP >KZN10359 pep chromosome:ASM162521v1:1:34905732:34910811:-1 gene:DCAR_003015 transcript:KZN10359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEELPISSEAEEVQHYEPKKGISGCFWGPINWFRVLCVEMHWSFVFGVLMVYGVSQGLGGALARVGTEYYMKDVQKVQPSEAQVYSGITNIPWLVKPLWGLLTDVVPVFGYRRRPYFIFAGIFGLISMLFLSLHKSLHIAFALLSLLVGSTGVAIADVTIDACVAQKSGSHPSFAADMQSLCSLSTSIGALVGFSISGIFVHLIGPRGVYGLLTIPAGLLLVVGFVLKEPPIHNFAYGQVHVKFQDAASSMWNTLKYPDVWRPCLYMYLSFALSLNIYEGMFYWYTDSKDGPTFSKEAIGYMLSIGSVGSLLGAFMYQYGLKDHPFRNILFWAQLVLGLSGMLDFVLVLRLNLKFGIPDYLFAVIDESIYRMTATLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNAGLFSSTWGGGLLLHLMNVTRTRFDNLWLAILIRNVLRLAPLTLLFLVSRGDPASSILPNEPHDAKAVEDVPDSKDIELVSLVNSADGR >KZN10276 pep chromosome:ASM162521v1:1:34119437:34126613:-1 gene:DCAR_002932 transcript:KZN10276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPHSIHQDLLQKLVYDALVWSSLHGLVVGDKNNQRSGVVPGVGMVHAPVALLPTSFPESHWKQACELAPIFNELVDRVSLDGKFLQESLSRTKQVDDFTSRLLDIHSKMLEMNKKEDIRLGLHRSDYMLDEQTKLLLQIELNTIASSFAGLSCVVSELHRSLINTYKQLGLDSKRVPINTAVTQFAEALAKAWTEYNNPRFGNMWLRWFPQLNIHTHKITTVRKTLGEIDAQGKLQPDGTFIIDGEVIAVIYFRAGYAPTDYPTESEWRARLLLEQSSAVKCPSISYHLVGTKKIQQELAKPDVLERFLDNKDDVAKLRKCFAGLWSLEELNIVKDAIERPELYVMKPQREGGGNNIYGDDVREALLRLQKEETNEDAAYILMQRIFPVVAPTILMRDGTFYKDSAVSELGIYGAYLRNKENIIVNNHAGYLMRTKVSSSNEGGVAAGFAVLDSIYLT >KZN10734 pep chromosome:ASM162521v1:1:38525241:38532241:1 gene:DCAR_003390 transcript:KZN10734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPLLIFLFFFFSTAPQLSYSLQAPYVLRISCGARSDVHTRPTNTQWSKDFAYTGGVFANATQPSFITPPLNTLRHFPLSEGPENCYNIDKVPSGHYSVRIFFGLVAEPGFDNEPLFDVSVEGTLIYSLPSGWSNHDDERAFVEALVYLTNGTATLCFHSTGHGDPAILSIEILQVDDRAYNLGLNSGQGTILKTGKRLSCGAEQPRFDVDYSGDLWGGDRFWNSISTFGRHSDRVIFTKDSIKQASQAPNFYPETLYQTAIVSTDNQPDLAYTLDVEPTRNYSIWFHFAEIDPLIIQAGQRIFDIVINGDIALANVDIVNMTGDISRALVLNTTVAVDGRNLAITFHPQRGSHAIVSAIEIFEVITAESKTDIDEVRALQTLKTALGLPLRFGWNGDPCVPPQHPWSGADCKFDKNSSKWVIDGLVLDNQGLRGFLPNDMSRLQNIQSINLSGNSIHGAIPSSLGTVTSIEKLDLSYNFFNGSIPETLGQLTSLQTLFTDNSGLCGIPGLPTCGPHLSTGAVVGIGLGAFAAILLLITFLTCWWKRRQNILRAQRIATREAPYAKARTHFSRDVQLVRHHAHENTRTAVENGPSLLS >KZN10893 pep chromosome:ASM162521v1:1:40063420:40083210:1 gene:DCAR_003549 transcript:KZN10893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENNDTATKSIIADVADKLAAKKACPNKDFLIKLLRQAASTLPELKQSPQLSAVVKPLTDSLVKHSLLVHKDKDVKLLVAICFCEIIRILAPNPDFSDEILRDIFKLFISMFEELADTSSPYFSRRVKLLETVAKLEFCVLMIDTGSEDLILKMFTVFYDVVREQHPQSVLNAMSSTMALILKEKVSEPLLVVTLQNLLNEAKAASPAFKLAVAVIQSCSEELEPSVCGFLTSCILDRNAVGNELREFYHEIIFEIFRCAPHMLLAVIPNLNHELLTDQVDVRIKAINLIGRLFALPGCHVAQEYRHVFVEFLNRFSDKSSEVRISVLACAKAFYMTHPSVKESEEILMAVEERLLDFDDKVRSQAVTVVADLAKSNIQLFPPNLISEAAKRFRDKKVTVRRRALQKLLPIYHNYCAKCSEGLMSLSDHFEAIPCGMLMLYFDKDCKDFGPLNMEHMLAEDLFPASLSVEERTRHWIFFVSLPKIFSASHEKALNTILSQKQRLQTELKLYLVLRKNEEEIGSEEMKRRKQKQFMKMSKFFLDPSKVGECFNILDEVKDCLLFNKLEELLDEVTIESGQALIIIISNLPSLIRGLEEQFCSFLLQGDIPFNDELILMIAKAGPYISHKLSDLYPSLERICLTGTRNQSKLAVSAIAAIAGSSEHSIFTKLCKKLVDAMEGGQNIPTVLQSLGCLAQYSAPTFESHVQDIGQYIVEKFLQVEISDDISSFEKTSNYTSSNRLKALVNAKFVDGDQDFVNNVVSNLKSIFNAIKRAEDAVNSQATPKLYMLADFGLSFANSLENISTPNSQSPSHALSCASDRNTSTEVPNELREFSCSCQLLMKLFFIQKPVRTVGKDSGSQENSLESNAIKGSLVNMDICNKVDMPTFMVNCQDKILNELGKRQNETQAEKVDTVGKRHPAPSSYIIESTGLHNEFSLDDELDKGETGSSEPLTGNEQLSSSCASVAMKPLRAHREDLLSSDSLQNIDSMTKNSSLHVEPSKILQTKQTDLWNSKEMVDAYGSDASQSLPNMSKKEHAYLSKKLPVADQGTGG >KZN08777 pep chromosome:ASM162521v1:1:15256019:15257166:1 gene:DCAR_001433 transcript:KZN08777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPNRAKDKDGDPLRTQNAKRLDCQKSISDRKKANLDRLKVSMQRAKALGGEVGFSAYFLFEFACGYALFEAHGVNELHLSNYHHPLSLHFKSYEEYINRPHQTFTLKAFHPFSSTAEALVQMNAISNSYFMSQSDF >KZN08194 pep chromosome:ASM162521v1:1:3796902:3800780:-1 gene:DCAR_001259 transcript:KZN08194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFLSKHVFSHPLFLVLCLFFVASSVVCKHSSSKTLYVLLEVRQSFVDDPQNVLKDWSVKNPNFCTWRGISCGRDPKDGSLHVMSLNLSDSSLTGSISPSLGHLNDLLHLDLSLNQLSGPIPPNLSNLSSLQTLLLFSNQLSGPIPTQLGSLYSLQVLRIGDNGLTGEIPSSFGDLENLVVLALASCSLNGTIPKEIGKLGSLQNLILQQNELHGPIPGELGNCSSLVVFTAAENFLNGSIPAELSNLKNLENLNLANNTLSGEIPSELGELDQLVYLNFLGNQLEGSIPKSLGKLGNLVNLDLSGNKLTGEILGEFGNMGQLLFLVLSSNNLSGTIPRSICSNTTSLEHMMIGENRLFGEIPRELKDCRKLKQLDLSNNTLNGSIPLELFELVELTDLTLNNNTLDGSISPFIANLTNLQTLALYQNNLKGNLPREIGMLGKLEILYLYDNHLSGEIPVEIGNCSSLQWFDLYGNHFSGQIPVTLGRLEQLKFLHLRENDLSGKIPATLGNCHQLTVLDLADNRLSGGIPETFGYLQALQQFMLYNNSLEGNLPSGLTYLANLTRVNLSNNRLNGSIAALCSSHSFLSFDVTNNAFDNEIPLLLGKSLSLERLRLGKNHFVGEIPWTLGEIQKLTLLDLSANSLTGSIPPQLSMCKSLTHIDLNNNHLSGQIPSWLGNLSNLGELKLSFNQFYGPLPPELFNCSDLLVLSLDGNALNGTIPTEIGKLGSINVLNLDSNNFSGPIPPAIGGLRKLYELRLSRNSFSGEIPFELGQLQDLQSILDLSHNNLTGQIPFSFGKLIKLESLELSENKLAGGVPPQLIEMSSLTRLNLSHNNLQGKLDKRYSHWPVDSFAGNTGLCGSPLENCNDVDSNSQQSGLKQSSVVVISAISAIVASVLMLIGAALFLKHKRDSIRRANDISYTYSSRSSKASRRPFFQYGADKDHFRWEAIMEATDDLSDEFIIGSGGSGTVYKAELLTGETIAVKKIQRKDDPLMDKSFGREVKTLGMIRHRHLVKLLGYCRNRGEGPNLLIYEFMENGKYAYSMKATEKSDVYSMGIVLIELVSGRMPTDGSFGENMDTVSWLESRINAQGSDHDELIDPALRPLLPNEESSAFQVLEIAMECTKTAPTERPSSREVSDLLVHVYNDRMHQMEKMSPDSYA >KZN08818 pep chromosome:ASM162521v1:1:15799442:15801192:1 gene:DCAR_001474 transcript:KZN08818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKIQMKRIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGVFIFSAHGKLYDLATKGTMQGLVNKYLKSTRGADPDVEDCQATEKQVQDTKMEIDVLKTEIDLLQKGLGYMSGGGTGKMTMDELHMLEKNLQVWIDHIRSVKMDIMFQEIQLLKNKEEILRAANHYLSDKMSNQYGFINIDTPVMDNIAYPLTIQNEIYQF >KZN10833 pep chromosome:ASM162521v1:1:39400189:39400551:-1 gene:DCAR_003489 transcript:KZN10833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFETLAMAGMDYEECGMTMEEWEQSESRTPPHLLADEEDKEETGDHTNLQQVELAWASCLKVEDQSRVKCKSRKDKLPIIISKVKKAKLVKQLSSKNTMMIDIIGRYLSYAGLGLGRQI >KZN09327 pep chromosome:ASM162521v1:1:23265543:23267198:-1 gene:DCAR_001983 transcript:KZN09327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELNPTTDPIGQNLIKVISNVCFSVFVFSVLICTVIAIVYQPPDPWESSRALTRVFTQFENATFQTDTSVLKTGEDFAVSPSASPAAMTIPLTDAIIEKSAESVQNLSRACEDVNVVNCSDRGVLIAIQKFNMRLFKSIVFLEYQVPVDGGKSGECDVAWRFRNKKEKSWRKYRDFRRFRIGYGENCTYKVVGAKGWHSGLNARRPRRRDNGTSRGDRGKVTAPFRDDEINDTIPVLGSDTDFRKGRYLYYSRGGDYCKEMNHYIWSFLCALGEAQYLNRTFVMDLSVCLSATHSTSHKDEEGKDFRFYYDFEHLKEVTSIAEESDFLRDWRRWNKSHKKKIPLRKVVSHKVTPMQLKNDKSTIIWRQFDAPEPENYWYRVCEGPAAKHIQRPWHALWKSKRLMNIVSEISGQMDWDFDAVHVVRGEKAQNKEKWPHLDADTMPDALVAKLQGVIQPWRNLYIATNEPFYNHFDKLRSHYKVHLLDDYKKLWGNTSEWYNETMMLNGGHPVDFDGYMRVEVDTEVLYRAKTRVETFYNLTKDCKDGINTC >KZN09091 pep chromosome:ASM162521v1:1:20130851:20131348:-1 gene:DCAR_001747 transcript:KZN09091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYSKMKLVNNSTKSRSMDFSDLQSFPLETKIPTEPQHTKIKTQEPKTQINNTLQKLNEEEHNNNSSEGSFGMAKLSRNRSVSVNTSSLNMFKIEKQGSSAVKRVFSMRRSSSVSERYCRIHDQAVTVASPLHDDEDAHSTSVKKKKKYSSSSILKACKRLLGI >KZN11013 pep chromosome:ASM162521v1:1:41074328:41074594:-1 gene:DCAR_003669 transcript:KZN11013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSSHGFWTVQQNKAFEEALAKYDKDTPDRWYNVAKAISGKTAEEVKRHYEILVADVKRIENGDVPLPNYRTTRGNYQGTMSTYIL >KZN11927 pep chromosome:ASM162521v1:1:49462915:49463226:-1 gene:DCAR_004583 transcript:KZN11927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGIIRLNEGSDHQSSRKKVLVHLPTGEVVSSYASLRQMLMGLGWEIYYGDIELLQFHKASSIDLISLPKEFHKFNSIYMYDIVVKNPNVFLVKDC >KZN12041 pep chromosome:ASM162521v1:1:50503793:50505612:1 gene:DCAR_004697 transcript:KZN12041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSDQNDLFARRCVWVNGPVIVGAGPSGLAVGACLKEQGIPCVVLERADCIASLWQKRTYDRLKLHLPKQFCQLPKLPFPQHFPEYPTKKQFIDYLESYANHFGIKPQFNESVESAKYDEACRLWRIKTVSVQGSVRSEIEYICQWIVVATGENAERVVPEIDGLGDFHGEIIHACDIEVVPGIKKFSKNMVELVNGEELAIDSVVLATGYCSNVPIWLKEAEFFSKAGYPKTPFPNGWKGNTGLYAVGFTKRGLSGASSDAMKIAQDISKVWKEDLKQKKQKVPTHRRCISTF >KZN11596 pep chromosome:ASM162521v1:1:46087343:46087876:-1 gene:DCAR_004252 transcript:KZN11596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMFRKDQKSSLMYVSLAVSPSNFLVTLPPSFFLCIPKIMAQQVQKKLQMRIEAQGKYLQAILEKAQTNLSLDMNSSGNLEATRVQLNSFNLALSNFMENLNEGDRNQNISELGKINANSRNTSASIYTGEVAEKKDVKLKVEAGAVNFDLNMRGSYDFLGTNETAMELKPFAYRR >KZN09292 pep chromosome:ASM162521v1:1:22636048:22639171:-1 gene:DCAR_001948 transcript:KZN09292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVDEAAAAADDHPPLDDDGLPRRTGTMVTAAAHIITSVIGSGVLSLAWAVAQLGWIAGSVSLILFSIITLFTSHLLADCYRSSQTGKRNYSYMDVVNSNLGGLQIQLCGIAQYSLLFGLTIGYTIVTSTSIQLIKCGNDKECRASNNLFILIYGVVQIVLSQIPNFHKLAYLSIVAATMSFAYSFIGIALSLTKIIQGNGHFEKSLVGVPVGWKGQTKEDKLWNDTLKSSPPEKKVMKKATSLAILVTTVFYLSCGLIGYAAFGSGAPGNLLTGFSSFKPFWIVDIANISIIIHLVGAYQVICQPIYAFVEGWCINKWPQNEFITREYSINFLGMGNLSINSFRLVWRTVFVIVATVVAMVLPFFNQFVGLLGAITFWPMTVYFPIEMYVAQRKIKRFSGAWNGLQILSFFCLIVSLLAAVSSVHGLVMSVQKYRPFQNVS >KZN11448 pep chromosome:ASM162521v1:1:44741702:44747049:1 gene:DCAR_004104 transcript:KZN11448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVREGYTSPTQVALPPPYQSGTVESSSVSSGKRRRTNMPFITEDGNDEDETEPDVHPPQKRKSAHKVRPASSLLDKDHEMPKCDLVLLLEPEHVRVQISTLYSSPSQTSSLLIPPTMEDVREGYTSPTQVALPPPYQSGTVESSSVSSGKRRRTNMPFITEDGNDEDETEPDVHPPQKRKGAHKERPASSLLDKDHEMPKRDLVLLLEPGMEQDDRQHLIKAASVLKSRLVNRLTSYADKLSTEDMINLANKCYSTLEGLGDNYMTFSSDVNQLIAKHQEIAVQQRLSSAEDKLVTAMSAVDCLKTIKEELEGDLLKLTEELREVEKRVETLTAERDECKEAHSVAEAELGELDAEKDEARVAFEAITDQYNAAKKEFKSRSNQLLQLVRKKQNNFTACLTRMNLPG >KZN10595 pep chromosome:ASM162521v1:1:37318482:37319102:-1 gene:DCAR_003251 transcript:KZN10595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSNDIDLLHPPADVEKRKHKLKRLVQTPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGSSFRRKSD >KZN09534 pep chromosome:ASM162521v1:1:26756975:26757559:-1 gene:DCAR_002190 transcript:KZN09534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPHQFIVNNFHTRINVAFFNITTLRARESGNHAIVGIFGIAASLLLTALQLKYQSKTDSPFQDHPKAMAIAIASLLLFCLGCDVEQYFSTTRHFSTTAIIIHHVLRVLGFISLASLASVIFSTSTSSVPSLIIYLIFPWFFSARFVLHWVRNKNLHANRGADNLHPHFAFDYYSDYIDTLPVYHIASAIPVQ >KZN08337 pep chromosome:ASM162521v1:1:5406343:5407410:-1 gene:DCAR_000883 transcript:KZN08337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESVQSYTTRRGSNPRLENNDQVLEIPVTPTGDVRHSSGGNPIKSLVTPTALTALIIASWYLSNIGVLLLNKYLLSFYGFRYPIFLTMLHMISCTAYSYVSICWFEVVPFQQILSRRQFLKILALSVIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFLITFKKESGEVYLALMPVVFGIVLASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLSSEGEKLHSMNLLLYMAPMAAMILLPFTLYIEGNVFAITVEKARTDWFMVFLLAGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFKNPVTAMGMAGFAVTVMGVVLYSEAKKRSKATAH >KZN09759 pep chromosome:ASM162521v1:1:29101550:29103352:-1 gene:DCAR_002415 transcript:KZN09759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKSLFKDCSWGYQINPMINWSFKLNNAHQHLTHTHEIMDQYNESGQSRLSFQENLTLRGEDVQADSEPMPLIGTRDQLDATNSSFGEPLSLEWISAEVEQNFTSNLLAAWVDGGVGQCSDSQTVGITIPELDGREYIELPTGKIHEFVFQAVDEVGNLHCLGGDYFETDISGELWKSRPPVKDLGNGTYTFSLQVHPDFSGDYNLTIIFLFRHYQGLKNSPERFAIDNVLRTIPIKFVKSSAQLPAIQQCMKSDFTRDIWSGRWTRHANNDSCGISDDGRFRCQEPDFPCQRPWCDGSLGSLESNGWTYSTHCSFKLFSGEAAWNCLSNRWIFFWGDSNHCDTIRNIFKFILDLDYENVPRHFDMNISNPDNPMQTVRITSIFNGNSNVGGNYQGLSSLYNVTYRELLKNYFSQEIVPDTIIINSGLHDGVFWPTIRRFTRGAEDAAAFWSEVIEGVRQKGLVLPEIIFRSTIATAGYARRMAFNPSKMEAFNGILLDKLRQFGLVSKVIDDFDLTYPWHFDNRCSDGVHYGRAPAKKQWRDGQIGHQYFVDLMLCHVLLNALCQQ >KZN10425 pep chromosome:ASM162521v1:1:35604801:35613743:1 gene:DCAR_003081 transcript:KZN10425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLYGKIDPKSFGDRAFRGKPAELEEKMKKAKKKKEREPLDAEAVPRQSKRRRLQEESVLTTTDEGVYQPKTKETRAAYEAMLSVIQQQLGGQPLNIVSGAADEILAVLKNDTFKNVDKKKEIEKLLNEIPNAMFDQLVSIGRLITDYQDGGGDAAGTGGAADGDDALDDDVGVAVEFEENEEEEEESDLDMVQDDEDDEDDLADGHGSGAMQMGGGIDDDEGMEANEGMTLNVQDIDAYWLQRKISQAYEQQIDPQQSQKLAEEVLKILAEGDDREVETKLLVHLQFDKFNLIKYLLKNRMKIVWCTRLARAEDQEERKKIEEEMTQLGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESGGDVDRGRRGISDRDADGGWLNGQRQLLDLESLAFNQGGLLMANKKCELPLGSYRNHNKGYEEVHVPALKPKPLAEGEKLIKISEMPDWARPAFEGMSQLNRVQSKVYDTALFSAENLLLCAPTGAGKTNVAMLTILQQIALNRNADGSFNHSNYKIVYVAPMKALVAEVVGNLSNRLKQYDVNVKELSGDQTLTRQQIEETQIIVTTPEKWDIVTRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVDLKRGLFHFDNSYRPCPLAQQYIGITVKKPLQRFQLMNDVCYEKVIGVAGKHQVLIFVHSRKETAKTARAIRDSALTNDTVSRFLKEESATREILREHTELVKSSDLKDLFPYGFAIHHAGMNRGDRQLVEELFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQVYNPEKGAWTELSPLDVMQMLGRAGRPQYDTYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAKEACNWLSYTYLYVRMLRNPTLYGLAPDALSKDLLLEERRADLVHTAATTLDKNNLIKYDRKSGYFQVTDLGRIASYYYITHGTITTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLMRALFEIVLKRGWAQLTEKALNLCKTVNKRMWSVQTPLRQFHGFPNEILMKMEKKDLAWERYYDLSSHELGELIRQRNMGKMLHRCIHQFPKLVLAAHVQPITRTILKVELTITPDFLWEDKFHGYVEPFWVFVEDNDGEHILHHEYFLLKKQYVDEDHTLSFTVPIYEPLPPQYFIRVVSDKWIGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYESLYQEFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAIMRNHQKGPDNVMRAVYIAPVEALAKERYNDWKKKFGEGLGMRVVELTGETAVDLKLLEKGQVIVSTPDKWDALSRRWKQRKQIQQVSLFVVDELHLIGGQGGPILEVIVSRMRYIASQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAIVQHAKNGKPAIVYVPTRKHARLTAVDLMTYSSIEGGENPMFILQSVTELEPFVDRIKEPMLRETLQYGVGYLHEGLSPTDQDIVRTLFETGWIQVCVMTSSMCWGVPLRAHLVIIMGTQYYDGRENAHSDYQVTDILQMMGQASRPLVDNAGKCVIFCHAPRKEYYKKFLYEAFPVESHLHHFLHDNLNAEVVVQVITSKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSQRHLSDHLSELVENTLSDLETSKCVAIEDDLYLSPLNLGMIASYYYISYTTIERFSSSLTVKTKLKGLLEILASASEYEELPIRPGEEELIRRLINHQRFSFDNPKCTDPHVKANALLQAHFSRQHVGGNLAADQKEVLLSASRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQIPYFTKELAKRCQENPGGSIETVFDLVEMDDDQRQKLLDMSDAQLIEIAKFCNRFPNIDLTYDILDKEDIRAGEEISLQVTLERDLEGRSEVGHVDAPRYPKAKEEGWWLVVGDTKSNQLLAIKRVPLQRKSKVKLDFVAPAETGSKSYTLYFMCDSYMGCDQEYIFSIDVKDAGDPEDNMRE >KZN09988 pep chromosome:ASM162521v1:1:31445539:31449884:1 gene:DCAR_002644 transcript:KZN09988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLGGKRKTVWEREPALNDISPRDMEYFHKLGSKRPKYKEKDCNLHLDVYTKIALLKASPSVVGLVSYSGDEEIIQGSGTIIESNDSWTIILTSANLLRRPSRGEFVENSLVDNLKITVHTCHGNSYHGEVVAHDFHYNLAAIRFKSEMPLAAAILAHVDDSISLASVPSSFQLRAHSKSSNLVPGDKVIALGRYFAGDYDIMAAYGEFCLERPEPEYDCRELFMANCIITRSGDGGPLVNYNGEVIGVSFYDYGLIPWIPINIVGKWWAHFKRSGEYCRPALGFEATNLYAADSCILERVMLKFPSICKGVLIEKVEPGSSADLSGLHAEDIIVQCGGRTVQSFLEV >KZN08438 pep chromosome:ASM162521v1:1:6787232:6787651:-1 gene:DCAR_000984 transcript:KZN08438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRDDEAYPDGASQGKNGPENDDHEKKEVLSVEMIFKDKEVPTWRSQLTIRAFVVSFVLGILFSVIVMKLNLTTGIIPSLNVSAGMLGFFFIKVWTAFLDKSGLLKVPFTRQENTCVVATSGIAFSGMSSRKCIDPV >KZN09525 pep chromosome:ASM162521v1:1:26653068:26654273:1 gene:DCAR_002181 transcript:KZN09525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIVATFGVLSFIFGVIAENKKPPSGTPIPGKGVVICNYPSDPTVVLGYLSFAFLVVSSVTGFLSLFYPYQGKSIPQAALFQNTSFLVFFNIALGTAGLAAALLLWPTIVEQGHLSNRVHHNSETTCPTAKTGLLGGGAFVSLDSALFWLVALMLADNTREDYFEEKGIDGKLSNEVVLSS >KZN09566 pep chromosome:ASM162521v1:1:27137624:27138001:1 gene:DCAR_002222 transcript:KZN09566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLNHLVITLATLAIIIHSDHSSAITEPQKTLVKWWKPITSTKDPKIQQIGEAVINRYNNIKNASLEYKGVVKGETRVIVDGINYRLIIAAEEIGVLRNYVFIFFYQPVTCTGKVIYFRRLLN >KZN09374 pep chromosome:ASM162521v1:1:24387479:24392394:1 gene:DCAR_002030 transcript:KZN09374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPRKLEVWRMGTMNYLQALKLQEKLASDRKACKISDTLLALQHPPTYTLGKRRTDHNLLVSEDELKTMGAELHYTQRGGDITYHGPSQAILYPIISLRDIGLGARNYVEKLELTMINLASIYGVQARPGRKCQTGVWVGEGKIGAIGVRISSGITSHGLAFNINPDLNYFKHIVPCGIADKEVTSLKREAKSELPPEEVIEEQLISSFAQLFNYDNVEWKDNGRNASVKSAVTIQIPPNLHGVGVGGSTAGRLDNRATTLQAAYAQVNGTLFVGSSLTAADKATSWYSPSGDFAFGFQKAQENDQFLLSIWYDRIPDKTMVWFANVNSTVPAGSTVQLTADRGLVLSDSQAKVLWWSASFSGTASNAVLNDTGNFVILGSDSTKVWDSFSNPSDTLLPTQTLDNGGVLYSKRSESNLSPGRFQLRLLQDGNLVLNSRDIPSNYAYDAYYISGTYDPSDASNSGVQVRFNQTGNMYIVRRNGGIFNLNTEAAQSSGSYYRATLEFDGVFVLYSHPKVFTGNPQWTAVWSLPENICIDLQGIQDSGACGFNSVCRLDDSGRPTCECPKSYSLIDPTDKYGSCKPSFIQSCADEPSSKEELYDFWELTDTDWPTSDYEQLATATAIECKRYCLNDCLCAVAIYRGGGCWKKKLPLSNGRNNGSVALVEGVAFIKYRKGDLPQTIPNNCKKDQGTLITAGSVLLGSSVVINIILVAAACFGYLCMYSRKAKGFQPGNSSITGNMRIFTYKELEEATKGFKDELGRGAFAIVYKGEFQSSSSSNISIAVKKLDRAVQEVDREFQTEVHVIAQTHQKNLVRLLGYCDDVENRLLVYEYMVNGTLASFIFGDVKPSWTKRKNIALGIARGLAYLHEECSKQIIHCDIKPQNILLDESYNARISDFGLAKLLMINQSRTNTGIRGTKGYVAPEWFRNTPVTVKVDVYSFGVLLLEIICCRRSIEASEDVEAAILTDWVWDCFEEGDLLKILENKKGMLDDWEKVETCVMVGIWCIQEDPSLRPSMKKVLLMLEGVVDVAKPPCPSPFSVIRS >KZN10138 pep chromosome:ASM162521v1:1:32949156:32949503:-1 gene:DCAR_002794 transcript:KZN10138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIANYFCKDLSISDRNDILPVPVQQKKLFATLVTKNPNAEVEFVLPEHVRVQVSKKPRHMTFSCLNLQRNRMIDNSSRVEALTAETDQCKEAHHSAAEAELRKLEPEKEEPHSD >KZN10630 pep chromosome:ASM162521v1:1:37775494:37777158:-1 gene:DCAR_003286 transcript:KZN10630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYSLLLRTLTRTHPHPHNPTLLIPLRTLAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPTTPRPPRDPNAPRLPDTTSSLVGPRLSLHNRIQSLIRAGDLDNASVIARKSVFSHPRPTVFTCNAVVASMHRAKRYEDSIALFDYFFKQSNIVPNVVGYNYLMNVHCDMGNVDAALGVYRHIIENAPFSPSAVSYRHLTKGLVDAGRIGEAMGLLREMLSKGHGADSLVYNNLILGFLELGNLEKANELFDELKERCLVYDGIVSGTFMEWFFKQGKEREAMEAYKYLLSREFRMVPPTCNVLLEILLRYGRKVEAEALFNKMLDDHTPPTVQAVNSDTFNIMVNECFKEGRISEAYEVFKRVGKGLKSKPFMMDVGGSNNIIMRYCEHDMVDDAEKIYLELCGRSLNPDVTTYRTLIDAYFKVGRVDSALEKYIKMVDGGLRVLPHYANRWFSQLIENGKVLECIPILCKMAQKEPKPDVTTYDIVIRGISKQDDVDGVLELLKQMVELGVGIPSTLEQFLNERFSKEGRGAEIDRLLHARPTARLSQTGR >KZN11044 pep chromosome:ASM162521v1:1:41261096:41264083:1 gene:DCAR_003700 transcript:KZN11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRVLLLVALLLFSAPPFLRVVRCQSESDTEVEVEAAEDGGELGIVDDEVQDYGGGSFVSAPGVETVCVFPKNPSKSVVAGEPTDLLIGLKYEGESNLNVVAVQASIHLPYDHNLRVQNLSSQAFNNASLSASAHATFPYTFAVSKFLQAGTFDLVGIIVYEIDSTAYQSTFYNGTIEVTEAGGPLSVESVFLFSLAVALLVLLGLWVRTQISQFSKKTKRVQKVEVGTETTTASMDEWLEGTAYTQSNKSKKKK >KZN08477 pep chromosome:ASM162521v1:1:7488205:7494776:1 gene:DCAR_001023 transcript:KZN08477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGLVPPMDSVELGFKNFLWRVKMQWEDNGLWLGREWNHFARTSNLKIGDKCLLISTSEERKFEVAVLHRDQMEAVYESVRSWFVISVKGLLAGMSSLITLFLSVLLTISADINRIEDVLHRYVSLPDHDRGGIMQHREYLINTLNRLKTENDIATQISSPVAVNANAEELQQQINGLQQQLMMVEEQLRIFEPDPLRFTSMDELESCEKNLSKALKRVSERKKYLLSNHLSTYDPSTLQQINSAVKLMFEAQNGTNSFGNNAMNCWQEMNGNNNGGVSGHNHENILVSPDTTCMPLSNSPPGVYESTSTNNGETQSVGVHHINNPGEEECLQQWQHQSSHDFLNALLPPHDSFSLVKDELLPNSMLTPLIQQQPIDKASPSVQLPSSEESANYEHKLKLPKIN >KZN11189 pep chromosome:ASM162521v1:1:42421894:42424285:1 gene:DCAR_003845 transcript:KZN11189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEMQKWLVEVMLDWPMKMIGNIKIGMSHMDSIWYGERWVTGWKILLSLITGRNGKAKGITIDHEPLKEKKQVENRGGFVVKLPGNVPRVDGQLAMTRAFGDKKVKKHITAKPDVIIKKIDKDINFLILASDGLWKVMSNQEACDCICNITNAQEASEQLIKEALLRESRDDISCIVVMFDG >KZN08024 pep chromosome:ASM162521v1:1:1533014:1537730:1 gene:DCAR_000693 transcript:KZN08024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPTFSLQFTSNLHNLITTPSFHTSTPRHSKSRGSSFGCHVSRSIDAWSGLSNDESATAFSESCSKSKENWWSGNREEEETWRRRKVECEVEVISWRERRIRAQTCVNADIHAVWRALTDYERLADFIPNLVSSGLIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLKEFPNSATDRELHFSMVDGDFKKFEGKWCVKSARRSSTTTLSYEVNVIPTYNFPAILVERIISSDLPVNLQALAWRAENFENQHVHFTENIVGISTPLKSISLDTNGATIEENKIPPEEVKDIYPSSTFGPLPPSSIEMNSNWGIFGKFCKLERPVVDEVHLRRFDELLENGGVHRCVVASITVKAPVREVWNVLTAYERLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEKIEHEISFEQVEGDFDSFKGKWQLEQLGNHHTLLKYSVESKMHKNTFLSEAIMEEVIYEDLPSNLCAIRDYSENRVADSSFELCDHLIHSEENTSSPDSNRSDDNYKSDDTSSDDDRPHSSRQRERVPGLQRDIEVLKSELLTFISTHGQDGFMPMRKQLRKYRRVDIEKAITRMGGFRRIASLMNLSLAYKQRKPKGYWDNLENLEEEISRFQKSWGMDLSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRHPNRQARVSKDKKSDSIACSVVNGEQKASSKLHVSQDTEKWLMKVKDLDINWVE >KZN09427 pep chromosome:ASM162521v1:1:24893625:24895019:-1 gene:DCAR_002083 transcript:KZN09427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYGRVIHSESLKSGALSDVMVGTSLISMYGKCGCILDARKVFDCMTERNVVSWNAMIGGYMKNGDAESASVLFKEMPARTEVSWIEMIEGYARKGDMVSARYLFDQVPLEVKNVVTWTVMVDGYASNGDMAGAREVFEAMPWRNVFVWSSMICGYFKKGDVLEAENVFNLIPVRNLVHWNSLISGYAQNGHCRKALDAFTKMQVDGFKPDEVTLVSILSVCGQLGLLDKGKEVHEIIRCQGIKHNQFILNGLVDMYAKCGDITSARLLFESMVHRNNACWNSMISGLAIHGQCKEAIDFFGRMEQSDEKPNSISFLSVLSACAHGGYVEKGLEFFSKLRKYEIAASIKHYGCLIDLLGRAGKLKEAYDLIREMPMRPNDTVWGALLGACRIHSDMDMADRILEEVRSSDSDLCSSDDSHYVLFSNIFAASERWENAERMRIVISRKGIQKTPGSSSVMLEHL >KZN12059 pep chromosome:ASM162521v1:1:50688152:50689800:1 gene:DCAR_004715 transcript:KZN12059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEYSKKKRSEDDEEHLFHVIYKVPSADGPYGRAKHAQLVQKDPEAALVWFWKAINAGDRVDSALKDMAVVMKQLDRTEEAIEAIKSFRVLCSRNSQESIDNILLDLYKASINLQIHLHIFYLVQFLVLCGYANFHVCIQLRIQKCGRIDEQIALLKQKLRSIYRGEAFNGRPTKTARSHGKKFQVSVKQETSRLLGNLGWAYMQKSNYMAAEVVYKKAQMIDPDDNKACNLAFCLMKRARYDEARSILKDILLGRTQGAEAIRTRSRAQELLTELENETQMLPLDLLGLDLDEDFVDGLERLMNAWAPSRSRRLPIFEEISTSRDQLAC >KZN09234 pep chromosome:ASM162521v1:1:21921216:21922475:-1 gene:DCAR_001890 transcript:KZN09234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSELESEFSHHDPSVARINNGSFGSCPSSVIAAHQHHQLQWLKQPDHYYYNTLKPSILSTRHFIKHLICANSVDEVCVVDNATTAAAVVLQHVSWCFIESKFDLGDAAVMLHYAYGAVKKSVHAYVKRAGGRVIEVKLPFPLLCNDDIVDEFKKALDLGKVGGKKVRLAVIDHITSMPSVVIPVKELVQLCRDEGVDFIFVDGAHAIGNVEIDVNDIGADFYTSNLHKWFFCPPSVAFLHCANTDKMKDLHHPVVSHEYGNGIAVESGWIGTRDYSAQVVVPEVMEFVNRFEGGIEGIRKRNHEKVVEMGDMLVNAWGTNLGSPPEMSSSMVMVGLPVSLGISSDADALNLRTHLRNSYKVEVPIYYRAPEDGEVNPITGYARISHQVYNKLDDYLRFRDAVIKLVADGFTCAHLDG >KZN07884 pep chromosome:ASM162521v1:1:467877:468509:1 gene:DCAR_000553 transcript:KZN07884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYILNIHSIAAQGLSKASPYYARVSILGDHQITEWTTHVETQGGNNPRWNQQRSIMLNKTNVHRELVYVVVKIFNKRPVLDKCVGQARVPIGQTIDYETQRFALSRSKFQINKAKGAIKLACRIEPLQVTAETQEAHHAQQTAPAATASSSSYQQGGFWYPPPPPPAYSQPMPQQPQRLGLGAIAPLGMMAANIFGASLPDVIPSDFW >KZN08421 pep chromosome:ASM162521v1:1:6530942:6532570:1 gene:DCAR_000967 transcript:KZN08421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWRGLCFLVWIMLIGQCQAQLKENFYSSTCPNVESIENQAVSKKLRQTFTTIPATLRLFLHDCFVEAGGPSFNVELGRRDGLISKASRVAEKIPKPSFNLKQLYSIFSQLNLTQLDMIALSGGHTLGVSHCDQFSNRLHSFSSSAQTDPSLDPDYARQLTAACSDSDESTVIILDPETPQIFDNMYFKNLVAGKGLLSSDQVLFSDPASQPIVRDFANSPGEFNVAFVAGMRKLGRSGVKTGRQGELRKDCTAFNS >KZN08703 pep chromosome:ASM162521v1:1:13933903:13937327:-1 gene:DCAR_001359 transcript:KZN08703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSFLSLFFLLSPLSLFPYPASAIGEDFGFGIGRDIVMHEALAGTPLPAKDADHAPGYIPAPPAPSQAKPPRDDRPNAQPFIDQSGVYASILKKPAPAPSPAFPPGPDSNMGPAPSPDPASLTPIQQACRATNFTELCEASLKESNKVPAEPTATDIIQASIWIANQDLKKAQSMIQAILRGPQNVNLTNAAQNCVEYFQNGEYRIKQTVYALQRGRIKDARTYLSAAHTYEYDVWSALKYVNNSQLVNQTMAFTWSLIQLSSNALSMVKAHDVFGDDMALWGPPQSERNGLWEGESDNMTGFKFDYKVDFGSDPAPEDDGSGKNITGFGEMKPDVTVCKGGTCDFEMIQNAVDAGPTNGNRHVIAIKAGVYDETVRVPLQKKNVVFMGDGMGKTIITGAKNVQTPGVSTYNSATVGVKGDGFMACNLTIQNTAGNLPQQAVAFMSDSDQTVLENCELLGNQDTLYAHTHRQFYKSCRIVGNVDFIFGNSAAIFQDCVILIAPRTVTPEKGETNCVTAHGRIDPAQSEGFVFHNCVINATQDFMPFYLSNPVVHKNYLGRPWKEHSRTVFINCLLGPVIHPEGWSPWSGTQGLATLYYGEFNSTGPGGTSWGECPGVTRFHLITLRHTLWRISFKAMNGFPNRPFYLHLLYNN >KZN11467 pep chromosome:ASM162521v1:1:44930615:44931391:-1 gene:DCAR_004123 transcript:KZN11467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFVSKHRSNLPSNQAPLPRSPPPHLLEESVKEVLSETPKLRPSTPRILKPPKKTQSLHQNDQDFEGINETHFKKIHKLENPEEVSEISEIYSSMSESFSRENEEIGEIRRKVESPEKFKRRSYSGELHGRRERGVAKSPARRPEQPSPNRVRIVPGRDKRVEQSHVKEMRPVRDAGESSRRRSRSPVIRNDNAGSKQNLGRSPSVRRTGKSPGRVRSDLSENSRKREGSNKEGKWPPTSNELIENPLVSLECFIFL >KZN11842 pep chromosome:ASM162521v1:1:48664799:48665077:1 gene:DCAR_004498 transcript:KZN11842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVATRGICDVPFYYDQRDVLYTGETVVTQMDDGVYTGVNNYSIYAEEKEEPLSQSTRIAAAAGFKRVAKVSPTGVITRLKHPIISYGQGY >KZN09144 pep chromosome:ASM162521v1:1:20858612:20862557:1 gene:DCAR_001800 transcript:KZN09144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQNRVLSSVLLCFHILCFVSSPSTRVLAQSSAVFACDVAKNPEVANYAFCDPKLDVKTRVSDLVKRLTLQEKIANLVNNAGGASRLGVPKYEWWSEALHGVSYVGPGTHFSSLVPGATSFPQVICTAASFNSSLFEAIGKVVSNEARAMYNVGLAGLTYWSPNINIYRDPRWGRGHETPGEDPLLTSKYGASYVKGLQERDDGDKERLKVGACCKHYTAYDVDNWKGIDRYHFNAVVTKQDLDDTYQPPFKSCVIDGNVASVMCSYNQVNGKATCGDPDLLAGVIRGEWKLNGYISSDCDSLDVMFNSQHYTKTPQETAAIAIKAGLDLNCGDFLAKHTEDAVKEGLVKEADLDNAVTNNFATLVRLGFFDGDPSKQLYGQLGPKDVCTTANQELAREAARQGTVLLKNSPGSLPLSIKAIKSLAVIGPNANVTKTMIANYEGTPCKYTTPLQGLTALVPTSYAPGCANVACATAQVDAATKIASTADATVLIVGDDQSIETESRDRIDITLPGQQTLLIQQVAKASKGPVILVIMSGGGMDVQFAKDDPKITSILWVGFPGEAGGAAIADVIFGGRLPMTWYPQSYVNKVNMTNMNMRPDPATGYPGRTYRFYIGPTIYTFGDGLSYSEFSHHLVKAPKLVAVPLAEGHVCKSSRCKSIDALEESCNNVVFDVELRVKNAGQMSGSHTVFLFSSPPAVHNAPQKHLLGFEKVHLTPQAQGVVKFSVDVCKHLSMVDEGGNRKVALGMHVLHIGNLKSSLTIRI >KZN12092 pep chromosome:ASM162521v1:1:50925173:50928448:-1 gene:DCAR_004748 transcript:KZN12092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAVCTILLLSLLASISSAEFEAKLGVNYGQLGNNLPTPKRSVELIKSLKAKRVKIYDATPSILKALQNTDIQVSIMLPNQLISNFSSNQTLSDQWVYSNVKPFYPQTKIRYLLVGNEILSDQSIKPTWFNLVPAMRKIRYSLKRYSLHKIKVGTSMAMDVLESSFPPSNGTFRADIAKPVVKPMLQFLNKTKSFLFLDVYTYFPWSAQPDNIDLNYALLAATNFTYLDPVSGLTYTNLLDQMMDAIIFAMKKMGYPDIRLFIAETGWPNGCDIDQIGGNIYNAATYNRNVAKKFNAKPAIGTPARPGVVLPGFIFALYNENLKGGPGTERHFGLLYPNGTNIYDIDLSGKKPLSEYKPLPKPLNNAKYKGKLWCLVKKGANLTDVSAALGYACGQGNGTCDPIQAGGKCFKPNTLIGHAGYAFSSYWAQFKKVGGTCNFNGVAAASAKDPSYGSCKFPSVML >KZN12063 pep chromosome:ASM162521v1:1:50702643:50704502:-1 gene:DCAR_004719 transcript:KZN12063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDSKVFTLSQVYDVTKFLEEHPGGDDVLLSVTAKDATDDFEDIGHSSTAKAMLDEFYIGDIDTATISSTTTYTPPKQPHYNQDKTKEFVIKMLQFLVPVIFLCVAVGIRFYAKPAE >KZN07870 pep chromosome:ASM162521v1:1:301659:308866:-1 gene:DCAR_000539 transcript:KZN07870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKGVEVHAENAIFCGGDAAMDDDVVDEIMGALSEAEIWLGGDEQDETPVADSSIFDNSFPPLPDFPCMSSTSSSSSTPALTTKQFVSSSASPASSSSSAASWAMIRSDADQRMKQDVRSIQYDPATPVPDLSDGFRPSDGSTGMEDVDCMDVMNNFGYMDLIDIEDGNDLWDPSCIFQSDDDQTYSRDQSIVSQDVRNREDENCYIIEGGKEVKEKTGFDELGVMFFEWLKNNKEHISAEDMRNIKFKRATIECASKRLGSTQEGRKQLLKLILEWVEQHHLQNKRNQDAAAAAHQVVPCQYQESFPNPNPNIQNTIPTMDANTFMWIPTQTYFNQALVSPAASAPAVFPPPAMAYVVGDLQQQPFVGGANASPMNCQAYSPQMPMPPTGYHLLQPAQSWPQSQFVSAPQYNSFPDQNGTFAPITPQPFAPVYGDQNPSQIYNGNNVEDPIRLGPSATKEARKKRMARQRRVSLHHYRHHPHQNQLKKVDSSGQNEGRFNIETCTDNIDGVTSPLNCILQPPAIESPILEQPHKEAQPRQQECHTSDRTLLRAQSNQQLTQTSERGQQLQKQEFKGGNNFKLLLQKVLKQSDVGNLGRIVLPKKEAETHLPQLDERDGISIDMEDIGISKVWNLRYRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDMKNGKYLIRGVKVRQQPAKPKSEPKVKATRKNRNSGAGNGHSSTPSKLKGNFKLILMLDLLKVEKYVQKLNALTIYNLSGAYEHTESLSVGINSSDGYSMSGLAALGRQLGAMGLKDEPRVDVNSAIAADLMGMYQSMGEALAHRQIKNVMLRTELAQRTGVPEMPPNVAINLNLMLLVLSGQSSMQGRQDYCCLGGRGLVLQLLPKMLTRSTLSAENIVATTVQSRPTVRVKDDSARPTLTLFNKEAKDLIGVQVDRILRTSSVYQWIEYVLTELSEDANLLNTLPIFKNIVGKECASDIKINAYNIDRGYEEYTAFRLSECAAASHDPDEGKMGGKAAKKQKTDCLTSATMHRAYILDK >KZN10519 pep chromosome:ASM162521v1:1:36565821:36567333:1 gene:DCAR_003175 transcript:KZN10519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVSEEGQAHHHGKDYVDPPPAPLLDMGELKLWSFYRALIAEFIATLLFLYITVATVIGHKKQTDPCGGVGLLGISWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLLRAVAYMAAQCLGAICGVGLVKAFMKTEFNSLGGGANSVAPGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNNEKIWDDHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >KZN09857 pep chromosome:ASM162521v1:1:30062427:30069110:1 gene:DCAR_002513 transcript:KZN09857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKTEELIKKIQVLESEHEQLKQEMSKLIISGDHHRRSQSISPQRRRRFAGDSGSLAAFRKGSASFRHSSPLRRESRSTVVAAGAEPENTAAAAAAEFSDSQYLSILESMGQSVHIFDLNFHIFYWNPTAQRLYGYSATEAHGQNVIDLLLDPEDCVSGHNILNHTLSMRETWTGKFPTKTKRGARLEVIATNSPFYDDNGNLIGVICVSNDSRPFEDMSSALLAAQKVDTDSSVVSRPRRIASAKLGLNPQQPLQVAIASKISDLASKVSSKVKSKMKTDDGIMDREGGSGGSHNSDHGFSDTSLFEQKEDAASSGGSTPRGEIHPSSFGVFSHTAHDVYLNGKESRDCGDEYEGKIGIHKIITSKAEAWMSKKNITWPWKVNGQERLEPRSANTVLPQLNAAQESNLAHKMTHNTSARPDNHEFENNRSPHKEAPGSWPSINVYSSGSLSSCGSTSSSTINRVDMEADSLDCEILWEDLTIGEQIGQGSCGTVYHGLWYGSDVAIKVFSKQEYSDDVIFAFREEVALMKRLRHPNILLFMGAVSSPERLCIVTEFLPRGSLFRLLQKGTSQKSASRLDWRRRVHMALDIARGMNYLHHCSPPIVHRDLKSSNLLVDKSWNVKVGDFGLSRLKYGQFLETITGKGTPQWMAPEVLRNEPSDEKSDVYSYGVVLWELATEKIPWDHLNSMQVIGAVGFMNQRLEIPNDVDARWASLIESCFQSEPKDRPTFQELLERLKDLQRQYAIQFKQNTSMQDIPP >KZN11604 pep chromosome:ASM162521v1:1:46185215:46191700:1 gene:DCAR_004260 transcript:KZN11604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSKPSAPESGLNPDIEARFIAFCKDGLSMDEITMTEAMKLFNESKHLLQANVSVAVGTGTPEEAELYWFVFVLYSLKRLSQRNSNDSITESDEKRVSLCQMLRAAKLNLVDFFKEIPQFIVKVGPILGNLYGADWEKRLEAKELQANFVHMSILSKYYKRAYHELFLAKDTNTDKQSAAGDGSDYHRFGWLLFLALRAHAFSRFKDLVTCTNGLVSILAILIIHVPARFRKFSVDDSSRFVKKGDKGVDLIASLCKMYETSEDELRKTMEKTNKLIEGILKKKPCLASEVKIENLDNISTDGLIYFDGLMEETTLSTNLSTLEKDYEDAICSTGDLDERLFMDAEDSVLGSSSLSGGAINISGPKRKFDSMASPTKTITSPLSPFRSPAKSVMSGNVVIGSSRIPSTPVSTAMTTAKWLRDVICPLPSKPSAELESFLSKCDRNVTHEVVRRAHIILEAIFPSSGAGDRSATGSLQSTNLMDNIWAEQRRLEAVKLYYRVLQAMCTAEAQILNANNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPVVLERTGITAFDLSKVIESFVRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEICRLGLLAEPMPSLDAIAIHVNMSSGVLSPVTSLQKLESSPGIDSLHLTSGQNGDIRSPKRLCTEPRSVLVERNSFTSPVKDRLLATNNLKSKLLPPALQSAFSSPTRANPGGGGETCAETAISVVFAKIVKLAAVRINSMIERLQLPQQIRENVYCLFQNILMQQTALFFNRHIDQVILSCLYGVAKISQLNLTFREIIYNYRKQPQCKPQVFRSVFVDWSAARRNGKTGKDHVDIITFYNEVFIPAVKPLLVELAPGGNVKKSSQIPEAMNNNDKGQIPGSPKVSKFPSLPDMSPKKVSAAHNVYVSPLRSSKMDALNSHNSKSYYACVGESTHAYQSPSKDLTAINNHLNGPKKFRGMLNFDDVGVVSDSLVANSIYLQNGSCASSSGTPLKSEQPDA >KZN08216 pep chromosome:ASM162521v1:1:4035440:4045466:-1 gene:DCAR_001281 transcript:KZN08216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPIVGKLVDRISDAAVDTIFRGFRYMFFYKHLVKTLDSQVEKANTEEERVSRKVAAERANGKLIEPHVDKWQKEAEEIKESAEKFAEEYKNRQSWRCIQCVPIPNPVSRFRLGREAVKKTERLTELIDSGKELLANEIAHLASPENLPKSNTEFQEFQSRNESYGELWRKLTTDSTPIIGIYGMPGVGKTRMMEQLWKEAKEKMIFNKVTRGNVGNGNLDVIQLQKQIAGHLECHFESEDNAESRASQLKQSLLNVGKTLVILDDVWREIPLDVIGIPCSMGSKILLTSREENVCFRNNCKTLVKLTPLPNDEAWGQFKNIVGTAQIDSMHDESLAKKVCDKCGGLPLLIHAISKALQFQTHNSWVDAFEQLEKGEFVNIPGVEPQVYACVELSINKLHGDAKSCLFLCCLFPEDYNIPIERLIQLATGSQLVSGESRVLSMVDTLRSSSLLLDCEEDDSIKLHDVIRDVGRSIAFIDRKFAFLQVTCDVRLVHDADFVITKFLRLDLGGDNIHIPDDLVCPDLHSLWIQFNNVSRGFSRTQQFSGGFFSLSANLRFLFLVDTFPPSKLQFSLQPLGKLRTLIFDNCDLTQINNTNGGFFPEYLETLCISDGPFPEPLDLSNLKYLRKLEIKGSGRRLIMKPNTISSLSGLEQLHIPGGFEIWRDDSSVVAKPILVEINALTHLKSLQMKFQTSEPFQDTKIFDNLKLFNILVVRGLSYYDKADLSYKTSIELEGYHKESLKSLVERAEYVQLKHSDINGIGSILDNNRELRKLHLEECNEMEHLASMSQGEIQLSQQTSFSKLTCLEIKRCRGLKYLFCNSVAKCLTQLQKLMIKDCHVIEVIIINEGSTNGDIIHFSKLEELELSNVPRLRTFYGANKDTVMQPSAQFPPLFHRMVEFPSLEILKIFYVEDASDIWESDYNNDSRSFCKLKSFSLDYCSRFETVIPIAMLHKLQNLQSLSISDCSSVISEVGLDGKNIDVCPLLALSVMYLKGLPCLEETGLNLRNSSTATNLYPNLKTLEIFRCHNLRNVFRHSSVFRSVVHLEEIKLEECKMMREIIGEGEQEEITHHVLVFPKLKDLRSKHLSTLSRIWYNKGEEVKVEFPSLMDFVLARCGKVNLESIEFSSQLKSLNISCDDEIQLPSEWQPRLHNLETLILSRVWWHELKSLQFPRLKVLEVRSYCGGSALFTFSGFRSLQQLQELEISDCAFLQEIVEDFEMSGMNSKTITLSHLEIVVLKNLPKLKSIIHGANYGCRIPSLREVFVENCGLSSLFSFSEFTRLRRLDISSCALLEEIVEDIRSDEVSGMNKKTITLSQLESVTLKDLPKLKSFIYSANHECLLLPDLRSLSVSNCGLSSLFMCSGSLQSLRDLKVRDCRMLEGIFEYARGDETSGTSEQSFISLSELETLELKNLPDLKSFIHGANYDCYMPALKFMRVHDCGFSTLFTCSVFRNLLKLRELEVSHCILLEDIVEDARGDDTNDKTITLPELAVIQLVNLPNLKSFGPNESYAFNMPRLYYFDLVGCPRAQNFTCLNANTGKEYVTYVE >KZN10549 pep chromosome:ASM162521v1:1:36845869:36846309:-1 gene:DCAR_003205 transcript:KZN10549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPKKLLKLARKWQKQATLSRKRVTYPTARADGVHVNTSTRVEKGHFVVYSADQRRFVIPIVYLQNKIFRELLRLAEEEYGMPRDGPITLPCDEAFVDYAISLIERHATKDMEKALLISLTSDHCLSSSNIHKEHTTQQLLVCSF >KZN08755 pep chromosome:ASM162521v1:1:14828587:14829460:-1 gene:DCAR_001411 transcript:KZN08755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFLAKLCFGLSQFLMFQVLTAYDPTKGFVSLPFNTSVYHIQKPYDKQENQRYSFKNGVHKLWVFANDKPHTTTSHTKPRTEIAIQGYGYSSGVWEFAADAYVPKGTTGVCIMQVFGATAPHASTLMLRVYDGALMYYQDTVLVGNIYNRWFHLNVVHYVEAAKVMVYIDGLLKLTADGRGGHSHAFKCGVYAQTGDSFRMESRWKNIQVLKHI >KZN11462 pep chromosome:ASM162521v1:1:44865802:44866989:1 gene:DCAR_004118 transcript:KZN11462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNVKKKITPAQLPEELVREEILTRLPIKSAVRFKIVSKSWLSLFSDPNFWKKHLTRNSSQNPNDYDCLVAAKHRRVVVLSRYKETFVLPSDNYEVVGSVQGLICLRRAKKLSLWNPAIHQSREFTLPAQHQERHADPSHIGLGFDHPSNDYKVVVCYVSGDSRYGCVYSGNSDSWSDVFVPDNVFFRTEKKDWEKRGPKTIAKYCPYWKCRTYLSNDVHVRSLAVMKFDVGSNEFKLLPEFEFGASEQNWDHGKRYALVTLNDCLTLMTYKPSKDSVVNVYSLDEEGLCVWSKIYSVGPLDLDTHHLDLSQGFRYGGEFVCHFCGNFVCYDPQTNTVNRLAGSTSHLNLISCFPYVPSLVYLQGMKTVHMQTQARISGNTFRTPRRLINSLRG >KZN08285 pep chromosome:ASM162521v1:1:4959673:4966457:1 gene:DCAR_000831 transcript:KZN08285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRSWFQKFRHRDKNKDKSGTPTKRETEETTNSPMEDEPPSSATQQKVAAAKEYIENHYKAQMQCLQDRKERRWVLERKLADGDVPAEEQMNLLNFLEKKETEYMRIQRHKMGVDDFDLLTIIGRGAFGEVRICREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSGCIVKLYCSFQDQEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDRSNFPDLIENENGDGKNSRTLPGGVQYNNKTSVPMRSQQEQLLHWKNNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEEPMSTCRKIVNWRTHLKFPAEVKLSFEARDLITRLLCNVNHRLGTRGAYEIKAHPWFKGTKWDDLYQMEAAFLPEVNNELDTQNFEKFEELASPLQTSSKAGPWRKMIPSQDVNFVGYTYKNFEIVKEHHLPGIEETREPSDQQLPNQPSNRTRLFTQVEVSESPESSPSSSASSQYNSKRLT >KZN09370 pep chromosome:ASM162521v1:1:24320364:24321512:-1 gene:DCAR_002026 transcript:KZN09370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGKMSVPATNGDIEKKHDRAPHSKPPFTLSEIKKVVPPHCFKRSLVRSFSYVVADIFFISLFYYIASSYFHLIPKPLSYFAWPVYWYFQGCVITGIWVLAHECGHHAFSDYPWLDDTVGFILHSAIVVPYFSWKYSHRRHHSNTNSIEKDEVFVPKPKTTIPWYYTYIDNPPGRMMILAVTLLLGWPLYLACNVAGRPYDRYASHFDPYSPIYNNRERLQIFMSDAGVLAMSYVLYRIALVEGFSWIICYFTMPLLVTNAFLVSITYLQHTHPSLPHYDSSEWEWLKGALATVDRDYGVLNKVFHHITDTHVAHHLFSNMPHYHATEATEAIKPMLGEYYQSDSLPFYKALWREGKECLYVQEDEDTPDKGVYWYKNKY >KZN11816 pep chromosome:ASM162521v1:1:48370077:48374904:-1 gene:DCAR_004472 transcript:KZN11816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQHLELPYGSELLPSLLLTKVSNSTNGAIPVGGEASDDVSVRNQSFEDGLGLGSSNQTSVQNNGGNRGELKDGGSSVGNGMQERPRDAEQNVSSNGFSERGNFSRPSYQNGSSDSGLAVPPAVPASYTKTDSNLSAPVMSAEQNTYSVPNDVVSSSSREVMPIAKMNEFLHQSYSSPHSLPSQFYTKVDKNLVDARSHIENSPTIHNDTDLHAPLYRNLSMFKRSYEIMEGQLKVYIYKEGEKPIFHDFILDGIYSSEGWFLKLLEANKQFVTQDPGEAHLFYFPFSSRLLQLTLYKKRSHNRKNLIQYMENYLEMLIAKYPYWNRTDGADHFLAACHDWAPSETRGRMLNCIRALCNSDVNKGFAVGKDVSLPTIYVQSPQNPLRDIGGNPPLERPILAFFAGYMHGKVRPVLLQHWGNDPDMRIIPRMPHVKGNKNYIDNMRSSKYCICPRGYEVNSPRVVESIFYECVPVIISDNYVPPLFEVLNWESLAVFILERDIPYMKNILLSISEEKYLEMQNRVKRVQKHFLWHAEPIKDSNSIANCVKTPHRQMDNTALPVNNRAGNDTDQQALLSFKASITADPSGVLDSWNNSIHFCQWNGITCSPRRQRATVLNLSSQHLVGTFSPHIGNLSFLRGIYLSQNNFHGSIPNEIGLLFRLQNLDLGLNSFQGGCPPNLSNCADIRNITMSHNNLEGKLPTTFASWPKLYWFDLQENHFTGSIPPLIGNTSSLHFLHLRANNLVGNIPSEVAHHTKLEVLCLSLNYLSGMVPRPLYNLSSLYIFSLTQNKLKRTLPADLGVTLPRLQGFLVSANRFSGPLPASITNASDLTTLDVVVNSITGTIPNNLGSLPNLEWLNLGHNPLGDNYGMGAEISAKGDVYSYGILLLELFSGKRPTESSILPENGNNLHDYVRKAIPQRVMDIADPRIVLVQEELGLTVNQSYSRATNNGMPGFHIEVGISCSEETPRKRIDIRVAVKGYKWQETKFCSAFSNKKSLMDQSYGTYKAENTYEKKQRKQMQPQLRTPIKSQAPPPSRGKSL >KZN11882 pep chromosome:ASM162521v1:1:49057056:49058531:-1 gene:DCAR_004538 transcript:KZN11882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPYSYPAVAVPVTSVAMKQQQQEVKPQVSYPSYVDERGVSTSARVLCEILANSTLHELEAALKTTGVQPSSELVEEVLKLSYGYPSAAIKFFKWAGLGSKHSSFSWNLMVDLLGKNGIFEPMWDAVRSMKQESLLSVATFVSIFGSYCSAGRFSEAVMTFDVMERYGIQPDIVAVNSLLSAICKEDNQTLRALDFFEKIKGKIPPNADTFAILLEGWEKEGDVMRAKKTFGEMVIKVGWSQQYMSAYDAFLNTLIRGSMADEVITFLQVMKSKTCLPGLKFFTNALDILVKNNDSAHAISLWGIMVGSGLVPNVIMYNAMIGLLCNNNAIADALRLLDEMVFHGAFPESLTYNMIFQCLIKNKKVPEAGRFFFEMVKNESPPTPSNCAAAITMFLHNDDPETGVEIWEFMVKEHILPLDDSSNALLIGLCDLGRLTEMRRFADKILDKRINIYESTMGKLKVSFYREGRSTRELYEDLERKWKSSQAT >KZN11195 pep chromosome:ASM162521v1:1:42468946:42472819:-1 gene:DCAR_003851 transcript:KZN11195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDSIIKGMVHGVEQLADDRVAAKELELAKLKGSLRFSSGRMNGIGFCEPKVEDNGQYLSLANGYVEHDKMRESFFNLKCTAKEQLNKLKKEIDGVQGCNSMKKISSSSELVGLSGILVGTENGSLTGVDKTVDVLNVTLETIFKHFDDMLNFSNITFSELQQEQGFQRDVEAVVMRSVIGCSRRECEEKLQEREAGFCQSGEWLDKFNEISNLREELETVVKLLGSHETEQLVSHGSGDFEHSYRKGLNNLMSFQNTHREGNGFVDGPESDLTDLFDASRFKHMGRDELEKHVAIMMRNSKRDHESIVQRMTEEYINLKGKYLNKMGPSLPIRKDKEFDIMKKKVAEIILKIDGIFSNNEKIHTFCDNTFSCFKDGVDKLLLENQELKDFLTDKNDEVNSLSSQISDAVEENLQHSIAEGIFSSLLANLSSFMEDANVETFVREEVYKCALKEMSSHVISGSEKSERQFIKYIKRCQPEDADIELQITQQIGEVALREIVKDATGRLKELYHEYLDEKNNRVSLEMEGSERETNLRLKEVEIEKLKQEAVLMTKLMEEKDRSAKEVSVTLMKKNEQFDLYSQELNGLRDYTKKQQQTISDTCLELDEVKCELKKALEQVEVKEMEINSLEKNLESQKHILEDNNKLKKSYIELNEARQNDLQLLKAKEEVQKNQIEALSHYADVMSKQFTQLEHRVAKDINLNNSRLLFSKYQLGCLVEKASILTKAECQYRQKLEKRCSDLKMAEAEVDLLGDEVEELLGLLEKIYIALDHYSPVLQHYPGIIEILKTVRRELSGETSKSV >KZN10602 pep chromosome:ASM162521v1:1:37453565:37457983:-1 gene:DCAR_003258 transcript:KZN10602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSVEAGAANSKFDDDGRQKRTGTAMTAAAHIITSVIGSGVLSLAWAVAQLGWLAGTVCLIIFSMVTLFTSHLLSDCYRNPLTGKRNYSYMDVVNSNLGGLQIQLSGIAQYSLLFGITIGYTIITATSMAAIKCGSKEDCHTSENGFMVIYGIVQIVLSQIPNFHNLAFLSLIAAVMSFAYSFIGIALSMTKIIQGNGHFVRSLTGIPVGWEGLTSENKVWQILSGMGDIAFAFAFSPLLTNIQDTLKSSPPENKVMKKATTLAIFVTTFFYMLCGLIGYAAFGNDSPGNLLTGFSSFKPFWIVDFANICIIVHLVGAYQVFCQPIFAFIEGWSSGKWPESDFITKEYSIINGKITFNFFRLIWRTSFVILTTVLAMIFPFFNDFVGLLGSIIFWPMTVYFPIEMYIAQKKIPRFSGAWNRLQMLSLFCLIVSLLAAAGSIHGLIISVKTFKPFHAEF >KZN11951 pep chromosome:ASM162521v1:1:49626017:49628758:1 gene:DCAR_004607 transcript:KZN11951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFTAFLVLFSLLTRAFSVPLSTKSRWIIDDVGGQRVKLVGGNWAGHVGPMLPEGLDKRPLSEIAGHIALMGFNSVRLTYSTYMFTQYSHLTVLQSLRNLSLHDAIDGMTKYNPQLLKLTLPRAQEAVINQLASHGIMIVLDNQVSKPIWCCGDDDGNGFWGDEYFDPKDWLRSLHIVAHRYKNNPMVVAMSLRNEIRGKRQNAKDWRKYVRKGAKQIHKSNPNVLILVGGLSYALDLTPLKTKALNIKATSKLRNKIVYESHRYAFTMGQSNNYLRKPLNQFCDSVIADMENRTTFVTRGPNAAPLYISEFGVNMLGNQTYDNVFLGCFLAYLAKHDLDWNMWALQGSYYLRDEGQGVEEQYGMFTTNWTQIRNPDVHAKILSVQQMIRDPKSHAPRYLRMYHPMTGRCLSVNDNSTQIHATDCHKFSKWSYNNLVIQLNGTPYCLASSGEDSPLSLTTDCDSKRSTWKAVSSYQLADSDNLCMHYDPSYSPYVLARKCICAGSESVGGPERRWFTLRRMSAVRVRLSPTRELSRYKAM >KZN10310 pep chromosome:ASM162521v1:1:34437375:34441693:1 gene:DCAR_002966 transcript:KZN10310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEASGDKNSSAEQDKTGPDYFGYYTREVGYLLSQAEGYFPCPSQTFDLAKRNHGLISGTDTSKYINTXKEESSSGNGALFCNALGAGISDFKKERLNALLRQSVPLLTEEVKEMEDPVIALHRIQRYKEKLSTSPAENGDDNGENPCKKPKLCSSSSSIRSTSPTSSSHNVEVSDDLQLLLRTESVKVEETMKEMSDELSEMNARRKSLNNFWT >KZN11722 pep chromosome:ASM162521v1:1:47451177:47461201:-1 gene:DCAR_004378 transcript:KZN11722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLNLGDKSAGKRKVDEIGDDLEVGDRERFDFDGGGSGKKRCRGVGEGEIMGGCGRLNLGSGGSGIGRNEADGVEMGVGGKRGFRMVGEGETVGGWGISNLELGGPGVGRNVADGGVVDGGVIGGGEIGESSWGVEEKEEMSLDLNVPGFGDAGFVGCSSGVGLGCVVDDECVEVVNISSDESGDEGKELDVMNDYKGKGKVIEEGGGSGSNLGIDLYLGLDEIEKLGEDVSGSMGGGRRYSREEKGKAKVVESWMSLGGDSMGLDLNSELQNESQPTIFDLDLMLPDVYLQNEVIDFLFLNSRQDLQFDQRREQEAIEFELRRQQEAFEFELRRQQEARELWLREQQEAWAEHFRQRDLEDLMRAGREFARPLGEKWISGTEQNLQYTALNKQLISWKPSEDRDKKNSKRFVPSLLDLSMKILADNADAIVSLEGVPDSLKRRLSDRICDMKKMNAKILDLFVRGSPEEIRVKDASLITDRQFKTSFGNFAPKNLRVFQFDLCGQCVLDDTIADTLVQSLSSLPTLGIISLRGACRLSDDALKNLVELAPFLCSINLGENALITHVGIHHLANALGTSLRELFIDNCSLIDGSYISSALKKFEHLEVLSIAGISNICDKVVSDIITARGRNIKDLNLADCVNLTDYSLNIIGQNCSGLRSLNIENLDKLTNMGLSNLANGCKSIRTLKLCRNKFSDEAIAAFIDTAGRSLEQLSLNRVSQVGSFTALSLAKISRKLMSLDLSWCRKVTDEALGLLVDSCWSLKLLKLFGCTQITNVFLDGHSNSLVRIIGLYTNPLLEDVGMLDAEEVYLRYSPLPVPVSENLDHQDV >KZN09081 pep chromosome:ASM162521v1:1:20047248:20047565:1 gene:DCAR_001737 transcript:KZN09081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYYRPTVTDAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPRDQIGYWAVKIVVTGKVSN >KZN11348 pep chromosome:ASM162521v1:1:43862681:43863163:1 gene:DCAR_004004 transcript:KZN11348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTIRCCISCILPCGAFDVIRIVHTNGYVEELSGTVFADQIMKLHPKHVLKKPSSSSPYDGSSNIYPQVVIIPPEAQLQRGKIYFLMPVASTSVKNNRRGSARKKMKKEAEKVEVNDHYLAEILSEKVVATQNDRRRGRGGVWRPHLESISETPILYES >KZN10997 pep chromosome:ASM162521v1:1:40958820:40964375:1 gene:DCAR_003653 transcript:KZN10997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSSPANNHDGPSSPDDDSMSSPIGNTFSSPGNPRRRGRRSSVATPPSRRSSRFATPEPTPTPVTRTNRRRRRSPDPVTTPIAATPSSADEAPPSSDGGEFGHPDEAPPMFVWGTNISVQDVNAAILRFLRNFRGRDDASVEGKYMMAIHHVIEIQGDSLEVDAHHVFDYDNDLYNKMVRYPLEVLAIFDIVLMDMVSRINPLFEKHIQARIFNLKTSTTMRNLNPSDIEKMVSLKGMIIRCSSIIPEIREAMFRCLVCGYFSEPIVVDRGRISEPTTCGKQECLAKNSMTLVHNRCRFADKQVVRLQETPDEIPDGGTPHTVSLLMHDKLVDAGKPGDRVEVTGIYRAMTVRIGSSQRTVKSLFKTYIDCLHLKKTDKSRMNAEDPMEVEKLKELSRKPDIYERLTKSLAPNIWELDDVKKGLLCQLFGGSALTLQSGASFRGDINILLVGDPGTSKSQLLTYIHKLSPRGIYTSGRGSSAVGLTAYVAKDPESGETVLESGALVLSDKGICCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVGLHFEDTENSEQDVIDLPTLTAYVSYARKNIHPELSDEAAEELTRGYVEMRRRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSELVEKKDVVEAFRLLEVAMQQSATDHATGTIDMDLITTGVSASERMRRESLVSLTRSIIMEKMQIGGPSIRPLELLEELKKQSSGAEYHLSDLRNALSTLASEGFVVIHGDSVKRV >KZN09552 pep chromosome:ASM162521v1:1:27020067:27022424:-1 gene:DCAR_002208 transcript:KZN09552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGGKKKKGGVVNQNKNQNSAKDNVSLPVSNNGVVWDSTIFLKRANELKEEGNSRFQAKDFVGALEHYNDALKLIPKVHPERAVFHSNRAACLMQMKPIDYDAVIAECSMALLVQPHFVRALLRRARAYEAIGKYELGMQDVQLLLGVDSSNKDALDICQRLKTAIGTRQEAQQDIHSRPSPAALGASAVRGAPVSGLGPCLPARAMPKKTAASLSGSGSSTSDKTDKTDKTSVVPLENGARVSPEVKPQMSKQALKRPNGPTKPNGNLVKDSQKDQKSSSSVSQQSQGKSSGASIQWRPLKLVYDHDIRLAKMPVGCSFKALREIVGERFPLWDSVVIKFKDHDGDLVTITCTSELRLAESYVESLLQKEPQTDKNNLIGMLRLHIVEVPPEQEPPIPEEEEEIPLESEGTKVDEIGSHSSLGDAAVESVGTEVENKTEKTSLEETPRASEDPELKEVEMDDWLFEFAQLFRSHVGIDSDVHIDLHELGMELCSEALEETVTSEAAQSLFDKAAIKFQEVAALAFFNWGNVHMCAARKRIPVDDSAAKDLVASQLQAAYDWVKEKYSLAKEKYEEALIIKVDFYEGLLALGQQQFEMAKLHWSYVLAKKEDLSKWDPTETIELFDSAEEKMKAATEMWEKMEEQRAMELKDPSSSKREELLKRKKKQTSSNEGETSQVELSSEEAAEQVAVMRSQIHLFWGNMLFERSQVECKLGLTGWEKKLDTSIERFKLAGASEVDISTVLKNHCSNGGGAEVNEVKLKVTDDAASEAENDKPNHISGE >KZN10093 pep chromosome:ASM162521v1:1:32570497:32574442:-1 gene:DCAR_002749 transcript:KZN10093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGELPVAKRTRLGFDKILHETLRKERARKGRTSGAGAAGPEIKVEHVDLVSDEDDEGPSVVKKGESSKSRRFEGGFKKGEKREKACYSSEDDVVEMSCVDVDKFVDKFLSDEESDSDIRVISVVDIGEENADERMVDGGDDGTDNDQDDEVDVALDAKGDDLSHKTTSSEDDSFYDDESDESYREDRKESRSDHDESLDDSSSCDDEEEVRCKGKGRIVESGQRRSKKVKRRRGDFEHRGKRKVDFEFTESNSNNDEVRCRVWEKKDVECNGKVRMSESGKGGSRNDKRKKEDYECRGKRKGVSFELEESNSNDDVRHRICESLVEKCYRDKVNSSQDGQCDPKPEVVEIYSSSENDNESQENYVKRDGRERQKNLKMAAKRKASKKLDFLEILADSLDGDGEVVEEAEKEKSQHKFWFRDEDEKPVEKSDFDKHVDELFKELNMCLTFEEIGSTPPETDCSSDHMKVDNDDHCKTETDQATLCSQGIHHLVLDEQIGIICKCCSHVRQEIKHILPTFSKPSPQTRRRGYFEQSGCPIYSDDFEYTDARRHNSEIYNITGTVWDLVPGTRSSMYEHQREGFEFIWKNLAGGIYIEKLEKPLSSSGSGCIISHAPGTGKTRLTIIFLQSFMKLYPDSRPVIIAPKSMLLTWEEEFKKWNINMPFHNLNNPEFSGQENPAAVSFSSKGRDSRNNVMFTRVVKLLSWIRDKSILGITYKLFDKLVSEASQTVGCTPIKRKMGKALLKHPSLLVLDEGHTPRNDQSSIYKSLLAVKTQRRIILSGTPFQNNFDELYNTLRLVNPKFDGEMKKSLRNLSKEVQNKWSAHKLGELKVMISPFVHVHKGKILQKSCPGLKDALIHLQPTDLQQELIGVLSTDDMKARNLEFSNVATLVSVHPSLLPERYFEEHQLSTYKDKLKKLETDPFSGAKTKFVVEICRLSEALDEKVLIYSEILDPLVFIKKQLQTYFGWTEGKEVLYMCGELQPKQRQTIISSVNDPRSKVRVLLASTKACSEGINLVGASRVVLLDVVWNPSVERQAISRAYRIGQKKIVYTYHLIAQEMDNRKYNAQTAKDRLSEIVFSSDDKDSCKENVPKIVSEDEILQKMIQHNDKLGGLIKQIVYQPKDSNLIDTYDLVAE >KZN08579 pep chromosome:ASM162521v1:1:10889495:10900213:-1 gene:DCAR_001109 transcript:KZN08579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRHDDDDNDNDDLEPEEGEEEEGEEYDDHKSSRKRPRSHFIDDAAEEDDDEDGYDEEEEEEEYDDDEDEEYEGKARKRGDRGKGGAKKKKKKKKKNAGLEDFLDIYADVDSDDEVEDEGPDDDARVGLVQRRPLLPREDDQEDLDTIMEKLKARYARASQAEYDEEATEVEQQALLPSVRDPKLWMVKCAIGHEREVAVCLMQKCIDRGPDLQIRSAIALDHLKNYIYIEVDKEAHVTEACKGMRNIFTGSKILLVPIKEMTDVLSVRSKAIELSKDTWVRMKIGTYKGDLAKVVEVDNVRQRVTVKLIPRIDLQALANKLENREVPKKKTFTPPPRFMNVDEAREMHIHVERRRDPMTGDYFENINGMMFKEGFLYKTVSVKCITTSSIQPSFDELEKFRQPDENGDDDMAGLSTLFANLKKGHFMKGDRVRAVPEGVPSTALDRVIIVKGDLKNLKGVVEKVDEGTVHIKPYDEGLPKTLAVSEKELCKYFQPGNHVKVVSGATEGATGMVVTVERHLVNIVSDTTKEVIQVFSDNVVASSEVTSGKTRIGDYELHDPVMLGDMSFGVIIRVDNETFQVLKGGYHRPKIVLVRLRDIKYKIERKNSALDPSRNIIAVKDIVKILDGPFEGKQGPVEHIYKGILFIYDRHHLEHAGYIFLLSPNLGNPASRFAPKTHHFVPQSPCKMPRGGHQMNSGGRFGRGRGHQSLIVNRDAIVDIVTSSTPREPPRYGAGSETPMHPSRTPMHPYMTPMRDSGVTPIHDGMRTPMRDRAWNPYTPMSPPRDNWEEGNPASWGTSPHYQPGSPSKTYEAPTPGSSWANTPSGSYTEAGTPRESSLSYANAPSPYLPSTPGGQPPMTPSSAYLPGTPGGQPMTPGGGGLNLLSPVLGGDQEGPRILPNILVNVRRLADETSLGVVRELLSDGSCKVVLGPSGSGEAVTVFPDEVEVVVPRKSDKIKIMGGIHQGATGKLIGVDGTDGIVKLDDNLEVKILDMAILAKQA >KZN09939 pep chromosome:ASM162521v1:1:31004296:31004526:-1 gene:DCAR_002595 transcript:KZN09939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEPTPLRGEEPTPLMGDEPTSALTMVQEASESYPMSEEPAPHFTEMQVAYVPLPHFTEMQVAYVPLSEEPATLY >KZN11885 pep chromosome:ASM162521v1:1:49092049:49096443:1 gene:DCAR_004541 transcript:KZN11885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFLNVQPGMMTYNGLESCIINNQSYENDSGTSRGDGCVTDSHDEDGSSCSSSNNASGSFSSHWTSMMKDEKGREELELSDSPRKLCVKEKQAYKIEKLDVEIMKQKFAKLLLGDDITGGRKGVSSALALSKAITNLAALVFGELWKLEPLSEERKKKWRQEMDWILSPTNYMIELVPAKQHSINGQTMEIMTPKARADIHMNLPALQKLDSMLIETLDSMVGTEFWYVEGGSRAEGRSNSSRQSNRWWLPSPKVPAAGLSDTERKKLLNQGKVVHQVFKAAKSINKNVLLEMSVPDFIREALPKASNQYLNYYSGKANLGEDLYSNMTAESPTVEEVLHALNLKSEHRALEVINRLEAAALAWKHRMLEKRNYESPIHTSLSFLKDSESDMDKMEYLLNQTESLVQEIKARFPKLPQSFLDVTKIQYGKDVGQAILEAYSRVLANLAFSILSRIGDVLQEEVLSNPNSPAATFNFPGVNHVNQRMPSQHMAGFASPTLSSIANTSPGTINTKLALSKAITNLAALVFGELWKLEPLSEERKKKWRQEMDWILSPTNYMIELVPAKQHSINGQTMEIMTPKARADIHMNLPALQKLDSMLIETLDSMVGTEFWYVEGGSRAEGRSNSSRQSNRWWLPSPKVPAAGLSDTERKKLLNQGKVVHQVFKAAKSINKNVLLEMSVPDFIREALPKASNQYLNYYSGKANLGEDLYSNMTAESPTVEEVLHALNLKSEHRALEVINRLEAAALAWKHRMLEKRNYESPIHTSLSFLKDSESDMDKMEYLLNQTESLVQEIKARFPKLPQSFLDVTKIQYGKDVGQAILEAYSRVLANLAFSILSRIGDVLQEEVLSNPNSPAATFNFPGVNHVNQRMPSQHHVRHSLIDQMNIVDGRFREPNTVKYSKYESWDDKHKTSLVTGTPGQSRVWCLGREACGSLSTLSPESSP >KZN10198 pep chromosome:ASM162521v1:1:33458499:33460735:-1 gene:DCAR_002854 transcript:KZN10198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSKILTVFVLSLLFSFSSSATVSPPIPVCNSTPYPSLCRSSLPSNNATANVYDYGRISVKKSLSAARKFLSLIEKYLSRPSALTPGAIGALQDCRVLAESNIDFLTNSFQVVNKTQTLSTLRADDVQTLLSALLTNTQTCLDGLDETASSWRSKNGVSIPLANDTRLYRVSLALFRKGWVHNKNRGPFKPHRKHSAFKDGKLPLKMSDKNRAIFETVGGRKLLQTETNQVLVSNIVTVSQDGSGNYTTINEALAAAPNNSLASSGYYLIYVTAGVYEEYVSIAKNKKYLMMIGDGINQTVITGSNNVVDGSTTFNSSTFAVVGQGFVAVNLTIRNTAGAIKHQAVALRNSADLSTFYSCSFEGYQDTLYVHSLRQFYTECDIYGTVDFIFGNAAVVFQNCNIYSRLPMSGQFNAITAQGRTDPNQNTGISIQNCNIRAADDLASNNGTTKTYLGRPWKEYSRTIYMQSYIDNLISTAGWREWNGDFALSTLYYAEFSNQGPGSNTTSRVTWPGYRVINATDAGNFTVSSFLLGDDWLPQTGAPYSSSL >KZN09613 pep chromosome:ASM162521v1:1:27660473:27661969:1 gene:DCAR_002269 transcript:KZN09613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED-5 description:9-cis-epoxycarotenoid dioxygenase MSDPDIQLQGNFAPVQECPVRVGLEVAGRIPDELTGVYLRNGGNPMFKPIGGYHLFDGDGMVHSVKLSANDNIATYSCRFTRTSRLVQEQGLGRAVFPKPIGELHGKLGLARLGLFYARAGIGLVDANRGIGLANAGLVYFNGHILAMSEDDLPYEVHIKSDGDIYTVGRFDFNGQIDFPLIAHPKVDPITGDLCSLSYNILKKPYLKFLKFDSRGQKSREVDISLEQPTMIHDFAITESHVIIPDQQVVFKLSEMLRGGSPVIHDPNKISRFGVMSKNSVDESDIHWIDTPGCFCFHLWNAWEEFNQNGDKIIVVIASCMNPPDSIFTDHINDPLKTELTEIRLNMKTRQSTREIIVSEMNLEAGQVNKTKLGRKTRFVYLAIADPWPKCEGIAKVDVVTKEVTRFMYGQNRFGGEPCFVPRKKEHKDGEVVKIGHEEEEDEGHVMSFVWDEEEEKSELVIIRAKDMKEVASVKLPSRVPYGFHGTFVSAQELAKQT >KZN09510 pep chromosome:ASM162521v1:1:26437163:26437426:1 gene:DCAR_002166 transcript:KZN09510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELPQLSGEAVTGHGYQWTGPHHAPIVAPVPCMPVATCTRIETLMKNFDISASR >KZN10199 pep chromosome:ASM162521v1:1:33477200:33479311:1 gene:DCAR_002855 transcript:KZN10199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDLVGGYYDAGDNVKFGYPMAFTTTMLSWGVIEFGGIMKSELPNAKEAVRWATDYLLKATAKPDTIFVQVGDANKDHACWERPEDMDTARTVLKIDKNNPGSEVAAETAAALAAASLVFRKTDRAYSRLLVARAKRVFAFADKYRGAYSNGLKSYVCPFYCDYSGFQDDLLWGAAWLHKATKDPRYLSYIQVNGQTLGASEDDNTFGWDNKHVGARILLTKAFLVGKVQSLHDYKGHADNFICSLIPQSQYTPGGLFFKMGDANMQYVTSTSFLLVTYAKYLTSSHKVVTCGGAMVTPKKLRALAKQQVDYILGDNPLRMSYMVGYGPRFPRRIHHRAASLPSVSSHPAKLQCSQGFDFMRSQSPDPNILVGAVIGGPNEHDQFPDERSDYEQSEPSTYTNAPLVGTLAYLAHSFGQL >KZN11076 pep chromosome:ASM162521v1:1:41534589:41535643:1 gene:DCAR_003732 transcript:KZN11076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWQSKVVPKIKKVFEKNSAKKTAAAEACKSFDDSKEDYSKVFEEKKEEFEAKVKELYEKLAAEIKALIKEPKPTGLKKHSAAVEKFLEELSSFEFPGSKPAYEASTKYGATYVSGPIFYVFEKVSTYVVVEEKKEEEVPAAEAPPAVTTTEETSGTEKDKEIAVEEEKKEVAAEPEAEPEKTPEAAPAEPPKAEECAPTEPPKP >KZN10855 pep chromosome:ASM162521v1:1:39582267:39595041:-1 gene:DCAR_003511 transcript:KZN10855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNMKTLSQAFAKTAAAIEKTVQTTVQDVTGPKALHDYDLLDQIGSAGPGLAWKLYSGKARNGSSISQQYPTVCVWLLDKRALAEARVRVGLSKAAEDSFLDVIRADAARLVRLRHPGVLHVVQALDESKNAMAMVTEPLFASVANVLGNLDNIAKVPKELKGMEMSLLEVKHGLLQVTESLDFLHNNARLIHRAICPENILITSNGAWKLSGFGFAITTDQASSDSSNVQSFHYAEYDVEDSLLPLQPSLNYTAPELVRSKSSSVGCSSDIFSFACLVYHLVARKPLFDCHNNVKMYMNSLTYLSSESFSSIPSDLTSDLRRMLSANETSRPTASDFTGSPFFRDDTRLRALRFLDHMLARDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDRYDFELSTLPALVPVLSSAAGETLLLLVKHAELIINKASQEHLIAHVLPMLVRAYDDTDARMQEEVLKRTVSLAKQLDAQLVRQAILPRVHALALKTTVAAVRVNALLCFSDMVPMLDKRATVEIIQTIQRCTAVDRSAPTLMCTLGVANSILKQHGVDFAVENILPLLGPLLISQQLNVQQFAKYMLFIKDLLRKVEEKRGVTVTDSGVPEVKIPAAGAGPNFGPAKNSTGTSMSTTKSIPAWDEEWIPAKESAMSLKTSSTNVSSAKPAIAVANQQPMQINHTNLASSVAAASSQPTSESNAPADLEWPPRSSVGVSPPVGDNTDKKTQNGNLSSSTFDDVDPFANWPPRTTGTVGSNSSGHSTIGQSAINPASNWNASTLNGTSSQSYNNSSWTYGTQNAVEPMRQNQMSSTLNYGSLNGNTKSQGSLEFMKSSQPDSARISAPQKPADIGSIFSSNKGDHSALRLAPPPMTAVGRGRGRGRGNQAQSRANTSSRTGNTSSGQPPLLDLL >KZN11899 pep chromosome:ASM162521v1:1:49240321:49244929:-1 gene:DCAR_004555 transcript:KZN11899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSDKWGEGNDESPLSWSIMCDSGCFVPILSAPMLIFRASLVLVSFFNNGAAAADRKLLASESHEFHTIKLSSILPGSVCDSSSQGHKLPSPSSLKVVHKHGPCHKTKESSLPSASELLATDESRVKSINSRISFSAARNTFASTDSVRLPAKSGSSLGAGNYLVQVGLGTPKKDLSLIFDTGSDLTWTQCEPCARSCYDQAEPIFDPSKSSAYSNVSCGTPACNQLKSATGNSPGCNTNTCVYGIQYGDQSFSVGFFGKDTLTLTPTDVVPNFFFGCGQNNQGLFGQTAGLIGLARDPLSIVQQTSKQYGQVFSYCLPSRSSETGSLTFGQSYVSKAVKYTPFSSSQGTTFYFIDVLAMFVGGQKLQISPTVFSTAGSIIDSGTVITRLPPAAYTALRDAFRKQMTSYPMGKPVSILDTCYDFSKYNTVKIPTISIIFGGNTKIDIDGSGILYAVSSSQVCLAFAPNSDASDVLIYGNVQQKTMQVVYDVAGNKLGFGAKGCL >KZN11879 pep chromosome:ASM162521v1:1:49014260:49016596:-1 gene:DCAR_004535 transcript:KZN11879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP707a-2 description:abscisic acid 8'-hydroxylase 4-like MEFISTTMFYIFIFMVSSLALVKILLKVFPSGHRKLALPPGTMGWPYIGETFQLYSQDPNVFFASKIKKFGSIFKTHILGCPCVMISSPAAAKLVLVTKSHLFKPTFPASKERMLGKQAIFFHQGPYHAKLRKLVLRAFMPDSVKNVIPDIESLATESLKSWEGGLINTFQEMKTYTFNVALLSIFGKDGIHYREDLKKCYYNLEKGYNSMPINLPGTLFHKAMKARQELAQIIAKIISFRRETKQDYNDLLGSFMGDKEGLTDEQIADNMIGVIFAARDTTASALTWIVKYLAENPSVLQAVTEEQEAIMRTKEESGEKKDLSWADTKKMPITSRVIQETLRVASILSFTFREAVEDVEFEGYSIPKGWKVLPLFRHIHHSPENYSEPEKFDPSRFEVSPKPNTFMPFGNGTHACPGNELAKTEILVLVHHMTTKYRWSVMGPQTGIQYAPFALPQNGLPIRFSLK >KZN08941 pep chromosome:ASM162521v1:1:17978249:17978500:-1 gene:DCAR_001597 transcript:KZN08941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKRLIQPPEKSTSFTRINRRPAFDSVQAIFATNQLPHTHLLAIIDEEVKKEGDRYEENREEIRRETNKYNLLYVYIYAPKG >KZN09405 pep chromosome:ASM162521v1:1:24635390:24636821:1 gene:DCAR_002061 transcript:KZN09405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQKHFPTVAHKLAGQFHVSSSLSQDVRSRYGAPAQRTQFAYGNYTNAGFQYPQTCVSRDLIAANASPIFVQAPAEKGLAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKTGRLSEPYKGIGECFSRTIKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKSLFNFKKDRDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGKLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFTQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKFGSGGA >KZN10102 pep chromosome:ASM162521v1:1:32631975:32632439:1 gene:DCAR_002758 transcript:KZN10102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNQQSQNSSGEYREIYIATSHSLISSPPAPHQTFTISPTTAHAFRLKAAARQLPSSGEVIPIEIHPHLLPEKRNHLESSRKKTGELTKVSSKIKQDAGSTSVPVQHQEKETMNDKFTQYINRVKHGMLRSLSNVESTEKIDDDNEVCITHKF >KZN11499 pep chromosome:ASM162521v1:1:45222262:45225600:-1 gene:DCAR_004155 transcript:KZN11499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSETPVKLEGTPLAILVCWFLGLGSLVAWNSMLMIGDYYYALFPDYHPSRVLTLVYQPFALGTMAILAYNESKIDTRKRNIAGYILFFLSTLALLVLDLATSGKGGIGNYIGICAFVGAFGVADAHVQGGMAGDLSFMRPEFMQSFFGGLAASGALTSGLRLMTKAAFENSGDGLRKGTMLFLAIATFLEFLCIFLYALVFPKLPVVKYYRSKAASEGSTTVSSDLAAAGIQKQEIQQAEDDADSKKRLTIKELCYQNIDYLLDMFLIYVLTLSIFPGFLYENTGEHRLGSWYVLVLMAMYNVFDLIGRYVPLLEFIKLESRKGLTIATLSRFLLIPAFYFTAKYGDQGWMIFLVSFLGLSNGYLTVCVMTVAPKGYKGPEQNALGNLLVLFLLGGLFAGVSLDWLWLINSGKEF >KZN08264 pep chromosome:ASM162521v1:1:4517273:4518427:-1 gene:DCAR_001329 transcript:KZN08264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISTGSFLRLEVGAFTWEIHLSLRVAPLIFNKVEILVFRFQLRMEDDLVHIRFITRGNFRKIDM >KZN10504 pep chromosome:ASM162521v1:1:36385762:36387455:1 gene:DCAR_003160 transcript:KZN10504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFMMATKGMCVTEECKKSFMEMKWKKVHRYIVYKIDEKSKLVTVDKVGSSGESYDDLAASLPEDDCRYAVFDFDFVTVDNCRKSKIFFIAWAPTASRIRAKILYATSKDGLRRALDGISYEVQATDAAEMGFDVITDRAK >KZN10393 pep chromosome:ASM162521v1:1:35284477:35288353:1 gene:DCAR_003049 transcript:KZN10393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPYLYLARKLAGDANLHFVESPALAPPEVHIDIAAQQQHEAELLAAAAQPLPDDDDDAFE >KZN08718 pep chromosome:ASM162521v1:1:14209696:14214141:1 gene:DCAR_001374 transcript:KZN08718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVILGMPGPWADDLYEAADHYTTKFGGLPDWPIPNMVIPHNWRVCRSCGNSLLLVAQIYAPVSTKTLTFEERQIFVFGCISPTCKGNPESWIAIRVQRCSSNRISESHEEENVLLPDASYQASNTDWRDEFWSFDEGNDDDVDLEELGRSLSEAAGLASNSKREGIPHQSEATIDFLSTNQTIKVPSDDTAVVAGGHNDRANANPVELEKATVINPVGIKGKNAAAGENNGNATVQVLPCFYVYILEEANQKDVASVCLSYTALSIKDNEDYLDDSSSKEAWDEEAYEYDRALSASRTYLKFKKRMDAHPEQCFRYSYGGKPLLAAEELGDPGRCVLCGRPRHYEMQLMPPLLYFLQQATTKQNYTLENWNWMTLLIYTCSDSCSNSREETSGNGEWIVAKEAVMLQGE >KZN09618 pep chromosome:ASM162521v1:1:27701251:27702009:1 gene:DCAR_002274 transcript:KZN09618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATFSSLHHNISISSSPNKPHHSLTSPNLIKFPSSQSINRSSLISKPTLSPIPTRTRAAADDFTAPATAFHGVCYVVGDNIDTDQIIPAEYLTLVPSNPDEYKRLGSFALIGLPMAAYPTRFMSPGEFTSQYSILIGGDNFGCGSSREHAPVALGAAGVKAVVAESYARIFFRNSVSTGEVYPLESEKRLCEECKTGDVITIELGESLLINHTSGKEYKLKPVGDVGPVIEAGGIFAYARKAGMIPSRAV >KZN11431 pep chromosome:ASM162521v1:1:44601051:44604189:-1 gene:DCAR_004087 transcript:KZN11431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTNTTAATPGGSIGPHALAFRVMRLCRPTFQVDTTPLRFDPFDLLAGEDFFDDPIASDNFSSLKSAVDSQSIGSDSSADLTYRTRLLLDQPSDAMGIPGLLVLPQSFGAIYLGETFCSYISINNSSNFEVREIHIKAEIQTERQRIMLLDTSKSPVESIRAGGRYDFIVEHDVKELGAHTLVCTALYNDNEGDRKYLPQFFKFMVANPLSVRTKVRVVKDTTFLEACLENNTKSNLYMDQVDFEPAQNWGATLLNADHHSSEKGDKISEVFKQPTLIRSGGGIHNYLYQLKMVSHGSPRMSVEGSNILGKLQITWRTNLGEPGRLQTQQILGSPIRHKEIDLQAMDVPSVINLEKPFEASILFDVINKQMLLFIG >KZN10092 pep chromosome:ASM162521v1:1:32565670:32568986:1 gene:DCAR_002748 transcript:KZN10092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNSVYRHLQEVFPTIDTRVLRAVAIENSKDSQVAAKVVLEEIIPFLPVKSESFNSANEINSCSFEGAEAVSPTFFAQIYESLSSENGEIVGMGDKPVYQKSPGESADISNVGVDLSAVNTTLLNEKASNNESKQVHIEFANEKNSSSQTSKKSSKEDSEVLDDSSLDGDVASSSTQNVLLDGSTNSIELVTVPEPSYSFITVSKTDVPPSEMIDCENKSAIHTMADPETTFSNVDKPEKSNFTVAASEKGLAITVFDDFEGETDVNTAIRSQYEHIYSTQHLEDIIESSKSEKITLQSAKESLYSLMREVELKENSVEQAEEEAAHGGLSILINVKELKQMLQRAKEANDMNAGEVYGEKAILATEVRELQSRLVSMSDERNKALAMLDEVMSSELTPHVGVQGGMRQSLKGRLEEAERGIKAAEQERLDKEEFARKSLAYENSIMEKVVEESKILEQEAQKNSELREFLMDRGRLVDIIQGEISVVCQDIKLIKEKFDQHVPLSKLLSSSYTLASLTSSSSSIPSTAPQQMSELVHALDSIKALDRSIADDQISYGEEVPSHQKELIDDGWEFFNKEECRT >KZN09501 pep chromosome:ASM162521v1:1:26071118:26074148:-1 gene:DCAR_002157 transcript:KZN09501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSTESRGPMPSSPGVRKKKNSRKKLGSRNSSFESQREEQLHRIPGRMFLNGSSDVASMFTQQGKKGTNQDAMIVWENFASRTDTIFCGVFDGHGPYGHLVAKRVRDSLPLKLSAHWEVNTKSDDVLREISLNGTGSLNSESTSFLNADVESRASFDVEENEKNTNMFQTLKETFIKAYKVMDRELKMYTTIDCFCSGTTAVTLIKQGHDLVISNVGDSRAVMGTRDENDLLTAIQLTVDLKPNLPAEAERIRKCKGRVFALRDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPEISHRRLTEKDEFVVLATDGIWDVLTNKEVVDIVAAAPARSYAARALVESAVRAWKSKYPTSKVDDCAVVCLFFNSNDLSSASVIESNEKIVPVEECVIDNVKKDTSANIKRGEKLGEECEEEEVEADEDDPLLYADPEKDWSALEGVSRVNTLLTLPRFTPGKEDKPAAGEPKARK >KZN10133 pep chromosome:ASM162521v1:1:32897318:32911788:-1 gene:DCAR_002789 transcript:KZN10133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQPPRFNRRPAAGHAPTPPLQHNPIYTQNPNYFLPIPNLAPQFIQNPNFNFQNPNFPSQNPNFSTQNPNFPVHNPNIRPRSNEALDRVDKAALKARGEILAAGESVTAWKVSQAALLMLKADSWESLGFQMQQVPSLYHLMAIEGKVNAFIHCFVGVRKITSLYDLELAICKNEGTEKFDELDLGPLVRHPLIMHYFGSSSEVKDVFKITTEEIITFLSTFMRRKHKDIKADELLDFIAKKKSVDSKELLGVRIRSLGMHMSHIHQGWNSEQAAIKKYIGDDKPTSGSKRRKRPLFTSQKKQLDEHFGRISERINSFTSTHEEFCGKHIKFNSSGSEDVSDSSSDGEDNKDENLPKNSCRYQSQNENSSDRVSSCPYPSVTEERTRLGLKGEVGPSPASSSLKDNELSRPLKRKRQSQNSSTGTPKQLKKSNQGDAHRSINNKQFNMSKAKKKKLRFLSQMNEVELSRDNDSIRMFILTWKEACRENNVTEVLDRMIQFYKTRKGKKVKAMFMQKPCAELLNVAVEAIKTGMCDSMYDTLQTLNPLGVANALPKKCTEAVSIEVEPAEKNSVHAIGRDLTYQHSITTEDILTKLATYFANDIDTVGKGDLSFEERIIFWRKLSKFEFWLAEQFSVESFQSLGYGEFFTFIEKHVSLLPRAFQRILSFETCENISLDACMLQHQLDVLLYQASNSLLENETLSEQKISELLARQFPSICLKLVTNGSSKNIEDFLNGKKHYKSSNSVLFSATLFGKSFTEDISDHHINADGLASSIGHNTEVIGIVSTKDARDALIKAPMLTDLESWSHWGHKYYPSFGPLLPWLLTEVNAKELLCLVTKEGKVVRIDPSASLDSFLEAFLRGSSFDTAVQLLSLVALYGGDCHVPLSLLRCHARKAFEVIVRNSLDDELNKKDFVMGGKSLDREFLFVEAAYNGEKKNKCGSDRAMSVAAKFILDCLGYLPLEVHKFVANLLLTGLQFMTKEAPLAILNECKNLKQRCMIHDVGLSLGIVEWINDYNAFCLTRPQELTMSSIQPSLVDASFESSCTNIYTPKTGDNLPFTGNDASAPSLTSQPDEQHKEVCTTKYNTETSVRIVHEPKHFSQGDEVNSSDAVVESIRREEFGLDPNLMASESSMLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNVYPVNVEPTLTFILMEEGIVVLNNERGFSTDNIRALCDVGNSTKKEPSAGYIGKKGIGFKSVFRVSDAPEIHSNGFHIKFDISEGQIGFVLPTPIPPCDVETFNKLVKQDADDNDNCWNTCIVLPFRSKFSEALSVDKITSMFSDLHPSLLLFLHRLQCIKFRNMLNDSFTVMKKEILGCGIVKVSLGNEKLTWFVASEKLNSGAIRPDVKTTEISIAFTLNDLGNEEYIPRLDQQPVFAYLPLRTYGLKFIIQGDFILPSSREEVDGDSPWNQWLLSEFPRLFVSAEKSFCSLPCFREKQGKAVSAFMSFVPLVGEVHGFFSSVPRMIISKLRRSNCLLLDGSIEEWVPPCKVIRNWTDQTRSLLPDSLLSQHLGLGLLHRDTILSDTLAKALGIEEYGPRILLQVLSSLCSSEDGLRSMGFTWLASWLNAIYLMSFHSGQVVSNNTTELDILAKLRKIPFIPLSDGKYAPLTDGTIWLHSDSDNEYIPEAFPKLYATLRTVNPVLLSAVTDSSISEYDTYMMDNITKMLCLAGVERLSAHEIVKVHVLPALSSFKNGQDDNEMMVEYLAFVMFHLQSKCSNCSAEREQILSDLRSKAHILTNYGYKRFVDTPIHFSKDFENPIDMDKLIDGIEMKWHEIDNNYLKHPITKSVSDGILKWRVFFKDLGATDFVQVVETKKCVSGISNILNIMMSDRNMISSNSFVEDWESHELVDLLSQLSSTGNREKCIYLLEVFDTLWDEYFSNKVSGYCNTSSAEKIPLKSSLLSSLHDVKWIATAVGEDLYCPKEVFHDCEAVWSLLGLNVPYAVPKVKSTKLVNDLGFKIRVTLDDILSILQVWRTSQIPFRASISQMSKLYSFISYEMVTSNRKIMSTLTSGAFIFVPYSAGSSYQDVVSGALMSPEEVYWHDLTGSVDSVKGIHPSTDLAGKTHGPCSNMLKSIYPGLHDFFVNDCGVQETPPFRNYLQILQQLSAVALPSQAAKTVFRVFLTCANGLKSGTLSSKDIDYLKACLLNLDFTVLPTSVDRWISLHPSFGLVCWSDNEKLRKEFKHCDNIEFLYFGELSEEETETLQKKISVFMRQVGIHALSEVVTREAIYYGPAESEFKTLLINWALPFAQRYIYKTYPDRYNQLKQSGFVDICQLRIVVVEKLYYRNVIKRSDLSSKKRRECSCLLQGNILYVTQESDSHSVFLELSRQLVDGNPELHLANFLHMITTMAESGSSEEQTEFFILNSQKVPKLPEEESVWTLSSALQSAENDSTQMKSVTPVIDESNSLSKRRHNVNSNWPPADWKTAPGFGSAYANGLMTQPCNTTQLRKENNDDELSRLMDSTATVKANADRTLEDDELAAPPADININLESLEDQPDYVNNMVISGRNVDFDSVDLVATNEGPNTSTAIPFERDQLSTGNANVEQALLTGRLGEFVAYRYFSGKFGTTCVKWVNETHETGFPYDIVVGDEYIEVKATKSARKDWFNITAREWQFAAEKGECYSIARVTLHGNDMAKLTVYKNPARLCQLGQLQLAILIPRSQHQKEFSVVA >KZN09524 pep chromosome:ASM162521v1:1:26642117:26646032:1 gene:DCAR_002180 transcript:KZN09524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSIAKSQLHTVSWCTLSNCAFSNHNIQSPEVTSKDIPADEELNDSVKTLREKLSSALQNISSKDDLVKQHSKVAEEAVSGWEKAENEVFALKQQLESLTQRSSSLEDRTIHLDVALRECMRQLRHEREEQEQKIHEAIVKKTNEWEATKSGFQKQLVELQSQLQAAKGEGATSWHIDLRSKLEAAEKEISSLKHELLSRSEELELRTVERDLSTEAAESASRQNLESVKKVAKLETECRRLKAVARRAPSFNEQRSITESSVYVESFTDSQSDIGERLSLVDNDTHRIHELGPNDWKQNPSTSSVSESANFRNVKALGKSLTASSLDDSLMDDFLEMERLVALPDTQNVNPYQSRSIMEQSKEGNTSSRSELETMRNRIVELEDKLVKTEADKNKLETFLTKHQDQIRTLTDCLEEAEMKLMKMEADKNETEASLIKHQLMLHTVRDRLEEAEMKLVKMEVDKNETETILIKHQHQLEQLRARSKETEIELAELQTRLAVANEARETVEAACNDINSKKETAESRLGVVDNELKAMITRIRSLEEEIQKERSFSEETISKCQKLEQEILRLKHEGEIQRAASSHTQLKQNQDKELAAAAYKFSECKKTIASLGRQLKSLATLEDFLSDSDNPCTISRVLIPVVDCSSSRGSVYLCMYDACSQHLLQICKRQEHSKQGGEKNKEMDKYCQEERK >KZN08282 pep chromosome:ASM162521v1:1:4936327:4936707:-1 gene:DCAR_000828 transcript:KZN08282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVMILVLAISLVCLTCLGEARPIHEKSDVSNEKSAEKDFTFPGEPPFEFPFPFPPLPEIFPFPPLPSFPFPLPPLPSFPFPLPPLPSFPFPLPPFPSIPIPPVIPFPPLVPDGPVSPPPLPDV >KZN11204 pep chromosome:ASM162521v1:1:42555756:42567160:-1 gene:DCAR_003860 transcript:KZN11204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMTGLSTASPVDTILDKDNYTLEELLDEDEIIQECKAINSRLINFLRERAQIEQLVRYIVEEAPEDAEKRRTFKFPFIACEIFTCEVDIILKALVEDDGLMDLLFSFLEPEHSHSTLLAGYFSKVVVCLLLRKTVSLMNYIRGHQDIIKKLVDLIGITSIMEVLVRLIGADEHLYTNYVESMQWLEETDVLEMVVDKFSSSDCPEVHANAAETLCAITRYAPPGLAAKISGPSFIGRLFRHALEDSRPKSVLVHSLSVCISLLDPKRLSLGTYYSYNRQMTHGSVVTANPETVEGMLESLGNLLKLLEVSSEENVLATTYGRLQPPLGKHRLKIIEFISVLVTVSSEAAEKELIRLGAINRILELFFEYPYNNFLHHHVEQIILSCLESKKAPLIKHLIHDCNLVGKILEAEKNSTLNIDVKKPTVPAEGRSPPRVGNIGHITRISNKLVQMGSNSDIQTLLQENSEWSDWYANVLLKRNTLENVYQWACGRPTALQDRTRDSDDDDYQDRDYDVAALANNLSQAFRYGIYNNDDNEEAHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDGKTGNEGMTGVAASPSPNTEDPDVTDGVVNDKLIGDGSADCGTSEVPTEKTTENSSLGDLSKDLKESAATEIEKPPEWVEWRESSDSLEPTEKNIDSAVSSTAATSSAEISDAATNSPELSEAAANIDPHDSVPESVEQTDATTVPVAPSTDISELSSVDTPPALPNDESEVELKSGDSDSSFGKADDSPSSTGQTIDVEKSNTGGLPEPVASDTSPPVCQPSEGEEVPSSLSNVDKPAETEAVTSGAAELEVEK >KZN10951 pep chromosome:ASM162521v1:1:40588555:40606372:1 gene:DCAR_003607 transcript:KZN10951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDLNNDALLARVQQLENERDELRKDIEQLCMQNSGPGYLAVAKRMHFQRTTALEQDIENLRKTLASCMRENTNLQEELSEAYQIKVSSIHFCQNMEAEKQVKFFQNCVAAAFAERDQSIIEAEKAKEKLDLMTQKVNDLEKRAEELTLNFLEEKRNSAAVRNDLENQEKQNENFKKVVNKFYEIRHHLAHGIRDISWEDKCERLLNDSEEMWSFNDYSTSKYITALEEEVEILRKSVDNLQSRQRMGLEIENHLKKKVCDLERKKNLSGEKIRGLLELLHQFSQFRTDVINLLNEGSSYLKAISGSVEETIKRFEVDREQRSISTDTGMLLHKNEPVYVHVNNDAQAPSDLITKADTPDSQNITSNVSGDTSEALSQALHEKVATLLLLSQQEERYILESNVNAALQNKLEDLQRNLLQVTNEKVNALMELAQLKQDYYLLQEYDIFYGKVIQEIKQGNPLPGTGEKRIVQDRDGKLKNILKKTYFRKWIDPQDASGIEAVTDLYKEGTTREKVSNLSMDSARMKVENAALRESLESMHHLTLSVHRLRLSLLKVRESILSMGAVTSCIETLNNIIYEAKLVKTALGSSLPVSWSAETVILSVEHSDKALTDVQENSSAEKVDCVSAAGVEMVELLILASQILLDDITNRD >KZN09346 pep chromosome:ASM162521v1:1:23763145:23763615:1 gene:DCAR_002002 transcript:KZN09346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTLRLPPLPAQSTHSRPHQQPTITQVIAKGPSTKTAKSIKVKSVAPVNTDEGTSVPASKSTKRKAPTTAISVSPTKRVTRSQTTPEKVKSVVAPPQRQKRRKLVVVYDYEELVQKEAEEAQRAAPALVRRRKAAPTGPIIDFTISDEEVPERIS >KZN12109 pep chromosome:ASM162521v1:1:51073786:51076366:-1 gene:DCAR_004765 transcript:KZN12109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQQEHLVDQRQPEGQEQPAVAKMPVTAPSRYSSRVILKTILNRNDNGLGLAGERLVIGGWVKSSKELTKEEPVKTSDALVNAGTPTDFTCAEVIQSRIPFLRTIIKVFGGQGNNVHDKLHPFMAKLPQPKIVYLQISDGSCVQTLQIVVHSSLVPPSQIMFTGTCVLVEGILQQSSVKGKRVIELKAERILHVGTVDHAKYPLSRKRIPVDELRDHAHFRARTTTVASVMRIRNALSHATRTFFHNNRFLNVEVPIITATDSEGSSEKFYVTTLSGHQTKMKNPISMDDAASVTLRSVKASIAEKHRQVEELMRTGSNKEAVAAALQDIRKTDDLAHQLEARERLRADHANAKTNEPNVSNDFFPCETYSTVSGRLHLESYACALGNVYSFGPRFRAERSESKKSLPEMSMVELEMAFSQLEDAVNCATDVLKFLCAWVLEHCSEDLMFVSKRLDSTILDRLQSIAVGSFEKISYAEAINNLKKDTGRKFDVKIDHGIPLSEELQCYIADEIYKRPVIIYNYPKEHKPFYVRVNDDGKTAAAFDLIVPKVGTLIRGSQNEERFNMLESRIKELNLPKNQYEWYLDLRRHGTARTSGFSLVFDLLVLQATGLNDVRDVIPFPRSFGKACN >KZN10432 pep chromosome:ASM162521v1:1:35658551:35665962:1 gene:DCAR_003088 transcript:KZN10432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQHRCVFVGNIPYDATEEQLIRICEEVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNMDKSREQGHGGPGLSTNIGDNQKQVGAQAGRPGSGLQQLIGLPVAMAAANVMAGALGGAQIAAKPTQFGLENQPGFGSDPLTMHLSKMSRNQLIDIIAELKVLATENKEKARQLLLATPLLPKAIFQAQVILGVVTPQMLQMPNIRASFSSGQGQQSGVQPLPSFPPLAQNNLHSDLMLKSQEVEVPAVQLPILSQSKYQQQGPAPVLPGTSTVFPPHSQNMMNMSARPHIQAAATPYAKQQVQPYMFQHQSQAVPAKLGHNNSQLVHPQGIREPFLSSSLNVDGVPNYTSTEYVRPPQLHNNTDRETYQRLPQGLSEKATMINNNQDTVNRPSKLLKLNDGRSTSFPADVNMYTSVNGPSQVTGMYSSHPKPEEASTSEKQTSQLPLELDSAILQQVLSLTPEQLSSLPPDQQQQVIQLQQMLRLS >KZN11771 pep chromosome:ASM162521v1:1:47965097:47974681:1 gene:DCAR_004427 transcript:KZN11771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMKHLLRKLHIGGGGADNFADHHRVEASSSVSASPTPQTTPVAAETAAYSALTSGESAAAEEAYFEEEYQMQLALAISVSDPETREDAETAQIKAAKQRSLGLSGSESVVEFLSVRYWSNNVVNYDEKVMNGFYDIYGGSSNLTTQGKMPSLVDLEAIPVSDSVDYEVILVDRANDIELRKLEEKVVGLLLDYQALGAGQIMNGLVQIIADIVVDRMGGPVSNADELLKRWTSRSYEVRNSLKSVIIPIGCLEVGLSRHRALLFKVLADRINLPCMLIKGSYYTGTDDGAVNLIKIDDGSEYIIDLMGAPGTLIPAEVPSCNLQNFGLDTRSYTDISDTVIGHERVVTASSSNSVTALSEGIPSKKDDKNVGDKNQMERFEYDFGKLLPSLCKSNEGLSSGGEKPSAAKKLQVKDVSKYVISAAKNPDFAQKLHAVLLENGASPSSDMFSNTNPQYLGEDNMLAKCNIYDGNMADWGAQCDHTFLRNSEQCFVPFTGAQLFENVSYDTEQNVIKRPQTAQEELNFPNTDFMLPLFVANKEGSVPNYSETTTNFSTHDANAVGSSQLVASVLQADHTSVSSLSRAYNPINGQPRDALVGNDALCSKESTTDVSENIEKQEETCMQLITKSNGDQNISCNTYNEKSSPVLGEVAEWEIPWEDLQVFERIGIGSYGEVFRAEWNSTEVAVKRFMNQDISGDALDQFKCEVEIMLRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLFKLLHRPNIQLDEKRRLRMALDVAKGMNYLHTSNPMIVHRDLKTLNLLVDKNWVVKVCDFGMSRLLHHTFLSSTSTAGTPEWMAPEVLRNELSNEKCDVYSFGVILWELATLRVPWNEMNSMQVVGAVGFQHRHLDVPDWVDPLVTELILECWNP >KZN11215 pep chromosome:ASM162521v1:1:42717812:42718961:1 gene:DCAR_003871 transcript:KZN11215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSSGEKSNPAVENVTAVKHPYDALCALRLKTYDIVMSDVHMPNMNGIQLQKHINQEFQLPVILISSDSQKQMEGLQNGAVYFMVKPVGIDDVKNIWQFSVWWKSKINNSTLPREINSHSEEDLVRETALSSDDIINARSDRVVWSGDLHDRFVEALIVLGFYNAKPKKILEVMNIPGLTRENVASHLQVS >KZN09079 pep chromosome:ASM162521v1:1:20037013:20039915:-1 gene:DCAR_001735 transcript:KZN09079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSGGAYRSRQGLSTRSVASSSDEIQLRIDPMQADFDDEISGLRGQVRQLRNVAQEIHSEAKFQNDAINQLQMLLIKAQAGVKNNVRKLNKSIVQSGSSHVVHVILFALVLFFVVYMISKVSRK >KZN09850 pep chromosome:ASM162521v1:1:29972208:29973029:1 gene:DCAR_002506 transcript:KZN09850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKKEEAGGQDAAERIKAAALSAAKGLSRAQAERAAEAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKQVRLGERKDVKNSMSTVSGAGSCQKCFEIGHWTYECKNERVYISRPSRTQQLKNPKLRMKPVSYDLDNPDIVNEVEPEKNSKKTKTKTVRKSKRKHKSDTESGTDSDASVFESGSSSDAGSDRSSEESESSYSSSSDSEEERRRRSKKNKKKKQQQQRKRRHRKHSSTSESSDSDSDSESDSDSEDRSRRKKRSSKKH >KZN11986 pep chromosome:ASM162521v1:1:49886143:49887619:-1 gene:DCAR_004642 transcript:KZN11986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQCYSVHDFVVKDAKGNDVDLSQYKGKVLLIVNVASQCGLTNKNYTELSELYEQYRGSGFEILAFPCNQFAGQEPGTTEQIANFVCTKFKAEYPVFDKKDIKKLLDEA >KZN09382 pep chromosome:ASM162521v1:1:24447649:24448282:-1 gene:DCAR_002038 transcript:KZN09382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKKTKKTHESINNRLALVMKSGKYTLGYKTVLESLRSSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGSKFTPLVVRFFCCIVLLITLSWLFRDGLSVPGVFGLVICFYCLFGLFCI >KZN09350 pep chromosome:ASM162521v1:1:23934158:23934634:-1 gene:DCAR_002006 transcript:KZN09350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQEEKQRAEAAETSLAAKNKELEDSYAKLVKAESARDAAVDNYLEGEEYEELIRAHDDMFYPKPFVDGWRAALRSIEEKFPGALDLSEFQVPENIIRGGSTSATSVFVGGDNRIIVPVHSPLQDRTEENPDEVTQKLEDVEGERVERSPHHSSSLHD >KZN11024 pep chromosome:ASM162521v1:1:41128626:41134103:-1 gene:DCAR_003680 transcript:KZN11024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLPFSQSSLQINGSLNQLAVLKQFGAGGYGGSDFASDGAEFQQHQKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGVENTCTSGSTLSKAAASFGRARAQMEKERGNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAETQAIEVSRRQAKLREGTGNPDIVSKVEAAEQKLQDLKSNMGILGKEATAAMAAVEAQQQRLTLQRLISMVESERAYHQRVLQILDQLEGEMLSERQRIEAAPPAPNVESNPPPPPYEEVNNVFTTTHNGSSDDMDYFLGEALYSYQAESDVELNLSIGDYIVVRKVSNNGWAEGECNGKAGWFPFGYIERRERVLASKIADLS >KZN10861 pep chromosome:ASM162521v1:1:39620584:39626539:1 gene:DCAR_003517 transcript:KZN10861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLCSKATWSNDFVVDYEREKEKESRKSSVQLVPPKEEFVAESRRGSVHRISNENVGSVRGTKDGEDRIVERPKSGHHKRTGSESIHGRISSNPGAAEGEATEWPSWLISVAKDAVHGWTPRSADSYEKLKKIGQGTYSSVYKARDLKTNKIVAMKKVRFVNMDPESVRFMAREICILRRLDHPNVMRLEAIVTSRMSDNLYLVFEYMEHDLTGLLASPDVKFTVPQIKCYMQQLLSGLEHCHRRGVLHRDIKGSNLLIDNKGVLKIGDFGLATFFNPDKKQLLTSRVVTLWYRAPELLLGATSYGAAIDMWSVGCILAELFVGKPIMPGRTEVEQMHKIFKLCGSPSEQYWKKTKLPHATSFKPQQPYKRQVAETFKDLPSSALELVDVLLSVEPEARSTASSALKSEFFTTAPLPCDPSSLPKYAPSKEYDAKLREEKKRRKVESVKGRGPESVRRGHRELKENDPTPEFMAHGQQVHSNKCASVAYKPHGDAGAGFPLEPSKGLKNTGINHSTSMVHPSAAVSWSAKAKDETGVTVSGRTNSSIHRGSNLRRQHSHKNEKASSNDNMGQIRKKSRILCSGPLVPAGGSMEDLLKEHEKHIQDAVRKARLDKDKSKKNLGD >KZN11924 pep chromosome:ASM162521v1:1:49452815:49453159:-1 gene:DCAR_004580 transcript:KZN11924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLDNDRATVDYSLVHVPTGEVISSYASLTQILLELGWKPYDNGNSELLQFAKDSGFISLPKNFNKFKGVSKAMVVTNNPGVFKLVQETNEQKETTAAAEDSS >KZN08580 pep chromosome:ASM162521v1:1:10918079:10918231:-1 gene:DCAR_001110 transcript:KZN08580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSELYSAGSAKALPGFRKFDCGLNEDVEHIRGGVCKIPHRFDNEYLGPL >KZN10196 pep chromosome:ASM162521v1:1:33448348:33450304:-1 gene:DCAR_002852 transcript:KZN10196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPFLSSSFTSILLIFLLPLLFSSVAKAADICASTPYPSLCRSLPNNNNATANVYDYGRSSFTSSLSKARTFLSLTQNFLQRNSDLTPGAIAALEDCRVLAELNVDNILESSKVISQAQSLSTSEMESVHTLMSSILTNAQTCLDGLEQAAPSWSLKDGVSIPLVNATKLYSVSLSLFKTGWVPKTMSMPVSYAPRTLGAFVNGRFPFKKAGQGSTNFEKLGGRKLLQDGGGDYIVVSNIVIVSQDGTGDFSTINEALSMAPNKTLASAGYFVIYVTAGVYEEYVSIPKNNKYVMMIGDGINQTVITGNRSVADGFTTFNSATFAVVGDGFVAVNITFRNTAGGANHQAVAMRSGADLSTFYSCSFEGYQDTLYTHSNRQFYTECDIYGTVDFIFGNAAAVLQDCNIYPRLPLSGQFNAITAQGRTDINQNTGYSIQNCNIRAADDLASSSGSTQTYLGRPWKLYSRTVYMQSFMDSLINSLGWHEWSGTFALDTLYYAEYSNTGPKSDTSGRVTWTGYRPSLNETEAAAFTVTNFISGDDWLPLTGVPYSSGL >KZN10922 pep chromosome:ASM162521v1:1:40314543:40314755:-1 gene:DCAR_003578 transcript:KZN10922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHKRSRKEDEGMEEWAYEQTKGELSIAAERASRKMKFVAEMRLLQYREETKGRHWVPTKDETDTEVMQ >KZN08545 pep chromosome:ASM162521v1:1:10138936:10139706:-1 gene:DCAR_001075 transcript:KZN08545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSKAIQKGKEKKFAPTWVEKKAMVDREEDAIEEEIQEQEDWIAMVKGMDNLEMKNYLSSRPDHLKAENLEKCEPSKRTRKRGGNGKSSSTSNKIRGTVWMFNGDGK >KZN09082 pep chromosome:ASM162521v1:1:20048006:20051083:1 gene:DCAR_001738 transcript:KZN09082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALIWNPRLLTSRCCSSWPRSLNLTTSFCSLSDHNNNNSKNNDDVYTNQARGGLPRFHSPLLPHSQGNILRVVGDEFWHMTKVLRLSTDDRIELFNGKGGIIKGFIKSVDRGGLDFVALEDPRVVSPPTSEWHVCAAFGTLKGGRADWLVEKCTELGAKSLTPLLTERSSSISENRINRMQRVILSATKQCQRLHEMTINRPANIKGLLPIVAQSKLSLVAVAEATPVINVLTSSKLEFPGLIIIGPEGDFTEKELNMIVGAGATTVGLGPHRLRVETATMSLLATLMLWSDAQHIPCQ >KZN11270 pep chromosome:ASM162521v1:1:43260939:43265541:1 gene:DCAR_003926 transcript:KZN11270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFNVLCPHSPNVWSATGVSKCFDDLYPFYSAFRNFCCNMSKREPTVAAGSHVWNLNYTYQNETGISKEQEKAQNIWQLMSFEIISSVLQRGAGKQLDFEDLLELPADMDPLSCHLMLLSCWERQRKTGHPSLFRAFCSAYGWSYISLGLLKILNDCLGFGGPLLLNKLIRFLQEGGENFDGYIFAISLGLTSILK >KZN11103 pep chromosome:ASM162521v1:1:41717481:41718848:1 gene:DCAR_003759 transcript:KZN11103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLTKLRCITLDVTGTLIAYKGELGDYYCMAAKAAGLPCPDYKRVHEGFKIAYTHMAKEHPCFGFAEKIPNILWWKTCVRDSFAKAGYDYDEETFEKVFRRIYSTFGSAAPYTMFSDSKPFLKWARKEGLLVGLVSNAEYRYQDVILPAMGLNQDSEWDFGVFSGLEGVEKPDPKIYKLALERAGNIAPEEVLHIGDSMRKDYVPAKSVGMHALLLDRFKTPDAQEWRKSGATVLPDLVAAQEWLSSEKSTVE >KZN10593 pep chromosome:ASM162521v1:1:37300749:37301639:1 gene:DCAR_003249 transcript:KZN10593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVATDIQFHVLAVDDSILDRKLIERLLKTSSYHVTAVDSGIKALEFLGLQGDEHLNKDLPSVTLNHYHQVEVNLIITDYCMPGMTGYDLLRKIKESTSLKDIPVVIMSSENEPSRINRCLEEGAEEFFLKPVQLSDVNKLKPHLLRKKTEEVHLADHKRKSDEVCVSPDRRRTKYDEPELLAKDEQQTS >KZN10843 pep chromosome:ASM162521v1:1:39476505:39480419:-1 gene:DCAR_003499 transcript:KZN10843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAVQKPHQSTADLLTWSEIPPATNTPRSAARSHQPSEGISKVLSGVQVTNEETETLNRSTCRKPCSGHKLKEVTGNKIFAEDGENGELEAGSMNFNANNKTSVRIVQQAAHGISQISFSTEGDVSPKKPTSLPEVAKQRELSGTLGEADSKIKKQSSDAKCKELSGHDIFGPPPEIPARKLTAARNLEVKANRDMEEPAPPRNLRTSVKVSNPAGGHSNILFGDEPETKTTKKIHNQKFAELTGNDIFKGDVPPGSTEKSLSVAKMKEMSGSDIFSDGKAEPREGLGGVRQPPGGDSSIALV >KZN10540 pep chromosome:ASM162521v1:1:36723345:36724510:-1 gene:DCAR_003196 transcript:KZN10540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVEKSEAAYVDDEIAQAQVKIWRILFGFTEMAAAKCAVELGIPDILENHGDPMTLSQLSSALNCSSTALFRIMRFLMNRGIFQEKITKQGSMGYAQTALSRLLTKDGNESLASMLLFQSSSAIIAPWHYLSSRVLDDKTSAFVRAHGMDIWQHGAENPDDAKLLDEAMASDTRRTIPAVLEGCPEIFDGLSSVVNVGGGDGTALRILIKACPWIRGINFDLPRVNCREAISEYGSAGKVIIVEAVIEEKGGDELKDGGLVLDMVMLAQTDKGKERTAEEWTYVLREAGFTRHTIKNIQSVLSVIEAFP >KZN08007 pep chromosome:ASM162521v1:1:1390558:1395185:-1 gene:DCAR_000676 transcript:KZN08007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHAERNAAKDKLIAVAIDRDKGSQGAMKWAVDAILSKGQTVLLVHVKLKSPASSNSSPSLPTLRFNSSPDVSPSSEANVLNNRDVFLPFRVFCTRKDIHCQDVVVEDTDVVRGLTQYVTDSAVEILVLGAGSKGSFLSRFKGKDIPGGVSKGVPDFCTVYIISKGKISSTRAASRVAPASSPLRNQIKSQSPSSRSEPELVPSPLSSKLVRRPPMEKLSHSLPGESNLRPGDSNLRPGDSSFRSPFTRKGPNGRPYELSNPNNDISFTSSGRPSTERMYSTFDSFETNSTPRLSNFSNFSDLDQGLETYGRRSVDGTRSNGRTSVNGRISVDTFTPTERSYASVESDINGSLSPPADDLDAEMRRLKLELKQTMDMYSTACKEALSAKQKAMELQRWKKEEEQRLEEARIAEETALALAEREKARSKAAIEHAEAARRLAESEAQKRINAEKKALQHEERNKTPTSMAQSERYRKYTIDEIEQATEFFAKSRKIGEGGYGPVFKCRLDHTAVAVKVLRPDAAQGRSQFQQEVEILSCIRHPNMVLLLGACPEYGCLVYEYMSNGSLEDRLLQKGNSKPLSWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNFVSKISDVGLARLVPSTVTDDVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIMYLQLITAKSPMGITHIVENAIEKGTFSEILDPSVPDWPIEEALSFAKISLQCAELRRKDRPDLGSVILPELERLREFAEDNMTDAMLYGTGPSPTYSQATMSQASYPQSGFSSTGNSAAALDTAPDGG >KZN10420 pep chromosome:ASM162521v1:1:35524083:35532009:-1 gene:DCAR_003076 transcript:KZN10420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEGFVAEIERYRALDSEELLIEEVESLDESFEEDNTDFNRAYGEAFGSSVHAFDPTLASLHSYLGVEDAQNRHSFLEGGAIVNIPLSYLEGEVLFPGQTLRLKVIEPKIIAAMESALNQTGTRYILGVVSVYRDSCNGEMRVATTGTTTEILQLRQLDDGSWNVLTRGQQRFRLRGRWITVEGTPCRTYTVEPCGEIQIIHEDVPSRTPRDAVERQAPWSNSHTINGLQNKQNGLHDDSDALSDDSFTSELSATERRLHESALASSRGSKQTDELATEADDSEFKLQSGHFQLSMFPNLHHSVDKKNNVNKIVTETISDFPTHEGLGRRKGSICSYHEVSKAFWPSWVYHMYDSYCLAQKVAGMWKNIVKEPSMDGLLKNPDIMSFQIASKIPVSVSTRQELLDIDGISNRLRREIELLESFDCVRCKNCQAVIAKKSDMLVMPSDGPLGAYPNSCGYVHEILTLLKVNGLSLAGHPSEKYSWFSGTSLGIRVGFGSYFIVFKLVHFEHVEVDTQNFLLAMKDVG >KZN11029 pep chromosome:ASM162521v1:1:41167493:41167780:-1 gene:DCAR_003685 transcript:KZN11029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMQFCNGAAKRFLLSADKTAAATFTSLSSSISSAAALGSPEVCGRGDKKTKSGKRFKGSYGNSRPKKEKKIERIKDKVEVPRSTPWPLPFKLI >KZN08835 pep chromosome:ASM162521v1:1:16169560:16170603:-1 gene:DCAR_001491 transcript:KZN08835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTVMSQAQSISKAVRDSNVISKEIALVNSEVEKKKEDLIPGADKLIGAGDPESQKFYQTLKFRGRETTLFYKSPSLQAIDEAMARKIFEKENPRVDIEAIRLEEERLAAEKKKISKTKSDEQKQITDPSQKQKIPKQKGIVISEVNYTDINRPRTRSQTQSESDAIDKGKKPVDGVPSVPPVIKKSVIRIASENPSQRMIELSKNVNIIADVSDQVGEKEAGLTRRRKGNDRSNSDMTLTSDNAQVKALVTEDKIENAKASWLKINSEKTQDQKKKSLSGSLDTSLYKESPMLTRIRTNGTRGKEAYDTTGLGHRKEKIQTDSATIFRDPYPLTEKVGDAVTQTD >KZN08814 pep chromosome:ASM162521v1:1:15784085:15785563:-1 gene:DCAR_001470 transcript:KZN08814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKNLHGNTKRLLVRAKPDCLKRVVDNLSDVQRQWVVETGFEKVLLFNIKKYPQPLSFMISKSYNPIDSSISIGENIVNFSEEDVQNILGLPKGELMFENSYNTEYRDVWRSQFKDYMYPHKISAEVICDALKSSELVNLEFKLNFLIILTNVLIQGSRTPYVSLKILSYSGDLDQCFKYNWCGYLLQCLAENFEQWIINPSKQCFIGSVPFLVVCFLDRIMEREKNVMDSTHALTYWTTERLQKIDIAHFYSGKITKMGEVIISVDPHTTKSETGMMQRQQIAMEVIKKVCADIDHDYGCGVDVENEVIFQYLREAVDKLKNLQLQHFKLFTAANLRYHSDNIIDVLKNRFINLNEEAIDFVLGVLSSSEHSGRKSTEISNFKKDVATEHNITNWNKEYLWEWLNSNETDGA >KZN11476 pep chromosome:ASM162521v1:1:45021011:45022009:1 gene:DCAR_004132 transcript:KZN11476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQVEGIIAEIKRKNQQISDLNHEIEILTKNLEEALKILRLETPQTFVSRLASTPVINTITQNLPEMPKSLSSINLTDRIKHPKDYIQAFEKITKFYDYKSNSTILRNGKFSKYPRYICKENADPSLVKSLLMYGFIDKIMVDETLTSISMLPSLIAESVQAMMQSYGPGGIYGVQVFDACTDLTGKPILICQIFKYGRNTAIEGDSTSLKAPMPCTLEEFEDWICNKRAIGIAVLKSKLEDFIRGRKACVLGSRMGGDVEEILTLYYNNDVLKTDTTELTAMYKRVTEGKYNHSTKTRELYKEMTPGLRRKTIVTLQSPSKEEGCSNPFE >KZN11723 pep chromosome:ASM162521v1:1:47467271:47477809:-1 gene:DCAR_004379 transcript:KZN11723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSGGMGTGEEDKNVEELEFEKLAECLAAGSGSMDEILDGVNLKSFHNMDGSSSSVPSVMGVDLSSALRGEPSSSAVASERENLDHDTHNKRPKVHSFSLDWDCQLASASSDFLERGYNINQGSFKNEFHYLSPILAEGEPENLIVSSSGRDKRDGCNTPQVVDMELRMDLTDDLLHMVFSFLNHINLCRAAKVCRQWRTASAHEDFWRILNFENRSITLLQFEDMCRRYPKATQLNISGAPAIHLLAMTAISSLRNLEVLILGKGQVGETFFQELTGCHKLRNLIVNDATLGNGIQEIPIYHDQLQNLQIVKCRVLRISVRCPQLQTLSLKRSSMAHVALNCPLLHDLDIASCHKLSDAAIRSAAISCHLLESLDMSNCSVVSDETLREIALACANLHVLNASYCQNISLESVRLPMLTVLKLHSCEGITSASMAAISYSYMLEVLELDNCSLLTSVLLDLPRLQNISLVHCRKFIELNLRSVVLSSIKVSNCPSLQRINLTSNSLQKLVLQKQESLTTLALQCQSLREVDLTDCESLTNSICEVFSDGGGCPMLSSLTLDNCESLTVVSFQSTTLVNLSLAGCRALSSLKLNCPYLENVSLDGCDHLELASLCPVGLNSLNLGICPKLNSLHIEAEHMVLLELKGCGVLSEASINCPLLTSLDASFCSQLRDDCLSATTTSCPLIESLILMSCPSVGPEGLSSLRWLPHLTSLDLSYTFLMDLQPVFDSCVQLKVLKLQACKYLTDSSLEPLYKNGALPSLCELDLSYGTLCQSAIEKLLACCTQLTHVSLNGCVNMHDLDWGSELGQLAIDIELSAEQPNRLLQNLNCVGCANIKKVIIPSAARCLHLSSLNLSLSANLKEVDLACLNLCFLNLSNCCSLEILKLDCPKLTSLFLQSCNINEDAVEVAIEQCHMLETLDVRFCPKIHPVSMGRLRAACPSLKRLFSSLASV >KZN08776 pep chromosome:ASM162521v1:1:15222003:15251609:1 gene:DCAR_001432 transcript:KZN08776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKARKQQKNEEQQKAAGVTDESAGTTGGGEAVVRHQKLCLSIDMDKRRIYGYTELQVVVPDNGIVGLHADNLVIERVTVNGEVAEFEVFPHYLHLDNDNRWCSVSSASSAADAAGSVYLSSIDRELVPNLLIMCASKDVQSVDEHQHGQENSDNGLQSSGEFKQNSKLIHIDYWVDKAETGIHFNKDVLHTDNQTRRACCWFPCMDDDLQCCCYDLEFTVANNLVAASTGSLLYQVLNEDDPTRKTYVYRVDVPVSARWISLVVAPFEIISDNNCCLLSYMCMPNNLSRLRNSVAVFHRTFSYYEDYLGTSFPFGSYTQVFIAPEMAISSSTVGASMSIFSSKILFDEKVIDQTMETRIKLAYALARQWFGVYITAASPNDDWLLDGLAWFLTDSFIKQFLGNNEARYRRYKFRQFANKVGNLERPFLKEFFPRWIESYGCPMLKMGFSYSKRKNIIELAVMRGFTAMPDTSTEPTYGKAESENREGGWPGMMSIRVHELDGMYDHPILPMAGETGQLLEIQCHSKLAAKRFQRPKKGAKPDGSDDNNEAPPSVDIRSNNDSPLLWIRADPEMEYLAEIDFNQPVQMWINQLEKDKDVVAQAQAIATLEMLPQLPFSVVNALNGILTDSKAFWRIRVEVAFALANTASEETDWAGLQYLLKFYKSRRFDANIGLPKANDFRDYPEYFVLKAIPHAIAMVRSSDNKSPREAVEFILQLLKYNDNNGNPFYDVFWLASLVQSIGELEFGQQNIIFLSSLLKRIDRLLQFDRIMPSYNGVLTISCIRTLTQIAIKLNGFIPFDRVFELIKQFRSPDTMWEVRIEATRALLDLEFQCNGIDVALMQFISYLGEESSLKGQLKLGVHAVRLCQLAGSAHDNPIKTETLVALLCLLECPVAFNNVNLRHYLFCILQVLAGRPPTLSGVPRDETLRMGHAETCTELKNIFAALVKHSKPPVCTLNPTYEDGSGVPGATREVDTEEIKSPVPLLDTITLANGVPVFPESKEVDNIFNTYEQNIPVIDLSHDGSTIPESYIDIDDISKSHNQTQKIFQQDCSMFEGARKVDVVSCSQERRKPVVKLKVKQSVATNNAEDAENATYGKSQGVRDDADRGASSYISVDAAERNLTEPVSLSNQSIEDVNSCHDVGSHATASIGSANKLVSGGNDLIKELQCTADSSKYSVFTPPEIRLPDVADIDIGDCKYVSLQSVSGTLSALDDGSRRVENPYVHSRGKEQKKSKDKKRKRHDHKSNRDDPEYLERKRLKKKKKQRQKQSGMTGSREASPSLAALGDEVKSKVSVGPLNQEVKTGNSVHESKLAIVKGEEQLSPLDQRKADLSAEVASLQMSRAEPSTATRQEGGTSRKIIIKLKTRPVGKP >KZN11613 pep chromosome:ASM162521v1:1:46311427:46313433:1 gene:DCAR_004269 transcript:KZN11613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYHGRKIIIPSSPSFSKPNFHLFLFIFFLISSIKTTSVSGFNLTVTPFSQAYSTLFSDFNIDPSPYDDSVRLLLNHHSGSGIISYDYYRYAFFSANIKLPSGNTAGVVVAFYASNVDTFEKNHDELDIEFLGNIRGKPWRFQTNVYGNGSTKRGREERYRLWFDPSKEFHRYSILWTPIKVIFYVDEVPIREVIRNDEMGGDFPAKPMAMYATIWDASTWATSGGKYKVDYRHEPFAAEFKDFVTDGCAVDPIQEPSATNCSETALKIAVADYFTVRPRQRKAMRWFREKYMYYSHCYDTWRYKVPLPECVIVPSEQVLFKETGRLRHAMRIKFGGSQRKQRRSRGGVRKSGGGSAVVRLRSGNEEVAAV >KZN11805 pep chromosome:ASM162521v1:1:48236518:48237786:1 gene:DCAR_004461 transcript:KZN11805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQMRKQIACLRNSFFDEGFLDEQFLQLEDLQDDANPNFVEEIVTSFYGDSVRLLRNLEMALEKRPFDFCKLENYMHLFKGSSSSIGAIKVKRECTRFQDYCKAGNAEGCINSYQQVKQEHAILRRKLETYFKLARQAA >KZN07981 pep chromosome:ASM162521v1:1:1181423:1181809:1 gene:DCAR_000650 transcript:KZN07981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLPCLKAADPGVDSTGDIPVMVGVGAIAGPIGAGGELIESGLMAGVIIISGGVAIGAGIIIGGGVIITGGGVIISGGLAIGAGIIIGGGVIIIGGGVIIIGGGVTIGGGVIIIGGMVVEGDIAGA >KZN08372 pep chromosome:ASM162521v1:1:5764358:5765409:1 gene:DCAR_000918 transcript:KZN08372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCIHMLNNSTLEWRITVRVTRVWPRISELTEAVRGYNYILLDRHNHCIHARVNNDIWQSLDCLIVEGGLYEISTFALTNSFAFLRPVSFTRSIRFLNVTTVQPYMDTSLSFPEHGFEFVSVDEVQHVIGVVENPGQVSMIRTINGDRHVYKFRVTDGHMFVRVTLFGSILQTSNMLITANLQTPAFYHEGDEGVDNYHLTACPWTRIYINMDTDDSRDMRNELVGITH >KZN09069 pep chromosome:ASM162521v1:1:19799839:19811589:1 gene:DCAR_001725 transcript:KZN09069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTPPRKRKADSTAPESPNSDHRLIIFEDLPAPEPSHQPSQHMLCTYQCRQMVKADFFDALNSAEKQARDSQSKIEALTDDFGKSESERKKFREQFLYSEQELAAAKGREQALQDQLMKEVNDSHERIKKQFHQYSELEGKLENELNLRKKAETLAASAEEKARLLERQLSSLTESTEREKSRLQKEVIQMEREAKLSVSRISANMERMECRAKHAETESRILKEQLEELRTRLNECLHQKNEVEKKLSSLMSQESTSTDSSVLVKHLQEELKHYESEVCEAKKLRSTHEDVELLKEKYIEEKARRERAELDLTKLQDVQLNTTKLEDELSAWKSMMQEIPGVSCADDVPLKFAGLQKEVVDGMMKFGETTERLKKLEVDLEAAEVGKQNAEADTILAKEMLEASKTEVKHIKMMLSSVTEERDRLKYTIEEFKKNKNVEAGGEVVPGNITQELESSLVKKEEYIKELEKILFTEKEASTRRQNEIKVLTDRLNDEARRIKSLEREGDRLRSEISLLESKLGHGDYSSASTKVLRMVNTLAVDSEAKQTIEALQTKLQETKEKLQAVEELKQSGNSGASVDSYISGKIVQLKEQIATLGKREERYKTVFADRISVFRRACCDMFGYKILMDDHHRADGIPVTRFTLHSIYAQSDDEKLQFEYESGNTNIIESDYTSQPEISRQVLI >KZN10716 pep chromosome:ASM162521v1:1:38395251:38396153:-1 gene:DCAR_003372 transcript:KZN10716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERETKKKLKFYHSNRWTKVWGSCDGLVLVENDRLDTTLYVLNPTTLESRKLPLLPSRFYQLSGCNTFGFGYDFSCDDYAVVAISGSGTVSYVFMLKAKQWKRVAFPPFDLKRDAYVAGIFLEGCLHWLSEKSMIIGAYNIAEKEFSGVPLPIGVFDSSSVFPRLGVLKGCLCLFSNVINSVSELYLMKEYGVVESWTKLSVVLSDVSHVASLDLRNDSSGFPEHGQSVLLVSNAQFSKLYDTKVLGLPNDFRVGMTFVESLVSPKKDGKKKTRGKKSETILSRRQYRYDMSGWLQAW >KZN10535 pep chromosome:ASM162521v1:1:36690257:36692369:1 gene:DCAR_003191 transcript:KZN10535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAKENVGRDETIDKLKKIRVKSLRLAQRLGQTASHPAMAQILYRLGLTEQLRGGHVDAFNFNHAWAMAEQLELAGKEPLDFSCTIMVLGKSGLETNQVKDVVGTVNGIKVRLIDTPGLLPLWSDQQRNRKMLHSVKRFIERSSPDVVLYFDRLNYHDRNSDDELLLQTITNAFGPSIWVNTIVVFTHAASATPEGPDGVATDYNRYVTERSLAVQRAIRRVSEMMLMNPVALVENHLACRTDRAGRRVLPNGLVWKPHLLMLCFASKILGEANELLKSQNVVLERPYRGGPELLPVTHVLTSLLQPKAKLKLPLEEYDIDDNTLDDNLDEVLESDDDLNYDELPPFKPLTRSQVAKLSKSQQEAYYDELDYREKLYVKKQLQDLKQWRMMKKMEAEAKEFTSDQSTGGEAHEPLPVIDCPVPDSFDSDDPVHRYRFSTNQVNVGPVKSSDTWDHVDGYEGVYMNKLIEVMNKVPISFTGRIKKNKKEALFAMEVTGFVKHGKKKETTISFDLQQIDSDIAYTIRSDTTFSNFRRNKATAGLSVTRVGDALSAGVRVEDKIMVSRRSQVVMMGAATTRGSNVAYAGGLEATLRDKQFPLGRALTTMSFDMMACYGGFLRSWNAKSQIPIGRFTDFIGGLKLNNSGEGQVSIGLKSTEYSQLALLALVPLLSTILTRLFARFE >KZN08670 pep chromosome:ASM162521v1:1:13298066:13302238:-1 gene:DCAR_001200 transcript:KZN08670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKYSRLDGRKSPTSYCSTATFVVFIGLCLVGVWMMTSSSIVPVENMEETAQELKTEIKESRESEEASVETDKKSESDSNIIAEIEKKSESDTSSESNTSGEMEKNSEADTSSEKNTSTESSTGSESITSGEENTSSEGNRSDESNTSNEGNKDSKSDASSENNTNESKTSGESDKNSENISTSENNANSENNSNNESKMSSSNLISESNTSTDTSSNSESNTSSESKPYTETNASSESNTASENSESNTGNDNNSNSESKADTEANTSSESNTDSENNASSEKNSDSESNTSNDNNSSSESSTKDDSNSDSGSTESNTNSESNTDIESGSGSSAGDESSTSNKSQPRQFDDNPGNLPEDATKGDNVTVTSSERNSTQPETTYEQHAEGSQEQKPENQSGKEDTNASKSETGNVEDRKEDKVSDTEDKESKDGGKNSGINEKNWVYGKSISGGKGEEEAKVTTSKSDEGDDKSEMSNESGYKDKMDSNSTEIHEKEELLLSGAHSELLNQTVTEEGAWKTQVAESKKETHKSLEPSEKPGTGWKLCNATAGPDYIPCLDNMQAIKSLPSTKHYEHRERHCPDDLPTCLVPLPEGYQRSVEWPTSRDKIWYHNVPHTKLADIKGHQNWVKFSGDYLTFPGGGTQFKHGALHYIDIIQQIAPAIDWGKRSRVVLDVGCGVASFGGFLFDRDALTMSFAPKDEHEAQVQFALERGIPAFLAVMGTKRLPFPGKVFDVVHCARCRVPWHIEGGKLLLELNRLLRPGGYFVWSATPIYRVRPEDVQIWEAMKKLAKEICWELITIGKDTLNEVGLAVFKKPSSNKCYESRALKKPPLCSDTDDPNASWNVPLQVCMHKIPENETERGSRWPEQWPARLEKPPYWLLSSQVGVYGKPAPDDFVADYEHWKRVVSKSYVSGLGINWSTVRNVMDMRAVYGGFAAALRELNVWVMNVVNVDAPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLIHADHLFSKIKKKCNFEAFVVEVDRILRPEGKLIVRDNVQTIKELETMFKSMQWEVYMSYAKDQEGLLCLQKSIWRPHDAITLSYAIA >KZN11405 pep chromosome:ASM162521v1:1:44377105:44377934:-1 gene:DCAR_004061 transcript:KZN11405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVSSVTNVDFLNVSKACPQPTFNPKVSSVSFTSSSRYSKGRIQATTSEESKDSGAHGIIDEAAKNANAMVDKAKELAEAGAAQAESNEAKKKESEDKVLKATKDAAESAAEAAEETAGGMWEAAKGTVFPKADEEKK >KZN12052 pep chromosome:ASM162521v1:1:50634618:50637358:-1 gene:DCAR_004708 transcript:KZN12052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCCGGSEKKVADNMNGEEGAGDDEELVFTVASMNPCRDLVFPHPTTKACQLLSHLPSSLPMDSGIHAQALLSNQPRWLNFSNTCHSDMLHEDAVETRVLVPVSVGLIELFVDKQVPEDQNLIDFITLQFNISQDHQSMMNSNFSFKPTESDHKLQKELFHPPVSPATMIQTLNLQDEISPDHIHLHNSSLNFFQQFDDHNMENENKGLLESPDEQLNADHRPLNTFGQEDLDPFQKSLISNAIASVDAQMINTSMEPMKTKKRRSNDDRDSMNRSDSLSDDEDNNDDEDNNDGKYRRRNGKGGAQCKNLEAERRRRAKLNERLYNLRSLVPKITKLDRASILGDAIEYVMELKQQVEDLQNELERNIEDEPNDNDQSTLQSEVVHGSEGKIGTKSELGKTYNRAYNVGASSSIATEVSTKKNLNSESTNDKVQQMEPQVEVIQLDGNELFVKVFCEHKPGGFVKLMEALNSLGLEVTNVNVTSLLSLVLNVFKVERKDCDMIQADHVRESLLELSRNPNRSWPEISKAATSENGNGNDPHSYHPYHHHQHPVHFHHNHHLHHLHN >KZN08334 pep chromosome:ASM162521v1:1:5382429:5385309:-1 gene:DCAR_000880 transcript:KZN08334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSPTTGDKTDDKPTSAMRVIVPLQGVVQGRGGLVLGSLIPCALFYCFQLYQRHRSRRRQSPPPENGEVGVGLIRVQSRSLLTPRGGPAHVGARANWVSEQDEGPFFVGLRRVFDDPFHRLENPDGVFQLGLPHNRLTLDLVQDWLAKNGGLLFGGDILGSATYQPFDGLAELKVAVAGFMSQVMGRSVSFDPSQIILTAGTTSAIEILSFCLADSGNAFLVPSPYYPELDMDVKWRTGVEIIPVPCRSADGFNLSMTTLDRAFSQAKKRGFKVRGVIISNPSNPVGSVLNREQLYDLLDFAKEKNLHIISIEMLAGSTHGNDEFVSMAEIIESEYFDQNRVHIVYGLSEDLSLPGFQVGVLYSYNEKVLAASRKLARFSSISAPTQRLLVSMLSDTRFIQDFIKLNRERLQMMYYNFVTSLKQLGIECIKSSGGFFCWADLSRFLRSYNEKGELELWLKLLDVSKINVIPGSSCHCIEPGWFLFCFATLSEEDIPLVMDRIRRVSESFKSNS >KZN11783 pep chromosome:ASM162521v1:1:48061159:48062128:1 gene:DCAR_004439 transcript:KZN11783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGYYPHSRQGLADTNSSMFVSNITNAGSSSRSHKYIAKRKSRLCGTETTKNLFEEDFTVTQENSPNTRENSASPDDMFTYEDDFSDDSDCNNFNIPP >KZN11352 pep chromosome:ASM162521v1:1:43895856:43896333:-1 gene:DCAR_004008 transcript:KZN11352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSSLDCRSEPIPQIIYRCKKCRRIVASQEQIVSHEPGAKKGFRWTGKKGGPVHMNEEPAECSSIYVEPMKWMEAVQEGFVGQKLQCIGCKGRLGSFNWAGMRCNCGAWVIPAFQLHKNRMDECSL >KZN11653 pep chromosome:ASM162521v1:1:46689071:46689424:1 gene:DCAR_004309 transcript:KZN11653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPSELLDEILYRLPVKHLLRCRCVSKEWCSLIDSTPFAKKHLKRALEGNEDGLIINERGKFYLAEDFKPNLDGGDSHEVVAVDINDPLKTLISGADFVGSATCQWSSLCVQEYDE >KZN09686 pep chromosome:ASM162521v1:1:28478373:28478690:-1 gene:DCAR_002342 transcript:KZN09686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFFKSPSRKTSILLVIFIFLASNNSIIKGGNAMRPLNEYKEWLREFEAPLLLESLQRGPVPPSSSSPCTNIPGSGGRCTLEVNFAAGAVVHPPPPPFYKESAN >KZN09835 pep chromosome:ASM162521v1:1:29830435:29831010:1 gene:DCAR_002491 transcript:KZN09835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTRTAEARSSKMTAAQLYKSMFIDMDKVMPKILPQIFKSVRLVEGDGGVGTVKEITYAVKATTMIQKLVEMDPEAMTYTKVIIGGDVLMGTLESVAYHSVVESSDSGECVVKLTVVFTPLAGQEVSEDYIKDSIAQSYQTFYAVEAHVQATY >KZN10405 pep chromosome:ASM162521v1:1:35388109:35389900:1 gene:DCAR_003061 transcript:KZN10405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGTFSLNPYAASYIPLSRRGISNENKGCELTENASKTGDEPFGDGSQPVIKTTHKQCQKTPESYNIQGTVSEDSKLKSQTVHGSSSLYPNEMTEKHKWDDQRDMDLTYLQMTFPGVSDDSLSVVYLANNGDLDATVEMLIQLELYTGDSSENLPDSLDIGDVAESGTSSHKLKNVPKSEVGGSSSGGSRPLST >KZN10806 pep chromosome:ASM162521v1:1:39181259:39184257:1 gene:DCAR_003462 transcript:KZN10806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKHLEKLLAIILGCMSVAILLAEATLLPSGLDLSLFSILINSVGKQEMLVQVFAFVPLMYMCICTYYSLFKVGMLMFYSLTPRQTSSVNLLMICSMVARYAPPISYNFLNLISLGKKKQTIFEKQGRKVGEAVIPLARNFKDPGLDLETSSNGTDRNIVEMKATSSLHENELKESSTASSEARRYSGHREAISSKYAAIREQNRNIDNMNPVEDAEASLLDASNSQATKDAGGPSSSLASKWATMKSGFQTLKTNIGAKKFLPLRQVEDPKPIPRVSSPESLDDIFQRLKRPNAEEDIDIMDV >KZN08793 pep chromosome:ASM162521v1:1:15591908:15599766:1 gene:DCAR_001449 transcript:KZN08793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFSRLGGGANTQSPPPSPRLRRKKSGSAGGGIFANGVDSYELQNFMERIVYVVISAVYRRRGVLLFAPLLYISGMLLYMGTLGFDVVVINKIGNKSGPPGSVYRSNQVFKRLWPHMEAENSNGTSYVSLSNVWNPKLRQGWKPCVHQITSQSDLQELPSSNGFLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPYFHLNSVWRDSSKFADIFDEEFFIYALRNHVNVVRALPEDILQRFDNNISNIINLRVKGWSSSTYYLQKVLPKLLELGAVRIAPFSNRLAHAVPSNVQALRCLANFEALRFSEKIRTLAAKMVDRMVKNSTSSGGKYISVHLRFEEDMVAFSCCIYDGGEEEQHEMDIARERSWRGKFRKRGRIIKPGAIRMDGKCPLTPLEVGMMLRGMGFDNNTSVYIAAGKIYKAEKYMAPLKQMFPRLETKDTLASAEELAPFEGHSSRLAALDYTVCLYSEVFITTQGGNFPHFLIGHRRYLNEGHAKTVKPDKRKLALLFDNPRIRWENFKRPLRDMLHHNDIKGCEIRKASGSLYTYPIPDCMCKQADSKNENSNITQHT >KZN08837 pep chromosome:ASM162521v1:1:16244796:16250677:1 gene:DCAR_001493 transcript:KZN08837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETRSPVPLLYRRRSSGEIMRNMASVSSSLLPAFGTVVGDGSPLLRSYIIAPYDRRYRWWQAFLVILVIYSAWSSPFELAFKDVATGSLLPVDLVVDAFFAIDIILTFFVAYLDKSTYLLVDDHKQIAVRYVTHLWFPMDLASTLPFQTIYRIFAGEMHHGEVFGFLNLLRLWRLRRVSELFSRLEKDTRFSYFWTRYCKLIAVTLFAVHSAACFYFWLAIHHKIPEQTWIGAQVDNFENRSIWLGYTYAMYWSIVTLTTTGYGDLYSKNTGEKVFNIFYMLFNIGLTAYLIGNMTNLIVHSAIKTFAMRDAINEVLRYASKNRLPEGLKEQMLAHMQLKFKTAELQQEEVLEDLPKAIRSSIAQHLFHKTIENTYLFRDVSDDLISQLVSEIKAEYFPPKVEIILQNEIPTDFYVIASGAVDVVTQKNGIEQFVTKLSSKEMFGDIGVIFNIPQPFTVRTRRLSQVIRISHHSFKDMMQPHNEDGQKILRNFILYLKGLQKEVLDEIPFLSDMLGDLNNEHSGLLDQSQEIEPSNYDQGENAQGSHVDSAFQSAYPIRLVIHGHHPDLETEDKGTGKLIHLPESMEGLLMLAEKKFGKKGDIVLMEDGSQVEDLDALRENDHLYIF >KZN08033 pep chromosome:ASM162521v1:1:1590450:1595837:-1 gene:DCAR_000702 transcript:KZN08033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWGETITVVVLAVTMVIESAMSDPQANKISKTGRESSGYEVRSFFTEIELGTSSEVCADNKTVPAQAAFTLVAVQLLKDLADATPKIKNFFGAAKRQVFDGGPSAKASVTVYAVAQCLGTVSQGDCGKCLMGGYSNIQTCLSQSGGSSGGGSSASGGCFLRYSVTPFFSDNDITNIMPYLQKGSSSKKTAIIAGGVGAAWKSYNNGTHEDLIDETIDSSEYNVENAKKMIEIALKCTQSPVSLRPTMSEVVVMLVNDASPEPKQTN >KZN08163 pep chromosome:ASM162521v1:1:3371490:3372515:-1 gene:DCAR_001228 transcript:KZN08163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGFERKINISVGVEDFPDWIIDEDGDASTSVNSFEETSDGDASLSVNLRPNLSHNYLGMILCFDLFSVYSVKTSASNIFESYTFSSNIVIVPRSIFRVTDTDHTITFASTVDRRWIHLLYKNEDNSITLNVADEGNTSSLRPVKQGTRAVTVDFVARTCDRRTEKYLETYNHPLGALKGRDYWQVHSIDEMLPPDIPHKLRGRPKKLRRREEWEGGSRCRSSQQQGTNLQRFNSKRRMHCRRCGEDGHQKNKCRKKHHYETTRRT >KZN08443 pep chromosome:ASM162521v1:1:6879776:6880161:-1 gene:DCAR_000989 transcript:KZN08443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREHDDTRRAGLNNGSCRETRVLKVHPKTEIWGDEHARGSEQAETIRQGLRVLQGSIVVSTTTVVVALCYHINRMVTSRTAGQNIYSSACFLNHWLIAPEL >KZN09788 pep chromosome:ASM162521v1:1:29379946:29381933:-1 gene:DCAR_002444 transcript:KZN09788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSKYMDSSIPDDIALKLVSSLQVWDVCSLGSCSRFWQELCGLDCVWSSLYKDRWPQLILDNNQESSVLDFDHAHQLSQSESSSQGWRGLYIGKHNEMAGKAATVVEFLEKCLNSESIEVGQYLKAIKDLYTMQFGFKDVQMFFLKAESNVLLNLVGLHYCISWLRLPPEHILEALESCKILERKVCVQWWTLGRWFYGFRMRDESHSREVSLGDLARAKEEEVLAVLYRGAIYEVLRVRISVAKPSIAHWTRQNLNTCH >KZN10980 pep chromosome:ASM162521v1:1:40800237:40801310:-1 gene:DCAR_003636 transcript:KZN10980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSEFVSASEKNAELKKQIRQLTERLQLAEQRKDQAQKQVLVLGEQHKAGSFGTVKGLRTNPTVVPDDSVNPRLSKILEKIAVNKEVIVALANSNVKEMLEVWFTNIKRVGIPNYLVVALDEDITNFCQINNVPVYKRDPDEGIDSVGRAGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFNHLYRDSDVESMTDGHNNMTAYGYNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVASRLSSEKAWDQAVFNEELFYPSHPGYDGLHASRRTMDFYLFMNSKVLFKTVRKDAKLSKIKPVIIHVNYHPDKFPRMKAIVEYYVNGKQDALKYFPDGSG >KZN08132 pep chromosome:ASM162521v1:1:2987908:2988733:1 gene:DCAR_000801 transcript:KZN08132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPDRREYATKMDERVRAKAKFNNIVDDIHRRTIEDVLYFNSLLTFAVFIGLSQTYEANRSQEKGDECTAGPGVHRKLIICEVLAFACFLSSSLSAKALKLLLTSHENKRRRYIFTDIPFQLKTVMLIATAGSSLMGMISLVFSVVFLVQIQIGYLASYDIRDDPGQEEEISGATDTELQRIGNSGNRIDVG >KZN07880 pep chromosome:ASM162521v1:1:427862:428375:-1 gene:DCAR_000549 transcript:KZN07880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCNSICKAAASLSISITTPSLSTSSSHLLLPSLSLLPNSSRKLSASSFRLTHVVKNPGRITVRAMSSDSGLEDSVKKTIADYPVVVYSKSWCSYSSQVKQLFKKLGVQPHIIELDQLGMLSCVELY >KZN08000 pep chromosome:ASM162521v1:1:1325813:1328820:-1 gene:DCAR_000669 transcript:KZN08000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGCNACNKEFADDSEQKLHYKSEWHRYNLKRKVAGVPGVTESLFLARQAALAEEKNKSNEGPMLYSCGLCGKGYRSSKAHVQHLKSKSHILRASQGAHDEAEGATIIKPLPPRNLKKTPQPRLEENYESEESDEWVEVDGEEESMADMDVIDEDSDGDEDDNMQIDLDPSCCFMCDQKHKTIESCMVHMHKQHGFFIPDVEYLSDPTGLLTYLGLKVKRDFMCLYCNERCHAFNSLEAVRKHMVAKSHCKVHFGDGGDDEEAELEEFYDYSSSYVDVDGKKLVSSDDQTNEVELGHGGSELIITRKTDDKISTKALGSREYMRYYRQKPRPSSENDIMISVALASRYKSMGLTTVQSKENRVRMKVMKEMQRSGVEAMRSKIGMKSNVIRNLPKNCTY >KZN08106 pep chromosome:ASM162521v1:1:2785556:2787330:-1 gene:DCAR_000775 transcript:KZN08106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRIFRKPKQEESAVATLDKLNETLEMLYKKEKVLMKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTESMKQIQEALSTPMGAAADFDEDELEAELEELEGAELDELLLQPGTTAPAAPVPMRAGRQPVRPARQNNTAEEDELAALQAEMAL >KZN08401 pep chromosome:ASM162521v1:1:6192863:6193270:1 gene:DCAR_000947 transcript:KZN08401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSAEVFTTFSYITSLSQPITFSADDASLNRPFLISSPQKSTRNPVNFDSSVGNSIRDRTLDILSIVSTLFFGVGCSALTAAFIYLVWYICSPKTYNFGANESNEEDDGDVTAAETKLGYVAVAVDAPAPVKQVE >KZN11615 pep chromosome:ASM162521v1:1:46322121:46325567:-1 gene:DCAR_004271 transcript:KZN11615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLRQGDNVILISNHQTEADPAVIALLLESTNPYIGEGVTYIAGDRVVTDPLCKPFSMGRNLLCVYSKKHMNDDPELVDMKRRANTRSLKEMAKLLRSESEIIWIAPSGGRDRPDPVTNEWLPAPFDASAVDNMRRLMEHAGHPAHIYPVALLCHNIMPPPVKVEKEIGERRMIAFHGTGLSIAPPINYDKICDDCANPEEAKVAYSQALYDSVNQQYNVLRSAIHGKHGLEASTAAISLSQPWQ >KZN11641 pep chromosome:ASM162521v1:1:46609588:46610250:1 gene:DCAR_004297 transcript:KZN11641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYIDEEEIFKCPTHPSKRRRTGICPTCLRERLNTLCPNCANTRPCECCPAATSASTSSSSTFSFFSGVASGDTEPTMRKSRSLAIPFLRSRSKYAPNLEFTAEKPPLPTAATTVTASKNAPAAGSGGSSRGKTTSLLSMFKKSKRVVEEVENKPKDEESKKSSDFMLMMKRSRSVSVALSSRAADSKAVKTKGWHFPSPFRQSSKTAKVQEQQAAIKV >KZN08349 pep chromosome:ASM162521v1:1:5506099:5511664:1 gene:DCAR_000895 transcript:KZN08349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFHKKLGDMFGLLPGNLSGKLEGNVSHKITSDPYVTISVANAVIGRTFVISNSENPVWMQHFYVPVAHHAAEVQFAVKDSDVVGSQIMGAVGIPIEQLYSGAVVEGTFPILNASGKACKPGAVLTLSIQYTPMEKVPLYHGGVGSGPEYQGVPGTYFPLRRGGKVTLYQDAHVPDGSLPNLRLDHGKQYVHGSCWRDIFTAIGQARRLVYITGWSVYHLVRLVRDTDDKVDTTLGSLLKTKSQEGVRVLLLVWDDPTSRSILGYKTLNVILRKFLHAISYVNMGNGMKIEFMGQEKDFLFFSTVKCKLFVLKSSHDYDHMQEGIMQTHDEETRRFFKHSSVQVLLCPRAAGKGHSWAKKQEVGTIYTHHQKTVIVDADAGNYKRKIVAFVGGLDLCMGRYDTPQHHIFRTLQTVHKDDFHNPNYTGPAVGCPREPWHDLHSQIDGPAAYDILTNFEERWMRASKPHGIQKIKKSNDDSLLRIERIPDILGITEGSMNEDDPECWHAQVFRSIDSNSVKGFPKEPKEAPGRNLVCGKNVLIDMSIHTAYVKAIRSAQHFIYIENQYFLGSSYNWSNYKNLGANNLIPMEIALKIVNKIKANERFCAYIVVPMWPEGVPTSTPTQRILFWQHNTMQMMYGVIYKALVEMGLDKTYEPQDYLNFFCLGNREAQDAGVPQAKNSNGTSTPQALSTKSRRFMIYVHSKGMIVDDEYVLLGSANINQRSLEGTRDTEIAMGAYQPHHTYARKRKSPRGQIYGYRMSLWAEHIGMLEQCFEQPESIDCARRVIYLSELNWKQFAAEEVTEMKGHLMKYPVEVDRTGKVSPLPGCATFPDMGGNIVGTFAGLQENLTI >KZN08028 pep chromosome:ASM162521v1:1:1555106:1566083:-1 gene:DCAR_000697 transcript:KZN08028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASRQIHSSGQVTADDINHLYMISKPVCQGCRLNTKDNPNCFCGLIPPPNGSRKSGLWQKTSDILDSLGADPSNDLRASLESPAGLTNLGATCYANSILQCLYMNKLFREGIFCVEQDVLKQQPVIDQLARLFAKLHASKMSFIDSAPFIRTLELDNGVQQDSHEFLTLLFSLLEQCLSCSTVARARTVVQDLFRGGVSHVTKCSQCGNESAASSKIEDFYELELNVKGLKSLDESLNDYLSVEELREDNQYYCESCAARVDASRSIKLRSLPTVLNFQLKRCIFLPNTTKKKKITSVFGFPRELDMSDRLTGHSQGKWLYDLSAVLIHKGSAVNSGHYVAHIKDENTEQWWEFDDEQVSSLGQHPFGEESSKSVPKPSSIEPVVQATCSKTTDGVANGSHADTGEPLSSASNNATDAQMFSSTEAYMLTYILRRPKNDGEYTQLGSGEASLEKGSSISIPSHLYEEVSKLNESLSDSCEQYKLKKKSEMDQITEKREEVRSVLCAAAVQSSQEPYFWISADWLRHWADNITSPTIDNSPITCLHGKLPVSKVGQAKRLSTNSWTMLFSKYGGGPILAKDDYCTDCVLEEARGLVCADSYRDQRMLMREIAEAALSGMLPDGKSYYVSKTWLQQWLRRKTLDAPCEADSGPTASIRCPHGELLPEKAAGARRLLIPETLWLFIVESANTVKPNDLEGCSVFLLESEPCGICSTNLSEEACEEDSMREFKLKQRQSHEKLAQGKSVTLSSNSKYYLIPSSWLSNWRSFISTSGKNASPPPETLNSVLELLKCEQHSRLLKRPPQLTWRRGAIFQKSPTLDELAIITENDWKVFCEDWGGIDSGGVSAIIEFNIRMEGNALGLSKDTPLSEEHSKDTPLSEEHSKDTPLSEEHMDSATAYDDSECGDPILKTSPMVCEDCIGERESSELMKKLNYYNEDIRVCLVRGKEPPKSILSASEKSLELNRRTSKRSRKTTFGNTSNFKVSGSTTIYQLKMMIWESFGIVKENQILHKGPKIIDMETATLSDMNIFPGDLLWVKDSEIHENRDIADELSACDQKMEIQQTEEGFRGTLLTSNISSQIMGMF >KZN10548 pep chromosome:ASM162521v1:1:36834958:36837719:-1 gene:DCAR_003204 transcript:KZN10548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHEVDLELSTSTGVTPEVKSEASTPGVSAPRTPKRKAPAPISIPSPYIISAPASQAQTPSARGARTPRFLTTPRFLTPLGSPIRKALNFVKLDPKDAWLPITQSRNGNAYYAAFHTLSSGIGIQALVLPVAFTYLGWTWAIILLTLAFVWQLYTLYLLVQLHEDFEKEVRYSRYMQLANAAFGEKLSKVIALFPIGYLSMGTCTTLIMIGGSSCERFFQIVCNPMTCSTTPLTSAEWYLVFTSAAVILSQLPNLNSIAGISLVGAITAVGYCTMIWITSVAEGRIHGVSYNPTLSGSKIQKIFDILNAVGIIAFAFRGHNLVLEIQATMPSSEKQPSRVPMWKGVKVSYAIILMCLFPLAIGGYWTYGQMIPANGGILTALYLFHGQDTAKGLIGLINMFVIINGLSTFQIYAMPMFDDMESTYVSRMKKPCPWWLRVILRTLFGYFCFFVAVAMPFQGSFSGLIGGIYLPVTLAYPCFMWLIIKKPKVYSSVWWLNWILGVLGVCVSVLLVAAGAYVVIHTGVEGSFFKP >KZN11246 pep chromosome:ASM162521v1:1:42978820:42982659:1 gene:DCAR_003902 transcript:KZN11246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQVTNVTEFEAIAKKKLPKMVYDYFASGAEDEWTLKENRSAFSRILFRPRILIDVSSIDISTTILGFNVSMPIMIAPTAMQKMAHPEGEFAIARAASSASTIMTLSSWGTSSIEEVASTGPGLRFFQLYVYKDRNVVRNLVKRAEKAGYKAIALTVDTPRLGRREADFKNRFNLPPHLTLKNFEGMDIGKFDKNAEDSGLTKYVAGLVDRSLSWKDIAWLKTITHLPILVKGVITAEDAKIAVQTGAAGIIVSNHGARQLDYVPATIMALEEVVQAVQGKIPVFLDGGVRRGTDVFKALALGAAGIFVGRPIIWSLAADGEAGVSKALEMLREEFELTMALSGCRSIKEITRKHITAPWDRAQITPRL >KZN11291 pep chromosome:ASM162521v1:1:43444037:43453749:-1 gene:DCAR_003947 transcript:KZN11291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEDARELYYNDSFQRLLFTSNDSFSIAPLHYPSQYINYSDTSLYGSAKAFSLTSTYNSDLTIHPRENSLVIPSSNEAGNEEESADNSKKYKQSKLEGGDGAQEAKPAVPSGDTNARRNLRPGFSLKRYSWWIQMIIYSVFVLCGQSVATLLGRLYYDKGGNSKWMSTLVQTVGFPVLVPFIFLASNEKQHSEETNRRKYSPILMVSLFIFLGVFLAADCMLYSIGLLYLPVSTYTLVCASQLGFNAFFSYFLNGQKLTAFIINSLVLLTISSVLLVFSPDDSSGSSATKGKYAIGFICTLAASAGYALMLSVTQLAFRKVLRNSSVRMVVKLIVYQSAIATVVILIGLFASGEWKTLTKEMENFKLGNLSYLMTLIWISITWQIFNVGAVGLIYKISSLFSNVISTLALPIVPVVAVFTFNDKMNGVKVISMVLAIWGFTSYLYQHYVDDLEIKAIAENASKVSDERNVNQVSAIPLVER >KZN09105 pep chromosome:ASM162521v1:1:20290602:20292677:1 gene:DCAR_001761 transcript:KZN09105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAYSSNLHLVSSIYMIIDTLLIFQTIRSAASLSFTFNNFGTNIGNISLDRNATLASNTIQLTADLASMGRATYYQPMTLWDDRSGNLTDFTTHFSFIINSQNRTKYGDGMAFFLAPVGSKIPRTATKGGSLGLTTDEQPLNSTDNPFIAVEFDVYTNPGWDPVGEHVGIDLSSMKSVTNVSWLGGKSSVLEGLKNDAWITYQSSSKNLSVVFTALSNNRTVNQSLSYIVDLRDYLPQNVTFGFSASTGNQSAICGIYTWGFNTSLELHESYLTNQAPTSSNDNAMLIGFVAGGVTAFCVALLALAYYIYRRNREDNEDEIAFDDTMDGEFERGTGPKRFSYSALARATKNFAVEQRLGEGGFGVVYKGFLKALNGDVAVKRVSRTSKQGLKEYASEVRIITRLRHRNLVQLLGWCHEKSDLLLIYEYMPNGSLDSHLFRGKSLLTWPIRHKITQGLASALLYLHEEWEQCVVHRDIKPSNVMLDSNFLTKLGDFGLARLVDHEKGAQTTALAGTIGYMAPECITTGQASKESDVYSFGIVALEIACGRKVIDANFDESRMRLLQWVWSLYGTGELLQAADPKLCGDYDEQELQRLMIVGLWCAHPDKTLRPSIRQAIHVLNLDAPLPILPPTMPVATYYAPLNMSIAPLAIAYGQNVWRHRLGESSGNYNTESSSYASIDSAAPSVLFPR >KZN08614 pep chromosome:ASM162521v1:1:12096066:12102871:-1 gene:DCAR_001144 transcript:KZN08614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKGRLVAGSHNRNEFILINADEVGRVTSVKELSGHFCQICGDEIEVTVDGEQFVACNECAFPVCRNCYEYERREGTQSCPQCRTRYKRVKGSARVDGDEEEDEFDDLDNEFDYESNDHRYHQHGGDANAPSGRHNIGRVPSNASGLTAPLEMDSSTLNPEIPLLTYGQEDDAISADRHALIVPPYMGSAKRAHPTPYSDSSVSFPPRPMDPKKDLAVYGYGSVAWKERMEEWRKRQHDKLQVVKHKGGFGGGNNVDDLDDPDLPKMDEGRQPLSRKLPIPSSKINPYRMIIIIRMAILGLFFHYRLLHPVHDAYALWLVSVICEIWFAVSWIFDQFPKWSPIERETYLDRLSLRYEKEGKPSELAPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFAEKVDYLKDKVHPTFVRERRAMKRDYEEFKVRINGLVAMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQIRVSAVISNAPYLLNVDCDHYINNSKALRESMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKVEGKTCNCLPKWFCCCCPSRKKTKKGKSKDKKKTKSREASTQIHALENIEEGIEGIDSEKTSLMPQIKFEKKFGQSPVFIASTLLEEGGVLPGATSASLLKEAIHVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEILMSKHCPIWYGYGCGLKPLERFSYINSVVYPLTSVPLVAYCTLPAVCLLTGKFIVPEISNYASLVFMAMFLSIAITSILEMQWGRVAIDDLWRNEQFWVIGGVSAHLFALVQGLLKVLAGVNTSFTVTSKGGDDGEFSELYLFKWTSLLLPPLLLLIFNIVGVLVGISDAITNGYESWGPLFGRLFFAIWVIVHLYPFLKGMMGKQSGVPTIIVVWSILLASIFSLLWVRVNPFVSRDGIVLEVCGLDCD >KZN09193 pep chromosome:ASM162521v1:1:21548187:21552898:-1 gene:DCAR_001849 transcript:KZN09193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGFLDFLWKCIQLLAWPSFALGYPLCASIRAIETNSDFHMRKLVAYWILFSLISLFEYTFSKLLEWVSVWPYVKILVVCWLVIPYFNGASIAYNNVVRPCLSMQLPQIIVDMLNKKQYSSLTRESFLEETERYVKENGTEALEKLFASKPIINEPPVAQKDINAVDILDKHEAAAENKDSSGDEMLFGYSRRQKARAEKQQISTTMDAEFKVNNTALPEKPTENAYLVPPAFKNVQKEWTCAVCQVTTQSETTLNSHLQGMKHRTKCEELKAGKQTATRKGVSISVPNKERSCAISQVTTQSKTTWTSSLHEEFTAGKQTGTNKSRQEFSSTTNKSEQPNQVLKKVSSGSGINKVSCANQDQKKSSPNKFGCDICQMKLQSEATLKSHLQGSKHKAKIEQLKAKETTDTDKITSSAKSSDKNTTGVNKNSSAKAEVKIEANVSNGFHRCSICNVTCTSESDMAFHLRGKRHLSGVEDSVHTRGVYYWCDICDVKCQSEIDMASHLNGKTHDSNLYDSD >KZN10541 pep chromosome:ASM162521v1:1:36728509:36732287:-1 gene:DCAR_003197 transcript:KZN10541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTISSEECSDQQSDDRGGSYSLSADVSESESSSSFSGCRFNAEAASSSMASSPLARRAFTGNAGFPAPVMFPVVGGQDVVVWEDKTEKPEANLSEVEMMKERFAKLLLGEDMSGGGKGVSTALAISNAITNLSATVFGELWRLEPLAAQKKAMWCREMECLLCVSDSIVELVPSIQQFPGGGTYEVMATQPRSDLYVNLPALKKLDAMLITVLDGFHDLEFWYVERGVAGDDCDTYPSAFSSGRPSVRQEEKWWLPCPKVPPNGLTEDARKKLQQCRDCTNQILKAALAINSNVLAEMDIPSAYLDTLPKNGKACLGDTVYRYITADQLSPECLLDCLDLSSEHQALEVANRIEAAVHVWKLKYQRKHSNYIKSKHSSWSGKVKGLVNDAGKNHVLAQRAESLLHILKMRYPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDAAKRCSTAELMSFFNQGSLGSKPIQKRMLPSPFSIRHTPYTSPFATPTFCSSPPITSSPSRVHAPIQNNGIKGMPNRKAEIPLPDLEKLWSYAGNLSARRISGDAPERD >KZN09486 pep chromosome:ASM162521v1:1:25836921:25841604:1 gene:DCAR_002142 transcript:KZN09486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKYDSIQDLTTTRFDWNCRLRLQCVWRASNPKTKELWGLNMIYIDDSNYRIHAFASSKYCKDLINELKEGKIYILSNFKVKNYVGDETYRAVRNNKHIYFTTHTKFAKDVDNGLHIDRHAFDLFYMGEMQNLAADNCFLVDVLGEIRNVRANIKSTKTASEKILTKFDLFDGRHTLSVTLFDDFGKQFEQTLRCCKEEQVFVIICGAKIGMYEGLPNLTNYSATRIYINPGHYSVRQLRERMVAIKQEKADSPPAEVMIYPMLTVKEIQSLAADSGECKVKCKVRVTKVEENASWYYSICTKCPREIVQEKGVFNCVDCKRIIPYPDKRFRICTLCSDSTGSIAIIFLDEDVSRILEKSVFDIEAEAIQANAEGQFPHDLKQFEKKLYEITINITADNLKKGSRVYEAYQIVDKIESGASFDPSAGRDSEMPDVQTVDLQDDNNDTPNTGISSTKTRARVDIEPVPFDPKGESPAKLLRKSNEKKFASVASIQTGFHDANLKIKVRVTRLWRGTTKKGEEFTSFNILLLDCKNSAIHAFIPSACAYDLERKITLGTVNIISGFTVQAYKDTDGFRCVRAANQLIFSTDTKIQQVDDKGTKIANEFFDLYDHSEVKPFAAQTTYLIDIVGVITDHEIFINNITNRHGEAQEQAKFAITDGSSLWKVTFWDKFARLFVKAIWEKLETPQGPAKHFPQCFKLLTPKPYTVKLEINETNITNKNSLYWATNICNGFKQEETEEKVQQTATTNDPEATSSSINVQGLSGLKCTSSEMTKD >KZN08476 pep chromosome:ASM162521v1:1:7480724:7487254:-1 gene:DCAR_001022 transcript:KZN08476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNCFCEEEEEFSYMSVLKSANCHTSITILSEREHISPGEDLHIPALLQFIQLTNTLQPPTNLELKARRTSTASSNSRIHAFANSKYCDDLLKDMKEGQIYEICNFKVKDYLGDEKFRAVRNKKHLFFTPHTKFQQADTIGLNIEKYAFDLFHYDEIDKLADDNRFLIGVAHLSNYPATRVFINPEHYNVDRLKKSLTEEKKVPDVVFMPNQPKPAELTKKLLKVKEIKNLPKDFEEGIIYCEVTVKRFMDKSSWYFRKCTGCDLELEVQDAKFKCLRDGGCGRIYPYPEKRFHGCTVYNAKQIVDTHEKGDSFDPNKATVVDVEDVSMQNVTETDATANQTPNTGYSTNMKSRARKITEALEYNQTETATATIPPLKNIKIEKASLIDLQLSSAFLDGIIFYICQLIMQGQRIHAFVPTKCVEEIYSQIIVGRVFSIKQFMVQKYSQTEKFRVVRNESQLIFSKDTIIQEQADDGVTIPQEAFDFYDHSQLIELSNQTTYLAENTSADVVGIKKDYDQIRDLKNKHCQDQKKTKLVITDGRLANEEFAKKALGKNNVKTIHKINVDELKKLGKNAIEGLFMLHVTIKSIDPTFGWFYNACTSCEKETKMENPCPICESCNRYVPYPDQKFRFHVIAEDMTGKVQVVLGHREARTIIRKRCLHLADECLTESHVQMFTEEGLSKTLLSIVDKDYSLVIQVREMNVVNNFNVYRANNICKGFVGLPGATNQSANAKDAQTSQPTTSTYNAGGLSDIDLASN >KZN08673 pep chromosome:ASM162521v1:1:13326285:13327133:-1 gene:DCAR_001203 transcript:KZN08673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLGQGTDGNNFSSSRRVYQVSSKETRASKQYNFWGRKESRKWEDDADLPSSPIEFQTDEGDYSAYSPPLWTNKSNVNSNLLPHNHHYSSPPPTSRLQAIEDGKKELMEMVKGMPESSYELSLQDMVEDGIGMKEVKQDTKKKSERNSNKSSLKRHSRSQSMNDEVFLLKMFFPSCLSCKKKTTFENHSKVSRVPSFDGYEKPVDKERWTISCLLSRKNRSNSSGSGSSSSRSSSGSTCCNSSSRGRYNVIKFCQEYLNLLNQPKQKLQNKNLLNQPKQKL >KZN09732 pep chromosome:ASM162521v1:1:28856393:28859067:1 gene:DCAR_002388 transcript:KZN09732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTDDQKLQKTKDRVDAVLHLLKKQAPLSLKQERYCSRSSVERFLKAKGENVKKAAKNLRACLSWRESIGIENLIADEFSTEIADGVAYVSGYDNESRPVLIFRIKQDYHKFHSQKMLTRVVVFTLEVALQSMAKNVEQFVILIDASFFRSGPAFMNMLLTTMKIIADYYPSRLHKAFVIDPPSIFSYLWKGVKAFVELSSYIVMVSSLDFHDSFEFDDLTSYSRASSSLRFNSTSAQSTAKIGSCASSRFSFTVAHHFDSVKPWYLSLGDTSSSKVGPTNPSLVGPALISSANARSFSFASPAARTTRGNIHTVARKSFFPSTPLPQKTQTFDHTHIKQPRTPNPSFLQSPAMSFFTSKKECHVSKADKCRESFAMFLKFYRRPYDEMTYRSKMRPPLGGLISIVSPQLRRRHMSVSQRF >KZN08492 pep chromosome:ASM162521v1:1:8477130:8478055:-1 gene:DCAR_001038 transcript:KZN08492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETDMDEKRHDRSIVRLSPCLLVEALSSLTQDQKSWICSTGFGSILSFELKEYPLEISRFLLMAFEPNPPLLRLDGSTFHVTEQDVKEILGLPVGEADVDFVNSTDAIKRWANSHEQVGNCYKKVLEDTGSIRYSYEQMGSI >KZN09692 pep chromosome:ASM162521v1:1:28519945:28521801:1 gene:DCAR_002348 transcript:KZN09692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFINALKSGFNQPMMGVTENNSATYLSSGNPCLDFFFHVVPSTPSSQLLQRLEASWSHDPLTTLKLICNLRGIRGTGKSDKEGFYSAALWLHKHHPKTLAGNAHVFASFGYFKDFLEILFRLIQGPDARAILKQEWRAKKNSRGRGIEGVRKDVHFKLDSRNKKEKDIRSAEKKLVRNLISKEVRIEDNKTKMMEDKKRASQLRKEKHAAKAKKAMDMYTNDMNYQFFHESVSTLFADLLKADMEWLNLGKTNNISLAGKWCPTIDSSYDRYTLICARIAKKLFPQEVYSEYEGIGDDQYVLAVRDRLRKQVLVPLHEALKLPEVYMSARKWSTLPYKRVASVAMKTYTDIFMDHDKERFSQYLEDVKQGKAKIAAGALLPHDIIRSCLAGHSDGQEAVAELQWKQMVDDMLKKGQFTNCIAVSDVSGSMVGTPMEVSVALGLLVSELSDDPWKGQIITFSSDPELQMIKGSNLREKCQFVKGMKWGMNTNFQKVFDQILQVALASNLSEEQMIKRVFVFSDMEFNQASWHPWETDYMVIQRKFREKGYEKVPEIVFWNLRESSATPVKATQNGVALLSGFSKNLLTIFFKGGHMNPETVMQAAISGDDYQKLVLYD >KZN09313 pep chromosome:ASM162521v1:1:22899938:22903371:-1 gene:DCAR_001969 transcript:KZN09313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTRLQYSAFSKGSGMTRFNSGGTILWVFKRCSYQSDKGAVVSMKNPLINGKVLTCICDIFTNRCKRIPLGVVSPSSIPTAEAIFALWTFSVAYNKTVPVLRFQTPTPANMNRQQLSQSTHGSGSETTQILTLDNG >KZN11789 pep chromosome:ASM162521v1:1:48106296:48111089:1 gene:DCAR_004445 transcript:KZN11789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASEGHITTPPPPPPAPYTLPPSSYASEDIIFCIDTDSECLKEMKVGGPAGPFTRLDTMKQSILMFINAKLAINSDHRFAFCAIGKNTYWLQKDFSSRSDFAFIAFTKITELSSSDQADLTQLFRAAAHEAKKSRAQNRILRLVLLYCRSSVTPYHRWPVTEKLFTLDVMYLHDKPGPENCPQKVYDALVDTLEQVSEYEGYIFETGQGLPRIIFRHMCVLLSHPQQRCVQDDIDIPKSLTRKPPASETTTGSEVQGSSQ >KZN10673 pep chromosome:ASM162521v1:1:38101024:38102856:-1 gene:DCAR_003329 transcript:KZN10673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSFDKLDKFSESVIQEHLDPSRSSLDHEDLTDVLIALSNDENAPFHLSRDHIKAVFMMAKEVLKVHDTKCCSRPDSYGMRKLSYNQKDISFSPYGDYWREMRKLCVIELFTVKRVRSFQHVRDREIAKLVNDISIEASDPNNKFIHLDEKILTFAKNIICEVAFGTNVGGEKFKENEIKKTLHEAMIVISGFCAADFFPYYGWIIDLLTGFHRKMKKSFDKLDKFSESVIQEHIGPSRSRLDHENLTDVLIALSNDENAPFDLTKDHIKAVFMYIYMCRVSSIANTSSPQE >KZN09674 pep chromosome:ASM162521v1:1:28334183:28335367:1 gene:DCAR_002330 transcript:KZN09674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLWEIPEKEAVKINVACVVVQQPSLYGNTKSAAALIRDEHGGKVWGAMGPFNNFTEEQALMAGIQSACIYAQEHDLQVTHIETSHLDVFELIRLQEHVPIPEEQLEAFRLFNTVHANHYVEGETDRRISWIPEHMNEAATYLAEYGLHHFSGFVEIPGPQTVGNLQFLLDRDMGMVIANPEVELLPNLGLGEVVDGPPPPATHPKRRFSSSSFMDDEAGMENAFLDIGVLHGKDDSLFSWAFKTPSCEQKPPVFKVSPFKSAAVMFGDRGKGKAKMYEDYAFYDDGHLSKRAMELLDSGALLHYSDAFGEKVIDLETHVANGFFAKDILHYAVLDTLGMLESMLEDKHPLVADIVSSKKMELMPVDSVLTLMGLDEDASQPSNKRARRASSV >KZN10162 pep chromosome:ASM162521v1:1:33131533:33141133:-1 gene:DCAR_002818 transcript:KZN10162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQQAILKDVFGESSDSDCEPQETISNNKQDPELLFGEMPKWEAISSIKGLWLCKDFLDDHQQALLLSNIDKEGWFCEASHNQAMRFGDLPAWATDISTSVKEAIQFTDYVSDPMTGANCHGDLETCLCPPNLLCREPFFDQLIVNRYQPGEGICAHVDLMRFEDGIAIVSLESSCVMHFTRVESEATNNLQNLHKVPVYLTPGSLVLMWGEARYLWKHEINRNPGIQTWEGKNIEQKRRTSITLRKLCNND >KZN10661 pep chromosome:ASM162521v1:1:38021090:38023740:-1 gene:DCAR_003317 transcript:KZN10661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDGTATAASETPQLAAHSHHHDILPSTTILLVVMPITIIILLLAILFITVMLRRMRPAKENDSLNSSPEHGNCIFVPHRNAALSTPDVKGGCLYGVNSSRFPPPKVKGIQVFTYKDLEVATENFSETNVIGNGGFGVVYKGILSDGNMAAVKMLHREGKQGERAFRQEVDLLSTLHSPYLMELLGYCADQHHRLLIFEFMPNGTLQQHLHTPNNRAQPLNWGTRLRIALDCARALEFLHEHTTPSVIHRDFKCSNILLDQNFRAKVSDFGLAKIGSDKINGQISTRVMGTTGYLAPEYASTGRLTTKSDVYSYGVVLLELLTGRVPVDTKRPSGEHVLVSWALPRLTCREKLAKMVDPALQGQYYKKDLIQIAAIAAMCVQTEADYRPLMTDVVQSLIPLVKNLSSSCPSNSSRSNQRVSPRS >KZN11806 pep chromosome:ASM162521v1:1:48244345:48252400:-1 gene:DCAR_004462 transcript:KZN11806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRALERERHQMEEIRGLDYEELMIEEVDGLNESSDDDNDRFGGTPALAEFAYDPCLASLHTYLGEVEDTRSRMAFLDGGAVLNLPLFYLEGVVLFPEATLPLRVIQPNFVAALHRALKQADAPFTLGVVRVYRDTNNGRLQFATTGTTAEIRQFRRLEDGSLNVLTRGQQRFRLRRRWIDVEGAPCGEIQIIQEDSPLRTPRDAVGRLATVRSLHARCGFGARQHGHRNGSGDSDSMSEDSFSSEHPLNERRPSESAPASSYCSSDINDVSTSGEEENFGSDSDHQSGGSHQAYSIGSIHSDDNNKSAIASLETGNEVILDREVRRIVGLGKHSVSRLREAPSAFWPSWVYRMYDSYHLAQHVADLWNKIVKAPKMDGFVKKPDLMSFHIASKMPVSESTRQELLEIDGVSYRLRREIELLEKFDRVRCKTCQTVIARRSDMLVMSSDGPLGAYVNPHGFVHEVMTLLAANDLVLVGHPVKKYSWFPGYAWTITYCATCESQMGWLFTATSKSLKPRSFWGIRSSQVADDMS >KZN11468 pep chromosome:ASM162521v1:1:44949682:44951136:1 gene:DCAR_004124 transcript:KZN11468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLNPEEFRKQGHLMIDFLADYYHQIHKYPVRSQVLPGYLQKIIPESAPCSPEPFETILQDVQNKIIPGITHWQSPNFFAYFPSSGSTAGLLGEMLSTGFNIVGFNWMSSPAATELENIVVDWVGQILRLPESFLFSGGGGGVLQGTTCEAIICTLVAARDQKLSQYGMEIIGSLVVYCSDQTHSALKKAAKIVGVNPKNIRSIKTSKASDFQLLPGPLNHAISTDLRDGLIPLYLCLTIGTTSSTAVDPVGLLSEVAKNYEIWVHVDAAYAGSACICPEFQHFLDGVENVNSFSLNAHKWFLTTLDCCCLWVKDPTALTKALSTNPEYLKNHATESNRVIDYKDWQLMLSRRFRALKLWFVLRSYGVDNLRNFIRGHVEMAKNLERLVVMDKRFEVVVPRLFSLVCFRVSPSAVGQKSGEDVNEINRKLLESMNGSGRVYMSHAVLEGVFMIRFAVGATLTNMRHVSVAWKVVQEHADALLR >KZN09668 pep chromosome:ASM162521v1:1:28278775:28279197:1 gene:DCAR_002324 transcript:KZN09668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSKGKNAQENKGGTGSEEVRYRGVRRRPWGKYAAEIRDSSQNRQQRLWLGTFETAEEAARAYDKAAFNLKGHLAILNFPREYYSKLPSYIYPPGPCSSVSPSSSVSDGKQIIEFEYLDDSVMDELLESEAEKIKSKK >KZN09162 pep chromosome:ASM162521v1:1:21115070:21116110:1 gene:DCAR_001818 transcript:KZN09162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARSHHHHHHHHRVKSGETVHFEPRIPTVPSTPWIGPAVHNVSWNFNVKGWPSGDITFQAVFNYDQELHNVVSVDEANYLACSIPDLATVYTSGHDEIVLQSGTNYFICGTMGHCVAGMLIAVTAA >KZN11603 pep chromosome:ASM162521v1:1:46166476:46172961:1 gene:DCAR_004259 transcript:KZN11603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSKPSAPESGLNPDIEARFIAFCKDGLSMDEITMTEAMKLFNESKHLLQANVSVAVGTGTPEEAELYWFVFVLYSLKRLSQRNSNDSITESDEKRVSLCQMLRAAKLNLVDFFKEIPQFIVKVGPILGNLYGADWEKRLEAKELQANFVHMSILSKYYKRAYHELFLAKDTNTDKQSAAGDGSDYHRFGWLLFLALRAHAFSRFKDLVTCTNGLVSILAILIIHVPARFRKFSVDDSSRFVKKGDKGVDLIASLCKMYETSEDELRKTMEKTNKLIEGILKKKPCLASEVKIENLDNISTDGLIYFDGLMEETTLSTNLSTLEKDYEDAICSTGDLDERLFMDAEDSVLGSSSLSGGAINISGPKRKFDSMASPTKTITSPLSPFRSPAKSVMSGNVVIGSSRIPSTPVSTAMTTAKWLRDVICPLPSKPSAELESFLSKCDRNVTHEVVRRAHIILEAIFPSSGAGDRSATGSLQSTNLMDNIWAEQRRLEAVKLYYRVLQAMCTAEAQILNANNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPVVLERTGITAFDLSKVIESFVRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEICRLGLLAEPMPSLDAIAIHVNMSSGVLSPVTSLQKLESSPGIDSLHLTSGQNGDIRSPKRLCTEPRSVLVERNSFTSPVKDRLLATNNLKSKLLPPALQSAFSSPTRANPGGGGETCAETAISVVFAKIVKLAAVRINSMIERLQLPQQIRENVYCLFQNILMQQTALFFNRHIDQVILSCLYGVAKISQLNLTFREIIYNYRKQPQCKPQVFRSVFVDWSAARRNGKTGKDHVDIITFYNEVFIPAVKPLLVELAPGGNVKKSSQIPEAMNNNDKGQIPGSPKVSKFPSLPDMSPKKVSAAHNVYVSPLRSSKMDALNSHNSKSYYACVGESTHAYQSPSKDLTAINNHLNGPKKFRGMLNFDDVGVVSDSLVANSIYLQNGSCASSSGTPLKSEQPDA >KZN07942 pep chromosome:ASM162521v1:1:838938:839318:-1 gene:DCAR_000611 transcript:KZN07942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRRFAQVAMSDDEDDAPAKPPSPAPHSDDDHRRSKRKRKQMKLIDEEEEEQKSPSPKKKKTKQDESENEEDAEEEEEEEAVVDAKPIGEPVKSSGKGKKLRNHFETFEYDGLRYELVSVLIRYF >KZN12011 pep chromosome:ASM162521v1:1:50217389:50218381:-1 gene:DCAR_004667 transcript:KZN12011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSTPSTSAPLLDPTTPDPTSPSLRQQSLREASRFLRRSTGRRMLTNPSMVVRETAAEELEERQSDWAYSRPVVVLDLLWNFVFIVVGFVVVGISGEERPETPLRVWVLGYGVQCGVHMVCVCFEYRRRVRRREGEEEGEGYVTLARLTERVPRVLRYVGSSFLGVIELV >KZN08485 pep chromosome:ASM162521v1:1:7809612:7817182:1 gene:DCAR_001031 transcript:KZN08485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDIFRVSSARISSSNIWRSSGRDIFSRTSVEQDDEEALTWAAIEKLPTYLRIRRGLLTEKEGEAREIDIKSLGIAEKRSLLERLVKVAEEDNERFLLKLKERIDRVGLEIPAIEVRFEHLSVDAEAYVGGRALPTIFNFSANILEDTLNYLHLLPSRKKPLPILHDVSGIIKPGRMALLLGPPSSGKTTLLLALAGKLGSDLQVSGRVTYNGAGMDEFVPQRTSAYISQHDLHIGEMTVRETLAFSARCQGVGARYETLLELSRREKEANIKPDPDIDIYMKATSLEGQESSVVTDYTLKILGLEACADTIVGDEMFRGISGGQKKRLTTGEMMVGPAKALFMDEISTGLDSSTTFQIVNSIRQSIHILQGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPCENVLEFFESMGFVCPERKGVADFLQEVTSRKDQEQYWLHKNQPYHFISARELAEAFQSFHVGVKLGDNLAIPFDKAKGHPAALTTEKYGVSKKELLKACIAREFLLMRRNSFVYTFKMTQMIFVGSIAMTVFLRTEMAKRTLDDGQIYLGALFFGIITLMFNGFSELALSIIKLPVFFKQRDLLFFPAWAYSLPTWILKIPITIVEALVWVCMTYYVMGFEPDAGRFFKQYLLLVVINQMASGLFRSIGALGRNIIVANTFGSCALLTVLVLGGFVMSRNDIKAWWIWGYWFSPLMYAQNAVAVNEFLGKRWAHVLPNATEPLGVSVMKARGLFPQAYWYWIGVGALVGYVFLFNFIFTLALTYLNPFGKSRAVLTEEILAERSGQYIELSSKDNLEKGNQDRRSVSSRSMSARVGIINEDNQKKHGMVLPFQPLSITFDDISYRVDMPQEMKAQGVTEERLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGTITISGYPKKQETFARIAGYCEQTDIHSPHVTVYESLQYSAWLRLPPEVDATTKQMFVEEVMELVELSPLREALVGLPGIDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYVGPLGRLSCHLIEYFEGINGVNRIKDGQNPATWMLDITSESREATKGVDFAQVYKKSELYRRNKELIKEASTPIPGSKDLYFPTQYSQSFYTQCMACLWKQNWSYWRNPPYNAVRFLFTVFIALLFGTIFWNVGSSRGTKQNLFDSMGSMYAAVIFLGIQSASSVQPVVGVERTVFYRERAAGMYSALPYAVGQVLIELPYSFIQTILYGLIVYAMLGFEWTFVKFFWHLFFMYFTLLYFTFYGMMTVAVTPNHNIAAIVSSAFYALWNLFSGFIVPKTRIPIWWRWYYYICPIAWTLYGLVASQFGDLQDELDTGETVQHFIEDYFGFDYDFVGYVAIIISGFAVLFGFIFAYSIRTFNFQNR >KZN11873 pep chromosome:ASM162521v1:1:48937250:48939027:-1 gene:DCAR_004529 transcript:KZN11873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVNPIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFDTYTLPKLYLKMQYCVSCAIHSKVVRVRSRTDRRNREPPKRFTRPRDDLPKPGQPPRAPGAPAPPRV >KZN09044 pep chromosome:ASM162521v1:1:19410976:19417668:-1 gene:DCAR_001700 transcript:KZN09044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRTISO-2 description:carotenoid isomerase MALLVHLHNPCCSITNFNLNSGVRTSRRAGSFLARNSSSATTAPPTPNILSSTGKAEADVIVIGSGIGGLCCAGLLARYDQDVLVLESHDLPGGAAHSFDIKGYKFDSGPSLFSGLQSRGLQANPLAQVLDALGEPIPCVKYDSWKVYVPEGEFLSRIGPTEFFKDLERYAGPDAVREWRKLLDAILPMSTAAMALPPVSIRGDWGVLSTAAARYAPTLIKSFAQMGPRGALGATKLLRPFSEIVDSLGLKDPFIRNWVDLLSFLLAGVKSDGILSAEMVYMFAEWYKPNCTLEYPLQGSGAIVDALVRGVQKFGGRISLRSHVETIVVENGRAVGVKLRTGQFVRARKAIVSNASMWDTLNLLPKEVVPKSYQDRIEKTPQCESFMHLHLGFDSEGMHEDLGIHHIVVNDWKRGVDADQNVVLISVPSVLSPALAPPGKHVLHAYTPGTEPFGLWEGLDRKSSKYKKLKDERSEVMWNAVERALGPGFSREKCEVKLVGTPLTHKRFLRRNRGTYGPAIQAGKDTFPGHPTPIPQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHLQLLDAVGI >KZN12098 pep chromosome:ASM162521v1:1:51018364:51019418:-1 gene:DCAR_004754 transcript:KZN12098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAEVYRVQYQGRDDILRGIIVCKDSETGYQCLFKDQGLEDEVINNTLFMLNNDDNNPDCAHLCLQRSKVGSGGDIVIPLSRDIVAVPLGHQLILEFNLSGKDDYDKKDFDKIVEHYLAFNAIDDGKSTKSISGSKGELKVEVSWRSISY >KZN10023 pep chromosome:ASM162521v1:1:31798250:31800368:-1 gene:DCAR_002679 transcript:KZN10023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGKKNESEKEEESNASPGEERNRTRGEAEIKASQEPKIEEEESSDDEGPFPEPYPVKRIPVKYYCCSSDSLDSSDSDSEESNASPGEDRNRTRGEAEIKASQEPKIEEEESSDDEGPFPEPYPVKRIPVKYYCCSSDSLDSSDSDSEESNDSPGEDRNRTRGEAEIKAGEYPPLDYSQEAKEPSPGRMVPRNVPHSDSSDFSDFESKNVPETQANKSVLANDLMSASSILVLADPSLFLFFSCVFVSKNLHLVSSSTHL >KZN10053 pep chromosome:ASM162521v1:1:32177604:32182657:1 gene:DCAR_002709 transcript:KZN10053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNADYSYPSENVECSHRVAIPPPQPFTKSFANNLKETFFPDDPLRQFKNQPLSTKLKLGVQYVFPIFEWGPRYSLSFFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYALMGSSRDLAVGTVAVASLLTASMLGKEVDATENPSLYLHLAFTACFFAGVLQASLGIFRLGFIVDFLSHATIVGFMAGAATVVCLQQLKGILGLEHFTRSTDLISVMRSVFSQTHEWRWESAVLGCVFLFYLLVARYCSTKRPKLFWISAMAPLTSVILGSILVYFTHAEKHGVQVIGHLKKGLNPISITHLAFGGEYMSTALKTGIVTGVIALAEGIAVGRSFSMFKNYHIDGNKEMIAFGMMNIAGSFTSCYLTTGPFSRSAVNFNAGCKTAVSNIVMAIAVMLTLLFLTPVFHYTPIVVLSAIIISAMLGLIDYNAAIHLWNIDKYDFVVCMGAYLGVVFGSVEIGLVVAVMLSVLRVLLITRWIDEEEDRIKTSGESSLQYVILDMGAVGNIDTSGISMFEEIKKTLDRRGLKLVLANPGGEVIKKLNKAKFIDALGQEWIYLTVGEAVGACNFMLHTYKPKSTTDQSEPWSNDSSNV >KZN10853 pep chromosome:ASM162521v1:1:39570162:39577580:-1 gene:DCAR_003509 transcript:KZN10853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPSSVRPPCLSSSPTQPSLLLRPIFAFSSSSSFPFPLRPKRPSFHFLKPCSSLKQTKKNKPQTLQKSPTSGPNSLKRFLNLDSKDDEEEDSNGDDDDGVALRGTILAGVLLVGVVGGFGTAGYVYRDQISAFLIQFSAFIEGYGPAGYALFVAVYAGLEVLAIPAVPLTMSAGLLFGSLTGTILVSISGTVAATVAFLIARYFARERILKLVEENKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESGISLPGSNGQQLLTLGLGLLATALAASYVTKLAKKWPDGIDSIFLMLVFQDAVKDIEE >KZN11012 pep chromosome:ASM162521v1:1:41072319:41072697:1 gene:DCAR_003668 transcript:KZN11012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKEQSSPAVSTPISTSCRKKKSEEATFLEDVKDHIDEFIHASMEEHATCFKKTVKKMFGMSKIVAERNSESKEVESSLPLRTTVAD >KZN08335 pep chromosome:ASM162521v1:1:5393876:5399626:1 gene:DCAR_000881 transcript:KZN08335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEMEDAEIYTFTGDEIDPDYEFDAARYFDFCTGESFTESTRAEIWFESLRGYPPSPFAIRLQLGEDYLLQNVTTSPKTKMSETNVAPEIDSGNAEERRNSAMDTSNSDQEFINITHSTSLQSSAQPNLYRTGAIQNCAHLYKAGAIPAGLTFYNHMNESKTRRNANARPFVSRVSTLMKPTASQLAKQSRSGHTDNFRSMRSEDKNKSLNNTPALENQAAKRQKLEGGHLRKVADTYQQTYLVHKERKRDANIEAKSTQAKLHITIPREPDLKTAHRARRMRTKVGEEREQATSTTHTFRALPLNRKILEAPSLLLAKRSTPQLPEFQEFHLKTSERAMQQAVPSYSVHCNNSKVSHEPDSGSYLECSTKDPRRQVEGCAVVNQFKATPFNKKVFSSKGDIGVFRSKKRETTVPKEFNFHTERRQQHDPPVDLFNRLTLESDLRSNVESHVKVPQSTLTFTKGSKENKFGSVQQESKVTNMLQGESQGIKTRQIPFEGATRTGNMRSVSRISGIR >KZN10100 pep chromosome:ASM162521v1:1:32619490:32624636:-1 gene:DCAR_002756 transcript:KZN10100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIPQPDPKTNPIGRTETPGGPTSSSLSVTETINGFHDFKIMGYSLSKGIGIGKYIASETFDVGGYSWAIYFYPDGKSHEDNSVYVSLFIALASEGNDVRALFELTLMDQSGNERHKIHTHFGRPLESGPYTLKYRGSMWGYKRFFRRTNLETSDYLKDDCLQVHCCVGVVKSHTEGPKIYSIPVPVSDIGQHFGQLLESGKGTDVNIQVNGEILAAHKLVLAARSPVFRAQLFGQMKDQNTEFINIEEMEVPVFKALLHFMYWDSLPDFEELTGLKSQWASTVMFQHLLAAADQYGLERLRLLCEASLCDGVSIDTVSTTLALAEQHHCSQLKSVCLKFVAMPKNLRAVMQTDGFDYLKESCPSVLTELLEYVARFSKHSVVINRSGNEGLLDGSDVHGRRMYVVCSLKAIQMFLYFPRGILKEVQLN >KZN10846 pep chromosome:ASM162521v1:1:39489150:39489719:-1 gene:DCAR_003502 transcript:KZN10846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFQDQAALSCELSIKKARNIEFNSTGSLFVRCYMSAGNKNRVRFETREVSSSNMAWNQSFSLDCFGTKECMSSMLSEGTVSFELRWRSRISIFGRRKSQLLGKSEVPWRTVYESSTMDTEKWIVMNSRKSLADGVKPPAVQIGMKVGGALPAISKAIRQTKRCGEKCECKDCVNCDLFAIDAALEFF >KZN08111 pep chromosome:ASM162521v1:1:2816820:2820212:-1 gene:DCAR_000780 transcript:KZN08111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHHQHINNSLIKNKEASGLISDQDHNTTSSQFVLQWGNRKRLRCMKVQVKETDLQHNLAPVGPKIRARVDRRVVRADPTSGLNQSNGHLNLRPRPASPAHRVLRNSESTGSMKGAQSNGVGQDKKVVVGNSPSRATANSNRNNNTCNNINEAAVGGGGSSEKGGSSSGSEPAAAVIWPPKFVLGLTNKEKEEDFLAIKGSKLPHRPKKRAKFIQRTLNLVSPGTWLCDLTLERYEVRERKVNKKRPRGLKAMTNMVDSESE >KZN10225 pep chromosome:ASM162521v1:1:33689761:33692138:-1 gene:DCAR_002881 transcript:KZN10225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSALEDRDLESGGTGSEDELSSGSISSNKSARSVFGRLRTDILGFEGYGKDECSSSTYNMSGNSNQALYENKDSLTDKHLEQGIEQLPVTEKKNIKEKRKNSFRKASRPPRPPKGPTLDVSDLKLITEISDLAKKRRARIKRIKALREMKTKIASPSSSSSSSLIGRLAAMVATLLLFIVILFQGILSKHTSSASFKGSPEPAAAAHDLISVRFFNSTPISGGSAPSSILPKLYDKAGGGSG >KZN08495 pep chromosome:ASM162521v1:1:8771535:8774642:-1 gene:DCAR_001041 transcript:KZN08495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIRNICILAHVDHGKTTLADHLIASCGGGVLHPKQAGRLRFLDYLDEEQRRAITMKSSSIALQFHNHSINLIDSPGHMDFCSEVSTAARLSDGALVLVDAVEGVHIQTHAVLRQAWIEKLTPCLVLNKIDRLICELKLTPMEAFIRLQRIVHEVNGIMSTYKSQKYLSDVDSLLAAPSGDLTDDNFELIEDDEEDTFQPQKGNVAFVCAFDGWGFRTSKFAEFCADKLNSKNPNPLHKVNPATVNKALWGPRYYNPKTMMFVGKKGIAGISNAQPLFVQWVLEPIWQLYKACLEPDGDIAILQKVIRNFGLKGLEHELKNKDPKSKLQAVMCHWLPLSDAILSMVVNCMPDPIQAQSFRVSRLLPKREVLDEGMKSEVLAEAEAARKSVEACDSRPEAPCVAFVSKMFAVPVKMLPQRGLHGEIINNFTEDGGNGDSDECFLAFARIFSGVLYAGQKVYVLSALYDPLKEENMQKHVQEAELHSLYLMMGQGLKPVAFVKAGNVVAIRGLGQHILKSATLSSTKSCWPFSSMVFQVSPTLKVAIEPSDPADIGALMKGLRLLNRADPFVEVTVSGRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSFRETIECEESDPLENLRLLTGTTDFVEKTTANGRCVVRVQVMKLPTALTKLLDESSEFLGDIVGGKMGKTYNSLEAHKASITEDDNTIESLKKRIIAAVEKDSLYWSSQIDKDLVEKYKVLWQNFLKRIWAFGPRQVGPNILLTPDIKKAANVDSSVLLRGSPYVSQRLGFLDVEESQRIRKYEASMATTNGLYQEVETLESSVMSGFQLASAAGPLCDEPMWGLAFVVEAYVSASTGQINDSSNSQQQAEQYGMFSGQVMTAVKDACKAAVLQKKPRLVEGMYFCELNTPTEYLGAMYAVLARRRARVLKEEMQEGSPLFTVHAYLPVTESFGFADELRRWTSGASSALLVLSHWEALPEDPFFVPKTEEEKEEFGDGASVLPNTARKLIDGVRRRKGLPVEEKVVQHATKQRTLARKV >KZN09066 pep chromosome:ASM162521v1:1:19752601:19758200:-1 gene:DCAR_001722 transcript:KZN09066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDAANHPSKKARSGEASNALFKELWHACAGPLVTVPQEGERVYYFPQGHMEQLEASTHQGSDQQLPLFNLPAKILCKVMNVQLRAEPETDEVYAQITLLPEQDQNEVMSPDPPPPEPPKYTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSAKKLVAGDAFIFLRQVLGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAIATGTHFSVYYKPRTSRSEFIVSLNKYLEAQSQKLSVGMRFKMRFEGEEAPERRFSGTIVGVGDGTTSRWRDSEWRSLKVQWDEPSSVLRPDTVSPWELEPLVAATTPNSQPPQRNKRARPPVLPTQTTDIPTLGLWKAPADRPSAVGYCDPSRGQDLFSSPKFSSGAIESISPVVSKGTVEKRPGNGYRLFGIDLVDPSTVEDTPMIVSGAVVDDLSLTLQVESDLHSEPYDADQSDLPSVHMQGIAVGRAVDLTRFNKYEDLLRKLEDMFEIEGELCGSEKKWQVVYTDDEDDIMMVGDDPWQLSFVILFFFHTKY >KZN10500 pep chromosome:ASM162521v1:1:36331602:36333175:1 gene:DCAR_003156 transcript:KZN10500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKLFLALALLSRGTFGATFTFVNRCEYKVWPGILANAGTAPLESTGFELAQDTSRSFQAPTGWSGRFWGRTGCKFDGSGSGSCSTGDCGSGQVECNGAGASPPATLAEFTLGTGSQDFYDVSLVDGYNVAMIIEGSGGSGMCASTGCVTDLNRQCPAELRVSEGDACKSACEAFGSPEYCCSGAYNTPATCKPSVYAEMFKMACPRSYSYAYDDPTSTFTCTGADYTVTFCPNMPSQKSSRESAPTTTIPTPTTTVGDATPTTTTNGDGSSSVTGGDAGLQSGTMGGSSTSSGSGTDGAVMADDGSWLAGLAMGDSTRR >KZN10778 pep chromosome:ASM162521v1:1:38854318:38879510:-1 gene:DCAR_003434 transcript:KZN10778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQNAASASSESDVFTSPEVSKSFDFTNEERIYNWWESQGYFKPNFDRESDPFVVPMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMKGKPTLWLPGTDHAGIATQLVVERMLASEGIKRSELGRDEFTKRVWEWKEKYGGTITNQIKRLGASCDWTKERFTLDEQLSRKIVLYTEVEYSEEPGFLYYIKYRVAGGSRSDFLTIATTRPETLFGDTALAVHPEDERYSKYVGMNAIVPQTYGRHIPIISDKYVDKEFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNDVAGLYSGLDRFEVREKLWKDLEEIDLAVEKKPYTLRVPRSQRGGEIIEPLVSKQWFVTMEPLAEKALKAVEKGELNIMPDRFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSSDEAITKAREKYGKNVEIYQDPDVLDTWFSSSLWPFSTLGWPDVSAEDFKRFYPTSMLETGHDILFFWVARMVMMGIELTGKVPFSNIYLHGLIRDSQGRKMSKTLGNVVDPIGTMQEFGTDALRFTLALGTAGQDLNLSTERLTSNKAFTNKLWNAGKFVLQNLPKQNDTSALSTMLVYEFNKDECLLTLPLPECWVVSKLHILVDTVTTSYDKFFFGDVGREIYDFFWSDFADWYIETSKARLYNSDGGAVSSVAQAVLLYVFENILKMLHPFMPYVTEELWQALPNRTEALIVSSWPQTSLPRHTNSIKRFENFQALTRAIRNARAEYSVEPAKRISASIVANSEVTQYISEFVVAIILKQGHDVKWYAFPGCPDDANQSVHLVAGEGLEAYLPLADMVDITAEVQRLSKRINKMQKEYDGLVARLSSPEFIEKAPREIIDGIKEKASEAEEKLTLTKNRLTFLESTTVVAGSIHPA >KZN11650 pep chromosome:ASM162521v1:1:46664424:46665569:1 gene:DCAR_004306 transcript:KZN11650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIQDLIGEVLCRVPVKPLLRFRCVSKDWCSLIDSNVFAKKHIRTALEFGTGGGLIVNDEAGRCYVVDSEGVDVGSDEAVAVGIKDPLMSLIHGAEVLGAANGLCCVAKSEMSNILLFNPMTRKGREILVVPAPICGFGYDPVNDDYKVVKIAEGKTQFFVFVYSLKTNSWKRVLNVPSKVSFLSKWGVFAGGALYWLASKNPGKGLDTIVSFDLGLEQFKGVPLPPADNKIVNTNSRFMVPVGELLCIFDSYPNHSIDVWLMNKNGSENPWYKAFTVKQPRRHGSEFLRPLAFSKSQTVLLLQVGNTKLMWYDLEKKTFKNVGIRGIRMNFYAYLYNESLLQLAEDKPPQKPLQDKTIQKPSQDEQQKKQQKKRYRMFL >KZN08221 pep chromosome:ASM162521v1:1:4075578:4076270:-1 gene:DCAR_001286 transcript:KZN08221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTNNGSTDPNQWYHYYQQSNSTAANPASGVGFGLPETDNTIVTTSFTTNNTSGPNNSTSLGTSDAHLNPQGSSGKPIRRRSRASRRTPTTLLNASTTNFRSLVQQFTGCHNTKGPSFGSQKGPVNLSFGNQNDQQVFSTSSRIAPLGPDYTYNQQINPVVQQHWQQQQQQQQEMYGNSQINNNNFNNMQSGGLDDFGIDDVPDLHELVDESSSFSTGDNNRDGSNYYF >KZN11052 pep chromosome:ASM162521v1:1:41297716:41299863:-1 gene:DCAR_003708 transcript:KZN11052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGYGVLLVFFAITFISPSISVNGGILRKFQAQEITVQDIQIAFKQKKLTSRKLVEFYISEIQRLNPVLKSVLEINPDALYQADKADQERKSKAPLSLSNIHGIPILLKDNIATKDKLNTTAGSYALLGSVVPRDAGVVEKLRKAGAIILGKASLSEWSHYRADFIPNGWCARGGQAVNPYVASADPCGSSSGSAISVAANMAAVSLGTETDGSILCPSSYNSVVGIKPTLGLTSRAGVVPITPRQDSVGTVSDAVYVLDAIVGFDRNDAATRRSSKFIPSGGYPQFLRTDGLRGKRLLITHYPGFGFSNDSAVVNAFEPHLRKLRDKGAVLVDKLLEIPNVSDYFGSSGEDTAMSVEFKSAINTYLKKLVVSHVRTLEDLIKFNHKFSGLEMLKEFGQSLFLASEATNGTDAAYRKAITNMKKLNKGYEKMMRDNKLDAFVTPSPNCSPVLAIGGYPGISVPAGYDSNGVPLGICFGGLKGSEPKLIEIAYGFEQATKFRRPPAFKP >KZN07950 pep chromosome:ASM162521v1:1:932822:934200:1 gene:DCAR_000619 transcript:KZN07950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEDVKVGANKYSERQPLGTSAQTDKDYKEPPPAPLFEPGELTSWSFYRAGIAEFIATFLFLYVTVLTVMGVSNAPNKCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIVMQCLGAICGAGVIKGFEGSSRFELNGGGANVVNPGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKGHAWDDHWIFWVGPFIGAALAAAYHQIVIRAIPFKSTSYIAVSK >KZN11446 pep chromosome:ASM162521v1:1:44725472:44727857:-1 gene:DCAR_004102 transcript:KZN11446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSAEKRDDMLLHSAVSAENLGLVVEILATNEGKELKELLSKQNQSGETALYVAAKLGYVDFVKEMIKYYDIGDAAIKARNGSDAFHIAAKQGNLEVVKILMDALPELSLTFDQSNTTALHSASSQGHAEVVKFLMEKNGNLVTIGKSNKKTALHSAARNEHLESVEVVNELISSKPDLINMLDSKGNTALHIATRKGRTKIILALLSRKEILDKKAINKSGETALDTAEKTGHSEIANILEEHGVQSAKNMKLPPTTNAAKELKQTVSDIKHDVHHQIKHTRQTRKQMKDIGKQINKMHLEGLNNAITSTNVVAVLIASVAFAAIFTVPGQYVDDPKGVKPGMSLGEANIAPKPQFTIFLISDSLALFISLAVVVVQTSIVVVDKKGKKQTMAIINKLLWLACVSISVAFFALCFIVVGEDEFLLALGVTIVGALIMAATLGTMSYLVILHRIEASNLRRSVRRSARSSKSRSFSKYITSDSENNEPKNVYAI >KZN08281 pep chromosome:ASM162521v1:1:4933239:4933553:-1 gene:DCAR_000827 transcript:KZN08281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVMVVAILLVCLACLGEARPIYEKSDVSNGKSAEKDFTFPGEPPFEFPFPFPPLPEIFPFPPLPSFPFPLPPFPSIPIPPVIPFPPIVPDGPASPPPLPAV >KZN10084 pep chromosome:ASM162521v1:1:32470333:32472519:1 gene:DCAR_002740 transcript:KZN10084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTTIDIPTEGQTVLNQKKKFNFHTYVSSLLEKACMDDIKKVIHSIKLGIALVLVSLLYLLDPLFKQVGENAMWAIMTVVVVFEFYAGATLSKGLNRGAGTILGGGLGCLAAILADEFGATGNAIVVGTSVFLFGATATYLRMIPKIKRRYDYGAMIFILTFSLVVVSGVRADKVMALARERLSTIGMGFFVCLFTNLLIFPMWASDELHESTASKFGKLAGCIEGCLENYFKIIGENEKQPSANVGSCKSALYSKSTEESLANFAKWEPRHGKFGFHYPWEKYLRIGEALRDLSATIICLKGCVESPKQPSSTTRQELKEPCKIVGSKLVQILRELGESIIKMKRCHATILMLPKLQTLRMELSLLKSPKLEELDTGESIAIASFMFLLMEIVDKVEVLAKEVEELGKMANFSIK >KZN11502 pep chromosome:ASM162521v1:1:45241182:45243359:-1 gene:DCAR_004158 transcript:KZN11502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLLPKAWKSLWDVWDLRSFIFLSLFLQTLLVLVAPLRKKTSHGWIIVPLWSAYLLADWAANFAVGLIASSNSDPPTKDNSSTDYDLYAFWAPFLLVHLGGPDTITAFALEDNELWLRHLFGLLFQCIAVIYVFIQALPVKENLWIPTLLVFLSGIIKYAERTRSLYLASASSFRDSMLTEPDPGPNYAKLMDEYHSKKIARLPTRIEMLAEPDRVIKAANRFNKDDLTDLQVVQYAYRYFETFKGLVVGLIFSFRERNQSRDFFLARSAEDAFRVVEVELNFLYEVLFTKLPVVYDGLGYCCRCISSVAVIMSFVLFYYIDKENFQGFDVGVTYTLLLGAITLDVIAFAMLIFSDWTTVALRKSPDPDNSSTKSRSYRLRSWLLEIKTRKLNLSCFAVSLPIISRRWAETMSTYNLIYYCLNRRPRKRELIYDYMGLTTFLDEIWYVDCAGFDDKLRDFIFAELKGKSQMADDLETAREICSAKGEWVLRIKDYGRKELLPFVVDVDYDQSLLLWHIATDLCYNDKEDKPLNKDYRDIAKLISDYMIYLLVMQPNMMAAVAGIGLIRFRDTCAEASKFFKNSNVALPSNWFSSCFGRAMDPGVLQLACESILAVNTEVEPITIKGDRSKSVLFDAAILAHTLRKLPQKDTENGKVDKWFIISKVWVELLSFAATHIRSDSHAQQLSKGGDLITIVWLLMAHFGLGDQFQINEGHARAKLIVGK >KZN11587 pep chromosome:ASM162521v1:1:46015614:46021285:-1 gene:DCAR_004243 transcript:KZN11587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCITCSKQVDEGGDEGVRGTPSTKEAVKSLTAQIKDIALKISGSSKQSKPFSPSFRKGQRPYPDFDTISEGVPYPDLQPGSSNSTPAWDFTSTGYNTSHGFESRFAREARGPGGSESAQSGEVFLENEDEPKEWIAQVEPGVQITFVSLPNNGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQAFGTPSASEDGRDSSYSRLGSARESPMNPSMNKEWTPKNYYKPTGSRGYYPGDPSEQGGNQNYNAGSSYYGGAGPKGEASSMEASRTTTSSRDEASVSISNASDVESEWIEEDEPGVYITIRQLADGTRELRRMRFSREKFGEVNAKLWWEQNRDRIQAQYL >KZN08303 pep chromosome:ASM162521v1:1:5094687:5096315:1 gene:DCAR_000849 transcript:KZN08303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQEQLINLSDAWLWWGNFRNMNIHACILITTLLVISWFAVFINLKKTSPPLPPGPRGLPLVGNLLSIDPDNLHTYFANLAKTYGPIMKLQLGRKVCIVVSSPSLAREVFKEQEINFINRDVTAAGIEGSYGGTDIVWTPYGPEWRMLRKVCVHEMLSNSTLDSVYGLRRREIRQTVQYIYSQIGSPVNVGEQMFLTIMNVITNMMWGSTVKGEERAVLGTKFRQVVNEIAELLGRPNVSDFYPGLATYDLQGIQKKMSGLIKRFDDIFETVISERQKLEGQEGIKDFMECLLKLKSDPDTKVPFTMTHIKALLMDMVVGGSETTSNTMEFALAELINKPQTLRLHAVIPLMVPRCPTETSVVGGYTVPKGARVFINVWSIHRDPSIWERPLEFDPERFLNDKWDHSGKNFSYFPFGSGRRICAGITMAERMFLLSLASLVHSFNWNLPEGMKIDLSEKFGIVLKKKIPLVAIPTPKLSKLELYQ >KZN10704 pep chromosome:ASM162521v1:1:38300887:38305592:-1 gene:DCAR_003360 transcript:KZN10704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSANYLGGTLENVGESHVNSTTYEDDHQSQNADRDKAIISRKSCTLDKKQRVWLSDPETRFSSRKNKYIDLSFFICSRKVFKWTLVMVSLALLVIGMSITNEKSLPKIDLRPQTPEDYMLALHKALVFFDEQKSGRLSNNNYGINWREDSGLQDGNATKRGLVGGYYDAGDNIKFHFPMSFAMTMLSWSLIEYEGKYKTIEEYDHIRDLIKWGTDYLLLTFDSSASSIPYIYSQVGGSVNGSTVSDDHTCWTRPEDMDYPRPVQVTHEGPDLAGEITAALAAASIVFRDNNAYSEKLVKEAVLVFKFALDKSKHKIYSLHNPYIEHYYNSSGYYDEVMWGAIWLYYATGNQSYLSLATDQELSSKAHAFSMNQDSIVLSWDNKLPAAMMLLTRVQLFLNPGYPFEAVLNNYHKITGLTMCSYLQRYNIYNWTQGGLIQLNHGNGQPLQYVANAAFLASLFADYLEAIDVPGWKCGLDFILVEELRSFASSQINYILGVNPLNLSYVVEYGNNYPKHVHHRGASIPNDNKKYSCNDGWKWYGSRQPNPNTIIGAMVGGPNQFDEYKDIRSDYSHNEPTIAGNAGLVAALVSLTTSGGQGIDKNIIFSRIMPSHPTSLLPLSTKRP >KZN08744 pep chromosome:ASM162521v1:1:14765090:14765311:1 gene:DCAR_001400 transcript:KZN08744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQFDSLNTHLYLHQLSKEYGPLISLKLGSVKILVVASVSAAKEVFKFHDLCFSNRPSSVAIQKLSYTGPALQ >KZN11418 pep chromosome:ASM162521v1:1:44460820:44460975:1 gene:DCAR_004074 transcript:KZN11418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYFRRDGFQIYKSGSRSFGKGRLRYIKYDPRSLALGLGILQSSGRQEIP >KZN10797 pep chromosome:ASM162521v1:1:39104039:39106440:1 gene:DCAR_003453 transcript:KZN10797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLLDPAIGTAISEALRLVVTVQKRRGDFRGNFETLENTLGSALLTLQQIQKLNIVLNRSEENTEHFIKQLNQGVSLVEKCTQIPYWKTYKYSKKLAELDECIEKFFNIGVQGCVAVNTLRNGVGIQEINDKFDFVLRYLNIKYEPEIRVKEILQGPSDEFERIHDDDEIETDSSDEFSSWVTVTSLTDSVLGFHVQPLELISAPESLQDPEISTLGAGISELLNVVVAVAERTQNFESNLGSLRKTLESVEPIFNEAEKLGILFNRPKEEIHQFKDQVNRGVDVVCKCSNIPDWKKHSYSKKLIELDTSILKFFQIEVQGLMLVNTISLDDQYAMTVSCQPAVPKGGCSRIPDALVKPPNEGAEVVWKHEKTELVDGESMSGTNRVLLDHLPTSLETSEEMDSSSEGRYNDLISFLEVQRIPDKSAHCLDLKDMSSYDNFVGPSTRNLPHVVCTRGEHVGLKMEEASYIKKRLHAIRELSSEIIGSQASVVNASPIRRGKGCMSA >KZN08102 pep chromosome:ASM162521v1:1:2760180:2761037:1 gene:DCAR_000771 transcript:KZN08102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFDTIRFQEEFQIPEELQEAMMLLNSLYANNFEEQKSARIVSWIPVEQNQSAHYLADYGLANVNLFGEVPRTLGNLQHFLDRDMGIAVPFDVMDNLGMGEVVDADPPPKPVHISSPHVDSAFPTLEHNTLLDLFHAFISQEGFKSKAQSMGSFVFPKHGSTLAVPTDTSSLKGKGKMYEKASFNGNGVLSSAAVEVLESGSLNHISEVFAQSSVNLDVQVFQDVSAKEILDQAVQGWSSRGNGASSSRVPGSARANSDMMEVADVMAEWDSFVAPNAEEQEDI >KZN08897 pep chromosome:ASM162521v1:1:17431836:17436734:-1 gene:DCAR_001553 transcript:KZN08897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPTDLNPCENPKQWRFWWESQSQLPILNLHLFNPQVKPLAQCTHLKLNLLLHNSLLELTWFQSQLEVFLRVPFPRVLVDSESPLTFKLLEDHIQVKFCLLLSVDHPLVSSLDNVILLEEERTRAKADVERLEMDYDIECLSSTGGVYFYCRNCSTKLSTSLRTFEEMPSVNWREVADNWFGNCCCSFGGISEKLVISYAKSYTSTTGLCLLNTSSVILSKDDLVGFNIPDWSLKEDNELDLSVKNGVQKTTGIKDDTTMSFHGQTEMMDAIDSKLNKLCFSKDELKNEVKHEIVYTDALSQVSPTLEDIKHVPSSPGHYSKDHDIKCCDHSGSEPSAKELDTSAFELLENQKSFLDGYLGNVFMTRTSNLTKDVRWFELSCPCCSCLLGAYPRGNSNVVFDDGVHLFKCYISTCSDAGASGNLFRMYTLERMFSSQLLECAKDELSFRTVIRDLQTKRPILKIVLLNPNSWCCFGYCSSTVDQVSRINMSPAIKLLFSDCSKSDECELRMIEEWVVKNQADEVYMLASQIEELIRSLELVKNVLPPSHSLLQGFSLSSLRI >KZN09599 pep chromosome:ASM162521v1:1:27481275:27483116:-1 gene:DCAR_002255 transcript:KZN09599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKSLKFLNLSHSHDLVKSPNFAELNVLEQLILEDCVSLVEIDESIGMAEGCSNLEKLPSEMKNLESLEVFYADGLDFGNSSSRTQENKSWRDFFWGLVSKSEIGPQLSLTSLPYKSITRLSLVNCNLRDNDFPRDSCFGQSVEHLDLSKNPICFLPDCFKGLKRLKILRTIDCNQLLALEDIPNMLKFLHAFYCPLLEKITFDEPSTSSKSFTYPLGCDSLLEMQSLFKFVPVGKLDSEFLQCCGIYDTQVKKKIQMKMYNRYTSRVMRCSVQGIFEDSYNGREYLPHGKAFSIFYPGKGVPIWFDRQRKASSISFIVSHSKLRYLNTCIVYKFNSGPEHQVFLVINNKTKDRVIIYSPACYGISEGDEYMTWLSHWKLGSHEVGAGDEISISILPWRLDSINTSFEVKQIGVDLVYEREEEARVHSAKRQKMQQTCDRTSQYMIPVEAKPYAHYGTTRLYYLGSCGPWGVGVDFLMKESLQ >KZN08168 pep chromosome:ASM162521v1:1:3421085:3431936:1 gene:DCAR_001233 transcript:KZN08168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTNLLRLSLSSHPKLSLHRPPHLTLRPNLRRKCLSPPLIFAKAVDFKPSPPQLQQQNLSTDSILLDVTGMMCGACVSRVKSILVSDLRVDSAVVNMLTETAAIKLKSDIEVADDVAEEVARKLTECGFPAKRRAAGAGVEEKVKRWRESVKKKEAMLVESRNRVVFAWTLVALCCGSHATHILHSLGIHVAHGPVVEFLHNSYVKGGLAVGALLGPGRDLLFDGLKAFTKRSPNMNSLVGFGSIAAFAISSVSLLNPGLHWDASFFDEPVEDAQGREAPIQRLADSIAGPFVYSVMTLSAATFAFWYYLGTHLFPDVLLNDIAGPDGNSLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGAKEGLLIRGGDVLERLAAVDVITVDKTGTLTEGKPAVSAVTSFVYEESEILRIAAAVEKTAVHPIANAIISKAESLNLNIPTTQGQLAAPGFGSLAEVEGKLVAVGSLEWVHERFQQRKNLSDLLSLEQSVKHQAMRGNSSSNHSQTVVYVGKEGEGVIGAIAISDNIRQDAESTISRLRQKGIKTVLLSGDREEAVAIVAKTVGIDSEFINASLTPQQKSGCISKLQDSGHTVAMVGDGINDAPSLALADVGFALQIEGQENAASNAASIILLGNRLSQVVDALDLAQATMTKVHQNLSWAVAYNVVAVPIAAGVLLPHFDFAMTPSLSGGLMALSSIFVVTNSLLLQFHQPQKKVKAPNSALSR >KZN12118 pep chromosome:ASM162521v1:1:51134034:51137344:1 gene:DCAR_004774 transcript:KZN12118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAALQNLKLLHLSRFTAPPYSNLSVKWVKMLPHYPIRSFATVSSSKLKPRNNKCVPLSLSLSLSLSPALSLNYRRYVIAISSTPSFIRRRRLDDVCLERFQQYSRTYVQSWILQGKVIVDGKVIYKAGTPVSDKSVVEIKAEIPKYVCRAGHKLEAAIEQLGIDVAGKVALDSGLSTGGFTDCLLQYGASFVYGVDVGYGQVAEKIRCDERVSVIDRTNLRYLSELPQKVDLVTLDLSFISLLLVMPAVVNLMKEDAVLVTLIKPQFEARRSQVGGGGIVRDPLVHKEVREKIISGVENFGFQCNGWIESPLKGAEGNVEFLACYSRIAVEGADLSRAEDGTTAK >KZN08581 pep chromosome:ASM162521v1:1:10966727:10968132:1 gene:DCAR_001111 transcript:KZN08581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTIILLRNRGMSSARRRSTRLIESPWVYNWPKKAPVYINLDSEESNLVDRQTKNLNKKGTDKGSTMQNRKTKPIRMQSKGEKNLNAKFVRLVNEEETKFNTDAAVAEGSRRRHVNRGIGKKKKQAVGVDVGKLVISKCPVKVRQVVKVRWST >KZN08207 pep chromosome:ASM162521v1:1:3934685:3935038:-1 gene:DCAR_001272 transcript:KZN08207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGLVTAQPVPLTDFGRCKDLLGKCLDDGCYNYCESVDYPGGMCESIRGQCRCICISAEP >KZN11214 pep chromosome:ASM162521v1:1:42703548:42709312:1 gene:DCAR_003870 transcript:KZN11214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRIDKDERVRICKERKRLMKQVVRFRGVFAEAQLEYLTALKNTGATLRQFTESDSLELDDNPFGATSPPSPPPPLPPSPPPPPTFSPDPRKVNNNHKLDASQEESIEFDEDNSCTPPPPPLPSSSWEFWDPFGNSAAHYKEKSETVDQIEEECWAEAKENSDIVDQVEEENWAEANTEFEEENLEEEAGSTDLVNLLSEKPHRSEFFDDNSSVMSWHTKDTSDMAMVVSMSKRTLANIVRELDDCFLKASSGGKNIGVLMDITVVDSVLWQKNKENKRKRSNSVKVFSALTWSWSSRSLNHTRDIIDFSDPSEACKPGAHCITLRKLCDEEHKLYKEVKEEEMNKLEHEKKSLQLQKQQMEDQDWTKTEKTRASVESLQSEIIRLQQSISRTCSTILVLINEELHPQLSALTSGLMHMWKKMFDCHQVQTHISQQVNHLSNYQCVDPTTDYHKQAAAQLKSEVTSWCDSFCKLIKFQREYVRTMSRWIKLTDCLVENSDLSSGPSAVHALCENWHLALDKLPEKAAEEAIKSFLSAIHAIVLQQEDELSLLRRSDKLEKKLRRELNSMAEMEMKFEGSFNVENPHSGLSPKHPLVVKRAKVEALKKQVDDEKAKYLSSVEVTRVMTLNNLKTSLPNVFRALMGFASAYSQAFEVIHSATP >KZN08088 pep chromosome:ASM162521v1:1:2551263:2560744:1 gene:DCAR_000757 transcript:KZN08088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTNVKDHYSLTGILNLTVSEPYYLFNFLAFFAYIPVRFSASSVLAPHFTSHLLHREIQAFLAFCIFAAVKMVKEETWEAFIADTLFYAEVEFRALSSSNCVRTSRIFPELSITFSNKNLSFGTVDIGLFPNVAPKFGISLGNSKQLPTYILFENAEEVARFPEYNLEAKASIPVVTKKLICRHFELDKRLLDYVNEPGDSDNGMGDVVSGDGGEAGGDRGEAGGDGGEGGDGGGGDDGMNLVVIEHITGHGDGGYGEAAWRVFDSVVYPDVVSWTSIISGLSKCGMEREALVRFSKMDVSPNCATIVTVLSACISIRAVKIGKSVCCYSLKNFDDDNVVLGNVLLDFFVKFGSLGCARYLFERMRKRDVVSWTTMVGGFVQRGFYDVAVRVFQEMVRGGEVEPNEATIVSMLSACSSIGDLNLGRWVHCYVSSRHDLVINQNVGNALVNLYVKCGNIDLAITVFCMLKCKDVVSWSTMISGLAMNGYGKHVLPLFSLMLVHGNTPDDVTFIGLLSACSHAGLVNQGLMLFKAMDGVYHISPHMKHYACVVDMYGRAGLLKEAERFIEYMPVEADGPVWGALLNACNIYGSEEMILRTRQSLSKTRDATLGTFALLSNTYASSNRWDDANEVRDSMRRMGLKKAAGCSWVDVD >KZN08790 pep chromosome:ASM162521v1:1:15466699:15468483:-1 gene:DCAR_001446 transcript:KZN08790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIQRYLHFNLCKLPHPTNLSHFIYYAHLSSCVNSNFYDYSGGDEELKYSNLLRKCTACSSLIHGRAVHGKFVKLAIHSSSLYLHNFLLNMYVKCGDLKNGVQLFDEMPHRNVVSWTAVIAAFVQHGRPIEALFFFSRMHHTGTARPNGFTFVSVLQACSFSNTLMPAYQVYGFILKVGLESNIYLVNAFLTALLRHNQFSEALYVFDNCLNRDIVSWNAMMAGYLQYSFADVPGFWCKMIREGVMPDNYTFASLLTALSALTDLTLGLQVHARLAKSGHGNEMCVGNSLVDMYLKNQKLADGWKAFEEMPCRDVHTWTQVAAGCLNCGEPREALEVVKEMREMGVKPNKFTLVTALNACANLASLDDGNRFHGLKIKLGDEVDVCVDNALLDMYAKCGLMDSALSVFRSMKDRTVVSWTTMIMGYAQNGHARKALDIFSEMRVEGPEPNYITFICVLYACSLGGYIDEAWRHISSMNDDYGISPGEDHYACMVNLLGLAGRTKEAEALILQMPSKPGVLVWQTLLGACRLHGDIDTATRAAKHALNLDRHAPSTYVLLSNTFADTSNWNAVGTLRELMGSRDVKKMYGSSWIS >KZN08287 pep chromosome:ASM162521v1:1:4970685:4971053:1 gene:DCAR_000833 transcript:KZN08287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCGGRYLRREMCISYGIFLLEMFSGKRPTEWSILIDSGRNLYDYVRKALPQRVMDIADPRILLDQSYHWDTMEMSLTSIFEVGILCSEEMPKNRIDISVAVKQLLATRDKLVQHNQCDVGT >KZN10692 pep chromosome:ASM162521v1:1:38215716:38220494:1 gene:DCAR_003348 transcript:KZN10692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDKSNVRRIIHYGWPQSLEAYHQEAGRAGRDGKLADCDFSRVPTLLPSQRSEEHTKQATRMLSDCFRYGMNASRCRAKTLVQYFGEKFSYENCHMCDVCINGPPELQDVKDEVKNFMEAMAVEYEMNDYWVRRESRYLKKPSLKLLVFRIRRKVAIAYPYELSCTPHTEVQNNAATDLLWWQGLARLLEDKGLIREGAEMPHVQISCPEPTRLGLEFLQSKGEEPFYVYPEADMLLSMERSKLYSSFSGRGEGWADSSSAGWGEEWGDSSFRSEPFKEMLSRRYPWVNPFVNPFKSPRTYPGRSISIRAKPEPQTVRERLNSKLSKKK >KZN10942 pep chromosome:ASM162521v1:1:40492045:40492242:-1 gene:DCAR_003598 transcript:KZN10942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQAGGNGGGSNNNNNGSSAGENSGGGRQNGSGQTAGRSRLLDKPVQLPRRGTVLKTILCKPVN >KZN07916 pep chromosome:ASM162521v1:1:652902:654791:-1 gene:DCAR_000585 transcript:KZN07916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFLGWFLSSKRRKRSNSGSPRRKIDGGNVTSGDQVVRAPGKGTNLVAESSEKCMESQLSLIRQKRVTFDSNVETFEHVPFCGSTDSLVESNNVVDDEGENEEGIGDSSDSITFSLGDTTISEVGSYPKNNVVDDRGKTEEGIGDSSESITFSVGDATISEVISYPQNNRYQNCGDTDDDAIDSEYEGSVVSDTDDDYDIKSDGEGMLCEEVWSEAITTASLESIKDMSLTGVDLQEVNSPLESRTDMSLTGAEVQEVNSPVVCSGYSENVMSPVEILNQWKAANSKGLQPMKAQEKENLAADGEAPRISFSSGPKTKESLSSCKPSSDHPTENQSNDSGIESSLSNWLVSPDIKTRSGEETKNSISRGSPSVRNIEDRPILGAWTQEEVKLFSASPSPRKSPRRNPDDMPIIGTVGTYWKHENEVEDCGSATSCKKKNSGSPTSYKGIPNTTSKYREDKKVRWHSTPFAKRLEKALDSGGPEEA >KZN11583 pep chromosome:ASM162521v1:1:45973685:45981542:1 gene:DCAR_004239 transcript:KZN11583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEADFERKMGGGRDSDDDTEVFKSKNLQAERRRREKLSSRLLELRALVPNITNMTKPTIITDAISYIHELKSRVQELSDQILAMEATINEHEQQMERSEVDVAQDMNNWGIEPEVKVSKIDTNKLWIKILFQKTRGGFTRIIEAMTVLGFVPADMSVTTSKGAVLLTSHVENQVNNSAGIAHRTRQASRRITSELPILPNQHNAAIPQKRSNENPTLEHACIAEESLDHFNQHPTSSVVETGAPASNLYLAKAWFHSSQPMTRSRSSELRKRYVALQNSQTAFGIEAMNNLNASGHGIGNLQQDLTNSNGFNKALFSDIPNPLNTFMSPSNSSSSNFNSPHMGTIDNVSSVVSMLKGSLKRKRLDNQIEKSGEDRSLGYYDAQEIVGDPSLIQEQERHIYEAQGSFQDTHAHQALGPGVLQTVHGLMDIEEEILAPANQVQINTVSREQSQSESCAATPAVSIGLDAYDGPSNSGQAPSHCESSKKQVGNENISENGIKAKDVRERINNALKDDRQQKGGLVRYGSVTSAGSADKTDPTKKRRVERSRKMAEAKERVLTPVIPSDMQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNKDLINEKERLLEEIERIYSETSKM >KZN09316 pep chromosome:ASM162521v1:1:23110912:23111166:-1 gene:DCAR_001972 transcript:KZN09316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKIKELEIKTKKSSSIEFSIVKPEPWSDDGSYADIRFSVTMDGNNLLLYLINHKGDLDSMSDEVYEALGKLMEPGKLVLDAL >KZN08297 pep chromosome:ASM162521v1:1:5044451:5046335:1 gene:DCAR_000843 transcript:KZN08297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTADEDKKLISFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTDAEEQLVIDLHARLGNRWSKIAGRLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLQKEETNSQVENLSQQFQSPAETEHQVPPVQHTNDMASSETSCTPTDQNSSGDESNSLSAICDQIDDSLISYLFEDDTPGLVDAPWEFSAVAKENFNKVDMVLPSWDESCPWLLDCQDFGIQDFGFDCFNDIEMNMLNQLDVKNDQTS >KZN10265 pep chromosome:ASM162521v1:1:34013763:34014125:-1 gene:DCAR_002921 transcript:KZN10265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEKSPEEDHEAKDMEKFFALIKNYREARDRRVQELDAMNKKRKCSGTDKITGWMPSFEWEDFRHENAEILRKSIRMVPPPAAATRNASPNCATNGSSVSDTQGEKEEDGLDLDLKLCL >KZN12023 pep chromosome:ASM162521v1:1:50378241:50379653:-1 gene:DCAR_004679 transcript:KZN12023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTGLILYSRSMISMIFLGRLGELSLAGGALAIGFANITGYSILSGLAMGMEPICGQAFGAKRFKLLGLVMQRTILLLLLVSIPIAFLWCNMKKILIFCGQEDEIATQAQLYIFYSLPDLFAQCLLHPLRIYLRSQSITLPLTFCATLSIILHIPINYFLVSVLNLGIKGVALSGVWTNFNLVGSLIVYIMVSGVYNKTWGGITTECIKEWKPLLNLAIPSCISVCLEWWWYEIMILLCGLLINPRATVASMGILIQTTSLIYIFPSSLSFGVSTRVGNELGANQPKKAKLATIVGLASSFTLGFSALFFAIMVRNVWAKMFTLDADIIALTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKLGANINLGCFYLVGMPVALWLGFYAGLDFRGLWLGLLAAQGSCVVAMFIIISRTDWDHQARRAQELTGSTDVDDPAEDETVLVDDQSKANLKQNVNVKNDSPFNLV >KZN12040 pep chromosome:ASM162521v1:1:50491517:50495920:1 gene:DCAR_004696 transcript:KZN12040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFVVQASAASLPPLPERRTVLGVGGVGVDILATVDTYPNPDDKIRSTSLKVQGGGNAGNALTCAARLGLNARLISKIADDAQGRGILEELQADSVDTSFLVVSKEGNSPFTYIIVDNETKTRTCIHTPGSPPMIPDDLSKSNLLAALDGVRILYSDVRLHETALVIAQQANRIGIPMLIDAEKKREGLDDLLNLTDYVAWTEAPSIPNALVCMLLKLPKLKFVIVTLGDDGCLMLERCIGVMILECNQQSLHVAEGVQSQQMEADSQYELLKQKRDTNKSIPTCISSNQLKLSAEGLGVVNGRFYLGTAEKIPPSELVDTTGAGDAFIGAVLYAICANMPPEKMLPFAAQVCPMQAAASCRALGARTGLPHRADPQLVPFLN >KZN11918 pep chromosome:ASM162521v1:1:49399111:49399623:1 gene:DCAR_004574 transcript:KZN11918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKMSNKICEIVKLQQILKKWRKVASSKKTSPGGGGGKSIKFLKKTLSFSDHNALQGMARDSAVPKGSLAVCVGEEMKRFVIPMAYLRCQAFDILLKEAEEEFGFQQEGILRIPCQVSLFEEVIKLLDENQDAEVIQDYVFTAGNNTMACSLAESPITNTHRPQSPVCR >KZN10772 pep chromosome:ASM162521v1:1:38790779:38792290:-1 gene:DCAR_003428 transcript:KZN10772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFGISPDHNMFPSVLKSCTSLKDLRVGECVHGCIIRSGMEFDLYTGNALMNMYSKLWSLVGCCGPESKGHHVFDKRLERGEMYRNGGGLDWNDGKLFTRSDIVIDGVRKLFEVMPVRDIVSWNTVIAGYAQNGMFGEALRMLREMGNANLKPDVFTLSSVLPIFAEYVDVLRGKEIHGYVIRHGFGEDVFIGSSLIDMYANCMRTQDSNSVFRLLPYKDYVSWNSVIAGCVQNGLFDEGLTLFRQMVIAEIKPVEVSFSSIIPACAHLTLLLGRQLHGYILRGGFDDNVFIASSLVDMYAKCGNIRIARLIFDDIERPDMVAWTAMIMGYALHGHPRDAISSFEQMVLDGVKPSDVVFLAVLTACSHGGMVDEALKYFSIMTQEYGISPCLEHYAAISDLLARAGKLEEAYKFISNMQIKQTGSIWLTLLTACRSSNNIELAEKISKKIVALDPKNMGAYVLLSNTYSASERWRDAANLRSNMRNKGLKKKAASSWIEGSF >KZN08322 pep chromosome:ASM162521v1:1:5259326:5259799:-1 gene:DCAR_000868 transcript:KZN08322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGNDDDTSYKTSEPILCANGCGFFGSASTNNLCSKCHRDLVIHEEQAASAKKAVDKIVDRFSVKPKVVEPVQLVHVAESSARVSENEEVKSANKCKSCRKKVGVLGFKCKCGDVYCGVHRYPEKHECEFDFKTVGKEAIKVANPVVKADKIQRF >KZN09909 pep chromosome:ASM162521v1:1:30667404:30669656:1 gene:DCAR_002565 transcript:KZN09909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLEAPLRPKRKKIWVDYFVNFRWIIVIFVVLPISFTLYFLTYLGDVRSEWKSFEKRQKEHDENVKKVVKRLKQRNPSKDGLVCTARKPYIAVGMRNVDYKRARHFEVDLSAFRNILEIDQERMIAKCEPLVNMGQITRVTVPMNLALAVVAELDDLTVGGLINGYGIEGSSHKYGLFADTVVAYEIVLADGKVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPIKEYMKLTYTPVRGNLKELAQGYIDSFAPRDGDQDNDEKVPDFVETMIYNPSEAVCMTGRYASKEEAKKKGNKINEVGWWFKPWFYQHAEKALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRYTLGWLMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEFVHREMELYPLWLCPHKLYKLPCKTMIYPEPGFELHHRQGDTHYAQMYTDVGVYYAPGPVLRGEEFDGAEAVRRLESWLIENHGFQPQYAVSELSEKNFWKMFDAELYEQCRRKYGAVGTFMSVYYKCKKGRKTEKEVQEAEQAHLETAYAEVDIPVD >KZN11383 pep chromosome:ASM162521v1:1:44147746:44152616:1 gene:DCAR_004039 transcript:KZN11383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGMIDLNTVNEDDADTTPSEFDSAPVKSPAVCLELWHACAGPLISLPKKGSCVVYLPQGHLEYVVCDYGDDVASRLPPHVFCRVVDVKLHAEAATDEVFAQVSLIPDSQIELNWKEGKSEVVVEDEDVEIAAKAMTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVCGDAVLFLRGGDGELRLGIRRAAQVKSGAKVAVAYNEHMNDIASVVNAVSMKSVFNLCYNPRSSSSDFVIPFSKFSKSLANSFSPGIRFKMRIETEDATERRCTGLIVGTGDVDPLRWPGSKWRCLMVRWDDMEATRHNRVSPWEIELSSSVPGASGLLSPSMKRSRIGFTTQPDFPISRANVFVLLTDGTGISDFRESSRFQKVLQGQEILGFHASFDGVDARKNHPPDPRGCFPGSMNWDSAVGNGVRSVMGSSEISYDGVNFSESLRLNKVLQGQEILSKRPYGGCPTVNQMLEHNDPRFIEGARAVSGGTEWPSVMQGYSTCMRPSAPLVRKSPMSSVPVFQQPSNPDPKCGAVASRGNLTSSSPYGRNRFEMESQQNLASSGLLNSHKQLGSFNLPLETQASNMGSSNLVSSCKSSCRLFGFLLTEESPAISKESNPSRIQSAYVHKAPHHPRGDEQFNSKPSSMTKIVGGSCTKAKDLYNVRDMLLDIAM >KZN09062 pep chromosome:ASM162521v1:1:19726047:19727490:-1 gene:DCAR_001718 transcript:KZN09062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFITEHNLAFLALVVISMFSCTVSGKVFDSFPQGAVNGNLPQTGGAGGYVPQIGKVFDSFPQGAVNGNLPQTGGAGGYVPQIGKVGGCVPEVGNAGGYVPQTGIVGAGDPAEVVSKALLCFKNNYVYSSCEKSYRLTESGNINVPPGYTDQYCHGSCLSETNLVLNCIDNILSHFLFYNRASIYDLRATIKAGCSYGPHRGNFNVEEHILARENSAWRDSRPLLPGLLLMIMYMGT >KZN10046 pep chromosome:ASM162521v1:1:32076540:32078156:-1 gene:DCAR_002702 transcript:KZN10046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKCNENWESKQDLKDSFVTHRAFCDALAEESARLCAANVPILMSPSSATNNTHYQFPLLSVPDFLNQHQDHHLNQLPQNPPIHISFNPWDPPPPSPALNIKAETNHDLQAVNASSPLLFYQDAPSFMPSSLRQPTPSSAHLSATALLQKAATVGTHAAGQAPSTMANIGGGVHVSASMAPEYLGSYAAMQQQKSEGLTRDFLGLTGGERGDHRGNVDVNVNVNVNLRSLLSYTGGVEFPVYDRDHSLIRTRVGFGSDPHGSDTWGNC >KZN08351 pep chromosome:ASM162521v1:1:5524171:5524590:1 gene:DCAR_000897 transcript:KZN08351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLIFASLVATLLILLSTHAEAKQGKLHPTDRCSVSKHTKATLTVNSFEKGGGSGPCACDGQFHDDDTRIVALSPCWYNGGSRCMNTIIINANGRSVDAIVVDECAGCNAIVDASKAVWKALGLDTNVGVYGITWTDA >KZN07878 pep chromosome:ASM162521v1:1:397568:400578:-1 gene:DCAR_000547 transcript:KZN07878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAQEMSKEVDSKQKPPSVVARLMGLDTLPLQQSYTASQQNHSRGSSRSQSFASFGSWKEEQEIHQYQEQNGYKDVYEIQQSHNKFVRHNSPHKERFDGRSVEKKMALVRQNFIELKRLSTDEKLRQSKQFRDALEVLSSNKDSFLKFLQEPNSMFSQQLSDLHSNPLPSETKRITILKPAKMVDSYKVTDLGKKDEEQINESSEFSQINRLSIPGFSPPPTCKLEDSRVQATRIVVLKPSPGKSHDITAVISPLSPSTKALHVEDQYVEFEENDAKESREMAKEITRQMCENLSHQRRDETLLPSVFCNGYTGDESSFDKSEIEFAAENLSDSEAMSPTSRHSWDYINRLGSPYSFSTFSRASYSPESSVCREAKKRLSERWAMMASNRSQEQKHVKRSSSTLGEMLALSDIKRSVISEQDSIRSEQEFRGSRSCCTSDLNDEDKCDPPTRNILRSKSVPASSTAYGGRISLEVSDPKMEKADAAKDLTKTRSMKSLLKGRVSNLFFPRTNKSSKQKSSNSRDEIESAELPSQPSGYEVDLAIKQGELPDPLGSWNKASPSHSFSVENEEWLLHTKAEISATKPCPSRNPIEYQDTPSSFSVLELPFQEDDRRDSEFSDNFSLLRNGSDSPVYSSKANLIDKSPPVRSIARTLSQKDSCTETASLYPAKTSVVMIDAEEERQELSLLVQTLLAAAKFGNELQFDTFLARCHSLESPLDPSLRDNYLGLIDKETLPEAKRGQRILMQKLVFDCVNAALVELAVHELDTWKSRPSNRVHDQMLIFDSVWTQMEWFCGEVSCVLGEIWENNSLVVERLVRKDVIEKGWNDQAMMEIDRFHKEIEVKLLEDLVQEAVEEFTDNLR >KZN11120 pep chromosome:ASM162521v1:1:41937411:41938532:1 gene:DCAR_003776 transcript:KZN11120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISTFAMILRNYRTKRQAPSSDFTRSFLKNKCRISGKKLVSASGRVSFGLTDKRPCAVLQLLRRFGFSVKHFTRIISYDSRLLRCDAQKTLEPKLDFLLSVCNNREMDLHKIVSISPCILHRSLDEYLVPTLNLLASALGNYKNVVIALKRSTLLLKSRASSVSPNVKLLQTLGVPHSRIFRLIIGYRATAPLYRCSDEFRIAVLKAMEMGFDPKSSSLVNAVTALLMCNNDLLHGIKSKLFRSFGFSEDEIIFVFKKQPLCFILSAGRTRRIVEFLMNKLCWSPSRIAFSPNVMLYSLEKRTIPRCSVLQVLGSRNIISNRSLLSVLVMTEREFLKDFVVEHQDKVPEVMDAYQGKIVFDVYNFHPVEETK >KZN09821 pep chromosome:ASM162521v1:1:29685103:29685363:-1 gene:DCAR_002477 transcript:KZN09821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCRTWELTAPWTGAQVMVPAKHIVGEFDLVYNMPGAKDFIHKGEFQKYVPLLQEVVVMEGTAHFINEEKPDEINNHIYQFFKQF >KZN08550 pep chromosome:ASM162521v1:1:10223510:10227991:-1 gene:DCAR_001080 transcript:KZN08550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARSMSSTPPPRRSPRLRDLTDQDDPNLQSINNDFASSTPPPRRSPRLQQPTEQEDTTLENSDDDFEATIPRFFRKPNNKIKSTANRPPDTNDVGPDDDSFSLKDLYEEANARIEEEVPIKEANDNSNEEDAAIEEEEPIKPKLTKWKRKTCEDDENAKDPINRKKPILKFAMKKSSHPEHNSVSLKLKDEAIPIVEEDVFDVLGIPHGGARIILGSQEKHKARIDAWLSQFDTNHITVSMIADLMKNQPVSDNFKLNFLIVMSNVLIETPTHSYVERQLLRFDDSLDNCCNYNWAEYLINSLVLGTQSWNRNSSTFFTGPMIFLILFYVDRVRHKGIKLVDRRFPSYKGWTEKALKERQRIEVIDGVFGIGSILPPLREVLSEDSQPLPNASPSKDNWDDWNHNKLANDWDKHINKSDRDKTNVDDVPQVDIMDTDEPNDENEDPAERLRKRAQNLIEEKMLFDTDLKIELDKDPQNYTLQTIATVIEDVFQSNCYHYPPTKPARQSTPPTREINNIDEDFDLTIQETDHIDLVDYIQSIQRTNESLQQQNRDLQFVPSFSLGIEDNIIHQVCQDINEQETTEPASCTYAH >KZN08139 pep chromosome:ASM162521v1:1:3093572:3096049:-1 gene:DCAR_000808 transcript:KZN08139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPYWRYAASSADRAGIPPSSFPGYLSSATPGIPPSSFPGYLSSATPALPVHHGWASSDLHGSSSDVLQKDILPLRSRAYGVNDGVGVRSDPAPGGYMTGTSMRSYTPSVEDPSLLAPRGDVPLGSTLPGVLNERPASYGNIDDLPVPVPALKKESNVLFVDGLPHDCTRREVGHLFRPFIGFREIRVVHKEPRRILPLRSRAYGVNDGVGVRSDPAPGGYMTGTSMRSYTPSVEDPSLLAPRGDVPLGSTLPGVLNERPASYGNIDDLPVPVPALKKESNVLFVDGLPHDCTRREVGRILLFYIIIICCISA >KZN09402 pep chromosome:ASM162521v1:1:24620590:24623406:1 gene:DCAR_002058 transcript:KZN09402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLMSKLHNPFIVEYKDAWVEKACGICIVTSYCEGGDMTEMIKNARGTYFSEEKLCKWMTQLLLAVDYLHSNRVLHRDIKCSNIFLTNEEDIRLGDFGLAKVLNKDDLASSVVGTPNYMCPELIADIPYGYQSDIWSLGCSLFEIAAHQPAYRARDMAGLISKINRSSISPLPTVYSSTLKRLIKSMLRKKPELRPTAAELLRHPHLQPYVAIYSNSSPVFLPVKPENKLRNKLTRTQLSDKLVQSRNSRNGETAVLKQLENVHSVDRRTSHQFRKVKVKSEPVSSKKFRQVPKRKEGLRSTLNLLDDIASAYSEKSAVKSLLIKQPTEDIEQEELNISSVSQQHQNSETVCTGKCMNHENCDTSSEPTTYQMYSNKIFRDEKLSDATTIIKHVHETLRVSKFDVGTASEQTSTSTVTLAEGIDASLEADDAGTQNHGRENCCKVNQAPCDIVPVRRELSLGQKRAEALESLLELCAKLLRQKRHEELAGVLRPFGEEAVSSRETAIWLSKSLRNFTKQEGKI >KZN12018 pep chromosome:ASM162521v1:1:50291064:50292806:-1 gene:DCAR_004674 transcript:KZN12018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKGLMRLSLVFRRQLHVISRSSCSIKPASPTPSNLKRYNIPLHDRMVPNFYIPLIFFYPKNNSDPIRERVPDNASDLLKKSLSETLSKYYPFAGRICSGSYVDCNDEGVQFIEAQIGCNMSEVQDRAAVKEEDGLGHLFPPCTIRNQFSEKHSGNIMYVQLNRFDCGGMAVAVSISHLLGDALTTCTLLRYWATLSLHSGDHRKLLHLCPVLVNALLPLSYDINAIETIIFPDKNWTTKELVFPNTKLAELKIVVNNEDKLDGVVEDQKYTRNELLTALLYRCLVSVADRTNAGVHNGSVLMRAVNVRHMIDPPLQETTVGNFQILNHIVATTEADKKYRTLVARMRKEREQLKGIKSMEGHEMETEFLEFTKHKYRFYVITSMCNFPLYDIMDFGWGKPVKAVLVDTPMVDVITLMDTANDGIRAVVGLEEQEMKEFLAHGELLTYATL >KZN11032 pep chromosome:ASM162521v1:1:41191367:41191759:1 gene:DCAR_003688 transcript:KZN11032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCNQIRQIVRLRQLLRRWRHSSPRFIPSDVPAGHVAITVGSGHTRFIVRATYLNHPVFRTLLAQAEEEYGFCHQGPLAIPCDESVFLDILRLVTRSDSSSNSARVDDLPMRCHSIGFWGEQSRPLLLS >KZN10300 pep chromosome:ASM162521v1:1:34333913:34337793:1 gene:DCAR_002956 transcript:KZN10300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLCFDFNLLSSLFNSLSIFCFSCQCTAGMEAPRNSLEFPVEIFQGYGQAGGDHLMYAHQEAQVWSEKNCFPSEAPMKKLINEDITKMTKSRVSALSVVARLMGVDMLPLDGNPVGQQVDKMKDNPKINYLKQKLKKSGSSSGEELFPSETSLHTAYDSCQYMSTRDDDEWNDNIKLNENRRREHPQEEELQKFKRDFSAWQSTRFKECAKVVETGSKTSQLMVPVNLTSEKVVPFTNSEGMIKASKNLVELENCGKVRQLKSGDFQNHSVKKSPSEKWDPLSSNKRRPSGACEWSSNPKLDNSSVPMNIVILRPGVDSIYDCEEYSDRSSRNTEERDNMEDFLEEVKERLKHELQGKANNRTTDSGGLIETSNSEKPSEPKKIAQRIAKQVRESVAKDLGVNLIRSESTRSYRSEVQYNRIGSPEFISRNARNFLTERLRNVLKGETRPNVSMVDHNSSSLSMLTYDRDGLQNSQETLNSENQMTYWGRVKIEQDKQSRSFRHEPVDDIVIHKDLSPRNLIRSLSAPVSGTSFGKLLLEDRHILTGAQIRRKHEVIERSTVKVKKQKKEKFNLKDKVSSFKHGFSMRGRLFSRKIQSPEKFTNAPSFLNDITSGPTVMMNFCDRHENSTEVPPSPASICSSGFEESWRPAEEYCSPTPSDLHPSEENMMPLAFREISSNLIELRRKLNQLDASDSEQMMTEEPQSEDVMAELDDQSEAFVRDLLVASGLYDGSTGKSLSRWDPFAKPISDSIYEEVEDAYLKRNKNNEEAMHQLGKEVNHRLLLDLLNETLSTIVEPPITMTSFRKNAIGSNLQSPRGRKLLGRVWDIMCVHIYPPADTSFYSLEGMVARDLQLTPWSVLVNDNVDFVGKAIECWVVGDLIDEIVKDMGLTV >KZN10493 pep chromosome:ASM162521v1:1:36266500:36267225:-1 gene:DCAR_003149 transcript:KZN10493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAITNCCTTATTTATHGYNNLYIPNTSHVSPPPPLSRYESQKRRDWNTFGQYLKNHRPPLHLSRCSGAHVLEFLRYLDQFGKTKVHTNSCPFFGHPHPPAPCPCPLKQAWGSLDALIGRLRAAFEEHGGSPETNPFGARAVRLYLREVRDSQAKARGIAYDKKKRKKPQAQKVSANQEHENDHCSNSGNNNNMSTHDLFAQDQRFNVQVSEGRFEGLGMVVGHLSDPRSSMIHHMSFFN >KZN11305 pep chromosome:ASM162521v1:1:43583032:43584546:1 gene:DCAR_003961 transcript:KZN11305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEFQNWLQLCVILLLCNNSVKAQPQVPCLFVFGDSLVDNGNNNQITSLAKANYLPYGVDFPRGPTGRFCNGKTTVDVISELLGFDSYIPAYTQARGQAILKGVNYASAAAGIRDETGQQLGQRISMSGQVNNYKNTISQVVNLLGNETAAANYLSKCIYSVGLGSNDYLNNYFMPASYSTSRRYTPDQYADVLIQQYRQQLVALYNYGARKFVLNGVGQIGCSPNALSQSADGTTCVQRINSANQLFNNRLRSLVDDLNRNQLDSKFIYINAYSIFQDLVTRPSNFGFRVTNAGCCGVGRNNGQITCLPLQNPCRNRKDHVFWDAFHPTEAANLIIGRRAYAAESSSDTYPYDIRRLAQL >KZN11824 pep chromosome:ASM162521v1:1:48415231:48417557:1 gene:DCAR_004480 transcript:KZN11824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENEPLLESNKQKSYGGFRTLACIIANESLEKAAAFGLSANLILYLENEYHMGLVTGTNIINLWGAAGNFLPVPGAFLADSGVGRYPMIAVGSVFGLLGMILVWLTTVIPEARPPICDILTAECSSSTAPQVIFLCFSLGLTAIGAGGIRSASMAFGADQFVKRYNQEESLAALESYFGWYYVASAVAVIISLTFVAYIQEHSGWQVGLGVPAVLMLLGVLLFFSASSFYIRSQDKSSLFTSFSQVIVASYKKRRFTITPDINNVYYHKKESALVVPSDKLRFLNKACIVEEPERHLTADGDIQDPWSLCTVDQVEELKAILKVIPMWSTGVILSVTLSQGSLQLVQALSMDRHITPGFEIPAASLGVFTFISAISSVVLYDRVIVPLASRIMEKPFHLTSKTKMGTGIFLSILSMLVLAYIEYVRRGLATQQGLSEPVVNMSVFWLILPNCLIGIAEAINAVGQCEFFYSEFPKSMSSIGSTLRGLTFTVGGLVATAMLNIVDQVTRRGGKASWISSNINQGHYDYYFLMIAGMCVVNMLYYLVCSWAYGPCELVAVKDSQKER >KZN08717 pep chromosome:ASM162521v1:1:14201138:14206011:1 gene:DCAR_001373 transcript:KZN08717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAAKLQLIDLSSSFLTGTSSFRQRFRPVSTQIPAQSIMPSNCSIKCVAGFSNRNSPYSKNPPRLSAVAVDTAETSVKEDIESLFSKNSSENVDYKRGSRQSGTGASSISSGVRLENVTKSYKGMTVLKDISWEVKKGEKVGLVGVNGAGKTTQMRIIAGMEEPDSGNVIKAKSNMKISFLSQEFEVSLSRTVKEEFMSAFKEEMEISGRLEKVQKAIEGSVEDLELMGRLLDEFDLLQRRAQAVDLDVVDVKISKLMSGLGFSEEDSDRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTYMGNYSEFIIQKASWIEAQFAAWEKQQKEIGHTKGLITRLGAGANSGRASTAGKKLEKLQDEEQVDKPFIRKQMKIRFPERGRSGRTVAIVKNLEFCYSDQVLFKNANLTIEKGEKVAILGPNGCGKSTLLKLLMGLEKPTRGEVLLGEHNAGIVTCQMTKYPIANNIPNFQAEALDLDKTVLDTVAEVAEDWRLDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLSFCKFMVTPSTLLVLDEPTNHLDIPTKEMLEEAISEYKGTVITVSHDRYFIKQIVNRVLEVKDGNLQDYMGDYNYYLEKNIDARERELEREAELEEKSPKTKAKSKMSKAEREARKKQKMQAFQQAKQKSKGLKNAKRWK >KZN10575 pep chromosome:ASM162521v1:1:37156603:37165750:1 gene:DCAR_003231 transcript:KZN10575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATSVVYSRIKDLDPDNASKIMGLILLQDHGEKELIRLAFGPESLVHSIVVKARIDLGLSSSNTPTSPSSPMPFSRHSSSHTSALSRHLNGRSLPSPLSIPNPSSSDSSWTAASDIYADLSSPSTCLDRFDVKGVGNLGIGSSSLSTMNSSAPPFYGNGERDSDLIDESQLQDQLSFLNDGYDPDLTSSPNGRGCNGVTPFKLSNGGLRSWGGGDINNGLSHRRSCSVSDVFLGSEDPSGGFGVQPCLYYAKGYCKNGTSCRFLHREGLSDSDSSVGSPSKMEMIKQCHEFLRSESAKQERLAAASQLMGGLNFPYSPKSINLLLQQQTDSPRAAAAALMLGEEINNFARSPRLDRSEVAMDGMVNPVSRQIYLTFPADSTFKEEDVSNYFSIFGPVQDVRIPYQQKRMFGFVTFVYSDTVKLILAKGNPHFVCDARTTNIHEKVKDFSRLSDQNCNVRAIPDNDETSALLECLDNHLSDNLFSSPKAASGSIPVFSTDTTIEDNRNWTAFSARSSTNNLMASSLLPATSALDSASLKSYYFQGPSQEILYIYRIAEAAVKIQNSEWLCNYNADLELRTQKGNLETWFRFSRERQVKRVHKEGSSDLSAVVFKFVKMKPVTMEEYMEYYLKKNFEVEAKNTSEEALKKWRDAVWLVKNPRRRFRMVADLDKRAEADRKRQDIQEKIRIALYVQKAALQFIDAVRIKSDYKLSDKVRDAGFGIEPEELQSINRSRDAKCLDAHGGVKGFALKLKVSLKEGVMSSNVPLIQDIYGYNRVTEKPMKPFWMFVWEALQDMTLIILMVCAVVSVGVGIATEGWPKGMYDGVGIILCIILVVVVTAVSDYKQSLQFKDLDREKRNIMIQVTRDGSRQSVSIYDIVVGDIVHLSIGDQVPADGLFISGYSITVDESSLSGESEPVNVNAKNPFLLSGTKVQDGSGKMLVTSVGMMTEWGRLMLTLSEGGDDETPLQVKLNGVATIIGKIGLAFAVMTFVVMTARFVIVKAVHHDISKWSMADALNLLNFFAIAVTILVVAVPEGLPLAVTLSLAFAMKKLMNDRALVRHLSACETMGSANCICTDKTGTLTTNHMEVTKIWICDEAKDIGSADNTGNVLESSISENALSTLLHSIFHNTNAEVVKDKDGKTNIMGSPTESALLEFALLFQGDYMAQSKKAQIMKVEPFNSIKKKMSVVVALPGGGHRAFCKGASEIILGMCDKIISKNGESVPLSEEQRKNITTVINGFASEALRTLCLAFQDMDTSKSNADSIPEDSYTFIAVVGIKDPVRPGVREAVKTCLRAGVKVRMVTGDNIHTAKAIARECGILTDDGVAIEGPNFRDKSAEELQDIIPKLQVMARSLPLDKHKLVQLLRNEYQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENSDIVVMDDNFKTIVTVAKWGRSVYINIQKFVQFQLTVNVVALMTNFVSACVSGSAPLTAVQLLWVNMIMDTLGALALATEPPTDELMQRPPVGRNVHFITKIMWRNIIGQSIYQLIVLGILKFDGKRLFHLHGADSFSVLNTLIFNTFVFCQVFNEINSRDMEKINIFKGMFDSWVFMMVMVSTVAFQIVIVEFLGTFAETVPLSKELWLASVLMGAVSLPIGALLKLIPVSSIECPRHHDGYEQLPSGPDLA >KZN09040 pep chromosome:ASM162521v1:1:19381286:19381510:-1 gene:DCAR_001696 transcript:KZN09040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRVDGRVFGENPTWLEVPDEFWTKRLPAGADLPMIPEPILAPGAETPAYGGTIYNPLCSCSSFLFACMCS >KZN09745 pep chromosome:ASM162521v1:1:29024817:29027619:1 gene:DCAR_002401 transcript:KZN09745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATTNLKIFKLIDLIKATSNFEEVLGKGGSIDVFKGWVHEKSYDPSTPDIGLPVAVKRFIPEREPGHKKWQMELDLSREYSHPNFVKLVGYCCEAQEHLLVYEYMQNSGLDTHIFKSASQTMKTARIALPLQARLKIIMGAARCLAFLHTSEQSVIYGDFKTSRILLDGKFIAKLSPQGLTRLAPSDSNTERYDGALHDAASESSKPFREGSVNLQIPFVQLMARNYAGKAHEPIDPRFLVSDRMTGKSVCLDSSGQTFSKPASIV >KZN11258 pep chromosome:ASM162521v1:1:43112008:43113939:1 gene:DCAR_003914 transcript:KZN11258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTLLCIAFPAFVFSLVSIIIYAVHDLCLKPKFLRAKLLKQGIAGPKPTLIKELASDAIIHNSNETLSLDCRSVVLPAFHISANGPSNLNMPRVVLESGNTLVKSLESLVESEGGIADVRVDDYVKTFTDLMEVSGSPTVLVDGRPFYRYFPTKMHRQQWRLEKEIYRIIQNLEMKCKSEGEGEGIIHTLVDSAKHGELESSTPQQFIVDNCKELCIVAMEVPGISAIWGLMLLALHPEWQERARAEKLESVDLSHNSLSTTAKHVELGDESDSSGLELQQTHRVNKNYPQTSTKLPSKPTHYLSFSIHWNTARNEDPGDYFWTEIT >KZN11782 pep chromosome:ASM162521v1:1:48044643:48045770:-1 gene:DCAR_004438 transcript:KZN11782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSVEVLLLSGKISVEIPENVPLPRLRVLGFNNVTISSYESVGKLLLNCPVLEDLKIVDCEWLTGNSLSICGPVLKRLSCCLISYDEVLRTLIDTPRLENLKIGCYTGGDNDILFKLNLPFLKIAEIDICAHEMGQGVDWSLVCIDESFRCSWGGSQSRVPECLSAHLKTVYIKNFAGYDEELAFVKYLLAYGSALRNVSIEISNLSKDDEAWQELLNLQSESTTCKLSLIDENGTCSL >KZN11173 pep chromosome:ASM162521v1:1:42295149:42296322:-1 gene:DCAR_003829 transcript:KZN11173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSYYYNWSTQLLDQHLRQDHETTITTDCNDIFFEQDQLVSEDLLLPPELLNFHDNFDTCIDTLFTPNNHFLCSGENVDAYNALLPATVPLNIIPALEMAEEDACFGHFGKRSKIQENYFFSPEMTFHDNYDMGPLLPEFVLPELPVPMPAVFNEGCNDYEVKKAGNAGGKLSQQSIAARVRRRKITEKTQELGKLIPGGQKMNTAEMFQAASKYIKFMQAQIGLLQLMQEENDEGMQSPELEPLVTSPLVQEKLYSAEKCLVPRDFVKSLIQKPQLQSNPQLIKDLIKLI >KZN08847 pep chromosome:ASM162521v1:1:16571485:16573395:1 gene:DCAR_001503 transcript:KZN08847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSIRKAIGAVKDQTSIGIAKVASNEAPELEVAIVKATSHDDDPADEKYIQRILQLTSYSRGYVSVCVAKLSKRLGKTRDWIVALKSLILVHRLLNGGDLVFQQEIMFTTRKGTRLLNMSDFRDEAHSSSWDHSAFVRTFAMYLDQRLEMIVYERKQSGGSNGGEIQKWRSPPNNRGYEYDNYESRGPNGYGSQGMRRARSFEDVRESEAGKKAVTPLREMTPERIFGKMSHLQRLLDRLLSCRPTGLAKNNRMVLVALYPIVRESFKLYADICEVLAVLLDRFFDMEYNDCVKAFDAYTSAAKQIDELVSFYNWCKDTGVARSSEYPEVQMITGKLLETLEEFVRDRAKAMKTPERKVEPAPVVQEEEPVPDMNEIKALPAPETYTAPPPPEPEAPQPPKPQVTGDLVDLREDTMTPDDQGNKFALALFAGPAANNKSTNGNWEAFPSNGEPEVTSAWQNPAAESGKADWELALVETASNLSNQKAAMGGGMDPLLLNGMYDQGVVRQHVSSAQFGGGSSSSVALPGMGKSATPVLALPAPDGTVQTVGGDPFAASLSIPPPSYVQMAEIEKKQQLLVQEQMVWQQYAREGMQGQTSLSKINGGGYYPPAMPYGMPPVNGMGYPPPGQYYNQY >KZN09436 pep chromosome:ASM162521v1:1:25193128:25194207:1 gene:DCAR_002092 transcript:KZN09436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFPYDIICNIFLRVPIKTLLKLRCVSKSWCKIIDDPCYAYMQYRCGGAQQDALLISPEFGEFTRKTISLYAGCDDEEGRMIQAAKVPMAKFEAKGSVFGSCNGLMYFAEFRGVRIVVSNPLRNQFRTLPPLSIENYWSESERAYGLGFDSSTNKFKMVCTIYGASGCCYTLVHVLGTWSWRRISSVPAPYVTYGKPVFVHGFLHWMTEPFTTSEDCEGRIMAFDVSNETFKLISHPQICLDKDRRLFTVLDIQGSLAILDLSCNTSIDIWILDYESKLWSRDYTISIDTLLPDHRLTEVIGMWKQDELLLTTTQGYFSYGLKTDCLKYKHTSGFSSSAQVYGHRGSLISISDETEVA >KZN10051 pep chromosome:ASM162521v1:1:32121526:32123451:1 gene:DCAR_002707 transcript:KZN10051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPPPQYRKNDVESGTNRQLYPSMLESPQLRWSFIRKVYSIVALQLLLTVAVGAVVVSVHQISHFIVSTRGGLAVYILLVIAPLILMCPLYYYQQKHPLNLVLLGLFTISLAFLIGLSCAFSNGKVVLEAVILTAVVVVSLTLYTFLAAKRGYDFNFLGPFLFGAVLVLLVFALIQILFPMGRVSHMIYGCLAAVIFCGYIVYDTDNLIKRYTYDDYIWAAVSLYLDIINLFLALLTVFRAADA >KZN11335 pep chromosome:ASM162521v1:1:43754250:43756805:-1 gene:DCAR_003991 transcript:KZN11335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRIHDCSESNKKRRLEKEENAMKARKFSRQEYLKKREIRKVEQLLDEIEDEEFLFQGVKLTKAETFELSYKKQVCDLVKKKHNDDHDMEEYKIPDAYDQEGRVNQDKRFSVASERYREDKSVAAETMNPYAEQQAWEDQQISKATMKFGSEKRKKEGGDYDFVFDNQIEFIKHEVMNCTSCCGEAYGDSLEKSMGKSGFEKLQADRRSLPVYSYREELLKAVEEHQVVIVVGETGSGKTTQIPQYLHEAGYTRHGKIGCTQPRRVAAMSVSARVSQEMGVKLGHEVGYSIRFEDCSSDKTVIKYMTDGMLLREFLGEPDLSSYSVVMVDEAHERTLSTDILFGLVKDIARSRPDLKLLISSATLDAKKFSDYFDCAPIFNIPGRRFPVEINYTRAPEADYLDAAIVTALQIHVTRPLGDGDILVFLTGQEEIEMAEEILKRRTRGLGTKIGELIICPIYANLPTELQAKIFEPTPKSARKVVLATNIAETSLTIDGIKYVIDPGFVKMKSYSPRTGMESLLVTPISKASANQRAGRAGRTGPGECFRLYTSYNYQNDLEDNTVPEIQRTNLGNVVLNLKSLGIDDLVNFDFIDPPPEASLLKALELLFALSVLNKKGELTKVGRKMAEFPLDPMLSKMIVASEKYRCSDEMISIAAMLSIGSSIFYRPKDKQVHADNARMNFYTGHVGDHIALFRIYNSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEVMSSSGDLEAISKAITSAYFPNCARLQKNGSYTTLRHPQTVHIHPSSGLAQLLPRWIVYHELVQTTKEYMRQVSEIKPEWLIDVAPHFYQLKDVEDTGSKKMPRGQGRR >KZN11787 pep chromosome:ASM162521v1:1:48090198:48094705:-1 gene:DCAR_004443 transcript:KZN11787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSAVMGCVQQPVWAKGSLGFAHKGSVGAVGLPHQVRLSGLRSCRCSSFEANVVTGRAASSVSVAAPEIGGDGRGFKDNPLGEVDPEVRSLIDNEKQRQFRSLELIASENFTYRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQQRALAAFHLDGEKWGVNVQPLSGSPANFEVYTAILKPHDRLMGLDLPHGGHLSHGFMTPKRRVSGTSVYFESMPYRLNESTGLVDYDMLEKTANLFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVADPFECCDIVTTTTHKSLRGPRGGMIFFKKDPVLGIDLESAINNAVFPGLQGGPHNHTIGGLAVCLKYAQTPEFKAYQKQVVSNCRSLAAKLMGQGYELVSDGSDNHLVLVNLRPFNVNGAQVEKILDMASITLNKNSVPGDKSAIVPGGIRIGTPALTTRGFTEKDFMLVADFIHEGVKITQEAKKLAPSPKLQDFLKFITAPDFPLIGQVLDLKRRVEDLATQFPLPGV >KZN12137 pep chromosome:ASM162521v1:1:51319554:51324812:1 gene:DCAR_004793 transcript:KZN12137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLSELVVIMLHSTIAPFDPTKKKKKKKVVIQDPTDEPVDQIVESTENLTGILAKLCGITTTDGTDTAFAGLKKKKKKQVQTDLLDDEKENMADDLDDIIGEDEDGEGIILQQQLPWEGTERDYEYEELLGRVFNILREHNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCRTMHRQPEHVMTFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICNGCKSPDTILSKENRLFFLRCEKVLILSFYPTPFISK >KZN08389 pep chromosome:ASM162521v1:1:6005968:6009746:1 gene:DCAR_000935 transcript:KZN08389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIGAELPPGFRFYPSDEELVCHYLFKKIAKEEVLKGTLVEIDLHTCEPWQLPEVAKLNSTEWYFFSFRDRKYATGFRTNRATTTGYWKATGKDRVVLDPKTNAIVGMRKTLVFYKNRAPNGVKTGWIMHEFRLENPNLPPKEDWVLCRVFHKAKTENNNQLSPQDVFEVQEATAGDTSPVSSNRIPPLGSYYHHQQHYNHFTPQGPPSYQQPNTLLQFPSLTNPNFLQLSHHQPHTDTSTTLARVNDIMTVNSKCEDQNDYKFLFHDINFEDGNMVDELDSDMHGIMFDDCL >KZN09553 pep chromosome:ASM162521v1:1:27043700:27046607:1 gene:DCAR_002209 transcript:KZN09553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASDIAEASFVRSYKRSFNGFAVYLTDQERQKIAEHEAVVSVFKSKTLHTQTTRSWDFMGFSEKVHRNAGYESNVIIGVIDTGIWPESQSFSDKNFGPVPAKWKGACVGGHNFTCNKKLIGARYYTSEKSIDSARDADGHGTHTASIAAGNHVKGASFYGLAQGTARGGVPSARIAAYRACDAQGACQEADVLAAFDDAIADGVDIISISLAYSNNYPSGQFSIEVGAFHAMERGILTVAAAGNSGSSHGTIYNSMPWMLSVAASTIDRRFIDKLVLGNGKTLMGPAVNSFRLNGSSFPLIRGRDATKTCIGKYAESCFWNCLNSELVKGKIVVCRDNGGDIFEASYAGALGTVVYNDDAYGNYSTVGSIPVSRLSIEDFSVVDAYLNSTKNPHANILRSEVLRDLEAPVIAPFSSRGPNRKIPEILKPDISAPGVAILSAYSPVSSPSDDIINDKRSVKYSILSGTSMACPHVAGAAAYVKSFHPKWSASAIQSSLMTTAWRMDEAKNPHGEFAYGSGHLDPVKAVNPGLVYEVSKQDYIKMLCSIGFNSSKLRIISGDNSTSCVAAETFTPKDLNYPAMTINVTKNKVFTVSFPRRVTNVGLSNTTYKAHIFANSQLSITVRPRTLQFKRLNEKHSFVVVVTGKIVHQNTTESASLVWSDGIHNVRSPIVIHTYSFPRSIAKR >KZN10647 pep chromosome:ASM162521v1:1:37900256:37901059:-1 gene:DCAR_003303 transcript:KZN10647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSVAMVKLVRRVSQFDLVRAQTLSYCTMAQGRNPSLNNGSRMPSDDDGSNGVSMSKNLGKDIKHRIGKNVSKRDRVSFLVSTLLDLQDSKEAVYGTLDSWAAWEREFPIGHLKQALIALEKEQQWHRVVQVIKWMLSKGQGTTMNTYGQLIRALDMDHRVKEAHEIWVKKVGDDLHSVPWQLCKLMIGVYYRNNMLDKVVKLSKSMEAYDRKIYEKSVLMKIADAYEMLGLAEEKNRILEKHSDLLDETSKRHTKQSRGKPSKKK >KZN09381 pep chromosome:ASM162521v1:1:24437685:24440588:-1 gene:DCAR_002037 transcript:KZN09381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQPPPPKIAIIGAGIFVRSQYIPRLSEISDLVVVKCIWSRSEESAKEAVKMADKYFPEVESKWGDAGLDDIIKDSSILGVAVVLAGQTQVEMSLKLLKAGKHVLQEKPAAVSVKEAESALACYNSINTEVAGQPIWAVAENYRFEPAFVESKKLMAEIGDMMSIQVIIEGSMNSSNPYFSSIWRRNFNGGFVLDMGVHFIAGLRMLVGCEITSVSATTSHVDMSLPPPDSISALFQLENGCSGVFVMVVLWRIVGLNGTLQVERGNKDGKHGYLVSLYTTDGQSKISFYPFGGVTEELKTFIHDMSQATLKKESYEAEPRSSFLEGARDIAILEAMLESGIKQGAAIEVKQI >KZN10392 pep chromosome:ASM162521v1:1:35270717:35273900:1 gene:DCAR_003048 transcript:KZN10392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPYLYLARKLAGDANLHFVESPALAPPEVHIDMAAQQQHEAELLAAASQPLPDDDDDAFE >KZN11730 pep chromosome:ASM162521v1:1:47553577:47554760:-1 gene:DCAR_004386 transcript:KZN11730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMWDLPDHEAYKINIHCEVSDVPSPVGNTVAIAAIIRGPAGSKLWGMEGPANNLTLEQGIMAAIQAACVYADAHVLEPVHIETTHVGIFELVSAQDQFIIPHELLEAFRLFNSLHANNADNIDGANPRRISWIPHHMNSTAVYMAEYGMKYLTDTVELPGASTLGNLQYFLERDMGRVLPNPEMVILPNLGLGDVEDGPPPPPPAKRIDTPIPLLIEKGKDALYGGFMFYKDGKFSDQAISILEAGSLVKVSPVFAEKAINLEAHAINGLLVKDVLNFACLGCLSVALEPGEDVPKPTLPPLVNSPKPLSPTLPAVPLNDHTLEDLHLLPVTDLLVEMDKATAVESQVELVVRVADGVDVSQ >KZN08894 pep chromosome:ASM162521v1:1:17367877:17372529:1 gene:DCAR_001550 transcript:KZN08894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKDDLVVSASLDQTVRVWDIGALRKKSASPADDILRLSQMNTDFFGGVDAVVKYVLEGHDRGVNWAAFHPSLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVLFHAKQDIIVSNSEDKSIRVWDATKRTAIQTFRREQDRFWILASHPEMNLLAAGHDSGVIVFKLERERPAFSVSGDSMFYAKDRFLRFYEFLTQRETQVIPIRRPGSASLNQSPRTLSYSPTENAILICSDMDGGSYELYIIPKDSISRGDTVQEAKRGIGGSAIFVARNRFAVLDRTNNQVLVKNLKNETVKKSVLPIAADAIFYAGTGNLLCRAEDRVVIFDLQQRVVLGDLHTPFVKYIVWSNDMESVALLSKHAIVIASKKLVHQCTLHETIRVKSGGWDDNGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITKVSGNKIFCLDRDGKNRVIVIDAAEYIFKLSLLRKKYEHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFSLAIESGNIQTAVAAAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNTEKLSKMLKIAEVKNDVMGQFHNALYLGDVQERVKILENAGHLPLAYITASVHGLNEVAERLAAALGDNVPTLPTNKSPSLLIPPTPIVCAGDWPLLRVMRGIFEGGLDNIVQGDPAEDDEDMDANWGEALDVVDAEALENGELAAVIQDEEAAEENEEDGGWDLEDLDLPPEADTPKASVNSRVFVAPTPGIAVSQIWTQRSSFAAEHAAAGNFDTAMRLLSRQLGIRNFVPLKSMFLDLHNGSHSYIRALTSAPVLSVAVERGWTESSSPNVRGPPDLVFNFSQLEEKLKAGYKATTAGKFTEALRLFLTILHTIPLIVVDSRREVDEVKELIVIVKEYVLGLQMELKRKELKDDPIRQQELAAYFTHCNLQLPHLRLALQNAMTVCFRAKNLNTAGNFARRLLETNPTNENQAKMARQVLQAAEKNMKDASHLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCSSRFVPAQDGQLCNVCDLATVGADASGLLCSPSQVR >KZN08811 pep chromosome:ASM162521v1:1:15744516:15746860:1 gene:DCAR_001467 transcript:KZN08811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPTSQGAVEEAMVVDMEVDMIGGEMVPPYVLDKLKENVDYYEDVQKKCMMYLLSAKKLFRKNQTLDKLESRFLLLVEKANGFIKEEVIGSHVLESKPNTDASSCPTKVVQAPSGLEIINIPSGKSCTESINDLDEELCLSSQDWLLIDNLSATRHGCNVKAADVFNSMESQSKTISFNTNRNTSEHTTPADANTFKVHLDTSIPSFNLFIDEVDVIKPDNVDVSTNKEDLNLIQNNRPIRERKVADIHKSPYFDRLTSIYGKSFKKEETELWEWLHANDQYPNRILFKWGKINCYKVDFQSMMDGEMIMTSVMDVWCCFLNALEELRAPVSPYRLFCYIETTLSTLNASDEATVEEKYLIFETNMDHVLTSNRTTLAEVDMVFFPIHRVNHYYVVCYNLKNPAIEILDNRVSERTIQYLYGHQLTILHTHFIEFMKRKNFGKYAEFQGMDAQRLKMRWQTKDNAIDCGIFAMRHMETYFGGGPRNWDSKIQVESYTQKKQISRLRLLYTYRVLTSAINSLSEMIYDEIQDPTLVPDESSYRKALEKLSQN >KZN07883 pep chromosome:ASM162521v1:1:461325:464287:1 gene:DCAR_000552 transcript:KZN07883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAYEPNKPLVIEDVQVDPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQAGDHVIPCYQAECRECKFCKSGKTNLCGKVRGATGVGVMMNDRKSRFSVNGKPLYHFMGTSTFSQYTVLHDVSVAKIDPKAPLDKVCLLGCGVPTGLGAVWNTAKVEPGSIVAVFGLGTVGLAVAEGAKAAGASRIIGVDIDDRKFERGLFCSTFRSFVGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYMKKV >KZN08850 pep chromosome:ASM162521v1:1:16593072:16594412:1 gene:DCAR_001506 transcript:KZN08850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRGLILFTRQLHVISRSGTSIIKPASPTPENFKVYHLPLHDCMMPNIYIPAIFFYADISKAADHKSTVSNLLKNSLSETLTKYYPYAGRLRPSGSSVDCNDEGVHFVEARIGCKLAHVLEKDPAKEDEQGLGHLFPPLAIWDKLSNEKCSSLVLVQLNHFICGGIAIAVGFSHRIGDALTLLSFVAYWAGLSRHSFDHQKLLHVCPYIVSDHEQSHDNDSNTFNVSFPEKHWITKNVVFHNSNIARLKADVEIRHKLQGKDEPNYTRNELVTALLYRCVVAAAATSNGGAYIKSVLCQTVNIRPLLDPPLPQTSVGSFINYNNIATGTENETELHNLVERIREGKLQLRRNKGMDEIIAARPFEEFEKMNRIYLVSSICSFPLYEIDFGWGRPVKATIVDMPVVNSIILMDTPSGDGIEAIVGLEEKEMENFQAHRDLLSYISF >KZN08616 pep chromosome:ASM162521v1:1:12122883:12124509:1 gene:DCAR_001146 transcript:KZN08616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLLHRRETKEDFHLQVSLRRSSLLFGCEAVSNQNTQQRLALPEKEGDPAAPSRLRSLRDLTQHLTARADDSIAPPVSAFPKAPLSFKRIRGMSSPGGILNALATALHGSIRTAPSIHRLRLGLLGYLIPFATLAFVSQCQCRPSRVLSPLVFFPISTHFTAPPEIPSAPTVLQLDALRPIIPDNACILCITAAAGTELADAYSPDTVIASSPGKEVHDPWAFYLHAALLRQAFAHCGKFPTAASRRSLGRVSVPVWLIILSDQLLIIALPFPAVVPLPRAGSYALLTRPPLETPLPVRLACVKHAASVHPEPGSNSP >KZN09151 pep chromosome:ASM162521v1:1:20933633:20937835:1 gene:DCAR_001807 transcript:KZN09151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATTCEKFSEDPPMADPVSDMLVDSFGRLHTYLRISLTERCNLRCQYCMPAEGVELTPSARILSQNEIVRLANLFVSSGVDKIRLTGGEPSIRKDIEELCQRLSNLEGLKTLAMTTNGITLSKKLPKLKEYGLNLVNISLDTLIPAKFEFMTRRRGHDRVIESINTAVDLGYSPVKVNCVVMRGFNEDEICDFVKLTQDKPINVRFIEFMPFDGNVWNVKKLVPYSEMLERVEKQFKIERIKDHHTETAKNFRIDGHQGTVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRSGVGDDKLREIIGAAVKRKKASHAGMFDLAKTPNRPMIHIGG >KZN10219 pep chromosome:ASM162521v1:1:33648024:33650659:-1 gene:DCAR_002875 transcript:KZN10219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLNRGRWTAEEDDILTKYIQANGEGSWRSLPKNAGLLRCGKSCRLRWVNYLKSDLKRGKFSSDEDETIIKLHSSLGNRWCLIASHLPGRTDNEIKNYWNSHLSRQIYKFRKIIQQLNHEPVVVDQPVPKSRTTDVAPKPPVKRTRKSITKKDTKVPDNSPDQKVVDKSPESATSSRDLGNGGSLVIMPATPALEEDVMSSMINLDIPEDKETMDDIFLSSFLDDIVSDQGLEADLNLQTLNQVPVGDPNGELLLSNADVENWLMDDGNTIMPNPSLMPEGEKYDLKNGELDVLGWDCGGNMDWDGGFEDQNLWDSEDEKLFSGLWETSCDKGDDGDHKQDAIVEWLFS >KZN11413 pep chromosome:ASM162521v1:1:44429724:44430546:-1 gene:DCAR_004069 transcript:KZN11413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALSPKPMGLLHLALLFSTFVFALGTATIAQPPTALAPAPAPHHHKPGGHHHHHKHAPTPAPAPLAKPPTHAPAPSPLKPPVKPPVQPVKPPVKAPSHAPTPLPARKLVAVQGVVYCKPCNYTGVETLLGATPLLGAVVKLQCNNTKYPLVVQGKTDKNGYFSLNAPKTITTYGVHKCRVFVVSSPEKKCDKPTNLRYGVKGAILEKSTKPPVSTKTPATFEMFSVGPFAFEPSTKKPCSH >KZN10304 pep chromosome:ASM162521v1:1:34365473:34372012:-1 gene:DCAR_002960 transcript:KZN10304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTKKLDRSIREFVVNTPHVSEDGGILNGAMKTTVFVLDANSGRRIHTCGPNESPELHRTGSIHMKADELPLHITRTDYLLSYASKKYTWNVSVSEIGAAFLCQDIENSISSSRANSGNELPSKPAVQINMPLACQSKAVVARLRSFNVLEYFSKQDQRPLGYHEDMMLPAPSPDYMPLSQAKAGMSLDAHPDSGFMFALPELSNNFEISSPDVKKSYRGVLSLVPFLFIGMGALIYFCAILPGHPARNVSANNASSRKKKNRKSTKYGGIVEKKDKKTLFDLEDGNTTSENDRKSFLNFNQLSNQNADGRVVGKLFLMNKEIAKGSNGTVVLEGIYEGRPVAVKRLVRAHHDVAFKEIQNLIASDRHSNIVRWYGVEYDQDFVYLSLELCTCSLNDLILMHSDHSRKSVYSGARASRAMTEYKVRLDSMKGILQDIELWKANSYPSTMLVKLMRDIVSGLAHLHELGIVHRDLKPQNVLIVQDKIPSAKLSDMGISKRLAGDMSSLGHATGKYPELALWNGSSGWQAPEQLLHGRQTRSVDIFSLGCVLFFCITGGKHPFGDPLERDINITKNKVDLFLVQHIPEAVDLLSCLLDRQPGLRPTALEVLHHPLFWNPEMKLSFLRDTSDRVELEDRETASDILIELESVAAMALGAKWDEKMEPAFLANIGRYRRYKFDSVRDLLRVMRNKSNHYRELPKEIQELLGPVPEGFYNYFGDRFPKLLIEVYRVMYKWCKDDEWFSKYSSSAV >KZN09626 pep chromosome:ASM162521v1:1:27780251:27781890:-1 gene:DCAR_002282 transcript:KZN09626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQFHSPPLPHSHSSTTFHYLLPTLLFSLLFLLYLSHTPLSPSSPSLDPFLFPHLSRPHTHRLLFLSHRRPSLPSPPPPPSLAYFISGSKGDSARILRLLFAVYHPRNHYLIHLDLGAAQSEREEMARVVMGVGVFRAAQNVNVLGKADFSYDQGASAISAVLHGASVLLRLSERWDWFVNLSPAHYPLVTQDDLLHILSYLPKDLNFINHTSYIGWRESRKLKPIIVDPGLYLSEINAMFYATQKRDLPDAYQLFTGMSD >KZN07913 pep chromosome:ASM162521v1:1:635237:637166:-1 gene:DCAR_000582 transcript:KZN07913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPPVGLNDCLKLLKGERDEQKLAGLLLVTKFINNDDVSSISVIYDAVGDVFLTRLLNTGLGKGKLLKSGLDNRDAYLQLAVTLLASFCRVPSIAASNEMFSKLNLIFEVLSRGVCSCVEECWEFLLLVVNGNNDRIRAFVENGGLSLLGSRIEMFEDGSRVIEIVMRLVELVVSDYDAEVLFGKYASEVAMIVVGVARQFAVLHNNVKFEALHLLSAILSSRYGGDVCDVLRARESGVWADYVRVGVVAILQNRVAPAEKLHALIVAEAVVVGKEWFFGPMNLPGVEEAVVPGDRCGLLVLESSRIEIAVLLNDLAYLKFEASKSSLGDNNVVSKERNLCVAFSLVENIVKMIASVAEDEGGVISESTFIKIINGLNETTGVILEYIQDAKVHGQRKGDDLLASVRVIGSYLAETPDACKDKVTSLLDFMLSIEGEDEQSPFQSICFLLPMLCQITMEVDGCRVVAFSGAQKAIVSCLIKLISLNGDKAKDGSLIYLACDTLMNVLLKKVEVPISFDGADVVELLATLVYWSENSSHHSVVMMAATISSLILDFTSEDALRHHPGFDDGKLAGLCQLFKRSMTASSEGVLYEEAEADLYEIVISGYSRWSERFPCIKVAVGK >KZN10879 pep chromosome:ASM162521v1:1:39853281:39870728:-1 gene:DCAR_003535 transcript:KZN10879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASSVMLGATPMVAPVQVKPLVYVPPTSSVVASRTCQTRRITLSKSRRKPARAQFVSNKLHIEEFEGIRALRYCWLPIKLRTNGRNWTLTCIHNVWKPYSSVLVETSAQKVSSDQLRCFSCLHHGARRKYRVNRSMPTVFVDKSSSFLSRRELDNIFVKQAHIPHAVVGPDEPHAASTTWPDGVLEKQELDLLDPQIGGGEITSLEDFLASELPSHPKLYRGQLNNGLRYLILPNKIPANRFEAHMEVHAGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTRSKIAFHPNFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKTIAHIEAVFGQTGIENETVTAPTPSAFGAMANFLVPKLTVGLGGNLSQERSSLSADHSKMRKERHAVRPPVEHNWSLPGIGEDVKPPQIFQHELLQNFSVNMFCKIPVKKVRTFGDLRNVLMKRIFLSALHFRINTRYKSSNPSFTSIELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELARYMDALLKDSEQLAAMIDNVASLDNLDFVMESDALGHTIMDQMQSHESLLAVAGTVTLEEVNSIGAEVLEYISDFGKPSAPIPAAVVACVPKKVHVEGVGESEFRITPAEIISAAKEGLEEPIEPEPELEVPKELISSKQLDEPRLQREPCFISVSQDAGSSKVYDKETGITQRRLSNGIPVNYKITSNEAKGGVMRLIVGGGRAAETLEAKGAVVLGVRTLSEGGRVGNFSREQVELFCVNHLVNCSLESTEEFICMEFRFTLRDDGMRAAFQLLHMVLEHSVWLDEAFDRARQLYLSYYRSIPKSLERSTAHKLMQAMLNGDERFVEPTPQSLQNLNLQCVKDAVMNQFVSDNMEVSIVGDFSEEDIESCILDYLGTISASTGSERAQRYHPIIFHQCPPSLHFQQVFLKDTDERACAYIAGPAPNRWGFSCLGEDLFESLRDVSAYDGEISVTESLVEVQDVKKDMQKRLHSHPLFFAITLGLLAEIINSRLFTTVRDSLGLTYDVSFELNLFDRLNLGWYVISVTSTPAKVHKAVDACKNVLRGLHSSKVVQRELDRAKRTLLMRHEAETKSNAYWLGLLAHLQASSVPRKDISCIKDLTMLYEAATIGDIYLAYEQLKIDDDSLFSCIGVAGAQAGEEIIDEVEPVEHFHGVIPVGRGSSTMTRPTT >KZN08023 pep chromosome:ASM162521v1:1:1514486:1516733:1 gene:DCAR_000692 transcript:KZN08023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEMKDETLPPGFRFHPTDEELITCYLINKISDANFAARAVTDVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSSTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRLHSKSSFRTTKQDEWVVCRVFQKSAGAKKYPSSSNQSRALNPYNVDMLAANNAMHSSQMLQSSDQNIAFQHQFPMGMGRPNYLSNAEFAELNRVYRSSAGSTGINNNNNNNIPPISVQMQSQLMNYPQFLGAGGNGSGSGNSFTISGLNLNLGGGSGGAGGASSSMPPFYRPPAVPQAPQAAINVQQVDHVSGGNSLSSHDQAAGNYGVDMSQGNVGINNRFMTMEQADLENYWAPY >KZN08162 pep chromosome:ASM162521v1:1:3352881:3353735:-1 gene:DCAR_001227 transcript:KZN08162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKLLSHNLENALWKWWLTLFLFLSSYKIYSGFEEKIDIELEAEKFPDWIIQSSVECSADDEISDGDNKFSLDLEVIDAATPPPTLKRKRFDPVEVQTSLANSVMPPMSQAAPAFVPRRDFPKHLDPNRAGSSAVNPDGPVQVHDKGKAKLFPEFPVDNENAIFKSGFNFLESDVNLSSADFFEEEQGENMAFGNDEMFTKDVFQLNVDGDTQIFASFLNETHDLGFLTTTQAPGSFLEVDQVLSDMGFTEPTNMAVENATGKKEENEADVRTSKIRRSSSI >KZN11089 pep chromosome:ASM162521v1:1:41630254:41633145:-1 gene:DCAR_003745 transcript:KZN11089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFGLSVAQESFTNSTCVDIEREALLSFKQGLRDPSGRLSFWTGTNCCQWSGIKCNMLGNVIKVDLRSRSPSATYRSSCLGGEVNSSLLNLKHLSYLDLSLNCFEGLRIPEFFGELKNLRYLNLSSSSFSGEIPPHLGNLSSLHYLDLEMKDDDTTTITFRLLSSNLTWLSGLISIKYLNMGNTNLGGQGPELFRSVNMLPVLEELHLHSCDLYYLPRSLSTVNMTLLSVLDLSDNQIQSSIPNWISNLTSLTELDLSNDYFNLNGNIPRECEDKASKEDLDPQLYYGIAGWIPESLGSLCGLKVLNLTGNLMTGEIDGFLDKFTTVCPNNSLVALGLGGNQFSGELPSSLGKLKYLKQLHIGQNCFWGSIPRTIGNLLFLQELDVSLNEMNGTIPKSLGKLSRVTDLKLENNHWQGVITEDHLMNLEKLQYLSLSTDRARPLVFNVTPQWNPPFRLLSLELYNCIVGPKLPEWIRVQSKLNVVILQNAGIEDTVPEDWFFNISSQVTLLDLSYNMIMGKLPLKLKFPELGTIDLRNNRFEGPVPLCFTNATYMFLQQNLFSGPIPNDISELTELRILDVSKNYLTGMIPSAVCEMASLDILSLRENQFHGQLPQCWNDLQRITVLDIASNNLSGEIPSSLGLLQVLRILSLSNNSLSGQIPSSFQNCTDLKILDLGNNKLSGNLPLWIGNDSTQFWILGLKSNKLNGTIPRQWCNLSDLHILDLAENSLSGSIPSCLGDLSSLIYSKTDLNNKYSVEDSYYFQEQMLMVTKGRVMEFSKTLKFVNIINLSSNILTGEIPHGITNLTALGTLNISGNYLTGNIPNEIGNMRWLETLDFSINKLSGPIPQSLSLLESLSYFNVSYNNLVGRIPQGNQLQTLTDVSIYEGNPSLCGKPLLSKCPGSDIGSDVPISQSPDYDSETELENLLFYCCGFVFGISGVWCTLWKKDTWREAYFSFFNLA >KZN09155 pep chromosome:ASM162521v1:1:21010608:21012406:1 gene:DCAR_001811 transcript:KZN09155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDGLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSAVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KZN10665 pep chromosome:ASM162521v1:1:38053958:38055544:1 gene:DCAR_003321 transcript:KZN10665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSILCSFILLILFSSFSLQTSADSTQRFIQCLTKYAKNSESISQVVFTPANASYNPILQLNLQNLRFNTSGTRKPLAIVTPIEETQIQTVIYCARKNSMNVRTRGGGHDFEGVSYTAEVPFVLLDMINFNRVNIDLKTSTAWVQSGISLGEFYYRISQKSDVLAFPAGLLSSVGLTGLLGGGGYGMLKRKYALSADNTLDARIVDYNGKILDRKSMGEDLFWAIRGGDPASFCVVLELKLQLVPVPKSVTYFAVQRTLEQNGSALFQKWQATAANVFPRDLDVRVVVDTITSNSSPRQDKKTVRFVFQCLYLGKIDTLLPIMQKYFPELGLVRDDCTETSWIKTAPMFSGFPVGTDPTILLNKTAIPRNSVKIKSSFTTQPISLEGLNGIWDLWLKQPVQTTLIQYTPFGGIMNEFAESALPFPHRPGVLYMINMAVTLAQNEEATLQWINDLFKYYAPYVTKNPRTSYVNYRDADLGIGSRTFQQASIWGKKYYKNNFDRLVKIKSVVDPLNFFNHKQSIPLLM >KZN11893 pep chromosome:ASM162521v1:1:49155266:49157424:1 gene:DCAR_004549 transcript:KZN11893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVSSEIDLEDIFKHSISDEVAGTDDHKNVKIRRMSRGGFADIFKHSISDEVAGTDDHKNVKIRRMSRGGFADSSDAGGLFRVDELADLPSFPFPNQEIMNGFSSCTEVTGAPLWSQSYSTPKHSCVTATMDSQSSICAGSPTSATNYLPKSGDNQAVGVTSGSSHDLSDDDDLDTEAGPCEQSDQVDVKRIKRMVSNRESARRSRRRKQAHLADLEQQVDQLCGENSTLFKNLTNANQQFKDANMNNRVLKSDVEALRAKVKLAEDMVTRGSLTSSISNLLQNHLNTPHSFGTHNMDRVGNVSPTITVCGDNSSYHGLTGSAQTSTMEHDNVEHFNGIVDNGIMNEAVSCASEIWPWGPHVPTMSK >KZN09464 pep chromosome:ASM162521v1:1:25558892:25568260:-1 gene:DCAR_002120 transcript:KZN09464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVFIFGTFSEDEARTLLQQSSGKPEEKKELPSDASSKVTGLSFGSFDAEFSVKLPLSNGVASSKPSEICKNIESKDVKKVGVLGGRLKENGHINSSVGCLPVSNGSVELDSADGIELAANVSENTENKIGLPEKFDTLALQDHAKVSFNHESVNGQSLPVLQKHRASDGPSKTVEDLRPRGLINSGNLCFLNATLQALLSCSQLVKLLLELRNRSISKVGYPTLAAFLDFVSVLDMPNGITLKKKDISVLETGRPFSPTMFEGVLKIFTPDVSNSISGRPRQEDAQEFLSFVMDQMHDELLKLEGQSSGNVGKSSLVSSADDDEWETVGPKNKSAVTRTQNFVPSYLSEIFGGQLRSEVKARGIKTSATVQPFLLLHLDISHDSVRTIEDALHLFSASETIDEYRTSTTKKAGVVSAKKSISIQKLPRIMILHLMRFGYGSHGSTKLHKPVRFSHELMLSRELLVTSAAEGRKYELVSTITHHGKEASKGHYTADARYPRGQWLRFDDASVTAISSSKVLHDQAYVLFYQQC >KZN08660 pep chromosome:ASM162521v1:1:13160068:13170616:-1 gene:DCAR_001190 transcript:KZN08660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAEGAVAGAAAGVVVETALYPIDTIKTRLQAVRGGGKIILKGLYSGLAGNIAGVLPASAIFVGVYEPAKQKLLKSLPENLSALAHLTAGALGGAASSIVRVPTEVVKQRMQTGQFISAPNAVRAIVAKEGFRGLYAGYGSFLLRDLPFDAIQFCIYEQLRMGYKIAAKRELNDPENAIIGAFAGAFTGAITTPLDVIKTRLMVQGPANQYKGIYDCVGTIMKEEGPSAFLKGIGPRVLWISVGGSIFFGVLEKTKQLLAQRRLPHQNSNSVKSD >KZN11194 pep chromosome:ASM162521v1:1:42452386:42459841:-1 gene:DCAR_003850 transcript:KZN11194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSAKAAPVEKPILGRFSSHLKIGIVGMPNVGKSTLFNTLTKLSIPAENYPFCTIEPNEARVYIPDERFEWLCQMYKPKSEVAAFLEIHDIAGLVKGAHEGQGLGNNFLSHIRAVDGIFHVLRAFDDPEITHVDDTVDPVRDLETISQELRLKDLEFMARTIEDVEKSMKRSNDKQLKVEHEVCLKVKAWLDQGKDVRLGEWKAAEIEILNTFQLLTAKPVVYLVNMNERDYQRKKNKFLPKIHAWVQEHGGETMIPFSCVLERNLADMPADEAAKYCEEKQLQSALPKIIKTGFAAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGTIHTDFERGFICAEVMKFDDLKELGSESAVKAAGKYRQEGKMYVVQDGDIIYFKFNVTSGGKK >KZN09341 pep chromosome:ASM162521v1:1:23462847:23465709:-1 gene:DCAR_001997 transcript:KZN09341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFQIRTLGMLRSRFQSLPGAFNDCLIPEERGQAAKKKGLKATLSSKFDAFSLQILYSLKQNNCKKADHRRPKCPTGENDLSGGYESVTELNGGNGARKSAGHTSATKRKTLGLKRPKLQVRRSSITQDSQTNSASEVNMGQHVHAASLLSSLSHWEAQHNNSDMHF >KZN10703 pep chromosome:ASM162521v1:1:38297681:38298740:-1 gene:DCAR_003359 transcript:KZN10703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKNIEGKDSVPKSDIVLGVLIHCQGCARSICSSLRGFEGVEEIEIDSKNHQVTVKGARADPIKVVERVRKKCGKHVELMTPVLSEEKKEEIKEEQKQEPRLVEITLKVNLHCPGCATDVKQTIHKLQGVVTVETYLKDSIVKVTGSMEPEKIVDLVKKREGKQAVIVKQEKKGGSGKKDDRKKNQTTGGEYQKGGRREKESSSIYANYPSHLVYAPQIFSDENPNACSIM >KZN10923 pep chromosome:ASM162521v1:1:40322012:40323881:1 gene:DCAR_003579 transcript:KZN10923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNDDEQIPGTLNVAAGEDEFTVGKAKLKIINSATAPVDENNLRVLLELTGLGNSKERLGLDLVTVLDVSGSMRGERLEKLKKAMQFLIKKLSPIDRLSIVTFGTQAHKLCGLRVVNETSQEEIIDLVMKMHAEGWTNITDGLQTALKVLEGRRLKDGRSVGIMLMSDGEQNKGGDATTVMVGDVPVYTFGFGTATNSRGDPKAMADVLNGIAKNSKGGTFSDVPKTDGLGIAFAQCLAGLLTLAVQDLKLVISPENKSKVESVSAGDYAQSGNTTAEPAVTVDFGNLYDKETRKIIVDLVLPKVEKEVSSQVLKISYKYLNSTKTRELKSPPIFASIKRIGKSTPVQKEEVTVESSRIETAQKMKEARILADQELYDAAKNKLVEAQNLLEDVEIDGVNALIEALKAELQQFLIFLQSPETYKKSGRAYALAAELSHERQRHAAKGDAETAPSMYATPRMEEYKKQSESYEQGKPVPTAAEDAKEEALADPIGPISGALSLQIQIAIQALMSIQNILDSAAPY >KZN10308 pep chromosome:ASM162521v1:1:34416328:34426374:1 gene:DCAR_002964 transcript:KZN10308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGVLFLVGVFVLVLMVKKGWAEEKGRRIHTLFSVECQNYFDWQTVGLMRSYKKAQQPGPITRLLSCTDEEKKSYRGMNLAPTFEVPSMSKHPKTGDWYPAINKPAGIVHWLKFSEEAKNVDWVVILDADQIIRGPIVPWELGAEKGRPVAAYYGYLVGCNNLLAKLHTKHPERCDRVGGLLAMHIDDLHALAPMWLSKTEEVREDRAHWTTNLTGDIYGQGWISEMYGYSFGAAEVGLRHKINDNLMIYPGYIPRPGVEPILLHYGLPFSVGNWSFSKLDHHEDNIVYDCGRLFPEPPYPREVRAMETDPDKRRALFLNIECINTLNEGLLLQHAANGCPKPKWSKYLSLLKSNTFAELTRPKYLTPETLQLKVVKVQNQVFDEPGRPHPKIHTIFSTECIPYFDWQTVGLVHSFHLSGQPGNITRLLSCTDEDLKEYKGLDLAPTHFVPSMSRHPLTGDWYPAINKPAAVLHWLNHAKIDAEFIVILDADMIMRGTITPWEFDAARGRPVSTPYDYLIGCHNELAELHTRHPEACDKVGGVIIMHIDDLRKFAMLWLHKTEEVRADTAHYAKNITGDIYESGWISEMYGYSFGAAELNLRHNINNEILIYPGYVPQPGVKYRVFHYGLEFKVGNWSFDKANWRDVDVVHNCWSKFPDPPDPSSLDQTDEDSLQRDLLSIECARTLNEALRLHHERICFKPNSVSNSNSTLNNEVTVSRKIGKINESHTIRSNSVPMNNSRESSYSVEDEQMHRSFRVWIICLWVFSIFGFAAVIWILFASRKRQRKRGKSYKSKRRPLYAGFGDINGLEKHMRGVEIL >KZN10058 pep chromosome:ASM162521v1:1:32232642:32233133:1 gene:DCAR_002714 transcript:KZN10058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLINTHVKLLASDFNALTPLPSDPSLFSRSATPSPLSRAETVGTITSRDLKPGKFLRFTIDDGTGCIPCILWLNHSNSPYFTRRSPTDVRQIAQLAASLASLVQVGVVARVRGRISGYRGVVQITVADVGVERDPNAEILHWLQCVKLAWKCYNVSPCSR >KZN10017 pep chromosome:ASM162521v1:1:31742970:31746460:1 gene:DCAR_002673 transcript:KZN10017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKMVSNCKDIVLRNSDIDTLKGPCFLSDQIIAFYFTYLSCSFNTKTDMLLVPPSISLWIANCEDTTCVEDYVRSCEMSSKRLVLFVVNDNEDFGGGDGGNHWSILVYDRTKNLFLHFDSMMGVNTPYAVKLYDALKEFMGPGGESSIPHTSSSLTKQQRKKKKSGPSVQRGSKPTQPPVTRESEATVVSGLPMFAECETTPQQQNGYDCGLYVLAIARAICQWCSEEHKRTDMISTIEKNVDSSVEMKMRSQLEADCRTCHEAVHRTTDGSTIEDKETSTVWCYEDDNPDFGSFQAKELLDHLESVQANEPVTVKSGQNIVEVKPQCVSKGLVAKRLLSTMQERGMIPDFVLCIGDGRSDEDMFEFISSSIASQTIASNVEVFECTVGKKPSKAKYYLDDAVEIVRLMQG >KZN09621 pep chromosome:ASM162521v1:1:27719774:27728366:1 gene:DCAR_002277 transcript:KZN09621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRWNITVVALVVMLVHATNARNVPSENVVGVSSKRPGGSGLEDEKNFIAYGGVGGIAGAGAAGGIGGLGGGVGGIGGVGGLGGVGGGVGCLGGVGGGVGGLGGVGGGAGGLGGGIGGLDGGAGGLGGIGGGSVGGGTGVGGGIGGGSGVGGGIGGGSGVGGGVGGGAGGGVGGGAGGGAGGGYGGAVGGGA >KZN08595 pep chromosome:ASM162521v1:1:11393272:11395949:1 gene:DCAR_001125 transcript:KZN08595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHRRAHSESFFHDILLDDMDLFNLDVPSLAIDSDSSYGPDCEPKRSKQVGPTGSSSTHFRSLSVDDDFFDCETLNQQSGLGDGVSTTSFEHDKKCVGVDEMAQLSLVDPKRAKRILANRQSAARSKERKVRYTSELERKVQTLQSEATTLSAQVTMMQRDTSGMTIENKELMLRLQALEQQAQLRDAALNETLREEVNRLKIETGQVPPLNGNSYNQALPPQFSSHPQMYNNFINHQGYQAQQQQPTRIPPSAINYDEARHGQPQASFPNYDQRV >KZN12033 pep chromosome:ASM162521v1:1:50451770:50452415:1 gene:DCAR_004689 transcript:KZN12033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESRVSVAPSVAKSLATNASDHVLVIPTSKVDRSNVQQKRPNFEASRNSQKFRPRAVLSSPENDHLIGGQEMIYSKGEFETSQKKIGLPCLANFRNEAFEKKNTTVLKHKRTVGLSIEKDQAPVS >KZN11106 pep chromosome:ASM162521v1:1:41745332:41747694:-1 gene:DCAR_003762 transcript:KZN11106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMSEKKEEEFKAVETEESMSGRGKLVCVTGASGYIAAWLVKMLLERNYTVNATVRSLNDPQKTEHLLALDGAKERLHLFEANLLEEGSFDPAVDGCDCVFHTASPVNHSSTNPEAELVEPAVKGTLNVLESCTKVPSIKRVVITSSMASVMFTAKPLKPDVLYYPLGKTLAEQAALKFAEEHKIDLVTLHPGLVLGALLQPVLNASSKVMLDLIKTGRDMFGGVYRFVDVRDVAYAHIRAFEDPSASGRYCLVGNVTDSSEALEILHKLYPALSLPDKLEGDKPVVPPNHVSKERAKSLGIHFRPLEVSLKDMVESFKEKNFLLF >KZN11492 pep chromosome:ASM162521v1:1:45167787:45168407:-1 gene:DCAR_004148 transcript:KZN11492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLNTTTRAALLVLVLSIFLFITVSESRKLSIAAKAKPVPTCDTVFGVREGDTCFDVAKFFKLTTAEFDSINPNVNCTALFIGQWICIGGTA >KZN08634 pep chromosome:ASM162521v1:1:12735909:12743314:-1 gene:DCAR_001164 transcript:KZN08634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSCERWIDGLQFSSVFWPPPHDKHQKKAQITAYVDYFAQFTSEQFPDDIAELIRNRYPSNEKRLFDDVLATFVLHHPEHGHTVILPIISCIIDSTMEYDKTAPPFSSFISLVCPSSVDEYSEQWALACGEILRILTHYNRPIYKVERQHSEADRSNSGSHATTSNSIDGESIPPPVQHEKKPLRPLSPWITDILLAAPLAIRSDYFRWCGGVMGKYAAGELKPPSISSSCGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTSMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPRNWMHLHFLRAIGTAMSTRAGIAADAAAALLFRVLSQPALLFPAPRQVEGIEAHPEPMGSISWQKKQREQPSAEATIEATAQGIASLLCSHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVASVEAILQRTFPPEPSREEERKTRYSFGSASKNLAVAELRTMVHSLFVESCASVELASRLLFVVLTVCVSHEAQPNRGKRPRGEDTYPSEEFTEEMLAVNRTQRDRGTQKLKRQGPVAAFDSYVLAAVCALACEIQLFPLISRVGNKAASNGIKDVVKPVKVNEPRSDFRNSVDSAVCHTRRILSILEALFALKPSSVGTSWSYSSNEIVAAAMVAAHISELFRRSKACMQALSVLMRCKWDNEIHSRASSLYNLIDIHRKAVASIVNKAQPLKAHLMHAPIRKESSLIVPEQIQNEISSSSCSDSSRGLQSQDSGNSRSLFKCRKVSHPCDETRSNSGKEVASFPFNASDLANFLTMDRHIGFNCSAKVLLRSLLTEKQELCFSVVSLLWYKLIAAPETQPTAESTSAQQGWRQVVDALCNVVKASPTKAATAVVLQAEKELQTWIAKDDDHGQKMWRINQRIVKLIVELMRNHETPESLIILASALDLLRRATDGMLVDGEACTLPQLELLEATARAIEPVLEWGESGAAVADGLSNLLKCRLPATVRCLSHPSAHVRALSISVLRAILHAGSIHSGGKQVEISSIVHNPAYQYLNVDIIDWQADIEKCLTWEAHSRLATGMHMQFLDTAAKELGCTLSI >KZN11508 pep chromosome:ASM162521v1:1:45291025:45293604:-1 gene:DCAR_004164 transcript:KZN11508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNASRGVVWRTSFVNSTSNATEAVLLDTGNLVLRDELEDVVWQSFDYATDNWLPGQTLWLEKGTRRIQVLTCWKSADDPAPGIYSLGMDPSSYFELFVWINMTQAKWRSGSWNGQNYTFAPEFNVKSMKGLRYGSDQRSYYLIYDSSGDSTLTRAVITPSGVMRVLKWSESKNSWLEKLYVVDNNGTGTTFSKSKGSSHFIIPVSVIIPLFLLGVCIFTIVRRRGKFNSTGTEQQEHNLLFYDFDVSSSVNSDHIAPADSMKDGANKELEFPKFRFSSISDATNKFSIANKLGEGGFGPVYKGELLNGQYVAVKRLSQNSGQGLEELRNETILIAKLQHRNLVRLLGCCIEKDEKILVGKMLELIDPTLQVPSSSSKPLRRPAFAAGRTALSESSGTATSEIGSVNNLTTSVMEAR >KZN09665 pep chromosome:ASM162521v1:1:28263916:28265325:1 gene:DCAR_002321 transcript:KZN09665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFYREIYAVDGITDIKFPTNYPTSRLLGCVEVVGCVTLEELKGLEDIPEGVRLEGQTNFCWLCEQPQKLIVPFEMRGYQGVYNLERKIYDSAIRGLAPVEAPLEIKFLVGNPQGHFSSKPRSIVARANSSASSEIEKKSPTLAAAISGARLAANQFSKKNQSQTHDHVPISTRTRNGGGVQALTYRKKEVQTLLPESHVNLPCKSDVNGINEG >KZN11570 pep chromosome:ASM162521v1:1:45821398:45821781:1 gene:DCAR_004226 transcript:KZN11570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLSDRDIAAAQVQPGTLASPHVCPQSLCQQTCENFKNVPIDLFHFYIVIKYICHFIIALATSNTYIVISHLNLENPFIHSNSTRSCDLTRAIFQPINQESSDFLYFSRNFKCYININNSTIVSKR >KZN11760 pep chromosome:ASM162521v1:1:47915499:47917160:1 gene:DCAR_004416 transcript:KZN11760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESDSGDATFTRMDSAAKVITEFKSALWLQQLINDGDRNKFDQYLRAVKKIQRLIKSGMISGYESQGGLAMNTLQMVFQGILDCSISGTHSVALKSTVNSSSVSCSSGYELQASNYTGHGELSSEQVYRLRAIVKRMKSAECLRDCIGAYKNSRRAVVVARFLRFGINDLQSWDEEKFAAKITLWIQAANTFYNTIFPREKKYYDQIFAGVRPVTYSNPFLDIVELVAIELNHLVDVSPNASFQNLFAVLDLYKALLGLLPKIKNIFHTVSCTDISDGVSNTISSLETLVRKLFSGFKDAVLNESSNSLSPEGGVHHMTEYTMKFITKISLYREVLTKLIVSRPTESLGNEADAKILEASGGTPLQLHMIWIMISLRINLESKSTFYKDSSLGYLFIMNNFSYIIKILTDDPELLDVIGTEYQTELSKDVLQAAQDYVSSTWRRVLYCSRRDGLSYMFSFFRRILKDSGKKRFKAFNTALEKVCQTQSARIIPDTHLRAQLHEMILSKLLPAYESFLEKYGSHIQSERYKERYIKYSSEDLQNKIQNLFWNI >KZN09667 pep chromosome:ASM162521v1:1:28270569:28271243:-1 gene:DCAR_002323 transcript:KZN09667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLSMFEHLDSEFSPQSSFGSNSFSWDDMQSTNFLPFNFNDSEEMLLFGVLSQAPIENSSEEMKDDEVNSKVQKNIEILPKEEKPYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDSAEQAALAYDQAAFSMRGSSAILNFPVERVQESLLEMKHSCEAGCSPVVALKERHTMRKTSVNKKSKIISKQQKEVKIENVVVLEDLGADYLEELLSLTDNATPW >KZN11227 pep chromosome:ASM162521v1:1:42831803:42838143:1 gene:DCAR_003883 transcript:KZN11227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTGHVMRGKFPVQLLQGNARRCCSGSFPVRQCKVGVNYFPKRSPLRTCIKCQKGDKDEDDDALDHVLVDQPPYHSYMDSTSGQMEPASGARASIPGRDYWPEGTADRVRAANAPAPTGESAAPPSFGKTPGSRRKKNKAAVAASETSEMSDIFDDAVEAELVNEGLEDSKDLPSEFVVYQTEPQEEELTGYALDKKLGVPHPFIDPEVKKPIEQPLTSEELWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGDHPTLTETSLYRARRHLYKDERLQAESERLKEVGPLAYYSEWVKAWKRDTSREAVQKHFEDTGEDENTQLIEMFTYQTDREYRIMMGTDTRIRRDPLAMRMREDQIKEIWGGDPVYPTINYIQDPDEVIDFRGPDFHEPTPNMLSYLKERGKIISREELDNILAQEKTEQIETTDIDEAMAQAVDIGENDDEGEGSDAESSEEGEKITRNWSVLKSTPELRKTKEKPKKNGMSLDEAIDDSENITDFILDFDEDE >KZN11861 pep chromosome:ASM162521v1:1:48822729:48836173:-1 gene:DCAR_004517 transcript:KZN11861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVCSYTRISLCWCISAGYLFALVCSLSSALYGGISTESEFGKLYSPLLKNSSPWTGSVSCEDLEGVGSLDTTCILNVNLRVNGDLYVVGDGNLEILPHVSVICPIEGCIISFNVTGKVKVGRYASIVAGSVIFQAASLTFESDSLINTTSLGGSPPPGTSGTPINYDGAGGGHGGRGASCVKSNYTSDWGGDVYAWSTLSEPWSYGSKGGGMSARYQFGGSGGGRVKLIAEDILYLNGSVLAEGGDGGYVGGGGSGGSIIVHAKKLSGFLNCNGIYIQKGCGTISAAGGRGWAGGGGGRISVYGYSNVQEVKLTVHGGLSLGCPSNSGAAGTLYDTSILVLRVGNDNITTETETPLLGFSTSPLWLNVIVENNAKAIVPLLWTRVQVRGQISLLHGGTLVFGFYDFQLSEYELVADELLLSNSVLKVHGAFRMAVKVLLMWNSKITINDGGDATDTSSVLEVRNLAVLGGQSVVSSNLNLAVYGQGLLMLTGPGDAIKAQRLALSLFYNVSVGQGALLQAPLDDDNSKNVVTKSHCGSQVCLEDMLVPPDDCHLNYTLSFSLKICRVEDIVVHGIIKGSIIHIHQARTVTVDTNGTITASELGCRKGIGKGSYSNGISGGAGHGGQGGSGVYKGRVSEGGIAYGNPNLPCELGSGSELPGVYHGYNAGGGMIVIGSIYWPVSRLYIAGSMRADGQSYDNTIKIIHGSPVGGLGGGSGGTILLFIEALSLVQGSYLSVAGGDGVPLGGGGGGGGRLHFHWSNIGVGXXXECPVGTYKDVEGSDASLCTPCSPELLPHRAFYVYVRVKCKFAGGAVHPSCPYRCVSEEYRMPNCYKPFEELMYTFGGPWTFAFLMSSVVVLLALLFSSWSIKLVRPGCSDSKASLAERPHLLSLSEVRGNRADETQTYVHRMYFMGPNTFREPWHLPSSPPSPIIDLVFSDEINTLAAFKWWEGSVHMILTVLAYPCAWSWKQWCRRNKICCLQEFVKYKYDQSCLRSCRGRALHQGMKFGATPDLMVAYLDFFLGGDEKRQEMVRIIQKRFPMCIIFGGDGSYMSPYNMHSDTLLTNLLAQHVPSNVWNRFVAGLNAQLRTVRHWRIHSALIPVINWIKSHGDPQLKFHGVKIELGWFQATASGYYQLGILVVVADSSSHSLHQSDILECSDDCPSPSLRSVATLAQKSAVQPRSSQLHASQALCQKNITCGTTEGLINDANLKSLCLRKDLRFPPTLVLRNTRPVGRQDTLQLLISIILLADIVATTLLLLQSFWISLGMFLAIMLVLPLSLLFPFPAGFNVVLSKDPGRASLARAYALWNATSLTNIVVAFICLLIQYGISLLQSPKEADMWDSWSMEDEKWWILPTILMLFKLIQARLVDWHIANLEIEDFSLFCPDPDAFWAYEPALLS >KZN09936 pep chromosome:ASM162521v1:1:30914322:30916416:-1 gene:DCAR_002592 transcript:KZN09936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYRDVISWNAMLACYAQTGKSNEALALFDDMKRAGIRPTEATVVSLLSACGHLGALDQGLRFHLCIRENKIEINSAVGTALVDMYAKCGSIANASKVFYSMKSKDILAWNTIITGMAMHGHVKEAQQLFKEMEEDNVAPDDITFVAMLSAFRHAGMVEEGQKLLASMSNVYGIEPKVEHYGSLIDLLARTGKVRDALDLIKTMPMEPNACAWGALLGGCRIYGNAEMGQQVGKHLIDLQPEHSGRYVLLSNIYAAAKRWDDVKKVITELQKLSKIQ >KZN11001 pep chromosome:ASM162521v1:1:40989587:40993476:-1 gene:DCAR_003657 transcript:KZN11001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLIFGDFQESSSSRVLVKARPLLYSSSSRPRPADAAPFARWLVSHSSWGVLNTIADDMGGAPFGNVVSFSDGQPDSGSGIPYFYLTTLDPTATYALKDQRSSFTISEYNIGTCGKKDPENPSCAKITLTGKLNVLDNKSNEAEYAQNALFSKHPEMEDWPKDHNFQIFKLDIENIFLINWFGGPKPLTPDEYLHTSL >KZN10055 pep chromosome:ASM162521v1:1:32200589:32211451:1 gene:DCAR_002711 transcript:KZN10055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEGDNSVVGDGVLMGSNSKDVSKDYMRADKIDFKSWDLQLEKHLSRVWSRDREVGGGGVPTKKEEWEIDLAKLDIRNVIAHGTYGTVYKGSYDGQDVAVKVLDWGEDGLATAAETAALRSSFQQEVAVWHKLDHPNVTKFIGASMGTSNLKIPSKNTSSDVHNSPPSRACCVVVEYLTGGTLKKYLIRNARKKLAFKIVVQLALDLSRGLNYLHSKKIVHRDVKTENMLLDINRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFAEVSSAVVRQNLRPDVPRCCPSPFASIMRKCWDANPEKRPEMDEVVRLLETIDTSKGGGMIPEDQARGCFCFTAGRGP >KZN10731 pep chromosome:ASM162521v1:1:38510550:38511544:-1 gene:DCAR_003387 transcript:KZN10731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKIWDDVMAGPQPERGLGKFRKLYVTTSTDTAGDDSGKYVRSLSMPSTPEAPATPTTPTTPSAMAPRKDNVWRSVFNPGSNLASRRMGSQVFDKPSPNTPTVYDWLYSGETKSKNHH >KZN08696 pep chromosome:ASM162521v1:1:13649488:13650472:1 gene:DCAR_001352 transcript:KZN08696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAGEKSEVSKEGLLSFYRSQAAPMATAFSLPHDLFNNISPFANYANAVLEESDFFKT >KZN11542 pep chromosome:ASM162521v1:1:45594482:45595627:1 gene:DCAR_004198 transcript:KZN11542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLASSLTSKIFDNKTSFNGSPVFSRVQSIKSAPKNHSSTISAVATPNYDLDNFKFNPIKESIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKNPDINIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHKFLDELEVEYDEQDDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGDRVAGVVTNWALVSMNHDTQSCMDPNVMEAKIVVSSCGHDGPFGATGVKRLRSIGMIESVPGMKALDMNAAEDAIVRLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMMSGQKAAHLALRALGLPNALEESQPEFVIADAADEIVEA >KZN08927 pep chromosome:ASM162521v1:1:17802893:17807971:1 gene:DCAR_001583 transcript:KZN08927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTELKERHLEATETVNSLQEKLKQKRLLLLDTDVAEYARSQGKTPVTFGPTDLVCCRTLQGHSGKVYSLDWTPEKNRIVSASQDGRLIVWNSLTSQKTHAIKLPCAWVMTCAFSPSGQSVACGGLDSVCSIFNLNTPTDKDGNVPVSKMLSGHKGYVSSCQYVPNEDTHLITSSGDQTCILWDVTTGLRTSVFGGEFQSGHTGDVLSVSINGTNSRTFVSGSCDATARLWDSRVASRAVRTFHGHEGDINSVKFFPDGNRFGTGSDDGSCRLYDIRTGHQLQVYNQRHADNDIPPVTSIAFSISGRLLFAGYANGDCYVWDTLLAKMVLNLGSLHNSHEGRISCLGLSADGSALCTGSWDTNLKIWAFGGHRRVI >KZN09655 pep chromosome:ASM162521v1:1:28167361:28171356:1 gene:DCAR_002311 transcript:KZN09655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTPKPHVNSTPSGSSRTNPTHVHSNSRTPKSKHNLNFNLTKPTPNPKETLLSDHPVEVIARIRDHNEKKQNPISALIVNSGQNSIRVRTEFGYRDFGLDGVSLSENENLDVFYKSFVESRIDAVKLGDKCTIMMYGPTGSGKSYTMFGGHKQQGIVYRSLRGILGGGDEEGDGNIKKAGSFVQVTVLEIYNEEIYDLLSSNGGGGFSLAWSKGNASKVRLEVMGKKARNATFISGNEAAKISKEIQKVEKRRIVKSTLCNERSSRSHCMIILDVPAVGGRLMLVDMAVQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDEKSKILMVLCASPDPKEMHKTIATLEYGAKAKCIVRGPHTPIKDKGAEDSSSAVILGSRIDAMDQFIYKLQMENKIREKERNDAQKELMKKEEEISALRAKLTRVEEKDPVTNEEEVISLKVNEQTAILKIELEDKIQECQRMADTLVEMERRKMEEKILQQQQELEVLRQRLEDIESELQNSKEKNRPVELEKCNFAKKLLEIYTDEDPGMEKSMDLDKSLDFDMVVTHKIENNIAEAMIGTCLNMSNSSCDEAVNQDLSASNFTNKTCLSTVYEDEEEEIDEDDGKENLVDEVQKEVIQEKTVYTTAEGPLLDQNSAPSKPRSALAPLASQDTSVARQKRIQNIFTLCGSHRELSHHKTSSIPARGVSQESQQW >KZN11648 pep chromosome:ASM162521v1:1:46655542:46656696:1 gene:DCAR_004304 transcript:KZN11648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPCELIDEVLCRLPVKYLLRFRCVSKGWCSLIDSNAFVKKHLKRALECNAGGGSIFINSGGDKFYLADLESLDDDEAVAVEVKGSLKTRLSGAVVVGAANGLVCVSKNMMNQILVINPSTRRWRKIASAPAEFPRCSCSTEISLCGFGYDDVNDDYKVVKIADCHDRGMMVIVYSLKTNSWKWIQNVPRNVDFCGYWGMFAGGALHWLATKDPNASEIVVGFDLALEQFKEIPYLVVETPTVPATGVVSDGGSLWVLEYYPDSHMDMWMMNNHSGMENENLWFKALSVEQHFVLGSFSLLKPVAFSKSGESVLLEVHISVVDRAELVWYDLKSKTVKNVKIQGIPHNFESYAYTESLVQLSKNKLLQRPSLDKPENKHQENR >KZN09322 pep chromosome:ASM162521v1:1:23168296:23170496:-1 gene:DCAR_001978 transcript:KZN09322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLHFISSKTPSFTLSLFFLFFFFTLCWSSRVPRGTGLSRQKLEVQKHLNRLNKPPIKSIQSPDGDTIDCIPISHQPAFDHPFLKDHKIQHAIAYVEGDKYYGARATINVWEPKIQQANEFSLSQIWVLGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAVRNSQYDISILVWKDPKEGNWWMQFGNGYVLGYWPSFLFSYLAESASMIEWGGEVVNSEADGHHTSTQMGSGRFPEEGFGKASYFRNIQVVDSSNNLKAPKNIGTFTEQSNCYDVQTGSNEDWGRYFYYGGPGKNPNCP >KZN09725 pep chromosome:ASM162521v1:1:28803380:28804160:-1 gene:DCAR_002381 transcript:KZN09725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDLPESFTALSSMANMYLQNNQFTGSIDVLSNLPLHNLREGNSWSSGPAPPPLPRTPPSSSSNRNRKQNDNKNSSDGGGSKKSGIGGGGVAGIVISILVVGAVVAFFAVIKKRSKRSSTDVEKADSQPFTSYASQEVQGTNLLHPIEKTCLTSFFN >KZN08387 pep chromosome:ASM162521v1:1:5975393:5975894:1 gene:DCAR_000933 transcript:KZN08387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSSEPQSGSFFFLRAAERPQEGEEQESITRANGGTPKLRETDKEAELVDDDGGSRTGGQAPVGPRPVFIPGRGYWIVPEEGGGPQQVWPVPMALTHGICTTMQGTCAAEFSKHNQSTFS >KZN08117 pep chromosome:ASM162521v1:1:2866928:2869483:1 gene:DCAR_000786 transcript:KZN08117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERESERHRSNFKIRTVNFADEVTADNISNFDFLLESEMLGAIQLGHHKMLDLAKSRICFLAKQFPSKCLLEAVNGDEEAQIFIKTELLNSGLWWRANDLKSKELEQGPKRAKAEELHKHTLDFIRAHSHLVHPFTLTRDLNSDNDAVRMALNQIHYGSLRLSRQSKATRNQYDDNEGANHKEDIINFIFDNKEVVAEATMQGVIYNSDSAITEALNQIHSHSLRREKASSHPGPGTNSYKETLLTTPTKSISSIRGRLVKTQTPRNNKRVDLYFSGFSEDSSPEEIWKTIKQRGRVRDIVLPPQKDRLNQKYGFIKLFSPLDANAFLSPDNPVFIKDKRIIFDWAKSDRKHKKKAKPQQSSITRISKKKDIVGASVEREPQEYHNIRHIDSQDEGSAEWIDRINRSVRVEIEGDYAPDALVELLVSIHHLQVEVLKLGPSVFLVVCRNRREKSKLDLANTGLTIISQRAVEISDLILPRETGLRLQGLPVCAFSDAILKKVVANWGTLTSSGLNCIQNQHVINPIIRISTTASNIISERIMVHALGQSFEVIVTEERLPLISDCSHGRFRRDDSMELFHSVDQSLEEGEIPDMADIEVVNSTRSQMEDSTNSVEILSNDGQACSVSFQSNYSSQESDSEARLEDPTIEELEYRNLRTQDWNLGALDSNANKDGQGQVYTADSPRPDSPIRHTDLANWKYRESKSSEEDSVVERAKLSPSTILNDSSRSSIEVEEDSPMIGLMQKLKLKRNCGRSRKGRKLQFFDFKLKSRKNRYKSSNWIPGMYVRPWGTLKRSARSRKSNSRPPSSRVQNHTETHTAQAIWNLGERIGLIPLLAKDRTLALIAERIAQ >KZN09683 pep chromosome:ASM162521v1:1:28443383:28446497:-1 gene:DCAR_002339 transcript:KZN09683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVKGGTRPPWVGLGAAVWVQIAAGNAYTFPLYSHSLKSVLGFTQQQLTILGVANDIGENVGILPGIASNKFPPWVVMFVGVFAAFFGYGVIWLAVSQTVPSMPYWVLWVALVIATNSSAWFGTAVLVTNMRNFPLSRGTVAGILKGYVGLSAAVYTEVHSMLLGGSAENLLLFMTIGIPVTCLAMMYFVRACTPALGEDSSEHVHFLFTQVSSLALAVYLLTTTILKSIVPISRSISYTLIGIMVVLLMSPLAIPIKMTLFPASSKKIGKQASSSDDIVTEDGESDLTGPLLSSFSSDINLLGLYENEDVSEVDILLAMGEGAIKKKRKPRRGEDFKFREAVIKADFWLLWVVYFLGVGSGVTVLNNLAEIGVSLGVNDTTILLSLFSFCNFLGRLGAGVVSEHFVRSKAIPRTLWMTVTQVLMIIIYLLYASALHGTLYAATALLGICFGVQFGIMIPTVSELFGLKDFGIIFNFMQLGNPIGALLFSGLVAGYVYDSEAAKQLSSSCLGPTCFRLTFLFLAGVCGLGTILSIVLTIRIRPVYQMLYAGGSFRLPNGASS >KZN12032 pep chromosome:ASM162521v1:1:50446403:50446564:-1 gene:DCAR_004688 transcript:KZN12032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLMMLTSKATLPHPKQPGFFNERKLDEADTSHSTLCLSSLNQTITIVAPR >KZN10413 pep chromosome:ASM162521v1:1:35460367:35464713:1 gene:DCAR_003069 transcript:KZN10413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACTHDHSCEEHDCSSDWSLYKHIDLPKVSALNEAVPGSVKSVFKAWEQRLSISEGHLESNEGDPELIVFIPFTSDVKIKSISIIGGSDGTSPSKMRAFINRDGIDFSDAQTMQPVQEWELAENTEGLLEYQTRYSRFQGVGSLTLHFPDNYGGDTSEIHYIGLKGEATQLRRDVVATIVYELMPNPSDHNFETPLLQEPALECYFPSGGPGRVLKKLIQLELDDFLHLLPELNCKDSTRSTLICKTFSKILNCMPLCI >KZN11644 pep chromosome:ASM162521v1:1:46631091:46631531:-1 gene:DCAR_004300 transcript:KZN11644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRVLSFPILAVFLLSSMYFSLTVSRPMLDLNTHQERHLSSADPSIDLDQQSHSSKLLSGNKPMPTESHMPSFLTFLSPVIYPLHFGRFRPPFPFPTIPNYPKFPPNHDDTNLPSKPSVPGSLDQVSPPPMPTIQGSSPIAKLP >KZN12099 pep chromosome:ASM162521v1:1:51024395:51026025:1 gene:DCAR_004755 transcript:KZN12099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGVFDTHPAMVARAKELKKELQRLVKSIVDEDGLLFSLGDVDGAKEVLSCLKQLKVEGSSLCLKLKDGSDSLGFPEEFCCPISKKLMFDPVIVSTGQTYDRPFIQRWLKSGNRTCPKSQQVLSHTILTPNHLIRDMILQWCKNHGVEVPDTLQYSEEGVTEPDRHRFVYLLEKMSSSLLDQKEAAKEMRLLTKRTPSFRALFGESVDSIPQLLNPLSQIKSRNEVHPDLQEDLITTVLNLSIHDNNKKLVAETPMVIPLLLDALRSGTVQTRSNAAAALFTLSALDSNKELIGKSGALKPLVDLLEDGHPLAMKDVASAIFNLCILHENKMRAVRSGAVRVILEKIKNRVHVDELLAILAMLSTNQMAIEELGELGGVQCLLSIIRESSCARNKENCIATLHTICFSDRTKWREMREEENTYGTISQVAHNGTSRAKRKASGILERLNRAVNLIHTA >KZN09548 pep chromosome:ASM162521v1:1:26977562:26984283:-1 gene:DCAR_002204 transcript:KZN09548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVSNLASSSPWIPRDDLLLKNAVEAGASFEALAKGAVRLSRTFTAPELRERWHLLLCDPDVSIQASARMLELEISGFDSSLLVGSVHDSGSGGTNYMLRDCFSDQVGLEDSDFDVLHRDFPQQAGDIGPAGSVDHTVNVYETQCRNLTDYNHLVTNVREDNVYGFSENIPSMVADDARGSPKSLYTSTQYPPQELPNDNHFEADSDGSVLLLQATGFSSQMPRLPLRKTMEDMPVPAMPVHMNERDTTHVVEKASQLSDNYLKRGKNASGVDVNSEHLMKNRQNGECHNLEAVGSNVSQGEFSDLSCSLLNFPNADEFLLMNVDGKDAMHSASNENVDSLFINSAGDAKESGLCNIEPLKLCNIEPCTTSVSSTCPKIPCSPRGMELKNSVSFLHGDVQHNTIHAEINVASTSILHSDSSQFKEEVCCMLNTEDTEIPCNDDIFLLIHSTSCSPVQKPFTISSIDRSSSADEEDIDRGVYLMEKVNDPALSYEPSPARGLNKLPELYRGLPLAGGKIKSEVLDPKFSSLQPGDFSKTTRDLSQSRSVATSVTFADGVIEEDVKVEPLVSDNLSAYMETALPAEAVSEIVDNPSASEQDEPESENDVPYFSDIEAMILEMDLPPHDQDSYTSSRRGLKHEDADARKKIIRLEQCARASLRRKMTSHRALAFLYGRRLKHYLKKMEVLLGRSTDDFDVDIDLRKEGRVRASSISRRQASIKMKTDGSFAIKNLSKSSILVNGKAIACEQSSCLSSSSLIELSRTAGTAGSWLSCGAPISWKASKGPLFWEQLS >KZN09056 pep chromosome:ASM162521v1:1:19610317:19615235:-1 gene:DCAR_001712 transcript:KZN09056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPHEIGALGNLKVLDLEGTYLACLPKEVGELNKLRCLKDSLYDAATTFFLSMWVLDLFFIGSPHTISLEDISPISSLWCLEFLAMATPVPSINTYGSLSVVHDVYLSFRSADTRKTFVDPLCTAINSDGISTFFYDSELQGGEEMSSSVSPAIEVSKIIIVVLSTNYASSTWCLDELVQILESKKRTTKQKVFPVFYEVDPADVRQQTGEFGAAFSKHTDMKNSREVETWKNALAAIGCLPGNTLPILASRTELQLDFPGGEGTLSDIKVLHGLKEKVIPHSKVLAAKDLRVMHIDPKQTDIPDNLSFPDLEKLFMRSNLGLIYTPMPFFERMPVLKVLDMSRSSIRTLPPSVSNLIKLEELILRNCELLVELPHEISALGSLKVLDLTNCKSLTKLPESTRKLKSLEYLNLSGCTNLVEIPQSIHFPQTLSFLDLRNCKSLTRLPKSITKLRFLNFLYLSGCTKLAEISDSIKFPQSISSLELTGCKSLRKLPESISRLRSLEYLNLDDCTNLAEIPESFQLPERLSALNLLNCRSLTKLPKSIGKLRYLQYLNLAGCSGLCDVPNMFFVQMPTLKVLDMSSTSIKFLPPSVSKLIGLEELILRRCELLMELPHEIGALGNLKVLDLFGCTNLAKVPESIEFSQSLSSVDLTNCKCLQKLPESISKLRSLQFLNLSGCTNLDEFPESIAFPQSLCFLDLTDCKKLTKLPESISKLRSLNFLSLSGCTNLSKNSKSINFPRSLSFLDLRDFTSLVTLPESTSKLRSLKYLYLSGCTNLAEILESVRFPQSLALLDLTDCISLTKLPESTYKLRYLEKLSLRGCSSLSEVPTSFFERMSALKVLDMSSTGVKTLPPSVSKLLKLEELILRHCELLTELPHEISALKNLKSLDLTGCTTLAKLPESKNKLISLHELNLSGCFSLSDVPNLFFVQMRNLRVLDMSSTSIKTLPLSASKLIKLRELLLRNCELLMELPHEIGALGNLKVLDLEGINLVCLPKEVGELNKLRCLKVSLYDAVSYRKSKRIIDIIPRTELAKLTQLEELSITYDPQDIWCYAAVEDTMEDLPSLRKLKTLKLYLPTTVLLQKLLGLRWKNDDLSIYQNLSNFTFIIGPEAQRFITRLPCGLEEEFLKLKKCLKYSNGKDNTTAFPEALKHANALYLDRHWTIQKLSIFKLDELHKLKFCLLVDCNEMQTVFDESDFSHGVAKKGDNFHSLQYLAIHYLMNLEVIWRGLDVDCFLQSLKVLVLHTCPNLHTIFPKVIQGDLVNLEEMVVEDCPKIKTLTDADLSLPGLKNLSLVYLPELVSISSGLSIGPKLENIVIYDCPNLKRLPCLGECSKEVVEIQGESDWWNALEWSSSSQPYAFSELDIDGDLLDELAPRFKDSLHLL >KZN09224 pep chromosome:ASM162521v1:1:21832512:21837516:1 gene:DCAR_001880 transcript:KZN09224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPANSSSNAGRANQNSRVVLTTIDAKIHADFEESGSSFDYSSSVRVTSAVGENLSIQSNKLTNTYLHHIQKGKLIQPVGCLLAVDEKSFKIMAYSENAPEMLTMVTHAVPSVGEHSVLGIGTDVRTIFTAPSAAALQKAVGFADINLLNPILVHCKSSGKPYYAIAHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLASKAVNRLQALPGGSMERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVIAEVTKPDMEPYLGLHYPATDVPQAARFLFLKNKVRMICDCRAKHVPVLQDEKLPFELTLCGSTLRAPHSCHLQYMENMNSIASLVMAVVINDSEEMVESSDRNSVKSKKLWGLVVCHNTSPRFVPFPLRYACEFLAQVFAIHVSKELELENQIVEKNILRTQTLLCDLLMRDAPLGIISQTPNMMDLVKCEGAALLYKNKVYRLGATPSDYQLRDIVSWLTEYHTDSTGLSTDSLYDAGFPGALALGDVVCGMAVVKITSQDMLFWFRSHAACHIRWGGAKHEPEEKDDGRKMHPRSSFKAFLEVVKTRSATWKDFEMDAIHSLQLILRKALSDEKAVVPKGDDFRSNTDVIHTKLNDLNIDGIQELEAVTSEMVRLIETATVPIFAVDADKIVNGWNTKIAELTGLPVDKAMGKHLITLVEDSSVDTVEKMLAFALQGKEEQGIQFEFKTHGSRDGSVPITLVVNACASRGIHDNVVGVCFVAQDVTSQKTIMDKFTRIQGDYKAIVQNPNPLIPPIFGTDEFGWCSEWNQAMTELSGWHREDVMNKMLLGEIFGIQASCCHLKNREAFINLGVVLNNALTGQTSEKIPFSFFSRDGKYVECLLCASKKLDAEGTVTGIFCFLQLASQELQQALHIQRLTEQTAVKRLKTLAYLRRQAKNPLCGINFIREKLEETGLGEEQTGLLRTSVHCQRQLNRILDDTDLDSIIDGYLDLEMSEFRLHDVYVASRSQVLMRSSGKAIQVVDNFSEEMMSETLYGDGLRLQQVLADFMSICVNLTPVGGHLGISVTLTKDNLGKSVQLVHLEIRITHAGAGVPEDLLSQMFGGNSETSEEGISLLISRKLVKLMNGDVHYLREAGKSTFIITVELAAASKHES >KZN11993 pep chromosome:ASM162521v1:1:49928270:49933209:1 gene:DCAR_004649 transcript:KZN11993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSFKPTIGSINTCDAGLLVPSFSTPKKLSFYWKFKKVGIFACKDGGEVNGEDGMRFKVGKMLSDDPKLALSKIIGRNSNRDISNLGIEKLLYKNNDKELRYSREVVSKKGVNLEVDDKPSLSSVKKPSLPVLNAVDNRIGSVPHVILRKPPAVLEDDTGNNNLLKFKIQPNLSLTMGKGKAKERFSDFTLVTKTEAVTSIDHGKHEYFVNTSAIATSDFHKNSIKIDSQSASKSDNVKANGKSVLLDINHEQNSSEEHNVSTSVEDLSVVGDYSQFNDSLAGSQPLQQSDIGSSLKTDPSVEKSKEQLVNASSSTYKEATLHKPTRLDQSVRPASSALADKKLVRKQTKFDSAVLRDLPISSPNNELEDIDWTAAEDLVKTGHRDEVELISASTRGFVVSFGSLIGFLPYRNLAPKYKFFAFETWLRYKGLDPAMYKQSLSVIGNSKDTSKATALYSSLDIQIDPEVTSNMELEELLTIYDDEKFNFLTSFLDKKLKVYVICADRKSRRLLFSVKAKEQEESIEKKRNLMGKLTIGEVVKCCITKITYFGIFVEVEGVPAMIHQTEVSWDANVNPTSYFKIGQIVEAKVHQLDFSLERIFLSLKEVVPDPLTKTLEAVDHVTQSSESATAEADTEWTEIKKLLKELHQFEGIDSVSKGRFFLSPDSTPTFQVYMASMFENQFKLLARAGNKVQEVIVETSLDKEDVKSAILTCTNRLHS >KZN09408 pep chromosome:ASM162521v1:1:24663174:24664391:-1 gene:DCAR_002064 transcript:KZN09408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLACLLYVSAICVVAASVSAHDSWYSVYASPPPKRYGYTSPSPRYIYKSPPPPPKHYSYKSPPPPYKYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSLSPPPPSLSPPPPYIYKSPPPPSPSPPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSSSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPPYFYKSSPSPSPSLPPYIYESSPSASPPPYIYESSPIPSPSLAPEYVFNLSPSPAPSPSSTPDYY >KZN09946 pep chromosome:ASM162521v1:1:31040301:31046016:1 gene:DCAR_002602 transcript:KZN09946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRVAFKFLKEHVLHKIKPGVAASRQFSAHFSSVPPLPPARSKIPQFSKKGRILTGATLSLLIGGGAYASTVDEATFCGWLFNATKIVNPFFAFLDPEVAHRLAVSAAARGWVPREKRPDPSILGLEVWGRRFSNPLGLAAGFDKNAEAVEGLLAVGFGFVEVGSVTPVPQEGNPKPRIFRLRNEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETSTSSSQSSGGVTHGGKAGPGILGVNLGKNKTSEDADADYVQGVHTLSQYADYLVINVSSPNTPGLRQLQGRKQLKDLVKKVQAARNEMQWGEEGPPPLLVKIAPDLSKQDLEDIAAVALALHLDGLIISNTTISRPDPVREDPLAKESGGLSGKPLFDLSTSILKETYLLTKGKIPLIGCGGISSGEDAYKKIRAGATLVQLYTAFAYGGPALIPRIKEVAIAYEQNFSACVPRLWAVPAELASCLERDGFKSIHEAVGADCR >KZN11591 pep chromosome:ASM162521v1:1:46049350:46049717:-1 gene:DCAR_004247 transcript:KZN11591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKLALLVSLALLFIASTSYARPSPRQYWHVTKGEAMPMFQDFDTMNYDAGLLYHGSALAEDKFVQDSVHEKLDFGDDRNDSYLKRKSSDESG >KZN08865 pep chromosome:ASM162521v1:1:16909530:16911348:1 gene:DCAR_001521 transcript:KZN08865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWCGSSTPRTPEYRTMNEERHERKAYWLVIGQRTRRCLGYATGGSGEVGIGEHSSWGGLTTQMLSVVIRSALGYPAFTVGTITGTPESNFYPLSDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGQFSVRPEEIFARLRYLLGGLRPIETVDLRLSLGPPPRKEAFFAFHLSCTGKAQSQSHGTMKLHRVFLSRSPTSLTFRHWAGVSPHTWSYDFAETCVFGKQSPGPGHCDPLCEEAPLLPKLRGYFAEFLRESCLAPLGILYLPTCVGFGYRYPFVEGRSSFSWEYGMGYFSAVAPGTRTLARGIFSTPSYPEKAGSPYVLEPITIFRLT >KZN10470 pep chromosome:ASM162521v1:1:35993103:35998986:1 gene:DCAR_003126 transcript:KZN10470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKQGGLELYVGPVGQNSCDLIKYFEEIEGTSKIREGYNPATWMFEVTSSKQEMLLGLDFTEVYKNSALYWRTRQDLFNSMGSMYSAVVFIGIQNTIIVQPVVAVERTVFYRERAAGMYSSIAYALAQVVVEVPHVLVQTVVYGAIVYSMIGFEWSGAKFFWYLLFMFATLLYYTFYGMMTVALTPNLSLATILAGSLFGIWNLFSGFVIPVTVSLENF >KZN11803 pep chromosome:ASM162521v1:1:48211523:48213249:1 gene:DCAR_004459 transcript:KZN11803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQSYVDDHLMCLTEEGNQLSAAAILGQDGSVWAQSDTFPQFKPEEITAIMNDFNEPGSLAPTGLYLGGTKYMVIQGEPNAVIRGKKLLDLLLNKFEPLKIGIFCQIITSYKLDLSLLSNSAVQTLV >KZN09531 pep chromosome:ASM162521v1:1:26745190:26748397:-1 gene:DCAR_002187 transcript:KZN09531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRSEIEVASSRKSNLKKSFKLALRSLLTTPSLQPQEFSKAFPKFSSAEQERLHRLFIQVISSLHGNIEDDFESLCDETQVGTILDMVDQVVEEQSLDPLYPDK >KZN11766 pep chromosome:ASM162521v1:1:47931578:47933503:1 gene:DCAR_004422 transcript:KZN11766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFVLPPLIKLRFPTDTIGEDYYREETSVSSSAEITETNSSLSSWTCPGVLTSTEITSTAYADTKVQQPPLTSATAYAFQDTKIPDLGSATKIINYVDGRSSFSSLMQLQELIYDARSTFTEYLYAVDEVQQSTKSGMISSYETQRRAMKTLQLVFKGILTFSISGTRSYLRSSTVYSSSVTSSSSYELQGSGYTVQGELSSEQVYRLQNIAERLNSTGCLGDCIEAYKISRKSAVDARFLRFGFGKWSIDDLQGLDSEEFTANIRLWIQTARKFYNSFFPGERQYYEQIFGGVSSVTYDNCFLPIVKEVAIELNNFANAVSSIASFQKLFAVLDLYKALSVLIPEIRNMFSTKLSAYISQGAIKTIENLATLVRQLFSSFEDTVLNERLNTLTRDGSIHSLTKYAMNYVTSISQYEELLKSIILSGPIESVGYQLDEQSLEDSGKSPLQLHLIWIMISLRINLEGKSRCHEHSSLRYVFIMNNVNYVIKTIIGSPELLELIGKEYVLKLSKDVIQATHFYSSSMWPRLLYCLRDPRLSNKFTFYDKLSKDSLKDRFKAFNTTFEEVCQTTSFVLDIQLRDQLHKFILRKLLPAYKCFLEKYGSHTLSKRYKEKYIKYSPEDLDYAFRTLTNDNGFSVEC >KZN12010 pep chromosome:ASM162521v1:1:50213295:50214462:-1 gene:DCAR_004666 transcript:KZN12010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFVWWIIGFYWVSPDGQALIEQSPQLYWLCIIFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAVLYAVVDQEGATKEDIEQLSKYKFRRLANTEKSNGEIQVSFGGVMIECGTDSPTERILAAEDVDCCICLATYDDGAELRELPCAHHFHCACIDKWLHINATCPLCKYDILKQSHTSIEEV >KZN10582 pep chromosome:ASM162521v1:1:37207114:37210068:-1 gene:DCAR_003238 transcript:KZN10582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKLGIQADHVASEKGSSPSQNQDNMHNKGADLKKRMKKTRSAKLSGSESLGSIPPSRKNEVLQTGKPPTSDHVKTRAATPLRQSPVKAPEESPNYMKSTSCFDARKEQSQVSPQTPQSVVIRKSTVSPMKSNNSRHSSDSDYKTVGGLARTSNLKLVRTLTKTPSFKPARASTKKYSPIALCEEFDVQKPTCSSTLKDSKFPTYLELSSGATESEGTSAMKVCPYTYCSLNGHHHAPVPPLKSFLAAKRRVMKAQKIMKLGCLSPRRAKSSCLSPRRAKSSCLSPRRAKSSRLSPRRAKSSREPMIKIEARQVILAKIPPTKKKDFSTKPETPLMHEKKTDHFVNIYSNDGDGTSKGNTPESLIVKAPCSVVEFENNPDQSCGKIAMMNDILFFNQNGEASADIHPVPVVKEDTRLGWLSTQSNSDEESQENSQHGQSDADASDMEWEAGYHCEPDPPNLPVLKVKATDVHNGSEFELISKPINDVDNSFEDMLAEEVGVGSYDEKSVSSGVWSVDSDSDMDGLYTNMFFGESCLAYSEQTQGRLYLTTDALDDSTGEEDGLSELIVTLGKEITSTHHTEEFQAASEEKKQVPESSDEASQEQYVSWLLQNHNCNLVQDFKEQDQDETHDDYNKVPNNMVAFQFDLTSESRFSNVVSDESFPAKTKNEEAGQEEHDQKISIVEARDGIEEKEVLVTELLHGIRTSESLKDCEQKQPIADAKDGMEEKEQLPAAKSFIEIQPFEILQEFSDPIQDIIAVSSDQSNQTEVSIKSKTNQTYAEELAQDQTDTCKFTRCKYSEDQKHSGGTGLRATPNQSLEPNAEEGEFKTEPDTAETSLIVTDSTNTQKSDCISNASSESNGQALKTVENSGWTYGSRKSVAELEDIRDFNPREPNYLPVQPDPESEKVDLRHQMIDERRNAEEWMVDYALRQAVTKLAPARKRKVSLLVEAFEKVLPTPKYETQPRRTSPAFVHAGTIQACR >KZN10957 pep chromosome:ASM162521v1:1:40633007:40633625:-1 gene:DCAR_003613 transcript:KZN10957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPISGIQGQLLEVTVVGCNKLKDTEWFSRQDPYVCLEYASSKFRTRTCTDGGKNPTFQEKFVFTLIEGLRELNVVVWNSNTLSYDDFIGSGKVQLQKVLSQGYDDSPWSIQTKTGR >KZN09135 pep chromosome:ASM162521v1:1:20635139:20639227:-1 gene:DCAR_001791 transcript:KZN09135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLLQGYSSPEEEEEISLSDTSDEESQDYNNSSKNNKPLIPNTPLFDPSNPPSSKPSSLPSAFDVFSQITGPPKFLNNCADAVADRVASENEGQRWRQRHRKNRKQKTDLPTGAVVEAKAQLVGIHERVRSDAESGLSNTTAVQNDSLSTTQGVKRVATATNPNAEDAAELLRMCLQCGVPKTFSNAQGMVCPLCGDRPVAETDKESKKKGSAIKDKEKSKRMKGQSSHATWKSETEMQLRQQFD >KZN09853 pep chromosome:ASM162521v1:1:30014377:30023778:-1 gene:DCAR_002509 transcript:KZN09853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPHFNPQLHTIADAEISAMEIDPTEQENVQEEEADPFLKFIDYAKSVLSPDYDIEQTEEQSNVPGWSWIVSRILKICIAYSSGVTTAILLSDLSQAWSEQNRSGAQKKRPECINQLAKMHKRVKLPNTVTIDAIYEKNFLSLSSVIEAVIVDAFVLPGTNIYILTLGDFWSSNTIDLYLHRRYYSLADPNCGILKKGREIFLTGCYLRTSTAGSVHSRLLPTEYIVTLLNEDLDDDAMLIGAQFCSDSFSSISLDAFNKGVSYSLYARIESIGSLEIQDSIQRKQIILVDDDGIRLKFLLWGDQVLLANCLSVGSMLALDRPFIASLESFNEFSLEYGSETQLYMVPLLHHEEQVSVALTQSRYQGSKLLKASEASQGPQFSQVTLPCNSLGSIDFSNYPFRPLVTDLRDKMTGISLYGTITDIKREQSSSQTIFSMRVKETTGSIWAKLHFVSSWSFGRLGLGHTVYISGLTCTKKKNNRLEVLWFEDSTGSLFVNLSCLPALLNSYCLQKLSRLSELSDAMCSTYICQIWLEQIPFVTTRYSHVLCGHYVNKTSSGAYECNFCCCSCDSEVARAFHLKVNVADESANISAWCTGHAATELLQISPDEFDELPEEEQYMYPMSIQHEHERFTVALVNCKRQSNVSDDTLAQETDSMNWEITRALKCE >KZN11402 pep chromosome:ASM162521v1:1:44364800:44365702:1 gene:DCAR_004058 transcript:KZN11402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKPTQEQIQQQQNLALKCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPAAAASSTPVAVDLSNNSAKSAPISAINSSNPLFYHGLQHNNLNPNSSELNLSFNSRVDHGGNNSLFEYDLQPQISSLGFSSSGQIMGGDLVPKSMLSSYHHLPNFGSFSSSVSASPSMASLLSNIQQQKVLSSGVLGDTRSGGSHFLGFSQYEDHMQMQGSHDNGGMSLKEFNTEESQNRSNDNQIPQMVSSDPNSIMWNASGVGSWLDPAKSIGYNSVPSLI >KZN11798 pep chromosome:ASM162521v1:1:48163449:48165090:-1 gene:DCAR_004454 transcript:KZN11798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKSLKRCGKSCRLRWLNYLRPDIKHGAFTPEEDGIIYNLYGILGSRWSVIAASLPGRTDNDVKNHWNTKLKKKLQATKDSYFAAIHQAPQSSNSTSSTNHNLNPNVEGNYDLGFSNIYQGNCFLQEEPSNAGVMHYTQTGNNVQEYSSVSDSSTAVDNNASEFLMDFGSGFGYDQMMSAGIWSNDQGQETLGEALINLPSNSYANSTVCQNVNDQFYGNY >KZN10683 pep chromosome:ASM162521v1:1:38149228:38149569:1 gene:DCAR_003339 transcript:KZN10683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEHDRLLPIANVGRIMKQILPANAKISKEAKETIQECASEFISFVTVEASDKCHKENRKTVNGDDICWALGSLGLDHHAEATGRYLYKFREFERERANQSKAITAGREQKD >KZN09170 pep chromosome:ASM162521v1:1:21219119:21220449:-1 gene:DCAR_001826 transcript:KZN09170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQRIMAVQYKIPDYVHISQECRNLLSRIFVASSNRRITIKEIKNHPWFLKNLPRELTEAAQVMYYRKENPAFSLQSVDDIMRIVEEARSPPPVSRSIGGFGWGEEEDEKEEEDLEAEVDDEDEDEYDKRVKEAHQSGEVPLT >KZN10832 pep chromosome:ASM162521v1:1:39397660:39398019:-1 gene:DCAR_003488 transcript:KZN10832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEALAMAGMDYEECGLTMEEWEQSESTTPPHLLADEEDKEESGDHTNLQEVEVPLASCLKVEDQSRVKCNSRKDKLPIIISKVKKAKLVKQLSSKNTIMIDIIGRYLGYAGLVLGQT >KZN10150 pep chromosome:ASM162521v1:1:33037498:33043432:-1 gene:DCAR_002806 transcript:KZN10150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVQLKDGLATLNKGVTKLKKILEGFPEPSFTTEEYINTYTAVYNLCSQHYPYNYSQDVYDKYLDIFKDYIDLWVLPSLNQRHDEFMLRELEKRWLNHKIMMRWLSRFFEYLDRYFVTQNSLSSLNKAGLICFRDMVYEEVKFKARDAVITLINKEREGEQIDRALLKNIIDVIIRVGMGKNESYEKDFEAFMLDNSSSYYSRKASNWIAEDSCPDYMLKAEECLKKEKERVSHYLHSSTEVKLLEKVQNELLAAHHSQLLEKEHSGCRVLLEDGKVEDLSRMFRLFSKIPQGLEPVADIFKKHIMCEGTALIQQAEDIAAASKAKNLGGPEQAFVQKVIELHDKYMKYVNDCFTNHTLFHKALKVGFEVVCNKNVSGSPSAELLASFCNNILTKGVSNLTDEAYEETVDKAVKLLSYISEKDLFAEFCRKKLSRRLLFDKSAGDDHERLILTKLKQQCGAQFTSKMEGMVTDMTLAKEKQNEFVEYLKVSGVVHPGIDLNVTVLTTGFWPTYKSSDLNLPPEMVKCVEVFKTFYETNTKKRKLTWNYSLGNCIITGRFDRKTIELNVLTFQAAALLLFNTADKLSYLEVQAQLHLSDDDLVRVLHSLSCAKYKILKKTPSSDTVSSTDYFEFNSKFTDKMRKIRIPLPPVDERRKTVEDVDKDRRYAVDASLVRIMKSRKVLGHQQLVTECVEQMSRMFKPDFKLIKRRIEDLIARDYLERDKENPNMFKYVA >KZN10478 pep chromosome:ASM162521v1:1:36089171:36091506:1 gene:DCAR_003134 transcript:KZN10478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYTLPVLGFFFVLLCGGNEVHASHQYLQPEATREAIQPSRTSYHFQAPKNWLNGPMYYKGIYHLFYQHNPYGAVFGKTIVWGHAISYDLINWVHLNNALYPTNAFDAKSCWSGSVTILPGQKPVILYTGLDIKNQQVQNMAMPKNLSDPFLREWKKYSHNPIMMRPDGVNKDDFRDPTTAWQGEDGKWRVLVGSLKSDRGLAVLYRSNDFKKWNMYDHPLYSEANTGIWECPDFYPISTNSREGVETSSRSPQYKYVLKASIKFRDYYTLGTYMPDVEKFTPETGFRNLRLDLRYDYGKFYASKTFFDSAKHRRILWGWINESDSSADDVKKGWSGIQSIPRAIYLSKNGRQLIQWPVEEIERLRRKHVGFKDKKLEGSSLVEIPGITASQADVEISFKLPNLKDAELMDTHSVDPQLLCSKKSAAVSSKVGPFGLLIFATKDLTEHTAVFFRIFRDRNRYVVLMCSDQSRSSLRKGVDKTTYGAFVDKDPRHEAISLRSLIDHSVIESFGGDGRVCITSRVYPTLAIDGEAHVYAFNNGSLDVVVSSLNAYSMNKASFVSNIGS >KZN09363 pep chromosome:ASM162521v1:1:24179783:24182791:1 gene:DCAR_002019 transcript:KZN09363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLTISEHHCWIFSARLSNYGLPMTAAPCEGDTDSDLIKLIHFTNNDCTFGLTTSDRGGHKQFEIASKSTKPITGTEVLTGQPELQDLPKMENTKTCSYGATTLGPSENVTGLDFDWNTLPKDSHNGKEWKNSILNNHQTNLGTNADDTTHTQIPFGINLESGQKFQNDAQKRKSSAVGKEKEETSKLGEDKTKLFHQYTSDDKGKMIMNKHSLLESLFDSYHCIQQTLMSHIMSAKAEDEKDEVVDDLRYAFIELNNDANDIMLK >KZN10269 pep chromosome:ASM162521v1:1:34039296:34040445:1 gene:DCAR_002925 transcript:KZN10269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLPTIRSGGFADIGPRRYMEDQHIKIDDLSMYLGSDVIFPKPNAFYGVFDGHGGPEAAAYVRKNVNKFFFEDVNFPQSSEVDDAFLEAVGSSLRQAFLLADAALADDCSVSTSSGTTALTAIVLGSRLLVANAGDCRAVLCRKGEAIEMSQDHRPSHALERKRVEELGGFIDDGYLNGVLSVTRALGDWDLKLSRGNPSPLIAEPDVKQIVLTEDDEFLIIACDGIWDVLSSQQAISLVRRGLRRHDDPGQCARDLVMEALRLNTFDNLTVIIVCFTSLDHREASPTPQRRLKCCSLSAEALCSLRNLLDGGVNQ >KZN09068 pep chromosome:ASM162521v1:1:19776889:19789132:1 gene:DCAR_001724 transcript:KZN09068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDQILSLNKTKTSWRIKVRVTRMWPSVSTSSKGNRGLKGYNLVLLDDDFEDCAWVVLWDAALVDLGWVQNYIAGTLRPYLTSSSAFADVIGMIEEFQGLSDIKTVYGDRNIARSCLTDGRAMVEIIQSGETPVSAKSSNKKIKMYSQKPQYKFGFNLQDKFTVAKHEHCP >KZN08039 pep chromosome:ASM162521v1:1:1639711:1641282:-1 gene:DCAR_000708 transcript:KZN08039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGRQRTDDLLMTQKTCVNIFTLLLPWFAPASKTDPIEEDKKIARALRHIYQDDLMQFMRDDEALMIISHFKGASGRKGISMKQLNNWMIIYRFVWTAKTIIILVYIHSRSEESWYRP >KZN11469 pep chromosome:ASM162521v1:1:44952028:44957958:1 gene:DCAR_004125 transcript:KZN11469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFNWEIFGQVLVGLMSTLVVCQGFTDPRDVAAINNLYGALGTPPLIGWVQAGGDPCTEGWQGVQCVNANITAIALNGANLGGVLGESLGVFASIIQIDLGNNHIGGIIPSNLPTTTRILSLSGNQFTGTIPETFSTLGQLTDMSLSNNHLTGVIPDTFQQLTGDLSGNNLEGQLPPSLGNLLSLTKLHLQDNQLTGTLDVLQDLPLIDLDVENNLFSGPVPDKLLGIPNFRRAGNPFNTTVLSSPPASPPSAPPIGSPPSEQVPRIRPNEPSNPETSSSSKGENFLSKRIVWIVVAGLVIVIVVALGLCLSQKDTEPKKEVAAAVPKRNEASAVPRRNEVAGVSKQNDVAEVARHRDEHEIDMPVSDEYILPPPPLPPLNNPFEKVIIRPNVPPVRSRKQSMEKLSSVRTFSIASLQQYTNSFSQENLLGGGKLGTVYRSELPDGKLLAVKKLDGAASKNQTDDDFLEFVSRVSELQHVNIVQLVGFCCEHGQRLLVYEYCGNGTVYEALHIDDEIHKNLSWNARVRLALQSARALEYLHEVCQPPIVHQNFTSANVLLDDGFAVRVSDCGLAPLISSNYVTQFQGSGYGAPELEMGNYTCQSDVYSFGVVMLELLTGRKAYDRSCPRGEQFLVRWAAPQLHDIDALSRMVDPSLKGTYPSKSLSRLADIISLCVQPEPEFRPPMSEIVEDLQHMVGRARTRDDI >KZN11659 pep chromosome:ASM162521v1:1:46764344:46767061:1 gene:DCAR_004315 transcript:KZN11659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVIGCRIGDLKFEDDDEEFCSCCEDESELKEREVVVGETSNDDLGEFSVKMFFKGVSIAEPGDSGSSGISGIGVYMERSGCYPVIQLQKKLEFFVEESVANYLALMDGLSEAMRNNVRRVQAFTDSEILYDEITGDSILENPLLLALRQRILEHASTLDFFDLKYVLDIDLTRPLQLAKVAIGVISFPATGDESVDNCSICCEERPSPMMMTIKCSHKFCSHCMKAYVEEKLQSSQVPIKCPQLRCKYNISTRECRSFLPVTSYDIFERAYNFFNEANVLGSDKFYCPYPDCSALLIPHECSLARGSSSNQAGSICLECSVCQRFICVDCGVPWHSSMTCEEYQNLPLEERDTGDVTLHQLAQDKRWRQCQQCLRRIELTHGCYHMTCWCGHEFCYSCGAEYRDSGQTCQCTFWDEEQYPDDVITHPSQEFEQWAWDSFGSLSTMVDAYSEQERSQLALIQRFLAGGLSSGEHQPEQSPPSCTDSYGDSIKDLHQLPWLERFVSVISDNFYDEYNQ >KZN11795 pep chromosome:ASM162521v1:1:48140940:48147175:1 gene:DCAR_004451 transcript:KZN11795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPPIHFSVPPDPGGGPLINNGSEPPVPIYIVTDASQLPNEFLHPSPDRQLVIGLDCEGVDLCRAGTLCIMQLAFPDAIYLVDAIEGGETLIKACKPALESVNITKVIHDCKRDSEALYFQFGIKLHNVVDTQIAYSLIEEQEGRTRAPDDYISFVGLLADPRYCDMTAGVSYLEKEEVRFLLRQDPNFWIYRPLSEQMVRAAADDVRFLLSIYEKMVEKLNQKSLWYLSVRGALYCRCFCISDNNYADWPSLPQIPASLIAESAALEEEILSVLDVPAGKMGCVIGRRGASILSIKESCNAEIFIGGAKGPPDKVFIIGRVKQVRKAEAMLRGKMLEIY >KZN08528 pep chromosome:ASM162521v1:1:9763897:9771303:-1 gene:DCAR_001058 transcript:KZN08528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPEVEVRESIELNDKEVQIFDRLRDVLRHFRLDTQLRVAGGWVRDKLLGKECYDIDIALDNMLGREFCEKVNEYLISTGEETHGVGVIQSNPDQSKHLETATMRLYDVWIDFVNLRAEDYCENSRIPTMRFGTAEEDAYRRDLTINSLFYNINSRAVEDLTGKGIEDLRAGKIVTPLPPRETFLDDPLRVLRAIRFGARFEFILDEGLKKAASADDVRAAIADKISRERIGHEIDLMVAGNQPVKAMSYVSTLQLFWVVFNPPPNVNPPIPEEHERLCVAYMDAAWKVLQSIGGSFSGDQKRLSLYAALLLPLRNTVYIDHKSKKIPAVSYIFRNSLKLKSSDAETVLRLHEAAEKFMTLFPLIISNEEKISEVSWKREIIDVPVASKLRILIGLLLREIKDFWRPALLLSTILYYDDIDSSSSFEQSKLDKSIVLFNQVESAVTTQGLDKIWEVKPLVNGKEIMSVLQLKTGGPLVREWVEKLLEWQLAHPSGSAEECIDWMRQAQSKRARTE >KZN10872 pep chromosome:ASM162521v1:1:39790661:39794104:1 gene:DCAR_003528 transcript:KZN10872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASSLVSPLLSNDVVDGAVDYKNRPVNRSSSGGWRSAGFIIGVEVAERFAYYGISLNLITYLTGELGQSTATAAANVNAWSGAAMLTPLLGAFIADSYLGRYRTIIVASFLYVLGLGFLTLSAVFPFSSTNCESATNSLSCPPPLFQVILFFSSLYLVALAQGGHKPCVQAFGADQFDAGDLEERKAKSSFFNWWYFGLCSGTLAALTILSYIQDNLSWGLGFGIPCIAMSMALVVYLLGTMSYRFSINSDEKSPFMRIGKVFIIATRNRRLTSSAVSVNEEARGILPDQGSQQFSFLNKALISPDSLKEDEKVCSIPEVEQAKAILRLAPVWASCLVFGIVFAQSPTLFTKQGVTMNRSIGSNFQIPPAALQSCIYLPVLLFIPIYDRVLVPIARVLTRRPSGITMLQRIGVGLLFSVFSMLSAALVEIKRLRTAQDYGLVDTPDVYIPMSIWWLVPQYVLLGLADVFAMVGLQEFFYDQVPSELKSLGLALYLSIFGVGSFLSSFLISAIQKVSSENGRDGWFADNLNRAHLDYFYWLLSGLSALALVLYLYFARSYIYNRQHTL >KZN11425 pep chromosome:ASM162521v1:1:44532983:44533947:1 gene:DCAR_004081 transcript:KZN11425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTVKTSLQLMLKVFNSVAGLAGIAMIMYGLWLIRVWQTDMKGTSSEDQTSFPWFVHAFIGLGISFCAITCLGHFAAHTAYSHFLSGYISVMSILLFVETLMMAHLFLSLEWEKDLPEDPTVRFDDFKDYVESELSMFKWLAVLIVLTQGVSIILATAVKALRTDLEMSYGCDEDSVPHLLPYSSVQQLPSIVGGPYFAFADYV >KZN11988 pep chromosome:ASM162521v1:1:49891046:49892767:-1 gene:DCAR_004644 transcript:KZN11988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSKKRSKLSKRAAKEHGFFHFIFSKLLYRLPLTLLLLIVVFLWSSTTTIISGHIVHVCVSSRKLNNLYCLSAGAQPNFDIPIPLINASSVDDHNLEVSIREAAPSVDVKNNITLVPQDIARYVQESHIDDHKLEVQEDDRGLIVDNVVDNDKLSEIESAVKVVEEHLQVHRSWRSNSRSLTTCDGRGVYVYDLPPKFNKDLVAQCSDMSPWLDFCDFFKNEALGEPIPKLGKGWYNTHQYSLEPIFHSRVLKHPCRVYNQNEAKLFYVPFYGGLDILRWHFKNASPDVKDTLALELVEWLKLQKPWALNSGKDHVFVLGKVSWDFRRRDDSPWGSTFLNLEEMQNPVKLLIERQPWHVNDIGIPHPTYFHPHSDEDIISWQFKIIQSSRNNLISFAGGARPDSPDSIRSVLINQCTSADTGKCKYQNCSSGGCDQPESIIELFLASEFCLQPPGDSPTRKSVFDSLISGCIPVFFDPFTAYYQYSWHLPEDHGRYSLFIDQEEVRKSKVNVVEWLMKIPKKARDDMRRYIVYELLPGLVYGDSSSEFEQFQDAFSITMNNLLEKATRSE >KZN11319 pep chromosome:ASM162521v1:1:43664736:43665626:-1 gene:DCAR_003975 transcript:KZN11319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMALAHTLPSATLLLIIALFFMFTSVLGYNTEPSPPTLAGSKTVPGTTQQPETPALQVPPVLPLMPPFLPRTPSLQVPPILPVTPPTLNIPPILPVSPPTLNIPPILPVTPPTLNIPPFLPFAPPSSPETPTLNFPPFLPLTPPSLPRPPANPAPYILLPPPSFPETPTLPAPLLPVTPIPYLQSPPAFPFPFPPIQPGSPAVPRQDNKNACPRDVLKLGVCGDMLGRTMGPLIGSPPKMPCCRIMEGLLDFEAAVCLCSAIRANVMGTVMDFPVAISHVFNNCQKDLPSGFECE >KZN08653 pep chromosome:ASM162521v1:1:13097087:13099609:1 gene:DCAR_001183 transcript:KZN08653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGKYDSLDSLDDSKYEWKIRVRVIRIWDSYSNKGQKEFKGRNMLLLDDKHQRMHAFIWPNYLDEFKNMFVEGNVYSIRNFSVKTYRKESLRCTRYDKQIWLSNYTKVFPVEDEQKMERAIRPVEFDFFDIADIGELVKQSDNNFLIDVIGILMDKEVLRRFKNQREVEQCSFRFTITDGRASMPDFTYTPPTRAETPKTQIMTVAQLRKLDSKFNMNLVMCQVTVKSVEESKIWYFDACSSCGKEIEVVNGKYRCEECKRNIPFPEKRFRIYVIAEDLSGAAAFVVVDPEVENIIEKSVFDVLIDQSQEKQGSGFPSVLKLFEGNQYTFTIRLNGDNLDRASNTYFVTDISKGHEIMDNNVADEDSFSTGPMNVQFSDSASISIADFKTPDTEKSTNTNRLKRLSGDDVVLIASLSDDNDLAAGGVKEKESLTVKHVKKEKV >KZN11562 pep chromosome:ASM162521v1:1:45766730:45768223:-1 gene:DCAR_004218 transcript:KZN11562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTLFFIALLSLSAISAFADAAEETASAGPGLVMNFYKDSCPQAEDIIKEQVQLLYKRHKNTAFSWLRNIFHDCAVQRCDASLLLDSTRRTLSEKETDRSFGLRNFRYIETIKEAVERECPGVVSCADILVLSGRDGIVALGGPHIPLKTGRRDGRKSRADILEQYLPDHNESMTVVLERFAAMGIDTPGLVALLGSHSVGRTHCVKLVHRLYPEVDPALNPGHVEHMLHKCPDQIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLIVDHQLATDKRTKPYVKKMAKSQDYFFKEYSRAITKLTENNPLTGTEGEIRLQCNVANKHH >KZN10142 pep chromosome:ASM162521v1:1:32967734:32968042:1 gene:DCAR_002798 transcript:KZN10142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTMLGAEYPVVIFSKSSCCMSHSIKTLISSFGANPVVYELDEQVNGQQMERELKALGRKPVIPAVFIGKELIGGPNEVMSLHVKGKLVPLLLQAKAIWL >KZN11985 pep chromosome:ASM162521v1:1:49883590:49884102:-1 gene:DCAR_004641 transcript:KZN11985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHEDDERYSRRQAKRLRRFSFYTAEEEAEERLHGVCTKLKLYHDPWKIKKVLEQSDVNNLCRLMISRRMVQQHIVKVWERARRFEDVQSLEEGGGVGVKVWDCNXKEFELVLKKHVSTDCYVFCGTWRSQFVSERELQKGDEIGLFWCNYSHCFFFSVLARAPSPAPV >KZN10930 pep chromosome:ASM162521v1:1:40369426:40388153:-1 gene:DCAR_003586 transcript:KZN10930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTKTFKGTNVFMSRNLVPPELFDTLHVALKQIGADIFLCCDPLRNGPSDYHVIASMDHEKFDDLRSKGCNLLGPQCVLSCAKEHRLLPKQGYTCCLALDGVKVLASGFDMDEKNEISKLVTAMGGVLHMKASLDVSFVIVKSVLAAKYKWAVNILKKPIVNISWLYQCWKQHRIVPQDSFRVLPFSGLIICVTKVPAGDKFKVAKKWGHVHIVTRKWFDQSVSRRACLNEEAYAVQAISSTSTAKASLKVQHSQGKLGKHSICSLSSLDTDANFRTATHDGLVGSDVEATLSENMSSKFPDDAVSNKTEDTSATAMLPDGDTNFDGCVADDSQTDDNDLYLSNCRISLVGFEASQTRKLVTMIRRGGGSRHISLNENLTHIVVGNPSEIEKKEARGHAAAGVISVVKTIWLEECDREKKEVPVLRRHTGYDLLIPKDLLSSNKQSARTETALKEGKSFFPDDQVQQHLNPGPAASSDKSRAAEVIMNRDTSLGANCRYNESRNSSCNRIEKGYGKIQCGPSHQSQDANSAIVFKSKLFQFSSSFPEERKSEIVQWIIQGGGEVVSCQDDYAHFIVECHGAMAHNYKINDTASTTVSSHWIKSCLEEGQLLDVGSHILYSPLTCQIPFPGFQKFRFCVSQYDEKDRLLLRNLCFVLGAKFAEKLTKRVTHLLCKFTSGPKYEAACKWGILPVKCEWVYECIKQDKVVALDSFCPNEVTFEDREAGLCTVSQYPTQAVKMASGDGASQMTSPSLSIPTPSFNLFLKNTSIPSGFQLRRSRENRSFQRRRFGFVVAAQLTSHFHSATDLDSKSSDISHLPWIGPLPGDIAEVEAYCRIFRASERLHNALMKTLCNPVTGECSVSYGFPSEDKPLLEEKIVSVLGCMICLLNKGREDLLSGRSSLTASFSMSDVNISEDKLPPLASFRTEMKRYCESLHVALEDYLTPDDIERVGIWRKLQRLKNVCYDSGYPRQDGSPCHALFANWTPVYMSTLKEDIESESSEIAFYRGSQLTEESLKWLLVNGFKTIVDLRAEAVKDHFYQAMLDEAVFTQKVELVKLPVEVGTAPTMEQVEKFSSLVSDSRKKPIYLHSKEGVWRTSAMVSRWRQYMTHYRSPSPSNKAVLPNGIMLGGTTDMKNMQMSVKLKEDALDDKNGSMEVGPKTRYSSIGKLPKKDSQAPDESNQSDNGISGSLDTMSITPEVDVYKNESLIDDWSAVKPFDSQLPPSNVFSRKSMVRFFKNKKISPGRYFNNNHKRWMELCGSRGNYNGTILSSKSSQTNVKLVDQQRETSNGSAISYVNPGTNGTYKEGGSYTYQSSDPISTAPSDKMYTTRKSDDRISATNGLDKSAISSTMSSDQRNKTTATVSSQNDELGLIEGNMCASATGVVRVQSRKKAEMFLVRTDGVSCSREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGEELMEEAKEVASFLYHQQKMNVLVEPELHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHGSNLFRGAVPPFVSFNLGSLGFLTSHIFADYKQDLKQVIHGNNTMDGVYITLRMRLRCEIFRNGKSVPGKVFDVLNEIVVDRGSNPYLSKIECYEHDRLITKVQGDGVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPKDARSNAWVSFDGKRRQQLSRGDSVRINMSQHPLPTVNKCDQTGDWFRSLIRCLNWNERLDQKAL >KZN11178 pep chromosome:ASM162521v1:1:42330963:42341333:-1 gene:DCAR_003834 transcript:KZN11178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDAVVRHVPLNPCCELLQKKEYKLSQKLAKSEEGRNFLKKAIEILQDKIANFEAENVKLKEELELASTKPKDDGEKIIEESASRDTLENEISVLRSEISCLKQKGCSGSKDMDEQVAGLQTRVSEREEEISILKEHLEKERTRAENEKKRAERELKIANEALQSAKADKARAEKLQADNERELKMNESTIRSSLENEISSLKSEIAVLQQQTVSGSQNANKEAALLQESVSEHKAEIHRLKELLQIEKNCADFEKKKAEEMRKKLDDEKCRAEKVISESKTDLRVEESAVRVSLEDEISSLKSQITPLQKQTVLGTQDVNKDMVLLQDCISDKDKEVNRLQELLQIEKHRADSEKNKAEEERKKVDEKKVWAETMIAEKESEIILKESAVRLPLEIEISSLKSQLELLQQQIVSQAQDVSKNSGAQDVNKETERLISDKETEISQLKKLLQKEKKRADSEKKNAETEKCRAQKVLADRERDLKEKEQAVRLSLGIEISALESQIHLLQKEIAVRDEKKEVNLYQSLVSKKEAEINKLKKLVEKERKRAECEKKKSEAGKKKVNEFQKIADTEKTRADEEKRLADIERKNVEEARLQLEISRAEIHDLRANLKSESLKVLQANDNLEIEKQKVVKERQRADMEMAKAEEQSKTLETTRREIVEERTRADCLSLQLEEDRGRLTKLREEIGEQVSSQDTGKDAGDLSVDITSIKLLKKTLELERMQAKHAKEVASFEKDRNILLQQEIRRIKEAFSGIVDHLDILDKCSSHRDVGINDLEKNSNVSRSRGLKRKFMDEELCQVQHHNGNELMKSSSPLDAYVTHKHHKQCSAPLLSSKDCTEPLSGIGSKAMPLLGGTKQALLRTANSNMASFSDKALLGSQEGWALSVGESDKLAEGGINMQLTVPGSSNNMSKPMHNKIFAPLDENSVRCPLRINAVVDEVELDRDRNRSLDAVESFEYMYYEGKKWCRQITENVSVQQDMLECSVEGVPSNINYANQEREHSVFVKEIAMSNNLKTYSHPTDSRSMCDATGTTHACNEETGDASRNYLCSIDEVEDGDYLKLLSLDNPLDEETYRMARERPLSPTLPEIGSLSVGAHETDDNDSINAASPHNDTMVPFCSADEEIDSSKLNAYTSGTCHVLPFPEKVGVTGLNVTSENNDTFLTSDPGNLGQSFCGHKGLNAASGSKPKPACSPCYIVIFSDITSNNSISKISSATRTCLAQCSMLPQTDHVVQVMSTLLKVEGLLSREMVCVFFSMLLRSIPECALEDFKNLADGSLVRSFEIFAQKVHSVMDDLETKSVDELLNLDELLSLIEDFLIHRRVVHCDVLSESLAVNDPKLDNNASGRNMLSFQAASDQQLISASLVLASICLAFDHIGVVCETSYNMLKMRRIDSSTLAILHVFAYISGDKYFNNCDYSLSMTVVKSLVNFLEREMSSISAPQVGFPRCKNCPYSGNAIPMDIVVSLLIKKLHSYVLSNVSYEDLREATVYMNYEAPIGSENREPSSGDGENPQVQCHKFDVPCSQKTRMSTSCRTLLYLGDVLSLLELVASIMNWSWSFTNIVRKLLEILDSCGIEKLVPAIVVLLGQLGRFGVDASGYDDGGVETLRGRLSSFLHQKASAVTGLPFQIATVTALLGLVPIRLLLNNVASLRMDCALLQA >KZN08135 pep chromosome:ASM162521v1:1:3015685:3018402:-1 gene:DCAR_000804 transcript:KZN08135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWVKSLIGLKKPDKDDQARRALRALKGVVRIQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSLEGQAVQDMLNERRSNAELLREAEEGWCDSRGTLQEVKAKIQMRQEGAFKRERALAYSLAQKQWKAFQNADSRTNISMASHKNEFNKNSWGWSWLERWMAAKPWENRLMEKVQSDSSEMTPPPKAYADNIKSDLAKSSEQYSVKIRRNNVTTRISAKPPLIGQTTRSSSSPSSEFRYDKSSASSSFCTSTTPLSANTNMASDRTDDNNNVSKPSYMNLTEAAKAKQRNTSHRSMSRAEDEFQFLRKSAVFSDEDSKGSAGPEPSLVNMSRLLCKPASRVDRSSTKLKG >KZN09575 pep chromosome:ASM162521v1:1:27332438:27334816:1 gene:DCAR_002231 transcript:KZN09575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSHFNHQPSPNMLPPRQQPRSGGGLQTSLSLVPVDPSGSLNVQERVSNSDQVRESPTESASSRETWPNANSEALLAQKLEKERENGFTEHSVTRRVSSSDRMSLRDLVRERVDSIAEKMRRLPDEVLEKLKNEVRSLLEGTGGSQHREEFLFLQKLIQTRTDLTENTLRMAHRVHLEILVAIRTAIQAFLHPSVSLSQASLIEVFLYKRCRNISCGSLLPAEDCTCEACSKRNGFCNLCMCLICNKFDFEVNTCRWIGCDLCNHWTHTDCAIRNGHIGMGPSVKGGAGLSEMIFRCRACNRTSELFGWVKDVFMSCAPSWDREALIRELDYVGRIFRGSEDSKGRKLFWKCEELIDKLKGGLTEPVVCRAVLMFFQEFEVDPSKSSESEEGGGLIAPQEAFNRIADVVQEAVKKMEMVEEEKLRMVKKARLAVEACDQELKDKAREVATLKMDRQRNKQQIDELESIVRLKLAEADMFDLKASEARRESERIQRIALAKTEKSEEDYASRYLKQRLNEAEAEKQYLFEKMKLQESSRASHTQSSASGSDTSQMLMYNKIKDLLKNM >KZN09015 pep chromosome:ASM162521v1:1:19088128:19090331:1 gene:DCAR_001671 transcript:KZN09015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPSLDRNNSVRILYGFVVSRSFEDQANQENSNGVPGHPLLQSFDGRLALAISRLFVLKNQRYALNGMLVQDPSKGNDVDALFDQARQAGGVEGHGDHLQPSSSSRSFSGTARRLTGETITTAPSHPETVTHTITFWTNGFTIDDGPLRRIDDPENASFLESIRKSECPQELAPADRRTVVHVNLVKKEQECPGQGRTLGSNNDAVPAETTAPSNLQSAPPPAMGLVVDQALPQVGIWYTDVGWFLALIFIIQLEISVALLMHPDLLRQEAIIIMFLEGICGSWC >KZN09701 pep chromosome:ASM162521v1:1:28604875:28607361:-1 gene:DCAR_002357 transcript:KZN09701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRVLCICLTLLLFFCCCDSQAKIEPTTSGYTCNTNQTVSPCQTYVYYQAISPDFLDLASIADLFSVSRLMISNPSNISSPSSPLIPFQSLFIPIQCSCNTINTSMSISYAGLSYTIKKGDTYYLVSTKKFQNLTDYQSVEVVNPSLIPTNLSIGENVIFPIFCKCPRKGQLGNQTKYLISYVFQPSDNFTSIATKFGSDTQSIIELNGNKIKVFDTVFVPVSRLPILTQPVVAPVSPRNNERKGKVRGLSIGLAVCGILLILVCGLWGYREILIRKNRGNYNDAEKSKIGQKKKAVKVNIMADVSDCLDKYRVFGIDELVEATDGFDDKWVIQGSVYKGCINGELYAIKKMKWNACEELKILQKVNHGNLVKLEGFCIDPEDANCYLIYEYIENGSLRSWLHSSRSKELSWKMRLRIAIDVANGLQYIHEHTRPRVVHKDIKSGNILLDGNMRGKIANFGLAKSGCNAITMHIVGTQGYIAPEYLTDGVVSTKMDVFSFGVVLLELVSGREAVNEEGKVLWSTIDGILERRGGKTERLREWMDESLRIEESYTMDSVVNVVSVAIACLHRDPTRRPSMVDIVYALCKSDDLFSDLSQDGLSPRLIVAR >KZN08652 pep chromosome:ASM162521v1:1:13092232:13094392:1 gene:DCAR_001182 transcript:KZN08652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFNPLSTLNTTRTDWRIRVRVGRIWPRISTEGNVSVLPREFGFWDANSAANIKIVRDLHPMEMFNPLSTLNTTRTDWRIRVRVGRIWPRISTEGNVSGYNLIMIDNQSTDVHAYVKSEIWNHLPNKIGVGNIYEVSTFYVRPAFGRFRPTRSTVSVVFCMQTTVSRSSEAYCDIPKYKFVFAQMDEISQRAEEYNSEQTFAIDVIGVVENIQPLQIVNTPRGTVRLIKMIVGDGLLERDGYEDMLDV >KZN09312 pep chromosome:ASM162521v1:1:22836766:22842824:1 gene:DCAR_001968 transcript:KZN09312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFAAMSSAGSLIAPSGSVMDKKILSSSTKLSSFASISSRPFGRRQSVSVRKARPLVTAAAKELYFNKDGSAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGIIAEGVKVVIAGANPVLVTRGIEKTTKALVTELKLMSKEVEDCELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMTVEYENCKLLLVDKKVTNARDLVNVLEDAIRGSYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLSLDKADKDVLGHAAKVVLTKDTTTIVGDGSTQDAVNTRVSQIRNLIEAAEQDYEKEKLNERIAKLSGGVAVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIRDSLENNEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLASDDQKYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPEPAMPVNPMDNSGYGY >KZN09596 pep chromosome:ASM162521v1:1:27468346:27470773:-1 gene:DCAR_002252 transcript:KZN09596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMCSKVAESTGIGVNWGTISSHRLSPTTVVDLLKDNNIKKVKLFDADPGSLRALMGSGIEVIIGIPNELLGAISSLPAASDLWVAQNVSRYVGRGGINLKYIAVGNEPFLTSYSGQFQSYVMPALTNLQQSLAKANLGGLVKLVVPCNADAYEATIPSQGTFRSELMQIMTQLVSFLNSNGSPFMVNIYPFLSLYGNSDFPQDYAFFEGSNHPVTDGPNVYYNAFDGNYDTLVSALSKLGYGQMPIVIGEVGWPTDGAPGANLTAARAFNQGLIYHVLRNTGTPLRPGVPPVDIYLFSLLDEGAKSVLPGNFERHWGIFSFDGQAKYALNLGLGNKFLKEARNVKYLPSRWCVANPSRDLSGVANHFKLACTVADCTTLNYGGSCNDIGVNGNISYAFNSYYQITKQNSQSCDFDGLGMITFLDPSIGKCRFLVGVTEYSKSLGCGLDNRRVTGWLLIIWVVWILMK >KZN09168 pep chromosome:ASM162521v1:1:21203848:21205890:1 gene:DCAR_001824 transcript:KZN09168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEFMILSLLLALQIFIVVCMTTASASSAPEPTTKNSTESCPIDFGYVSRVPWPISDCKEVPNTSQSTNRSQCCQTLLSLFGIGIAQHLKRTSFFQLPNLPISVSCISDFQSRLSSLTLPHDLTSSCMDPGQFILKPNICASIQSTKDWRAKLGSSTALDTACKPDLTQLTACDACVAAGLRVQADLVAVDGILSDGSVVAVKKVLDSDFQGNAEFCNEVEIISNLKHRNLVPLRGCCVTGDDKDDDEKEEDRPTIVEALKMLEGDIEVPAISDRPMPIAHPSHYRDGNTFSISPAVSRLQLNSGDLLR >KZN09560 pep chromosome:ASM162521v1:1:27107541:27120704:1 gene:DCAR_002216 transcript:KZN09560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLPGSDPVAGSNKSPGWLPAGWTVTYKTRTSGATAGTVDKMLHFYRRQDGPELKATLIKQKIVKALKLCQRKQERKMWSNDQVGCLRIGQLLARLRLPVLLSGCSGAGATDRYYVEPTTGARFRSKVEVERYLETGSKNKLPSDTNATPSGTLNKNNEKSGTKKTGTKRKKEAVWSFDFKNPPEEVTWSLVDGMWKPSIGGEQVQESTAQEWAATFELEFHFWAPTFKWGISIANVADFAIPPEKLSYPQQIVVAVSGVIWSRYGVVIIPLKKSDLPAKTGKLYHEDINLDAHALGLFLTMQDCSFIMGSKFNANKAILLCQLKIFPDLQSPRQQHKAKTTVQNEIC >KZN12125 pep chromosome:ASM162521v1:1:51232034:51235396:-1 gene:DCAR_004781 transcript:KZN12125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADLAVVSYWSLLYIEDKDDEIFLMPSSPSLSRHIVVKVRVGSEKDAGQGLPKYVDFEKKKSVEAHTNDQTRISIHKRLLRVKTNDYGSYDPAPTFHKPKFKQIPN >KZN08905 pep chromosome:ASM162521v1:1:17510274:17510768:-1 gene:DCAR_001561 transcript:KZN08905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGITTTSGSLNTDLYQQHLQQLLDQRKSKRKMSNRESARRSRVRKQKHLDELMAQLAQLRKANNQLVMIMNVTAQGLLNVEAENSVLRAQVAELEARLESLNEIIGFLDIENEGFGINEEFCYNAVNDSADMFMLNVNSSMSYMYANQLPIMASADMLQY >KZN11276 pep chromosome:ASM162521v1:1:43328753:43329154:1 gene:DCAR_003932 transcript:KZN11276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTSKQHKNSKHDHAAAGAAAFSSGGQQQRRAHLNGRQRHLTTNPSISQGRHTTNNVHAAQHAQHKTAGTSSGVMTGSSAVPPSFGASSITTGAGASVAVVVVSTGASTGAVVAGSAGGAGGASSDMIGFVK >KZN09987 pep chromosome:ASM162521v1:1:31437188:31437660:1 gene:DCAR_002643 transcript:KZN09987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLPLSTPAPPHKSVLIDDIKMPDFKQFFESKGIQVEFAGVGAMRCEEHVTLRKVGDASQKDGGATIQQIGD >KZN11670 pep chromosome:ASM162521v1:1:46842460:46843744:1 gene:DCAR_004326 transcript:KZN11670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEIKENRAVAEHGKNEVKFLNIKKMLVPSLMAAALLTLSPVINPPASFGQTAEVHRGASLFNRACIACHVGGGNIIQPGATLFLKDLQRNGVDTEDEIYRVTYYGKGRMPGFGVDCKPRGQCTFGARLQDDEIRLLAEFVKSQAAEGWPNIEISGE >KZN10866 pep chromosome:ASM162521v1:1:39707480:39712018:1 gene:DCAR_003522 transcript:KZN10866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEKSLDFLCLNNGGGFEKGKLKLPLPFYVTFMNSLPSKLVLHGPSDDLWPCTFDKGDHRLHGLEEWMDHYKVNPYNVVRLHYLDGPDFGFEIYTQFAVEMNYPAVTSVPASKRSVYEVDKLCSKYLFNGFRNCVGKYNLSIDHSHFVEESYPKILANDASEKLGLAKFVPSIILGFEDYEWTISLKWANNFVQFGSEWVNFIKDAAVLVGDVLVLQETRYKGFFKVAIFDASIVSELEQSAVVTGTNPSPRFYKGFTKASLKSGELEIPYVFRRKFGETLGNVVKLYFGGKHTVEVGYSSSTGCVNGLLQIIKYFHLMENYILLFTYNGNSAFSVMVYDSQCMNHLRDVDGYCSIADFESTEVESGLDDGMDEDSPRNEIIVISDSSTDSSDSDDSSDSDDSSVSDVHDYDVEANFAFNVVLKRSHVDQRGHGVYIPPPFWTETRQWQNRTNVALVVGTVAWEVEILRFGNQARFSGGWNAFVSGNHLSVGSTLEFTYVGELRYSYYYSGYRTLDRGCGAIIATPDVLWSIFVKKKGSGVAQLPLISSYLKEGICCARFLYRAMRSMECSGIVADKFCIRLDYNDTLSNELTIPSSFCSKYSSFLTDSMELKVRNGYVQPIQIDMEKCLIKVTIGDAGWRFVIACPNGDAVFDEIHPPVAFVERCGFALPMQIQYVLSNGKNFVGSYDSTNSRFTGLSYMFEMLGLAFMNGVHSFLFTYDGTSRIFISSFDSELNELVFPGTPLSEDANGCGPFVGKCFHVKLESKNMFDDCYVVEILDDFTGLSEVWQNFQCINIYSGSCRWRLVTRNRDGHNYCAIVDGWQRLRDDLGLEIGDICLFQCPMQCYDQFSIQVLKFDG >KZN11248 pep chromosome:ASM162521v1:1:42997832:43002580:1 gene:DCAR_003904 transcript:KZN11248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERKETNPHGLGGEKEDSFNASSGHKDSSSRHKYSFFRKRDKSKGTSHAQEKQDKSGSNVPSPKEIPDYICRDKYCTVHRRDDFGTSNRVPNMNYCGGSNYSYPRMNSFVPPPQNHGLVHARTIGGIVDYSSAHGKEEKVAIKMAIEDCCAFVPLHRCVGLHFMNSGKDPLEAAASAKKLIQTKNVSAIIGLDRWEEAGFVAKIGNTSQVPIISLASDIPLSALKQWPFLLNMARSSRAQMKAVAAIVQSWQWRKVTIIYEDTISSVNDIFPYLIEALSEADVAIDYYLPLQPLSPSSIQEKLRVLQSRQSRVFIVHTSSNMAVNIFLEAKRMKMMKKESVWITTDGITSFIDSLNSSTILAMQGVLGVKGFSPFRSQVRYKEFSRRFKAKLRSKYPTKKNMDPGSYALNAYDAVYTAVLAIEGKPNPQSLANILNNNTAVQSGQELLARILDRKFMGLYGEVNFKGGALAPSSTFQIVNVIGRSYLQLGYWSEGSGFSVKIDKGSSYSKSMKILGQVNWPGRASTVPRGWWPVARTANRLKIGVPGNSTFKGFVNVTYEQPGGKATVKGLSIDVFIAVVGDLPYSLDYDFVPYYGSYDSLVKEVYLKTFDAVVGDTSIMASRCEYAEFSQPYSDSGLQVLVYTKGKTSATRAWLFKKPFTTWTWIFTAVINLYSGFVVWFIERQTNRDLRGSWFKQCGTIIWIAFTTLFTSLQGDQLHSNLPRMAAVIWLFVALVITSSYTASLTSLLTIQNLNPMVTNVETLRRTGAKVGCDGNSFVVKYLVDVLKFEPHNIEKIYSEDDYPKALKSGKIAAAFLEVPYIKVLLATNCDGFMTGETFKVGGFGFVFPKAAPLLSDISEAVLKASENGTIRNIENSLLSSYKCSEPDGDAEYSLGLDSFWGLFAITAAASTLALLLFLFPRALPKWPNLKGVVIETEPEQGMHSLLRASASSQHDPVDDERVLFNAELNRQ >KZN08428 pep chromosome:ASM162521v1:1:6609444:6609870:1 gene:DCAR_000974 transcript:KZN08428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCCSSHIQTPGLCSCGFSPVLRTSWTDANPGRRFWGCSQYVKNRSRGCNFHMWHDPAVGDRAKKIIPGLLRRIQRLEDEIMRRRNKEKMLFIWLISALVIICFLVIVCVVIVL >KZN10530 pep chromosome:ASM162521v1:1:36650204:36651658:1 gene:DCAR_003186 transcript:KZN10530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSPVSIESPSTTDLGIQAGVYGALMALTYANGASTSSTGLYSGADVSGLVVASSFAASLYFMTKKNVKLGKATLITIGGLAAGAVVGSAVENWLQVDIVPFLGVHSPATVMFGGKPSSHMGGLLN >KZN11453 pep chromosome:ASM162521v1:1:44789248:44790227:-1 gene:DCAR_004109 transcript:KZN11453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFNKELVNAPKELNSPLPPMEGSAKRVTQKPKQVDPQECLKGFLSAHSNAFSLSFANNGAALAFAPPAHPNQRLFSGVDDIYCSFLGSLNNLSSLNKQYGLSKCGNEALFVIEAYKTLRDRGPYPVHQVLKDLEGSFGFVLFDTKASTVFVSLSGDGGVKLFWGIASDGSVMISDDLDLIKASCAKSFAPFPTGCMYHSEGGLMSFEHPTNKLMAMPRVDSEGLMCGSNFKVDVYSKTKSMPRVGSEANWAAVWGQQAEHL >KZN12100 pep chromosome:ASM162521v1:1:51033307:51037483:-1 gene:DCAR_004756 transcript:KZN12100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRRLSVLTCHLQPLSSPNSIISLANSHSSSSDDNDINKDNNPSASCVFCKIISGDSPAFKIYEDDTCLCILDVNPLSPGHSLIIPKGHFSCLEATPPSVIGAMCSKVPVISNAVMKATGCDSFNLLVNNGAAAGQVIYHTHIHIIPRNARDCLWASESLQRRPLKCSKEASNLVECIRKKLSSLESYKADRSDQGSTSLIGNLREH >KZN11477 pep chromosome:ASM162521v1:1:45024283:45024792:-1 gene:DCAR_004133 transcript:KZN11477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSYGPGGIYGVQVFDACTDLTGKPILICQIFKYGRNTAIEGDSTSLKAPMPCTLEEFEDWICNKRAIGIAVLKSKLEDFIRGRKACVLGSRMGGDVEEILTLYYNNDVLKTDTTELTAMYKRITEGKYNHSMKTRELYKEMTPGQRRKTIVTLQSPSKEEGCSNPFE >KZN10590 pep chromosome:ASM162521v1:1:37273224:37277442:-1 gene:DCAR_003246 transcript:KZN10590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVLNKDWINCHRKVPINFVQIVGHQIQNGCKYITSSNIGAFICIKCSGVHRSLGVHISKILSIKLDQWTDADVNALKELGGNTAVNYKYEGSIPDNFTKPKPDSSIEERADFIRRKYELQQFLRADELINCPLPYPLPSSSRSCSVEKNSNNRSYSLGYVIRNSWRKSESKSTKKSNSTVGMVEFLGLIKVNIVKGSNLAVRDIMSSDPYVILALGNQSVKTQSVKNSLNPVWKDMIMLSIPENIPPLKLRVYDKDTFTYDDYMGEAQIDIRPLVSAAKAADNVKSGESMVLGKLIASKENTLVFDSNISLVDGKVKQTITLTLDNVERGLLEIELECVLLTQ >KZN12066 pep chromosome:ASM162521v1:1:50745985:50747963:-1 gene:DCAR_004722 transcript:KZN12066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHILAYDFNLYHAPEVRSGALRQPLILLPGQEFTFTIRRGVGTTDCVSVNYDDFANDVDAGDMLLVDDSIPNLQSIIAASDGAMVARGDLGVELPGDEVPSLQRAEVLDIAIAVKEGSDAVILSGETAHGKTCSCKWRPIQ >KZN08511 pep chromosome:ASM162521v1:1:9476535:9476861:-1 gene:DCAR_031708 transcript:KZN08511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNTPNPPNIIVPAPDPTKQCAEKSVKPISEAPFKAHPSWIKKMQDTAQWEWDHLYMEWDLRELLNIGKFTIME >KZN11989 pep chromosome:ASM162521v1:1:49894995:49900208:1 gene:DCAR_004645 transcript:KZN11989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQIYKHCLSQPSHAVIIFCKLHQIEFEEIEISLANGQQYTPEYKVINPMGKLPAIVHGTYKLSESHAILIYLASFPGVADHWYPSDIQKRAKIQSVLDWHHSNLRQTAAYVMNSSAAPLLRRKLNLEAAVINRKVLLKSLKMIENFWLNGDGKFLLGNSQPSIADLSLACEIMLLELVPDRDQILSPYKKVLQWIEDTRNATNPFFDELHSLMFELKAVLTVESSVAEHSEARRKLRTLLSKLLNGIEFEEIDVSLAKGQQFKAEFKAINPMARVPAIVHGSYKLFESHAILIYLACTFPGVPDHWYPADIQQRAKIHSVLDWHHSNLRLGEAGYVLDSRLRPLLGGKLNLEAAAVNEKLLSKSLAKIETFWLRGDGKFLLGNFQPSIADLSLVCEIMQLEIVPEIDRNRILSPHKKVLQWMEDTKNATNPYFDELHSFILELKAVLKADSSVGVTEETKQRSQAVLSKL >KZN09595 pep chromosome:ASM162521v1:1:27467174:27467477:1 gene:DCAR_002251 transcript:KZN09595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQNKTLSIALIWLLVAASFMMCAYASAETSARPQNVDPRFPSLAGCRCCNFILVKNFIQCGTVCCQDGCCGRK >KZN08321 pep chromosome:ASM162521v1:1:5236624:5245277:-1 gene:DCAR_000867 transcript:KZN08321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQKLYCKEDLVKILVPGRVRVAVRLRPRNAEELASDADFADCVELQPELKRLKLRRNNWDSDTYEFDEVLTEFSSQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGDEDTAARGIMVRALEDILSEISPETDSVCVSYLQLYMETIQDLLDPSNDNISILEDPKTGDISLPGATHVEIRDQESFLELLRLGEAHRFAANTKLNTESSRSHAILMVQVKRSIKGRDSAVSNENGDKSHMHRTLKPGIVRKGKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSSHVPVRDSKLTRLLRDSFGGTARTSLVVTIGPSPRHRAETSSTISFGQRAMKVENMLKIKEEFDYKSLSRRLDIQLETLIAEHERRQKAFQDEVDRLSLEAQKRIDEAERNFADALEKERLKYQNDYVESIQKLKDQWVLHQENSGDGRGKASVISASEQVTEVKLLLEKEVSIRKRAEEELSNLKYQLMQRERSEAAGSSEILKLRKTLESETQQKEKLEEEIAILQNQLLQLSFEADKTRRQLDQNGDVEVPNGIDSPVSQARNQQLQESGHGEKASVAKLFEQVGLQKILSLLEAEDPDVRIHAVKVVANLAAEETNQEKIVEAGGLASLLMLLSNSDDETIHRVSAGAIANLAMNETNQELIMAQGGIGLLSIAAANAEDPQTLRMIAGAIANLCGNDKLQMKLRDEGGIRALLGMVRCRHPDVLAQVARGIANFAKCESRASTQGSKHGRSLLIEDGALAWIVQNANNDASPIRRHIELALCHLAQHEVNAKDMVIGGALWELVRISRECTREDIRILAHRTLTSSPIFQGELKRLRIGYN >KZN09786 pep chromosome:ASM162521v1:1:29361965:29366645:-1 gene:DCAR_002442 transcript:KZN09786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGRDVSSSRPTSSGVVAERGRDGGGEGDLSIASGRREKVVVEKGEKREGLGKIQNGGDQKEQKEGSRGERRRKPNPRLSNPLKNVHGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKIDKIGQGTYSNVYKARDTVTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPTIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLLDNSGTLKIADFGLASFFDPKHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFRPQQSYKRCIADTFKDFPASSLPLIETLLAIDPAERLTATSALRSEFFATQPYACEPSSLPKYPPSKEMDAKLRDEEARRLRATGKSNADGVKKTRTRDRAPRAIPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDATLGYPLGSSHNIDPAFDPPDVPFSSMNFSYAKAPMQTWSGPLQDPAAVGAPKKSKPSKKDSQRTRHSSRTRQ >KZN09181 pep chromosome:ASM162521v1:1:21397548:21398165:1 gene:DCAR_001837 transcript:KZN09181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLNRFFLLVACFAVIEIIMAGDPDILTDFIVPSNVTSVNSSLFTFTGFRALLGGESPPAFKVLKASMAEFPALNGQSVSYAALQFPAGATNPPHTHPRASELLFVVDGTLEVGLIDTSNKLYTQTLQTGDLFVFPKGLVHFQFNADAKKSALAISAFGSSSAGTVSIPSTVFATGIDDNILALSFKTDVATIQKIKAGLVPKP >KZN10992 pep chromosome:ASM162521v1:1:40914482:40915672:1 gene:DCAR_003648 transcript:KZN10992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCEKQEASVFCCADEAALCGPCDRQVHHANKLAGKHPRFSLIHPSPQDIPVCDICQERRAMVFCREDRAILCRECDDTIHKANEHTERHNRFLLSGVKLSPLEPSFTCQTSTSSNGSSYNNINAATSESITEINTTPIYDDNYHMQNHFQSQDYGITSGSTSSISEYLMETLPGWHIDEFLDPLSSQYGFCDKGTNNYMSPYIDDSLQGLLGPISSQDVVPQAPPLSDQAHFYETQEFNLGPVKVKSENEKNSKKGGKKWSRDGSAVIDKSASTKKSKRFW >KZN08797 pep chromosome:ASM162521v1:1:15634442:15637451:1 gene:DCAR_001453 transcript:KZN08797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVCLPGFNKMDIKSRRLRKNYSLVDYQGNRVDNLQTSTCLNAHLALVVSAPYRIAIHHGLYYKHAIDLLGQQCYMWLPYVKPQLHDVWRLNVAKAGTMCTETARPGLRLPQEAPFQNPASTISCSHAETSSLNSQPPEDVSLTRIPLSNITNEKESPFVQRRKWLSRASKSNLESTTRNLFDDSFANQEPSTKYFADDDIGILFSFVFPLFADVIFVAYPQSVQQFSTLFYRMIRTVIFHVVNIQLLSYKIYFQFFQAWYTF >KZN08379 pep chromosome:ASM162521v1:1:5873251:5877060:1 gene:DCAR_000925 transcript:KZN08379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKESKHDNYHPLLRGGRRDPKSYTHGFSSTQIETLSSVCEAFLPPLSSTHHNQHMPMALSQLSGADSPIPDEVAEKLVTNCLPEAVKLINVVLMLLSTRLGSLLLCGFACLKGRWPFILKFSELSVKQREESLLKWSKQSFLIPLRLAFVVLKIICCFVTFSLTDENYKNRTLESIGYRVNKEDKNLNKTKKERPLERGIIEMSCADDSTLINSLVSKGLEVKKDVEDNVYRVKCDVVVVGSGSGGGVAAAVLANPGLKVLVLEKGEYFVPEDYSCLEGPSMRELYESGGLLSTIDGKTMVMSGTTVGGGSAVNWAATIKTPEFVLRDWAVNQKLPLFGSAEYQEAMNIVSKRLGVTENCTEEGFQNKVIRKGCENLGLKVERIPRNSSEDHYCGSCCYGCKTGDKKGTDSTWLVDAVGNNAVILTGCKAQKFILEENKNGKTERRCLGVMANAVSKKITVKLRIEARATIAAGGSLCTPPLMIYSGLKNQHIGKNLHLHPVLFAWGYFPEPISEITGMSHEGGILTSLHKVESQESNFRALVEAAALGPGAFAALFPWLSGMSMKVGMARYTRTATLFTLVRDQGSGRVKSEGRIRYWLDDIDRENLKTGLRRALKILVAAGAVEVGTFRSDGQSIKCEGIKDGDLEEFLDTVTAAQGPESKGECWTMYGSAHQMSSCRMGATDQNGAVDENGESWEAKDLFVFDGSVLPTAVGINPMLTIEATAFCLSKRLANSLKIGG >KZN09037 pep chromosome:ASM162521v1:1:19336965:19338713:-1 gene:DCAR_001693 transcript:KZN09037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQIRFSFAFLATIFILLPYAQSSLGTTGDINWWCNQTPNPKPCTYHMSRIPQSASTPISRKQFLTMAAQTALDGVISELAYIKSLEPRVLNNAERSAWSHCLLSYNLTANFLRNILDVKTKSKASDVQVRLSGASTNIFSCRDGFADVNETTNIYPLVISNNVTELITNCLAVNKVLFEEEKRFRPKEFRKSFPANNSVFDEPDCVVAQDGSGNFTTVTEALEASTDREDVSQRYVIQVRQGTYEEYPVVRAEMKNIVLVGEGMDNTIITGSKSLPPLADCSTFQVYGDGFIAKDITFENTAGMDAGQAVAVLSQADQAVFYLCGFRGNQDTLYAETQRQFFRECEIYGTVDFIFGNANAVFQQSTIYPSNTDRGLVVTAQGRSGLNESTGTVIQNCRIVAAPDFTPEGSPAYLGRPWKDYSTVVIMQSFLDSVVNPAGWMEWEGADPGRDSTVFYAEFDNSGPGSDTDERVQWPGYHNITDPDELEQYSIDNFIDGSSWLPDTGVPFDLF >KZN09172 pep chromosome:ASM162521v1:1:21241644:21243869:1 gene:DCAR_001828 transcript:KZN09172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLSILRRRSALSLSSIILSPSLSSSSSFSPAHLSHSTPPNPNPPPFLPSLLRSFRSTNISLARNAYPNDDDTKFGPDDILFEGCDYNHWLITMDFPKDPSPSPEEMVETYVQTAAKVLGSVEEAKKKIYACSTTTYNGFQVQVSEEVSKKFEGLPGVVFILPDSYIDPVNKQYGGDKYDNGVITHRPPPVQYGRQGGRFGDRNREFNRPSRPRGEYQQRDQAFDNRGPSQRDQAFDNRGPSQASAGNFRPPQNPTPQQNYGPPRVAPVNNSAGGQDNYQGQMRDQMHPNQGNYNQNQRGDSYPQGRRMSSGEFNNNAPQQGINWQGAGGNHGQTAGGNYGQTAGGSYGQGAGGSYGQGAGGNYVQGAGGTGSYVQGAGGSYGQGAGGSYGQSAGGSYGQEGGGSYRQGAGGTYGQGVGGSGQGVIGNYGQGSGGYSGHVSGSNFGPGAGSNYGRQGTTGSYGQGIGGDVPVQEKFPNSGHNNPVHGESQRFSEGEQMNDFQQVQQ >KZN10822 pep chromosome:ASM162521v1:1:39331865:39334034:-1 gene:DCAR_003478 transcript:KZN10822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGYGDASQKMDYVFKVVLIGDSAVGKSQLLARYARNDFSLDSKSTIGVEFQARTLLIQGKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDISRRQTFDHIPRWLEELRGHADKNIVIILIGNKSDLEEQRAVPTEDAKEFAEKEGLFFLETSALEARNVEDAFLTVLTEIFNIVNKNNLVADEDQSNGNAPPPAGKQILVPGPGQIIPPKRSMCCYS >KZN09355 pep chromosome:ASM162521v1:1:24048781:24051074:1 gene:DCAR_002011 transcript:KZN09355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSWEDFLISEEDIPTNTHEPEGSKFTGGEVYSDEEVNSDKELSCHSQNDLNSSDGGSDFLDTGSMFDDDEIVFDTIELLSSEIFI >KZN10078 pep chromosome:ASM162521v1:1:32406908:32408454:1 gene:DCAR_002734 transcript:KZN10078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDSVDLASPAALHQEKSTRITEPSSPISSLTSDKRLWSSLRSRVDTILENHSPVDRPLLTQSNGEKSERLDRAKLMKEDALLLLRGFDSVSSSLSQLSANLTYALQGARDLSRPPTLFELVHSTLENTDSKEEQSSDDQEEEKEIKGSSRGLKRKMDSQEGAENEGEDNTVIDDEQSLEESGKLKKCKNIANSMADKAASLARELRSVKSDLCFMQQRCALLEAENGRFRDGYTEGIRPEEDDLMRLQMEALLAEKSRLATENANLTRENECLNQLVEYHQLTSQSLSESCEHDKSRGLCLDFSSPPTSHSGGK >KZN07969 pep chromosome:ASM162521v1:1:1101074:1101772:1 gene:DCAR_000638 transcript:KZN07969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRDQETPLAPEAHRIAMNVSDNTNNNDNNNNRFSPPIKDEKRNRSRCLKCCGCTTIVLTVLGVTILILALTVFKAKDPKVNLNYVRIKGLETVTAINLVPNTNLTIEVELSIKNPNAVAFKFKNVTTGIYYDNVLIGEAYNPKGTAKANKTFRVKVTVDVLLQSFLRIPRFLGDLAAREVPVTTRSNIRGKVEIIEIIKKTVGVKLNCALTVTLADQNYKDLDCKRSVSI >KZN10537 pep chromosome:ASM162521v1:1:36696729:36697112:-1 gene:DCAR_003193 transcript:KZN10537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKEKSGFRLWRDRKSAKVSRRKTAGALQDAEEGVVSCQQNGQGQVTRVKILVKKENLEKVLQAIRANTGKSSTIEAASSSLYLEECINAMKRRRALRANQVKLSCRNDSSWRPALDSIPECRVA >KZN10202 pep chromosome:ASM162521v1:1:33503362:33504356:-1 gene:DCAR_002858 transcript:KZN10202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSRSLSLAFSVFLVSMVLVSATGNEYSSQKSSSEEILTKVIGIQGIIYCKTASQLVPIKDAIARITCLAVDKKGYETAPFSILSKPADAKGYYFAKLSPAELEKGWRLTQCKVFLEKSPLKSCNVPTDVNHGKTGATLSSPRLLKTMNLYSIPAFVYTSDAPAVAAIPPVPRGY >KZN11807 pep chromosome:ASM162521v1:1:48253330:48260307:-1 gene:DCAR_004463 transcript:KZN11807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYSGEPSGGDKGLDAEDEGTTPIPERVQVGSSPLYKVEKKLGKGGFGQVYVGRRTNAPVPHDRTGAGAVEVALKFEHKSSKGCNHGPPYEWQVYGTLGGIHGVPRVHYKGKQGDYYIMVMDMLGPSLWDIWNNKSNSNTMSTEMVACIAIEAISILEKVHSKGYVHGDVKPENFLLGPSGTPEEKKLFLVDLGLATKWREGSSQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPEALCSLCPSPFREFIEHVVNLKFDEKPNYAKYISLFDGIVGPNPDVRPLNTEGAQKLVVGQKRGRLVMDEEDEDQPKKKIRIGMPATQWISVYNARRPMKQRYHYNVAEGRLAQHIEKGNEDGLFISSVASCLNLWAIIMDAGTGFSSQVYEISPQFLHKEWIMEQWDQNYYITAIAGANNGSSLVVMSKGTQFVQQSYKVSDSFPFKWINKKWREGFFVTAMATAGSRWGIVMSRGAGFSDQVVELDFLYPSEGVHRRWDGGYRITSTAATADQTALVLSIPRRKPPDETQETLRTSAFPSTHVKKNKMKRNQMKRVVYALKNMRLIARSNMNAHDQVAKAKVAAVGLRILVQQLPLHNLTDCSKLSSNVVVKSRDLLKLSSSGRSCISEDHDGRYSMNLCCLCRKKLRADKDVYMYKGDQGFCSVECRSRQIYLDDIADLEASTKKILASTYKNGVFTEDFHHHYSMSQRNRRLVL >KZN09012 pep chromosome:ASM162521v1:1:19054179:19055466:1 gene:DCAR_001668 transcript:KZN09012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWSGAIGAARKKLEETEAPPKYESVALVIGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPSWNADHPIEYIQCDISNTDEAQSKLSQLTDVTHIFYVTWSSKPSEAENCKSNGHMFRNVLNAVIPNAINLKHVCLQTGRKHYLGPFELYGKVAHDPPYSEDLPRLNVENFYYTLEDILFEEVEKKEGLTWSVHRPGNIFGFSPYSMMNLIGTLCVYATICKHEGVPMRFPGAKAAWEGYSDASDADLIAEHQIWASVDPYAKDEAFNCSNGDVFKWKHLWKVFEEQFEVKCADFEGEGLCLVDLMKDKGPVWDEIVKVNGLTPTKLEDVAIWWFVDMVLGIECPLDTMNKSKEHGFLGFRNSKSSLITWIDRMKGYKIVP >KZN09851 pep chromosome:ASM162521v1:1:29976603:29995806:-1 gene:DCAR_002507 transcript:KZN09851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKCSPSADAPSANMKSLKLSRKSNEKSQLVVTEGSQMEGDKDIDLREVYFLIMHFLSAGPCQRTYGQFWNELLEHQLLPRRYHAWYSRTGVVSGDENDDGSSFPLSYNKLVERYPHIEKDHLVKLLKQLVHGATVPQGLVNGNALNAAAVPTLLGTGSFSLLSNEQSRSSGKPPPKYMRWPHIQADQVHGLGLREIGGGFSRHNRAPSVRGACHAIAKPSTMVQKMQNLKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSFNNTLVASASNDFIIRIWRLPDGLPISVLRGHNGVVTAIAFSPRLNSPFQLLSSSDDGTCRIWDARHSNFSPRIYVPRPPDHVAGKNGGPSSSTIQQNHQISCCAFNSTGTVFVTGSSDTFARVWNACKPNTDDPEQPNYEMDILAGHENDVNYVQFSGCTVTSKLSMSDGQKEDNIPKFKNTWFTHDNIVTCSRDGSAIIWIPRSRRSHGKAGRWTRAYHLKVPPPPMPPQPPRGGPRQRLLPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTDSTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGLPIQIYELGRWKLVDGKFSSDGTSIILSDDVGQLYILNTGQGESQKDAKYDQETQLPPYRRNKQDLLCDSGFYQFXLLCDSAMIPYPEPYQSMYQARRLGALGIEWRPSSVRFAVGEDISLDQEYQMLPIADLDMIDPLPVLLLDAMDWEPENEVQSDDNDSEYNVPDGNFSGGEQGSLSSHSSGGQECSTEDSEAENTYEDELRRSKRKKQKTEIEVMTFSGRRVKRKNLDEYDDNSIKNHRSRRTRHDRKASRKKSSSTKLLRPQRAAALNALNFLSQITGTSTAREVGEGSIGDLSDSESMLPNSSNASEASDDSQKSERNPQTKGKEVVSADESENLERARTCHESAGSRKKLVLKLPNRNTNKTCSENIGLKCVERPDIAGSSTPAPCEEDSLGRSRSLKLQCPSNNIDFINVEANENDQHSKHKNPFNLFEGCNGNVRWGVVKSRTSKRAKMGDLLPPGTSEGIASCRDGHNKTENIIEGNFIPDNLGATTAKSDTHIKGRNMVMSELHHTESNITNGLDNVISNKDQLDVDCCNNHDESQKFQEVDDQATSSVACDIWTGKAPEQKEDLTPTPRKLSIVSRTLPHEDQSSSKMKMKYLVKDPCDNADNLLESSSDPEQKAKDNATDRCQRLSSEWGCLNGVSEDSLIGASSGSVLPDSPKLSQDKRYAAVYRRSKSSRSRSNLEGAMEASTSNVGKPGQDEAVAASEGTRRTRSMGPTSTASDLNNVSGNGHYREARNVSSDTLITNGCDQLSVQDWKLTSNVTVGLRSTRNKRTTYYHRETSSPDRKKQQQLAKGSWLMLTNHEEGSRYIPQMGDEVVYLRQGHEEYISQSRSREKAPWKVLKGEIRAVEFCKVEDLEYSVLTGSGESCCKMTLQFVDPTSIVLGKSFKLTLPEVTGFPDFLVERSRYDAAIERKWACRDKCQVWWKNEGEEDGSWWEGRIQAIKPKSSEYPDSPWERYVVQYKSEPTETLGHSPWELYDANTQWEQPCIDDLIRHKMLRAFAKLERLGNQGQDSFGVHKLREVSQKLTFVNRFPVPLSLEVIQSRLENNYYRSEEAVRHDIGVMLSNAESYFGKNADLLAKMKRLSEWFTKALSSL >KZN10264 pep chromosome:ASM162521v1:1:34010346:34010732:-1 gene:DCAR_002920 transcript:KZN10264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFVETPEKIRELNEEEEEEEVIDDDDDEKEEEKIETFFALVRGYQEARNRRRNELKELETRNTKKIKNDSGWVPAFRWEDFTHENERKCPLAFQIGRAGLVSGIDKKKEKVEDEYNEDELDLTLAL >KZN11296 pep chromosome:ASM162521v1:1:43491391:43496354:-1 gene:DCAR_003952 transcript:KZN11296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSSGQSFSGPSEIKSIKLSKREGDRGPPGFAPRSDAHNVLSDASLFSSSLPVLPHGKLKLHDAEDGFRPVDDLSSTLNEVYTDGDAIDMLKDVEAHAIGSLLPGDEDELLAGIVEGFDRPGLPNFMDELEEYDLFGSGGGLELESDALDNLRLGVSNFNLSDSFPGAGVAQYSLPNGVGAVAGEHPYGEHPSRTLFVRNINSNVEDSELRTMFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNDDLLQIFGAYGEVKEIRETPHKRHHKFVEYYDVRASEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLGQDIEQDDSRSFRLQVGASIAHSPPGNWSQYGSPVEHSSLQPVSRSPVLGSMSPVIGNGLPGLASLLHPQLSNPGKIAPIGKDIGRGSQGDHTYINGNLNSGVGFQQSHSLPEPKMNQFSAISSSFGVSTSNGSGIETLSGPQFLWGSPNLKPEQSNSSMWRPPPVKQPFNSNGQYHGFTYTAHHGSNIGSSQPSHHHHVGSAPSGLPFERQFSHYKESSDMLFMSPPAFGGMGLSHTGKSFVGPRSSGNTFIPENGTQNFSMISSARSNPMFHGNGHFPGLSPINMEVLTERGRSRRAEQNATQMDNKKQFQLDLEKIRSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPMHIIPFYQVSEEPLTSGSLNVQIARSKLPGSDSREPPGSTAKDGAEESSFVEKF >KZN11520 pep chromosome:ASM162521v1:1:45428303:45428598:1 gene:DCAR_004176 transcript:KZN11520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENNPNWMFDCGLLDDVPVPGGHLPSLEPRFQWPTNAFPDSTVLRCLMDV >KZN08230 pep chromosome:ASM162521v1:1:4149149:4156726:-1 gene:DCAR_001295 transcript:KZN08230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLDQKLDQPMDEEAGRIKNMYREKKFSAILLLRLAFQSLGVVYGDLGTSPLYVFYNTFPDGIKDTEEIIGALSLIIYSLTLIPLLKYVFLVCRANDNGQGGTFALYSLLRRHAKVNTVPNQHRTDEELTTYSRSIFHENSFAAKTKKWLEANGFRKNSLLMLVLVGTCMVIGDGILTPAISVLSASGGIKVDHPQMSNDVVVIVAVVILVGLFSMQHYGTDKVGFIFAPIVLLWFILIGGIGMYNILKYDRKIVRAISPVYIYHYFRRGGKRSWTSLGGIMLSITGTEALFADLAHFPVSAIQLAFTVVVFPCLLLAYSGQAAYLMKNSEHVRDAFYRSIPGTAVVIVMLATTFLMTLIMLLVWRCHWIIVLIFTVLSLLVECTYFSAVLFKVDQGGWVPLVIAAAFLIVMYIWHYGTVKRYEFEMHSKVSLGWILGLGPSLGLVRVPGVGLVYTELASGVPRIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDDFEKKLFASLFLFVRLESMMEGCSDSEEYSLYGQQTQQSRDMMLEDNPNTNISYADSTISTIDSIVPANANHTGMSSGQVSSHTEIDETEFLNASRDAGVVHILGNTVIRARRDAGFHKKIAIDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >KZN11327 pep chromosome:ASM162521v1:1:43710789:43711307:1 gene:DCAR_003983 transcript:KZN11327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQKVQHSPSSNSPPRRNEIDAPALTQIPENSPEPKTAKTFPCRYCDKTFSTFMALGGHQKGHKRARGAHAGRAQTSLYRPYPATSRPNSGSDSMANKQSYLSMESSSSSTNTWRLLQEFYAKIPVKSAPPSLNCVPPAATALSLGVSSTPKTSEEVKEEDASGLDLDLKL >KZN09236 pep chromosome:ASM162521v1:1:21930173:21930523:1 gene:DCAR_001892 transcript:KZN09236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKVIAICLSGGEFEKDEDGNLFYIGGDAHAMEVDDEMTYDNFITEVAEMFNYSTMAMSIKYFLLDNKKTLISISNDKDLKRMIKFHGDCNTTDIYVMNEEVVTADVSSMPGSR >KZN09339 pep chromosome:ASM162521v1:1:23446362:23450501:-1 gene:DCAR_001995 transcript:KZN09339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIMLHTVLEVYTCFPVVIFFGQLRSQLRTDFYASSCPKVFRIVRKEVQNAITNEMRIAASLLRLQFHDCFVNGCDGSIFLDGDDSEKLAFPNRNSARGFEVVDTIKTAVESACSGIVSCADILTIATRDSVLLSGGPNWKVFLGRRDGLVANQTGANSNLAGPTETIQSILTKFTNVGLNLTDVVALSGGHTIGSSRCGVFNTRFFNFSGTGAPDSRIETSMLSDIQNTCPAENGDGNKTVPLDRNSVDLFDNQYYKNLLDGQGLFASDQSLATGNETLTETTRPIVELYSQQNQRFLDDFVTSMIKMANISPLTGTDGEIRKNCRKVNS >KZN10671 pep chromosome:ASM162521v1:1:38096085:38097861:-1 gene:DCAR_003327 transcript:KZN10671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMISEFLSSVSFFWLLTTTAFLLLLFLLPLCHGYFSKQILENLPPGPPRLPILGNLHQLGRLPHVSLYRLSQQYGPVMHLRLGQVPALIVSSSEMAKEVLKVHDTKCCSRPDSYGMRKLSYNQKDISFSPYGDYWREMRKLCVIEIFTVKRVRSFQHVRDQEIAKFVALISKEALDPDTKLIHLDKKIFSLAKNIICEVAFGSSFHGEKFKEDEIQKTIQDVMKVTSGFCAADFFPYYGWIIDLLSGFRHKMEKCFTEVDKFNESVIKEHLDPSRPQLNHQDITDILLALSNDETAALRLSKDHIKAVFVDLFLASIDTSSGTIIWAMSELSKNPRVMRKVQAEIREITGNKSQVDESEIEKLKYFKMVVKETLRLHPPVPLLLPRESMQFCKIGGYNVYPKTRIFVNAWAIGRDTNTWYKPEEFSPERFEDSEIDFKGQHYEFIPFGAGRRMCPAMTMGLASVESILANLLYCFDWQLPDGMKPEDINMEEEVGLTINKKFPLQLVPIKHEIQT >KZN08266 pep chromosome:ASM162521v1:1:4627732:4629372:-1 gene:DCAR_001331 transcript:KZN08266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFFKQFGTIKRLRIARNRKVAKIVAETMHNYLLFEHLLQVQLIPPERVHPKVWKGVSRWYTAPDWVQIERSRHDKERTLEGQRKLLERIMKKDQKRRKRIEDAGIDYKCPEIVGSNQPLPKKIKFAD >KZN08512 pep chromosome:ASM162521v1:1:9534085:9534357:-1 gene:DCAR_031707 transcript:KZN08512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMVAIRFYAFSKLTKNSHSQTRKCTIDYKSRCARVLLNAESVNKLEYMRGFDELKREVNHKSETVYPYNFYYSTPFLLIICSNNMILMF >KZN10003 pep chromosome:ASM162521v1:1:31548804:31558886:1 gene:DCAR_002659 transcript:KZN10003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELERELGKRGLSYSNQADKGRCLFSTRDFSPGEVIISQEPYVSVPNRTSGDSRCDWCFSSSNLKRCSSCQVVWYCGSICQKSDWKLHQLECQALSRLDKNRLKSLTPSIRLMVKLFIRKKLQSEKIIPATYMDNYKLVEALVSHMSKVDEKQLLLYAQMANLVNVILQWPDISIKEIAENFAKLAANAHTICDSELRPLGTGLYPVISIINHSCLPNSVLVFEGKMAVVRAVQPVPRGSEVLISYIETAGSTITRQKTLKEQYYFTCTCPRCSNLGQSNDIKESSILEGYRCKDAKCNGFLLRDSDNKGFICQQCGLVREREELKIVLGEVKSTTEKASMIYSSGNRAEASALYKMIEKLQLNLCHPFSLDLMRTRETILKISMELQDWEEALAYCKLTIPVYERVYPEHHPLLGLQYYTCGKLEWLLGNTEDAIKSLTKAVKILRNTHGKNSPFTKELLSKLEEAQAEASYKLSSVGY >KZN09915 pep chromosome:ASM162521v1:1:30720169:30725510:1 gene:DCAR_002571 transcript:KZN09915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKREAEDVAEKQQVSAKKQKQNEAALKKAVAEKIEEVKIPEKTEESGSEDGSDADDSGLEDDEIETAPVSSKGEDDASKGETDDESGDDEMPEGAEAEDGSSEEEGSEEEASESEEEEKKTPKLDANKATKTPSTPQAAATGGKTLFMGNLAFSVEISDVEDFFRNAGEIGDVRLAEDRDGKFKGFGHVEFATAEAAQDALKLNGADFMGRPIKLDMAREKGAYTPASGNDKGGKAQGQSQTVYVKGFDTSDGEDQVRSALEKHFGSCGDIKRVSIPQDFGGNMKGIAYVEFSDSNATSKALKLDGSELGHGTLTVQEAKPKDNSSGRGGGRGGGRRGGGGRSGGGRFGGGGRSGGGRRGGGGGRGRGRGRY >KZN11170 pep chromosome:ASM162521v1:1:42273052:42273378:-1 gene:DCAR_003826 transcript:KZN11170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQCSSTMLKAIFLLSFLVATALIGTSEARQLTEKPEENAGHAAKVMEPKENDAVAHVTQTSEGPSSPSFPFNIPPFPRLQIPGLPPCPLPTFPFPLLGGAPPPPRN >KZN11081 pep chromosome:ASM162521v1:1:41573717:41574037:1 gene:DCAR_003737 transcript:KZN11081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESSPTLNKIMTNFSCFILASTTLFFFMLTVIISPTQHTLPPSTMKSLVAESNVKSFKEDGNAQQNVSITGRRGGPCKSVKWRERINNANAHEVPSGPNPISNR >KZN09682 pep chromosome:ASM162521v1:1:28407988:28410664:-1 gene:DCAR_002338 transcript:KZN09682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLLVQLLILWVLFSVSVASNDFSGCNCDEDEGFWTVEGITVCQKVSDFLIAVAYFSIPIELLYFISCSNVPFKWVIIEFIAFIVLCGMTHLLNGWTYGPHTFQLMLALTIFKLLTALVSFATAITLITLIPLLLKVKVREFMLIKKTWDLGREVGIIKQQKEAGWHVRMLTQEIRKSLDRHTILYTTLDKLSETLDLQNCVIWMPNETRTEMNLTHELKGGNISGLYNLSIPISDLDVRKIKENDGVKILDPQSALAVRSSRGTAEPGTVAAIRMPMLRVSDFKGGTPEIIQACYAILVLVLPSGQHRSWGDQEIEILNVVADQVAVALSHAAVLEESQLVREKLGEQNRALQQAKQDAMMATQARNAFQTVMSNGLRRPMHSVMGLLSIMQDENLSSDQKTLVQTMATTSDVLSVLINDVMDASPKDNAKFPFEMRYFSLHSMVKEAGCLAKCLCAYKGHSFSIEVERSLPDYVMGDERRVFQVILHMVGNLLKGTNKQGRLTIRVFSERGSQGRNDQRWGTWRTNSFDGQVHVKFEIGIKNNDALMNSSGEERYWNKRIEEGLSFGICRKLVQMMQGNIWVVPNPVGFDQSMALVLQFQLRPSIVVGVSETGETSSELQNSNSLFIGRQVLLADHDDVNLAVTRKLLEKLGCVVSVVSSGHECLAALGTSASPFQVIFVDLHMHDLDGYDIAMRIRKFRSRNWPLIIALSASDNEDVWEKCLQVGINGLVQKPVLLSGIADELRRVLIKTNKVA >KZN08788 pep chromosome:ASM162521v1:1:15392160:15411071:-1 gene:DCAR_001444 transcript:KZN08788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGSSKSETDDESPSSSSSPSRIARLRQKLHDRRHRKRKADIPHSKLLHSSDFAGIALLNIISAEMKLKDKWLACVSFGEQTFRTSISHQLTISSTSYLFCSLVFVFRLRIMLIKEAVYSLIIISFANCAIPVYSTTKPTWNSEKKFLLERNGPRIARISVFETNRVSKNNIVGYCEIDLFEFLARESDLDTEVFDLLDPSSPDVIVGNIAVSCSVEDPVETEKGFARRILAIVDYNEDGMLSYSEFSDLINAFGNQLASNKKEELFKVADENGDGVVSIDELAMLLAIQQENHFTYINSLLSFQDQINMADVNHPLEHFKTFNEFFIRELKPGARPIVSPECDDVAVCAADSRLMAFKTVEDSMRFWIKGRKFSIQGLLGSEGDHSAFIGGTLVIFRLAPQDYHRFHFPVSGTIEQFAQIPGALYTVNPIAVNSKYCNVFTENKRTVSIISTEDFGKVAFVAIGATMVGSITFTKEKGDRIRKGDEFGYFSFGGSTVICVFEKDAIEIDEDLLAKSSRSIETLVRVGTKLGVSIKKQTQMQMPDIKNLVVGSD >KZN09389 pep chromosome:ASM162521v1:1:24493077:24494279:1 gene:DCAR_002045 transcript:KZN09389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVNSFYCFSIFLVILLVNQSVANVVQNEAVSGSDDHASKETKATIDGIEDSKVAGWRPGVHGFPWSGRGGFHGPGEAFPWAGAGGHHGHGEAFPWAGARGHHGHGEAFPWAGAGGHHGPGEAFPWAGAGGHHHGPVPGGWFHWGRLPWAGGGGHGGAGGYGGGAGGNGGAGGTPGTPGTPGGNWLPWGGRGVPGGGEGGQGGIPWFPWGGQGAHGGIPGTPGGIWFPWGGRGGHGGFPGGTPGTPGTPGTPGRGGHGGFPGGTPGTPGGRGGNGGAGGGGRGGNGGNGGGGGGGGGPGGSPGSPGGPNCGGNGGGPGGSAGGCGGRGGAGGGSGGGRGGGWGVRPQPGIPHPGNAACGNMQIVPGTHGYTITYDCGNCKYQYTVDNNGMATGGSGNCS >KZN11543 pep chromosome:ASM162521v1:1:45598774:45601200:-1 gene:DCAR_004199 transcript:KZN11543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFLPVSEVLIKYLPGIRYNLGTKAANVPIWTVGGGLRVSLNSTFKLDLDGKLVLSNDLDGLVVWSSNTSNLGIEKANLLNNGNLVLVDSKNRVVWESYNSPTSTLVPGQSLHFPQNLRAPSAKSTVSYYSFVIRESGELALVWEHNVTYWRSHSSSSAAVKEARFDNDGVLGLYDDANKMVWSTSSKDFRDPSVRLRHLRIDQDGNLRMYSWDSFVQTWRVGWQAVENQCTVFGACGLYSVCGFNSSGPVCDCLFSDSNEWATGAPATDFGNSGCKKMIDLSNCKMRTSMLVMKQTVLYGLYPPHDVDLMLGQEACRAYCSNDTTCIAATSKNDGSGLCTVKRTSFISGYQTPSVPSTSYLKACFVPQAVSARGANPHDAGLIYLSPGKPGAERGSSRKFIGTIVIIILGTLLIILVLQMFAFWFLHKRRQMKAGKRIPFGKDEQMNPHYSALIRLSFEEIQELTSNFKNQLGPSVFKGQLSNRSLVIAKVINNPVVSEKEFRVAVASLGRTHHRNLVSLTGFCFEAKHKIILFEYVPNGSLDNFLFNVDHDKSNTDWQHRLDIAIGIARGIAYLHSECQQCIVHGDLKLENVLLDEKLVPKLTNFGLFKLKEGAATSESSPERDIYMLGEILLQIVLCKRNVAVGQQVFEQLNKEKELYEIEDARGVERVVRIALWCMQSQPFLRPSIGEVVKVLEGTLSVDSPSSALIFRRQSTMEEEAVEKIEIEA >KZN10614 pep chromosome:ASM162521v1:1:37596340:37598591:1 gene:DCAR_003270 transcript:KZN10614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESNGKSTKIPEIKFTKLFINGNFVDSVSGKTFETIDPRTEQVIARIAEGDKEDIDIAVKAARAAFDHGPWPRLPACERGRIMMKFADLIEENVEELAALDTIDAGKLFAFGKALEIPSAVSTLRYYAGAADKVHGNTLKMSREFQAYTLREPIGVAGIIIPWNFPSIMFFYKVSPALAAGCTMIVKPAEQTPLSALYFAHLAKLAGIPDGVLNVVTGFGPTAGAAISSHMDIDKVDFTGSTEVGRLVMQAAALSNLKSVSLELGGKSPLLIFDDADVDTAADLALFGGFYNKGEICVASSRIFVQEGIHDKIVEKLSEKAKNWVIGDPFDPSTRHGPQVDQNQFKKILGYIEHGKREGAHLLTGGKTHGEKGYYIEPTIFTNVEDHMMIAKDEIFGPVLSVMKFSTIEEAITRANATRYGLAAGIVTKDLNVANTVSRSIRAGVIWINCYTAFSPDCPYGGYKMSGFGRELGMEAMDKYLQVKAVVTPLVNSPWL >KZN08172 pep chromosome:ASM162521v1:1:3456980:3458770:1 gene:DCAR_001237 transcript:KZN08172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVDQIAVGIDLEVYLGRNVNNAVVTVPAHFNDSQRQATKDAATIAGLNVLQVLVEPTAAAVAYGLDKNLTSSVGEKTVLVFDLGGGTFDVSVLKMRKDSFEVKATAGNSHLGGEDFDNRLLNHFVEEFERKHKKDIRRSAKSLRRLKNACEKAKRVLSHNTVTTIDVDSLYEGIDYSSKIMRARFEELNMFLFQSCMDIVKKCLEDAKMDICSVHDVVLVGGSTRIPKVQELLEQFFNGKKLSKNINPDEAVAYGAAVQAAILSGEGSCKIKNLVLLDVTPLSLGIGDSRGLMSVIIPKKTTIPISKKKQFSTPTDDTTCILINVYEGERTKAGDNNFLGGFVLSDLPRAPRGEVKIWVTFTIDANGVLHVSAENKATGLMNSITIDKRGTLTKEEIERMVKDADRYKVQDEELRRKVDKMQELKEYLYKTRDLAERNHKLDAYVKTMISRYFKEAVKWLDANKNAEVDEYEYQKQQFKAVCNRFKVSGSSV >KZN08003 pep chromosome:ASM162521v1:1:1359037:1362970:-1 gene:DCAR_000672 transcript:KZN08003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSASVVLRTDSMGLHNVFVYGSLQAEEVVKVLLKRIPRTSPATLNNYDFLPFSHRFSIKERVYPAILPVADKKVTGKVLSEITDPELLVLDAFEDVEYERQTVDVTLSDTSEKLKVFAYVWRNSTDPALYGEWDLEEWKKVHMKDFVKMTMGFAQELEHPEAKPRVEAYESFYQQDKKKTP >KZN09918 pep chromosome:ASM162521v1:1:30762975:30765139:-1 gene:DCAR_002574 transcript:KZN09918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRTAYKLDTSNHGSDLAGETAAAMAAAAIAFRPYNSSYSDLLLVHSKQLFSFADRFRGLFVDSIPNTKKFYASSGYEDELLWAAAWLYQATREDYYLKYVADNAVSMGGTGWAVKQFSWDNKYAAVQILLSKVLLEGGGGAYTSTLKQYQAKADYFACACQQKNDGYNVPMTAGKNPKSMSYIVGYGQNYPVHVHHRGASIAPISVLHSPVGCVQGFETWYRLPGKNPNVIYGGLVGGPNKNDEFNDDRANYEQTEPTMSGTAPLLGLFSRLSKINGSPRAGSNHQETPTSNTNSSPSSYPKEAPTPHPTSSSPVEFLHSITDSWTAGGTNHYRHRVIIKNTSQKTIKNLRLKIENLTGSLWGLTPTQEKNIYELPEWIKELKSGSECKFVYVQGGPQAKVLVQSYY >KZN11564 pep chromosome:ASM162521v1:1:45787454:45788563:1 gene:DCAR_004220 transcript:KZN11564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSKPSLSDTSPLSFSSCLNPFQLSNHSSTVSTPSRSSSVTPVHCNLRELRDRISSVTNTQKITEAMKLVAAAKVRRAQEAVVNARPFSETLVEVLYSINEQLQTEDVDAPLTNVRPVKKVALVVVTGDRGLCGGFNNQIIKKAEQRIKELKSLNLEYTVISVGKKGNSYFLRRPYIPVDKFLEGGNLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSSPVIHTLLPLSPKGEICDINGTCVDAADDEFFRLTTKEGKLTVERDVVRTETIDFSPVLQFEQDPVQILDALLPLYLNSQILRSLQESLASELAARMTAMSSATDNAKELKKNYTQVYNRKRQAKITGEILEIVAGADALI >KZN08360 pep chromosome:ASM162521v1:1:5651853:5652476:-1 gene:DCAR_000906 transcript:KZN08360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVKDYDSDSQANSGSQQTSSGPKPMKDKQTKVEGRGRKIKMPAACAAQISQLTKDLGFKSSGETVEWLLEREEESMVQGPGTAIPSANAAGATSEALEVPEKKKAEAVGQDGVPKTSGFAPGNPNPVFVPGKGFFMVPEDGGEPQQVWPVPLALTRGIGMRMPGPYAPGWSSVSSDGMTFECPGRNGEFLEFWGSLILFYKVSCV >KZN09654 pep chromosome:ASM162521v1:1:28162768:28163396:-1 gene:DCAR_002310 transcript:KZN09654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLKVNDPFVIKAFAKTYPDTKFVKFLADGSAKYIHALGLELDLSEKGMGIRSRRFAAVVDDLKVKTINLETGGEFSVSGAEDLLKTL >KZN09076 pep chromosome:ASM162521v1:1:19969077:19975998:-1 gene:DCAR_001732 transcript:KZN09076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCT-1 description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase MSILGFSSSSSCSSYLLHPSLPNLQTPFQSFPSLSFSKISSFKATQYPKFTNAVHSTINCSATASQLTKGSDESLEEKGVEAKSVSVILLAGGKGTRMGASMPKQYLPLLGQPIALYSFYTFSLMPEVREIIVVCDPSYRDIFEDAKEKIQVNLKFALPGKERQDSVYSGFQAVDSNSTLLCIHDSARPLVSSIDTKKVLIDGWLIGAAVLGVHAKATIKEANTASFVVKTLDRKTLWEMQTPQVIKPEILRRGFELVNREGLEVTDDVSIVEHLKLPVYITEGSYTNIKVTTPDDLLLAERILNTTSS >KZN07921 pep chromosome:ASM162521v1:1:685423:687740:-1 gene:DCAR_000590 transcript:KZN07921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGFSLFFAPEAPGTNLGDLPESCVASVLENMEPQQICQVAGLNRAFRGAASADFVWESKLPVNYDEIFRRLFGDFPKEICKKDLYSVLCHPNSFDDGHKKAWLDKSCGKICLAISSNGLSITGIDDRRHWNRIPTEESRFSSIAYLQQTWWFEVNGEVEFPLPAGTYSLFFRLQLGKSAKRFGRRVCNSQNVHGWDIKPVQFQLSTSEKQQATSCYLRKSGSWNYYHGGDFVVEKSNKPTKIKFSMMQIDCTHTKGGLSVDSVVVYPVELKERLMRF >KZN09740 pep chromosome:ASM162521v1:1:28937697:28938134:1 gene:DCAR_002396 transcript:KZN09740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPPESVQCFGRKKTAVAVTHCKRGRGLIKINGVPIELVQPEILRYKAFEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAYYQKFVDEESKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KZN11300 pep chromosome:ASM162521v1:1:43526976:43534108:1 gene:DCAR_003956 transcript:KZN11300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGLLPLLKSIMVPIHIKDLEGCSVAVDTYSWLHKGALSCSKELCTGQPTTKHIAYCMHRVNMLRHHGIKPILVFDGGHLPMKGEQENKRARSRKENFERALEHESGGNRSAAYECYSKAVDITPSIAYDLIQVLKQENVAYVVAPYEADAQMTFLAVNKLVDAVITEDSDLIPFGCPRIIYKMDKFGQGVEFHSSLLQQNKDLNLTGFTKQMFLEMCILSGCDYLQSLPGMGLKKAHGLVKKFKSYDKVIKHLKYSNIAVPPLYEEFFRKALLTFQHQRVYDPIKADIHIAKGIATGELDPFTKLPFQGKCVTTVSVLDGTGQPYNTKPEGERKKIDLPVQKNLLTNYFCFASLEAKRKFRVPRVTSNNPEMSIKVNTSEDNNETALSYNMDCSRPALAYSGELENSDPTKDSVLNDVAPNSSLSSELAHGTNGKEVDLGSPQSPFLDQPRHSSIYPCMVVNKEQQQHMESDTVMGKTRIEQKKVIVRSSYFQKKSAKGNGLENKDGELLLKDSYSAARSVSSIHKYEYKSTFDRTENEPIFEQGHMKSDTVMNKMRVEPKNVIVRSSYFQKNSAKGNGLENKSGEMFVKDSNHTARSVSTIQECQFKSALDRSEGETTLEDRDTILQSPIKSVITADRENTNETMLIDVAASDSQATATPVHEFKSAKDAALCKTGAESRKVVVRSSYFKHKAEKENDTIHDAGNKHYTGTNSKRKLDDNVQIDITTRKCLRANESSPIQSTCTLDPEDSMETKNEQDKFGCNISHIDRYSDIAGKSVDKFAALISSFRYTAGSRPSGLRAPLKDVRNTCTNSGMNDAADLNKFAYVPTKRKELSASPHSPVKDVPNTCTKRGLSDAADLSKFAYVPTKRKTLSAPPRSPLKDARNTCTNM >KZN08541 pep chromosome:ASM162521v1:1:10111957:10112157:1 gene:DCAR_001071 transcript:KZN08541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCKSCAREVDSERQASTSVYMHARNDERGEAQCAFRELHPGSGGVLTGGEAELVAAGWPSWMV >KZN10341 pep chromosome:ASM162521v1:1:34717708:34724682:-1 gene:DCAR_002997 transcript:KZN10341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQAIRGGGSGLQFLNNPFGDTTYTKVFVGGLAWETKSETLHSYFEKFGDILEAVVISDKHTGRSKGYGFVTFSDPEAAKRACVDPNPVIDGRKANCNLASLGRPQPSLPYVRLRPAVPYFGSPRLNAGSPSYQQPVPYSYQPGFPYPPYGVSIALIWAILSHICIVYQVLLVQMPFPXXPYGQLGQPGTLGYRPIQGFVMPGGPGPHLMQYGRPNVSGAISETIPTVQAPYFTGMSPSMGQARSISSVNLSQVPRNSGSD >KZN09769 pep chromosome:ASM162521v1:1:29208952:29210262:-1 gene:DCAR_002425 transcript:KZN09769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNETDKNIQIWQIKKLIKSLEDARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTKDFEPFRPINSSLYLCDNRFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFSRLRMEKRHNYVRKTAELATQHYINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFTQAIELSSEILSNVKFIQEKRLINKYFGEISQDTGKYVFGVEDTLKALEMGAVEILIVWENLDINRYVLKHSITNEIIIKHMNKVQEADQSNFRDAAVNAELEVQDKMLLLEWFADKYKNFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRTFDDLSDDEVYDDSD >KZN11235 pep chromosome:ASM162521v1:1:42888212:42894958:-1 gene:DCAR_003891 transcript:KZN11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGERDSKRMKKEQEENKESVPVLPWMRSPIQVDSFSNCPLDKVPMLDSRLAVALQSSGIGSLFAVQLAVWHETIGPGSFERDLCINSPTGSGKTLAYALPIVQMLSTRAVKYLRALVVLPTRDLALQVKEVFNTIAPAMGLSVGLAVGQSSIADEISELIKRPKLEAGICYDPEDLSEELQSSVDILVATPGRLMDHINNTKGFTLEHLHYLVVDETDRLLREAYQSWLPTVLQLTRSSGGSLFPHAENFVPTTFGSIKTTRRMGVERGFKGKSYPRLVKMILSATLTQDPSKLAQLELHHPLFMTAGKIRYQFPEQMQLFKVICEPNFKPLYLVALLQSLHGEKSIVFTSSVESTQRLCALLKFFGDLNIKIKEYSGVQRQALRSKTLKAFRAGEVQVVVSTDAMTRGMDVEGVRNVINYDAPPYIKTFIHRAGRTARAGLVGRCFTLLHKDEERRFKKLLKKADNNSCSGHSITPDSLDPLRSVYASALAKLKESVESETYKKRKAGIRLSHMGKRY >KZN11853 pep chromosome:ASM162521v1:1:48741151:48742436:1 gene:DCAR_004509 transcript:KZN11853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNLFRFFALLSVAFVASHAARTFEDYWRSVLPDTPMPKSISGLLQSPDQHTGKGDIVVKSENKGKPVYVGVNPVLSPFDYLYAASVNQLHSNPNVALFFLEEDLLQGADMNLHFTKSTTPTHFLPQNIADSIPFSSIKLPEILSKFSIKQNTLESETIKNTISECEAPGIKGEEKYCATSLEKMIEFTTSRLGKKVKALSTDVEKESEMQKYRILGSEKLGEKVVVCHKQNYAYAVFYCHKTNNVKAYTVFLVGNDGTKAKAAAICHTDTSSWNPKHLAFQALNVKPGTAPVCHFLPEDHLVWVPY >KZN08174 pep chromosome:ASM162521v1:1:3463767:3467647:1 gene:DCAR_001239 transcript:KZN08174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLLSIACIRQKNYGDLSPSPHYPSMPKGVTPEHEKLLRGSESMALFSVSGMSCSACAACIEKAVKRLPGIKNAGVDVLNSRAQVLFYPSLVDEETIRETIEDAGFSARLIEEENSDSSTKVCRILIKGMTCSSCSTTVESVLQALPGVLKAQVALATEEAQVRYDSKIVTQSKIFETIEDSGFETILVSSGEDLSRIELKVDGMETGKCTRIIENSLKALPGVEDLAIDPGLNKFSVSYNASMTGPRNFIQVIQSTGSGRYKAYIYPEGEGGGGRDAHKRQEIKQYFSCFLWSLIFTIPIFLSSMVFMYIPGIKHVLNRKVVNMLEIGGLMRWILATPVQFVIGRRFYTGSYKALKHGSANMDVLIALGTNAAYFYSVYMVLRAATSPHFEATDFFETSSMLISFILLGKYLEILAKGKTSEAISKLMNLTPHTATLLTLDIEGNVKNEEEIDSRLIQRNDMIKILPGAKVASDGIVMWGQSHVNESMITGEARPVAKRQGDTVIGGTVNENGVLHIKATHVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPMVIALSVFTWLAWFLAGKFNSYPKSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGATQGDNWGTAMSIAKEVGIDTVIAEAKPEYKAEKVKELQASGYTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRRTFTRIRLNYIWALGYNLLGIPIAAGVLFPSTGFRLPPWIAGAAMAASSVSVVCCSLLLRYYKRPKELDSLEMGGITVE >KZN09416 pep chromosome:ASM162521v1:1:24787177:24789683:-1 gene:DCAR_002072 transcript:KZN09416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAFSVVGSSMVDTHTGPCLCLDSLVSNHVNSLSKKRDMPRPGSLELSSSFVDSKKFSTKALSRIKSSKKQRKTRSLVIVNEVAGQYEDSFEDVKAQMINYFTYKAVRTVMNQLYEMNPTEYRWFYDFVVSNKPGDGKRFLRILVKEKQDLAERVMVTRLHLYGKWIKKCDHAAMYNEISDQNLELMRERLMETVIWPSDDTNTEKIG >KZN08494 pep chromosome:ASM162521v1:1:8743679:8753807:1 gene:DCAR_001040 transcript:KZN08494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISEAASAIKSRFGFHDRNSEHNPTPIRSTPDVQTSKQQLLNSSALRNISSSDQTCFQIYEDPSFWKDHNVQVIIRLRPLSSSEVSLQGSGKCVRQDSNQTVTWTGHPESRFTFDIVADENVSQEMLFKVAGVPMVENCISGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEKEARREEKIRYTCKCSFLEIYNEQILDLLDPSSINLQIREDTKKGVYVDNLTEVEVSSSRDVLQQLVQGSANRKVAATNMNRASSRSHSVFTCIIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSISNGKSLHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCSSETLSTLKFAQRAKFIKNHATVNEDASGDVLAMRMQIQQLKKEVSRLQNVVNGGAENLESDAWSVSFPGSPGSFKWEGLNGSFSPFKVDKRMSQKREYEVALVGAFRREKDKDMALQALTASNVAAMDLATQREKEVQGLKMRLRFRESGIKRLEDLASGKISAETHLLKEKEEYLQEIEMLRTQVDKNQDVTKFAMENLRLKEEIRRLKLISEEGEWERMNEQVTILQNKLLEALDWKLMHESDPSTNQRIVSEMVIDASNDINMLPSPKEPTSPWKAGVNEENEFLRMQAIQNQSEMESLRKKLNSCIGEKDKLERSLNDLSKELEAERSLQVAMKEDKQNSQLQFPPLISDQLITVASNDQIELKTMVDAIASASQREAKAQEIAVALSKENDELRMKLKILIEDNNKLIEIYEHAVAENTNKTNPLATEEEKTNNQSGHVIGFAEETVLQSKEVEDLQHQLAEMHEENEKLLGLYEKAMQERDELKRMLYSAERTTPINQREDINCLEMLARVGEGDSIDFNESSTPDKTVESAEADPLASYVHDEEKGTQLVDIPAETKFLQEESLFEVKVHDDYSIYSGSPRINDYLDIDEMPSFVDARSVIECDFMDHDFQETAPSVELEASGEDKMSTGLAQLNKSSDDTRIRVSKDLYSDLDKVQDMLSTSSSTISAYRSLESAVIEIGELSRKIEALDDSVIVKQQEHKSLKILSSEILENGSLIEKKLMALKYSVSNFSSSIDYFEQREGQARTILDQLISNINQKKEELRQLEVNRSKTEEAKKAIQQSKVELKSNIAELNSKIEEENRRLESEKVLIAIDDNKLEVHQWRGSKATDLLKAEEDKSKLQLQMKQVRQKLGLLREEYEDLGRKFEKVDYQTQLVRKEIHKGSEKVKEAEQKLQSIIEEKEFIQQAKDEGMTELENLIFEYQQYFFEYQLKGEELIILDEELQIDLEILEKLQTARSTAIKMNAQLLDEMGHSGSLSDNIVKELQKVRVFIRELKSLFGDDCSLN >KZN11366 pep chromosome:ASM162521v1:1:44030494:44030984:-1 gene:DCAR_004022 transcript:KZN11366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGDDDGCQCRPLGFLLGLPFAFVALILSLIGVVIWIVGLALTCICPCCLCVTVLVELAISLIKAPFSIIKWFTEKIPC >KZN08513 pep chromosome:ASM162521v1:1:9562329:9563238:1 gene:DCAR_031706 transcript:KZN08513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVQAFNPSFPSNFESSTGHRTRMIYIPSTNYEEIMFQESLNRIDPEEADLACTQSILRDLKKLRREGKQARRERRRERRQRRRERRERREMMEMMDMDDGDGEREGL >KZN11778 pep chromosome:ASM162521v1:1:48008649:48012862:-1 gene:DCAR_004434 transcript:KZN11778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPTIDTIIKPSLTESEEMLLTNSIKMLLNGLQSEVSNLQDFEMHFHRLIRGHKDPPVEFLCFFLAIKYRSLIGTDPICAANDLFYWSFWLSPSCNALTRVAAVAPIIPVLYKSCVGLSKADARLRQIGYMLLRMMLEPSLPSSEEEILLRRVLYDAVLLGELPFLRSGRFGQLHENHLKNMFLAWLLVADNAIEFEREYGAGQRATTVSYTEAFARSDIPNLLIKLAANQMIQIGIKEKLSRPSTPTPKALIEWLLGLQDLGVRVFEDSSTLHAKATVCSLRQGYGLLEYKSTVNLTSTHGRRKRYEEMSAEEPQAKFVKCEFHNSPFTSISLHPTFGNALISGSQGNTTGSGLCMEDEKKKTTPNDADEEMEDVD >KZN11090 pep chromosome:ASM162521v1:1:41638038:41639096:1 gene:DCAR_003746 transcript:KZN11090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAGWHLSRNKVLFFSGILFIALFVGVHITPYFTSVSSFVNTFPSTTSSLPVSYEQDDRDVCVSVLHNVIYEFKSGNMDGPLNDSSVHEDLSWYWDKSGPFVGCNFQKLSKVDASDLLNGTWVVVAGDSQARLFVVSLLDLLLGPEKMEAIRGDLFKRHSNYQTVIHSIGLKLDFLWAPYAKNLTDIVMEFMGNKRYPDVLVIGAGLWDMLRITNSTEYGSSLQHLNTYLVSSLPVSPEYSTTYPVSGSVSVPTPHLFWLGSPTLINRMLNTEEKKVKMTDALYAAYEREMHKSKLLRQSAGPAFLLDIKSLSQKCGDQCTEDGMHYKAAVYETAVHIMLNALIIESQQKL >KZN09228 pep chromosome:ASM162521v1:1:21864541:21864774:-1 gene:DCAR_001884 transcript:KZN09228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRQKKSFGIFSIFKSSRTRRGKDVSGTDDCVKSYKVYPSDEDRGRWVAEPGIDKRASAYIAITQKNWNLVDVSN >KZN09235 pep chromosome:ASM162521v1:1:21924209:21924568:1 gene:DCAR_001891 transcript:KZN09235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNSAFERPIGRKAAKEIQKKRKKLDNEFGDYGGAAILEKMMADQNECRKQRNEHLKEMRQLAKDRDEREKRRAAAEQDEADAKIMAMDTSSMGAIEVEYFNSRKQEIIERRRNLFAK >KZN08537 pep chromosome:ASM162521v1:1:10078859:10079539:1 gene:DCAR_001067 transcript:KZN08537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMWSLPSIGFVKINVHAVYYDPPLPNGNTTGIGVVIRDDEGFILAILTGSLGHINQRANELWAIQLGLQLAFQIGEKALELESESAAAIQEWDDHRWFTDPRHSRMVEQLNQRLSVGRIHLVKRVVVESQNMLARYLAEDGAATKFRVVRMKRPFGRVRELWHLDMGLGFIGGNFGVVNEEEYAALQQQEMMEEHGHAEGAGNGDESAGSVGNANQGQDALEID >KZN08615 pep chromosome:ASM162521v1:1:12121568:12121890:-1 gene:DCAR_001145 transcript:KZN08615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPAAPGKRIEEASDSFMHAPLGSGGYSSVGRAPLLQLGRCDYGLDV >KZN10762 pep chromosome:ASM162521v1:1:38712946:38713460:1 gene:DCAR_003418 transcript:KZN10762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFLVATVNAIMISFFMSLAAVGLFLAFFFACVTTIYVGALFIAAFVISTATISTIVAVLFVTGWAGFLYSMWLLIKKGVDLASHSLSITGSAVSAFSSPRNAKTYPELDEVSD >KZN08683 pep chromosome:ASM162521v1:1:13435374:13442677:-1 gene:DCAR_001213 transcript:KZN08683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWWPGKKSSKTKEDQKNKYSNNTIHQSLSKDNKNRIFKDSKPRSFDDVSSAVINKGSPRISKEINKNGSGSSGFSGFDSDQRAHPLPRPCNSTLGVDQTGGLGSGSASVSSVSSSGSSDDHSQFGIFSRGHDDTKFLQPPRSPGPGSRVATTTSSPRHPRFSGTSLESPEKLDDGKIECHPLPLPPGSPTSPSTLPKLRTPATVDHSPCQLSKWKKGKLLGRGTFGHVYLGFNSESGQMCAIKEVRLVIDDQTSKECLKQLNQEIALLSKLSQRNIVQYLGSELGEETLSVYLEYVSGGSIHKLLQEYGAFGEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHITNCTSMLSFKGSPYWMAPEVVMNSNGYNLAVDIWSLGCTILEMATSKPPWGQYEGVAAIFKIGNSKEIPEIPNHLSPDAKNFIRLCLQRDPVARPTAAQLLEHAFVRDQATTRVANVNITKEAFPHTFDGSRTPTAQEMRSNRTNISSFDGDHLRATKSSFDVDNFRATKSGFTASRDLIIPRNNARNIISLPVSPTSSPLRQYGPAYQSCYLSPPHPSFAMPGQSSYNNSNEFPTAQEMRSNRTNISSFDGDHLRATKSSFDVDNFRATKSGFTASRDLIIPRNNARNIISLPVSPTSSPLRQYGPAYQSCYLSPPHPSFAMPGQSSYNNSNEFPVRSNTKNFPDPWFEIPQYKSQTPPNRSPRRGPI >KZN10419 pep chromosome:ASM162521v1:1:35517309:35521625:1 gene:DCAR_003075 transcript:KZN10419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGDEVLNLEGKCGVSGGVQDMYGEDKATEDQLVTPWAVSVASGHTLLRDPRYNKGTSFSENERDAFFLRGLLPPVVMSQELQEKKLMANLRNYEVPLHRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPVVGEACQKYGSIFKRPQGLYISLKEKGRILEVLKNWPVKAIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNQKLLDDEFYIGLRQKRATGEEYAEMLEEFMAAVKQNYGEKILIQFEDFANHNAFELLAKYRTSHLVFNDDIQGTASVVLAGLIASLKVHNCSLADHTFLFLGAGEAGTGIAQLIALEISKKTGSPVEENRKKIWLVDSRGLIVSSRMDSLQHFKKPFAHEHEPVDNLLDAVKAIKPTVLIGTSGVGKTFTKEIVEEMASLNEKPLILALSNPTSQAECTAEDAYTWSQGRAIFASGSPFQPVEYEGKLHVPGQANNAYIFPGFGLGVIMSGTIRIHDDLLLAASEALASQVTEENYEKGLIYPPFTSIRKISANIAAKVAEKAYELGVASVLPQPRDLVHYAERCMYTPVYRTYR >KZN08304 pep chromosome:ASM162521v1:1:5097233:5098974:1 gene:DCAR_000850 transcript:KZN08304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAWSWWLLMFKTSDFAYAITVTATVLLVISLIILISTKTKKISPPLPPGPKSLPLVGNLLSLDPDLHIYFTTLGKTYGPIVTLWLGHKVGIVINSPVLASEVLKHQDTIFANRDVPAAANEVYGGKDIVWTPYGPKWRMLRRVSVIEMLSKSTLDSFHELRRREIRQTMGYFYSRVGLPVDIGEQMFLTTMNVVTSMMWGGTVKGHDRARLGTELRLVVNGMIQLLGMPNISDFYPGLARFDLQGVRKKMKVLAKQFDDIFETAIKRRQEMKAQEGSKDFLQFLLKLKDDQEAKMPLTMTELKALLMDMVTGGTDTTSNSLEFALAEMMNKPQIIQKVQEELDIVVGRNNMVEESQLPKLPYLYAVMKEVLRLHPILPLLVPHCPSETCVVGGYTVPKGSRVFINVWSIHRDPSIWEKPLEFIPERFLDGQWDYSGKDFKYFPFGSGRRICAGIAMAENMFLFSLASLLHSFDWKLPEGQKLDLSERFGIVLKKKVPLVLIPTPRLSNPELYQ >KZN08201 pep chromosome:ASM162521v1:1:3880294:3882063:-1 gene:DCAR_001266 transcript:KZN08201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKVGDSTFYNLVANYASVGDFGAIEMVFDRMKREKRVFLEKVFILVFKAYGKSHLPEKAVELFERMVGDYQCRPSVRSFNSVLNVIIQEGLFDRALEFFKYVIGNRSMNILPNVLSFNLIIKANCKLGLIDRAVEVFREMPVRKCVPDVFTYCTLMDGLCKENRLDEAVSLLDEMQIEGCFPNSATFNVLINGLCKKGDLTRAAKLVENMFLKGCVPNEVTYNTLLHGLCLAGKLDKAISLINRMVSNKCVPNDITFGTIINGLVKQRRAADAAQLLVSMEERGQRANQYVYSSLISGLFKEKKSEEAIRMWKEMTERGCKPNTVLYSTLVDGFCKDGKVDEAKEILSEMRARGCIPNAFTYCSLMRGYFQTGNGQKAILMWKEMDKESCMQNEVCYSVLIHGLCGDGKLKEATVIWKQMLSKGYSPDVVAYSSMIHGFCSSGLVEQGLKLFNEMICKEPSSQPDVVTYNIIFNALCKQSSISRAIDLLNTMLDRGCDPDLVTCNIFLKCLQENVNPPQDGREFLDELVLRLCKRQRTIGASRIVEVMLQKFLPPKASTWEKVVKEVCKAKRIRAAIDKCWTDLFI >KZN09965 pep chromosome:ASM162521v1:1:31220461:31222733:1 gene:DCAR_002621 transcript:KZN09965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDSVSLLTQQFSTHFKILVHRFNRRCSRIISILLAHKTSGSLGALVGFVVAFLFAWKFSRSSIRSWKRRKNISSASTSEATLTLSDKEIKFGDGLCTTEKAPLAQMVSKKLCGGRKMTCQLLGVVLEESTPEELKEHVTVRSSAVEVLLEIGKVCDIYLMEMILDDDSE >KZN11340 pep chromosome:ASM162521v1:1:43784692:43787603:-1 gene:DCAR_003996 transcript:KZN11340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFSKLIIFISFVAFVECSCITKDQELVTKAFSSVNGFNSSWFKSRDLNCTNPHITNINLSSRNLSGTISWVFFRNLSQLHSINLSNNSLRGSVPGWFWSIPTLTELNLSENRLGGTIGSGPENPFSGPGRSSSIQVLNLSQNRFTSLAHLSLFPNLTSLDLSNNDLKILPSGFENLTKLQFLDISKCNIVGNAKAISVLHGLKYFDVSKNYMSGRFPSDFPSLDGLKFLNISFNNFTGYIAQINVQNFGHSAFINAGNFNNNTSKNNQNLHVKPHPIQSPPVTKPQPQKPMNKKPKIKPSHLILAIALASSVLAVAIFACICFMYRKRKLAKTNKWAISKPIQTPFKIEKSGPFSFETESGTSWVADLKEPSSAAVVMFEKPLMNYLTFKDLIAATSHFGKESLLAEGRCGPVYRAVLPGDLHVAIKVLENARDIDQDKAKTMFEDISKLKHPNLLPISGYCIAGKEKLALYEFMANGDLHQWLHEELPTGRPDVEDWSTDTWEHRNDIENRSQILSPDITAWRTRHRIAVGIARGLAYLHHGQSRPVVHGHFVPSNILLSDELEPRVTDFGLSQDQISGSTEADVYSFGTVLVELLTGQPGSEETVEWVRRLVREGRGVDALDSRLKVGDDSVSEMVECLRVGYLCTAEAPRKRPTMQQVVGLLKDIHLVMDVLN >KZN09704 pep chromosome:ASM162521v1:1:28625554:28626024:1 gene:DCAR_002360 transcript:KZN09704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAMSSAIVKLTSYTPKITLKNITARTAPMASSARMIQSGSPPEFPKKPEIKPHTPTELPVTPNYPDFGPVPPEEFPKPSLEPSGPDFPVPPTPSPPVPDAPKPPMPSPPGPDIPLGPPPPNVFPPNDPDVIPMGPPEVEPPQRTPPDIPTPTM >KZN10881 pep chromosome:ASM162521v1:1:39882964:39896684:-1 gene:DCAR_003537 transcript:KZN10881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKGRLFSSKKSSSTSSPDGSNNSPRSFGSSSSSPTGSDKKKVKSKTVSIDDSNVSSPSSSGASTKQDKKKINDVKLSNSSVSASKSKSPSLISSGSGKGKLGVNRNVKNEVKTDLSSIEKTMSGSGKGKKGGDGLNTVSPILASSLGLNRIKTRSGPLPQESFFGFGSSSGRDGGSGYGKGGIGVSNLSKGGKDVGRGKADLRKKDLGAENVSFVGWADNGGGDSRDRMSTERVASRDQSPVQARSRLQDAGTSSADAATVFVLVLESLRMTASNKFPVHKFCCFIACGTDFQPDVQWTDLYYMQFLSKLVIYVNFRVANTAVCISSNYLTGHINSSGHPGGLKSADLLTPEAKTPYDCENPKESESPRFQAILRVTSAPRVRFPADIKSFSHELNSKGVRPFPLWKPRRSNNLEEVLVMIRAKFDKAKEEVDSDLRVFAAHLVETLDKNAESHPEWQETIEDLLVMARSCAMTSAGEFWLQCEGIVQELDDRRQELPMGILKQLHTRMLFILTRCTRLLQFHKESGFAEDEHALHLRQSLHTVDERIPGGAGRGGIGSAKSSKAASRKSYSQEQHSLNWKKDQAMQPESFVSPPTLEIAKNLDSPSNRDRMASWKKFPSPGVKSPQKAAVSEDEKIGTEPEASEVLNNRKGSSDMDISTPKSLEHPPKDFHGHPTNVSKHRHKASWGHWGDQTNIADESIICRICEEEVPTLHVEDHSRICAIADQCDQKGVNVDVRLVRISETLEKLMESLSQKDFQHGSPDVAKASNSSITEDCDLHSPKLSDWSRRGSEDMLDCFPEADNSVFMEDLKGLPSMSCRTRFGPKSDQGMTTSSAGSMTPRSPMTPQTNPMDLLLAGKSSYSEYDDLPQMTELADIARCAANTPLEDDRSSPYLLTCLEDLRVVIDHKKFDSLTVETFGARIEKLIREKYLQLCELLDDEKVDISSTVIDEDAPLDDDVVRSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMVRKNAVESILAERDILIAVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRIVHRDLKPDNLLIAHDGHLKLTDFGLSKVGLINSTDDLSGPAVSGASLLEEVDSQLSASQHQQDRRAKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQIIFDNILNRNIPWPQVPEEMSSEAADLVDRLLREDPNQRLGARGASEVKQHPFFRDINWNTLARQKAAFVPASESPMDTSYFTSRFTWNPSDEQVHAGSEFEDSTDSGSISGSSSCHSNRHDDVGHDYSGVAEFESGSSVNYSFSNFSFKNLSELASINYDLLTKGWKEDSPTNPNT >KZN08977 pep chromosome:ASM162521v1:1:18584987:18587318:1 gene:DCAR_001633 transcript:KZN08977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFALPGEPGLTELAGDFKIHFHDGQGDFYCWLNTSMFETRKFLTTDGLDGFDKRKLPSPGFQLEVVVVEYSGTVPNTTMGTQTETSTNGLTERPGANHAPTGGAVGATAAPQTVRDSVSSEKNDDVFSDTDTEAEDVNSSKHRQAGLASEADVASVNTTSSTQALSKSDQISNLSHDARQLAPGKTSFNEPKDSTGTVVLGDKVSSPVGEVSDFKAMAADASVFTFGDEEDFESD >KZN11865 pep chromosome:ASM162521v1:1:48879627:48883561:1 gene:DCAR_004521 transcript:KZN11865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTARGSGTNGYIQTNKFFVRPKSNKLSTEPKGFHDNQGTAGVSKGPNKDILEHDRKRQIQLKLVVLEDKLLDQGYTDEEIAPKLEEARRVLEAADKAEREAGVSAVVVHDKRVSDTQTHQIAARKEKQMETLRAALKITSPSKNQNKSINHSDDEEINDDEKLENFPKSGNNASVHFLARDTLDKKDDSEKGLEEEDIVPSERILSSKLRKENSSSDTDDSNSSRENVKRSKKKYEKTRVRRHRGGSSSDSDSDSGSDGVHAKRNRKKHHNSRQKKRHNTRQASDSDDESDPDVHNTRQKKHHITRQESDSDDESDPGVQNASRKSSQKYKKSRRHDSEGSDYDDEIDDKSRWRGGLDSESYRRDETPERVHHKAKLVSRREESESSESDSREDTKKKQLESRNAGYKDYKGKEVESRNAGSDAISGGDRRKMKDDNDYKERKHNTVEVDERERKRKYNIDEDDEREKKHRRGEDDKLRKEIKDEEDRHGKKHKRDEEEEERYDAGKGDYRGRHEREQEERHDTRSLQEERPPSHQSKRARHDDSRSIERSHDNKKRDDRHGDDRREDRGRGGDRRDDRGRGGDGRDDRGRGGDGREDRGRGGDRRFRR >KZN09459 pep chromosome:ASM162521v1:1:25424904:25426939:-1 gene:DCAR_002115 transcript:KZN09459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPCTQRPLIIQDENLGIHHRKKGTEGTVKSSKPAAKKGQVLGSRKALNDITNKSSLHPSVSTKKKSAAKEEFNIAGEKFLHDHTKCIETNRKAMESSFWETVFPKHDTLSNVVFSESVVAKHIMLQILHFALQNDPESPRFYPEPVELPMSEFVDWLQPLSELDSQPSSPIYWDSLPASPFQWDKVEHVEFVLKEEMDC >KZN11566 pep chromosome:ASM162521v1:1:45802677:45803138:1 gene:DCAR_004222 transcript:KZN11566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIILMIFRTTFGCLCLYICDPAEERKIKNKAITTIYNTTEHNLHTHTNKQTQDHQGFIYLSVLKMALFSMFLGCFLDSSPRLAVEGDEKLVASTPHSLEKLYSESESESESESFSDQDVKREDITNMISNNSKGAHIVVSYFPIGSRLSCI >KZN10259 pep chromosome:ASM162521v1:1:33968970:33970575:1 gene:DCAR_002915 transcript:KZN10259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLEQNHPSGVRTMFKNGEKGKKKAFVTFLGGSGDYVKGVIGLARGLANVNSAYPLVVAVLPDVPLEHRLLMVQSGCVLKDIDWVQPPKIDESIGWAHEHFAINYSKLRIFEFEEYSKMIYFDGDIQVYGNVDELFDLPDGQLYGVLDCFCEWHHSPQYKIGYCQQCPDRVQWPAELGQAPSSYFNAGMFVFEPSQATYDDLMNTIKITPPSSFAEQDLLNLYFRGIFKPIPNIYNLLLPMLWTHPDNVELDKVKAVHFCANGSKPWRYTGKHEHMDREDVKMLVKKWWDIYDDETLIIYKTTLAANVPITESNLVAQDIADKEKSGLRPMVTGVLEVPAAS >KZN10325 pep chromosome:ASM162521v1:1:34580420:34582072:-1 gene:DCAR_002981 transcript:KZN10325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGPSTSTSNNIDMKQERLTAELAVKDSSSVVIKIKQRLPDFLQSVKLKYVKLGYGTGYRFNPVAALLVFLLVVVLPLFLATHLTANDFSFHHPQIRAWSSPILETLDTAVMASLAILFLFLLGVYWAKRPRPIYLVDFACYKPEDARKMSNEAFIKMSDDCGEFGEEMVQFQRRLAMRSGLGDETYLPAGITSRPPNLNMAEARAEAEAVMFGALDSLFQTTGLKPNDIGILIVNCSLFNPTPSLTSMIVNHYKLRSDIKSFNLGGMGCSAGVISVDLAKQLLLKANPNSYAVVVSTENITLNWYFGNDKSMLLCNCLFRMGGAALLLSNKPRDRARSKYELVHTVRTHKGADDRCYNCVYQREDDQGTIGVSLARELMAVAGDALKTNITTLGPLVLPFTEQLMFFLTLVRKKVFKANVKPYIPDFKLAFEHFCIHAGGRGVLDQVQKNLQLGDWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRVLGGDRVWQIAFGSGFKCNSAVWRALRNIPASQSQNNPWADSINCYPIKAESPATMQAL >KZN12016 pep chromosome:ASM162521v1:1:50247927:50251795:1 gene:DCAR_004672 transcript:KZN12016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARADEEAIETFMSITGVSEPVAIQKLADHAGNLNEAINAHFAEGDGNITNEASVVPQEDLMDVDDPVQPEIQRNPFPLLQSPGLMNPFSLLDRDVRSSIFDRVPSLVSSIFDRVPSSASRAPFVSHPREVREIPIEVKDGNEQSSHSGHAPTIQDVTGTADDYGPEIHGNVIIDEEDAEDIPRTQRIAPGDAGNDSIMGGYPDIINSGPSAPGIDHIPEYSNDIEEEMIRAAIEASKQDTRSGYTRRSESMSQQSQSEINDPELAQAVSLSLKTADQEKASRGIGDKFRSSELGISTSTEVEALGKLTSSGRLEAEGSSMRDESDEAEDQPLVRHQINPSSTSADTGKEIDYTKPSNSQHNNTSSQQNRSDSHMNEWGGITSLEHDEAVMLEAALFGGVPQGNTYHVPYAPGQSRNNGVGETDGSYPRRTPHPPSPSLTAQRLIREQQDDEYFASLQADREKELKAREEAEIRKLEEQVAAESALIEERKKEEELQRKLEELQIPTLPAIEMLDPQ >KZN08324 pep chromosome:ASM162521v1:1:5265674:5266240:-1 gene:DCAR_000870 transcript:KZN08324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEAGSSNSQGLVTGKMAVRQGETALIVDDCNVAKIISTAVLSHFGYQVSAVTDGEQAVNMYKAGEGHFNLIIMDLEMPVKNGIEATKELRAMGVDCMIVGVTASNNQSEMQSFREAGLDHLFNKPLSISKLQSCFGNRAA >KZN10372 pep chromosome:ASM162521v1:1:35043280:35044074:1 gene:DCAR_003028 transcript:KZN10372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYSHLWDPLPAIEEIYEPYNNFYAYVSSLFFKNYGLHDHIGTHIGLENYGLHDHVDTDLGLTFVHENMDDYGFDDPISSMNPIGTPPNQVSIDDYNVCGNDDEVMFLYEIKARPQLSHNYPLQTLNSNSTNFDQGLEDETFLDGVDDEIAQLCSPDTSNATMENPSTVNPELLSSDTHGTQLDEIPKYSLSYGAEAKQNQYSSLIMHETWPTTSQENKETLGAQGRSQKIKVMKVVGAGEEESDEWAWKKYGTKKLKRITRS >KZN10737 pep chromosome:ASM162521v1:1:38544378:38546611:-1 gene:DCAR_003393 transcript:KZN10737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEMLPREYGYVVLILALAGILNFWMSSQVSKARKKYNVQYPTMYALESENKDAKFFNCIQRGHQNSLEYLPMFFIFMTVGGIKHPIIAAVLGCVYVVARFFYFKGYSTGEPKNRLTFGAYNFMALIGLILCTISCGVGFLRA >KZN11119 pep chromosome:ASM162521v1:1:41916213:41935086:1 gene:DCAR_003775 transcript:KZN11119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQHFPAQDVSPRSREYEGPSRWNEYLSQEVTFQVEPRTSRNVRSEGPAQSSVGSHKGLNMLWVYQLTQVAEGLMAKIYRLNQILDYPDTAGHMFSEAFWKSGVFPNLPKICTILSKKFPEHHSKLQLERVDKVAIDALNDHAEVYLQSLEPWVQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLLAEKIPRKMMLQVYNLLHAMLRSDRDCDFYHRLVQFIDAYDPPLKGLHEDLNFVSPRIGEVLEAVGPIVFLSTDTRKLRNEGFLSPFHPRFPDILTNSAHPMRAQDLANVTSYRDWVLIGYLCCPDELLRVTSIDIALVVLKENLVLTLYRDEYILLHEEYHMYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHDQALSSCDAIHRERRTLLKQETGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIASSKSRAARMVPVGIDPNDPTIGFLLDGMDRLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQKIVQHLESIPKPQGENIAAITCDLSELRKDWVAVLMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELETQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASAIVPEEIAKIGRDSVQYVESLIESIMGGLEGLINILDSEGGFGSLEMQLYPEQAAILMNLTSQMSSMPSTKSPKGASGFHFPGYESYPESNSSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLAVLKTDNDLQRPSVLESLIRRHVSIIHLAEQHISMDLTQGIREVLLTEAFCGPVSALHLFEKPAEQLGGSATEAVCSWYMENIVKDVSGAGILFSPLHRCFKSARPVGGYFAESVTDLRELEAFVRIFGGYGVDRLDRMMKEHTAALLNCIDTSLRANHEVLEAIVGSIHAGVRIEREANMKQIIDMDTVIGFCIQAGQAIAFDFLLAKAAGTALEDGAPLIHSLLAGVMKQLPDDLPEKKENRRVRRVANSIDVVVDHDSEWVRAILEDVGGAYDGSWSLLPYLFASFMTSSIWNTTAFNVDTGGFNNNIHCLARCICAVIAGSEFVRLERENHHKHSFSNGHMDETLDSEVHNGSSAEASIKSLMQLFVKVSAGITLDGWNESDRSHLVPKLIFLDQFCEISPYLPRSSLEPHVPYAILRSIYSQYYTSSPSTPLALIGASPSHSPAVSLSHSSPAVRYPRGDSTPLSTANDSGHFKGSSIHSQDQAYYDADSGSVKNRAGRQSGPLDYSSSRKVKFTEGSTSGSSSRGPSPLPRFAVSRSGPLAYK >KZN09450 pep chromosome:ASM162521v1:1:25375077:25375454:1 gene:DCAR_002106 transcript:KZN09450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPILSQRLCYAIAHCGTLVEAPYPIAAIKEQLDIDDGFGPLRKALCIQPNHGFGEVFYPDRHPSNDYQKEIIFIKDDPVPKKVFKQRGGIVIKENSEARNGVRPPPVIGKGKAKMFVGESSKS >KZN08245 pep chromosome:ASM162521v1:1:4234799:4242612:-1 gene:DCAR_001310 transcript:KZN08245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVNPFSLLRGPLRVGAWATIKASKEGLCISPSHPILQNAPRFRRTMCSVGSTNNEEAIAKVAAASADSGAPTIFDKIIAKEIPSTIVYEDEKVLAFRDINPQAPVHVVVIPKSRDGLTQLGKAEERHGDILGHLLYAAKLVAEKEGIVDGFRVVINNGPAACQSVYHIHLHVLGGRQLKWPPESNAWARTGDVLKPKYPENEHPSEWNRVGSPLTRVMDPGKADCSMFFQPSCILNLVRPPGSVGPMGEENSRAGGDRPPWQQRSKIYKSLADQDSKTVDFLCKCWNSKPAEPLDGRGDTLLHLLVICQNVKALEELMKVRDSDGTEEHLTQEHLKKQNLRGETALHEAARHDDVCIVDLLLRKEAELISKIGCSVPMCNCKKCVEAMSAKKNNLISVPNELGETALYLAASSGKWKIFEKILKYSNDCMTRRKDGCTVLHAAIMGEHYSTAAKILKLKPELAYICNKVGDTALNLLASSPSSFRSNSNYTTATMGKTSFIPLQSLRVLFYNCIPHIYTIDSVKKGDEEDPDSRWETYIKKEERYQVVDLFLGLPWIQPIDDTKQQNLVAVALVRQLLEKEEDWSRYTYSAHKYHDQSETSDKAKLNPLIQAIEMGIPELVEEILRYFPDAANSIDIDGRNVFHYAAEHRSGDIYEMLKKYAINKDRMLLDVDNKGNTILHHATKIRR >KZN10959 pep chromosome:ASM162521v1:1:40640690:40642024:1 gene:DCAR_003615 transcript:KZN10959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTIKNLPLIAVLLRFVLVLQIFFQYQIYCDDHLARKSLEIGLGPIISIGGSPPEQNPDPPPQKGYCPTSPPEPIHSYESKRIKIVYPVIQEFKKKVTHDPFGITNTWVGPDICNKYKGFFCDTAPDLNQTAIAGIDFNGYNLAGPELTLSGFLDQLPDIAIFHANSNNFTGVVPKSIANLRFLYELDLSNNVFTGEFPIELLGGTNLSFLDLRFNKFSGVLPPQVFLLGLEILFINNNNFMQKLPDTLGSGKFYYLSLANNNLSGSIPSSIGDLKDTLVEILLLNNQLSGCLPCEIGLLRHLTLFDASQNNLTGPIPASFSCLGHLELLNLAQNQLSGTIPDSLCLLPKLANLSLSDNYFTKVGPLCKILIWRKVIDVRMNCIPGLPGQRSAADCHAFFSKHKCCSDAEKCKIWIPCGAQPHQKLSAAAVPRSYRALAPQVRL >KZN10816 pep chromosome:ASM162521v1:1:39267874:39269703:1 gene:DCAR_003472 transcript:KZN10816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREDSVYMAKLAEQAERYEEMVEFMEKVVAAATSGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDGHVATIRDYRSKIESELSGICDGILKLLDTKLIGAASSGDSKVFYLKMKGDYYRYLAEFKTGAERKDAAENTLNAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILSSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTEEIKEAAKPDDE >KZN08708 pep chromosome:ASM162521v1:1:14067978:14068541:-1 gene:DCAR_001364 transcript:KZN08708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAHFLYLLLLVSIWFPHFFHQPFLFVNGDTDLIQKTCKTTKYYDLCLSSLKSDSTSPAADTKGLAVIMVKIGMANATSTNSYLASQLSPPKNANNKDAVMKMVLKECALKYSYAGDALKSSLQNLEDESYDYAYMNVMAAADYPNACHNAFKQNPKLVYPKDLALREDSFKHICDVVLGIIDALGW >KZN08522 pep chromosome:ASM162521v1:1:9672158:9672613:-1 gene:DCAR_001052 transcript:KZN08522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEMDVVLPPERVKLFDKVVTSSDIKQHRLAIPKYHARMHFPLPDPSDSKGSLLRMQDNEGKMWRFRFIFWKSYQGYVLTSEWNQFVREKGLSAGDTVWFYRSTAPDMQLYIDYTSQAKSDVATSNSDVFEQTPDVREFKLFGVTINFSL >KZN09755 pep chromosome:ASM162521v1:1:29065670:29066872:-1 gene:DCAR_002411 transcript:KZN09755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFAQFLFLIFFTLHKASSISQQPILPNSALIFPVAKDASTLQYTTQIQMGSPGVLNKLVLDLNGPFLWADCSSSSSHKPIGSGSLKCLLANSCNLNPQNTITKTTEPGHLAEQIITVDSINHHNFKTISTVQNLLFSCAPTVLLHGLASGASGILGLGRSKISLPSQMSNSLGTRRKFSICLSESDGVMFSGEMTSDIYKSLMYTPLVSKTTSDGYYINVKSVKVSNKRLALGTNSIDTKISTVVPYTTMDTTTYDIFVNAFVVAARHMNMTRVAAPAATTLGVCFSTQNSYSVYNTPVSGSRGFSDGVPTIELVLQSELVKWRIDGRNLMVKISEEVVCLGILDGGFSAKREIVIGGYQLEDNLLEFDLATSMLGFSSSLRQTSCSRLNLYTNHVE >KZN07881 pep chromosome:ASM162521v1:1:432582:433953:-1 gene:DCAR_000550 transcript:KZN07881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSEQNDMFSRRCVWVNGPVIIGAGPSGLAVGACLKEQGLPFVVLERADCIASLWQKRTYDRLKLHLPKQFCQLPKLPFPEHYPEYPTKKQFIDYLESYASHFGIKPQFNESVESAKYDEVCRSWRIRTVSTQGSTRSEVEYICQWIVVATGENAERVVPEIAGLGDFGGEVIHACEYKSGEKYSGKKVLVVGCGNSGMEVSLDLCNHNAKPSMVSRSSVHVLPREIFGKSTFELAMMMMKWLPLWLVDKILLILTWFILGNTDSYGLKRPSIGPMELKSTHGKTPVLDIGALEKIRSGDIEVVPGIKKFSKTMVELDNGEELDIDSVVLATGYCSNVPYWLQETEFFTKSGYPKTPFPNGWKGNTGLYAVGFTKRGLSGASSDAMKIAQDISRVWKEDLKQKKQKVPTHRRCISTF >KZN09229 pep chromosome:ASM162521v1:1:21868521:21868757:-1 gene:DCAR_001885 transcript:KZN09229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNRQKKAFGLFSIFRSSRTRRGNDMGGTEDFVKSYKVYRSDEDRGRWVAEPGIDRKASAYIAKSQRNWNLADVSN >KZN09895 pep chromosome:ASM162521v1:1:30559695:30563947:-1 gene:DCAR_002551 transcript:KZN09895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASRGLISRLRSLTLSPKSTPLYTATTSRTATFTPTQLRFFSSETLIDDTHERSGVIELKQGVMKPNSRRTGLIAVKCGMSALWDKWGKRVPISVLWVDDNIVSQVKTPEKEGITALQIGCGHKKEKHLTKPEVGHFRAQGVPMKRKLKEFPVTGDALLPLGTSLGVRHFIPGQYVDVTGITRGKGFQGGMKRWGFKGMPASHGASLSHRSIGSTGQRDAPGKVFKGKKMPGRMGGKQRTVKNVWVYKIEPARNLIYVRGQVPGATGNFVFIKDAVYKKTDASLLPFPTYLAPEDEDPATVEPLIADLGDVDPFMAAD >KZN11183 pep chromosome:ASM162521v1:1:42372149:42373424:1 gene:DCAR_003839 transcript:KZN11183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSFFKPLVGDFSRKLLIPPAFVERMEGKLGSELALKYECERVYTVQVEKLEEGRFFFVNGWPQFVADHGLDYGDFVVFRLVQDSTFQVTVYDPSMCEKDCCDSHRNKNGDRTAGKVIKEEARTSLDQNTSLDSLSRXLPLSGSLPLPLPLSLALSLSISYQMFHYQGSRVDLTEDDEETDAKMEIDDEPKEATSNDDAPSFRLLLTEANKIWIPLPLLFVRESGLADKEQIKLRDPDGKKWLVKVINEGKKAALTVGWTRLFVAHRLKRGDTCIFTYVRREGSSGIIQVDMKRGRGRPPKKESS >KZN09845 pep chromosome:ASM162521v1:1:29914631:29915556:-1 gene:DCAR_002501 transcript:KZN09845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTHADLAPSRRGTVLGSKTGALVMVLSVLLGLSCFIFSLIAETTRSQVTWFSTSIEGKYECTYNSSGKKPLVYACAAFGVLAIAMIMEHASMLIAISNSADLVTWEPHSPRAKVLTWQAAFFFLTSWICFAVGEILLLIGISVESGHLQKWSASRPNCLVLNQGLFLAAGVFGLTTVFLASGLYITALRAQKLAHEEESMRRQALEAAMLYASPPGSPGHSLQTLGNESPVIRHQNEHELSMYITAMSKLN >KZN08382 pep chromosome:ASM162521v1:1:5911933:5913258:-1 gene:DCAR_000928 transcript:KZN08382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMEVQVMSKKMVKPSVPTPDHLKTCKLTSFDQIAPPDQVPIIYFYNNSHLDNIREQLVKSLSKTLTKFYPWAGRFVLDGFYVDCNDEGALYVEAEVNIPLNEFIGQAKKNIQLINDLVPKSNFVNIHAYDNPILGIQVSFFECGGLAICMYLSHIVADGFSAAAFTKEWSSTSSGLINGDQDASSSPMSFNLALLVPTRDLSTVVKPAVLPMSKQKELKIVTRRFLFDETAISTFKDHVIKSESINQPTRVQAVTSVLWKALIRQSKLPNSTLYFHLNFRGKASIAMPPLDNPFAVCGNYYTQVPTRFKGTNETKHELELHELVKLLRGKLRNTLKNCSEISTADGLFLEAAKNFNIIQEDMESDETDVRIFTTLCRMPLYETEFGWGKPEWVTIPEMHLEIVFLLDTKCGTGIEALVSMDEADMVQFELDPTISAFAS >KZN09487 pep chromosome:ASM162521v1:1:25845573:25858182:1 gene:DCAR_002143 transcript:KZN09487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGDSVDSIISPDVPIALRLSSHLLVGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNDLEGNYVDHHISSKDQITLQDTMDGVAYSTSQFGLDERFGDGDTSGLDLDESSVKPMSSFNHEGIDEMTEKSKIMNTSTSKHIEENDGNNSNSYQIEYDQAPRTPGLLEEPNLSNIQETSACDDHLESENQNLTDLAGKENLKNASSNSDLYLVHKNVANDLLGSRVETDLPSMFSPTSGDPVGAIAVENNSSLNTCLAPSIPKGVEDVQNGNHSNHNCHVSFADKTCEDCQELQEVRPGEHNIGNPNLSITSEPVSEGILKENEILYKVELSNNVESEVDIMQSCLLDNAMKSNKEFNGTSEHEKSETQDKTDKSRDMLESGGSVHDLVSCNEETNQIESSGAPIIPFEVAGSCPETLEREEDPFSVKLSTVVRGEECGEGDGLKQVAEGNHTAVEVPCNKLKDKAENVTALDCQLETINSSVCSDFPAPEKLLSVPEADALNNVSMGTTPAQMFAQNDGSNDTSVTIIGKKRSFTESSLTMQSLTSVDSSAIVRHKTTPESVPADDDLLSSILVGRKSFALKVKETPQQGLPYLKRHRAAPRSSASKRKVLMDDMMVLHGDMIRQQLTNTEDIRRLRKKAPCTRPEILMIQKQFLEDDMFRETLFTGMSLELASLHNETYDFSETKISLDDVTINDASLDFGMNRSMVSLKDKAVTFLGAANDMDLYDEFDSRVAEMGGSSNIMGVRVNNKAQPAQTPVLVESQQGDGQIVGLDLTNDVAEARDIQDLHSETVEMDADAANLKEPVVVCSSSAVDVIGNGVDNRTDGVLHLAADISNEVDASLQVKEPVETTNQEAAVLSIEIDAYTNADKKDLDIDVTVVHDVQKNEEIPVNEMETCAEVQVETDNQADGVAHTEIEPTSLSYLDMGDCSTHILGDEHVMDERRQTDQALIEEDMFLYAEAEYNSKNLERAEYNAKNLERGFCDAENVVNSSDSVMFDVDLRNATYNGTAKEVDIDQVDYNNLEYSTAGNNTDFLNYDDDEGAEADDEDVPNAEEALFIDNSGWSSRTRAVAKYLQTLFDKETEGGSKVLPIDSLLAGKSRKEASRMFFETLVLKTKDYIHVEQTSPYDNINVLPRAKLTKVDF >KZN09908 pep chromosome:ASM162521v1:1:30661114:30662142:1 gene:DCAR_002564 transcript:KZN09908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTEKNSPFPSFSPFRSSLKRSPLHDFDLIFRTFDEKLASRLKDLAFSSPSPSVSLQWLSLAVDLLSDTHADASAVISAMTAASGSSADSRAFYLDHSVKILDVCNSISYEIEKLRHRRLLMDFAVHLLKSSGEGDAPPAPEKLKRAGECIADYERNSRDFAKRRGFEVREPDVLIRDLQNAIVTISPRGKISTIERAVLRIIYAVGLITVFVAGVALSSLHGLPELAKIRVPSEFSWSDSVNELQTAIFDSDGRVVLTEIDDVAARAVSLRVLIDGGVIEVGERARLESAVKEMEMVTEKMSVNLDRLDNGVNRMFGVVLSVRNGVLDNYRVGPVEKRHK >KZN10104 pep chromosome:ASM162521v1:1:32653946:32654980:1 gene:DCAR_002760 transcript:KZN10104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDCSIPVIDFRDFPAQSAKLVQAGEEWGCFRLVNHHDVLPVSLMSEMKSVARSLQDFPPEIKWQNTDINPGSGYIRPSQANPLYEAFGLYMSCSEDVDVFCSQIKASPSQRETILKYVEAMHELVVEISGKLADGLGISGFSIEGWPCLFRINKYNFAQETVGSSGFQVHTDSGFLTIVQDDEGVSGLEVMDRSGAFVPVDPCPGALVVNLGDVAKAWSNGRLRTVKHRVQCKEANPRYSIAAFLGPKGTVEAPPELVDDEHPRLYAAFTFEEYRNLRFSTKLQDGEALNLLLIDP >KZN10633 pep chromosome:ASM162521v1:1:37787275:37787535:1 gene:DCAR_003289 transcript:KZN10633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFMTEVIDRHDFHGFDSGLKVIMGSHLWSIVQNIQVKPNQMETSVYIQIIFKSLSCLFKKKGFNSDIIRRLSNFDYICRCRMAFN >KZN10114 pep chromosome:ASM162521v1:1:32705401:32706123:-1 gene:DCAR_002770 transcript:KZN10114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFQIFISIILAFCSVAASQPIITRNTTVKCPLDFSIIRQFTEGFRPSEPVSDQCLLIVQGIRLVQSDYLRRTNSFLPPSSSSESCWNDYQNLINQSSNSFDIRTTCGFQTQWIAQGCENITTRSEYENINSNATLNGVLQACNQSLHSGEACATCTTSLSALLPSDVQGVRVGNVSDCTAFKSIYAAAFANLDGPANRDTAQRLFALPLDSSKSNKKHRNTLIAVFSLLVFASFGGLG >KZN10373 pep chromosome:ASM162521v1:1:35045357:35055956:-1 gene:DCAR_003029 transcript:KZN10373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMRWFADPSRNPLAKWHLRAVDQSLRKYGLRYDDLYDPQFSLDINEALNRLPEEVVHARNQRLKRCMDLSMKHSSLPKDLQAMQTPFRSYVREMLAVAMQTPFRSYVREMLAVVQRENAERKALGALPLYQRTIP >KZN10313 pep chromosome:ASM162521v1:1:34469627:34479093:1 gene:DCAR_002969 transcript:KZN10313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETNAILCPNKIGSIPGIEVGKQFFSRAEMVAVGFNSHWLNGIDCISHAGSELSRAYNLPIAVVIVMSGQYEDDLDNCDDIVYTGQGGNKLCGNRRQFRDQVLEKGNLGLKNSMDQCVPVRVVRGHECKSSYVGKVYTYDGLYKVVSYWSEKGVSGFNVFKFRLKRLEGQPLLTTDQVQFAGGQVPKSVSDLRGLVCEDISYGQEKVPIPATNLVDDPPVAPTGKLSTSQHRTFSDGVCTNFVKLIIIYKPNKQSHYRCCLRPSQMTTKCQADTVGFTYCNSIQVSEHVELPLKALGCNCSGNCAYSKTCSCAKLNGSNLPYVLRDGGRLIEPKAVVYECGPNCGCGPGCVNRISQRGLKYRLEVYRTPKKGWAVRSWDYIPSGAPVCEYIGKLMKTDDVDAIPENNYIFDIDCLQTMKGLDGRERRLGGMSIPFVENDDDKDSAPEFCLDAGPTGNVARFINHSCQPNLFVQCVLSNHHDLKQARVVLFAADNIPPMKELSYDYAYALDSVVDPDGKIKQLLCYCGAAVCRKRLF >KZN10773 pep chromosome:ASM162521v1:1:38799573:38801008:1 gene:DCAR_003429 transcript:KZN10773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTADEDKKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEENLVIDLHSQLGNRWSKIASNLPGRTDNEIKNHWNTHIKKKLRKMGIDPLTHKPLPPPPPPPEPAQDQQNPKSPEVLETVTIQEDENQSLSEADPSMEVINNGFCTDEVPLIEPHEILVSESSVPCSSSSTSTSSTTNINNLLEDLEFLPSFEWLNGDACTPNNLGFWDMHDDFNYMDLLNNDIDTADRNNDIFTVNPPLPPPPAAHHDFNQYSRMVMDEESWKFELM >KZN10834 pep chromosome:ASM162521v1:1:39401934:39410182:-1 gene:DCAR_003490 transcript:KZN10834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKNMELLLIIIVLACLNSFVMPDAQGDALFSLRSSLNASADQLGDWNQNLVNPCTWSKIICNSESQVTSVTLSSMGFSGVLSPKVGSLHALTTLSLKGNRITGGIPKELGNLTNLTMLDLEDNRLTGEIPSFLGNMEKLQFLLLSQNNFTGSIPGSLSSLSNLINIQLNSNDLVGQIPDELFQIAKYNFTGNHLNCGFNHSHPCVSGLGGGKKKSKTGVIVGTAGGLLGLLAVGCFLWYMYKGKHRGYRQEVYVDVSGEVDRRIAFGQLKRFSWRELQIATENFSEKNVLGQGGFGKVYKGVLVDNTKIAVKRLTDYESPGGESAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMQNLSVAYRLRERKPEEAVLNWPTRKKIALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLEIVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLQRDYVIQEVEMMIQVALLCTQGSPEDRPAMSEVVRMLEGEGLAERWEEWQNVEVTRRQEYERLQRRFDWGEDSIHNQNAIELSGGR >KZN12053 pep chromosome:ASM162521v1:1:50639897:50643326:-1 gene:DCAR_004709 transcript:KZN12053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESIRRLLPLCLLLLLMFVSVKAALKTDDEIIPNSRAGENTQLQSSSNNTSMATDRVNEDGEIRHEHAVDDPEAIATMVNMAIRNSTERRKLGFFSCGTGNPIDDCWRCDRNWQKNRKRLADCPIGFGRSAIGGRDGKYYVVTDPNDDDAVNPKPGTLRYAVIQDEPLWIIFKRSMVITLKQELIMNSFKTIDGRGHSIHIANGGCITIQFVTNVIIHGLNIHDCKRTGNAMVRSSPSHYGWRTLADGDAISIFGSSHIWVDHNSLSNCPDGLVDAVMGSTAITISNNYFTHHNEVILLGHSDSYTRDKVMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSGDPTINSQGNRYLAPNNPFAKEVTKRLEVGEWKHWNWRSEGDLMLNGAYFIASGTGSGASYARASSLGAKSASVIGSITSGAGALNCRRGRQC >KZN09166 pep chromosome:ASM162521v1:1:21169806:21172450:-1 gene:DCAR_001822 transcript:KZN09166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFSGKRTVGGLCVPKKTSSLVLADAADNKDREAQFCNRLGCSGRLNYTKAAQIGSTSKSKSWRPSTRLATGKETGGSSSSTPAVVSKAKIRYPDSRRKLPSKLETETSESSSTQGDIEEFIVAPKDNTRRFQLEPIQVESGKVASVKVGSSTMISKARNQYTYGKRPGLANQEALVSSSSSSSETNGQRLRGSDTSSRHGLRKLRTSISDALRPGCSLSESNNSKRREIVKKNSEGESSYSGAGKKKTSGQPSDNGPISISTNEFTFPDSRRIRNWPSRENSVTSVRPRRLTNINIRNQMNGSTLPSAESSDMPQSERNIPVNANSLHEEAFSGRSSIHSHPNGANYADHMTTMTSTDLGIDSLVNSDGSRPYNMDGIAEVLLALERIEQDEELTYERISTEEEEEEAASSVKAEDTKCSICQEEYMTGDEVGKIGCEHGYHIGCLKQWLQLKNWCPICKASVEPTKTS >KZN11341 pep chromosome:ASM162521v1:1:43804206:43805588:1 gene:DCAR_003997 transcript:KZN11341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFKKGFKHIKFYVEDDKVCSVKDDEEDDIDYSSDTSVSESESEMEIRRRQSNLPRPASLNAFDIISMSSGFDLSGLFEERGEHSRFVSRAPVSSVISKLEEIAKVVKFNVRKKDCSVSLEGSREGVKGPLTIAVEIFELTPSLRVVEVKKKAGDRGEYDEFVNRELRPGLRNLMLMESAESSNLPSDTE >KZN08698 pep chromosome:ASM162521v1:1:13687770:13688963:1 gene:DCAR_001354 transcript:KZN08698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDDLRISIPSLFKCPISLDVMTSPVSLCTGVTYDRSSIQRWLDSGHNTCPATMQLLQTKEVVPNHTLKRLIKIWSDSVQTQSNSLTQLQARSLVAELKETCSEIDENSFAIVAKLTSFASESIQNRKFLAKISGFLGFLIEMFNKHLKKFYFAEKVIQLCCVVLEEDEDKQRLSNAIDKHEFQVSISIILQHGAPDSKIAAIRVLELLAIDLDSNILSELIKLIGANTKPNSVIESCLSCLISSAIHKRIRIKLVQLGAIQSLGGLLSASDISVLIVEKIMKILEMLTGCKEGRNAICENESCLNAIVKNLLKVSSRATEHGVTILWSLCCLFRESKAQAVVAKSNGLTKLLLLMQSNCSQAVRQMSSDMLKMFRVNSKSCLISYETKTTHIMPF >KZN08997 pep chromosome:ASM162521v1:1:18818868:18819724:1 gene:DCAR_001653 transcript:KZN08997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIPCLTEEQAIMAQKHKWDLTHIETTDRRIFETISMQEYIMLQDNQLEAYGLFNTIHANNFKEGKTYRRISCVTRHMNSTVEYMVNYGMNNLIVFSEVQDDLGDMRFLLNRDMGMVFPSPIRNGAIFGTRRGKTKMYTDFSFNKNGVLCDEAIKSLDEGKLTGISSIFSEQVVYLDTLVGYGMYGRDILHYVVNGTLPEFICQNKMLGEMGKKRYVRMDVDKVMEAMDLKKKIDT >KZN11711 pep chromosome:ASM162521v1:1:47198073:47204191:-1 gene:DCAR_004367 transcript:KZN11711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMILLSSHSKYLLDILSNRVENAEGVDIERRWTEFSDVCYHIKGSSETPEFLNVSVSFPTPPEETEIEKGLPCGATEAVKVAYGVVVESIVPPDEGFSLTLKLDLSKLPKNKEKRNDMLVKIAAVREVVLGAPLKRILEHLASRTRAPAKDRVITLVHRPKECIFLIPREDKVTVIYPINFEDSIDTVLATSFLQDLAETRRSASLYSAPSCTWSSTPPAELDNATTESLSVNAGFFTFVILPRHVEGKKLDRTVWILSTFHAYVSYHVKCSEAFMHTRMRRRVDSLIQALDRAKPESEKSEECAENRSFRGMSINNIDNTFQSSKNMDHNSQSFKITDNTSQSSKNINHTFRSFK >KZN11184 pep chromosome:ASM162521v1:1:42381665:42382912:1 gene:DCAR_003840 transcript:KZN11184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPWKKTKGSRISRFADQFNTSKHGGSLVVQTGFPTSIIDLFHKNRDRLKKSAKKKRSSGFDSVSYGNSDSQLGNPERCAINSEVELLNMDVGMVNSDRCLTNRTEDDLVNGKERGGEVVDNRGNIFIVILKVLLMVILALVTRRLVVGVSVSAFSLFLLEYVGNYVYGLSKLCSDYRKMLKVIVAKVLCFVRIKGGAASICHDSVKDINLNVQSVEIEADLGDVIEPVVSLDSDKGLEFERMELKIIDIMKEDEPKQEGSRKARLKTKMRKLVRKKLCKSRRKGSGLESVVPGFGSEVPESMEEDTCIGKDGSNELREIEGQGELNEDEVNISQISLDPCDLILSKDSFVEESGRETLWISKYWVFCLIILSGLVGGRIFAIVFTLAWCLILKPLGNKGDAQPSLRSSGKNCA >KZN11217 pep chromosome:ASM162521v1:1:42741365:42743442:1 gene:DCAR_003873 transcript:KZN11217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSPSGVDPGRVPLEGYWNCCRLGADGWDEDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQICERSLTSLTGGPPGPPATCFVRADMLPSGCLIRPCDGGGSIINIVDHVNLDYLNFLCNDSYVLYPWSVPKVLRPLGFNDAVNGFLDDGWSILGSDGVEDVTIAINSNPGKYNNTLSILPTFGGVLCAKASMLLQHVPPALLVRFPREHRSEWADYAIDAYSAASLQSSPYAIPYARPGGFPGTQVILPLADTV >KZN11573 pep chromosome:ASM162521v1:1:45853285:45855483:1 gene:DCAR_004229 transcript:KZN11573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKVTIICLIAGVLGCLAAALGFAAETKRVKATQINDMATSGCKFPSSPAMPMGLTAAVALIIAQTLINITTGCLCCNNEQFQFNSRLIAAVLCSSMSWFTFSAAVLLFLIGAALNYKHGEESIYYGDYSCYVVKRGVFAIAAVLSLVTVVLGILSYIKAERAKNILDSWTPLASPGQPGISMGQVQLPQQSGTAFGQAQLSQQRSQSAVDVYENTYLREQIV >KZN10021 pep chromosome:ASM162521v1:1:31767179:31772261:-1 gene:DCAR_002677 transcript:KZN10021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQKLPYVSSCSTASSYGVHSDKGDMFPAGLRVLVVDDDFTCLRIVEQMLRRCSYLATTCSQATAALNLLREKKGCFDLVLSDVHMPDMDGFKLLELVGLEMDLPVIMMSADGRTSAVMRGIKHGACDYLIKPIREEELKNIWQHVVRKKWNETKEQENSSSLDESDRHRRGTDDVEYASSANEGSEGILKAQKKRRDVKEEDDGEFDNDDPSASKKPRVVWSVELHQQFVSAVNQLGIEKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVSQQQIGLPNSFCGHIEQNPKLGPLERFDFQALAASGQIPPQTLVALHAELLGQPAGNVVLPAIHQQFLLQGSRQGQESVRGDVGVAYGQPLIKCPSNISKQFTQESPEDVNSNFKTWSSNSLGSIGPSSNLTGLASQNGNTLMGVMQNQQQLQRMLQEQQHKQSVVQESTPSINVQPSCLVVPAQPPSFQVVNRPSISQSCSFPGAEAIFSSADVTTPQPNNSIFAVGQMLGVNHKTAKILDGYSVAGPVSPSVSSCSGIAENNALRRVQNSAPEFNASRQVPVLFRDMNDCQGSYSEKSSHVLDHGTLRNIGFVGKEACIPSRFAVDEVEAPISCNGSTYRENGKKVKQEPNLDFIESVNMSNPMMQYFSPNDVMSVFSE >KZN10139 pep chromosome:ASM162521v1:1:32953935:32954279:-1 gene:DCAR_002795 transcript:KZN10139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIANYFCKDLSISDRNDILPVPVQQKKLFATLVTKNPNAEVEFVLPEHVRVQVSKKPRHMTFSCLNLQRNRMIDNSSRVEALTAETDQCKEAHHSAAEAELRKLEPEEEPHSD >KZN11780 pep chromosome:ASM162521v1:1:48035683:48035904:1 gene:DCAR_004436 transcript:KZN11780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLTWWTK >KZN08176 pep chromosome:ASM162521v1:1:3475233:3478294:-1 gene:DCAR_001241 transcript:KZN08176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLLLEQVVMDLDRWFSSAYPPEPLVHPYLLSQISSFVDNSLQHSGYLYMSGSMAIRDAFNCMSKYSSAMLLCFAGKSNFDSNLRSPGEPFGSYTRSCKSYARVNYIASARHNISILGRTFRLTGKSFIPVLYKFTNSSLGKLCEHPPGELQSISMLSLAAALVPSLDKVSMKPENELYVQMEKETAHMQGCTDQSPSKVEHQGCDDICFNGKINGSRHIVEPSTGIEFPAILDDYSAHETNYTGLSEVLVGTGSKTMKIIKIKSLKVYAFGFYVHPCDVCEKLGSSYSSIAACELDKRHSLYQDLLREDIGMTVRLVVSVNGIKISTVKNAFEKSLRARLMKTNPNNDLDCLKKFGSLFSQDYPICAGTTIYIRRTVDGHLITEIGGHQIGAVHSRDLCRAFFDMYIGDLPICAETKDEIGRNVATILGHC >KZN11218 pep chromosome:ASM162521v1:1:42743444:42749683:1 gene:DCAR_003874 transcript:KZN11218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMHIFPSSAMEKVTFFLAVEWVPMYCGRVPCIPNLITNQFTLHGLRRSRQVGEKDDADVEKVTTDIDEAMAQAVDIGENDEKPRKNGMSLDEAIDDPENITDFILDLDEDVRVMSIRC >KZN08252 pep chromosome:ASM162521v1:1:4310529:4314972:-1 gene:DCAR_001317 transcript:KZN08252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRCVIRAGAKRVSFDKECRNGLLAGINKLADAVSVTIGPKGRNVVLSESGNLKVINDGVTIAKAIELPDAIENAGVSLIQEVATKTNDSAGDGTTTAIILAREIIKSGLVAVAFGANPVSLKNGMEKTVKELVKILKEKSLPVTGSDDIKAIASISAGNDEFIGNLIAETINKIGPDGIISIESSSSSETSVVVEEGMKIDKGYMSHQFITNHDKAIVEFENARVLVTDQKISTVKSIVPLLEKTTQLSVPLLIIAEDISTQVLETLVMNKVRGLLNVAVVKCPGMGEGKKALLQDIALMTGADYLAGDLGLSLEGATSDQLGIARKITITSDFTTIVADPSTKAELHARISQIKKDLAESDSSYMSRKLSERIAKLSGGVAIIKVGAHTEMELEDRKLRIEDAKSATFAAMDEGIVPGGGATYVHISEHIPNIKTFLMDPDEKIGADIVGMALLAPSKLIAANAGVDGDIVVDNIRASEWQIECCLRCWYNFDHSSCTGGEDKDSKTTHSSCSRNNSLGKFVRESEK >KZN08051 pep chromosome:ASM162521v1:1:1782506:1784008:-1 gene:DCAR_000720 transcript:KZN08051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLSSAVADDRRRSSQRYSPDFDRSTDRGRSSSRRRSPDYSTYDDRRRFSPRRRSLSRSPSPKRYNNNHDSNSRRNLRGSPDYSNPRKSPNFVENKKNYHNDVRNNSAYLDRDYRNGGGRGGGEESDEELKGLNFQEYRRLKRQKMRKDLRNYVWNVTPSPPRNENEEEEVEEEDVVEGVEKDKEIMSKSGDSSSSSEEESDDSRSRRKKKSKSRRSSKRRSRKSSVSESESESSEDSSDSEEEYGRRRKSSRRKKSSRSERKRKRSGRSKKRRDLSSEESESEDSDASEESDKSKLKLKKKKVVVLKETKEAETESGSESEGLEKVDDKVELKMDEVEKNESNGELFAFKDKKPTLEAEPVVGPMPLPKAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEISKFETLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREQKVMADLQRLVQRHIGEDTGPSHDPFAGGRPAEDADD >KZN09161 pep chromosome:ASM162521v1:1:21103031:21112290:1 gene:DCAR_001817 transcript:KZN09161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRNKPNAVFMAFGTKGDVFPLAALAVAFALDQEQYHVTFITHSAHESLTQNLLQKGVACIAVSSPPTLSPVNENDTEDIANSFSLEKSKITREHRQECLSIFGRIFADGLSLENDVVVINFFALEGWSLAELYNIRCVVAAPYVVPYSAHSSFERHFQNELPHLYKYLKEAPPTKICWDDVIHWMWPLFTEDWGSWRSDELNLSPWPFTDPVTGLPKWHDRTASPLLLYGFSKEVVECPDYWPLNVRVCGFWMLPKEWQYSCSKCQKLSMQLSPECLHTEDALCTTHSGLQSFLKSSASSLVFISLSSVGSMGFLRNARQFLQVLQAALNITSHRFILLTAGCESLEAAIQVIAAETSSIPYSWMFRRCDVAIHHGGSGSTAAALLVGIPQILCPFLFDQFYWADRMFWLGVSPEPLKRNDLIPVDGGYKSIKEAAEKLVRSISYALSPEVRACALEVAERLSLEDGLSEAVRILKEELSK >KZN11705 pep chromosome:ASM162521v1:1:47147971:47148990:-1 gene:DCAR_004361 transcript:KZN11705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLPSDEFFCLEHPVAEEAYVQLAVLTKVRKFNQSEFFTVENPDKLAEGTFARDRHILVDAVSIKESGKLQPVVTSPKDYGMPPLPLPPGKSSFLSYSLPGSATSSPKFSTAAPKKKPQSHALNPLGRQQTHALNHSQRSNSSGEGKIFALDDGLDQSLSNPWRTETRNDQLKKVQNNLDSQDDNFRCGAMCLYLPGFGKAKPVRSRKEEPELVNVISRTVSLEKFECASWTSSAIIHDNEGGNSSKFFDLPLELIQCSDNDKHLPVRAAFVFEKERKGTKNSSTKRISRKSHELSSQVRVSSSFRAPRHSTVTSPCISPRLQKARDDFNAFLKAADN >KZN10129 pep chromosome:ASM162521v1:1:32860802:32862638:-1 gene:DCAR_002785 transcript:KZN10129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKNKKKKGNVAESTQSELPSEQKTPQSLKPKKKTVKKSANQGSASKKILDKGNITNKEENQVNDGNTAGYTKKSLILGKITPEKPKNEVAKKFANQEEGVKITEGRGGDDDTTNKKDNKLKTDKKRNVANNLRHEKSTQRDQTAEKNLGGLIFMCNRKTKSDCFRYQVMGVSANKKEVVMGIKPGLTLFLYDFNLRLLYGIYKASSAGGMKLEPASFGGAFPAQVRFRVHKDCRPLPESVFKKAIKDNYDDRTHKFKTELTIEQVKKLTRLFQAVPSLQSDANSSLHKPSPSIYLPPPELVEETRAGRSFTDQYISRNSAAEYRIPFNHEKVAEKYIHPNHVPSSSPLFLSEKEYRSYGLRGERPDSAIPGHSFGPYRGNQEGEQPCRNLGSSSGAETLTPRGSTHSDELFLSEKDYRTYGLRRRNEGPQKSSSIEYRPTFDGYHTVDMYNPYHDNTTSLVNRYLLPPSEPLENKQLSQTYGSDTRNNFGRVASDERERLYSRYASGLPSDYNKEFHHLAGEVRSRSSPVSSRYSFAGPSVLYR >KZN08089 pep chromosome:ASM162521v1:1:2561995:2562579:-1 gene:DCAR_000758 transcript:KZN08089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRDNHPARVALYAQNITSLPQKSTKLSVESLQRTISDISAELIRKEAEAAADVLAPISEVEDAECECCGMSEECTPEYIRRVRDKFSGKLICGLCSEAVKEEKEKSGGKIEEALSEHMNACSKFNKFGRTHPVLFQAQAMKELLKKGSARRKSSSPGDLKADVLKKGGIARSTSCIPAIMKDFNCTDTKMIN >KZN10571 pep chromosome:ASM162521v1:1:37114927:37117762:-1 gene:DCAR_003227 transcript:KZN10571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAEHERENEEAKENHHLHHLEEDDEDEEEGEQRDVERGFERNVNNHGPNPLREHQHQQNNHVPPPREPQMSRMQRLSATNPLRLVFNKGTRVPNATSRAPPKGPARVPNLNPSFQPPPPQFPHPPPPLHHPTPSPSVSVPRSTPTPQQSVISLNSRRYTNRISLFIFLVHLVAAIALVFFLVYKGVEGLVRAGDTRRKEKRLLQFFLPQAVAISFLSITLAFMWQFTVRLWPKIMVYLILWSSFLMALSAGILLICFQKPPTDGVGVALLMFSVCNGLYSCWVTPRINFCCKVLVKSLEPVSKFHDLNEPTYWMLGAGFVWMLVWTFAVIGALNFYFPPLVIIALVLSLAWTTEVMRNVANQTVSRVIALYYLRGMQSNTQFCFQRALSKNLGSACLGSLFVPAIEFLRIIARVLNLIEGEDEFMFSCAHCCLNVMETIFTYGNGWAYVQIAAYGKGFVQASQDTWELFKKLDMECVVDSDITSAICFLSGTCSGSICVIVVSAWTWSVHKSFTATLSLLAFFIGYLMTRIAMALPHACVSCYYVCYAENPKNLLFDKTIPERIEMLHDGRDLSVPTPRVPRRFTT >KZN08114 pep chromosome:ASM162521v1:1:2856973:2858748:-1 gene:DCAR_000783 transcript:KZN08114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDGHIIPKLEFFREKGFSSSDLCHILGLDPEIMRRNMYNLIIPSFEFLRSVLKDDASVIYAVRRCTWLLKLDLGKFLRPNIELLRSYGVPNDRIAAMLRLHPRGMLLNADGFRMVVEEVTEMGFEPVKSQFVKACQVKLGLSETMWKRKWDCFKKWGWSDDEIRSAFMKQPSIMAVSEKKVEKVMEFLVNKMRWEISKVASCPAVIMHSLENWTKPRCLLIQFLLSKGAIKKDFPLSTVIVSIESRFVKNYVKKYCAEFPEVLELYASLSLQKNTQAAGQFDVQSVVLYFLPVHYHVDINPPDKVFKEFLIDTYNDLKSKNCFEVVMVVDEVNSFDGERGQLCGDLTPQGKFEDIVSKFPSWAAIPFSDTTSRKRLKSRFGHVGKLRSPGMVLIDIALDSTEGLVLDTLSHKLFQTYGTEAYPFSHDRFSTLKAKDKMAFSQHSLKELLGSSERDFVISNKGEEV >KZN09565 pep chromosome:ASM162521v1:1:27133608:27136469:-1 gene:DCAR_002221 transcript:KZN09565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSVTTLIFALVGLVASLSTRICYNKGPSANLLHLTLVMTATVCCWMMWAIVYLAQMNPLIVPILSEGE >KZN09794 pep chromosome:ASM162521v1:1:29424063:29425430:1 gene:DCAR_002450 transcript:KZN09794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRESKHIATGNTIAASGSSRKSTNRGQDGKKSSNNNNNNNNNNVQTLQKATSGRSSTLQKRGSSKRINAGDSKANNVLNENVKLDDEMKTDSGSGGVSEYCTPTEAAGAFDNTKTENAEEKKETVAEATPKENLAGNADRNLKNYMREAEVDQKIKEAEAAVANPGNHLQEAEVEEKIKEAEVAVEFLEKLVKETDKAQEIGGTEEATAGNRQNPVKENLEIPSSSVQNPEEEANKPEEIRETEVEATDATLQNPVEASDKSERNQNAGSASNKYDETLTKAGEAVEKVKEIHEVTEKAEELPVKEVETSSRTDQEALVSDEPEFMEKEAEDDYTTPEDPLKAAENADGENHASRLENTANLQSIEEITSQENPDIKMNTDDVGKQ >KZN07896 pep chromosome:ASM162521v1:1:518640:520557:1 gene:DCAR_000565 transcript:KZN07896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSSFIGSSLPTTTTFYSKPTKTHKHHPTITSLNRPPPPQNPNPSPNFITTISKFLWGPSLPPQLLISTVRSTWSTTWQIMMSQLAPSDPSGSYTRPTSQFRAKPGNQFSRQNFKNLHLYVGLPCPWAHRTLMVRALKGLQESIPVSIAAPGLDGSWIFLNSGTRDKDRLVPGSDNVNGCRSLREVYKLRAGGYSGRSTVPMLWDVDKKDVVCNESYDIIELLNASFNDIALNPDLDLSPPELKKDIEKWNAIIYPNINNGVYRCGFAQSQEAYDKAVNQLFDALDLIDNHLGTSRYLCGDRLTLSDVCLFTTLIRFDIVYNVLFKCTKKKLVEYPNLHGYMRDIYQIPEVATTCNLEAVMDGYYKFLFPLNPGNIRPIMPGGCEPDALLRPHNRETLSVPEGVQVYIT >KZN10018 pep chromosome:ASM162521v1:1:31748214:31751630:1 gene:DCAR_002674 transcript:KZN10018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTRDAIVRRALDSLGKGFDLTSDFRLKYCKGEDSLVCLNQDQTRQLSVPGFGQFDDVSTDIKCGKGDRTRYQSDILDFNQMSEFMNQKCSVPGKIPSGWFNSMFGFESESWETDAARTKYLGLDGYFILLFDLHINRYHLQLLDEVKNAVPSTWDPSSLARFIEIYGTHIIVGLGIGGQDTVVVRQDKSSNLEASELKKNLYELGDQLFNGTCTFTPKDQKHKGINVICSKRGGDLSAMTHSEWLLTVPSMPDAIQFNFIPITSLLKGVPGNGFLSHAINLYLRYKPPLADLKYFLDFQSHKTWAPIHSELTLGPASSKAISNPSLEFNLMGPKLYVNTTQVTVEKRPVTGMRFYLEGMKCNRLAVHLQHLANTPQFLQNRFEDTQFWRGSEDFSSEGYLEPIQWKNFSHISTAPVKYDPNWTRKGENVTFIVTGTQLHVKNENSKTALHLRLLYSKVSNSYIAQSSWMQCQSDASQKSGFFSAISQSLILGGMPDKEDTKKVVLDSSVFPTGPPVAVQTKKLLKFVDMSELCSGPAVSPGHWLVTGAKLQLEKGKICMHVKFSLLNIL >KZN10531 pep chromosome:ASM162521v1:1:36655683:36656084:1 gene:DCAR_003187 transcript:KZN10531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKDGDNMGKIGKGAPDQQPRAVGPYRGLVIRNDDFSIGLGAPVSSETCKENSLITPSPEMAPKPLAFAPAYNGATCPNGVPNVKDAASGEMNGSDIDLDLKL >KZN09798 pep chromosome:ASM162521v1:1:29453325:29453633:-1 gene:DCAR_002454 transcript:KZN09798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVTRMVSQRPVVIFSKTSCCISHTVKSLLQDFGVSPVVYELDEIPRGRDIEAALAKLGHNPVVPAVFIGGELVGGANEVMSLHLQRNLRPMLTRAGAMWV >KZN09903 pep chromosome:ASM162521v1:1:30632425:30632835:1 gene:DCAR_002559 transcript:KZN09903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPSNTSWVRTIKSPFRKARTLFNNNQNPSAATTKAHKRNKSRQPQGQDDDKKMIDLQLEGEVMACTYEDVQVMWSILDKANNKPRSSLCNVNT >KZN10952 pep chromosome:ASM162521v1:1:40608056:40612190:1 gene:DCAR_003608 transcript:KZN10952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGQVSMGREISNPPTDGISNLRFSNTSDHLLVSSWDKTVRLYDAAANLLKGEFMHSAAVLDCCFHDDSSGFSASADNTVRRLVFGYGREDVLGTHDAPVRCIEYSYATGQVVTGSWDKNLKCWDPRGASGQERTLVGTYNQLERVYSLSVNGHRLVAATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNQTGYALSSVEGRVAMEFFDTSEAGQSKKYAFKCHRKSEAGRDIVYPVNAIAFHPIYGTFATGGCDGFVNVWDGNNKKRLYQYPKYPSSIAALSFSRDGKLLAIASSYTYEEGEKLHEPDSIFVRSVNEVEVKPKPKMVANPAA >KZN09615 pep chromosome:ASM162521v1:1:27677231:27678261:-1 gene:DCAR_002271 transcript:KZN09615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQNQQDDNPFLTTITHQEPIITHREVSENTSGSTKRKLLFSSSSPVSKKPNLSPSPVSSPVLSPHSLQGFKKIQLPPTILRRTISEPLDPNCRFSNPLSPEILERSLSDLGLVEVKSPENDPRTVPVVNVVETTPSSLPPRSPVLGRKISDAMSSGKIGSDSKESPSSLELKKIKKGLRQMSQWFSEALEMEDDQEEEEEEQKGCLCKHKTENPKGKECSEAEKEIDEEAVSVDKTGGCLIIKFKCPCSKAYHLLLSGNSCYYKLI >KZN09475 pep chromosome:ASM162521v1:1:25639870:25642384:1 gene:DCAR_002131 transcript:KZN09475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGGLSAGDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLLVTAFGAVLHVLSTSLLGITAVTISNTIAGEETVHKLASLLLVFLGGSYIILFLMGKGSHGHTHNQPMEKMAVAGLILVPALSPCATTLPVFLAVGNSYSMMVIAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGILTLLFHDHEHDHGDISQLNRKLISL >KZN09095 pep chromosome:ASM162521v1:1:20205559:20209580:1 gene:DCAR_001751 transcript:KZN09095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFYVLALLSTVSTSVSSSANSDLHALITLKQGFEFTSPVLSSWDSSKPSSLCSWAGVKCSQGRVISLELSNMSLGGSVSPVVSSLDMLSELSLDGNNFTGEIRILNLSSLRVLRISNNMFSGSLDWDYSSLANLEVLDVYNNNFTSPLPTEISGLKKLKYLDLGGNFFFGKIPEGYGSLIRLEYLSLAGNDLRGKIPNELGNLTNLKEIYLGYYNEFEGGIPKVFGNLVNLVHMDISSCGLDGPIPPQLGNLKLLDTLFLHINALSGSIPKQLGNLTNLVNLDLSANALSGQIPYELIRLQKLKLLNLFMNRLHGSIPDFVADYSNLEVLGLWMNNFTGIIPRNLGQNRKLKNIDLSTNKLTGTIPPDLCASNQLTVLILLRNFLFGSIPEDLGTCSTLVRVRLGDNYLNGSIPRGFIYLPQLNLVELQNNYLSGTLSENGNLSTSPSKMEQLNLSNNQLSGSLPASFSNFSSLQILQLDDNKFSGPIPSSIGELQQLSKLDLKLNSLSFQIPPEIGNCIHLTYLDLSQNNLSGPIPPQVSNIRILNYLNLSKNQLSDTIPKSIGSMKSLTTADFSFNDLSGKLPELGQFSFFNATSFAGNPQLCGSLLNNPCNVSDMASQPGKSHSNFKLIFALGLLFCSLVFAVAAMFKARSFKKNGSHSWKMTAFQKLDFTVFDVLECMKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLVGFGTSSHDHGFRAEIRTLGNIRHRNIVKLLAFCSNKDTNLLVYEYMRNGSLGEALHGKKSAILGWHLRYKVAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLNSSYEAHVADFGLAKYLIDGGASDQCMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGEFGDGVDIVQWVKTTTNFRKEQVNQIFDSRLTTVPHDEAMHLFFISLLCIQDNSVERPTMREVVQMLSEFPRHTPDYQTSSSSIACQKSKKPENGEACTKNRRDLLV >KZN09211 pep chromosome:ASM162521v1:1:21689315:21691045:1 gene:DCAR_001867 transcript:KZN09211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRHSPSRHQKAKGFRLKHVLQICVLVGVCFWLIYQVKHSHDKRKQFDENDAKTSRDVEMNSAVLKLGRKDLISQEKGPIKDLESHDEEVEEEIVEEDKSEEENGEQDKNEEEIGEEDKHGEEIEKEDKHEEESEEEEKHEEETAEEDKNEEETEEGKKEEESGEEDKHDEENGEEDKHEEENGEEDKHEEETGIEDEHENEEQEEDIETEEKGDRGGDVGVNEQEQEKTDSNVNQEEEFLDEEKDKDTNEKETEDKDDGGKDSQHTSIEDHDHDEGARNTREAREEQYKGDDASSAVTHDGQITSTENVIGSMENQASTVEHNNKHDNTEEGGAGKNTTDVNLNELEAGRNGSISSNEEKSSEVLDSVSQSNSSFTSITALESNGQSEIINITDTQDSSVKNEIAVIKSDSNSGSDGNETAAQGTNSETLVLEQSDKSTVSLDNAKLDSNSSSSTANVEENPVESRDSSTNFKFVSAETLAQSNVSAENKDGSASSTIVESTNDGNENPENVEGTEGTYDNSDSSNTDDTDQVQHDSIDSSDISLPVDDKENRIDLDTLPEIRTEGSNSEEVAEE >KZN12051 pep chromosome:ASM162521v1:1:50618134:50620874:-1 gene:DCAR_004707 transcript:KZN12051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCCGGSEKKVADNMNGEEGAGDDEELVFTVASMNPCRDLVFPHPTTKACQLLSHLPSSLPMDSGIHAQALLSNQPRWLNFSNTCHSDMLHEDAVETRVLVPVSVGLIELFVDKQVPEDQNLIDFITLQFNISQDHQSMMNSNFSFKPTESDHKLQKELFHPPVSPATMIQTLNLQDEISPDHIHLHNSSLNFFQQFDDHNMENENKGLLESPDEQLNADHRPLNTFGQEDLDPFQKSLISNAIASVDAQMINTSMEPMKTKKRRSNDDRDSMNRSDSLSDDEDNNDDEDNNDGKYRRRNGKGGAQCKNLEAERRRRAKLNERLYNLRSLVPKITKLDRASILGDAIEYVMELKQQVEDLQNELERNIEDEPNDNDQSTLQSEVVHGSEGKIGTKSELGKTYNRAYNVGASSSIATEVSTKKNLNSESTNDKVQQMEPQVEVIQLDGNELFVKVFCEHKPGGFVKLMEALNSLGLEVTNVNVTSLLSLVLNVFKVERKDCDMIQADHVRESLLELSRNPNRSWPEISKAATSENGNGNDPHSYHPYHHHQHPVHFHHNHHLHHLHN >KZN10620 pep chromosome:ASM162521v1:1:37669982:37672155:1 gene:DCAR_003276 transcript:KZN10620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFYCTGLLNNILDRSKLEAGKVTNEHEGFKLSHLLEDVVYWFHVVGINQGVDVVLDLSDIPVNEFDHVRGDRKQLKQIFSNSVNNAVKSTSEGYVSIRDYARKPTSSTIIDDIGIGIPQDKKEIVFENYAQIKGIRPMTLDHMLVLTCLHANRFHHKKPVMDGLEATRKIWQEEAKYAVHIPILAVSAHIEGPEIKLMVETGVDYNLAKPLNVQKVREALSIFEG >KZN08433 pep chromosome:ASM162521v1:1:6704203:6719372:1 gene:DCAR_000979 transcript:KZN08433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHGYHDNNEPDLAKLQATMQAIELACSSIQMHVNPAAAEATILSLCQSPQPYKACQFILDNSQVPNARFQAAAAIRDAAIREWGFLSAHDKIKLISYCLNYVMQHAHSQEGYVQAKVSSVATQLMKRGWLEFQATEKDAFFQEVRQAVIGSRGLHVQFIGITILESLVSEFAPSTSTAIGLPREFHEQCRTSLELNYLKTIYCWAQEAALSVTNNIVQSNSEILEVKVCTAALRLMLQILNWEFQYSTSAVESTKKSINVFSTGVRFDVNSAKRSEYALVQPGPSWRDVLIHSGHVGWLLSLYGALRLKFSNHGYWLDCPIAVSARKLIVQLCSLTGAVFPSDSGQTQNQHILQLLSGILQWIDPPDVVSNAIKCGRSERPFGTITLLSTLMAEVLKDLMDTSTDEETWSWVARDILLDTWTTLLVPTDLTHPSMLLPPEGINAAANIFALIVESELKAASASVSSDEAEDYLQASISAMDERLCSYALIARAAVDVTVPLLTRLFTERFLRLHQVFCLSS >KZN08083 pep chromosome:ASM162521v1:1:2393484:2394203:1 gene:DCAR_000752 transcript:KZN08083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASNSEPESSSNSFSSSPQSPSSPGSTHFVKSCLESDKSLSDSSKKPKRIRHGNKHSVYHGVRMRNWGKYVSEIREPRKKSRIWLGTFSTPEMAARAHDVAALCIKGSSAILNFPELANLLPRPASNSPRDVQAAAVKAAAMDQFDSTPPSLSPSSSSTLSSSNSLSSLVLAIDLSAASDELTEIIELPSLETGFDSVEMMNNDFVFDDSVEMGWCYPSPWSMENAENDTGEFSFPFF >KZN08182 pep chromosome:ASM162521v1:1:3612159:3614899:1 gene:DCAR_001247 transcript:KZN08182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEQEFLSTEIVNRGIEALGPDAGSMTFSVRVRRRLPDFLQSVKLKYVKLGYHYLINHGIYLATLPALVVLFGAEVGSLGREEIWDKIWNVTALYDLATVLAFFGVLVFTISVYFMSRPRPIYLLDFACYKPDDELKVSKHQFIELARSSGKFDESGIEFQEKILRSSGIGDETYIPKALMAGEKCSTMKEGRAEASMMIFGALDELFEKTRLRPKDIGVLVVNCSIFNPTPSLSAMVINHYKMRGNILSFNLGGMGCSAGIIALDLARDMLQANPNNYAVVVSTEMVGYNWYPGKDRSMLIPNCFFRMGCSAVLLSNRRRDFARAKYRLEHIVRTHKGADDRSFRSVYQEEDDQRCKGLRISKDIVEIGAEAIKTNITTLGPLVLPFSEQLLFFTTLLRKYLFGGGSGTKSQSSKPYIPDYKLAFEHFCMHAASKVVLDELQRNLELSEKNMEPSRMTLERFGNVSSSSIWYELAYLEANERVRRGDRIWQLAYGSGFKCNSAVWKSMRRNSAELCYLLKLDAIERNDTKMSEDRKRRRMLVEHRRKCSIKCNPERHCDPKNQENSITRSMATREIVTSSVALIIFLLIAPNNVVMSQFPGNSENQNCIQESYDNKRLVARDAIDQTIHDIHDLIRFINTIPQLKSLPKSTAELEKLKDCVAGLVGNATVAHFRLTKLRHLNDETMPHLLSTSKQLNTAMNEAMRLQGQVCHNALEDVDRTITSMLSRKLLDVAVNVDDILYLVNQLKL >KZN10159 pep chromosome:ASM162521v1:1:33122059:33125601:-1 gene:DCAR_002815 transcript:KZN10159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEEAVSKASLSCGKSPGSLSLDTNLVKDSSFLNVYASSDSTSNSSGFVSLSSDDNGVMSSEEGFETASEKTVLEYQGSGGTDISTGGGGDSCENFVGFTRFSMSSEDVVGEFGDDCVGKNDEVGVLEGGEVEGKLGGSCEAFSGEEFSGSFAGFSEFSKSIRDVVGEVTNDVKNPNGVGVWEGGEVEEKLVDSCEDFSGEDVVGEVSDDGCVGNIQDDEKEGVTQAEGGGTGAEAMLEDNVHGEVVLTCNAISDNAIGSLALVDQMEIVANSPVKVEEYKETGDAYIQPQETYDVNLSVQNETIENKISGKLFSDNSSELNLAERKTIKGEDGMKVVINGVNNMKGLGSEWVTDGMIMGSSIAAKRVRNELNPELSGYSQPDAKSSNFIQEFNGHNVMESDGVDNDEEEEEEEEEGKLLFDSSVLTDLLKATLNVGSGGNLPVTLQDLSRLISFERPACLGSSVQSFQPAARPNGSNFFRPSGFANIEESVNTLSEEEKRKLEKLQSIRVKFLRIVKRLGLSGDDPLVTQVLYHLRRIAGRQRGPLFGLDAAKRTALQLEEDGKEDTDFSLNILILGKSGVGKSATINSIFGEEKVRIDAFQPATSTVKEITGVVDGVTIRVFDTPGLKSSAMEQYFNRGVLSSVKKFTKKIPLDVVLYVDRLDARTQDLDDLPLLQSINISLGSSLLQNAIVTLTHAASAPPEGPSGSPISYDAFVTQCSRVLKSSIEKAVGHRNMNGVCLVENHLACRTNKGQKVLPNGQIWKQQLMIMCHSIKILNEANSISEPQESFSHHRNFGYFSSVSRSLPSLMSLTLESQVHQKLLSYEQGCYNCEADIDLEDLSDSDKDEDEYDQLPPFKPLKKSRLSKLSKEQRKAYFEEYDYRVKVYQKKQWKEELKSMKALKIKGEGSTSDDNYTDEDPADEGSFPSPSQFLAHPILDPSGWDHDCGQVGVQLLKRIATSSRFPAEIDVQLSKDKNKFTIHLVSSIATKHGEKGSSMARFDLQNLGNQLAYIIRGETKLKIFKRNKTAAGITITRINDNVITGLKLEDQMTIGRQYSVAASAGMVRSQQDVAYGANIDIQRREHDYPIGRIQSLLGLSMMWLGGEFALGLNSFAQFSIGRNSKVDVRANINSNLTGQIAVRTSSSESPFMLATIIYGAISICKQLFSGESQKFLPY >KZN07895 pep chromosome:ASM162521v1:1:516522:516957:-1 gene:DCAR_000564 transcript:KZN07895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEGIMIFSNLSRSIVMVSVFDC >KZN10926 pep chromosome:ASM162521v1:1:40354145:40356865:-1 gene:DCAR_003582 transcript:KZN10926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAIVSTAIEKLGDFLTQEINIRVGVKDGVQWLKDELVYLQSSARYAESRQHEELIRIWINNVKEVAQDAVIILERFSDHQKKHSAPRQGVLDCLRRSTCICTKEAQLYDIGKEIESVKQRVSEIKNRRAEYGIGNILDTANVRQRKRALLRATAVENKVDVVGFEDDVRSLLAELTRKDPALKYVSIHGMGGLGKTTLASKLYHSSELSHFKSRAWVCVSEDYKTEDVLRKIIKSFMKHTLDGLVYLDKMDEADLLQHLLNLLQEGDGFLAVIDDIWDIKVWKKIKSAFLDKSDGSVVIITTRYKKVAERVDDRCVVHKLRFLNEDESWQLFCKTAKQTPNLDKLGREMVGRCRGLPLAIAALGGLLFHKKSYQDWSKVKNDLWRQLKGESAEIDEILSLSYNELSPQMRDCFLYLARFPEDYTFGVEKLKLLWIAEEFITDKGDGLVTEDVAEEYVNELINRNMILIATFKFDGQVAKCRVHDVVRDLAIQKASADKFLGVFDSSKKHPNSIRGHRRQAIYNGTGDYLELPGSCSDDLKVCSLSVMKESDELTIKEMKLIFSRFENLIVLDLAGVEHSERIPKNIGDLVRLKFLGLMGSGLYHEEPVAIPASISKLKKLQTLWGADFSRYKFPRETCELEDLRHIRFFKGGFKIQSNQTKLQTICTIHYSDWIQVDTVNLLDLRALSITSVKGEQVPGGTAYSLESIAKLTSLETFSLDFSHYPMIPSIRPLSYCENLQSVCLSGRIKDPSELRFLPESVTNLSLSKTKFTQDPMPTLAKLSNLTALDLGEGTYTGEKMVCSDNMFPCLQFLKLIGHPDLIDWHVEDGAMPCLKGLYIDDCDNLMIPERLIRVPPTPDFTELISRKEKLKMEAKIEAHAQVIRAARLFQDAGRGDTLAYKRAK >KZN08644 pep chromosome:ASM162521v1:1:12918974:12919309:-1 gene:DCAR_001174 transcript:KZN08644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSQLSDANCSRLEEVAKKSPGLVSVLCMFLIVHNLVLYQDLGRLKKYVQKLQEETKELKLQVEYCLQKELVAMKDEINEKTKDAIDNAVERLTAVIERVASQNED >KZN08566 pep chromosome:ASM162521v1:1:10558044:10560083:-1 gene:DCAR_001096 transcript:KZN08566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPPALLKYLLQYTFLMYTFPELQGNNCVNLYSKLGSVKDSLLAFHDIEVKNVVSWNICLMGFVKCQDLVGAWKVFGGMPERDVVSWNSMISGFASSGLGDCALGLFREMQSEGVRPSGFTLSILVSCVGCGRWGKEIHGSMIRSGVDLGNVVVGNSLIDMYGKLGLLDYAFGVFLCMEEWDVISWNSLLSVCCKSGREELALIHFCTLRGRFLVDEFTISTVATACSNLHDLGMGKQILSISVKLGFLSNTVVSSAMIDMFSKCNRGEDAVLVFEYVHVKDSAICNSMISSYAKNGREEDALQLFVWTLRKDFKPTEFTLSSVISCTSVLNPLEHVSHFHCLVVKLGFESDSVVASSLVEIYSKYGVIDFAMIIFNDMVLKDLISWNMMILGLTYNGKVLESLDLFKELLETGPPPDHITMTGVLSACNYGGFVNEGMSIFASMEKQYRVKPGSEHYVCVVDMMSRAGHLEEAYNILETMPCEPQVLGWISILYASEMYNDLKLIEKASERILDLEPQLSLPYLVLAKAYERRGRWESLVRVRKAMEARHVKEMVGFSSVGIRNRVYLFRENQLLHCGGNDIYSTLRLLQEDVEHTERNWQMI >KZN09508 pep chromosome:ASM162521v1:1:26425162:26427654:1 gene:DCAR_002164 transcript:KZN09508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQNLFFVPILLFFLCFSTTCHFSFGADSISAYRSLSGDRTIVSSGGNFELGFFKPGKLSKYYIGMWFKKVSAQTVVWVANREKPVTDKYSSELKLVDGNLVLYEKGTEIWSTDTKLKSSSVVAVLLDEGNLVLRNGSSNTTVWQSWDYPTDTWFPGGYLSYDKRTNRTQIPTSWKNSEDPAPGLYTLEIDPIGHQFLIRWNRSRQIWTSGAWNGQIFTNVPEIASGSIFNFTYISNNHGDYLTYFFANSSAYIISRSVMDYNGQIKQFGWLPDQQKWSLFWSEPNTQCQVNAYCGAYGVCNDIFSPLCNCLPGFKSRFEKSWTSGDYSGGCQRYMELESGNAYTTGRKADIFQIHSHMKWPDNPQAFSAVNAERCKSNCLSNISCTAYAYYEKACFTWNGDLFNMQQLSVDDSNGRVIYIRIHSSGSSKNNKGIIYGVVGGSIAILSVLLLIAFRRHKSGIATVIERAAEGTMVAFGYKDLQTATKNFSEMLGKGGFGSVYKGTLPDSTVIAVKKLEGVSQGEKQFRNEISTIGNIQHINLVHLRGFCSQGNKKLLVYEYASNGSLDSHLFNPKKDESILPWTRRYEIALGTARGLVYLHENCRDCIIHCDIKPENILLDSFMCPKVADFGLAKLVGRNFSRVLTTMRGTRGYLAPEWISGGAITAKADVYSFGMMLFEFVSGRRNSEQTRDGKVNFFPAIAANVIMGRGDILTILDPNLNQVADVEEVTNICRVACWCIQENEHVRPTMSQIVQILEGVVDVDMPPDPRGLQVFIDNEDDIVFFTDKASSSNLHIQSDPAWASSFVEEQYTNKKTQGSKIQECESD >KZN11057 pep chromosome:ASM162521v1:1:41322775:41331938:-1 gene:DCAR_003713 transcript:KZN11057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSAISPSSYAAIAAASSARTPIFNKKKTAAVLSPLSLFHQSPSLSKTGIFLHRGRKESSSKFYIAASVTTAVPSLDDSVEKVQLPRGAMWSIHKFGGTCVGSSERIRNVAEIVVEDDSERKLVVVSAMSKVTDMMYDLIYKAQSRDDSYESALDAVMEKHKLTAFDLLDGDDLARFLTRLQHDNGGDCNWMDTRDVLVVNPAGSNQVDPDYLESEKRLEKWFSSNQCQTIVATGFIASTPQNIPTTLKRDGSDFSAAIMGALLRAGQVTIWTDVNGVYSADPRKVSEAVVLKTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIVIRNIFNLSAPGTMICRESVGETEDGLKLESHVKGFATIDNLALINVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSICFAVPESEVKAVAKALEARFRQALDAGRLSQVAIIPNCSILATVGQKMASTPGVSATLFNALAKANINVRAIAQGCTEYNITVVLSREDCVRALKAVHSRFYLSRTTIAVGIVGPGLIGATLLDQLRDQAAILKENSKIDLRVMGITGSRTMLLSETGIDLSRWREVQKEKGETADLEKFVQHVRGNHFIPSTVIVDCTADSEVASHYHDWLCRGIHVVTPNKKANSGPLDQYLKLRALQRRSYTHYFYEATVGAGLPIITTLQGLLETGDKILRIEGIFSGTLSYIFNNFKSTTSFSEVVSEAKAAGYTEPDPRDDLAGTDVARKVIILARESGLKLELSDIPVQSLVPEPLRDIASAEEFLLQLPQFDSDMTRKREDAENAGEVLRYVGVVDAVNQKGVVELKRYKKEHPFAQLSGSDNIIAFTTERYNKQPLIIRGPGAGAEVTAGGVFSDILRLASYLGAPS >KZN10166 pep chromosome:ASM162521v1:1:33224678:33224848:-1 gene:DCAR_002822 transcript:KZN10166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRLYTHHYEQSTIYATPSKSHKSVIVDMMNNVKPKQKTQTLVHKEKSNCAVVEF >KZN10317 pep chromosome:ASM162521v1:1:34524712:34528983:1 gene:DCAR_002973 transcript:KZN10317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSQSSQVGEEEEDDEEEEEEEELLEAEDDIDDDDGRNGLMGGDGDNLVKKVLEQEPEMLPCHSSASPLSPQLSAFGTPRMGPSIKVWDPYNVLAPPPALPPPPHFSRAFSAADVVEDDRTVTEVFLISHGECHMNLRPDLVPGRCPEAALTPNGKRQARALAVFLKSQGVRFNAVYASPLDRARLTAIAVCQVLSPLCALFDLEINFMDEQIQSSEALIDMSQGLWEGCQRSEVHTREMLSLMDRFQPDFSAPSGESLRQVEFRMIQFLSGTIVGLPEKLRSDFSPPDPVENPSFLQHNPQAPNHIIHDQVGPSLPSPHWDLLHKPRKVPPRKKSGKSRLQIVTGTGDNEADDEMTPREPNHQAPSRELNVRTTPFTLTSPAFTSCSVGVFTHSVPIKCLLTGLLGCSPVMSNKICIDDSSVTVLQHSWKTGWQIKRMNDTAHLRLL >KZN10929 pep chromosome:ASM162521v1:1:40365396:40366754:1 gene:DCAR_003585 transcript:KZN10929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLQSVSNPVQELALDCETLPERYIYKRSDEAIDVDYPTIDIPVIDINSLKSSSLSAEKELEKLRASLSSCGCFQAINHGMTSSFLDQVRSIGRDFFQLPMKEKLNCVRAAEDMEGYGNDPVFSEHQVLDWTDRVYLLTSPADQRKLHLWPQNPENFREILEEYTERLKFLNEAVLKALSSSLNLKESCFLDQYGENANMVARFNYYPPCPRPDLTLGLKPHADGSAITYLLQDKEVEGLQILKNDQWFTVPIVPDALLVNVGDQVEIMSNGIFKSPVHRAVTNAERVRLSVAVFCFPDSGREIEPAAELITETRPVLYKKMKDYLGAYFENYHHGKSTIEAAKI >KZN10979 pep chromosome:ASM162521v1:1:40798302:40798730:1 gene:DCAR_003635 transcript:KZN10979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSSHITRENGGMMNWPSTTKVIRDDGKVQEFKDSIKASYILSRNPNYFLCSSESMYIDSCAQQVPMDDMLQLRHIYFLIPSSKSCVPFSLHDLCSLAIKASTALEHTGRRGKIIGVKPYQDCKVQGNKYNNPTHTGLKPE >KZN10382 pep chromosome:ASM162521v1:1:35131177:35134794:1 gene:DCAR_003038 transcript:KZN10382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLEENDHIEEMNMEVLSSAWPEIINGEGMQFNSNKPDADEDMFEGVKLNVEPAAVDFNHLLELTSNSEKGSSQLANLIKSWEYKQANAVRLLREELDSLSKQQKKAEFEKLGMIKEHRSEEYNYGSDERPSSILDKVHDIQKEVSQRRKNVVIHDQRPELEPEYDTVNFWRQQAIHLETLLEASMQREKIALEKLQESIQNLERQSSPVEELSQVLRRADNYFHFVLQNAPVIIGHQDNKLRYRFCYNYFPGLREEDIIGKTDTEIFTGGGVKEFQEFKQEVLERELAAKKEITFETELFGSKTFLMYVEPVFSKAGETIGVNYIGMDITDQVRKWEKMAQLKEEIAVQKAKETEFNKTMHITEKIVQEQFLTTISHEMRSPLTDLVSMAKNLSTTKLDKEQQQLLGAILSSGDLVQQLISAIPELPKAQSGVMSLEASSSRPREVEGLQIDEASLQKMLKLEECVIGDVPVGVAVGGAALVRGGGVVTVEVVSAEETKP >KZN08920 pep chromosome:ASM162521v1:1:17664443:17665256:-1 gene:DCAR_001576 transcript:KZN08920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEYRCFVGGLAWATNDESLEQAFSQFGDITDSKIINDRETGRSRGFGFVTFKDEKSMRDAIEGMNGQELDGRNITVNEAQSRGSGGGGGRREGGGGGYGGGGGYGGRREGGGGGGYGGRREGGGGGYGGGGGGYGGRREGGDGGYGGGGGGSRW >KZN09720 pep chromosome:ASM162521v1:1:28764219:28764917:1 gene:DCAR_002376 transcript:KZN09720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSKVSFALAMVLVATLWTGSTAQTSDCTNTLISLSPCLNYITGNTSKPDSGCCTQLSTVVRSKPECLCQVLNGGGSSFGIQVNQTQAQTLPGVCNVQTPPLSTCNSLSPNGAPSGSPDSPTNGTPSGPGSNTTPSKDNGSSDGSSTRVAVPLLFFLVFVASYAST >KZN09981 pep chromosome:ASM162521v1:1:31359611:31359913:-1 gene:DCAR_002637 transcript:KZN09981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVPLGSSKKMIATQQEMVDNRVPIPYRDQCAHLLIPLNKCRQSEFYLPWKCENERHSYEKCEYELVMERMLQMQKIKQNQELKKSDGVPLIPSTANAS >KZN11536 pep chromosome:ASM162521v1:1:45544883:45553908:-1 gene:DCAR_004192 transcript:KZN11536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPAAAIRCCAVASIFVFLILIQPSVSIYCDEDDCYDLLGVTQSANSSEIKKAYYKLSLKHHPDKNPDPESKKLFVKIANAYEILKDEATRGQYDYAIAHPEEAFYNMARYYQAYYGHKTDPRAVLVGLLVVLSAFQYLNQWTRYNQALDMVKKSPAYKNRLRALELERSGGVTNKKKSNKQTNKKVDDDLSKELDLQIKGAEKPSIWDLLGIRFILLPYTIAKLLLWHGGWFWRYQIKKAPYSWEDASYLTQRSLGVPHESWRYMDELRKDDLIERRLWEKSNMDSYVAEMRKESKRRR >KZN10350 pep chromosome:ASM162521v1:1:34834091:34834483:-1 gene:DCAR_003006 transcript:KZN10350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLQYQPKSLRFVATKVAVDGLLFGPLDLLVFFTYMGFSTGKSVDQVKEDVKRDFLPALILEGGIWPIVQVVNFRYVPVRYQLLYVNFFCLLDSSFLSWLEQQQDAPWKQFFKSIPEVKEIKGEVNDR >KZN11521 pep chromosome:ASM162521v1:1:45430957:45437375:-1 gene:DCAR_004177 transcript:KZN11521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPHPRESPTQYAGICHVAHPGAPKQSAAPVLHSLRVWIYERFPTLAPRHTATPLIIYPLALRVTRQFGFVQDIPTSSPRANHSNLHTIVNEAINWEGARESHTRLWDRSLERALTSPPLMFGEGCTAAYMPWFLAVTRRYIVNPVYWRTAEAFQGTQGATQALEDQLVDMESAIDPATLDLARAQRIVQGLLGRFRGSRNPSRHRGRPPVTPVEPEPGTYYTHVASGSSDTGGWSHLVGTSSSPVGDVAGTSRADGWDSWPASTVRPSTYAGDDYEGGPRGFTVRLEDDQDMSAEGQSQESYQFQDADAYRPDMSFLRDQYTTPPPQVPVPSFASQSYIFGAPAFPFAPPPERSTPTPIQMSTFASYTGESSPWAPPSTAVPGHSEAEEQPEDEHRQQPPRAAKGKGRRCHTGSHIFGHKKK >KZN08960 pep chromosome:ASM162521v1:1:18178451:18179434:1 gene:DCAR_001616 transcript:KZN08960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDPSFIQAIEHRPKLTVTEAQGIPLIDLSISNTQLLVSQIGDACKNWGFFQVINHGVPVKSREKLLLASRSFYALPKEEKLKVRRDEVNPFGYYDTEHTKNVRDWKEVFDYTVENPTVLPASPDPDDKELIMLVNQLPKNPINMREAFEEYAQEVKKLCYTLIELIALSLGLPADRFNKFFDNSTSRIRLNHYPPCPVPELALGVGRHKDGGALTVLYQDEVGGLEVKRKTDGEWIRVKPTSNAYIINVGDIVQSFNE >KZN11839 pep chromosome:ASM162521v1:1:48596674:48601530:-1 gene:DCAR_004495 transcript:KZN11839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEVGDYTIFMGLDKHENEELIKYGFPEDIWFHVDKMSSAHVYVRLHKFQGIDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWQNLKKTASMDVGQVGFHNSKMVRTVRVEKRINEVVNRLNKTKVERTPDLKAEREAVNAAERAERKQQLREKKRREDIERMEKERQAELRSYKNLMVADKMTSNKDIASTNKSLQELEDDFM >KZN11823 pep chromosome:ASM162521v1:1:48411369:48413355:1 gene:DCAR_004479 transcript:KZN11823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQEPLLDSVDQKNAGGFRNMSFIIANASFEKAATFGLNANMILYLTNDYHLGMVSGANIVNLWTAAGNFLPVVGAFVADSSVGRYPLIAFGSIVGLMGTILLWLTTMIPRARPPFCSVSTAQCDPSTTFQVIVLCFSLGLSSIGVGGIRSASMAFGADQFVKGYNKEKSLAALESYFSWYYAATVLAITISLTFVVYIQEQLGWQVGFGIPAVFMLLGALLFFSASSFYIRSKDTSSLITGFFQVIVASYKNRNFTSDSDKTIVYHHKKESELIVPSAKLRFLNKACIVGDSKNYLTGKQIPGSWSLCTIDQVEELKAVLKVIPMWSTGVLMSVTVSQGSITLVQTMSMDRHITSSFEIPAASLSISFFISAILWILFYDRVIVPIASRLLGKPFYLTPKLKMGIGILLSILFMAVLAFIEYTRRGIVLKQKISDNQESAAKMSALWIIIPYCLIGIGEAMNTVGQYEFFYSEFPKSMSSIASTLRDLSLSVGGLAATYILTIVDQVSRRNGKPSWISSNIDQGHYDYYYLVIAGLCVINMFYYVLCSWAYGPCELSARKDFQQQDKIDED >KZN09406 pep chromosome:ASM162521v1:1:24641828:24645798:1 gene:DCAR_002062 transcript:KZN09406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKVHHSEVAKGINGLDRFILRQARGSSTEVLSGTEMGESKSKIGDSTLSRHKATTIQFDLTKPLSLSGPSNADIRRNAMLHKFLITSGVYESLEETERREEVLAQIRQPQMALFNSVNAMLFDQP >KZN08062 pep chromosome:ASM162521v1:1:1932638:1935150:-1 gene:DCAR_000731 transcript:KZN08062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRDLLYITPKPCRHLVEYKIKNGITGYNVIQSYIKSGFYSGKVIKNARSEIPRCGFCCGSKGRLYVCLICLEVSCSLFDESNHALLHTQSEYGHEIAVDVERAELYCFSCCDQVYDPDFDKAVMCKYGLGLRNGSNGVVGGELRYSKRKRLDFGVDLFLRNDKKLVWDNDRRSKSCFPLGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLSDQHNREACRKRSSDKLCLPCDMDVIFSAAFSGDRSPYSPAQFLSSWWQISENLASYEQQDAHEFLISMLDRIHEKEVKTKYPNKDNVNCHCIAHRVFSGLLRSDVTCTTCGFTSTTYDPCMDISLDLNTSSCSNRDLPNKVSKPTECTASSTLGGCLDLFTRPEKLGSDQKLFCQGCQERRESVKQMSIRKLPLVLCLHIKRFEHSFVRKMSKKIDRHLQFPFSLDMTPYLSSSVVRKRFGNRIFAFEGDESDLSTKFDVFAVVTHSGMLESGHYVTYLRLKSHWYKCDDAWITEVDEEVVRSLQTYLVFYVQKTLCTKSVEDVGCLPLSPRGDKFVPISGCC >KZN10829 pep chromosome:ASM162521v1:1:39388128:39388460:-1 gene:DCAR_003485 transcript:KZN10829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFEKFLGFSKKITGTGHRGCRYSGTENSNGRVSVPSGCFPIYVGEEHKRYAIPVKRLSSTRLQALLDQFKDEIADSEEPITLPCSPVMFEHVLGLPKHEITSIIVKP >KZN08592 pep chromosome:ASM162521v1:1:11279358:11279660:-1 gene:DCAR_001122 transcript:KZN08592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEKNLDENTGRLLVRAKPMFLKRVVDNLLDVQRQWVVETGFEKVLVFNINEYPQPLTFLIVKSYKSIDSRISIGENIINFSENDVQIILGLPKGGVNV >KZN09008 pep chromosome:ASM162521v1:1:18995680:19000051:-1 gene:DCAR_001664 transcript:KZN09008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSFFEHSDDETVQTSMTSIVNVGMYEAFHCCLAKVKKSHHEVCNLVICMDDVKDVDFNPLVRLLMEIDESEINGVDIIQRSSCFMSAENVLFLLHIIRKKLRVVDLLDMSFGKKFLLDISQRGLTCQVLNLRSSHFRKLNMIGNFMQMHTLNLDFSTSLSSFQESCFTCMPKLRCLSLCETRVSNLWTTSAALNKLTSLIELRFQNSLCVDDIAGSCQGSSGGDVEYSVVSGHLENVLPAHDGESYDHYFSADGDMDLFPVDLSDFQRELHSTPENLSDESEGEFSGWDEHFSLSDLFTDPLPVWNEMIDSESQSTSFGPYEMQIEEDASAVSSSSRNISYTPPTKYISSHPSPICFEKHYREYMIAALPNLKVLDNLPIRKIDRDTANIIFSENFEYLPYKRKNEESLVSILQNREMAASSSFSHRCKRRPFYASGNSQYMYSRSLCAAKLGSSAWPFLRPLSISGKVSADDRTFRPRQFEYHPSNSSLMVFGTLDGEVVAVNHESEKIVSYIPSLGAMNSVLGLSWLKKYPSKLIAGSDNGSLKLYDIRHTPSTTTGIYHNVSSVSYVEFDQLTSVHVNSTDELFLASGYSKDVALYDISSGKRIQVFSDMHRQHINVVKFANHSPSLFATSSFDQDIKMWDLRQKPVQPCYTTSSCRGNVMVCFSPDDHYLLASAIDNELFNPDVGFLKFML >KZN09201 pep chromosome:ASM162521v1:1:21625993:21629429:1 gene:DCAR_001857 transcript:KZN09201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSKVLEYWVGRGMLQIFVAVMTRAFPEISTNRKDLLLLQNVASYMLLACGVVYVVSGLLCIGHLKRARQKKEVSAEQAIKDLEELERRREELEALLIVERAA >KZN09952 pep chromosome:ASM162521v1:1:31110907:31114540:-1 gene:DCAR_002608 transcript:KZN09952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDESAKCSIWTREQDKSFENGLAIYPEDLEDRWERIAADVPGKTVEEIIQHYNILIGDLSRIESGCVPLPRYDTSADDLTGQVGDEGTGRKGGNSGQYGVDGGKASRAEQERRKGISWSEEEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVNDVAVPQGTITGQTNGSSGGSSNKPSKELSQAPSLLEGVLVYGGTTIGQPVGGHLVSAIGTPVHPLQHMAFSVRAPVHGQMVPVAPMNMGAPVNTVPGSSAYPVPHSSAHR >KZN08671 pep chromosome:ASM162521v1:1:13305859:13308724:1 gene:DCAR_001201 transcript:KZN08671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRWSRFWGRQYSYTLVALVITTILLWSWEENPVISSISDEGQFLKTPPDTTVDTLDDTSESLNTEVGFLERYSQSQSGKGGSRGEEIAVQNIDSPLNSSRLFPERTDNNQISTSSNENKECNYAKGRWIADNHRPLYSGTKCKQWLSDMWSCRLTQRKDFSYEAYRWQPEACDMPEFNGSAFLRRMQDKTVALVGDSLNRQQYQSLMCMITGGNDRPEVENVGRKYGLVVPRGSTRPDGWAYRFPDTNTTVLYYWSSSLCDLEPINMTDPTTGIAMHLDRPPAFLSRYIDQIDVLVLNTGHHWNRGKLNANRWVMYANGKPVKDRMLVQIGNAKSFAVHSIARWVDAQITLRPQLKAFFRTISPRHFFNGDWNTGGSCDNTTPMLQGSEVKQDKSSDSVVESAVKSTRVKILDITAISQLRDEAHMSRYSRKRSDGVHDCLHWCLPGVPDVWNEILYAQL >KZN08458 pep chromosome:ASM162521v1:1:7100905:7106886:-1 gene:DCAR_001004 transcript:KZN08458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNSPSTPYITSRNMEIYDTSRNIGIYEPMHQMNMWADIKSNGFLNTSTSMLVEVDTKLDNQSEDTSHETLGHSGKYDQETNKPVDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLLQLEQELERTRQQGALTGGGLDAIPSGFSGPLNPGICAFDLAYGSWVEEHDRLVENLKTAIYTYTDEELCILVNVVMNHYSDLFRMKATSAKADVFYLMSGMWKTSAERLFLWIGGFRPSELLKVMLPHLDLLDKQHAEVCNLKQSCQQTEDALSQGMEKLQQTLSDALAAGQLGEGSYFPQITNAMERLDALVSFVNQADHIRQVTLQQMSRILDTRQTAQAFLVLGEYFQRLRALSLLWDNRSCEPTS >KZN08812 pep chromosome:ASM162521v1:1:15748187:15750104:-1 gene:DCAR_001468 transcript:KZN08812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKNVMDSTHALTYWTTERLQKIDIAHFYSGKITKMGEVIKFVDPHTTKSETGMMQRQQIAMEVIKKVCADIDHDYGCGVDVENEVIFQYLREAVDKLENLQLQHFKLFTAANLRYHSDNIIDVLKNRFINLNEEAIDFVLGVLSSSEHSGRKSTEISNYKKDVATKHNTTNWNKEYLWEWLNSNEADDAVVFKWNQISCTKEDIRSLNFGKDVSVGAIDAWCCLLNLKEKSMPNAFKHRLFCFLDTTANITHPGKVVDDVGLLHEFGDKVEQTLQTFNFAMSNINMVFFPICSCKHYYTVCYDISEPSTVVLDNSSSGGLGTSLYGRTLELLHNNFVEFLKMKNHPSVLKLQWVEPVTLNLPWNTKFNTADSGVFLMRHMETYFGGDGLFLAEKFLEESHLQTHQLNKLRFEYARLMICTSINEQRGNVMDIMESWHSANTANNI >KZN10071 pep chromosome:ASM162521v1:1:32327380:32329488:-1 gene:DCAR_002727 transcript:KZN10071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRIQNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSSAEIDSLMTIVANPRQFKIPDWFLNRKKDYKDGKFSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KZN08791 pep chromosome:ASM162521v1:1:15511824:15515407:1 gene:DCAR_001447 transcript:KZN08791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSKSKSFFEDIKSRELNGFRVKKRAYTENDTLNYSQVGAVAIQHDGEASPPMALSFCKTSKNAHIIAVTDERGYVSLYNTRSKFSSCSSFGQNAEKAKLWEWVAHDNAIFDACWIKDDTAILTASGDQSIKWWDAQEKKCLGVLLGHTGSIKSICPHPTNHDIIVSGSRDGSFALWDLRCPDTRQGALCRIPIMTVSGAHSSSYGRRARRGKTNSRSVTSVLYLRDEMSIATAGALDSVVKFWDSRSLKSPVTQACSDTRLSNPKERRLYGITSLSQDLNGVFLSASCMDKRIYLYNILQLEKGPVKSFSGCQIGSFFVKSAISPDAAHILSGSSDGNAYVWQVNKPEAKPTILKGHCGEATAVDWSSSEPGKVATSSDDLTIRFWDIRSSCYSRTRSPSSTRKRVMALPSMQCRKLFVDEEPKTYKLKLDTFPEDEAMDQTNLDAAVAIAEVSTPKQLKRSVSSSKVTKSFDNTPEVFLESPSSVLSPPSSLKRKTIRDYFLAT >KZN10709 pep chromosome:ASM162521v1:1:38335171:38341365:1 gene:DCAR_003365 transcript:KZN10709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLDQLWDDTVAGPRPDSGLGKLRKQSSLGFLSVNSADVNKVSDGGNMRSGGDTPARVTRSITILKPPVVGDQSETPPASPAGSTTPNSPFSMCLDAENFKNFKLVERKQLSHNVAEFKFALPTPTSVLGLPIGQHISCRGKDSQGEEVIKPKTSTTLDSDVGHFKLVIKKVKQQISKGQYEAATNSWSELENVIYSSDLAYQNPDLKINLDESDKYTEAEAESFESD >KZN07886 pep chromosome:ASM162521v1:1:474335:475282:1 gene:DCAR_000555 transcript:KZN07886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPALQPYQSLIDTIPKKGVSEANLDHIIFKIHSIAVEGLIKASPYYAEVSVVGDDEITKWTTCVDKQGGKNPKWNKERTVMLNRTNVHRNNVYVVVKIYEKGIVLDNCVGEVRVPVGERIDYETERFTLVRSKFQVKKARGAIKLACQIEAVQVTAETHEVVVASPARSANAKPEEIFNSSVDDVAAVFAHQAAPAPAPAPAYAHQAAPAPAATAFSGMTAHNLSYEPAPYSQVPPVPPSPVSFSSPVNYQQGAPGFWYPPPPPPYYQPMPQQPTRLNDLGVGAFAPLVMMAANTFGTSLPDVVSSDFWSSFG >KZN10678 pep chromosome:ASM162521v1:1:38119623:38119775:1 gene:DCAR_003334 transcript:KZN10678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCENWSLLFPSFPCTEGWKGLINYSTALDGFPEYNSVVQVLLTARHSDPP >KZN11637 pep chromosome:ASM162521v1:1:46558069:46559139:-1 gene:DCAR_004293 transcript:KZN11637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNSPEILVPYINTRDCSQGFCSLNCPQWCYVNFPPPPPIEFPDENSVPSFSPLVIAIIGILASAFLLVSYYAIISKFCGSIDSTSREMHDSTVELEENHDPSNHEPWHSSNDGLEEVLIKSITVCKYRKGDGLIEGTDCSVCLSEFEENENLRLLPKCSHAFHVKCIDTWLRSHSNCPLCRANIVHVSTFTVQPPLPVSEIPMEHEIHFPDHRESDIVEDDIGEEELTQGDEIPKTPTRADRNLGNSANRDFIIDIREGEYQQVRRSVSMNNLCQTRVSIADVICLDHDEEANEFMYEDAGSSKKPVAELSKSSHKNRGLHCATDPAAIKRSFSSGRFFFPKCSREQFIVSPL >KZN09198 pep chromosome:ASM162521v1:1:21590313:21594134:-1 gene:DCAR_001854 transcript:KZN09198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSSEESEISDSEIFEYKEKPYGLLTTGKLKVKGPHGTLRCPFCAGKKKQDYKYKDLLQHATGAGKVSSNRRAKQKANHLALAEYLENELANEAEQPPVPALPPAPVAPQTDQNGLLCVPWTGIVVNIVKRIESGKCIFSEEYLMDKFYKCKPKEVVLFWDDEKSTGQAIIKFDNNWAGYRNCMEFEKSFESDHRSKKDWVSTKAVSGPHMYGWFARADDYESAGVIGDHLRKNGELKTISDIVDEEDRGRQDKIYNLAYELDKKIENLDDLQIKFNEKNLSLSRLLDEKDRLHQDFFEETRKMQRMSRAHIQRVLAEQELLNLDLEKKKRELDSWSRELNRRETRTERDRLKLDEEKNKNDVRNSSLQLASMEQKKADENVLRLVEEQQREKEEALKDILKLERELDEKQKLEMEIQELKGKLEVMKHLGNEDDEAVQSKMKEMQEELEEKEDHRTHMETLNQTLLIKERQSNDELQEARKSLIKGLEEILTGPRTNIGVKRMGEIDVKVFQQKCKERFSGDEAEIKALEMCSLWQENVKNSEWHPFKIVMVEGSSEHQNDDGHIFCSYFRVGGVDGKAWEFYVGHYSAMFPF >KZN08591 pep chromosome:ASM162521v1:1:11258677:11260347:-1 gene:DCAR_001121 transcript:KZN08591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVGSSSSSYGNRKHYSLTGSKKKSGLSASMDSSNKPKILYGTGSDSTESYDPSYILDSPSSEVVQLVAAQQISDSPDAANINSHSSLSKYQSDDLEGQFTEAMDFDDSRMRLKLQELERELLDENDEDDSILGPNESMEIESGWADAVPNALIHDSPKESSSIDSNISSISSSKEVSLLPDDNPKQLLFYCAVTISNGNVENASTMIDELRQRVSIQGEPSERIAAYMVEALAARMATSGKGLYKALKCKEAPSLDRLSAMQVLFEVCPCFRFGFMAANGAIIEALENERKVHIVDFDINQGSQYITLLQTLANYHGKLPLLRLTGVDDPESLQRATGSLKLIGQRLEQLAQALEVPFEFQAVVANTEEVTPAILDHHPDEALVVNFAFQLHHMPDESVSTVNQRDKLLRMVKSMNPKLVTVVEQDVNTNTAPFLPRFAEAYNFYYAIFDSLDATLPRDSQDRMNVEKQCLARDIVNVVACEGEERIERYEVAGKWRARMMMAGFEACPIGPDVNVSIRELIKRYSDRFKVKEEINALHFGWQDKILIVASAWK >KZN09146 pep chromosome:ASM162521v1:1:20869606:20879861:1 gene:DCAR_001802 transcript:KZN09146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDSQDSMSEPSDWDDSQSSLYEAYNELHGLAQELDTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKFNPDCHSPLCYLLSDSDPSVTQHKSLLDLQVYIEAENMRLEHEPCQFSAKEIIIKVEYKFCPNLTIIDTPGLVAPAPGRKNRALQAQARAVESLVRAKMQHKEFIILCLEDCNDWSNATTRRVVMQIDPELARTVVVSTKLDTKIPQFARASDVEVFLSPPVCVLDGFMLGNSPFFTSVPSGRVGSGHESVYRSNDEFKQAISLREMEDVASLEEKLGRSLSKQERSRIGVSSLRLFLEELLQKRYMDSVPLIIPLLEKEYRSTTRKLNEINQELSTLDEVKLKEKGRAFHDLFLTKLSLLLKGTVVAPPDKFGETLQDERVNGGAFIATDGLQFPHKLIPVSPIFDNACPLFSENAGMRLYGGAQYHRAMAEFRFVVGGTKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGSRLLHILKRLLPISVYLLQKDGEFLSGHEVFLRRVASAFNNFAESTERSCRDKCMEDLVSTTRYVSWSLHNKNRAGLRQFLDSFGGNEQSAIGGNSLQANISQDSSFSSVLSDKQDGKARADVKLSHLASAIDSSTNMQTMETRLADLLDSTLWNRRLAPSSERIVYALVQQIFHGIREYFLASVELKFNCFLLMPVVDKLPALLREDLESAFEDNLDNVFDITNLRHSFGQQKRETEIELKRIQRLKEKFKQIHEQLNSEKRIRTP >KZN10116 pep chromosome:ASM162521v1:1:32719882:32720449:1 gene:DCAR_002772 transcript:KZN10116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKQLRRDYTTFVKSAHREGIISRQFIHLQALHGADEYPQFVSIVVNQFITLSEGILPKLDLALEQNLVNSEVKSNVHRMKGSSMRYTCVGYSL >KZN11380 pep chromosome:ASM162521v1:1:44128961:44129293:-1 gene:DCAR_004036 transcript:KZN11380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSTLSTNASCQLDVLGHDGNSLGMNSAQVGVLEQTDQISLRCLLKSRHSRALETQIGLEILGDFTDQALEWELPDEELCGLLVLADFSESDGSWPESVGLLHSSGGRG >KZN09287 pep chromosome:ASM162521v1:1:22578876:22581566:-1 gene:DCAR_001943 transcript:KZN09287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHASQILHMIVFIFFMLTPLMNAAMLFNGDLHVLRVSGEEENKGLVFEKISALLGLGRFYRRKQQSSPVEAPALAPAPAPIYHHAHSHHRHSHRARITAPRRRRINKERSDQGIVTRNIVVLFIVSASLVFVLLGIVFIWGCKKFRKTRKETSKMAILHSSDIENYRRSKGVGIIEKVNKEMARSEAQNVGCPAVGDIGTGNSVEKSSVGCGSQTSDEKTLRDACLADDESFQSPDDIHSANARFSNASAEPLSDTSETVLQFKPSSPMASSLLSSLQPPPPPPPPPPPLNRIHMFPSTSRPGPTTLTSAALSSAFSNLMLTSKGDQFSESSQKSENNLKDPSKIPQRFPPTFTQGPPPPPSPLEKYSSLDKDGNQLPKLKPLHWDKVRTAPDHSMVWDKIRSSSFEFDEEMIESLFGYDIKYSSRYDEAKSPSPKKHILEPKRLQNITILSKALNVSADHICEALRQEGAGLGLQQLEALIKMELTKEEEGMLTSYQGDIDGLGSAEKILKTILHIPFAFPRIEAMIYRETFEDEIALLRNSFSMLEDGCKELRSSRLFLKLLEAVLKTGNRMNIGTVRGGARAFKLDALLKLADVKGADGKTTLLHFVVKEIIRTEGIKASDSIIGKINQKNRSQTFEDREEAYREMGLDLVSGLTSELFNVRKTANIDFDAIASSLSNLFHGLAQLQHLVQTDLSKEEMNGSFVLSMRTFISYAEKKLKEMKEDKVRVLVLVREMTEYFHGNVSTDESNPLRIFVVVRDFLGMLDHVCKEIRNSKAPPASNRLAPFQ >KZN11493 pep chromosome:ASM162521v1:1:45171511:45176777:-1 gene:DCAR_004149 transcript:KZN11493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITDENSSSDGKVWGLFKLPFRNSVNSNSTTSSSSQYRHQNQQLHSQSNRGPDAAKSQTSSVSSVARSLIPTRRRLRLDPPNKLFFPYEPGKQVRSAIGIKNTSKSYVAFKFQTTAPKSCFMRPPGAILAPGESLIATVFKFVETPENNEKPMDQKSRVKFKIMSLKVQGPMDYVPELFDEQKDQVAVEQILRVVFLDVEHPTPALEKLNRQLAEAEAAVEASKKPPEDTGPKIIGEGLVIDEWKERRERYLARQQVEGGDSE >KZN08228 pep chromosome:ASM162521v1:1:4135694:4136386:-1 gene:DCAR_001293 transcript:KZN08228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFHLLSILIATTLLLQVVLAIDYQVIDKVPQTPGGMKFEKVVGVDFTKQTMGTINQFIYDTFDQQDNPDDRRPQDTVIVIIDQYDDPSAAAITIGNTINVSAVYIQEYDMDMRWEFTSLLYHEMTHVFQWIPSNAPQGLIEGIADYMMIRANYYPPVLAHPGDGDRWDQGYGITARFLEYCESLLGGFVAQLNKKMKDSYADEYFIDILDKPVDVLWKEYKKKYSHNV >KZN11031 pep chromosome:ASM162521v1:1:41184344:41186103:1 gene:DCAR_003687 transcript:KZN11031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKFDSLQIGRFRGLRRVDIINSLVETKLSIFPLTCNFMDKGGRARHMEFYKGAHSQWNMVPQYQMKDQNAFLMPGKIAHIIAERDAAFEERDRALSERKAALEERDSAIQLRDAAISERDSALREREIALEALRFQETTMSTAWNNTIQRGSKRVHHVANYPLVAAYNTKEGAITDAFPLQAISSEGVKAHQEKPRKPKKFVSSKSQLKAKKINEDLNRHVTTDWSKAEWDAKNLGSMKQIIYDESTMPIPVCSCTGVQHQCYKWGNGGWQSSCCTTTKSQYPLPQLPNKRHSRMGGRKMSGNVFSRLLTRLAAEGHDTRMPLDLKEHWAKHGTNRYITIR >KZN08231 pep chromosome:ASM162521v1:1:4172595:4173548:-1 gene:DCAR_001296 transcript:KZN08231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEPEELQFLTIPRIFKDSIFIPKQSPNTFIQLTLTLIFPLSFAILAHTLLTHPLVAQIFSPYGSNSSQFSKLLVFQFFYLIFLFAFSLLSTAAVVFTVASLYTCKPISFSLAIAAVPSVLKRLFVTYIWVIVVMVLYCVFFVVGFVGMLVFAVDSRGNNGFVWGMIVVVLMFAGVHVYVSALWHLASVVSVLEPVYGFAAMKKSYKLLKGKTWMAMVMVSGYLVVCAAINGVFGGFVVYGESLGVFGKVVVGGVLVGVLVIVNLVALLVQSVFYYVCKSYHREGIDKSALYDHLGGYLGEYVPLKSSIQMENLEV >KZN08500 pep chromosome:ASM162521v1:1:9020034:9021086:-1 gene:DCAR_032176 transcript:KZN08500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFQRPFKKTVVPAAFAQLSSKGNRGKGDGEPWRHCIWRLDISDMNLADAMTRGRVLDIDLQKQLRSFMESMVPLPAIYDPDFIAANQGSRANNVIKGTKKQQLEHIIKDIRSQILILCKALFINLK >KZN10029 pep chromosome:ASM162521v1:1:31845555:31846891:-1 gene:DCAR_002685 transcript:KZN10029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVALFGAVLVTILVLLVPKVVSDDFDSIPSDKSQLSAWFDKNVALASVRKDLDPALAMAESKQPRIIYVKQDGSGDFKTISEAIKSVPRSNPCRVIISIAGGNYFEKLLVDRYQTFVTLYGDPNDVPVISYNGDAKTYGTTNSGTLTVEATADYFMAVNLNIVNTAPRPDGRPLAQAVALRVSGKNGAFYNVKLQGFQDTLCDDAGNHLFKDSYIEGTVDFVFGNSKSLYLNTELHVLDGRQEDVITAHANDDPNADLGFSFVHCSVTGTTTKTYLGRTWRSHPKVIFSYTDMGDVVYPQGWSCLGRPQYAETAYFAEFENRGPGAMPEQRAGFVKKLSDADAKPFITLGHINASGWLLPPPTL >KZN11339 pep chromosome:ASM162521v1:1:43778156:43779642:-1 gene:DCAR_003995 transcript:KZN11339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVQTWFNKLEAVAHVANVFFDELAYQVTRQKVENHRKLGFNHSTCQLQRCPSIALDLLLNFLTNAFLPKSPGQNDHMPILYREN >KZN09503 pep chromosome:ASM162521v1:1:26379071:26379223:1 gene:DCAR_002159 transcript:KZN09503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISRGNIFENYAGAKSEHTFRIINTLGFLERWHDLSTPYKRSFTPYLDF >KZN09607 pep chromosome:ASM162521v1:1:27539782:27540234:1 gene:DCAR_002263 transcript:KZN09607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFLGAFLLLILLISNRVLGQDGNRRFGMGSGAGGGLGGKYDTPVGGIGGGLGGGAGSGVVREPGGAVIGGAGAGGGGGLGGRVGVVGGGIGGGAGGGVIGGQAPNGDSGVGGGFGAGGGFGGGGLGSGGFFGGGFGAGAGAGYFGGGH >KZN08749 pep chromosome:ASM162521v1:1:14783681:14784109:1 gene:DCAR_001405 transcript:KZN08749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKYVLLFLTFTCFAVYTSATNEYPQPTGELNQTSRASAATTYNCWHTITNIGSCADEIIGFFAKGSVDHISKPCRKEINLITRQCWPALFTALGITPEECSVIVGFCQPSSGSSTPGPPVWYPTPPATMKNATRAVTKAP >KZN10974 pep chromosome:ASM162521v1:1:40768390:40768602:1 gene:DCAR_003630 transcript:KZN10974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVDVWVGELAKLGEKVKMKKSLLLKLKTSTGKAQQEEHTNFKVEADNDTTTLSESTLFLLMDSFAPS >KZN08022 pep chromosome:ASM162521v1:1:1508367:1510295:1 gene:DCAR_000691 transcript:KZN08022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTIVLGVEKKSTVKLQDSRSVRKIVNLDDHIALACAGLKADARVLINRARIECQSHKLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPHTGVPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYTETSGQETLKLAIRALLEVVESGGKNIEVAVMTRDKGLRQLDEAEIDTIVAEIEAEKEAAEAAKKGPFKET >KZN08594 pep chromosome:ASM162521v1:1:11325923:11334254:-1 gene:DCAR_001124 transcript:KZN08594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCAERATSDKLSGPDWAMNIEICDIINTDPGQARNAIRILKKRLGSKNPKRQLLALFVLETLTKNCGEDIFQHIVDGDILREMVKIVKKKQDLNVREKILVLIDTWQEALGGSGGPYPQYYATYYELQSVGVDFPPHEENSVALFTPSQTQLVVQPPSTDSASTYEEAAAFQASLQSDPSGLNLEEIQNANGVANVLMEMLNFLDPQYNEGVKEEVIIDLVEQCRAYQKRVIVLVDNASDEELLMKGLSLNDTLQRVLSHYADISKGVCVPKVAAMPSPSVPLVNVNHEDEDELEDDFSELARRSRDNQGQKAARRKTVRERVMPILPSSSRKNTLQGQRQRVQSDQGPKTGSDKIASERVMPNSPPSSRRNTLPGQGQRVQSDPGQTSDKMAPEHVIPILPPPSSSRRSASQGQGQRAESDYLQKTASDKMSPERVMPIPPPSSRGNALQGQGPKAQSAHGQTTASDKMSPERVMPIPPPSSRGNALRGQGPKAQSAHGQTTTSDKMSPELVMPIPPPPSSRRNTLQGQGQRAQSDHGQQTASNKMSPEHVMPIPPPSLRRNTLQGQGQRASSDQMEPIGGSPNPPPPPSRKPKIPPYGTIEYLFATIDNLSSDLNLPPRTSKAYGSPLPESVNGKSTNSRIPSNEATSDDFINPTASMFSEKQPAYDEPAPTTKDNYPLPPAPWDTPVFIPPPPSKHSQRRQSLKQQHIGDSRSSSGSGSSYDSLVEQANNLSINSTTPKKHEGSEDALFKDLVNFAKAKSSSSSNPNRSH >KZN08859 pep chromosome:ASM162521v1:1:16735078:16736801:1 gene:DCAR_001515 transcript:KZN08859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFCVDKVDLKDLDVQLEKHLNRVTCEETDVAVKLLDWGEDGNANSAETAAVMSSYLVKDQLHLTYEIGVGLESMTINSMRWDGTVNPRVEQNCLLPMAVASRDGVTRLEQDQAAVDLHKKASAAAAAAALGRFKDEIVHVHTKVILFNCSFRNCIVLSASQFI >KZN10611 pep chromosome:ASM162521v1:1:37569653:37587587:1 gene:DCAR_003267 transcript:KZN10611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRSVLAGMEVPIIGSDSVKWIQLTVTSTTPSQPPFAPPTEDAASCSVIGTPPTRIHKSQPRQLEILEFCDTKEFPRVGLQIQFPDALSPFAVICENEMRYGSGYPYILYALTVSGVAYLIKLKPSLSYASSTVLPQTEIMELNVKLYAHYEAITAAAATAGCFMIGGNDGSVGCFQFGTLDPGAPGFVRELRNDAGFSRLWGLMSSFSTDIKHFIYIPDMSSGKCFQNCLWTAVCNNNMCTSFSRFFHTNKKINDVCNRLAGNLVSTGAIHARLWVGEANSESSTIPLAVLCKSNLEISMEMVNVYRLHISAGDKINVSLEPSINISLDEGGLIDVRLTSNKLWILKEDGLEMQDLSVANVGGWGGSAQARHVLCRFNTTGQAGPCCAMVLKNRTRNRLDQVSSFVSSIFIRRLLLCGVYQNAVLRATLQDYNKHFTDTEFYSLTVDGLKKEILSLIENEGFPGTPVSVLRCWKTFCKRYFHNWCKNGAPCGLLVDSSTGAVGLIRKNSISLFRCLEDIELLVYSSFEEYGDLVNYGFEFSKSELEVLVGMLRCISNVSLQLGRASSAIFYESPFSKPNISSQEVVLQLLKILDTGFNSSIAALQRSELGTDTSWEKEVADHKRLRKFSADMFLSLHSLCSKATTWGKVLDVIERYLKFLVPRKVVQKSKNEIIFDVKSAVTVQSTSQVAKVMFESALDVLLLLNYMVNISGQIHMLHDDVSKIQQELVPMIQEVVTEWHIIYFLVTTPSEAPAFEDFSSQLSSLQIDSKVDKRSWNEKLGKCDFPLAFILLLNSHGSAEDRSHLCSTSLPDPSHIISLVRDFTSWIIWGSTEEESSAFFSHSTELALVLLKHGQFDAVQYLLSFVDEHSRKEKTSASVQSVDGHLSICLHLLGCCLLAQAQHKLYGLPKEQKVCEAVRCFFRAASTQGAPKALQSLPVGAGLPYLECSNCQSVAAWKLHYYQWAMQLFEQYNLSEAASQFALAALEQVDEALNAEDGSGVDQLQESSNVVRGRLWANVFKFTLDLNNYYDAYCAMISNPDEESKYICLRRFVIVLYERGAVQILCNGQLPFIGLTEKVEQELAWKALHSDTSAKPNPFKLLYACEMHRHNWRRAASYIYMYSARLRTEAAVKDYQHRSLMLQERLNGLSAAINALHLVNPAYAWIDPIPDQSSLHRESYPSKKARISGEEETAGDQRLQPYIDIEFLENEFVLASAEYLLSLANVKWTNTGNKKPSPDLVNLLVQSNLYDTAFTVLLKFWRGSELKRQLEKVFAQMSMKCCSNTDGTLLAGNNNHMRGLLLKSSKDEAVYGSVDIVPPPYQSMGNSHWETLEFYLEKYKGFHPRLPVAVAETLLSSDSEVELPLWLVRLFKGGRRECTLGMAGSESSPASLLQLYVDYGRYAEATNLLLEYFDSVASVRPVDLIHRKKAAGVWFPYTIIERLWYRLGEMNSSGHMIDHCEKLKKLIEESLRNHLNLLKVDSEDVLSLQQS >KZN11733 pep chromosome:ASM162521v1:1:47595528:47597326:1 gene:DCAR_004389 transcript:KZN11733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPNTHVPDHHDPEHNSDESLGFDFQFSPPSGENIMLDEDVTKLVQERRKKMLNEDVIQIGLDTSQTGPSGGLNGQSNEDLSGNLNRDFSNFGNPTPLAQWPVPEQPYSCSCCQVLREIIHTIDGESRKFEIHGRVGEIFHGILEICRRDVHPPYKVYQMFDFCKETILDIKAFLVQYCEDRTDAGYTMVKDPLLEFNEALNIGSDWDYDPNADEFSLGSLMDIDDNTGQPPEEPDDSQPQARRNEKGSKGKGKLVVTEKGSKGKGKLVANEKGSKGSKGKGKLVVNEASKKVSKRTKNKAKSIYKEGRRIYKEQRVFTSQLEMKDFADYLHLPMYAAAKKYDISSRALKNLCDRKSFTWPYEKIKKCERQIKRNRAVLNSSVDAKEKRRVEAEIIELEQEIASLLAPTFKDK >KZN11495 pep chromosome:ASM162521v1:1:45190536:45191895:1 gene:DCAR_004151 transcript:KZN11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKNGLKKGPWTTEEDQKLVDYINKNGYGNWRTLPKNAGLERCGKSCRLRWTNYLRPDIKRGRFSLEEEEAIIQLHSVLGNKWSTIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHNPRLDLLDLSSMLTPSLYNSPQLNISRLLDVQSLVNPELLRLATSLFSSSHHRENQNFLLQNLLERNLNSTHNHVTNDQIFMPPLTQPAEVQNFQNCVPFSNDAQLTDPQFSSQLQDWQCNETANNNYVDLQNYDYYGSDQSFNVDHLKSENLNFLSNNSNNMISLTNLSTPVSSPRNINSTSTIGEDEREGYSISSMMKFQFPDNLDVTCFM >KZN08943 pep chromosome:ASM162521v1:1:17985820:17986361:1 gene:DCAR_001599 transcript:KZN08943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDYSLQKLLKKLKDYKGKVRKGRYQWFSAQCLVKEGAEIPVKLVDPTPNVNYGCVYDGNSILP >KZN09415 pep chromosome:ASM162521v1:1:24775126:24781689:-1 gene:DCAR_002071 transcript:KZN09415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVETYPCQEKWKIFFCPFFKDLRSVFKLDELGLEIAKIAFPAAMALTADPIASLIDTAFIGQIGAVELAAVGISIALFNQVSRIAIFPLVSVTTSFVAEEDTLTQMSQEAEKSETLQMGTLVSTEGDKLIPTEESHDCTDMLESQSNCCNIVKTESEKRSIPSASSALIIGGILGIIQAVLLISLAEPALNIMGVKSDSPMLRPAQQYLKLRSLGAPAVLLSLAMQGVFRGFKDTQTPLYATLAGDITNIVLDPIFIFIFRLGVSGAAIAHILSQYLISVILFWRLLQQVVLIPPSRKHLQIARFLKNGFLLLTRVIAVTFCVTLAASLAAHQGPIPMAAFQVCLQVWLATSLLADGLAVAGQAILASAFARKDFDRTTATASRVLQLGLVLGMVLAIILGVGLPFAGRIFTKDDDVLHLITVATPFVAATQPINALAFVFDGVNFGASDFSYAAHSLVLVAILSIISLFILSSSFGYVGLWIALTIYMSLRAFVGFWRIGTGTGPWSYLRS >KZN07898 pep chromosome:ASM162521v1:1:536627:536899:-1 gene:DCAR_000567 transcript:KZN07898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKSIISRVSSSVSDSPIVYRGKKAAADTGFVVKKLLLSTGKAAWIASTLFLVLAVPLIIEMDREQQLNELELQQASLLGTPTVSAPK >KZN10040 pep chromosome:ASM162521v1:1:31925340:31932868:-1 gene:DCAR_002696 transcript:KZN10040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTKDTSIKNNPLVFMDISMDGAPAERIVFELFADVVPRTAENFRALCTGEKGVGSSTGKPLHFKGTVFHRIIKGFMAQGGDFSKGNGTGGESIYGGKFADENFKMDHSGPGLLSMANGGPNTNGSQFFITFKRAPHLDGKHVVFGKVVKGMDIVKKIEQAGTKVDGKPSQIVKIIGCGETSESKSEYSVDAEKGKKKKLVKALSSDDSSDEQSKTRRKTSQKDKRNKRKRKYSSSDSSSYTSSDTESESDSSSDSDSDLDSYSSSSSSDDRRHKKKRSTKRDRHQSKKKKDKRRGRKGGRNEKRGRHKSRRTSVSSSGSGSTSSSGSSSDDEKASGRVSSRKGRNISDVKTKTKVPGTEAEAEHERDLKLKTGVDKSSDEEGQFLQDEKLTKNGQSTEKKADKTTIRQYADESSKSRSLSPTKRPKSDSDRSPRRITRSPSTQNGSRSPVIKSAQQIKNFSRSPRRSPAHKAPEPSGSNVNRGLSRSQSSNGSPKRIRKGRGFTEQYSSARRYHTPSPDRSPRGYRYGGRNFPERRHDRYPSYRNYSERPSQRRYRSPPRYQRRRSRSRSASRSPGVHDGHNGDRRRNRIRSPSPREKRPALSDKLKSRLGPPVEKSGRATSRSRSKSRGPRSVSPDAVIEECPTKVVALSPSRSRSSSPAPQRGLVSYEDISPSP >KZN09261 pep chromosome:ASM162521v1:1:22232098:22235781:-1 gene:DCAR_001917 transcript:KZN09261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWNHRRRWVPRRNEEDEQPRRRRSPPSYYQTKVDPQEVLQNSSLPWEQKFCLLGGIPWYKVLAAKKYIYCHENVLKWDDTAGKDALFDAQERFCSMINSLPHIPPLPDPDMYIDNIDWNPEIDPGLMSELDKIYFNPDEAENSTSNEISGCNDKNKSSFDNPWESCRLEDKVDIKVLAQSWNNWSDSLDSKNATNLWEQHGHNGDVASKDKKWGSSVNKPFGWNRGLNDMRESTNYESDCVNSWNQGGLRPKLLNEKGWGDASKNTGGWNCWNYKSNELGNSGNVDSWKSGPGGTSNSGQYTDCGSNSRNSKWSTNQYNNIEKNDSRIPFGACRKREGYQENTSRRKSWKHEGADYESRQFWGKVRPQGQYN >KZN10494 pep chromosome:ASM162521v1:1:36279193:36283539:1 gene:DCAR_003150 transcript:KZN10494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLFETPAGFALFKVLDEGKLSKVEDLSKEFDTVDTARKIVKLKAFSKFENTSEALSAATLLIDSKPSKGLRKFLRAHCDGETLAVADSKLGNAIKEKLQIDCFHNNAAMELMRGVRSQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKSVKLMGDRTNAAKLDFSEVLSEEVEAELKEASMISMGTEISDLDLINIKDLCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLINLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKASIAIRYDALREGEDNSMGLEHRAKLEARLRNLEGRELGHASGSARGKPKIEFYDKDRKKGAGALITPAKTYNPSADSLLGKTEALPEDKEEEEAVLGKRKKKTEETQTEAPEEKKDKKKKKKKAVDADETVLAEAEAEDEVAAKKEKKKKKKKHSAEESEAQNTENLEAGGKKKKRKHADQDEETETPAKKKDKKKKKRSEE >KZN11011 pep chromosome:ASM162521v1:1:41068678:41069845:-1 gene:DCAR_003667 transcript:KZN11011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIFAAVVKPMVRVMMKAYGMKPKLVEIEPGTTMRFWIPCKSKTNPAKPNIVFLHGFATDGIFNWQSQVQALSGKYSVYVPDLLFFGASTTSKPERSMEFHAECLVKGLRSFGVEKFTVAGLSYGATLGFRMARMYPGMVQCIVASGTAVELTESISRASLQNIGYSTWSEFLMPDSTKGLTTFFSIASQKPPSLPEFAAKDFLKEFFANRKERDELLKAWVINDNDVAPCHYSQKVYLIWGEDDKIFKKEVAENIKRQLGEQANLEFIKDAGHLVHSDQPSEYNQRLKNILASVNK >KZN08153 pep chromosome:ASM162521v1:1:3211364:3213187:1 gene:DCAR_000822 transcript:KZN08153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIDEALKQIHWRSLDEASVKSTLGKSRKTYGEVLQNQRCEEFPQQQHVQSTIEEEGWTKVTHRKKKGDMKGEKGTEVATIFLHNIPDNASGRDMWDFFQSCGEIVDIVLPKRRDVKGKRYGFIKTTSELEAGTIINNAKACKGLGRRLSMTINSPIGYKRSSGIKKELPKEQEGIKQSSGIKKEIPKEQEEFGKKMFEFTEMDVDEEVDQALKECKIGYTWFEETAEAIQEKLNDIGLSKYKVNSLSKRKFLIRKNKEESWKDLEDTDLTVWFCKLRNFEEYDSIITRVVWLECKGLPMPGWREENLKAFTSGLGKWISWTYQSDDLLDFFNPLVCVDTDIVESIKENLKVLYKGKQIMISFSEVSDSNYLKGKVCPMEFSGESSHIGKNEEHSSPFKSDSKMTQNNGNEVMEEDDTQSEVVDLNDGALVLANHNRGGKKLGTIVKNIVEISKDQTKNFNRVSSSSENHNASKVELRVQANVEGGMSMENPSSQSSLCLDVDKKLRVKSRRGRPKLARQIPRNPFDISKFKRKNVKKKGGKRGATRKSKILGDQCLQIVTTDLEGNSVKEALEILSSAEAMGLVAASNKEEVLKAIVKKLEKGEV >KZN07975 pep chromosome:ASM162521v1:1:1133625:1140027:1 gene:DCAR_000644 transcript:KZN07975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHNDNDDGEVFLDDNDIIEEFTVDAEDLPDADEDAGSDAEVFDEADDSVHIFTGHTGELYTVVCSPTDATLVATGGGDDKGFMWRIGQGDWAVELQGHKDSVSSLAFSTDGQLLASGSLDGTVQVWDTASSNLKCILDGPGGGIERIQLFGCGMLTRXSEDSTVWLWNADKNAYLSMFSGHRSSVTCGEFTPDGKLICTGADDATLRIWNPKDGKNIHVVEGYPYHTDGLTCLAISSDSTLALTGSKDNSVHIVNITTGKVVSSLNAHTDSVECVGFAASSLWAATGSMDHKLIIWDIQHSLPRCTCEHEDGVTCLAWLGGSRYIASGCVDGKIRIWDSLSGDCVKTLTGHSDPIQSLAVSADLRFIVSVSIDGTSRAFENSLGL >KZN11308 pep chromosome:ASM162521v1:1:43601399:43608626:-1 gene:DCAR_003964 transcript:KZN11308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKTRLPLLRQSSLAPETRNDSVVTGEDGSDEEDGTIISGVQLMYLANEGDLDGITELLDSGTDVNFKDIDDRTPLHVAACQGKSDVVELLLKRGAQVDPKDRWGSTPLADAIHYQNHDVIKLLEKHGAKLHVAPMHVKTAREVPEYEIDPKELDFTNSIELTKGTFRIASWRGTQVAVKTFREEVITGDDKVKAFSDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLCAYMKRKGPQKPRRAIRFAMDIARGLNYLHENKPEAIIHSDLEPANILRDDSGHLKVADFGVSKLLKVTSRVKEDRPVTWQNTSCRYVAPEVFRNEEYDTKVDVFSFALILQEMIEGCPPFSGKPETEVPKAYAAKDRPPFRAPAKYYAHGLRE >KZN10550 pep chromosome:ASM162521v1:1:36867014:36871905:1 gene:DCAR_003206 transcript:KZN10550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPKEYYPSQDDLIYEEEILRNPFSLKLWWRYLIARTDSPFEKRRLIYERALKALPGSYKLWYAYLRERLELVRNFPISHYEYKSLNNTFERALVTMHKMPRIWIMYLLTLTDQKLVTVTRRCFDRALCALPVTQHDRVWEIYLVFVSQRGVPIETSLRVYRRYLKYDPGHIEEFIEFLVDSELWQEAAERLAGVLNDDTFYSIKGKTKHKLWLELCDLLTQHANEISGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRKLLMKARDIFEEGMTTVMTVRDFSVIFDAYSQFEESMLALKMEDMSESEEEDGEGSMVEEEDDDEGDRLNVGDIKLKIKKLWLSDDRDVNLGLARLEDLMDRRPELANSVLLRQNPHNVEQWHRRVKIFEGNPARQLETYTQAVRTIDPMKAVGKPHTLWVALAKLFETHNGINDARVIFDEAVQVGYKAVDNLASVWCEWAEMELRHKNFKVALEVMRRATAEPSVEVKRRVAADGNEPVQIKLHKSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYAMLLEDHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKSKLERARELFEHAVEMAPAESVKPLYLQYAKLEEDHGLAKRAMSVYDQATKAVPASEKLSMYQIYIARVAEIFGVPKTREIYEQAIESGLPDKDAKTMCIKYAELEKSLGEIDRSRGIYKHASEFADPRSDADFWSKWHEFEVQHGNEDTFREMLRIKRSVTAKYSQSHIILPEYMMQKDLKPNSEEAMDPQKRNGILGDDMAALERQLAPPANDAAAKDSTRLLGFVSAGVESQTEGGLKVAANKEDIELPDESDSEDEGNVEITQKDIPETVYGGIRKRSAEDEDGDKVKEEDDKLGALERIKRMKRGA >KZN08394 pep chromosome:ASM162521v1:1:6080982:6081655:-1 gene:DCAR_000940 transcript:KZN08394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLIKLKDTLSQLLSEALGIRSDFLASIDCMETASLVCHYYPFCPEPDLTLGATKHSDPSFLTILLQDSIGGLQVLHDTQWIDVHPINGALIANIGDLMQLISNGKFKSVEHRVLAGRAGPRISAACFFYPGTASYCRPYRPIQELLSEKNPPIYRETSHKEYHAYYKSKGLDGSSALPHFELQQSDDLSLSENQTTL >KZN08795 pep chromosome:ASM162521v1:1:15603890:15615274:-1 gene:DCAR_001451 transcript:KZN08795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESQSSFLNQWRLNATSSPPDLADDDAESAPSELDTFHSSGMFSIVFPDKLTVKYPSAQLHGHDVGVVQANKPAPVKRLVYYFEMFVKNAGVKGQVAIGFTTEGFKGKMRRQPGWEANSYGYHGDDGYLYRGQGKGEEFGPTYTVNDTVGGGINYASQKFFFTKNGEMVGEVCKEVKGRLYPTIAVHSQNEEVSVNFGKEPFVFDLKAYEEQERAKQQMTIEKIVIPQNASNRIVRSYLLHYGYEETLQLFDDASGSSVPPISLTQENGFDDHDKLFRLTERRILRQLIRHGKIDEAFAKLREAFPQEFQDDTSATCFLLHCQKFIELVRDCSALLVYEQPEKSSVGYLLEDSQREIVADAVNAFVLSVNPNLEDRKSCSQSHLEKLLRQLTACFLERRSLNGDQGEAFHIRRILEASVRRV >KZN08049 pep chromosome:ASM162521v1:1:1761878:1762165:1 gene:DCAR_000718 transcript:KZN08049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLCWIMPRRSMSLARLWSQVMQKCIVWENFWKKNGFEVGKYHQVEDLHLVLKEYFGVDVYPTFVAQGTHPFLHEVGVLYSKDSREKLDHPIYG >KZN09108 pep chromosome:ASM162521v1:1:20314707:20315987:1 gene:DCAR_001764 transcript:KZN09108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLQKTTNQRSLNRPGSQSIDVLTRKSSNNKRTMRSFSEFPKELTLEIFSRLPVQSLLICKVVCKRWNAAIAEPVLATMQLANAADQSLCLVLHSEFPKFVLYNVFISNLGCPDKEWKRLEIPFRQILSSFEVVGSCNGLLCVLHYQLDDPVLIHNPLTRDYKKLPDKGEEHSKGKIHRCVFGFGFDPKTMAYKVLKIVHYAGSSSETPDMNRKPDVFVVTLGSDEWRSKGQTHYQLTGSSSEAFVNGKFHWLTHRDFLEAGKCQDIISFDLSTETFQELPRPDFEGLMKHTCHLVTLKGALSAVISYVDGTNEIWQMKDYNVRESWTREFVIRKYVPKIFLTFGLFNSYPGRGRIDGYTKHKFQVICILSTGEMLLLYENQALVSYNAEIGKFKDLKIKGQMFEYLSTLHTGSLISVDAAFNS >KZN10151 pep chromosome:ASM162521v1:1:33044932:33046312:-1 gene:DCAR_002807 transcript:KZN10151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTTTEVRKRVYICPETSCVHHNPARALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGLMQSMGSNLQPQMPHDMNNNTSMALMSDFNNYDQKNQLKSMSQDMQFKPMNIATGMFSSNSGSLFGGPRNNVQSSSSGLQLSSNSPSSFSYLQDNKNGPNNLLGAANMSATALLQKAAQMGATASNNTISSPMIQKTFGNTGPMIDNANTSFENVTSKPDETSFVGLTGGAFTTQLMQKAPHQMFASGPSSSPSMTDMAMFGDMLMGNEQGFMKHMEHLEDGMSQNPIGPSRFGVNQGSSRGNDTLTVDFLGLGGGSRPHNLHEQQQQQRMQMMNPFQQQLEKPIWDV >KZN10601 pep chromosome:ASM162521v1:1:37451847:37452176:-1 gene:DCAR_003257 transcript:KZN10601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCLVIMLAIMALIVNPVCSISCQDAITKILPCEFYLLGVGSPSASCCQAVAQLSQLASSKPELKSLCVCLKQAAQTFHVIADKAKQLPGLCHVTTPVPIDPNINCDM >KZN11976 pep chromosome:ASM162521v1:1:49830401:49834233:-1 gene:DCAR_004632 transcript:KZN11976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLRNQLALAVKNKQWSYAIFWSSSAEQNGALAWDDGYYNGDIKTRKTVQSEQLDADQLGLQRTEQLRELYESLLDAETNPQSARPTAALSPEDLTDTEWYFLVCMSFVFNIGEGLPGRSLAKNQTIWLCNARYADSKVFSRALLAKSASIQTVVCFPYSGGVIELGTTDLVSEDLSVIQHMTSFLETPGTVVSNNSAYVPKTARSGSNNIRAQPNEKNSKANMNPDTKWQKPNTCSPNSSSEDFEPNCQARESLTAKHEGASRVQSWQLMDDEISNGVLNSEDSSDCVSQTFASPEKSVPLQHENEMKENHLLDHEDSKDMELTSVEVLNDDIHYQGIVSTLLKTSHQLIMGPCFKSSIKDTCFVRWKKGRLSGSHKSTGGTSQRLLKRVIYDVPIMHSNHLLEMQENNDKGGKARRLEADEIDENHVLEERRKREKMQEKFAILGSIVPSAGKVDNVTLLDDTIDYLKNLEKKVEKLESQKELQDIEAARRKRSCDIIESTSDNYGDKRVDKSLKQALKKRKASGIKEVKAIRPFQQEDILTEDVTISKTGKDITIEILCPWREDLFVEIMGATSNLHLDFHSVQSSNIDGNLSMTIKSKVNRSTPSTKIIRQALQKVTRNCG >KZN08948 pep chromosome:ASM162521v1:1:18025185:18025490:1 gene:DCAR_001604 transcript:KZN08948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYHTMNLAKWDLNSSSNYVLITVWRNVVHDNKLEEVVIQKGGKEESIVVMKEDDKLKSDVVVQVWCFRSEGRIWFALNIQAMSECSVTSKTKDGHDIVA >KZN10870 pep chromosome:ASM162521v1:1:39771478:39774486:-1 gene:DCAR_003526 transcript:KZN10870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLVVEVLEANDLMPKDGQGSASPFVEVDIDDQHQRTQTRIKDLNPSWHEKFVFKFDDLQDLSRKTIEVVIYNENTSHGNHNFLGRVRLSGLSVPKSESEATVQRYPLEKRGIFSHIRGDIALRLYLADGKDEDGASSDQAELPKEKTKKGMESRETPVQENVKRYDKKAQDDEFNDFSKQKIKRKKEKEIRTFYSVGTGGPTGPMPPMQKPVVLETRPQFIPPRPPMVMQNQIPMQKPEFGLVETRPPVAARMGYLGGDKMASTYDLVEKVHYLYINVVKARDLPALDVNGSLDPYVEVKVGNYRGLTKHLEHNQDPMWNSVFAFSKERLQSNVIEVIVRAKDLGKDDYVGKVVFDVVEVPLRVPPDSPLAPQWYRLAGQGEIMLAVWLGTQADEAFPEAWHSDAHDINHHNLASTRSKVYFSPKLYYLRVHVIEAQDLVPSDRTRLPQPYVRIQLGHQIRVTRPSQTRNINAAWNEELMFVASEPFEDVLIVSVEDRGEGGREDNMGRAMVPVREIPPRVDSSKLPDPRWLNLQRPSHSVHEDGEKEVKFSSKIRLCLCLDVGYHVLDETTHFSSDLQPSSKYLRKQRIGILEVGILSAQNLLPMKIREGGSTDAYCVAKYGNKWVRTRTLLNTLSPRWNEQYTWEVYDPCTVITIGVFDNHHVNGNKEDARDQRIGKVRIRLSTLETDRIYTHNYPLLVLQPSGLKKHGELHLAIRFTCVAWVNMLTQYSKPLLPKMHYVQPISVRHIDWLRHQAMQIVAARLSRAEPPLRRETVEYMLDVDYHMWSLRRSKANFYRIISLLSGFSAIWKWLDGICNWRNPLTTCLVHVLFLILVCYPELILPTIFLYLCVIGLWNYQFRPRKPPHMDARISQAENVHPDELDEEFDTFPSSRPTDLIRMRYDRMRSVAGRVQTVLGDLATQAERALAILSWRDSRATAIFIIFALMWATLFYLTPFQVIAVLHGLYWMRHPKFRNKMPSVPVNFFKRLPSKSDMLLS >KZN09921 pep chromosome:ASM162521v1:1:30778511:30782851:1 gene:DCAR_002577 transcript:KZN09921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDWRIGVENHRFSSVIESPARVFQISSPANSSASVSPANSSASFSSACSPFNGNGSVAVGVGVGGSNYIEHRVSKFDTLAGVAIKYGVEVADIKKINGLVTDIQMFARKTLYIPLPGRHPPSPIMTNGFDQQGTSSSEQTPPPKRRQSDFFDSFQSLKLTSSPKRRVSPAMNSLQGYYGLRPPDHKATAEGCELAVYQNGGSHHLADGQLGKPSPHMNPPLSIHRKSRSVADNLKLENGGLAKGVSDSAAGETDSDNWIGNLVRRRQKSEADVNSRAPEMLLKGDASNGGFSKITSKGLALRPKAASRSTSGVDGETGVQSSVSTAVGEFSLIDSLTGVKKSSSTPSFQDSDSSPSIWSTVNWTLKPDLQALSTVAITRPIFDGLPKPISSRKNKTALD >KZN10292 pep chromosome:ASM162521v1:1:34283080:34286078:-1 gene:DCAR_002948 transcript:KZN10292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMIPATAAACLDSRSFAFHASLNIPQFPTFNIIPNNPSSSSSPRRLSLRKSTAITHSLHHDTASGSTMDPNSPVKNKAEDIAPELRGTSIYLVGINSKIKTSLGEFMAETLRYYFFDSDKLIEEAVGGSAAARSFRERDEKGYRESETEVLKQLSSMGRLVVSAGNGAFDSPTNLSLLRHGILIWIDIPLDMIAKEAVEDGLHLPEVELLPSASYPEVLDQLTALYKQMQGGYATADATISLQKLTQQLGYDSLDAVTVEDMGSQRIGKIDESKEVNGRGCKTILAFFRQSLPSKVIRFILLSIRVIYEVELWVSQH >KZN10502 pep chromosome:ASM162521v1:1:36352515:36355665:1 gene:DCAR_003158 transcript:KZN10502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQNLNSCNQSVLTIKVVSPCERKTLNQKDEVEVRHDITHRVFLDVDVDKQRVGRIVIGLYGEVVPKTTENFRALCTGEMGKHANGKSLHYKGTPFHRIIPGFMIQGGDIVYGNGSGNISIYGGTFRDENFKIKHSHPGVVSMVNPGPDSNGSQFFITTVKASWLDGEHVVFGRVIEGMDTVYVIEGAAGTYSGKPRKKVLIADSGEISKSEWEAERESRKTASTS >KZN08160 pep chromosome:ASM162521v1:1:3335171:3336124:-1 gene:DCAR_001225 transcript:KZN08160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSEDLTTTPDFRRLPCLENLYLQGCVSLKEVHESIGSLARLVSLNLEGCLSLRSLPIELGNIKSLKELNASETRFPKLPDSIGNLSKLVKLELIRDCERELESLPNTICNLIELKVLKVTVKALPDSICNLRSLEILDIESSDTLERLPDQLWKLTSLLELNASITSLEKVPDIESSQTSLPLTKLDLSYSAITALPSGISQLSNLECLYLAQCHHLLSITELPPNLKYISANNCPSLGRLNLSNLKLLRELHLRNCSDLTEILGLEELTSLDELLLKGCRPSLLTHTLTKPLFQVRKISNSYLIIWRMDYGSGG >KZN11954 pep chromosome:ASM162521v1:1:49646271:49647602:-1 gene:DCAR_004610 transcript:KZN11954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDWGLEAIVRGCSHDQYTAINGLLDFNFQDDLFYDFPDFQGDEISTDNSGFVNELDDFCKPFYDPASQIFVPEQTDSPVNEVKFEQEEIKVVDQKEASAVAAASPKVATHATKYKRKNQQKRVVVQVTAEGLSSDLWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVEESCSSPGTFIMTYSAEHNHSQPTRRSSLAGTNRQKFSALKRTSSGESCVSSTATPKEHSKFSSSSTHVEVVRALKKIKHEKNDTIIDDDHEFVIPDSILTDEFFAGFDDDLDGLVSNSSF >KZN11676 pep chromosome:ASM162521v1:1:46878281:46881319:1 gene:DCAR_004332 transcript:KZN11676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKAFLKPTLLFTILFLLALFAFLSINTSRYDSEPTHFEIQEKGLKIDGGLGVKKVRVYMYDLPRRFTYGVIESYAKARNGDLLKLKYPGNQHPAEWYIFDDLNRVGSERVGSPVVRVMDPDEADLFYVPFFSSLSLVVNPIRPAGTVGPSVVYSDEVMQEGLVEWLEDQVYWKRNNGWDHVFICQDPNALFKVVDRVKNGVLLVSDFGRLGRDQASLVKDVILPYSHRINTFKGDVGVENRKSLLFFMGNRYRKEGGKIRDMLFKLLENERDIVIKHGAQSRESRRMATQGMHTSRFCLHPAGDTPSACRLFDAIVSLCVPVIISDYIELPFEDVIDYRKIAVFVDTKSAVEPGYLLKLLRSINAGRILKYQEEMKKVKHYFEYEDPDGTVNEIWRQVSSKLPLIKLMINRDKRLVKRELTEPDCACMCSNQTGIHTT >KZN11504 pep chromosome:ASM162521v1:1:45261743:45264262:-1 gene:DCAR_004160 transcript:KZN11504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERVIMNGDEEEKVGLLNEEGGVSVLDFDMLCSAVAAMQNQGKCKWVNSQDQDYGDADSERGGVLRMWEGDVFDCFDDSRILLESSCCPCYRFGKNMKRAGFGFCFAQAIVYIVLAAAALVSITAFVVTRKHCFLYMGVAFTISVGAYMGFHRMQIRNKFNIRGTDSLLDDCMYHLVCPCCTLSQESRTLEINNVQDGTWHGPGVGAYNEGSSGILELRAPPVVSIQSSEPL >KZN09496 pep chromosome:ASM162521v1:1:26025976:26026680:1 gene:DCAR_002152 transcript:KZN09496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLDQTECRAITSPLSLSLFLASQVTSFSHLCLHRHRPSPVATRKALLDHPIRSTVHLSSRARFTQTPLRVTHIDRLRKITACSGHSSAPVPDRLISAALYSLTFLSGIRYGNHRIPPTLYPQIYYQYNSNFLIRFVSFFVVLQFVVRNPKLSKYVRINALQTILLDIILQMPVGILQALVLPVWPAGMRIGLDFVFIGVVFGIIYIMVNTILGKTPEFPLLTDTAGIHLRGM >KZN10687 pep chromosome:ASM162521v1:1:38184751:38185791:-1 gene:DCAR_003343 transcript:KZN10687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKLNLEQGTSSKSSAQPVPETSRNSENLSDHRASSAKDLKSPWKKYRVISSHVGCVRCVAFDPSNHWFCTGSADRTIRIFDVATGSLKHTLTGHIGQVRSVAVSNRQPYMFSGGDDKLVKCWDLEHNKVVRSFHGHLSGVYCLAVHPTIDVVITGGRDCVGRVWDVRTRQQVFALSGHGDTVCSVLARSVDPQVVTGSHDTTVKLWDLRDGRTMGTLTHHKKAVRALVQHPVEDAFASASADNVKKFGLPRGEFLDNMVLRRKMIVNAMAVNKDGVLVTGGDDGSVSFYDWKTCQNFQQIQTTPQPGSLDCEAAIYAAAFDVTGSRLVTCEADKTIKMWKQGTY >KZN11754 pep chromosome:ASM162521v1:1:47876597:47881511:1 gene:DCAR_004410 transcript:KZN11754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLLSEVAYKQCHVNQLTKLLANSYLTSRYLGNAGANGLSITPHRFQSSYVGRLGRRVQDLEGSSDVAYLKELYHRNDPEAVIRLFESHPSLQTNQDALSEYVKALVKVDRLDDSELLKTLQRGISGTGFNRTMDESIGGRAAMRNVGKASKDGVLGTAGTPIHMVTTEGGHFKEQLWRTFRTLGLAFLLISGIGALIEDRGISKGMGGLNEEVQPIMESKTKFNDVKGVDEAKAELEEIVHYLRDPKRFTHLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAGKKRSPCIIFIDEIDAIGGRRNPKDQQYMRMTLNQLLVELDGFKQNEGVIVVAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKVLKGDDVDLMIIARGTPGFSGADLANLVNVAAVKAAMDGAKAVSMADLEYAKDKIMMGSERRSAVISEESRKLTAFHESGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISRKQMLARLDICMGGRVAEELIFGENEVTSGASSDLQQATNLARSMVTKYGMSKQVGVVTHNYDDEGKSMSTETRLLIEKEVRELLERAYNNAKAILTTHQKEHHALANALLEHETLSGSQITALLDKLKSDQQQKLVSTQSTSQSNPVPPSGPSAAASAAAAAAAAAAAAASAAAKAKGVAPVGS >KZN11666 pep chromosome:ASM162521v1:1:46825681:46825830:1 gene:DCAR_004322 transcript:KZN11666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWRWRVEGMNEFGLSSRSFGVAFRWMREKFKSNKYYFYIEKHIPFLVR >KZN10474 pep chromosome:ASM162521v1:1:36048404:36055468:-1 gene:DCAR_003130 transcript:KZN10474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRLLTEFAKKQQEIKHLSKLSSRSYLTSQTFQGGRVSSLPGGPRRFQSSYIGRLARRVRDTDGSGDASYLRELSRRNDPEGVIRLFESQPSLHNNQSALAEYVKALVKVDRLDESELMKMLQRGTSGSGTVGEESIGGLAAFGNAGKITKDGVLGTPSAPIHMVANEGGSFKQQLWRTVRTLGLAFLLISGVGALIEDRGITKGLGLHEEVQPSMDSKTKFDDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGVIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMTKVLKGDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGSKAVSMADLEYAKDKILMGSERKSAVISDESRKLTAFHEGGHALVAIYTDGALPVHKATIVPRGTSLGMVSQLPDKDETSMSRKQMLARLNVCMGGRVAEELIFGENEVTSGASSDLKQATNLARAMVTKFGMSKQVGVVTHNYDDNGKSMSTETRLLIEEEVRELLETAYNNAKTILTTHEKELHALANALLERETLSGNQIKALLAQLNSDQQQQQKIVAPQNNSKSTSIPPSGPSAADSAAAAASAAASAAAAAATAAANAAKGKGVAPA >KZN11082 pep chromosome:ASM162521v1:1:41577479:41578680:-1 gene:DCAR_003738 transcript:KZN11082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHCCSKQKVKRGLWSPEEDEKLMRHITSHGLQRCGKSCRLRWINYLRPDLKRGSFTEQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPNTHNLLSLHKNANNACNITTAASVFSLSTTSSTLTSHTKLTSFTPLPHENATKVDGFEFKNPKMFWTPTQNSESLIDFANEFTPMNHSNFGVVNQENIMWGPSAGMDQNVGTIASQEMELEEEAQQKKRFEGNLMNNNNVNELTSMIEGMDHSFDADANFDFDFIEAALVPCGMYAGGNIIDQLAWDC >KZN08403 pep chromosome:ASM162521v1:1:6267761:6271784:1 gene:DCAR_000949 transcript:KZN08403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTGTKPITPKIEMDQIISNQTDVAMTLTKHILGDNNPCNVVLSPLSLQLVLGLVAAGSESESLKQLLSFLKAESTEELNSLASHLANHVFTGGSPPGELTLSLANGVWIDKSLSFQPFFSKVVDDVYKAGSDVVDFKNKASEAAEKVNLWIEKKTYGLIKDTISPDLFDDETRIVFANAIYFKGFWANKFDMLSTRNDDFYLLDDSSVHVPFMTSTTKYYCISAFDGFKVLELPYKRETDMRDISMYFFLPDAKDGLSTLVDKLASESGFLERHIPSEMERVGQLRIPKFKISFEFEASKALKELGVCAPFIRGRRDFDRMVNSVYDELLYVSGVFQESYIEVNESGTEACAYSRIPMCGGGPLPVTKIDFIADHPFLFLIRENKTGVVLFIGQVLNPEASWPRFSIDYNTIFGNR >KZN11694 pep chromosome:ASM162521v1:1:47046433:47049447:-1 gene:DCAR_004350 transcript:KZN11694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVGGKLMGLCKCLVGRGGRRSNNCYAAAAFPSFNSFKYQSPGQGRSVFIPPDPNPSPEGNTEKPVQVGSFDRDARPAMNAPTTNAFEAITGNLENKSTMMRIAKHTEKMDWGTVIEKGDVIDINDLVFTKKRDYLIRYNDKQRVKAGELANKLIVVYFVPLLAGSLREKMATTHLIDTYNYLLPRKVFEVVLVAYETDITLGFDENPEKQFEALFSLMPWTAIPFSDVASRDCLSRRFGICRSEPKLDLFVIDSTGMVVQDSCFHLFEKYGGLAYPFTDGRIQFLESQDAALAERPSLKMLLASHDRDYVVSNKGDKVPIDTLEEKVVALYFYEEHKTHSRLTADIELAYEEFAKKKKEFEVVLIYLYDTEGTCGYTNEESFNRTFESMPWLALPFADPAYTKLKRFFGYNFDLGERIETPSLVIFGPYGKFIEPWGADILMKFKLPAYPFTREKVAKLETEKIKELKLEMLWDRKTSFRRNDGTEVPLYELVGKRIILFFEGKYYRKHSAGFLNVLKNNYAYMECLKGTDHEFEVIYITTNKRKALYEEMIFDVRWLFAHESELLPIDLSLYCCYCQPIKFLCSHPPGWCGKGSSLLAFDKDGKLISKLVHLSSDTENPFCDADMEKRALFELNFHHEWFHLDQQYKGLVINSLHEEYPEI >KZN08844 pep chromosome:ASM162521v1:1:16505226:16507998:1 gene:DCAR_001500 transcript:KZN08844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTEHQNEREHVIDITSNRGPSSSSSTQDRPQNGSDTRRIEEQPSTSVRPSVLQPSFTIANGSISRSSSSVRRGNGNGNGHGRRRSPLNSGLWISVELVITVSQIIASIIVLSLFRDESPHAPLREWILGYASGCVATLPLLYWRYHHRNQTSEQDSSQPRQNSPESNITARTNSYSLSSGTRTMEDEDHQTIAASGSVQGGTILNARVKVLMEYLKMALDCFFAVWFVVGNVWIFGGHSSSTEAPNLYRLCIVFLAFSCIGYAMPFILCATICCCLPCIISVLGFREELNQNRGATPESINSLPTYKFKIKKNRVGSDRETNSGSNEGIVAAGTEKERVISGEDAVCCICLSKYANNDELRELPCSHFFHKECVDKWLKINALCPLCKGEVGDKILSTLSRENTNSQMNAVL >KZN11466 pep chromosome:ASM162521v1:1:44923916:44926399:1 gene:DCAR_004122 transcript:KZN11466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVHGGWSPTGAPMSLQRDDHWRHFGNSVNAVSCGFVATAILILMFLVMAIFERFLRTTSPEMSPSGDRSHLDMVSQMGFHGKLSYPSPKIPTRATEVSVLMPGEAIPTFIAQPAPVPCPLERVPWPPHNHI >KZN08635 pep chromosome:ASM162521v1:1:12769509:12770659:1 gene:DCAR_001165 transcript:KZN08635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFEDPAGFVQLHEKVKPITWAISLVREGHDKTPLGLASRFCVSSEGFIMTSARSFEGLKQKFEIRARRLDTDKNVKAQIFHVKKEWDMVMLKVEGCACESGVFVADNAFYCGQPLLCIGRLLHSVGSFRLGQVAFNTEKFVRVPKEEDNELRCGTYGFDSWDHIPAYRTFGEKWNNEVFKQLEVT >KZN10411 pep chromosome:ASM162521v1:1:35445109:35447522:1 gene:DCAR_003067 transcript:KZN10411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKDQQQRQLFKQSTWPEFEGYFILFPHQLSSEAGELPGELSSILHVIGQIFPSHQKVWVRSKSLLDSGRGSFTLSSRISGGRRSRKNGSESSGTLGGQHWKKRHHKVTSQRQFNLKTEGVAKKPIGLLHVKVLRAEKLLKMNLLSASDPYVKLSLSGERLPAKKTSIKMNNLNPGWNGDFKLTEKDPQT >KZN10461 pep chromosome:ASM162521v1:1:35910534:35913239:1 gene:DCAR_003117 transcript:KZN10461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASRARLFKEYKEVQREKSADPDIQLVCDDSNIFKWTALIKLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKTVNLSYPDTGADPRVGCFIFKILRSLDVDPNLDTGAGTSSVFGHGYGDSICKT >KZN12077 pep chromosome:ASM162521v1:1:50814375:50816175:1 gene:DCAR_004733 transcript:KZN12077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQNIETFYSKLRQSALSSASNTPLLIFPSTSDVDSLCALKIIGHVLESDSVRYACYPVSTFKEIHKYAGSSLSSVDEATRDDEHQADLAYDFDVSALANAGDLDSDDDIEEDEEDSDDEDGSDGEEEEGGGNRKKRRVSDEGEKDPVKLYRKLKKEYYYMGTFHGKPSGCLMYELSHLSRKNTNELLWLACVALTDQFVHERLTNERYQAGVMELEQHINSSGNLDGVHTVTLKDGTKVSAPDASRIAYEDEPRLMLLQEWNLFDSMLCSSYMATKLKTWNDNGMKKLMLLLAQMGFSLEECKQKFQYMSIEIKRKMKGMFEHFLPEYGLTDFYYRGFLLLHGYSSKISAADVVYGVTALLESSVESDGSCASKQFGVAYDSLSLNKLDMLASGMQHAIKIQREILRQGSTAITRKGSIRSGSKFRWVELEDSSDAKLLGYPQALTKFGYFLMDALREKGAKLKPLICVCYTHERTKVLMVGVCGKPRLGAIQGNAFGIAFRNAAEETGAEFFHELFESSWLVLDAVAVNSFMIRLTEKLW >KZN08098 pep chromosome:ASM162521v1:1:2695586:2704112:-1 gene:DCAR_000767 transcript:KZN08098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTLGDISLGVSLGSATQISAFVIPLCDIVRWIMGVPMDLDFRRLQSASLAFAIVLTSLTDGTQHYLIGVVLCLAYVVLAACFNVSHETSLGSNHDVQEGDISNHDVQEESQRRGYRYALDAYLHQHIVNLHNHGDGYLFSGMNSDPDVNTAIGSGISQTSNYNADIQVDPRTGDAGMVMSLNQRHATTVTLQNTATSEKYISGKFSSFQAWMVIWWDSKKTPNAFPKKATELTGKEVRLQIEINKDNVVSKSKLFVATDAYESRVSYSGISSTSFTESNMIQEGVRAGAKAASIACVVSAVPTLAACRMIPWAKANLNYTAQALIISGEAVREGTKAAMITCVVSAVPTLAACRMVPWAKANLNYTAQALIISSASIAAYFITADKTILESARRKAEAEYRKSA >KZN10215 pep chromosome:ASM162521v1:1:33624294:33624614:1 gene:DCAR_002871 transcript:KZN10215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECRPSFRSSAGERRLEIVSGKVLTGNQMYSGPDMSAISTRVNRLSQVASSSPAKSSWGFNDPEMKRKKRIAKYKVYTIEGRFKASVRNGFRWIKNKCSEFIHGY >KZN08969 pep chromosome:ASM162521v1:1:18372820:18373701:-1 gene:DCAR_001625 transcript:KZN08969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLHCLIHEQVASYQQEALPWAKYWWQKWDKRTKFNWIVMNNGWPNDIDNIAKVLNNSGFAPPEHIRKDVLRRCKRYNYVWVGKNKVTRLEPHEIEYVMGYPDDHTSVLNTTYRHKCLKNVFQVNTVAYHLSVLKNLFPDGIKVLSLFFGIGRAQVLK >KZN11177 pep chromosome:ASM162521v1:1:42325577:42326317:1 gene:DCAR_003833 transcript:KZN11177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTADQKGKNITTVTSSYQALFLGRTKELVRIMSRVFPELGLNKKDCIEMSWLESVLYHAGYPRTTPTEVLLQPKPLFRYYFKGKSDFVKDLVPESALQGLFTRLLKEFFPVVQFSPYGGIMSKISESEIPFPHRKDYMYSIQYLTAWNDANKDSSTNHINWIRDVYKYMAPYVSKSPRAAYVNYRDLDLGINKNGNTSFVEASAWGLKYFKGNFERLVRVKSEVDPDNFFRHEQSIPALPKRKY >KZN08574 pep chromosome:ASM162521v1:1:10670098:10670679:1 gene:DCAR_001104 transcript:KZN08574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRAKRKRYLELQDVLVSKVQSLNLSSSDLDYDVITANEDFGLMYKSMEDRQSELYSRMLGIAEKFGELHSILKDGKRADGGSSSTEETFALPEEYKGNVGRFIEDSRASVNGQAKLLKAQTTHMYAAFETFVEEWTKKLKDLKDAANEVGAEHENLSALLTDFILNV >KZN11263 pep chromosome:ASM162521v1:1:43196823:43210726:-1 gene:DCAR_003919 transcript:KZN11263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSRTKNFLHQFCLDEFQMDVGSQRGFFSKDLLPSLGSNINQATRLPKYIISPFSPCYRAWEMFLVILVIYSAWICPFEFAFLTYKQNPLFILDNIVNAFFAIDIILTFFVAYVDSQSYLLVYEPKRISFRYLSTWFIFDVCSTAPLQPLSLLLTDRSGGLTFKVLNMLRLWRLRRVSCLFARLEKDIRFNYFWIRCTKLISVTLFAVHCAGCFNYLIADRYPNPERTWIGSVYQKFKEESLWERYVISIYWSIVTLTTTGYGDLHAENTREMLFDIFYMLFNLGLTSYLIGNMTNLVVHWTSCTRDFRDTIRAASEFAKHNQLPSAIKDQLLSHICLKFRTEGLKQQETLNSLPKAIRLSIAHHLYFPVIQNAKLFQGVSVDYLFQLVTEIEADYYSPKEDVILQNEAPTDLYILVSGAVDLIKNINGHDQVLCKATPGDVFGELGVLCHRPQPFTARTTKISQILRLSGPALMGIIQANAEEGQIIMNNFYQQNCQKSDSSQVLDGESEKTQSYDTKDVSNEDLMPQESKKLEVEEKVQSGRSRALHTYRMDLNSTMYDQTALHNFCKGQLNTPRMLFERNISKNKPDARGWTPKALSEQLGSKSIDNLAQDYEIKSVTDEHRVDVLSDTSETTRSDQFKPPSNGPITYFTPFVKNSTSFGSNSSTHPTDADVVKFSRRRVTVHLKFIKDNTLPKHPGKLMILPDSMEELFHTAGQKFGGYNITKVVNSENAEIDDLNLIRDEDHLFLIPETYESMGFSKPSLTRHNITTPVRIFPILLLVTIFLSLSVPCSSSSQEDQTPPPPAAATYFRVFRINNTTPYFLKDQEPQQWRRHKNLIESKNKKTRRKTRSSKNNNIDTRAFTTMLPKGFIPPSGSSPCHNDFPNSITFFCELSSPKP >KZN08934 pep chromosome:ASM162521v1:1:17856798:17859077:1 gene:DCAR_001590 transcript:KZN08934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYPLPEEYLLNLNMDIILTICSFLLRDNFLAFTNFFQVFVMYKNDDEVFNLLHHLDWTNMHVHKLSWNQVVSSRFSRFLETSTEMMVIHAIAYNACNNLILNNQVNQNMSLLQILAHHDHLSFLALHIFRPFFDNNVFQVSAKAVHFRFKNNQIFNGQLQSFTEAFNGRLRLCTGGWDARQVMKPSFPMCQSYKTQPADHFSPYSWPAHIDRDNRLHCWIPSELVNALMDKFVEGCHYVISNFSVEPFNQKDRCFEAELHIVLHSNTAITDMPRSYSQIPKDIFCLKNLKTMIESAQTHDYLIDLVGIVEDLKPMEPVNGPALKNIST >KZN08645 pep chromosome:ASM162521v1:1:12923661:12926247:1 gene:DCAR_001175 transcript:KZN08645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNEKIEILKSEFQKLSKDGQAWVQHLSVEMNKQEWFQKLPPFIQNIPPLQLYVALVVLFLTMFFFFIVRLFNRKTSNTILLTGLSGSGKTVLFYQLRDGSAHQGTVTSMEPNEGSFVLHSETTKKGKVKPVHLVDVPGHSRLQTKLDDFLPQAAGVVFVVDAVEFLPKSRAVSEYLYDILTKASVVKKNIPVLILCNKVDKVTAHTKEFIRKQLEKEMYALASKALSCFHTFLSAS >KZN10171 pep chromosome:ASM162521v1:1:33260866:33269091:1 gene:DCAR_002827 transcript:KZN10171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLHSPSSSSEEGGDVQSKFKRLPIHSLKSKIVEKILENRVTLIIGETGCGKSSQVPQFLLEENMGPILCTQPRRFAVVAVARMVAAARGCEVGGEVGYHIGHSKVLSSSSKIVFKTAGVLLDEMREKGLKALKYKVIILDEVHERSVESDLVLVCVKQFLLKQNDMRVVLMSATADIARYREYFKDLGKDERVEVLAIPSSPQHTIHQQRVSYLEQVTELLEIRPESFSLKFDHHPLFAETVIKHEERVLIHDLVIHIHKNEPDMEKSILVFLPTYNDLEQLWFLLKRFPTAFKIHILHSSIDTEQALRAMKIWQSHRKVILATNIAESSVTIPKVAYVIDSCRSLQVCWDNVRKKEVPDLVWVSKSQADQRKGRTGRTCDGHVYRLVTGSFYNLLEDYESPSILRMSLRQQVLSLCCAESKAINDPKVLLQKALDPPDPEVVKDALDLLVSIRALEKIPPRGRYEPTFYGRLLASFSLSFDASRIILKFGGIGLMRDGILLGILMDTQPLPILRPFGQNNLSTKYTASYYSGDSTETGLTGKKEEALVGNFAAYQFWQHDKYRFEHLQDLLLSDETEKEKNLQSVTEENWCSFHHLVLSSLNHVAEIYDDVLNTLHRFRPNFLVKSGGLPSYYDPYEFQHACHLKCEHIELDGDVLDIYEDEHRDTVSKVQICTNEPFAVANSLPLDDVAVNLASIIKEIRSIQFVKEISSDQLNLNKYDSALESGEAYLCRYFLSGNCNRGDQCIFSHSLQAKKAACKFFFSLQGCRNGSSCYFSHDIEINHSSHNGLSLCLPEEEVTDFSTLLHLFPTSSDERILILDDTDFRFSSNIARFYNPSSIICTTSSAGGSVSDPSLMGIKILSNLSHPYQTLLNKEGESYIPWNQVKCVLWFPFSDGCRGDLEAEKRVVQTFFEYLAIRILADALFEVQVILTMNNIRFSQLQTEKLGRESFFLLKESFPYNESRFGVLSLSDTVPVKRPMLVSKAVSYVFCLHPPSSIEFDSSTPVLFQPLNFIP >KZN09209 pep chromosome:ASM162521v1:1:21680172:21680790:-1 gene:DCAR_001865 transcript:KZN09209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFRRVWNDFAQDFFPKKILRGDGNAMVARARLLLEVCLANYLHFRHVSSSLFSTLVMLLQDQEFVQFHQTGIYGADRLINEGSRSEGGILRNSHFLDFYI >KZN11067 pep chromosome:ASM162521v1:1:41438668:41445221:-1 gene:DCAR_003723 transcript:KZN11067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCSKQRHNPADSEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFNEAELKSYITVIHANVYQIIKILYDGAKELAQNEEESSNYALSDEIKEIGEKLSEIGGRLDYPRLTKELAQEIETLWKDDAIQETYSRGNELQVPDCTLYFMENLQRLAVADYIPTKEDVLYARIRTSGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAVSEYDQTLFEDENRNRMMETRELFEWVLKQPCFEKTSFMLFLNKFDIFEKKVLDVPLNVCDWFKDYQPVYSGKQEVEHAYEFVKKKFEELYFQSTAPHCVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >KZN11419 pep chromosome:ASM162521v1:1:44485311:44487997:1 gene:DCAR_004075 transcript:KZN11419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSEGNNIIRPRFLSFCCTGFSPMPATHYGLMENLNLLWIPIKFWKGVLAHFKHNWVGKAPLPSFSHTHSSTKNRNLNLLLSREDGFLWRKNSTFAISRHYKRFDVFIEAEANKAAAKYDNASIDFQTEFYRREGLTPYSEAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFATVRNKITSKTNWTVNMFMDCERRNFVVQAYHRDVLEHLSPPPPRVTSRPPPPLPRTPDKMSSGSSRGNVVPKNKVPAKHRTDRRSGSKRHRKVAAGSRNIS >KZN07943 pep chromosome:ASM162521v1:1:862380:863528:-1 gene:DCAR_000612 transcript:KZN07943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVESISATSVLRHSPVLGLHQCKDVGGKKKAVGFVRIPQNDFLCRKLVCCSSLRGVGVGRPLRRILVKAQAMGLAKEAYSYQDEERLPRNFNHGSDSGVDRKPSLWPPENRADNAALQNPLLRQERMGCGWLGAIFEWEGVLIEENPDLEKQAWLILSQEEGKSPPPTFVTRRIEGMKNEQAISEVLCWAREPSQLRRMATRKEEIYQSLQGGIYRFRPGSQEFVDVLIHYKIPIALVSTRPRKTLENAIGAIGIEGVFSVIVTAEDVYRGKPDPEMFMYAAQLLQFLPERCIVFGNSNQTVEAAHDARMKCVAVASKHPVYELGAADLVVKRLDELSVVDLKNLADIDSPEFGEPELEMEFEEEADLSSSTSVATDDDFW >KZN08412 pep chromosome:ASM162521v1:1:6408502:6410925:1 gene:DCAR_000958 transcript:KZN08412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQKAAICCTAMHRGAALSAMSYMSCFLEIGLTSILASVNCIPEGSFEAMTIHVISHSGEGLVSSVVYALLGVSAMSRVHKSATILQQLAAICSLIERTMWKAVLCWESLHKWLHSAVQTLPPEYLRQGETDSLVPIWLKALSSAGSDYLDSINCNGRKNECAHMQGKGGRVLKRLIREFADSHRSVPSLI >KZN08453 pep chromosome:ASM162521v1:1:6980111:6980314:1 gene:DCAR_000999 transcript:KZN08453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKTLKYCITKLVSLLLIALMLYIEGASGSTPACCFYDPDCCERSLHLAGMDVNVVPNLKPPSPQT >KZN10482 pep chromosome:ASM162521v1:1:36134694:36135077:-1 gene:DCAR_003138 transcript:KZN10482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYRSSSFGDGRMQMERYYGPNSIVPSNNPHDQYRSYSVSYGSSYEPHVTQMDDYAYNNRDYKFKKGKSVSGSSSKAWSFNDPEFQRKKRVASYKVYTVEGKVKGSFRKSFRWLKDRYSRVIYGWL >KZN11442 pep chromosome:ASM162521v1:1:44694534:44694731:1 gene:DCAR_004098 transcript:KZN11442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIANSLCKELHIEDRDGTVQVCMQPENMFTQLVRDNSHSEVEFVLPDNVRAQLQLHKEYVKKIT >KZN08388 pep chromosome:ASM162521v1:1:5988147:5988557:1 gene:DCAR_000934 transcript:KZN08388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRCAARVFQLTKELGLATDGETIQWLLQQAELAIIRATGTGTVPATATVTADGSLRVPETKEGAAEDVSKTSGLAPVGPSTVSVPGYGMAAENGMKMNQNQTSVSCEARASSAEEEGYDEMVLMGKIRFRKGGI >KZN09219 pep chromosome:ASM162521v1:1:21760619:21766894:-1 gene:DCAR_001875 transcript:KZN09219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTIYIAATILQSIKSQWVNTPPSWVGSDPCGNNWEGIACTDSRVTSITLASTNLKGELSGDITNLSELKILDLSYNKDLTGSLPTTIGNLKNLKNLILVGCGFTGLIPESIGFMQELVYLSLNLNSFSGHIPASIGNLSKLYWLDLADNKLSGEIPVSGESKPGLDLLSLWKKPAIGCHTTQAFQLKNELDTCAIVARTKVLQFCYLNLSRLFDSNQLTGSIPSTLGLVSTLEVVRLDRNSLSGPIPSNISNLITVNELHLCNNRLTGPLPDLSEMSFLTYLDLSNNSFTVSDVPLWFTTLQSLTTLMMERTGLQGEVPVALFSAPQLTTIILRHNQLNGTLDLGSSYSSNLKLVDLQSNSIAEVKPKSGHDNIVLVGNPVCEESGATESYCTVPPPNVQYTTQFNNCAPVACQADQINSPNCQCAYPYTGTLNFRAPSFSDLQNKTMYESLRDTMLHVLQTNLIPVDSISLKNPTKNLDNYLLINLEVFPSGVERFNRTGISRIGFMLSNQTFKPPHGFGPFYFLADVYKYLPGKSSTDSHKSISMGVLIGAALGGSLILLLLIFAGVYAYRQKRRAERADKKNNPFASWDSNKTSGGVPPQLKGARNFSYEELKKSTNNFSEANNIGSGGYGMVYRGLLPGKSGIRLDWTRRLRVALGAARGLQYLHDLADPPIIHRDIKTNNILLDGTLNAKVADFGLSKLMGDAEKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSYGVVLLELLTARSPIEKGKYIVREVKQAIDKTKGLYNLSEVIDPAIAFGHELKGLERFVELALKCVEEVGANRPEMSDVVKEIESIMKFAGINPNADSASTSASYEGVEKGDKNLYSNESLFTYSGSHLSSNLEPK >KZN11631 pep chromosome:ASM162521v1:1:46506296:46513520:-1 gene:DCAR_004287 transcript:KZN11631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQVASSSGVHDAGKNNNLKEEEEKTKKPPTPSVSLFKLFAFADSFDYFLMFFGSVGACIHGASVPVFFIFFGKLIDVIGLAALFPAAASHKVAKYSLDFVYLSAVILFSSWMEVAFWMYTGERQAAKMRMAYLRSMLNQDISLFDTEASTGEVISAITSDIIVVQDAISEKVGNFMHYISRFITGFIIGFIRVWQISLVTLSIVPLIAIAGGVYAFVAFGLIARVRKSYVKAGEIAQEVIGNVRTVQAFAGEDKAVKSYTSALQDTYKYGRRAGLAKGLGLGTLHCVLFLSWSMLVWFTSIIVHKHIANGGDSFTTMCNVVIASLFMTYHIQGLRLWKIICLDHFRSLGQSAPDITAFLRAKSAAYPIFKMIERNTVNKNSGKKGGKLQKVEGHIQFKDVYFSYPSRPDVVITNKLCLDISPGKVIALVGGSGSGKSTVISLIERFYEPLSGQILLDGIDIRELDIKWLRHQIGLVNQEPALFATTIRENILYGKDDATIEEITRAAKLSEALAFINNLPERFDTQVGERGVQLSGGQKQRIAISRAIVKNPAILLLDEATSALDAESEKSVQEALDRVMVGRTTIVVAHRLSTIRNADVIAVVQNGKIVETGSHEELILKQDGAYSSLVELQEAASKQQLPSNGPLGQPLSSKFSRELSGRTRSFGGSFRSDKESVGKVGFEVETVKSSPVSSGRLYSMVTPDWMYGVSGTICALCAGALMPLFALGITQALVSYYMDWDTTRHEVKKISLLFCVGAVVTVIIHAIAHLSFGIMGERLTLRVREGMFSAILRNEIGWFDNTENTSSMLASRLESDATLLRTVVVDRATILIQNVGLIITSFIIAFILNWRLTLVVIATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCSEEKVLDLYGRELVEPSKRSFTRGQIAGIFYGVSQFFIFSAYGLALWYGSVLMGKGLASFKSVMKAFMVLIVTALAMGETLAMAPDLLKGNQMVASVFELLDRKTEVVSDVGEDVTKMDGTVELRGIQFSYPSRPDVLIFKDFNLRVHAGKTMALVGQSGSGKSSVLALILRFYDPLAGKIMIDSKDIRKLKLKSLRKHIGLVQQEPALFATSIYENILYGKEGASEAEVIEASKLANAHSFISALPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPAILLLDEATSALDVESERIVQSALDRLMQNRTTIVVAHRLSTIKNADQISVLQDGKMVEQGTHATLVENRDGPYYRLISLQQQQQM >KZN10668 pep chromosome:ASM162521v1:1:38077736:38081169:1 gene:DCAR_003324 transcript:KZN10668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRANALFTFAVTILAFMCAIASFSDTLNSPTPTAHVQVLSINRFQKRPDGDDEVFVFLAAEYETSKNSLNQVSLWDYIILEKEDAYFRIRSTNKYRFIDQGSNLRGKDFNLTLHWHVMPKTGKMFADKIVMTGYRLPEVYR >KZN11743 pep chromosome:ASM162521v1:1:47772202:47773002:1 gene:DCAR_004399 transcript:KZN11743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTQGSVELKQEPPQRIQIYPTPSNEVPPFWRLKYEREAKKYWDNFYKRHQDRFFKDRHYLDKEWGQYFSGAGKKVVLEASFTISLCPAFLFI >KZN11979 pep chromosome:ASM162521v1:1:49856009:49859088:-1 gene:DCAR_004635 transcript:KZN11979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCSSHCISYQSLRCKAGETVYANNVDASGMKRSCLGFASLSCDLRMTMRNLFVNGIGVSSRRGRHVVVASSPPTEDVAVAAEPLTKEDLVEYLASGCKPKEKWRIGTEHEKFGFQSETLRPMNHDQISELLYRISERFGWDKIMEGDLIIGLERENQRMSFEPGCQFELSGAPLENLHQTCAEINSHLYQVKSVAEEMGISFLGIGFNPKWGMNDIPLMPKKRFEIMRNYMPKVGTLGLDMMLRTCAVQTDENRAGMLPFVFEDSFGFEQYVEYALDVPMYFVYRKQKYIDCTGLSFRDFLAGKLAPVLGEYPNINDWENHLTTLFPEVRLKRYLEMRGADGGPWRSSCALPAFWVGILYDEVSLQKVLDMIADWTAEEREMLRMQAPVTGLKTPFRGGLLRDVAKEVVQLAKDGLERRGLNETAILNEVAEVAETGVTPAEKLLELYYGKWKESIDPVYQELLH >KZN09452 pep chromosome:ASM162521v1:1:25384164:25385442:-1 gene:DCAR_002108 transcript:KZN09452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRDVFVVAPASSFHGDAVNVSDPHQMSAANAAAAFGVGVIPLLTASPCYNEDERRNNSTNSGSGIQFWQQMQQQQNASYFKKPGSVHDHHQTVAANLLQGGGAASSSSSVATCQDCGNQAKKDCSHRRCRTCCKSRGFDCSTHVKSTWVPAARRRERQLMGVAGATAGSSGSTSSAKKPKLTSQTATSHTSTSNTTPPRSYDTSSSHQDAGFKEALPGQVRAPAVFKCVRVTAVEDGDDEYAYQAVVNIGGHVFKGFLYDQGVEANREGFPNLSELHLGGGGSGTGGGVRNIGSSSAPVIDQSDIYTAGGGGGMIGSSNYVLIRCLGASYSRRPPVSFIGGLSSINQVSE >KZN09578 pep chromosome:ASM162521v1:1:27345193:27346521:-1 gene:DCAR_002234 transcript:KZN09578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCWLMCKIVKVSKNNFKVQYNDADDVERSGKLEEWVSASRLAAPNRLSLRRSGRPIIRPCPPKEPSSQFFEVGAAVEVWWNKGWWESFVLTGVTLSSNNDSYHVFLPGEKRFLTVHIKDMRIAKDWIGKKWVAVKPQPDVLSVIHSSFNQTEE >KZN10253 pep chromosome:ASM162521v1:1:33887281:33889174:1 gene:DCAR_002909 transcript:KZN10253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDRYLVCHEIVQACEEYGFFKLVNHGIPDHVISSMEEESYGFFSKPAREKQEAGPPSPFGYGCKSIGLKGDMGELEYILLEANXAREKQEAGPPSPFGYGCKSIGLKGDMGELEYILLEAKPASISRRSTTMSTDPNKFSNVVSEYVQTVKDLSCEVLDLMAQGLQLTDKSLSCLIKDDDNDSCFRVNHYPPLERTTSCPVVGFGEHCDPQILTVLRSNHVGGLQICSKDNRWISVPPHPAEFCVLVGDVLQCQTQSSREL >KZN08134 pep chromosome:ASM162521v1:1:3013457:3013969:1 gene:DCAR_000803 transcript:KZN08134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKMQEMEFRQKFLTDFLSMAFSNPAFLQQYMEMHGAKMDPQKVEMRRRLTMSSGGGNFQDQASYNASQQQETTVDAERGMESFLSAAVNNSSDNTSSPKPPANDEYADVFNCTWKELLEKDLGIVNEEGVVVGDQPGVEVDDLEKSPGWDMDELQDLVEQSEYLRSEL >KZN08410 pep chromosome:ASM162521v1:1:6378584:6381400:1 gene:DCAR_000956 transcript:KZN08410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELFHGFLTIGTLGAEPNVAEPTTPKFAISCQSANEKETLVTETELKLINNELEKFFEAEAKDVDYDSSERSSFVSTITLGGYHIEETDAQEDKNMVTWPLQQYLFSSSIECPEIGAEVKKEKRSLGDLFKNDYKNPEQQKEQNEEGRTATKGTCALKFMKKMLGKIHGTSGGSKCSVNDHAAGNVSTKRKLPKVLRLLQKRIHPEISIFPVQKMNKPHKYEDRTKKEDRAVTILEKRNHKFPKKTILKENVISVSNNHDSFDRSHSAGDGGHWIKTDADSVPPPNVSSEFTSPIASLIEKVDANTAAIQSLSSKFEVFNTFSHYSMQTMDSITSQLLV >KZN11768 pep chromosome:ASM162521v1:1:47948384:47950185:1 gene:DCAR_004424 transcript:KZN11768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRFVGYNCFGVYICSNLGFFVASSKGSLVQKISESVSTATCGGSGGEMDTCRDEKAALFLKMLAIAAILFFGVCGVATPLIGKKRRFLQTDSNLFFAAKAFAAGVILATGFVHMLPDATEALTDECLPTNPWSKFPFSGFFAMMAALITLVVDFVATQYYEKKQEKQIPSLVDSVDIVSGSGAVPVETNENSGKVFGEEVGGGMHIVGMHAHAAHHSHNHLHGQEACDGHMKQQTDSHSHSHSHGFGGDVESSIRHVVVSQVLELGIVSHSIIIGLSLGVSQSPCTIKPLIGALAFHQFFEGFALGGCISQAQFSTFHSSMMACFFAITTPVGIAAGTGLASFYNPNSPRALVVEGIFDSVSAGILIYMSLVDLIAADFLSKRMSCNVRLQVASYFALFLGAGLMSCLALWA >KZN08554 pep chromosome:ASM162521v1:1:10292651:10293668:1 gene:DCAR_001084 transcript:KZN08554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKLRIMPSYHFPEQLWSQILKTLPVKYVLRCSSVQKSWYHLVKTPLFLKLHSDHHKAIAEHDSDHHPKFLSFLNMRDHLLTLHFDDVQCQEYATLKYPVELPNHAIRIIYYHIGPMRPSWRPNLALHYDPEIYLWNPLVQKYKTLPRSPAFKFTSRVTYWRALAFGLLPEVDDYVVVNIVKPRRTSRPQADCVLIAVYSLNTNSWKEIRRDNCFISSIYSDGAVFVDGSAYWVGASMFHHKAIVMCFDTKTYELRVIKVPHWFECIHRIHPFGQSIACFVEHNQRLHMWVLKKNDNHVDGFYWEIKIEMK >KZN10684 pep chromosome:ASM162521v1:1:38155832:38157448:-1 gene:DCAR_003340 transcript:KZN10684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNLQPQVVNEVVFEGEDGAYYSWSPSKLPVLDESKVGGGKLVLQPRGFALPHYADSTKIGFVVQGCCTVGMLFPNSSEKVLLIKKGDVIPVPLGAVSWWFNGGDSEMVMVFLGDTTTAYIPGQFTYFLLTGALGILNGFSTQLISKSYNLTENQSNTLVKNQSGVLIVKLGDEITTMPKPNIDENDQKLFLGIDDVLADKITVKDAGAISCFTSANFPLLEQVGLSATFVKLESNAIYAPKFASDSSVEVVYVVGGGGRVEIVGVNGQSALNAEVKEGELFVVPKFFISALVADGKGMDLFSVMTSSKPVIERLAGNTSVWKNMSPVVLQASLDIAQEFEQLFKLNIENSNLLIPGSN >KZN10623 pep chromosome:ASM162521v1:1:37691924:37693359:1 gene:DCAR_003279 transcript:KZN10623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVLILWVHFLSLIWLSQIVTANYFAKYGIFTPAVPAPYTPVVSLPPLIDPRPPMLSPPPTSPPPPRGPPTPTPRPPNPRPPNPPRPPRVVKCRLREFRFCFGVELPCPAACPNSYCDFPGAVCQDPRFVGGDGVTFYFHGRKDRDFCLVSDSNLHINAHFIGKRNPKLKRDYTWVQSIGILFNNHKLIVSAKRTSKWDDNVDRLAITVDDKPLELPKAEASTWHAPHATPSFTISRTSKTNSVEVEVADGFRVRATVVPITEHESKVHNYEINTSEDCFAHLELEFKFYNLTDGVDGVLGQTYRSNYVSKAKISSAMPVMGGTEKYMSSDLLSTDCAVSRFGSVGAEISKKKGNESEYSALRCSSGIKGNGIVCKK >KZN10761 pep chromosome:ASM162521v1:1:38709500:38710922:1 gene:DCAR_003417 transcript:KZN10761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDDSPLNAVNSSTDKLDGDPTGKLNGVTFDDEEEHSSQSKPNPHDDQITLYAVFNNVTNRIFFPRHDDQLDLSTGLLHRIKTTASENWPLLPEASRNTVRHVLLWTQRGSALRSLLVVSWLGLLHTGCLRKIKIVTGDIATSNLGLFVTAIYL >KZN10847 pep chromosome:ASM162521v1:1:39493647:39494141:-1 gene:DCAR_003503 transcript:KZN10847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFVRCYMSAGNKNRVQFETREVSSSNMAWNQSFSLDCFGTKESMSSMVSEGTVMFELRWRSRTSIFGRRRKSHLLAKAEVPWRTVYESSTMDREKWIVMNSRKSLADGVKPPAVQIGIKLGGPLPAIPKAMRQNRRCGEKCECKNCVTCELFALDAALEFF >KZN10356 pep chromosome:ASM162521v1:1:34871943:34886003:-1 gene:DCAR_003012 transcript:KZN10356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRLNRLYESWEENPDIGFVLMKNNGRAFSSGSDVVTLYNNLSNGNVEDCKVFFQTLYKFVYLLGTYFKPHVAILNGLTFGAGEYLALTGEKLNGVEMIACGLATHYSLKERLPMIDERLSKLMTDDTSVIENSLAQYGDLVYLDETSILNKLKSIDKCFGQDTVEEILDALEKESADSYSEWSTTALKKLKEASPLSLKVTLQSIREGRYQPLDQCLAREYRMSCNWISKQVSNDFSEGVRARLVDKDFAPKWDPPTLEDVTKDMVGCYFSPLPEVEPELKLNTAVREPSL >KZN10360 pep chromosome:ASM162521v1:1:34912585:34912887:1 gene:DCAR_003016 transcript:KZN10360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISIQQLNQYNGTDPSKPIYVAIKGRIFDVTTGNSFYGPGGSYSMFAGKDATRALAKMSKNEEDVIASVDGLTDKELGVLADWEKKFEAKYPVVGRVVS >KZN09645 pep chromosome:ASM162521v1:1:28087007:28088475:1 gene:DCAR_002301 transcript:KZN09645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDILNSPLFHKLGSTIRDRSSLVDLRNPKCSSLLCSPSESDSSTSGKSEKDLAVHNDHANVSDHVPKSVGPLSTPFASAAAHLSSFMPATSSRWMLHNRGNQKCPHYVFSSDNQRDIYFANLSKVDSPNKKVTDYMYAFLSRAAKRKEGEMCEQEFNLIGRMKVSSSITILSDGTEVMETSFVLYGFDDNCVEERQTKSHNLEKSKGLSVKMSGVLKGSRTFRRRRSMRLDVSTSMKDINSRQACEGAGVEDHFTPNLELAAIVVKEHISPANKNVKVGGWGLKFLNKTGQRHLNASLQTEVPLQCHSHSNSNCSTSIDIIIPASFHGLPRSKTGSPSSLIDRWISGGKCDCGGWDMGCALTILQTKQTEAFCLAKTFDIFAEGSKKDLATMKMVNVRDGSYCIPFQSTLSALQSFSIAVAILHAQIHSPNRQLSIG >KZN08893 pep chromosome:ASM162521v1:1:17359168:17359452:1 gene:DCAR_001549 transcript:KZN08893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEYADDGGAAILEKMRADQLESRKQRNEHLTELLQLAKEKEECEKRREAAEQDDADARIMAMDTSSMGEIVAEYFNLRKKEIIERKRNQFAK >KZN10050 pep chromosome:ASM162521v1:1:32112773:32118024:-1 gene:DCAR_002706 transcript:KZN10050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESDVMTPEESISKSILEKPLHQLTEDDISQLTREDCRRYLKDKGMRRPSWNKSQAIQQVISLKALLEPASDSDAATARKKLHIPRPQIVPNRVPRGTSADTEISVSADESVPCTQKVPEVNPDSSSDLQGGLVPAYNETVPPRTTGVASKQVEQLTIFYCGKVNVYDDVPFAKAQAIMQLAASPLQFPQETSLEGNTVFRSLPCHLQPASVKVGLDSSVTVLPNLRAVKMIDNCTAQVEESNIAREENNAEGTASRKESVRRYLEKKKDRGRFKSKRKVGGSSITGLDFCLNHHMGNQTPNEHSGRSGAFSPVPIRSPNMRNSSGSLESNMLKNANYPVVVDDKGTIIFGTCLRAFIC >KZN09573 pep chromosome:ASM162521v1:1:27316989:27318137:-1 gene:DCAR_002229 transcript:KZN09573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSNVVPDQITMVSVLCACGDIGALGMGKMIHEYIKRSCIEIGIRLGTSLVDMYAKCGDIDNAVSCFKQMSKKDVFAWSAMIMGLANHGYGEAALDLFSKMISEGTKPNDITFIGVLTACSHIGLFDKGWTYFNAMSNIYCIPPKIEHYGNMVDILGRSGRLQEARELIRSMPFEPDAVIWRALLGACKIYRNVELAEEAIIKLVALEPNVDRNFVLLSNIYSQAKQWDKVVNVRRIMKNNKIQRIPGSSSIEIGNEVHEFISGDESHLQSVEIYKMLSEIMNRLKEAGYVPLTTSVLQDINDKEKETLLVRHSEKLAIAFGILNTAPGVSIRIVKNLRVCDDCHSAIKIISIVYNRKIIIRDRNRFHHFVAGTCSCKDYW >KZN07852 pep chromosome:ASM162521v1:1:159852:162123:-1 gene:DCAR_000521 transcript:KZN07852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTTPLGSAVKLLLLLSVATLLFLFITLSSDLPRAVAYNHHHDADASSYNGVELSFHTSRSVLALKSDPLRPRLDQIRKQADDHKSLVLAYASYARKLKLENSKLVRVFADLSRNYTYLLSSPAYQPLHSSDSSSIDESLLRQFEKEVKERIKLTRQVITEAKESFDNQLKIQKLKDTIFAQNEQLTKAKKQGAFSSLIAAKSIPKSLHCVTMRLMEERIANPDKYSDEGKETPPVIEDPNLYHYAIFSDNVIAASVVVNSAVKNAKDPSQHVFHVVTDKMNLGAMQVMFKMRDYNGAHVEVKAVEDYKFLNSSYVPVLRQLESANLLKFYFENKLENATKDTTNMKFRNPKYLSILNHIRFYLPELYPKLHRILFLDDDIIVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQNFSPKACAWAYGMNFFDLDAWRKEKCTEQYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSINMEEIRNAAVVHFNGNMKPWLDIAMNQFRSIWTKYVDYDNEFIQDCNFGL >KZN09249 pep chromosome:ASM162521v1:1:22076721:22077509:-1 gene:DCAR_001905 transcript:KZN09249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKILSVEERGELYERMCRSIVCIYDEFDELKGGGVFLEVGSKHLVLTAAHVGAGKRDLRIACYDKTTYAAQVLHHDPARDVALLDILNKPEDGFVCAQLYCGGDELSRGSEIHFIGHPGGQTFAYKVGNISCVEKTCISIYRALNMHKMSKFERIANLEAKKNKIIDDFSMLDDSVRLIQAKNVHGCGRFGGTGAPFLDSDGNIVGLYSFTFQAEDHAIHVAEINASIAGFNHKGKGKKTTTRSQKSHKSSSVGKSRIYK >KZN08966 pep chromosome:ASM162521v1:1:18279002:18291057:1 gene:DCAR_001622 transcript:KZN08966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVSTAPEESIVKLQKLFLKPVRIVKKYPVTEGGVLKKKHMVCLNWLISDEPLDMESKLALLFLDHLLLGSLNSGECNVGGGAEYELLQTQFSIGWCVSKENIKRVEDLVMDTIKSLAETGFDTDAVEASMNTVEFSLRENNTGSFPRGLALMLQSIGKWIYDMDPFEPLKYQKPLLALKARIAEEGNKAVFSPLIEKYILNNPHRVTIEMQPDAEKASCDEASEKTFLDELKANMTEADLAKLDHATRQLRLRQETPDPPEALKSVPSLSLQDIPKKPIQIPIKIGDINGVKVLQHDLFTNDVLYSEVVFDMSSLKQELVPLVPLFCQSLMGTGTKDMDFVQLNQLIGRKTGGISVYPFTSSVRGKADPCSHMIVRGKAMSGHTEDLFNLINHIIQGVQFTDQKRFKRFISMSKARMEYQLQASGHKIAAARIEAKLNVAGWIGEQMGGVSYLEFLQSLEEKVNLNWNEISSSLEEIRRTLFTKSGCLINLTADGKNLTNSEKHVGKFLDLLPTSSSAKSEIWNAQLPSTSEAIVIPTQVNYVGKAVNLYETGYEFNGSAHVISKHISNTWLWDRVRVSGGAYEGYCDFDDHSGVFSFMSHCDPNLLKTLNVYDGTSDFLRELEMDDDALTKAIIGTIGDEDSYHLPDVKGYSSLVRYLMGIEEEERQKRREEILSTSLADFKEFAERMKSIKDNGVVVVVASAKDVDNAHKERPNFFEIKKAL >KZN07888 pep chromosome:ASM162521v1:1:477106:478808:-1 gene:DCAR_000557 transcript:KZN07888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWLEPGSEPETQREADDLAAINAIKVSAAVELKEKGNEYVKMGKKHYSDAIDCYTRAINQKALSDAESSVLFSNRAHVNLQLGNYRRALLDAEQAIKLSPTYAKAYYRAVKASLSLKLLAEAESYCQKGLEQFPDNEELKKLFSQISLQKSENELREAQVSKALSAAKKLVSAIEDRGIKFGKAMFQELTGVKKPMIDKDNILHWPVLLLYAEVMSSDFIEDFCETDMFSAHLDMISFFISLLGFVISRNS >KZN09451 pep chromosome:ASM162521v1:1:25381225:25381431:-1 gene:DCAR_002107 transcript:KZN09451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMDIPFGDSRMRYYAAKIDEECAALQAKLEGSQEARFWAEWGDVDNVTYQCRRVQMPTPWVDEDEG >KZN10015 pep chromosome:ASM162521v1:1:31725362:31732419:1 gene:DCAR_002671 transcript:KZN10015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYSTIIALVFFIFLIKLLKKSKTSKTSTKNLPPGPLKLPFVGNLPQVAAAGKVPHLGLQALAKKHGPVMQLQLGEIPLVVISSAKAAEQALRTNDLALADRPSLLLGEIGLANCRDIVFALYGDYWRQMRKICKSELLSVKKVRSFRAIREDESQHLLENIKNSVGSPFNFSESVAGMAHGVISRATTGKRSDDELVRIVEEISYWGAGFLVPDLFPSIKFLPTLTGMKKEIQKLRNAVDPIFDSIIEEHREKLARKKERKAMDNDADEEDLIDVLLRVNENERLEFPMTSNDIQGIVLDMFTAGIDTTSAVIEWAMTELMRNPRVMNKVQAEVRQVLRGKETINEDDIQGLPYLKLVIKEALRLHTPLPLLLPRQCRKECEIDGYHIPVNTKVIINAWAIGRDPEYWADAESFKPERFENNSVDFIGLDYDYLPFGSGRRMCPGMNFGIAGVELPLIQLLYHFDWKLPNNMKPEDLDVEDAFGSTTKRKNQLVLIPTSEYAAQKA >KZN10732 pep chromosome:ASM162521v1:1:38516644:38517141:1 gene:DCAR_003388 transcript:KZN10732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTKSKDVAAETVSTDSLSFAGLICIEERSYESPKVHASPAKNSARGKGDPDFEFNSVIDKSVENASNNKSLADVLFSNGHLVPQANQSQTNSKNSSRPSRSSKKIGGGNKVGETETAKKTDTNRGNKNHTTGSRWFGQIIAMPCRDCRAVQPSPSMKEQSIRQ >KZN08064 pep chromosome:ASM162521v1:1:1950764:1952484:-1 gene:DCAR_000733 transcript:KZN08064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKGGYPLVKDSKKEGWVVCECEYEYEHDVKESPSPVPNAPPPRKAPKAVDEDLYNIFPDLLYANSKRAKGGYPLVKDSKKEGWVVCECEYEYEHDVKESPSPVPNAPPPRKAPKAVDEDLYNIFPDLLYANSKRVCFSSALSFVSKIKSLKSLFCLFRKG >KZN11910 pep chromosome:ASM162521v1:1:49341444:49341599:-1 gene:DCAR_004566 transcript:KZN11910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYPAKSTRLTWATVLTRLHKISKWTCKLDNIGSLIADHTENIQFGLKFT >KZN10524 pep chromosome:ASM162521v1:1:36586068:36588154:-1 gene:DCAR_003180 transcript:KZN10524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITEDIVIVGGGIAGLTTSLGLHRSMVLESADSLRITGFAFMVWSNAWKALEALGIADSIRRQHKQLQGVVATSLETGAASELSFEPSATQKGHEVRCVKRKILMETLVKELPSGTIRFSSKVVSIESGHIKLLHLADGTIIKAKVLVGCDGVNSVVAKFLGLEKPAFAGRSAFRGYADFDDAHEFEPRFVQYFGQGVKYGFLPCDDHTVYWFFTYTPSRNDREMEEDTHNMKQYVLDNLGEVPEKIKSVIETTKLDGIVSSPLRFRHPWELLWGNIHKDNVCVAGDALHPMTPDLGQGGCSALEDGIVLARCLGEAWKKKSNGKEGEGSEDNEGMRIKMGLKKYANERKWRGFSLISTAYLIGFLQQSDGKLISFVRDKLLGGLLAGLLMKRADFDCGNLSATA >KZN10693 pep chromosome:ASM162521v1:1:38224225:38224656:1 gene:DCAR_003349 transcript:KZN10693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDYGKVFSLALGFYSFSKNRDIISSSFISSVRKSMDYGSVFSLAVGFSASFFLCLPNLKKWRAKQLTEQKLYIVNRALELAEERLVMYQQRHDHLLSQITSHYLSSVALEEALADSKDAMNEASKFVTSLRKLQLEIITLY >KZN11527 pep chromosome:ASM162521v1:1:45482266:45484608:-1 gene:DCAR_004183 transcript:KZN11527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFWCLSTLLSTPAAHNTAHALCSGKAKYSPGSSFEANLKLLLTCEVAYGLSHCRSDLSSYTCQTCVSEATQHILTQCPRAKQAEVWHEYDKCFGVLANGKEIAVKRLSKSSNQGVAEFKNEVVLVAKLQHRNLVRLLGYCFEGEEKILIYEYILNKSLDYVLFGIAKGLHYLHEESRIRIIHRDLKPGNILLDADMNAKAWRLWRHGTPLEFVDPGLSYSYSSDEAIRCIYIAFLCVQEDVNDRASMDAVIHMLNSHLVTMTMPQQPPFLQNSRRCSLIQGLESDSSRKFATSCSVDEASITGIYPR >KZN11731 pep chromosome:ASM162521v1:1:47566607:47575641:-1 gene:DCAR_004387 transcript:KZN11731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPRLLVCTIFLMILTTLYIQSKAAGSRVPKLFKVASPFDHPRSSKGKDGVIHEFLAGDLTHPRSSQIKRELENLLHKLKFHGYQPVLSSVPYDVDDKEKMRILMAHSEKLAFSYGLLTVASCSTITIVKNLRICEDCHLFMCGASLVTGRKIIIRDNMRFHHFHDGACSCNNFW >KZN11600 pep chromosome:ASM162521v1:1:46119010:46120704:1 gene:DCAR_004256 transcript:KZN11600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTDVEGVEGSPEARRSGLLEEEVTDGETPDVHSVSMQLERAKEIYREFGGIQDKPSKGEVILWCFYGLCSYFLHTVLIPILFPLIISQIFKVPEPKQGWEKSFEGFSCTKKDMQVYQGLTSKLISVSSLNFSALEWTSVSWFIGIILSAPVLGIISINLDYGTQPQLLAGAVTALGAIFCLPAGFFRTYWIFPPYIAAIVVAATVGTASHTRSLGLMVHGFIGSTIHKSEFTSRQRIASRLSLYATAAGSLGSVIFSAFTYHMLHGPDKFTSLWIVAIFSGLKWLTGVVHIFTANRPSGNSSISPSSVPRTYFVSIFHYPHAAGSLASVFLSSMVTMSIFTGGVLFLVGQLCLEPKTILFIWLTYFAFPLLSLPLLHPLQQFIRADAVKMQLLGFLLSTLITGFGLYYRHKNWQSQHVLFFTAVQSTATGVLHAFGRNLLLDCSPPGKEGAFAVWFSWVRALGTCAGFTLATAGAGTIGRPFGVAFCASIFGILVLIFSNISNFEGAVAAGNLSDHSDKDYENPDQTATA >KZN08752 pep chromosome:ASM162521v1:1:14814753:14815181:1 gene:DCAR_001408 transcript:KZN08752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSYKFLLRIFLASYFISTIVMARPIPYTNSTLVARLKLDNEESSTACWDSLFQLQSCTSEVILFFLNGETYLGQSCCRAIRIIEHDCWPSMLGSLGFTSEEGDILRGYCDASNSTVTPPHNTNATTNVSHHILPKVFQIP >KZN10869 pep chromosome:ASM162521v1:1:39722471:39724836:-1 gene:DCAR_003525 transcript:KZN10869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLLQDNWDHLKLDNFTSLQGVFNGSATPPQPTLNSRQKLFNITNLGGVLNDSPTSVVGNSVGFHTPPLSTFNSRQPLSNITNLGGVFNGSPTHGFCTPPLPTLNSRQPLCNITNLGVQATTRSTTKSSNTPQATPNDSTDNRKGKRVSKATTHSTTASTNTPQATPNVSTVPCSAIFKNLFAATNSPRTSGSGRCPAPPSAANKSAGGLNVTPGSFAADTDGFDTPPLPMYNSRQPLSNITNLSVPCSDIFKNLFAQTNSPHSCTTQNEKNRSLDTTQVPCSRLFHPTVDDTNYEDIENSHPTDIPSEDEEPLPDDYGSDVTEDSSEPDQNEDAHIRHQGNLFFSTLQ >KZN10936 pep chromosome:ASM162521v1:1:40436630:40456018:-1 gene:DCAR_003592 transcript:KZN10936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAVESKLSNLSINDDLLASAPNLRNNLSLLSSKQIDLAKMLLEMNQSHLFKHWPDPGVDDDKKLAFFEQVNRLDGSYPGGLASYIQTAKGLLADSKAGKNPFDGFTPSVPSGEVLTFGEDNFIQFEEAGVKEAQNAAFVLVAGGLGERLGYNGIKVALPSESTTDTCFLQRYIESILALQEASYRSTQGESKRDIPFVIMTSDDTHSRTLELLETNNYFGMKSKQVHLLKQEKVACLDDNDARLAVDSQNAFTIQRTLSFHSYFSPCPFQTKPHGHGDVHALLYSSGLLKEWHDAGLRWVLFFQDTNGLLFKAIPASLGVSATKKYHVNSLAVPRKAKEAIGGITKLTHSDGRTMVINVEYNQLDPLLRASGYPDGDVNDETGFSPFPGNINQLILELGPYIEELTKTKGAIKEFVNPKYKDSTKTAFKSSTRLECMMQDYPKTLPPSARVGFTVMDAWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYKANSLVLRKAGVKVDDPVSQVFNGQEVEVWPRVTWKPDWALTFAEIKNKVSGKCSISQKSTMVINGRNIFVEDLSLDGALVIGCIDDAEVKVGGSVQNKGWAIENVDFKDALEPEKVRIRGFKIKKIEQLEKNFNEPGKFSLKP >KZN11829 pep chromosome:ASM162521v1:1:48446913:48447480:-1 gene:DCAR_004485 transcript:KZN11829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNDGEREFLYTSPLELLATVAETVSDHPTLQETCHGPNAEKDSSFERITAGEGDRSPSEVNDLVDDISPSEVNDLVDRDDQRKVKKVRSLKDVMRVVNEKASSKTSLTVHNLPQKSQKLSLTKQKKIHSEVEGNDRTSHTPC >KZN08995 pep chromosome:ASM162521v1:1:18791917:18805079:-1 gene:DCAR_001651 transcript:KZN08995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPEFLILLLCIINLCPKLTYAGVSETRRSRSPETTSLCSHLIEPSGYPCSEHSTKTEDGYLLGLQRVSSSIGKLGGRKGQPVLLIHGLFMGGDSWFLNSPDESLGFILADQGFDVWVGNVRGTHWSHGHATLSVEDKDFWDWSWQELALFDLAEMIRYVNAFTNSKVFVVGHSQGTIMSLAAFTQPGIVKMVAAAALLCPISYLDHITSPFVRDLVFMHLDGIMVDLGIHQLNFKSDFGTKVMDMMCDSNIDCGDLLASITGKNCCFNGSRVDFYLDNEPHPSSAKNLQHLFQMIRKGTFAKYDYGLIKNLKTYGQLKAPSFDLSQIPASLPIWMGYGGNDALADVTDVQRTIQELQSKPDMLYLENYGHIDFLLSIYAKGDVYDNMITFFRSYGNYSSASS >KZN10066 pep chromosome:ASM162521v1:1:32299969:32305674:1 gene:DCAR_002722 transcript:KZN10066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFINSKFFTPTINYLTSIGHNIHILCMSTGNADGIGNVRKEELYQASAILKVPLHQVTILDHPNFQDGFGKVWDGDLLAEIVRDEIHAKAIDTIITFDGYGVSGHCNHRDVNRGVRHLLRGALQRDIDAWELVSTNLWRKYSGPIDIWLSILNAMRNSDKLHCLLNAQPRKSYVAMAQHMSQWVWYVDSPTYFNK >KZN10871 pep chromosome:ASM162521v1:1:39777900:39784951:-1 gene:DCAR_003527 transcript:KZN10871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTGNSANSGLSRGLARFRSAPATWLEALLESEEEPEEEEDAKESIFHPSPAKPPPPATHYFSPPETMAKPGFLDPELLRSSPFLRQNSSPAEFLGQISPAGGSDAYFSNFGVPANYDSLSSTKRPREKGEKSGEFNGVDADMQKLFEDSVPCRIRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKLLQKQIQFGRGRGRRSKSITFLCTDQRQAQNQPTGKWRVHRQNVDLPPIQPKKKKKPYPIPLKQITQAARADKKLAQMGVEKPLLPPKNGLLVPKLVPVAHDVLDAWKIVIQGVSQLLHVIPVHACSECSEVYVGQAAHYIQDCQGATSAKRRNFHSWVKGSINDVLIPIDSYHLFDPFGPRVIHETRFAYDRIPALVELCIQAGVDIPGYPSRRRTVPIRMLGKKVIERGGSVEPPEQQCSPDAASMLEFDTYRTHERFSSPLELEVPGIAQKTIEAYERVKIGLKKLMKKYTVKACGYCSEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDAIVDEVLPPNYVWHVEDPKGPPLRTALKRFYGKAPAVVELCLQAGAQIPAKYKPMMRVDIVVPDKEETHLAA >KZN10179 pep chromosome:ASM162521v1:1:33357896:33361773:-1 gene:DCAR_002835 transcript:KZN10179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPESAGYGMECSDFMKGCGTRLANYGYAVVGIDYEGHGRSMGARCYIKKFENIVNDCSDFFKSICGKEEYKDKRRFLYGESMGGAVALLIHKSDPAFWHGAVLVAPMCKISEKVKPHPVVISMLTTVEDIIPKWKIVPTKDVIEASFKDPVKREEIRGNRLIYQEKPRLKTALEMLRTSMNLEDSLSQVTLPFFVLHGEADTVTDPDVSRALYELAGSVDKTMKLYPGMWHGLTSGEPDNNIEAVFKDITAWLDKRSEGDGMVPLAENFQHNSSPVVTERVTAAKWSRSITGQKQHKKRLGGRYLCGWKGSQMHHNYEMQA >KZN09299 pep chromosome:ASM162521v1:1:22738454:22739665:1 gene:DCAR_001955 transcript:KZN09299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLETITKASANKTHLTSDSNYPIPLNADPILLGLKPQSKISSLLSKCDDGWEISKLDLQIIELGQKFVKALKRKLKNPSRFGKIEFLEMLEGFFGSCGEKIGVSVGEDDGVEKLIGKFGSLLGFDALVLVLEGCVVLEVWEVLESLIVFGFVTPLVSGNLVGNLIVKGRSELVCLFLKHVKDVQLGDFVSILKYFLSPSREVYGSMVSLRKDWEGEVLEAIERADVKGIGGKVRNLAKEAAVLLMVAYDGFSANELCLHYLIARKDLDEVMFLSCVSKLNGEELMSLIRYLGKWLRKYERFPQAYPCPKGSSVLGLKACNWVPTLANVVKCFGLVVDEHFSSMVLQSEFHEELRSLERVANSLSSEATVCSTVTNLVETLKPELKGTHFHWLMSSVIQMNL >KZN08440 pep chromosome:ASM162521v1:1:6869505:6871151:-1 gene:DCAR_000986 transcript:KZN08440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTWLAIAVVLAYLLQTWLKKKTIGRNLPPGPRGLPILGHLHLLSKNPHQDLQKLAEKHGPIMSMHFGFVPNIIVSSPQAAEQFLKTHDLNFAGRPSLEAAKYISYGQINLSFSTYGPYWRNMRKLCTLDLLSNLKINSFQAMRKEELELLVDHIKIAARERTAIDLGTNVSSMISDMTCRMIFGKRFEDKDLKGRGFQAVIQEGMQLAAAFNLGDYFPYLGVLDLQGMTKKLKAIAALFDSFLEKILDEHEQSKEDKQTKDFVDTMLDIMKSGEAEFKFDRAHVKATLLDMFGGGIDTAATAIEWLFSELLRNPRVMKKVQKELQEVIGPDKMVKESDLESLEYLDMIIKESFRLHPVAPLLLPHECIEDCTIDGFYIPKKSRIIVNTWAIGRDPKVWKDAETFNPERFVGSTIDLRGRDFELLPFGSGRRGCPGIQLGLTVVRLVVAQLLHCFDWELPNGMQPSELDMTEEFGLVVARATHLMAIPTCRLHQS >KZN09280 pep chromosome:ASM162521v1:1:22520081:22521265:-1 gene:DCAR_001936 transcript:KZN09280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSEGHQRQMQVFGQNPNRVVDGYTEEFEATFLEHMKRSHRFSRIAATVVYNEYIADRHHVHMNSTQWATLTDFVKYLGRTGKCKVEETPKGWFITYIDRDSETLFKEKMKNKRVRADLVDEEKQERAIKRQIERAEQTVPVNEVEVEVEDKLFKRDENDDSKIKIKLGTGNKNVVKERGESSRLVFDDAAENGGSKKERAKKDGNEKAGGKSALDELMREQEKAKERTNRKDYWLWEGIVVKVMSKPLAEKGYYKQKGVVRKVIDKYVGEIEMIDTKHVLRVDQEELETVIPQIGGLVKIVNGAYRGSNAKLLAIDTDKFCAKLQIEKGIYDGRVLPAVEYEDICKILQ >KZN11896 pep chromosome:ASM162521v1:1:49188517:49192692:1 gene:DCAR_004552 transcript:KZN11896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKELGDAECIKAVTIWVAIVMVFVLKFVLDPPLILVLFIAIAVALIIPFMYKPAAQEEEEECSMRLLSRENSDFNERFMGNYYDTYEHQIMEQREHATKMGEIIDGYKFEQRHGKSRVRVARVWRSQEDGVHLFAEWSVDVSLLSDCVSAYVDADNSGIVATDTMKNTVYVKAKECSEQVSMEEFAIVLAKHFTSFYQQVTTAIVKIVEKPWERCYINGQPHKHGFKLGSEKHTTEVVLTKSGALRVTSGIEGLSLLKTTQSGFEGFVRDKNTILPETRERMLATEVSASWRYPFESLASIPVKRLYFTKMYLDVKNVLAETFFGPPNKGVYSPSVQATLYQMGKAVLGRQSLSPTSMQSI >KZN08664 pep chromosome:ASM162521v1:1:13239247:13239441:-1 gene:DCAR_001194 transcript:KZN08664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESKETVYFDEEAECARIAVKEVLDLFEGLLAKLPDKEKGVVQRSMGLKIEQLKAELAQLNE >KZN11092 pep chromosome:ASM162521v1:1:41650311:41654098:1 gene:DCAR_003748 transcript:KZN11092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLLGVLFCVIFVSVNGRFVVEKESIRVLSPYKLRSKHDAAIGNFGVPKYGGSMVGSMVYSHQNSYACKPFDDEKKQPFKTHILLVDRGECFFALKVWNAQQAGAAAVLVTDDRDEPLITMESPGENADADGYIDKIGIPSALIDRSFGETLKAAVQKAEEDVVIKLDWRESMPNPDQRVEYEFWTNSNDDCGIRCDEQMNFFKDFKGHAQILEKGGYTMFTPHYITWFCPAPLVLSDQCKSQCINHGRYCAPDPEKNFGMGYQGKDVVFEKLRQLCVHRVAKESNRSWVWWDFVTDFHIRCSMKEKRYSKECAEDVLKSLRKLERTAVLKALCAGFQETTEPPICLSGDLETNQCLERNGGCWGDSKSNITACKDTFRGRVCECPVVNGVQYKGDGYMSCEGRDFIIS >KZN09979 pep chromosome:ASM162521v1:1:31319537:31322555:1 gene:DCAR_002635 transcript:KZN09979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGARGEDQGQMTQQHSTMFNSSTAPAAAAAAAAPQKKKRNLPGTPSKFICEVCNKGFQREQNLQLHRRGHNLPWKLKKKTTNEVIKRKVYLCPEPTCIHHDPSRALGDLTGIKKHYSRKHGEKKYKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRHPSSISSHIFGNNPMHLNLSQAQIHSNNMLRLGSGTSTSGAKLEQLSSSSSLFTTQPPQSPYFMPPPDSNQGFQELQNKSFHGLMQLPDHLQTNRSNSLSAASNLFNLSFYPSSNTTNSISNSTDNSSSFLIQDHQFGNEGNTSIFSGNNTIYNTSHDHQSPALGPMSATALLQKAAQMGSTTSNTNAALLRGLGSSNRTSSITDANKFGRSNFNTENEHQQLEGLTNPFANGNYGSHGADHHQHNNNFAAFGGNTMRSQMDDVGAAGKMHHNVLQSTKGPSGDGLTLDFLGIGGGRARNSSIEFSQRDHQAATNNETTSLDNPRLSSLSQVRHSFGNPKLQ >KZN11343 pep chromosome:ASM162521v1:1:43821852:43822829:-1 gene:DCAR_003999 transcript:KZN11343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPVARERDEYNTWKKEETRLLLRLMVDATKQGWCINSGSLSKETFNSAFRYDSTSKMFTAPNEVWDEYLKAHPEGVTLRNKVLEDYEDLQIIIGNTGANNITERTLETNEISDIRIDDLDYDINDEGFVQKDNGSTLGSSEIFKLIKKKLPIKRSRNDHEEGSNLNENTLLPSILEHVTKLGTSLERINDSLQKRENEITTWDAIKEVPDVDDHIRFEAFNLLDCETKKDGFLKMTLEERARWMLYMMGNK >KZN09998 pep chromosome:ASM162521v1:1:31505395:31509276:-1 gene:DCAR_002654 transcript:KZN09998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDLERECLEVYRRKVDEASNTKARLHQSVATKEAELATLMAALGELNIQPMKKKATTLKEQLMLVSPLVEDLKVKREERMKQFSDMKAQIDKISVEISGYSHLASSATTLESEEQDLSLRKLTEYQTHLRALQKEKSERHQKIMGYVNEVHFLCGILGLEFSRTVSDVHPSLHTTSLEQSINISDSTLEGLEQAILKLKTERKVRFQKLKDIVASVLELWNLMDSTREEKRNFTRIISISSESEIVEPESLSMEIIQQASEEVERLTKLKASRMKELVIKKRSELEDICRKTHIEPDSSTAAEKSIAMIDSGLVDPCELLSNIEEQINKAKDEASSRKEIIDRIERWLSACEEENWLEDYNLDHNRYSAGRGAHLNLKRAERARITVTKIPAIVDSLTTKTVAWENERRKQFLYDGARLVSILDDYKLTRQQKEEAKKRYKDQKKLQDLLLTEKESIYGSKPSPRRSPSFRKTNGYHANGNGSMTPTPRRNSVGCATPELMTPRSYSGRQNGHFKEMRRLSTAPLNFVAMGKEDIISFSSVCGSEPGSPPHS >KZN11518 pep chromosome:ASM162521v1:1:45416736:45422912:-1 gene:DCAR_004174 transcript:KZN11518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMASMTGLHGSSQAVLEGTMQISGSNRLSTSSNKRIVMARPAGLVVRAQQVSSENPETSRRAMLGLVAAGLASASLAKDALAAATSIKVGPPPAPSGGLPGTLNSDEARDLDLPLKDRFFLQPLTPVEAAARAKESAKEIINVKEFIDKKAWPYVQMDLRLRASYLRFDLNTVIASKSKDEKKSLQALTGKLYNVIDDLDYAAKTKSSTKAEKSYAETVSKLNDVLAKLDFHGGSIPSDLPLPSAPGVTVKPSDRVGFDRPNVWGRNDPRLRPGSAGSIRTFDDKTPFSPHVMHVGRNFDEDERTPLDGVSRRVVSEESVGVDLRGSERLVVSPSLSPSPSVGSYAARFTEGVGSGSRTGFRNVGGSGIRGEGMNPWGVRKDEGGRDQVPVLWSAPDAATKLAHASALEKVASGRWRSNQLNDDRVDIEVINHLEPRIESRFTGYGVQEMRESREAVMVRHVEQSLVVDDGICAGGQPIPVHERVVPPIYSEVEERNHRIYDNGYQPSRDGGKFVRSELQQMLPPEPSSERPKLNLLPRSMPIDTAEPTPADYKQTYRKPGDPHGSETREDINTLKLESESASRVRDRPKLNLKPRLQPLDQLEGSAEGKRNTLFGDARPRELVLKERGVDSVAVDQVHLSNRIEQDVPKGEMLPVRYNGKPGNLPLDHRLVKSVDRDNQRDAEKSDVQRKNWRNENRKSSRDLEKQQPDRPLSPETWRKPVEQPTSPVGLRYGKAASAVELAQAFSKSVSDPKTADRLARGQIPFSRLTGPQSRQLRPHSNGY >KZN11447 pep chromosome:ASM162521v1:1:44731432:44732733:1 gene:DCAR_004103 transcript:KZN11447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRTIDIGTLLLNEFSTKLGDVGNRSKVVYYARFLMIIANYFCEELSVDDRDDTLPLCSQKKVLFSHLVTNNFYAEVEFVLPEHIRAQLSAITSSPAHTSSSLVPLKIEDVEESRTSPAQEAMPSQSESGTVVTSSGSVSGKRKKTTLSTISNMGNEGSQTANAVNSPRKKKYARRVKLARSGSVVNQEVPLVRTTDEASPVELPLSLEDEQRNNGLLFLLEHAQDAEDRQHLMNAASVLKSQLVARLTSHTDKLRTEDMVSLADKCYKTLEGLGDDYTSFCTEVNKLIAQHQELASAARKKDNWNDWDLKARYIHQVQFLSEVRQNLSSAQNKLSTAKTNAKSLKLEREDLQSALLMLTEELLEAEERVEILTAERDQCKEAHHAVESELRKLDADKEEANVALKAMDDQYNAAKNEFERMSNYLLQLVNK >KZN11210 pep chromosome:ASM162521v1:1:42639546:42642140:-1 gene:DCAR_003866 transcript:KZN11210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYRFKKCNKKVELGDFIAYVAAGNILFEYIVSGASVARSWTSYFATLCNHKPDDFRIHASVLADGFNQLDPIAVLLSISICVGASLSIKGSSRFNSLVTIVNISVMVFILIAGLTKADATNFQPFAPFGIDGVLRASAILFFAYVGFDGVSTLGEEIKNPGRDIPIGLIGSMIIIITTYCLLAAALCLMQPYTQVDVDAPFTIAFTAVKMNWAKYVVAIGALEGMTTVLLSNIIGQARYVTHIARTHMAPPFLAAINEKTKTPVNATVIMTIANCIVAFFTSLDILANLLSISTLFIFSLVSIALLVRRYYVSGETTNADRNKLIGFLTMIIVSSLGLALVWVFSKNKVGYIVCVALWIIATLGLKLTVKEAKKPKLWGVPWMPWLPSASVATNVFIMGSIDGSSFIRFSIWTAGLLVYYLFVGLHASYDAANETREKFDAAQAEAATKHQSYK >KZN10594 pep chromosome:ASM162521v1:1:37303178:37311656:-1 gene:DCAR_003250 transcript:KZN10594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVGEWFRGQLTGKGKFSWIGGATYEGQFKDGFMDGEGMYVGSSSDVYMGKWVMNVKHGMGTIHFPSGDSYDGDWRRGLQHGQGRYTWHFGYKYSGHWKNGEMSGNGIMTWKNGNQYDGCWEAGLPKGNGTYRWPDGSSYEGVWSKDPNEQSGNYYPSTSGTENSDWDPQDVMVRLGECKACQGEKITPFPSQKTFSWSTGKTTKGSKGKSRLKRMSIDVRLNSNSGGSEADVASEISTRNSVEGKEVNEGVKSLQIDDRDKSSGIPGNITIRTPKRQGHTIHKGHKNYELMLNLQLGIRHSVGRPAPAISLELKPTAFDTNEKIWTKFPTKGSKHTPPHQSCDFKWKDYCPLVFRTLRKLFKVDPADYMLSICGSDALRELSSPGKSGSFFYLTNDDKYMIKTMKKAEVKVLKRMLPAYYSHVQAFENTLLTSFFGLHCVKLTGTTQRKVRFVIMGNLFCTEVPIHRRFDLKGSSHGRVTDKPESEIEATTTLKDLDLNFIFRLQNLWFQEFCRQVERDCDFLEQERIMDYSLLVGIHFRGNSSRGGNQDSNSVGTSPRSTSDMGLLFHPSGETVKLGINMPSRVEQTVRSFETQLIGEPTGECYDVILYFGMIDILQDYDISKKLEHKYKAFQYDPTSISAVDPRQYSKRFRDFIFKVFLDDK >KZN09437 pep chromosome:ASM162521v1:1:25206433:25229833:1 gene:DCAR_002093 transcript:KZN09437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYRNYSREPVSDGVLDEKGPGPDVEKGDSIVGNEDGNLSDRDFDINLNAQYRSDGEADDVGKFQEDVGAGGALLDLQPSTGKMASSGRWGSTFWKDCQPMRHGSESGQESKSSSEYKNGEGSEDESLGVREDKSESEDPETVRRHQADVPVDEMLSDDYYEQDGDEPSNDLMHHRLGNSSAAFISNIKPRPVAANIHQSRKAKALNDDVYGDDDADYEDGDDPDDADFDPDFTSERLNQDDDWEGENMDEDFNDVDDLDILDEDDDFPRKSKGRQRGKGGLVSKHARVGKSITSSSQRKRGGLALEDDESSANDSDNESDEGFSSKVRRGASLRKKNVARATSYISSRGREIRTSRRSVRKVTYAESEDSEENDESKKGKSQKEEIEEEDGNSIERVLWHQPRGMAEEALANNKSTEPVLLSHLYDYEPDWNEMEFYIKWKGQSHLHCEWKSFFELQNLSGFKKVINYTKKVMEDVKYRKSVSREEIEVHDVSKEMDLDLIKQNSQVERVIAERVSKDSLDDVVPEYLVKWQGLSYAEATWEKYLDISFAQEAIDEFKAREAAVAIQGKMVDVQRRKSKESLRKLDEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLSNWAKEFRKWLPQMNVIIYVGARASREVCQQYEFYSDKKNGRGIKFDALLTTYEVLLKDKEVLSRLKWNYLMVDEAHRLKNSEAALYITLAEFSTKNKLLITGTPLQNSVEELWALLHFLDSNKFKSKDEFVQNYKNLSSFNENELANLHMELRPHILRRVIKDVEKSLPPKIERVLRVEMSPLQKQYYKWILERNFQDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGETNITGSSKLERVILSSGKLVILDKLLDRLNETNHRVLIFSQMVRMLDILAEYLSIKGFKFQRLDGSTKAEVRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQDVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGKLEKKETKKGSSFDKNELSAILRFGAEELFKEDKNEEESKKRLLSMDIDEILERAEKVEEKGANDEEGNELLSAFKVANFCTAEDDGSFWSRWIKPESVNQAEEALAPRAARNSKSYAEANLPEKLDKRKKKGVETQERFSKRRKADATYFSVPAIDGAAAQVKKFGNDSQIDLIAAEVGGSVEAAPTDAQIELFDALIDGCREAVDGMNLDPKGPVLDFFGVPVKADELLTRVEELQLLAKRVSRYEKPISQFQALMYLKPATWSKGCGWNQKDDARLLLGIHYHGFGNWEKIRLDEKLGLTKKIAPVELQHHETFLPRAPQLKERASQLLEMTAIFCALLFLIFCQITELKQYVHLHVQKEVSEREEFTAVSGSNSNAKASRKATKKQKENSLGISLSRSKGKQGKQGSPKYNPQVIKVKTARTQKVEPLVKEEGEMSDTEEVYEQFKEVKWMEWCEDVMADETKTLTRLQRLQTTSAELPKEKVLSRIRNYLQILGRRVDQIVLEHEEELYKQERMKTRLWKYISTFSNLSGEGLYQIYSKLKQEQVGLAPSHVNGSSRGYRHEASNQISGVVQRGVDTGKFEAWKRMKRAEADMNSLVQPLHERSSSNATSGILGSGPSNSRYSGNEKSYNMRQTGQPPRQGFPSGVK >KZN08138 pep chromosome:ASM162521v1:1:3085152:3086465:1 gene:DCAR_000807 transcript:KZN08138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENNSTAPRKRQRRLTRPKIDEDDSVEATGLQGEVVKTKGLKEIITSLLLLENQEKCGPQKKLRNAVKADSGDDVVFNGGGSQRRLWVKKRSKAWWEQCNSEDFPEEEFKKAFRMGKGTFEMICKELNSVVVKENTMLRDAVPVRQRVAVCVWRLATGEPLRLVAKRFGLGISTCHKLVLEVCWAIKAVLMPKYLKWRDEAVLRKNRDEFEMVSGIPDVVGSMYTTHIPIIAPKMSVAAYFNKRHTEKNQRSSYSITVQGVVDSSGVFSDVCIGWPGSMADDQVLEKSALYQRANGGLLKGKWIVGSSGYPLMDWVLVPYAQKQLTWTQHEFNEKIAEIQMVSKDAFARLKGRWACLQKRTEVKLQDLPVVLGACCVLHNICELRNEEMDPELAIELVDDQMDPEIAVRSVSAVKARDSIAHNLLHHSHASTAFLT >KZN10041 pep chromosome:ASM162521v1:1:31993699:31996830:1 gene:DCAR_002697 transcript:KZN10041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTVILPKDYATLILNISTQTLTKAQKRWRVAFVAIYFSRGMISVARDIVPKKTNFSPISEIISTPSYTVLNIEPNVREENDSVHPDKTENLPGCSSFDTIDKTKLTDMMRNKNLEELRRVGDVEGLTRALQTDPDNGIQGTEEDVIQRRNTFGPNTYQKPPPKGLLFHIVEAFKDTTILILLVCAALSLAFGIREDGLKEGWYEGGSIFVAVFLVIAVSAASNFRQERQFQKLSKISDNIMLDVMRGGRRQKISIFDIVVGDVVFLGIGDQIPADGVFLNGHSLQVDESSMTGESDHVEVDLVQNPFLISGSKVADGHARMLVVSVGTNTAWGQMMSSINHDSNEQTPLQARLDKLTSSIGKVGLVVAFLVLTVMLARYFTGNTEDDDGNQEYHGGKTEVNDIINSVLRIVSTAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATVICTDKTGTLTMNQMTVTKFWLGQENMGEDYSDAISPEVAELFHQGVGLNTTGSVYKPVSGNMPEYSGSPTEKAILSWAVLKLGMNMEELKQNSNIIHIETFNSEKKRSGVLARRRADNTIHVHWKGAAEMVLAMCSHYYQSNGVVNLISEYERTQFEKIIEGMAASSLRCIAFAHSKMQEEDMQHKGEGTSNKKLKEDGLTLLGIVGLKDPCRPGAKKAIQTCRSAGVDIKMITGDNVFTAKAIATECGILGPDQQVNSGEVVEGIEFRNYTSEERMEKVENIRVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDDFASVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVASVSAGEVPLTTVQLLWVNLIMDTLGALALATERPTNELMHNPPVGRTEPLITNIMWRNLLAQAIYQITILLTLQFKGKSIFNVNETVKNTLIFNTFVLCQVFNEFNARKLEKKNVFAGILKNHLFLGIIAITIILQIVMVEFLKKFADTEKLNWGQWGACIAIAALSWPIGYFVKFIPVAKRPFLSYLRR >KZN10181 pep chromosome:ASM162521v1:1:33369773:33371179:1 gene:DCAR_002837 transcript:KZN10181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVTGGAGKGGKKKGATFVIDCGKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVVREKSKITVTSDSTFSKRYLKYLTKKYLKKNNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >KZN08248 pep chromosome:ASM162521v1:1:4263857:4269021:1 gene:DCAR_001313 transcript:KZN08248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRCTGNVKSATGNSILQKKVFVSLAKSSGVTQTCELQTTVLRNGQVLNVIDTPGLFDCSVKTEFTVKEIAKCINMAKDGVHAVLVVLSVKTRFSEEEEAVVNSLKALFGNKLTDYMIIVFTGGDDLEDDEKTLEDYLGDECPKPLQKLLDQCGNRRVLFDNKTRNQEKKDQQVQELLYLVNLVVAKNGGKPYTHELFVELKKGAMEPNDQIEEGTKQVNEELLNKRLTEMVELKFKETNSRLEAQLREEKSRLEAELVEQKSRLEALLLEEKLRFEQQLAEEKAARLSAEKSRLEQQFKEEKSRLEQQLDVEKAARLKAEKKVQTTKEKSKEKIHKIKEDLQKTEQKLREQGGKWNCAIM >KZN09652 pep chromosome:ASM162521v1:1:28154752:28155495:-1 gene:DCAR_002308 transcript:KZN09652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSNSAMKSLCVLSLLLLVSFAVARNVRHVDAEEKTFIGGGKGGGFGGGAGGGAGGGLGGGAGGGGGLGGGSGGGLGGGGGLGGGAGGGGGLGGGHGLGGGGGKGGGFGGGVGGGAGGGGGLGGGAGGGGGKGGGFGGGAGGGSGGGFGGGAGGGAGGGVGGGSGGGFGGGAGGGVGGGSGGGFGGGAGGGAGGGAGGGAGGGFGGGAGGGSGGGFGGGAGGGAGGGAGGGFGGGAGEGGGFGDKH >KZN09478 pep chromosome:ASM162521v1:1:25665289:25666597:1 gene:DCAR_002134 transcript:KZN09478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDPMGIGKEALQNGASYFIQKPLSPFDVAYLWQHIVHRTSIIPGDMDTLTKQKMNGLTRSYEGNGKRRKIQHTEGRVTDMNIYKFIQRIRDQCLRGMPIIYQEASGDLGGGMYFDGNTGNVGNIRTRTDQVAAVGNQIFLGGEQLILENGYSTCSLQVPNLGYINTTGQCTSGLDNTIEEELTMIILLKY >KZN11212 pep chromosome:ASM162521v1:1:42671152:42683391:-1 gene:DCAR_003868 transcript:KZN11212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDEEIEAAPHQRDFRIGRKYSPVVAQDSDRAVVEMSSLDPGSSSSTSFQKVKVGMPPNLITNAREGSLPTHGVANGAHEESKLELFGFDSLVNILGLKSMTGEPIQAPSSPREGEDGSSPELHPKNTGIKLGTMMGVFVPCLQNILGIIYYIRFSWIVGMAGIGESLLLVTFCGLCTFLTSVSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVSGSLYVLGAVETFLNAVPSAGLFRETITRVNGTSVAEPITSPSLHDLQIYGIIVTIILCFIVFGGVKMINRVAPAFLVPVLFSLISIFIGILVAKKDHPATGFTGLSTKTFKDNWSPEYQPTNNAGIPDPDGKIDWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTTGLYMLSVFLFGALATRDKLFTDRLLTATVAWPLPALIYVGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVAEGDEPHIATLFTAFICMGCVVIGNLDLISPTTTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGASLCIVIMFLISWSFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGASQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFASILDGDYHECAEDAKIACKQLSTYIEYKRCEGVAEIIVAPNMSVGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLIEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIRVFCIAEEDSDAEELKADVKKFLYDLRMQAEVIVISMKSWDTQADAESKQDESVEAFEAAQRRNASYLKEMKDKAEKNGTELMADGKPVVVHEQQVEKFLYTTLKLNSTILRYSRMATVVLVSLPPPPANHPAYFYMEYMDLLVENVPRLLIVRGYRRDVVTLFT >KZN08904 pep chromosome:ASM162521v1:1:17502706:17503340:-1 gene:DCAR_001560 transcript:KZN08904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLERLCLLLIISASLLSTAYTGAGQALPKYINYEKKKSVEALVHDDQTRINIHKRLLRANTNDYGSYDPAPTFHKPKFKQIPN >KZN10621 pep chromosome:ASM162521v1:1:37673241:37673933:-1 gene:DCAR_003277 transcript:KZN10621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHCIQHHIITTPDILSSTRKFHGYSTHQIFPHSSCFPSSHPLHHPNLFGPSLRQIMRRIGLGLFVATFSMVAAAIMEKRRRVAAVESNEIISIFWITPQYLIFGLSEMFTAIGLIEFFYKQNIKGMQSFLTAMTYCSYSFGFYLSSVLVSLVNKITSNSSSRGWMGENDLNPDRMDLFYWFLAVLSLINFVNYLFWAKWYNRSIPLVLDAKPQFDSKSVKANYVGDDLA >KZN08809 pep chromosome:ASM162521v1:1:15720503:15725878:1 gene:DCAR_001465 transcript:KZN08809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVPALDVPLITPYKMGNFQLCHRVVLAPLTRQRSYGNVPQPHAILYYSQRTTKGGLLISEATGVSDTAQGYPETPGIWTKEQVEAWKPIVDAVHAKGGVFFCQIWHVGRISNTGFQPNGQAPISSTDKMPTPQIRSNGTDVARFTPPRRLSTEEIPQHVNDFRLAARNAMEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEIVEAVSEEIGADRVGIRLSPFANYMESGDSNPEALGLYMVESLNKYNILYSHMVEPRMKTVGEMAESPHSLVPMRKAFHGTFIVAGGYGREDGNKAVAEDRADLVAYGRHFLANPDLPKRFELDAPLNKYDRSTFYISDPVVGYTDYPFLDETIHAQVKVVLAPLTRQRSYGNVPQPHAILYYAQRTTKGGLLISEATGVSDTAQGHTWYMGKEQVEAWKPIVDAVHAKGGLFFCQIWHVGRVSNTGFQPNGAAPISSTDKGVDDAQCSPPRRLSTEEIPQLVEDFRLAARNAMEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCKLAHEIVEAVTEEIGADRVGIRLSPFANYMESGDSNPEALGLYMASSLNKYNILYCHMVEPRMKTLGDMAESPKSLVPMRKAFHGTFIVAGGYGREDGNNALAENRADLVAYGRPFLANPDLPKRYELNAPLNKYNRSTFYLSDPVVGYTDYPFLDEKFST >KZN09586 pep chromosome:ASM162521v1:1:27408800:27409109:-1 gene:DCAR_002242 transcript:KZN09586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPIPSYMQTTCEFGDMSHEQGIQTMITWSYDAKEVFVEGSWDDWKTRKPLQRLEKDFTIMKVLRSGVYQ >KZN09717 pep chromosome:ASM162521v1:1:28736823:28737362:1 gene:DCAR_002373 transcript:KZN09717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTSDEIREAEKDEKLETQGGGSKVNDIGSLTPGKSLESHNSKDMIFRADKIDLKSLDVQLEKHLSRVWSRSTDPQKPKEVWEIDLSKLEIKYLVARGTYGTIYRGTYDKQDVAGQIA >KZN11185 pep chromosome:ASM162521v1:1:42383655:42384845:-1 gene:DCAR_003841 transcript:KZN11185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFRVMVRVGFRADRITMVILLTVCGEIKGLEFGRLIHGYIVKMGMECGLNVGNALLDMYAKFGQMSTLLKQFNDMRERRDVVSYTILVNSYIEIGRIDRAREIFDDMAEKDIVMWNSMIHGYVREKRPEEALELLVCMEDESVQVDENTIVSLLAACSSLSNLKYGRYIHRLSLRNNIKQDLFVETALINMYFKCGGLEDAMIIFFKMKFKDVFTWTTTIEGLARSGLETKALELFKQMEKQGTRPNEATFVSILTACRQAGLVDDGCRLFKRMIEVYRIQPSMEHFTSLVELLSKARMWHQAEEFISFIVPQERLAAYKTLLSACMSCSEINLGLKIADKILQSGSVSHEVYVLLSNFYAGAGLWYKVEETRKVMKDFNMKKQTGVSYIELMS >KZN08608 pep chromosome:ASM162521v1:1:11929563:11930720:1 gene:DCAR_001138 transcript:KZN08608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAADFQGSSASHTARSFLSLRRDQVHSMDSNEPTILSQELETFQRHVADFLADLSSASSGDLLSLSWIQKLLDVFLVCQEEFRALLSGCKEELNRQPMNRLINEYFDRSVKALDVCNAIRDGIEQIRQWQMQLEILLCALDGQKSLGEGQFRRAKKALIDLAIGMLDEKESNSVISQRNRSFGRSGINREHRSMENHRSLSWSVSRSWSAARQLQAIGNNLIAPRPNDILATNGLAVAVYTMNYVLYFVMWALVAAIPCQDRGLQTHFHVTRQFIWAGPVLALHEKILEESKKRDRRNATGLLKEIHEIEKCARHMNALVDSVNFPLTEEKDEEVRQRMKEVKSVYEAIKQSLNPMERQVREVFHRIVRSRTECLDSMGRANTS >KZN10475 pep chromosome:ASM162521v1:1:36064289:36065984:-1 gene:DCAR_003131 transcript:KZN10475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPLFPQVQARKPQRPPSLNSSKLSIYLLLTFVCCFVLFHSLRTPLFSPASAPSFSSWTILQDWQSLIINTTLILKNYTNQLDSLAFKLQESVTFLPLKDLRYANTPQDDHTWFMSSLNDTIEKGEVQHQQFPSNSSKGRLLCIKGHDDHDGARNSYALAWPEALPRNATLMKGLSYVLNNHYDYDNLWHGLSAMASFVAWHIKNECSTPPSRWILYHWGELRYNVGSWLKNLMEATYSGTLNIQTFESFSDDEPICFEKAVVMRHNVGGMSTENLLKVFDLIRCRARIYCNVSLEGNFEGRIGMTILMRTGTRSFRNESVVVRIFGGECRKVKGCRLVVAQSSNLTFCEQVQLMSSTDILISPHGAQLANLFLMDRNSSVMEFFPKGWLKLAGVGQFVFQWMASWCGMKHQGTWHDPDGAYCQYTEDDRRCMTLYKKGTIGYNETHFSRWGANVLNEVKRLKLEGVSQGRFTSSHGCICA >KZN08109 pep chromosome:ASM162521v1:1:2804682:2809822:1 gene:DCAR_000778 transcript:KZN08109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNYRLMITAELENLTKLQPDGGCDNPTFSYYFKMKCGNCGELTKKETYVSLNEVVPMGKGTAHLCKFCGRDGNVTMISGKGSALTQELSESGKYAPMMQFDCRGYEPVEFVFGTGWEAESIAGTKFKDIDLSEGEFSEYDEKGECPVMISNLRATFDVVK >KZN08206 pep chromosome:ASM162521v1:1:3927488:3928652:-1 gene:DCAR_001271 transcript:KZN08206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVQILKKFGEFNNPRNLSNSELYMGSKSFSKDEILGSGGFGRIYRAVLSSYGSVVAVKYLAEKSDRFEKTFAAELLAMAHLRHQNFVILRGYNPIRIPDSAQCSIRYNPIRIPDSQRNSIKVLVDAVIFQEHNAFAPGILARNSDREVIFARTVRHWGMVGPDMTEAMIIKEALSWCKETNTTDQEVMIESDCLVIVLAIRSSVPMFWLAGWQLACP >KZN08219 pep chromosome:ASM162521v1:1:4064994:4069944:-1 gene:DCAR_001284 transcript:KZN08219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVYTMNEPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEVTHKFKGKTVMTDTERYESLRHCKWVDEVIPDAPWVVTIEFLDKHRIDYVAHDSLPYADASGAGKDVYEFVKSIGKFKETKRTEGISTSDVIMRIVKDYNEYVIRNLDRGYSRKDLGVSYVKACPFSEKRLRVNMGLKKLREEVKKQQEKVEEKMQTVAKTRNMWVDNADRMVAGFLEMFEEGCHKMVWKAVYTITEVVKLCQIMQNI >KZN11557 pep chromosome:ASM162521v1:1:45709751:45719602:1 gene:DCAR_004213 transcript:KZN11557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDIGNENCVIGYAKHRGIDVLLNEESNRETPGVVSFGEKQRFIGLAGAASATMNPKSTISQVKRLVGVRFKEVEEELGLLPFETSEGGDGGVLIHVRYMGERWIFTPVQIMAMLFAHLKQMAEKSLEMRVEECVIGIPSYFTDLQRRAYLDAAEIAGLHPLRLMHDCTATALGYGIYRTEYPSQGPSNVVFVDIGHCDTQVTVAAFESGYMKILSHSYDKNLGGRNFDDVLFKYFAMQFKEGYDIDVYSNTRASVRLRVACEKLKKVLSANPEAPLNIECLMDEKDVKGFIKREDFEKLSSDLFERISIPCRQALVDSGLTVDKIHSVELVGSGSRIPAVKHILASIFRTEPRRSLNASECVARGCALQCAMLSPVLRVKEYEVQDIFPFSIGLSTDEGPINTVSRSLLFPKGHLFPSVKIITLHRSNTFHLEAFYAGQNELPSGISPKISNVTIGPLEVNDAERAKVKVKVQLNLHGIVNIESATLIENCGDTFTAKAKAHSTVEHIEDDNHVSSDVANGANDSNSAESNPSRKHTADTRKRQIGRQDIPVSESVNCGMTRAELSEAQEKELLLTQQDIKMEQTQEKKNYLESYVYETRTKLSNTYGSFATESEREGISMILQQTEDWLYEDGDDESESVYTEKLADLRKLVDPIESRYKDEEARVQETSRLLNCIEDYQKVATSLSSNQREAVVAECNKARQWLLDRKQQQDLMPTNVDPVLWSSEIKKKTDTLDANFCALYVRMCKHIMRSKASATTPDDIMDGNKEDDNMSVD >KZN10874 pep chromosome:ASM162521v1:1:39804339:39808937:1 gene:DCAR_003530 transcript:KZN10874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAASSIITPLLSDDVVKFAVDYKNRPVYRSTSGRWRSALLIIGAEISERIAYCGISLNLITYLTGDLGQSTAAAAANVNAWFGFSLITPLLGAIIADSYLGRYRTIVVASFIYVLGLGLLTISAVIPFNPTDCDSNSLSCHPPLFQLILFFSSLYLVALAQGGHKPCAQAFGADQFDAEDLDECKAKSSFFNWWYFGSCTGTLVALTILSYIQDNFGWGLGFGIPCITMSLALVVYLLGTMSYRFSISSDEKSPFMRIGRVFVIAVRNRSLVSSAPSLNDKAREILADQGSQKFNFLDKALLSPDSSKEDENSCSISEVEEAKSVLRLAPIWASCLVYGIVFAQSITLFTKQGVTMNRSIGSQFQIPPAALQAVIYLAVLLFIPVYDRGLVPIARVLTRKPAGITMLQRIGVGLLFSVFSMLSAALVEIKRLQTAQDYGLVDTPDASIPMSIWWLVPQYVLLGLADVFAIIGLQEFFYDQVPAELRSVGLSLYLSIFGVGSFLSSFLISVIQNASSKNGRDGWFADNLNRAHLDYFYWLLCGLSAMALLMYLFIARSYVYVRTSILIHA >KZN09777 pep chromosome:ASM162521v1:1:29249012:29249647:1 gene:DCAR_002433 transcript:KZN09777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYSHPSPNILKLRKSNLLVNVTPIPYYDHRLPCPSSCSASPFHITFITHLTHRFLDPRRLSLSSSFHSSPRLIGEYQQVVGRTTICQDGTLFFNSQEKANSRLAVKTALRSLNVKKSRHDKIFKQVMAFGRRYNDKRNDGRGFKKLRVFGRGYKNRSNDKEVLKGLVVAITIEQSFIGDREAVNEVMQKSWEESKSQARGDGDTLFLVN >KZN11656 pep chromosome:ASM162521v1:1:46738292:46739855:1 gene:DCAR_004312 transcript:KZN11656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAVSMLDTKCELDKLTSEIFSILENKFLFGHDDGKLSLTDEHANVLHLEQFKSSKHITGKVRILSIDGGGATDGVLAGKSLVQLEANLQRKSGDPSARIADYFDVVAGSGAGGILAALLFTAGKDRKPFCTAQEALNFITENRRKISRVPAKGLLRRVFRLSENVFEKSFGELTLKNTLKSVLVPCYDLTSGAPFVFSRADAFEIDGCDFMIKDVCAATSAGQVAIEIKSVDQKNKILAIGGEVAMNNPTATAITHVLNNKLEFPFCNGVDDLLIVSLGNGEIYNGSIARKSATSFIKIAGEGVSDMVDQAVSMAFREAKTGDDYVRIQANGSVASVDQMLRQKNVESALFKGKKLVEITNLEKLKSISGELIKEHERRKTGILPTVVLKQASGGSPRTSSARSSSTLSSE >KZN08701 pep chromosome:ASM162521v1:1:13845888:13846493:-1 gene:DCAR_001357 transcript:KZN08701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPWETFFEEEHPSPIRRQLQGPRPSPLRVHKVSHTIRKPPLAPAPEFRQPVIIYDISPKVIHTTVNEFRSVVQRLTGSSSSSSTAASSSSGNISPAARLASIERTSPPERERSSGAQMSGAEMGFYQSQGVLSPAPATLPPIPASMFSPGYQDWSMLNDLSPYIGNDIFTPSPTTLLPSEMGNSVHSPSYFDLLRQYFDS >KZN09112 pep chromosome:ASM162521v1:1:20347050:20348301:-1 gene:DCAR_001768 transcript:KZN09112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVANDILDYNEHGMSKSMKRLLLVLNCMMLGLGNCGGPQVQRLYFVKGGKRVWLSSCLETAGWPFLFFPIIISYLYRRRKGSPGNKLVSIKPALIIPCAIIGILTGADDYMYSYGVARLPVSTSALIIATQLAFTAGFAFLLVRQKFTSFTINAVFLLCIGAVVLAFHTSSDRPADESNKQYFLGFFMTLGAAALYGFILPAIELMCKKAKQPINYSVVMEMQVVMSFFATVVCAIGMLVNHDFTAISRESREFELGITVYYLTLILNAILWQLFFLGATGVIFCASSLLSGIIIATLLPVTETLAILFFHDKFQVEKAISLTLSLWGFISYFYGEFQNKKIHKKASNLDPPQ >KZN07901 pep chromosome:ASM162521v1:1:543823:545817:-1 gene:DCAR_000570 transcript:KZN07901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRSQHSSLLCPMEKRAGKNQQSRLCLLATLAAFLWVCLLYFHFVVLGGSSVNESVELDRVSAVSMSREAGVIRHSVTDRSPPINVPRESSDSGDVNNFSTQPKHISQPNDDDARQEIFQDTPYVKEISDDSGSSVAELVDGQKSDDTSEQEFPDGSHSSLPQLIDAQKEQANHAEVDVGEARENFPFMRAMRTTENKSDPCGGRYIYVHDLPSRFNEDMLKQCRSLSLWTNMCKFTTNAGLGPPLENVEEGVFSNTGWYATNQFAVDVIFNNRMKQYDCLTRDSSIAAAVFVPFYAGFDIARYLWGYNISTRDAASLDLVDWLTKRPEWGIMGGKDHFLVAGRITWDFRRLSEEETDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFAWQDRMRKLDRNWLFCFAGAPRPDNPKSIRGKLIDECKRSKVGKLLECDFGESKCHSPSSIMQMFQSSVFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYSRYSVFIPEDEIRTKNVSIEQRLSEIPPEQVLMMREEVINLIPRLIYADPRSKLETLKDAFDVAVQAVINKVSKLRKDIIEGRSNDNFIEEISWKYDLLEEGEEVGYHEWDPFFSKPKKDGNAASDGSSAEAAKNSWKNEQRQHS >KZN09025 pep chromosome:ASM162521v1:1:19192815:19193786:-1 gene:DCAR_001681 transcript:KZN09025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASEKFTRVDTVELKDVMCRKIGRRRAEKYFAQLKNFFCLKVGKCEFDKSCMRILGKENLCLHNQLIRTILKNSCVSAVSPLRVRVQSLNSVPPSPHNGRSLANRDRGKFRDRPSPLGPLGKSQQSATELLSLGSRPPVEVVSVEDGEEVEQAAESPSIQSRSPFTAPLGILVNKGARKALSSGTQKKFQALTCQQTCELPDAKYLKNRLEQKLEMDGFNISVDCANVMNNGLDCYLKRLIEPCIRLAGSRCIKERLPHIIDQRLTGLKSTLPVTERFLEKPSNSTYASLLDFCVVMESNPCILGGDWPVLLEKACACAYEE >KZN11047 pep chromosome:ASM162521v1:1:41276232:41278484:-1 gene:DCAR_003703 transcript:KZN11047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGKRSFENGTGGSRFLRGLKRPRVSAIRQFPPGCGPAASKVREAFNEARILVKTSDERTETTPSKPNAEILQEVPEKIELVMGNQDSIPKHIDIISKKNLSLKPRKGVTVFRDFPRGCGRTNLDVSAKHDALTNDVSVDEERRRLQAEKGEMKNDVAKSPENKIGFTGVTVCPSMNESITVAHADGSIVPATDQLGVKTTEDCIVATGGTTDECGYQKCNINGSQHYLLLEGIDTKTKALPDQEQNGALLVKQSYALTNSSHQEALSRTQEILNLFEQILKERLLEMQREKPKSKNTISNLYTNIAMVLKKQKKWIHMDQKLLGAIPGVEVGDQFHFRAELVIVGLHKQFSAGIDYMEKDGKKIATSIVSSGRYSSGKEFSDVLIYSGQGGNQIKGEKNFKDQELVRGNLALKNSMDEKTPVRVILGRRSWKTTTFTYDGLYFVSKFWQERAENGKLVYMFQLERMHGQPKIKSSTLQRFVKSKSRDGLALMNDISEGKEKISIRAVNDVDNEKPPSFIYTTKMVYPRLDDILVETSGCHCIDGCSDDLQCSCILKNGGTLPFNKNGALLETKPTSIVHECGPSCKCPPSCKNRVSQHGIKFQLEIFKTKSKGWGVRSRNYISSGSFICEYIGELLTDKEAEERIDSDEYLFDIGEEDGFAVDAAKIGNIGRFVNHSCSPNLYAQDVLYDHNDKMMPHVMLFATQNIRPLQELTYDYNYKIGQVRDSNGNIKEKKCYCGTAECEGRMY >KZN09335 pep chromosome:ASM162521v1:1:23388707:23394415:1 gene:DCAR_001991 transcript:KZN09335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEVEQAPRVDHGIQTNITSTSSAAPTISPKISKFAAKSGFVIPKNKLSGSLVPAYRGSKKLEGTGPISEESSKQTQRKTKWGPDLTQDAAVRKGLAAAYQTRVDQITKQLNSGIVDIEEDLSAASQTSGQESSRHNEKSELLELERREAIGEILKLNPSYKAPAYYKPLLREARVPVPVKDYPGFNFISLIYGPGSDTQKRLEKETGAKIRVFGTKSDKGKKEVTADESDNQNLYEELYVHVSADTYEKVDAAVALIELLVTPVSVNPTVNPTSATAVSSVNVNAVQTSQGSPVPYVITPQVSQGVVQSVTGPALVQPFGQFQAYPGPWFPTSSPLGFATQQNLSAQIPSSHVQMSSASLNSNMSSFFGPRPAEFSSVQGPSFVPSRPQPLLQQPYMPQAPNLGYNSHPRNPPMPTLHPTPGQSNISAPPFTGNTPPLRPSQVVGPLVPPSAGPPTFSVHSANPAGRANAWLQAPAGPNTVGPQRPPISLQSTSPFNVTNSNMVSTMNTTFQPTPSQPSLLPTSGPSPAPAMTRAMLPGVLANPVMAAAPISLSMPSTLIPPPHPQSGVQISGSATSPATTPKPLHPSSNDFTFQPNRPQNPASQMPLRPNIQYAHNGMPPRNLNIHPPQAHQMSSFHPPVQNSAPPPIMQGFPRPQVSNDIHQPRVHMSSPRNPAFPSAWPVSPPSSTPQMGMRNFSPSSQMMNAGGAFQFRPGNIQPPQNYPTRPQGVFIPNQQFNGNLAFPPNRPSTNSFGRQQNYDPFSPTSVPPRPPLSVNPLQPRSEENDPEYEDLMASVGVK >KZN09828 pep chromosome:ASM162521v1:1:29728610:29728876:1 gene:DCAR_002484 transcript:KZN09828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESIRMRLENFGRKPRSGKSTTTASSPSPPSQPLCKYQYQPNQTRVCQVAPTASHAIIETAVFMDFYNGRAEAPNKDYFLRKPISMA >KZN08373 pep chromosome:ASM162521v1:1:5776502:5777256:-1 gene:DCAR_000919 transcript:KZN08373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKKRRERLKAMRMEAAQSGADSKGGNSSQTQGLPNPLLQTAATNQESCPQRFGYSTDPMAAFSGNNRSKVSQNIAQEHLAPSVQQITPWPLPSQGKYQQQESVWSPMGMVRPSGMQPGTPHGAWNGPGSTYGYNIQILS >KZN09827 pep chromosome:ASM162521v1:1:29710620:29717296:-1 gene:DCAR_002483 transcript:KZN09827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTLINGPLSMRDRVEDTLSAHRNELVNLFNRYVARGKGILQSHDLIDDLDSVVGEDGLKKLKDGPFIQILRCSQEAIVLPPLVAIAIRPRPGIWEFIRVNVYELSVEHLNVSEYLQFKEELVNGEYNKNFVLEIDFDPFESTLPRLTQSPSIGSGVQFLNRHLSSFMFRNKENLDPLLEFLRTHKHKGHVRMLNDRIQTISGLHLALARAAEYLSYFKPDAPCSEFEYVLQGMGFEKGWGNTAHRVSETVHLLLDILQAPDAATLEKFLGMIPMVFNIVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLFRIKEQGLDVYPNILIVTRLIPDAKGTTCNQRIERVSGTEHTHILRVPFRTEKSILRKWISRFDVWPYLETFAEDVSNEIAAELQGVPDLIIGNYSDGNLVASLLANKLGVTQCNIAHALEKTKYPDSDLYWRNYEDKYHFSSQFTADLIAMNSADFIVTSTYQEIAGSKNCVGQYESYTGFTLPGLYRVVHGINVFDPKFNIVSPGADMSIYFSYTEKDMRLTALHGAIEKLLYDPEQSNEHIGMLSNPSKPIIFSMARLDKVKNLTGLVESYGKDTRLRELANLVVVGGYIDIKQSKDREEITEIEKMHGLMNKYNLNGQFRWITAQMNRARNGELYRYIADTKGVFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGISGFHIDPYHPEQVTINLVNFFERTQRDQSYWNQISDGALARIHQKYTWKIYSERLLSLAGVYGFWKHVTKLDRLENRRYLEMFYATKYSDQVKMIPLAVDED >KZN08932 pep chromosome:ASM162521v1:1:17852052:17853294:-1 gene:DCAR_001588 transcript:KZN08932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSYDERNCRLEGLGDFFTVFGLVGGEVLLFEYVDGKDFIVYIFGEDGNEIDYPAIVNASETSSSVTDSKKHGSWKFVKFISNAHVTDDEITVSVDFSRLTNQWKNKDKISVYKGHLSWVLEIKKRRRENRTTIHDGWIDLRDSLHLTIGDKCYFRWINESYHQFRVEIVKGDVFNIRE >KZN11268 pep chromosome:ASM162521v1:1:43250975:43251211:1 gene:DCAR_003924 transcript:KZN11268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKLANALTIVMVVLTIFASFEATRAQFAPAPGPASSAFGIMDETDGPAPGPTSDTATLFVPLMLSALASIFAYMF >KZN08926 pep chromosome:ASM162521v1:1:17789560:17790821:1 gene:DCAR_001582 transcript:KZN08926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLIFEFLGVSGLWVFLIVFSHFGVIDELQLASEMCRKSGIGKFTLTDRSLDQQTSFREAYEKWGSFQIENHGIDKQLMEKVKDLVNQHYEEKGNRTTLDRETALFIGHHLDSNRDLQPLTGIQEGSNPVVVRSSDHRKKLLSRESCVIKNGNVIELIPGYHFFTFLSVRNSVAPSSQKKDCSEGNRVGDEDESVSWKRAKHVSQDEAFSSRFLSIRRSVELFLV >KZN10412 pep chromosome:ASM162521v1:1:35451647:35458018:-1 gene:DCAR_003068 transcript:KZN10412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSLVKSTSAVISKESGFVDNQGSDDGCGKGKSGGVNDGGKKVRKRKGKDNVESSGETKVKKSRTSVDNGSLGKSELGQGMDRGGGVGGLSVGFDGGGDAVRVPRKKRGSAGSKSHVVKQSGSSSSVVQSGQTKSDLKDCGAVVLSVPLHAKKKRGKLVVDKGKSVDQTEVLDSDLKDCETDVLSIPQHAKKKKRKKLISDEGNSVDQIAKLETDLKDCTTGIPRVPQQGKKREPLIVNKGSSADQTANLNSDQKDCATAIPRIPQHAKKRREPLVVDKGSSVDQTVNSNPDRKDTTVDKLNIRDADEKRIEQLIIDRGDLVDQTAMLNTDFKDCATALPSTPQHAKKRRKKVITDEGKVADASSKKEQKHGKKRKGLPLDSLEFADKAQPAVGHTESTSEDSTDNEENLEKNAAMMLSSRFDPRCTGPSCKSKSSLSHSGGDTGSHAENMQASSDCPSPDTASRLLRPRYNHKEKGRPRKRRHFYEVVYMDKDPRWFLNKRIKVFWPLDERWYHGLVNDYDEERKLHHVKYDDKDKEWISLQNERFMLLLLRSEVTDAGKPLPSCAEDKIIDETRKDLSMSVKDTNALSHLESEPIISWLAGSNRAKSLTGVKKQKTFHPSPSDSSPSSKNVTNAQRNSNVCLLEKQTSGVRYSDSVDRLAVEADGGEVMSKHRTSSKENGLPTVYFRRRFHKKKDAFISMSVNNNVQRNLPTEDGLLTVADRFSTSDKENVLLDSVNPSKMMLLIGSDGMSKLNLALIPFKKFVSQVRLPVRFLLDFPFGSEMSRYFRLSLLQQYGAIVSTWPTVYFEMLFVDNEVGLRFFLLEGCLKQAVAFLFIVLSMFFDTKKPRESVDLQIPVTSIRFKLSCTQDLRKQHIFSFYSFSKLKHSSWLHLDSILQKKCLFSKKLPLPECTLENIQAFESGSKQIHINSVSWQSSFQGTRRKSFQDIIPIGLSKTGKENRSQPPCCNVMHGILPLALSFSAAPLVFRSLHLSLLMKSSIACVRLRECTLACSLDHVENTSKSTTNDCAVAKHGSEIFPVVPESTPGIFLLDEGHSGCLSHTKGQIETHPLTGSMNCGTTMSSLHYKDKGSDADGTFNCSNDGGCISTNVIAHSLNRESHNPEPERLLTLKNRMSYKDPGSGISRESDSLNLDSMRVEIPEIDSAKSSILGKSPGVSRVSDYISATSDGIITSPNTFSPTNFWTPNTRNLNSSPLGEISPAWHGERINVVHSGFGTGPRKPRTQVHYAMPFAGSEFSPRHKPLNQNGHPFRRVRRASEKRTYDDTKGSQRNLELLACDSNVLITLGDRCWREYGARVTLEVADQNEWRLAVKFSGSTRYSHKVLHVFQPGSTNRYTHAMMWKGGKDWALEFPDRGQWMLFKEMHEECYNRNIRAASVKNIPIPGVHLVEECEANMEKLPFTRSPRYFRQIEDDIDMAMNPSKILYDMDSEDEQWIDFQTQETCHVAITDDLFEKTMDTLEKVAYAQRRDDFTVAELEKLMVGVVPGEVINAIFQHWQQKRKKTGYPLIRQLQPPSWERYQQKLQEWNQLVSKANTAAARGGKVKKPPPVEKPAMFAFCLKPRGLEVPNKGSKHRSQKKIHIAHAIIGDQDSGHTFGRRSNSFAFRDEKATYPDYSPENSDTSPSMRIYSPSDVCSHEYVSPNNGAPDYDNHPKLYKNKSKKIGAIMPRSNLHASPSYNHRTPGKRNGVQRRDIEFPDRPIQMHYQSEVYPGTGNMQFGVRDLDELGVREASNAAKRVSHFARFKREKAQRLLYRADLARSRAASAIITAEALKTSYSLNEGKNESLSE >KZN09204 pep chromosome:ASM162521v1:1:21641766:21645320:1 gene:DCAR_001860 transcript:KZN09204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDKYVLRYIFSFLVGPLVLILSFLLWRREKHGVGDVNDVNQVTGDEWSEKVAGSADDIIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEQDRIVGELLQPGGYLKLIELGLEDCVNGIDAQRVFGYALYMDGKNTRLSYPLEKFHSDVAGRSFHNGRFIQRMREKAASLPNVRMEQGTVTSLVENKGTIKGVHYKNKNGQELSAYAPLTIVCDGCFSNLRRSLCDPKVDVPSCFVGLILENIDLPYINHGHVILANPSPILFYKISSTEVRCLVDVPGQKVPSVANGELAHYLKTVVAPQIPPELCESFISAIDKGNIKMMPNRSMPANPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVLIRDLLRPLRNLHDASTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDKARQEMRSACFDYLSLGGICSEGPISLLSGLNPRPLSLFFHFFGVAIYGVGRLCIPFPSPKRMWLGARVISGASGIIFPIIKSEGVRQMFFPATIPAYYRSPPITDQSKVLV >KZN11197 pep chromosome:ASM162521v1:1:42486094:42487875:-1 gene:DCAR_003853 transcript:KZN11197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSVTLDEWSDEDIDHVVECGGNAFANSIYEAYFPAGVSKPGPSASNEERSKFIKSKYESQDFLKQSLRISSTGKSSIQSSFSNKIMNSFRSSFSSSQKSKGAQFIGLIKLKVIQGKNLAVRDMFSSDPYVIAQLGMQKVQTTVAQSNLNPVWNEELMISIPKSYGALRLEVYDYDTFSADDIMGEANVDLQPIINSAMSYGDPSMFGNMQIGKWVKADNNPLVDDSTVNIVDGKIKQDMTLKLQNVESGELQIELEWMPLE >KZN09570 pep chromosome:ASM162521v1:1:27165628:27169749:1 gene:DCAR_002226 transcript:KZN09570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNDSHSEYLAIAVDAAKKAGEIIRKGFYQTKNVEHKGQPSLAHHNMAQNGSFQAPHLSLYLHIYSHSEYLAIAVDAAKKAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLKQKLPDHKFIGEETTAANGVTELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIAKVPTVGVVYNPIMNELFTAIRGKGAFLNGEPIKVSAQSELVKCLLATEAGTKRDKNTLDATTNKINSLLAKVRSIRMSGSCALNLCGIACGRLDLFYELGFGGPWDVAGGAVIVEEAGGVVFDPSGKGFDITSQRVAASNPHVKDAFIKALQE >KZN09288 pep chromosome:ASM162521v1:1:22585764:22588637:1 gene:DCAR_001944 transcript:KZN09288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQNVVPELASWNRILREFNHAGLVSQVWYVYSEMISCGVFPNVATRNIVIHSLCKIGSISLALDMVRDDYSESDTVSYNTVIWGFCKIGNGKLGLGLCSEMVKKGIPFDSFTCNILVKGFCELGLLRDAEWVVDRMRREGDVVFDVVGFNTLICGYCRAGEVNYALALMKSARNDVCPDIVTYNTLINGLCNMGDFDGAKRLIIEMFKDEEELNTNVDNKVEDFVVRRLGFEPNVVTYTTLIGRYIEQERLDEALSVHEDMISSETLPDVVTYSSVMDGLYKSGRVEDAALLFEEMKRMGVEPNYVTYTVLLDSLFKKGNTLAALKLQSQMLVRGIPFDVVSLTTLMDGLFKVERPSDAENMFKTLLMFNLAPSCATYTALIDARCKVGDVQGAESVLQEMEHNNIFPNVITYTSMICVYLKKGAVDVALYYTRKMDDQNIKPNVFTYGALVDSCLKLGKHDIAIEMYEEMKLKGVKENNHILNAFINNLKRNGQMDEADAFFRNTTSVGLIPDCVSYTSLIDGYFKAGKESAALDIAQEMMERNIEFDVVAYNVLINGLLGLGKYEVQVVYAEMRQRGLIPDCATFNTMITAYCRQGKLESALNLLSEMNEFGVPFNYITCNTLVKGLCEASQVDEAMEVLSKMQGMGLKPSTTAHKIMLDAASKSKRAERIFSVHEQLVGMGLKLNQTVYNTLISVFCRLGMTRIATTVLNDMRAKGFEADIITYNALIRGYCESTQLKLAFTMYYQILDAGVFPNIATYNNLLAGLAAAGLMNKTTELLNDMQGKGFLPNANTYNILVCGHGKIGNKKESIKLYCEMITKGFTPQTSTYNVLISDFAKTGKMKQAGELINEMQLRGVRPSSSTYDILISGYCELSNRVDVGWVSKISYRTEAKRLLEEMIGKGFTPCKTTLSLISHVFAQEGKMVDAQVVLDNLYKRRLVNEQTKNFKDRRR >KZN09816 pep chromosome:ASM162521v1:1:29661512:29662302:1 gene:DCAR_002472 transcript:KZN09816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSVLFFTLLLAVSTLTVAPSAVEAQILARIRGTVKCAVTVTTPQAPAFPNARAQLRCGTAVISSSITNSLGSFRMQLKNPTAVLLNLLSTNCTIAVRTPLAQCNSSLPSAGTLIAPLQSSLPTIDGSNIVLNLIAGIFKFVL >KZN10314 pep chromosome:ASM162521v1:1:34487600:34489566:1 gene:DCAR_002970 transcript:KZN10314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNFNVRVNKVFGSLPIAAAPAASSSLWCLTDEEIEKREWNRDKGTPVDDDEPKPYPKNLDGFANTSANGSNLETSDLQELQEDLDDLDDDDDDDELAKRQVSKPDDCDEETWNIRSSIGKDCTLDNEEEEDEFDQVAMGKEEALGSLYQRDITDYESDMKSYSELPKTFKDVNKDPRANRRAAEIRLREDAGGADCVDNMRAFTTLHPALNAQNGISKESVGLNSILKSKGDHVDTKSKKRVRFDSSCKDDCEKNSEGSNALASETSLVLDKPASIPKDSPNVPDYVRNPSKYKHYTFDPSADFDDSSNNRAYADFLKLVKQRDQMESQDCLPFELPKSVTFTPKKKPSGNTVGANRNESEKNHNKRLPIAMAAEDELIDGICAMEEDEHEEAADNRTKGSQISGRRYRTKGSE >KZN11048 pep chromosome:ASM162521v1:1:41284045:41285339:1 gene:DCAR_003704 transcript:KZN11048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLHSAETIFFCLTLLLAAICCHGKNEMLKVVGFMKHPDIVEGRIKTSQTFSGFRVTIGCRSKDGTIETMGSSEITKEEKFRVYLPHEAVRDGRIRKECYAQVHSAADTSCPLNDNQEPFKIIFQYKSEEKNTIRHSGKLTFSPVSCTSSYLWPFQKPKVINNLLAQETPVTPSSSPSDEPVSTLSPPQPEDLPPAVNPAKNNPPASSKHKLPLDTPASAPALISTPSSPKSKQPPSKHKDFSPAPALSFDPPSPLPAESSPLGPSPDGNVDFSSGPSSGFDTPAASPLPDEPLPLGPSPDANEGFSPAPSIDTPASPLPDEPSPLGPSNNDNVDLSPSPSPSFDTPASPLPDEPLSPSPSPLADSIPFPPNVPSFTHVPSFSIPKPPPIPSVNKPSPPAS >KZN09662 pep chromosome:ASM162521v1:1:28235999:28236580:-1 gene:DCAR_002318 transcript:KZN09662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNQELVNSSNSVSNTSSMLISTTNTNSVASSASTSVTSPTTLSRYENQKRRDWNTFGQYLRNHRPPLSLPRCSGAHVLEFLRYLDQFGKTKVHIPLCPFFGHPNPPAPCSCPLRQAWGSLDALIGRLRAAYEENGGKPEANPFGARAVRLYLREIRDSQSKARGISYEKKKRKRVAPPQQPLMQAPHHPPI >KZN10910 pep chromosome:ASM162521v1:1:40212235:40212756:-1 gene:DCAR_003566 transcript:KZN10910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDSFVTPGSVPFRWEIRPGVPKTRLQEISPPSDQQQEVDRCYDTHSPSSFPSTPQKLKPPPAGYYSNLKRTPEIRPFKTQSVSQGCFPTSPLTRQKSKRVGIKKLSKPEFGSDCGYSLDLETLERWSESSWKSPAFYDSPSFSSYRSSFSSLRSSPRPASDAEWAGFGLF >KZN08254 pep chromosome:ASM162521v1:1:4319455:4322407:-1 gene:DCAR_001319 transcript:KZN08254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFWWPFWLISRCAAIYIVTKANFSKGGFICRANNPTEEPTEKKSRRDSLFIDKKGKLTHFNHKKVSRKKGGSLRGQGWKYGSGFVDGIFPVLSPIGQQMLNFLKRERNVDRIWGALDTLPPTNATWDEIISVAVQLRMSKKWDSIILMCEWILYKSSFQPDIICYNLLIDAYGQKSQYKKAESIYLGLLEARCIPTEDTYALLIRAYCTSGLQDRAEAVCIEMRKNGLPPSTIVYNAYINGLIRGRNTSKAVEIFQRMKTEQCQPSTDTYTMLINMYGKANKSYMSLKVFHEMKSQKCTPDICTYTALVNAFARDGLCEKAEEIFEQLQEAGYEPDVYAYNALMEAYSRAGYPYGAAEVFSLMQHMGCEPDRASYNIMMDAYGRAGLHEDAKSVFEEMKRLGMTPTMKSHMLLLSAYSKVGNVPKCEELVNQMHKSGVEPDTFVLNSMLNLYGRVGQFKKMEEVLSAMENGPYLTDISTYNILINIYGRAGFFEKMEETFHSLPAKNLKPDVKTWTSRLGAYSRKKQYMKCVEIFEEMITEGCYPDGGTAKVLLSACSSEEQIAQVTAIIRTMHKDMKIASPI >KZN08076 pep chromosome:ASM162521v1:1:2066151:2069658:1 gene:DCAR_000745 transcript:KZN08076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFGIKWKIGRSVAVIVWSFALAAVFVSAERSLKEEGSGLIHNASDEFEPTFLFKAANFLWQSNQSGYQHVWPELEFNWQIVVASIIGFCGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSATAISKCMIMGAAVSTVYYNLKLRHPTINMPIIDYDLAVLIQPMLMLGISIGVAFNVLFADWMVTILLIVLFIGTSTKSFLKGIDTWKGETILKQKEAENQLADNGGLRHSDEEDYKLLPGESDNEEDGTQKTVEEEVSILENVCWKELGLLCFVWVAFLAVQIAKNYTSNCSTEYWVLNLIQVPVSVGVSLYQAVSLYTGRRRIASKGESGTNFGVGQLFVYCLFGVVAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMMFSSSMSVVEYYLIKRFPVPYALYLVLVATIAAFVGQHVVRRVINILGRSSIIIFILASTIFISAISLGGVGIANMIGKLQRHEYMGFENLCKYEA >KZN08185 pep chromosome:ASM162521v1:1:3676682:3683000:-1 gene:DCAR_001250 transcript:KZN08185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEADCLDFLVMKQGKMKYVLVSGGVVSGLGKGVTASSIGLILKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLDKERRGDYLGKTVQVVPHVTDAIQEWIERVAAIPVDGKDGSPDVCVIELGGTIGDFESMPFTEALSQFSVRVGASNFCLIHVSLVPVLNVVGEQKTKPTQHSVRALRNLGLTPHILACRSTTELDDNVIDKLSQFCNVAVENIITLYDVSNIWRVPLLLKEQRAHEAILKVLNLSGVTNKSSLEGWTSRANLCDRLHIPVRVAMVGKYTGLSDSYLSVLKALLHASVACHRKLTVDWVPASDLEDETKKESPKDYKAAWNLLKGADAVLVPGGFGDRGVEGKILAANYARENKIPYLGICLGMQISVVEFARSVLGLEDANSTEFDPETPNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVKDCKSAKLYGNRSFIDERHRHRYEVNPDMVPQLEKAGLLFTGKDETGQRMEIIELPTHPYYVGVQFHPEFTSRPGRPSALFVGLIAAACGQLDILLRKSESKSFGFISRSGGSAITPYQNGHSLKHANNLIDGIYSHGNGNGLHV >KZN08936 pep chromosome:ASM162521v1:1:17866611:17867596:-1 gene:DCAR_001592 transcript:KZN08936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDPRFPVEFLKALIYVSNGSLPQKLFLPRLPGIVNVQTMDGDRFPIKFSAEDGSFFDMHALLTKLFPKECQFFYCKYLRGPNFEVFLLDGHYDLHGISGMPSPFQCMFIRIFPNLSSKWKPLGIVLNYLKFKSSHLIF >KZN09453 pep chromosome:ASM162521v1:1:25398694:25399879:1 gene:DCAR_002109 transcript:KZN09453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLPSHSNEEDLERVLGATSHGVAVTGSAALGKVGHPIGSIDISESNDTYLFRVALPGVARDSNTFSWYVEPNGKIFIKGITTTGEKKVLKHGMVFEMLTQNLCPPGEFSISFQLPGLIDSEGVNAVFGTDGIFEGVVKKMRPQTIY >KZN11906 pep chromosome:ASM162521v1:1:49302517:49304985:-1 gene:DCAR_004562 transcript:KZN11906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSTTLRTRSQAAPDWTHQEHLILVNEITAVERDCLNTFSSFQKWQIIVENCHALGLNRSLNQCKKKWNTLFDDYNELRNFDAEVYGAIEEFVRVRDGRGELGSDPDLDPGDELLVDVVANAHSVLPHAKKYKLKSMPKKHFLEGWGARRKSSKNVRKPNKSCRSEVKVEVECPKESVDVKSMKRENNQEEVEKVTIELLNSAEQINVVLEAKLVEEVNCVLADIGSAKTTQAVDVRRQGDELITCLENYTHTIDQLRQILNSS >KZN08678 pep chromosome:ASM162521v1:1:13369220:13375947:-1 gene:DCAR_001208 transcript:KZN08678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDKELAAAVKAAALASRLCQKVQKALLQSDVHSKSDKSPVTVADYGSQVLVSFALEKELPAMAFSLVAEEDSGDLRKEEGKETLQRITELVNDTLASDGTYDVSPLTEENVLRAIDNGKSEGGSHGRHWVLDPIDGTKGFVRGDQYAIALGLLDEGKVVLGVLACPNLPLGSVNSKDPNDKVGCLFSAQIGGGTYMQSLDGSAPVKVHVSATENPEEASFFESYEAAHSSHDLSSSIAKKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGYSVVTEAGGVVSDAGGNPLDFSKGRYLDLDTGIIVTNQKLMPSLLKAVKESLSENASAL >KZN09194 pep chromosome:ASM162521v1:1:21561827:21562291:-1 gene:DCAR_001850 transcript:KZN09194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVSKALSANNINLMRYACNRLERIKRCGGKNILDEVAKGNPKAMEEALKRLLISNWEGPVVKFEDASLQKRLEECEFIHKRFILQSQKWVDENFMEMVRRRCAEGLRMASNQTHYKSLRNMAYGKSFAEVVVGSKLRNTEREAEEEGNGKW >KZN09734 pep chromosome:ASM162521v1:1:28865550:28869704:-1 gene:DCAR_002390 transcript:KZN09734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIVEMFWGRYQQERPDNRRRETKKIRGTVVLMKKNILDLSDMGSSFLDRLHELMGRGVSLQLISSDHVDPVNGRGKLSKAAFLENWITRITSVTAEDASFSITFDWDESMGVPGAFIIKNHHRSQLYLKTVTLEDFPGHGRVHFVCNSWVYPAHRYKYDRVFFANKAYLPNNTPAALREYREVELINLRGNGTGMLKEWDRVYDYAYYNDLGSPDKGLKYARPVLGGSKEYPYPRRGRTGRMPTKNDSSTESRLPLLSLDIYVPRDERFSHIKFSDFLAYALKSLGQIIVPEINSLFDKTPGEFETFQDVMKLYEGGIRLPDGDKLKTLKECIPWEMLRELVRSDGEQFLKFPMPDVIKEDKSAWRTDEEFAREMLAGVNPVSIRCLHEFPPTSKLDPKVYGNQTSSITREHIEKSMNGLSVYKAIRRKRLFILDHHDGLMPYLRRINTTTTKMYATRTLLLLHDNGKLKPLAIELSLPHAQGDIHGATSQVYTPSEDGIEGSVWQLAKAYAAVSDSGYHQLISHWLNTHAVIEPFIIATNRQLSVIHPIYQLLHPHFRDTMNINALARQILINADGVLEKTVFPARFSMELSSFAYRSWIFTEQALPSDLLKRGMAVPDSRQPHGLRLLIEDYPYAVDGLDIWSAIETWVKEYCSLYYPTDETIQSDSELQSWWLEILTEGHGDKKDEPWWPSMKTRGDLILSCSIIIWVASALHAAVNFGQYPYAGFLPNRPTVSRRFMPEPGTPEYAELETSPDSAFLKTITSQLPTLLGVSLIEILSRHSTDEVYLGQRDTPDWTSDQRALDAFDRFGTKLVEIENRIANRNCDVRWKNRVGLVKVPYTLLYPNTSDYSRNGGLTGKGIPNSVSI >KZN09869 pep chromosome:ASM162521v1:1:30183221:30203446:-1 gene:DCAR_002525 transcript:KZN09869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSGDPSTRKRVDLGGRSSKERDRQKLLEQTRLERNKRLRLRQQNTAATNIQGLLCLATSRFRSCFGPNSNFLRQFLFFFNAKNATDYSALVEVCRLLRQFVQNSGDIYVLFGGTDYLFEDESVSYRVKRFAYACIQAVYENRNQLKDQLIMAPSGSSTSTTLLLESLVMLIEPQVSWSCKIVAFLLQRNVYYQIREIIFTAKKSTSFQGPAAKDSSLEHVLVLIMSHMGQKPCICATDVRWTFISQILTIPFLWQLFPYLKKIFTTNDLSQQYIHHMTIFAKGPDKVLPVDMSAEFPGYACLLGNLLEAAALAFARPDCSFDLAMDFTVVATSFLEELAPFYTLNTKSKEADDDEMAIDEKTNDKVLNVDLERQISNAIDPRFLLQLTNVLFKGFSHANGSYKERPSNKEVAAVTAACSFLHVTFNILPLERIMTVLAYRTEIIPVLWNFMKRCHENQNWSSFSEHSAYLPGDAPGWLLPLAVFCPIYKHMLMIVDNEEFYDQEKPLSLKDIRCLIVILRQALWQLLWLNPSMPASSAKSATNTNSLRSRPVEFIQHRVSIAASELLSQLQDWNNRRQFTPPSDFQADGVNEHFNSQAMVEGSRAYDVLKRAPFLVPFTSRVKIFTSQLAAAKETSDSHAVFTRNRFRIRRDHILEDAFSQLSSLSEEDLKAAIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDAQYGLFKETTDHLLYPNPGSAMVHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYEGDISELELYFVIVNNEYGEQTVEDLLPGGKNLRVTNENVITFIHLVANHRLNYQIRQQSSHFFRGFQQLIPKDWIDIFNEHELQLMISGSVDGFDLDNLRSHTNYAGGYHQDHYVIDMFWEVLKSFSMENQRKFLKFVTGCSRGPLLGFKYLEPLFCIQRAGGEASEEALDRLPTSATCMNLLKLPPYKRFGPVHSPFFEVANNKILNTRALFSPRPRVTKVEEVSTRLQAYNGRDKDSLTQK >KZN10982 pep chromosome:ASM162521v1:1:40808796:40812602:-1 gene:DCAR_003638 transcript:KZN10982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTTSEQVKTQEEEGLPVIIGGMVLDIHATPSIPGKPRTTTPGKVAYTLGGVARNVAECVSKLGSKPYLISAVGQDMPGNLLLEHWKSGGLSVKGIRRGKDIETAVVCNMFDSDGELAAAVASVEAIEKYLTPEWIQRFRNNIRNTPVLMIDANLSPIALEATCQVTAQYSIPVWFEPVSVTKSKRVASVAKYITFASPNEDELIAMANAVCGVTLFHPVKIDNHGIKYSPESLFRMLAPAISVVLDSGIKVLVVTLGSYGVLLCSQSISCFKKVHGFKRNKSSDFSKQLYEVVNSLCTPDRLFNASVNNKSNNLFVVYYPAISASVVRLTGAGDCLVGGTLASICSGLDVMQSVAVGVAAAKAAVEAESNVPAEYNLASIADDARSVYSGAKAVFCKSML >KZN10228 pep chromosome:ASM162521v1:1:33706489:33713857:1 gene:DCAR_002884 transcript:KZN10228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYNFDEFYDYNRHPNSTTLSWSSDNPRAHHYHHNQNMNYYPEHDPFGGPGGFNFPPHPRKRPHFSSGCGEGGSVAKLYVGGTPRNATEHDIRAVFGEHGNIIEVVFLRDKRTGMQQGSCFVKFSRFEDADRAIEVLDNQYTFSGEMAPIKVRYADDKERRRPASNFGTYTFKLYVGGLNKQACKREIGEIFSPFGLIEDVFIALDEFKQSRGYAFVQFSHRDMAVAAINALHGTYIMRGCDQPLIVRFADPKRPKTEDSRPVQSFKDIPNRCRQPTSSPQVSTSMGYHASPEPFSNDPEDSIDCDWSEHVCPDGYLYYYNCATCESRWEKPEEYLFYEQQLQKGLEQGNSQRKQPYMPCSQIHPTDQGFQMQQV >KZN07963 pep chromosome:ASM162521v1:1:1045105:1045560:1 gene:DCAR_000632 transcript:KZN07963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPVSYKDFSLPKYIDYTLSFLGLLKDLLFVTLFYYSGVEAFLDPDIRWSTRSKTRHDILLPSPLVVLTRELLPVVKYCEIVNPPESCAVCLYEFSGGEEIRRLMNCRHVFHRECVDRWMDNEQMTCPLCRTPFISDDMQEALNVKLWSL >KZN10399 pep chromosome:ASM162521v1:1:35319720:35320694:-1 gene:DCAR_003055 transcript:KZN10399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARIRKYNWSEKAIRRKTTGTGRMRYLKHVPRRFKSNFREGTQATPRTKGIAAS >KZN09724 pep chromosome:ASM162521v1:1:28777759:28780953:-1 gene:DCAR_002380 transcript:KZN09724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEMILPPASSLSPSVISYLDTKLHTKHDQEASSSLLLELESECNDLDKTLSDLNRILESHLFSYASFSSQFATLLTSVSANLSDLHYSTALSAGDSTLSDGTGRSELPALAKEVARVEAVRVYAETALKFDTLVGDIEDAVSSVVNRNLRKHPSSRNLEKDVRLIAIKALKQAEDILAWLTEERPQWARLVLAVDHRVDRALATLRPQAIADHRSLLVSLGWPPSISSSSTIDTDKKNSAAISNPLFSMQGELKSQYCESFLALCSLQELQIQRKSRQLEGHNLEVALCQPLWTIEELVNSLFIASQRHFSKWVDKPEFIFALVYKITRDYVDTMDDLLQPLVDEAMLLGYSCREEWISAMVSSLSTYLAKEIFPVYVGQLEEDVVTGIQSQARISWLNLIDLMISFDKRVHSLIVQSGILVSLQEDGNMQKLTSLQVFCDRPDWLELWAEIELNEVLDKLKLEVEDERGWSMDVQGAAVLFGAEEYKSPAISGAFLDRLSSLIDRCWSIPRISLRSRFVRVAGAPVIHKFLDSVSLRCQEAEGLTALTDDAALIKVTRSVNAVRYLETVLKEWCEDVFFLEMGLKQAESSGISFAENSFNEGSMGDIGCGILGEEIRKLEEFRVEWIEMLSTVVLRGFDARCRDYIKNRKQWQERSEEGLVVSRLLVDALDYLQGKVSVLEGSLNKMDFVGVWRSLASGVDKLIFSGILFSNAKFYDGGTERFGNDLTVLFGVFGAWCLRPEGFFPKISEGLRLLKMEKNQLQGSLAGGEVWLKENGIRHLSVAEAEKIAKNRVYNG >KZN09926 pep chromosome:ASM162521v1:1:30844775:30848638:1 gene:DCAR_002582 transcript:KZN09926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLREIAEAHYYAGSKKSQKLAHESFDAMDEDGSEEVDISEFKEFLREEGYEQYAKKGLFKRLDRDGNKGLDFWEVMTLYYIISGRPFCAGCDKFLTSVYFACVECFDRSSGPFCMCTGCYRDQGYDHSHRHTQNPRFLDNYSLLEAHRLSAVNQAIAKAYYRQGPESTKENANRFSDAMKKDREGKVDYVEFKEYLRRNGHGNYAKRTLFDELGSKRRLGFWEVMTLFYIIVSRRPFCKKCDRFVTAEYFACVKCFESSADPYCICIDCFRRPGTDYRHGSCDHPKFLDNCTMLARRPPVPQPRIEHAHRHSSHRPQPTAMVESPAHHSRRRSTSQSSQPTGMVESFANYYRRRSTSQSSHPTDTAQSSTHHSHGRRA >KZN10403 pep chromosome:ASM162521v1:1:35373836:35378103:1 gene:DCAR_003059 transcript:KZN10403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHQQQDTSRKRRRFALEQPPPQQPQPYVDNRKRTDERKRTDDREGHFEFNLGDNLTSRYKILSKMGEGTFGRVLECWDRQTREYVAIKVVRSIRKYREAAMIEIDVLEQLAKNDQGGSHCVQIHTWFDYRNHICIVFERLGPSLFDFLRRNEYSPFPVDLVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYIKLPGRKRSSSDETRFRCLPKSSVIKLIDFGSTAYDNHKHSSIVSTRHYRAPEVILGLGWSYPCDMWSIGCILVELCSGEALFQTHENLEHLAMMEKVLGRPLPEHMIQRADRGSEKYFRRHRLNWPEGAVSRESIKSVKKLDSLKNMLSPYLECSRSSFIDLLHQLLKFDPKERLTARDALGHPFFQTS >KZN11387 pep chromosome:ASM162521v1:1:44201967:44203827:-1 gene:DCAR_004043 transcript:KZN11387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIGILRRLTPTTKCVTNVVLKSRKEEEVVIALGSNVGNRLNNFDEALQLMRRSGIDIMRHGCLYETEPAYVTEQPRFLNSAIRGVTKLGPHELLGVLKKIEKDMGRTGGIRYGPRPIDLDILLYGKHRIHSETLIVPHERIWERPFVMAPLVDLLGSNVDSDVVACWHSYSIHPGGIFESWEKLGGQSLIGKDGMKRVLPVGNSLWDWSVKTSVMGILNVTPDSFSDGGKYQSVEDAVSQARMMILQGADIIDIGAQSTRPMASRISPEEELDRLIPVLETILKMPEAEGKLLSVDTFYSQVASEAVSKGAHFVNDVSGGLLDSKMLDVVAELKVPYVAMHMRGNPSTMQNSENLQYDDVCKDVASELCVRVQDAELAGIPAWKIIIDPGIGFSKKVEHNLDILMGLPTIRTEIARKSLAVSHAPLLIGPSRKRFLGDICSRSAASDRDPATVASVTMGVLGGANIVRVHNVKDNVDAARLCDAMIERRASFA >KZN11410 pep chromosome:ASM162521v1:1:44416735:44417094:1 gene:DCAR_004066 transcript:KZN11410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPTLDHRMGALLRMILFLSLVITTNSSSRYKGIGWNKVAVVPYPPTKSTIYSCNGRIGDCIDQSEEMMLDSEASKNVLALEQKFIVYKFFDKEHVPCSQRGQSYYSCHTFKQRNSRV >KZN08746 pep chromosome:ASM162521v1:1:14768349:14773330:-1 gene:DCAR_001402 transcript:KZN08746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGKKLIAGSHSRKELVYINVDDAVSMEKELNEDVCEICGDMIEMSQEMEVFVACEDCGFPVCRECYEYERREGNQVCPRCKTRYRRIKGCPWAVGDDEEEEALDDLEDHASFLIPQDNYAAYQGEHTSPKYSRRDLYQKPHIPRLACQQEDEETISTQVILSPFMVQDHDPRLPCATSSVALQPRPLAPKKHTRIYGYGSVARKNRMEDWKEKQSQIKQLGYDNGKDIIDNVRNNPSISLFLHPVLDAYALWLTAVMCEVWFSVSWILDQFPKWCPVERETYLERLSLRYENDKKSSQLPSIDVFVSTVDPLKEPPLVTANTILSILAVDYPADKVACYLSDDGAAMLTFEALSETSQFATKWVPFCKKYNIEPRAPEWYFSQKNDYLKNRIHPDFSRERRAMKVRVSAILSNAPYILDVDCDHYINNSKALKEAMCFMMEPAAGKKICYVQFPQRYNGIDCQDRYSNRNVVFFEVIMKGLDGLQGPIYVGTGCVFRRQALYGCDAPVEKKTSTMTCNCFPEWRFLCFRSRKNKRPMHTEAKKQIHSLETIEEREEEEENEGLLTEELTSTSQEELEKKFGQSSTFVESTQLEDGGILHNFSSPLSLRKALYVVSGGYEDKTDWGKEVGWIYGSTTKDILTGFRMHYHGWRSVYCMLKRPAIKGSAPINLSDRLNQVLQQAMGSVEIFLSRQCPIWYGYGGRLKCLQRLSYINSVVYPWTSIPLTVYCTLPAICLLTGKFIIPEISNYANIVFLALFISIATTSVLEMRWGGVGLDDWWRNEQFWVIGGVSSHLFALFQCLLRVLAGVNTNLTLTSRGGANGEHYVFKWTSLLIPPTTLLVLNTIGVVIGISDAINNGYASWGPLFARLFFAFWVIAHLYPFLKGLLGKQDRMPTIVMVWSILLASILTLMWARVNPFAAKDGPVLEVCGLDCD >KZN08779 pep chromosome:ASM162521v1:1:15280175:15283500:1 gene:DCAR_001435 transcript:KZN08779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFMRSSANLPLKWLIIIFFSNTLIPAFSDPRIEEAGLFCGTSKPPPNTTIVPTFVEEMEKISQLVSTNKWGLNIINHSAIPLFCLAQCFNDLSRTDCLSCYAASRTRLPRCLPALSGRLYLDGCFLRYDTYDFFDESVDPGHDRVNCSGGLRVEEGFKESVEVLIDKVSRLAILKGGFGVMEVEGVFGLAQCWRSLSKESCVECLEKAAKEVRGCLPSRQGRGLMAGCYFRYSDHKFYNQEGDAHRFTASGTSIGATAGIALSAAAFILLSVVAAYLIYLRLSRLKEDKNMGQSLNWEQRGYMAPEYLIRGQLTEKADVYSFGVLVLEIVCGRKNITFTEDSGSLLQTIWRLYKNHKLIEVVDPRLQYDFPTKEVTNVVQIGLLCTQASAVLRPSMNKVVWMLNNQDCEVPMPKQPPFLSSKMEGSASSGQSTSLGSLISSALTKIDVSYTSTDSSCTRSSQR >KZN09623 pep chromosome:ASM162521v1:1:27753368:27755812:-1 gene:DCAR_002279 transcript:KZN09623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPMPYSPALFCHLNLLLLALLPAFALAQTSTNGTIELNSSLTATDNATPPSWLSPGEDFAFGFRKIDEKSDQFLLSIWYNKIPDRTIVWFADGGNAVPRGSRVQLSADRGLVLSDPEGKQLWNSGTISGQVSRAFFNDTGNFQLLSSDSTKLWESFDYPTDTLLPTQIMQTEGALYSRRSQISFSKGRFQLRLLKDGNLVLNTREILTDYAYKAYYSSETFDSSNASNSGYQVLFDGSGYMHILRRNGDRVTLTPNRALPTTEYYHRATLNVDGVFVQSYHPKSFTGTPNWSSIWREPDNICLDVPADLGSGACGYNSLCSLNADGRSVCECAVGYSLVDPDDKYGNCKPNFSVSCDDYNGQRANEGLYELLQINNANWPTSDYERLEGISDQECRDSCLSDCFCAAVVYGGPTCWKKKLPLSFGRQGSSEKSIVLIKVKKGEHTSQSPEGSSRKDDHGTFILVGSVLLGSSVFFNFVIIGASCLGFLGIYLKNPLKTRPDNDIVETNLRSFTYQELSEATKGFTEVLGSGSFGTVYKGMVQISTSKTLIAAKKLARVTQETDNEFKTEVNAIALTHHKNLVRLVGFCEEGENRLLVYEYMVNGSLSDFVFGEVKPSWTQRVHIALGIARGLAYLHEECNTQIIHCDIKPQNILLDEYYNARISDFGLAKFLMLDQSRTKTAIRGTKGYVAPEWFRSTPVTVKVDVYSFGVMLLEIICCRRNVENFGNEGGGEILTDWIWDCYQQGRLNDAVENDEEVMLIEWTNVERLVMVGMWCIQEDPSLRPTMREVTLMLEGVVDVPQPPCPSSFYSK >KZN08945 pep chromosome:ASM162521v1:1:17990788:17992151:-1 gene:DCAR_001601 transcript:KZN08945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDTAANSVLSSDFKELGQVAKRLADDAIRLGGTGGFFTTTLAWIASFAAIYLLILDKTNWRTKILTALLVPYIFLTLPSIIFDLLRGEIGKWIAFVAVIMRLFFPQNIKSYLELPGAMILICVVAPSLVSDYIRNSWIGVAICLGIGCYLLQEHIRASGGFRNSFTKSNGISNSVGIVLLVVYPVWALLFILF >KZN09357 pep chromosome:ASM162521v1:1:24100437:24105463:1 gene:DCAR_002013 transcript:KZN09357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECNDDIRNDGGRTESMEMKDYSDEENDFVMPGEVFSNSDGSSKKGGDKKSCSLRNKKEKSTRKLLLTSGKIKKRSLRKEDAGPSVKPSKCLANKLGRKYSKVPNRDEEEVAELEGARKVKTKVKRVRTYGNYIQKKFSPTIMSDVIGGLSEEQKRWVRDTGFGELLKFRMLHYTHQLGYNVVKAFNSEKCTLELDAGIIQIDDKTVEKVLGLPRGAKEIVFDETNESISEWGAQFQGCKGCLITPRMLRDKIIGSKMVDKQFKMNFLVMLYNFFIEGNQSRYLIRDVLKSGLDINDCGKYNWCQLLVDKLKKTHMFWAGDKKRNFTGALPFLIYLYVSKVMNKNIVYVSPSFPAFHAWSDMLLRERQKYEEKEDCFGVGDLVELEPVVLKGEGITVAVGPVNQSEHDVDENIEHDSLESVEKSEKEDDELDEMIVEDSLQMSENIYDDVAEVDIDVDMNVNDMDEEEHTPIEELVLVQNRSEKIEEIKCIEVERNVKIEKQATFYKREILEGCTDSDLEKRITVGTNNNHNKEAYKEGNATEGTQSNVDDLIEKHFEEDFGPLNMSVAKGDIHADLKRKAVFDDNMDVVLEMISRVHKRIYDVKDFDMMLTWSGNKLSGVIKKNVAAMVKGKMIVE >KZN08386 pep chromosome:ASM162521v1:1:5951203:5953143:1 gene:DCAR_000932 transcript:KZN08386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPHCTGEACICFKGCCLKPFPALSEPQISATSYRTTSRHEFAASTASSLYPDTQFTNHEAIPSVEESLSNFTKAYPLYLETSQADQIRGKEYSHLSHPGHVCLDYIGHGLFSYGQQQGHYGAVSIASTSSPPSSSMQDSSAPFFDILNKSGDLYSQIMYGGQESEFDSLIRKRIMSFMNISEDEYSMVFTANQLSAFKLLSGSYPFQSGTDLLTVYDYDNEAVEAMIDCSKKKGAQVTSAEFSWPKMRIQSTKLRKMIVTKRKNKKRGLFVFPLQSKMTGSRYSYLWMNTAQENGWHVLLDASALGAKEMETLGLSFFKPDFLFCSFYKIFGEDPSGFGCLFVKKSSASVLNNSTSTGMGIVRLLPSVRPCQFLEKLSKTDKQSSVSELKEETLALPSSSSISMSFQQNEATYELEEASDAKDRQKQPPVSEIVELSEIVELDKPVNTDEPQDKEDGGTVKSEMEFRGLDHADSLGLILISCRAKYLVNWLVNALTSLNHPNSENALPLVTIYGPKVRFDRGPAVAFNMFDWKGEKVDPALVQKLADRNNISLSCGFLKNIYFSDMQDNERNFLESKNPEQAGALRNKKDKCKLGISVITVSLGFLTNFEDVYRLWEFASKFLDADFVEKEKWRYLALNQTTVEV >KZN11219 pep chromosome:ASM162521v1:1:42754081:42754696:1 gene:DCAR_003875 transcript:KZN11219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLTEPGEIGGTESIRKQVFCIVKNSSVEQKVQLADARPDLRKRKESYIVIIRNLPVAEIRDDEKEAFNFILMNRATKRRHDCNKIDCRLPQDTKCISKGSY >KZN10841 pep chromosome:ASM162521v1:1:39451802:39456881:-1 gene:DCAR_003497 transcript:KZN10841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEHEVYGGEIPEMEADVDLHHADVDMSTAVDDDAVKDLDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDHCFSPADPANAAATQASREEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEAEAVQEALSLTESELHGRQLKVMAKRTNVPGMKQYRGRRFNPYMGYRSRRPYVPPYFYSPYGYGKVPRFRRPMRYMPYY >KZN08308 pep chromosome:ASM162521v1:1:5119407:5120714:1 gene:DCAR_000854 transcript:KZN08308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLKPILSHLDAIPSTPGKFKPEKSFSYYYRLRLHSKLTLWSFIFVVAIVFLVLVSAPAPAPEGNRRSLQTAGKYAGSGWENRVRVSARGKTGRVVLVTGAAGFVGAHVSAALKRRGDGVVGLDNFNKYYEITLKESRKLLLEKDGVFVIKGDINDAGLLKKLFETVSFTHVMHLAAQAGVRYAMKNPASYIQSNVAGFVNLLEACKSANPQPAVIWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHSYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRNILKGKSIMIFEGVDHGTVARDFTYIDDVVKGCVGALDTAKKSTGSGGKKKGAAQFRIYNLGNTSPVPVSKLVSILEKHLKVKAKKKVVTMPRNGDVPFTHANISLASKELGYKPTTDLEAGLKKFVKWYLSYYDSEKQHASW >KZN07900 pep chromosome:ASM162521v1:1:539819:542131:-1 gene:DCAR_000569 transcript:KZN07900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEKATLFAILLVYVVSVGSHGLQTYIIQLHPQGITRSLFASKLHWHLSFLEKSISAEESPSSRLLYSYHSAMEGFAAQLLESEVDAIRELHDVVAIRPDRRHEVHTTYSYKFLGLSPAKEGEYCMKDSLPRAKVQGKMVVCDRGINGRAEKGQIVKEAGGAAMILANTEINQEEDSVDAHVLPATLIGYTESVQLKKYINSTRKPKAQIIFGGTSIGKSRAPAVAQFSSRGPSFMDPSILKPDMIAPGVNIIAAWPQNLGPAGIPDDSRRVNFTVMSGTSMACPHVGGIAALIHSAHPKWTPAAIKSALMTTAYITDHSGKQIMDGDKPAGLFATGAGHVNPVKAISPGLIYDISPDDYVTHLCTLKYTKSDIFTITHRNVSCQDIIRKNRDFSLNYPSISVVFRPGMKSKMIKKRLTNVGSPNSIYSLEVKPPNGIKVRVRPRRLIFTDINQSLSYRIWFISRNRTALERLSNSQGYLSWVNSQDIYQRIRSPISVTWSSKKER >KZN10430 pep chromosome:ASM162521v1:1:35644788:35649683:-1 gene:DCAR_003086 transcript:KZN10430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAQYDASTNKRKYGDESTTPPLTARVTGFSGGPSPDTTAPSYNSVPPPADPILLARQKAMELAARFSAEATPEGEAKRPRFENGDSYEAPKTFVSGFSSAPSDIGQKPLSTVASIPASYGYGGNSKKIEIPNNRVGVIIGKGGETIKYLQIQSGAKIQVTRDTEADPNSPTRGVELMGNPDQIAKAEQLITDVLSEAESGGSGAPSRRTGQQGGNEQFVMMIPNNKVGLIIGKGGETIKNMQSNSGARIQVIPLHPPPGDTSTERTLQIDGTNDQIEAAKVLVNEVINSEFMYGSFCFCSSYFFHLVIKPVQALTAEYILCFSTLLICAMSSDQRMMNHIVSDLVFSTRLGRGWDCSHSNSTSRQVRNRLRNPAATGYSHQGYQARPPAYGYVQPGGYSGQTPQYNMTQPQYAGYPSQPGSGGYGTGWDHNAAATNQQTTQVGAYDYYSQQPAPPQQAPGAPGSADISGYAYGQQAVSGYSQQGQSYTQDGYGGYHAPAPQTGYSQPQPVYDQQQAYNTTSGYSNVANATPDGQTPSYGAQGDGNQAPPSSAPGQQGYTSQQPSPNSAYPSQMPTQPGYGVPPTSQTGYGSQPPSGYVSSYGAPQTQKPPATQQAYGQTQQSPSAQGGYVQPAQVQPGYPQPPPSQTGYAQPDPGAQRVPAAGYSAAQASGYAPPPYGAPPATQSAYGQPPPTYNNSYGNAYSQPPAYTADANAAPASQSVPASGVVKTSPQN >KZN10446 pep chromosome:ASM162521v1:1:35752599:35753258:-1 gene:DCAR_003102 transcript:KZN10446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNQQQEVSRKRKSTNTNEDNVALTLSLGIPPPVSPLSGSAQQTGPTRVTRRNPTKYQDVPQPYPWATTKRATVHTLDHLDSLQIKTISGQMQCKKCEREYEMELDLHEKFIEIASFMAKNKFAMRDRAPANLMNPALPTCKFCDQEGSVKPVISDKKKTINWLFLFLGQMLGCCTLEQLKYFCKHTKNHRTGAKDRVLYLTYLAICKQLDPSGPFDR >KZN08719 pep chromosome:ASM162521v1:1:14227346:14228225:1 gene:DCAR_001375 transcript:KZN08719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKRNLALLIFSAFLLLFPHVIISTAALDQEKQSVSDKFRGLYSVVTSSSMSCWQKLKTLVNDVQLRYFPPSLEPKVEEEIEEQGSKEWMKEAVKKSLGSSKSTVEETAKSAASAVGEVVHKTKEKVAKKQCTGDGCPHEEL >KZN08640 pep chromosome:ASM162521v1:1:12820084:12821793:-1 gene:DCAR_001170 transcript:KZN08640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYRDCTVFIGNLDERVSDRVLYDILIQAGRVVDLYIPRDRETDRPKGFAFAEYETQEIADYAVRLFSGLVTLYNRTLRFAISGQDKPAFGSAPKVRQYNDSEDITPHSAPHSSYMFSSQRSGYAQVPTPPGVSHEYNRPRSYSDRNGYTYNGGRLFGSAFDSTTRSR >KZN11050 pep chromosome:ASM162521v1:1:41291419:41293399:1 gene:DCAR_003706 transcript:KZN11050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYGLFLILLGIHVASPSMSMEDSILSRLRTQEITVQDIQIAFRQNKLTSRKLVEFYIGEIQRLNPLLKSVLEVNPDVLSQADKADQERKAKAPVSLSKIHGIPILLKDNIATKDKLNTTAGSYALLGSVVPRDAGVVEKLRKAGAIILGKASLSEWSYYRSNSMPSGWSARGGQVVNPYVASASPCGSSSGSAVSVAANLASVSLGTETDGSILCPSISNSVVGIKPTLGLTSRAGVVPVTPRQDTVGPICRTVADAVCVLDAIVGFDKNDAATRNSSKYIPSGGYAQFLKADGLRGKRLLITHYPGFGFSNDSAVAQLFEPHLSKLRQKGAILVDHLEIPNIFEYFGLSGEDTATLVEFKIAINTYLKNLEASPVRTLSDLIKFNRRFSDLEMLKKYKQDVFLASEATNGTDVAYTKDIANMKRLNRGYVKMMRKNKVDAFVTPSSKCSPVLAIGGHPGISVPAGYDAKGVPVGFCFGGVKGTEPKLIEIAYAFEQATKLRKPPSFQP >KZN10187 pep chromosome:ASM162521v1:1:33407727:33409109:-1 gene:DCAR_002843 transcript:KZN10187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESPRITAPLLPDNEPRRWYHHLTNPLRLKTSLSSEISGSVGDLGTYIPIVLALTLVSHLDLSTTLIFTALYNISTGLLFSIPMPVQPMKSIASVALSDSLTLPQIATGGICTAVTLLFLGSTGLMSVLYKYIPLPVVRGVQLSQGLNFAFSAVKYIRYDQDFAANKSGGARSWLGLDGLILAISCLCFLVLSTGSGELGQPIHPGETVSSSDRFRRRRLKLLSAIPAALIVFLIGLFLCFVRDPSIIKDLKFGPSKFHVLTITWDDWKIGFFKAAIPQIPLSILNSVIAVCKLSGDMFPDREASVAKVSVSVGLMNLVGCWFGAMPVCHGAGGLAGQYRFGARSGASVVFLGLGKLILGLVFGNSFVRILGEFPIGILGVLLLFAGIELAMASRDMNTKEESFVMLVCAAVSMTGSSAALGFGCGIVLFLLLKLREMDCSKIMGSSGSVSTKDSPDV >KZN11232 pep chromosome:ASM162521v1:1:42865241:42865555:1 gene:DCAR_003888 transcript:KZN11232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQKVVLFKTPIMTSLLSHFTPRNAPFRSYREKGVFHIFLNPKWLGRGKVPFFEGTPGNRSSGDGVGPVAQRIRARGYEPRCRGFESLLAHNRPKREVPFPLG >KZN09722 pep chromosome:ASM162521v1:1:28767293:28767871:-1 gene:DCAR_002378 transcript:KZN09722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQLNLKETLAENEDCSDISLRLQDENDIDDMMKWATDDKVSKFCSWSTYTSRQEAIDYMANTVAPHPWWRAICVNNRAIGSISVTPFQGNDVCRGELGYVLASSYWGRGIVTRAVKMVVQMVFAEWPHLERLEALVDVDNVGSQKVMEKAGFMREGVLRKYVVLKGRTRDMVIFSILSSEITQSSRKPV >KZN10006 pep chromosome:ASM162521v1:1:31638708:31639383:1 gene:DCAR_002662 transcript:KZN10006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKVKAVHGVKTRIITLPPCIAIWLTLRFHTHQDYSSALNKMDKAETDSSTRSNKKQKTSSYSRRGCMKGKGGEENGLCNYRGVRQRKWGKWVAEIRHPGSRVWLGTFNTSVEAALAYDDAALKMYGPSATLNLPVTTSSTNTTGAAAGPDIIEPSCGNDLSGEISVLLEDFQDLAPPTLLNWWKD >KZN10489 pep chromosome:ASM162521v1:1:36218996:36226394:-1 gene:DCAR_003145 transcript:KZN10489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGQHYNPRTVEEVFRDFKSRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPNERWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINDLPTIYEAVTTGASKKQSKEKSAVSNHGNNKPKSNPKFLMKQRITESEVKFPKGPEPKDDDEDEEGLEEEEDEHGDALCGACGENYGQDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARV >KZN08424 pep chromosome:ASM162521v1:1:6553883:6554203:1 gene:DCAR_000970 transcript:KZN08424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNSTIYGAFIGAERLVCYFFPLDLETPRTFDNRYFKNLVAGKGLLSSDQVLFSDPASRTSVRDLASSPGEFNAAFTTAMKKLGRSGVKTGDRGQVRKDCTAFNS >KZN10450 pep chromosome:ASM162521v1:1:35791178:35794203:1 gene:DCAR_003106 transcript:KZN10450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFALPLYASHTQDLQPLNDIVNSIMISCWPAAQARGEGPLGFVPLQFPMTLSIPVPPVIDSSHLQMAYTVQPPPQVAMVNSTYPGWQESTDTPAAPSPGEANWKDKRPLTGGQMAVPGPDLAPYESGVSASSVPLTHQAAQMHRAGSHPPADQSSKEVQQEASGSLPKKKRGRPPKPSKGKGTAK >KZN09385 pep chromosome:ASM162521v1:1:24466580:24471702:1 gene:DCAR_002041 transcript:KZN09385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEIPGETFESPLLASHSPSLLHQISEHGGYAYVSMYTLGAGGDHRAAEAAREMAWEQLHSGPWNSVLPVWRDAYSMACLLVAKHCCAVGEYKEALRVLDLGVIMGGLTLRKDLDDAIAITKAKESGACSISEGNEGKRVLVDAEFNLKEMLQLLPKQSLSCKLVGKRPALSLEAFLRDYFLSASPVILSDCMVHWPARVKWNDINYLKKVAGSRTVPVEVGKNYLCTEWKQELITFSEFLERIQTNDSTSSVPTYLAQHPLFDQACISSDVYKLLYRILAVPIVGKKYVRLYPASLSEELCPHSESMLCNSSQVDLDNIDEKQFPNIQNLEFMDCILEEGEMLYIPPKWWHYVRSLTTSFSVSFWWSELENSTP >KZN11835 pep chromosome:ASM162521v1:1:48540205:48544477:1 gene:DCAR_004491 transcript:KZN11835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFTCISVDSKVESPKPRQHSHAESIRPQHSHVELLRSRQHINSELKKAESPKPRHPINVELKKTESPKPRQQNTVEFKKIESPKVRQQSNVEFKDGTIKKQKQCNCKNSRCLKLYCECFAAGIYCNGCNCTNCHNNVEHESARREAVGATLERNPNAFRSKIAKSPHRSQDNRIEAGEVTTVGKHNKGCNCKKSWCLKKYCECFQANIMCSENCRCIDCKNCEGSEEARALRQGEHTNVMAFMQHGSTQAFGYIPQAIKKRKIQSLFTGEAPANTLDNRSAQKYSQETFLTSLSNSSHLPSIPLHAAAARATMLRSSDSMYRSQLAAIRGLKDAKELCSRLVVVSAEASSKLAGKKLTANENAEIDQCETSSTTSNQLHKDKVESRVQVLSGGDKVQTGSSGSDPVDIQHERAMSPGTLELMCDEQDRTFLEAQSPSVVGGCSKQPKISSSTTQGFTDLYAEQERLVLTNFLNCLNRLVTSGSMQVMHPSSSQTVREGQQEPLQNGIKDFKLQRNVYLQQQIVVPSSQITSDCNAMCFFRKPRLPAAVHSGDASAKFYPANGRTTA >KZN10192 pep chromosome:ASM162521v1:1:33430766:33438944:-1 gene:DCAR_002848 transcript:KZN10192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESTMNKTVLEVGADGVGIITIVNPPLNLVSVDVFLSLKKSIEEAVQRDDIKALVITGSQGKFSAGADVTTFGKGIKARTDFGFLSIEFATDTLEAARKPIVAAIDGPAFGGTQRLPRLVGLPKALEMIMMSKRISGKDALKLGLVDAIAPRDTLLSTARQWALDISDYRKPWVVSLYKTDRLEPLSEARIILNLAKSQARERTPNLTHPLVCIDVIEEGIVAGPRTGLWKEAEALLQLRQSDTCKALVHFFFAQRATLKIPGITDMALSPRKINKVAILGGGLMGSGIATAFLLANYHVILKEVDHNLLLAGIGRVKANLRSHAKKGKMTKEKLETVSSLLEGVLDYDSFKDVDLVIEAVLEDVSLKQQVFADLEKYCPQHCIFASNTSSIDLNKIGENTTSQNRILGAHFFSPAQFMPLLEIVRTEWTSLQVLVDLLDVSKKIKKTAVVVGNCTGFAVNRMFFPFSQAATLLVERGADIYQIDQSITSFGMPMGPFRLADLVGFRVAVASGSQYIEKFKERVHKSYLIPFMLEDKREGQSTCKGFYLYDEKRKASPDPEIVKFVEKARRASQVTVDPKLSRLSAGEIVEMLFFPVVNEACRILAEGVAIRASDLDIASVLGRGFPAYRGGIIFWANFIGSKYICSRLEDWSRVYGKFFEPCPYLVEHAMKQIPLGTETKHTPRL >KZN10243 pep chromosome:ASM162521v1:1:33805239:33805475:1 gene:DCAR_002899 transcript:KZN10243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIILFWMMSLIMFYKALNVRAENNEFENKNCYDDSDGLDYDLESETEISYMNCSDTKHPETIENFEKIKRVKNAFRR >KZN09270 pep chromosome:ASM162521v1:1:22350885:22351667:1 gene:DCAR_001926 transcript:KZN09270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPDKSSSPDLIKKKAVYQKNGNLYFRTSIVKAKAFEEKQLQKLQKQSSHG >KZN11049 pep chromosome:ASM162521v1:1:41288158:41289576:1 gene:DCAR_003705 transcript:KZN11049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPERLLFPAFLIVAAAIHGIQGDAMVSGTVFCDRCKDGRVTLFDYPLNGMKVSVLCPGDNGQAIEYGETTTNFMGSYTMRFQGSPDLANCVSQVSSTGQGCGAASGSPQTIRLVFRMFDMAMYSAYPLISQPAQPMPYCLGSSTNPPPVNPPAPSVPTPVTPTPTIRQPPATTPPISGIPPLPPMPPMPFFQASTCSSRMWAMPENRCYWKVLRPDLKVALVFGPLAARRYGTDITLLVSMSGRGDPYKTLLREATTALLNSYHSLQYPYRPLSVIIRTNYALLGSTRNVLRTALRFMQANSGYGNATCNFTPCT >KZN07939 pep chromosome:ASM162521v1:1:815013:817287:1 gene:DCAR_000608 transcript:KZN07939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETNKNPVSVYDFTVKDAMGKDVDLNIYKGKVLLIVNVASKCGMTNSNYTELNQLYEKYKDQEFPIFDKIEVNGDNTAPLYKFLKTGKWGIIGDEIQWNFAKFLVNKDGQAVDRYYPTTSPLTIERDVRNLLGH >KZN08826 pep chromosome:ASM162521v1:1:15904020:15906658:1 gene:DCAR_001482 transcript:KZN08826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNITKPEFFRVLLSDNNKLIVLSRHLAVRLWPGQLVLDKDQNKKMRAKSVSGKVILALCIASFLAGSLFTNRTWTRPSESKGGISHHVDENLSLVGADCDHKRKLAEVSSFDGEFEKTNQAIQKLDDTIKSLETELIQSRTSQTARSKPVLEKTLTASKAKKAFVFIGINTAFSSRKRRDSVRETWMPTGAALSKLEKEKGIFIRFVIGHSVTPGGVLDRAVDEEEAEYKDFLRLKHVEGYHELSSKTRLYFSSIVSIWDAEFYVKVDDDVHVNLGALVNTLAQHRAKPMVYIGCMKSGPVLYKKEEKYYEPEFWKFGEEGNKYFRHATGQIYAISRGLADYISANWPILHRYANEDVSLGAWFIGLEVEHVNDRSMCCGTPPECEWKSKAGKTCVASFDWPCSGICKSVERMKFIHNICGEGDAAVWNVAL >KZN11704 pep chromosome:ASM162521v1:1:47142996:47144488:1 gene:DCAR_004360 transcript:KZN11704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSKLKLQETGSYDINGRPVLQPTCNRVPLIERRNSLKKNSAKSVTAPPKIQSLPTKISTTPTNTNVSPKVKPSVITPPISPKIKSPRQPAIKRGNDPNGLSSSTDKVVLTPRTTTKVVTPVKKSKKIAPSVDTTTLNYSPSSIVEAPGSIAAARREQVANMQVQRKSKIAHYGRTKSARFEPAIVPLDDATTSPEEKRCSFITSNSDPIYVAYHDQEWGVPAHDDRLLFELLVLTGAQVGSDWTSVLKKRQEFRDAFAEFDAETVSKYSEKKIMSISTKYSIALSQVRGIVDNSIRILQIKKDFGSFDKYLWGFVNYKPIVTQYKSSHKMPVKTSKSEGISKDMVKRGFRLVGPTVIHSFMQAAGLTNDHLTTCPQHLQCLALASQTGAFVAPAL >KZN08043 pep chromosome:ASM162521v1:1:1669439:1672344:-1 gene:DCAR_000712 transcript:KZN08043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMRRRLACCTRDQKISLDFDQPESIVTYNGLQSCIINNQSYENDSGTSRGDGCITDSLDEDDSSCSSSTNASGSFSSHWTMMKRDEHRLDEELSDCTQKIFPKEKPAHNVEPVDVETMKEKFAKLLLGDDVTGGRKGVSTALALSNAITNLAASVFGELWKLEPLPDEKKNRWRREMEWLLSPTNFMIELVPTKQHGVDGHTMEIMTPKARADIHMNLPALQKLDSMLIETLDSMVDTEFWYAEGGSRAEGRSGGARQSIRWWLPSPQVPMAGLSDYERKKLLNQGKLVHQVFKAAKAINKNVLLEMPSGKANLGEELYRNLTAESPTVQEVLHSLNLKSEHRALEVINRLEAAAFAWKERMSEHCNNKSPVRTSWSLMKDSISEMDKTEFLLNQTESLIQEIKTKFPKLPQTFLDVTKVQYGKDVGHSILEAYSRVLANLAFSILSRIGDILQEEVLSNPNSPATTCNFPGVNLNRSDHRMPSLRVRHSLIDQMNIVDGQFREPNMVKYSDCESSGDEPKTSSVMGTPGRSRVWCIGREGCGSISPTSSP >KZN08469 pep chromosome:ASM162521v1:1:7384668:7384979:1 gene:DCAR_001015 transcript:KZN08469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEAHKVLAQLVEHNSSMREVLKVLEEENKKLKDKIKLMDIYRSNNERMINMLKEHKDEQRALSLHIIDPKTFQPPNIGKKRKLEKGEGSNVSTDEGEKNKK >KZN09863 pep chromosome:ASM162521v1:1:30102432:30102653:1 gene:DCAR_002519 transcript:KZN09863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEYLIRPVVGAEEEEEASDFEPEENGEEDEFDEDDDTGGKVEAPAKRKRSDKEDSDDSDDGGEDDVRPSKR >KZN10414 pep chromosome:ASM162521v1:1:35468282:35484716:1 gene:DCAR_003070 transcript:KZN10414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTGQQDKMMKVEKGEYGYVLEDVPHLTDYIPDLPTFPNPLRFNPAYSVVKQYFVDVDDTVPQKVVVHKNSSRGIHFRRAGPRQKVYFDSDEVHACIVTCGGLCPGLNTVIREIVCALYNMYGVTKVLGIDGGYRGFYSKNTIPLTPKIVNDIHKRGGTIIGTSRGGHDTAKIVDSIQDREINQVYIIGGDGTQRGAAVIYEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESTENGIGVVKLMGRYSGFIAMYATLASRDVDLCLIPESPFYLDGEGGLFEYVEKRLKEDGHMVIVIAEGAGQELLSKSTVHEKDASGNKLLKDVGLWISHRIKDHFANHKMAITLKYIDPTYMIRAIPSNASDNVYCTLLAQSCVHGAMAGYTGYTSGLVNGRQTYIPFNHCQICNQIVHVQRITEMQNKVVITDRMWARLLSSTNQPSFLGPKDAVEVKNEPAPSSQLLESENCNCEDKAAK >KZN11459 pep chromosome:ASM162521v1:1:44845963:44847628:1 gene:DCAR_004115 transcript:KZN11459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFIVNPATTISRLKCNYPRNRVVSENPGFLNNKDSVITSDFKIPVSSTSRFFRSLHRAGRNCFGFSSVGAKSCAASFSSFNFDIKDTGENEIKSGFDQIKVLNIGGSDTGLGSGDGSGGGQGNGGGKWTGTNDDGGGVGGSADWEKVKGCCWWLLDTWKYHYQLAHPGSSSAILFTRFVMPIVEEIVGNGAQDLEGLLMRLLMAICYPMFVTIPIAIAGFGAAIEQMLLLCAAGALGFVLLALFLFAKFYLHMM >KZN09958 pep chromosome:ASM162521v1:1:31173906:31180656:-1 gene:DCAR_002614 transcript:KZN09958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLYDPISNIDSTTYDWVCRVRVQSFWKGLNRETKEFWGINMVLIDDSNSRIHAFASAKYCDEVVKKIKEGGIYIVSNIKVKEYLGSEKFRAVRNKKHVFFTPHTKFELDETMGLKIEKFAFDLFHFDEIEKLANDERFLIDMVGKVKNIQELIKTTKNEEEKTRLKFDISNGRSTVPVTLFDTFGEDVEKEFFKRDINNIFVIICCAKVGRYEGVPHLSNYPATRVYINPEHYSVHELKKSWTESTKLPQKMHVETEEQKVDTPNKIFTIKEITSEPGKLVEGPVWCEVTVKRINDKSNWYFRKCTGCELELDCVNDKFKCSRPNGCGRIIPYPDKRFRICTLCSDSTGSISIIFSDYEITKLIGKTVTDLHAECADEAEEEKFPNILNSIVKAKYTIQLYMGEENIKNGSTVFEAKEIKQAQEKADNFDPNVAVADEIEELSMINATEGDSNLNHTPNTENSTNTKFRARKITEVVTFNAADTTIAKPPKIIKLEKLGDCQQRIKVRVIRLWRGATRAGVEFKNLNLILMDDKSKRLHAFVPTKCADEIEDKITVGKTYVIKNFAVQLYSASEKFRLLRNDRQLVFSMETNIQEVDDDGLSIGQEAFDFYDHSQLEELSKQTTYLTGEVDISHVPATRIFLNYKHHSVLHLRKLLANADFAKNALGTEIKKPVEQHSIESIFTLGKEYIEASVFSHVKIVGFDENMNWGYDACTDCGRETKMENPCPVYESCNRFVPYPDKKFRVHVFAKDHSGQMQVVLGDREVRTVIGFRARELFAQDSNWQQIPKLLFNIVEKDYSLIVKIREMNVEKDFKVYWATNICRGFVSIPKPKMHAHTMTDGETSQKYMCNAAMYILISSRLTLCVVAEGISGQMQVVLRDGEVRTITGSRTPNLADEETINTNMDEIPYQMISNLRPQTTTAWRLKVRVTRVWQAIDRQGNTVGINLIFVDELGGRIHAWIAAANMNQFQNLITEGQTYNVHNFVVRQYGSMQTYRCFQNDVFIQLYHLTDLFAAEGVDYIQRHVFHFTDLSAIMDVETERNFLIDVVGIVQQVQPIRTYRNKYNELKNSIQLTINDMHTSAEVIFYDEMAQSFDQEVHNAGQHPVIVIIASVKATLIQGEEKLTNYPPTRFFINLNHEAVQDLRDAFRLANWRLH >KZN10000 pep chromosome:ASM162521v1:1:31519652:31523808:-1 gene:DCAR_002656 transcript:KZN10000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSVPPNLSSPRDDAHHLHKAFKGFGCDTAKVIEILAHRDLTQRGLIQQEYRMMHSQELSKRLSSELSGDIKKALILWMQDPATRDATLLKKALSEEKGNLRIVTEIICSRTPSQLQHLRPLYYNMFRVYLENAIENRAHGDHKKMLLEYLTKSRYEGPEVDQMMAGNDARALYKAGEKRLGTDEKTFRRIFSERSRAHLAAISEAYERLYEKSLEKVVKSETSGHFEYALVTILNCAENPGKYFAKVLRKAMKGIGTDESTLTRVIVTRAEIDLQYIKAEYMKKHGKSLYDAVQSETSSHYKNFLLALIGPN >KZN09279 pep chromosome:ASM162521v1:1:22515276:22516871:-1 gene:DCAR_001935 transcript:KZN09279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTVQGTEALIAFESDKEENASGSRINVRVVPTDDQYFLLYFIMGTYFAPDLKHDTPHKSVLQRRVEGLVPYSLDQLAGSHIKVVEVERIYYYVLRKADPNVVMKLPWLHQFLNGTLPTPRDPAVNYLQFKDLFPPHLHPQSWLKDKYKIIGNIVFINKPETSCMEPRDIERFKRLTGLKDFHLDRDSARSHAFVDGKIQYNMGMLEVDCNGELPQTRSSGAPRKTKNPNELTMPKETNQHDVKFVDIIDQHSSPPVDGDKPTISSSECNGTPSLFGNVDSSSEENFGPGMIFLPSHPTREELSNMTAATNKGTAITGSAARGRIGPALGLIDIGECEDSYLFRVSLPGVKRDEREFICEIEDDGKVLIRGVTVTGEKTVSMFSQTFEMQSQNLCPPGNFSIFFKLPGPVDSQQFSGNFGTDGILEGIVMKEGHNSW >KZN08551 pep chromosome:ASM162521v1:1:10249860:10250375:-1 gene:DCAR_001081 transcript:KZN08551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCKSLANRQADFLTRSLFLDEKYGESITVLDGRVQDGEREASGENVILPRSYIISQRRFVDDSTTSLSNHEVLRAKQFIEMLEVFEKYQAIWKQNIKELKERAEGIDKQRIRLRGKLMDFYNHVFSDEEEEDSH >KZN08611 pep chromosome:ASM162521v1:1:12008777:12010423:-1 gene:DCAR_001141 transcript:KZN08611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDLKPLDSNATDLTLSISGIFSSSSTSPPPRRKTVDEVWGEIVAQTAKKELKVEPSDDGELMTLEDFLAKADAKVEETEVVKVERLWSDAGVYGRAVGGGIVSERSGVEEGRKGKRRVESLDKVVMQRQRRMIKNRESAARSRERKQAYQVELESMVMKLEEENELLLKEKVHLYL >KZN11517 pep chromosome:ASM162521v1:1:45364819:45371828:-1 gene:DCAR_004173 transcript:KZN11517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLPSRPSLRGNTWVVDASHCQGCSSQFTFINRKHHCRRCGGIFCGSCTQLRMVLRGQGDSPVRICEPCKKLEEAARFELRHGHKSRAGRGGPKLSSKDEDEVLNTILGNDGKDSSSLGKEFKILAGSQRSSSSASCSNIQEAATQDEGAILRSLSVGVTDMGSTTPDELRQQALDEKKKYKTLKADGKSEEALRAFKRGKELERQAGALELQLRKNRRKASSSISTNDISRTKEDLAESIKINKLSPQLSKDDDLSSELKKLGWSDLDLHDADKKPVKVSLEGELSSLLGEVSTKSNTKKVVDGLDKSEVIAIKKNALALKREGKLAEAKEELKRAKILEKQIEEQEFLIDADDSDDELSALIHSMDSDKPNLSSRNVPDVNFNFENLVGIADNLGVDNLEVTDEDMDDPDISAALESVGWTEDMDETNLNSSDSLATNSKTHGQMTVQKEPQFASVIKKPTHVAPKSKLMIQRELLALKKRALALRREGKADEADEELMKGKFLEQQLEEMDQSSKVKIPQVKSGNKNANSEILDVGDEVEDVTEQDMNDPSFASLLNNLGWKDDENEHVQTRHEGITNTHEITNDLSVAHVHSGKQIETSRKSKGEAQRELLGLKRKALALRRQGEADEAEEVLEMAKVLEAQLSEMDAPKQEVPAEGNKLHNDETYNPLNIAADKGAVGTPVKDSMSNHLRQTEPTEGLEKKDQMVQKPEGKRDEINHSQMASTNLDSSPQKDILAHKKKALALKREGKLVEAKEELRQAKLLEKSLQDNIQNASTSSSSSEVSGSNNTVTAQKEKSPVAAPKMSGRDRFKLQQESLSHKRQALKLRREGRTEEAEAEFELAKALEIQLEELNSSDSNKPSMNLAEPVDDVGIEDLLDPQLLSALKAIGIDDASNVPRVPEKPEVSKSAAGKIDNSLGERTQLEERIKAEKVKALTLKRSGKKAEAMDALRRAKMLEQKLASLAS >KZN10434 pep chromosome:ASM162521v1:1:35673037:35677536:-1 gene:DCAR_003090 transcript:KZN10434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEESERNLVVTQISVGGFDFSTTAMALLEYFENNVGVVWRCRLKTSSTPNEAYPIPDSEIDTEKSKRLNSYENLIPHAFVHFAIPDSAQSAADASGRSELILDKNPLRVRLGAGNPHRMNQMRRNKAPFKLPDVRIEIGSRVSKDEFFVAWRGPPTGADFLVDPFDGTCKFLLTRDTAFSFLGFRNHSVIKCNYKVEFLVRDIRWIKNYKDTTHLIVLLHLESSPKLYYRTADDDIKESVPFDILDDDDPWIRTTDFTACGAIGRCSEYRILIQPRYGMKLRKTMQYLKEQRVSIEDLQTRLTVQDEPDFGMRLHDPLYCIHFKEGINFKILFLVNALLHKGIFNLHTLSDQFFNLLKSQKEEVNIAALKHICSAKRPDFNACKRLKNDQDRLLKNPKLLRTRELDDIVEVRRLIITPTRAYCLPPEVELSNRVLRHYKLLADRFLRVTFMDDGMQTLNKNVVTYYPALIVREITSTLNPQKTSVFRRMKDIISEGFYLCGRKYSFLAFSANQLRDRSAWFFAGDQNTPVLEIMKSMGHFTNRNVAKCAARMGLCFSSTYATVEVPHNNVDFELADIKRNGYDFSDGIGKISHDLAKEVAERLQLNVNPPCAYQIRYGGCKGVVACWPASKSGIRLSLRQSMNKFESNHTILEICSWTRFQPGFLNRQIITLLSALNVSDNVFWNMQESMISKLNQMLVSVDVAYDVVTSSCGEEGNTAAIMLGAGFKPHSEPHLRGMLTSIRAAQFRDLREKARIYVSSGRWLMGCLDEEAILEQGQCFVQVSNPSLENCFAKHGSEFSETKKDLHVIKGFVVVAKNPCLHPGDVRILEAVDVPSLRHLSDCVVFPQKGDRPHTNEASGSDLDGDLYFVTWDKNLIPPGEKSWPAMDYSPVEVKEMQRNIKLQDVADFFAKNMVNESLGTICNAHVVHADSSDYGAMDENCILLAELAAKAVDFPKTGKIINMPTHLKPKLYPDFMEKEDFQSYKSTKILGRLYRKIKDDYEIDSTDSMELNFLPREIPYDMDLETPGSASFIDEAWGIKCSYDEQLNNLLGQYKVNKEEEVVTGHIWSMQKHSSKKQGELKERLKNAYNALKKEFRRVFEHMDTDFENHSNDEKNAAYERKASAWYQVTYHPKWVKMALDLQDPDANDKETVMLSFPWIASDYLARIKIKCGGMTDAITQPHKPIHSVARYLSGKI >KZN08496 pep chromosome:ASM162521v1:1:8778667:8778852:-1 gene:DCAR_001042 transcript:KZN08496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSQRRQRGKGKTELRTGGVESSGIEGWCMGVCCCGGDTPAGAAAADLRGGLLSSRRRSL >KZN08911 pep chromosome:ASM162521v1:1:17559846:17565878:1 gene:DCAR_001567 transcript:KZN08911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKGKHRLDKYYHLAKEHGYRSRAAWKLVQLDAKFTFLRSSRSVLDLCAAPGGWMQVAVERVPVGSLVIGVDLDPIRPIRGAIGIQEDITQSKCRASIKRLLSENGSRAFDLVLHDGSPNVGGAWAKEATSQNALVIDSVKLATEFLAPKGTFITKVFSAFSVSMVNYFVDEWRFKVCVFAESMRFMSLIQVFRSQDYTAVLYCLRQLFEKVEVDKPSASRSASAEIYVVAFKYKAPAKIDPRLLDFKHLFQGGKEPAKVIDVLRTTKAKRHRDGYEDGATILRKVCSASDFVWSDAPLDILGSVTSISFDGSECSPIKEHALTTEEVKSLCDDLRVLGKQDFKYLLKWRMNIRKALSPSKAAVAATTKVDSESKTDEDEAEDEDQKVLNEMEEMTYAMDRKKKRAKKVLSKRRAKDKARKATGMQVDVMEDGYTDHDLFSLSSIKGKRDLVAVEDTENEEEINKVVDSDDEDTGAAGQDDSQSDLDSDEERKRYDEQLEDFLDQAYERFVARKEGSTKQRKRLRQNYAKDGESLEDGDDDNVVHSDQDSDNERPAQEANPLVVPLDGDEATQEEIAAKWFSQDVFADEDEHEDMDKDDSEDELEVVRPVKKPPSPEKGTKKTTQQTQASKTKDDFEIVPAPETDSSDDSSSDESEEDVETKAEILAMAKKMLRKKTRETMLDDAYNKYMFHDDGLPKWFVDEEKKHSQPIKPVTKEEVAAMRAQFKEIDARPAKKVAQAKARKKRVAMRTLEKVRKKANSISDLPDINERSKTKMIDQLYKKAAPKRPKRELVVAKKGVQVKAGKGKLLVDRRMKKDARAVKAASKKGKGKGKNSKDNKGKKPGKEFSAKGGKAGNKRR >KZN09410 pep chromosome:ASM162521v1:1:24693664:24695562:-1 gene:DCAR_002066 transcript:KZN09410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFWQTLIHYAVVGCLLSSFAVEGRPAYSYVSPPPPASPPPYTYTSPPPPSTPSPPPPVHSPPPPSPPPPSPPHSPPPPSPPPPSPPPSPPPPSPPPPSPPPSPPPPSPPPPSPPPSPPPPSPPPPSPPPSPPPPSPSPPPPHLFLSPPPPVKEIPPAPLVASTPPPPKKAPYVYVSPPPPPKKYVYVSPPPPTESGPAPFVYKSPPSSSPSPSPSTPSHEAPPHYINTCPPPPPSSPSTPKESPPSPFVYKSPPSSSPSPSPKPKESPPSPFVYKSPPSPSPAPKESPPSPYVYKSPPSTSPSPSPPINACLTPPPPPSPPINACLTPPPTSSSPETPPSPFTYKPPPSSSPSTPPTESPPSPYVYKSPPSPSKEQPSPSPSPSPYVYKSPPKSSPSPTPSPPSYSGPPHYINTCPPPPPGSVPPIESPPSPFTFKPPPHHKHPNFPPHFKPPPLPTFPPFPTYKTPPPSSSPSPIVKSPPSPSPKESPKESPPPPSPKESPPPPSPSPEKSPPPPSPKESPPPPSPSPSPIVHKTPPPPKAYTYKSPPPPIAMPPLVHIAKPPPPPSPPPPSPPPPSPPPPSPPPPSPPPPSPSPPPPSVSPPKAYTYVSPPPPSSPPPYSYTSPPPPA >KZN09528 pep chromosome:ASM162521v1:1:26690283:26725329:1 gene:DCAR_002184 transcript:KZN09528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQSSRLHRLLTLLDTGSTQATRFTAARQIGDIAKSYPQDLNSLLFKVSQYLHSKNWDTRVAAAHAIGAIAESVKHASLTDLCKCVEKKMLEEEIPGSAEEVVAWPSYDSNFVTGASLRSYDLNKVLEFGALLASGGQEYDIASENTKNPKERLARQKQNLKRRLGLDVCEQFMDVNDMIRDEDLIAQKSHSPANDIASQYYKSRSMHNVRQLVTNMVPSFRSRRPSARELNLLKRKAKINMKDQTKVWGNEADIEEQHIQEVASPKGFRPDFSTHNKVLSDSITDEDGIEDDGDEVWPFHSFVEQLILDMFDPVWEVRHGSVMALREILTHQGASVGISMPDLGGDCALPSDNKDKSTRITLKREKEIDLNMQVAADEYVSTPKRLKLEDSSSQILDVMIPSSINGCSDGSIKVEASSMILGSLQANGELTASCVKVEYEPCVGSGGCSSEALDGFKGKSFSESKVSIGKTDLLNVIPENCELTKFVKLARHSWLKHSEFLQDCAVRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVFKYMHPKLVFETLNILLQMQCRPEWEIRHGSLLGIKYLVAVRQEMLHDLLDHVLPACKAGLEDPDDDVRAVAADALIPTASAIISLKGQTLYSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQDQMMSGALDTKKDPGFDLNEAFCADETGVGMNSKENPFMLSVLAPRLWPFMRHSITSVRYSAIRTLERLLEAGYRKSVSMSTSSFWPSYILGDTLRIVFQNMLLESSDEILECSERVWRLLLQCPVEDLDAASKMYISSWIELAATPYGSPLDAKKMFWPVAIPRKSHFKAAAKMRAVYHEFDASKNITIGSAEGPIPQEKSGDTSISSVKIIVGADMEISVTHTRVVTATALGILASKLYEISAEYIVDPLWKTVTSISGVQRQVAAMVVISWFKDINSRSLSDVKGAKSDLFINLKNWLLNLLACTDPAFPTKDSLSPYAELSRTYAKMRNEANQLFVSVESSGMHKDFMSSLKFNLQSLTPDDAINFASSVPPVTVNDDAAGEDSVGRPTADDWESLKQRLLTTSGYLKCVQGNLHVTVCALVAAAVVHMSDLPAKLNPVILPLMASVKREQEEVLQDKAAEALAELIYHCTARKPSPNDKLIKNICNLTCTDPRETPQVGVLSSSDILENQDFIVTSSSKPKSKTQMVASSEDRLKAEGFISRRGSELALKHLCSKFGSTLFDKLPKLWDCLTEILKPVSIEGLTAPDEHIAQSIDCVNDPQMLINNIQMVCSISPFLDESIRPKLLMLLPGIFRCVRHSHVSVRLAASRCITSMAKSMTGNVMGIIIENVITMLGDMTSVHARQGAGMLVTLLVPGLGVELVPYASLLVVPLLRCMSDCDHTVRQSVTHSFAALVPLLPLARGLDPPKGLSEGLSKNTEDANFLEQLVDNSHIEDYNLATELKVTLRRYQQEGINWLAFLKRFNLHGILCDDMGLGKTLQASAIVASDIAEHRNSDDNGHPSSSLIICPSTLVGHWVFEIEKFIDASVITTLQYVGSAQERVSLSSQFDKYNVIVTSYDVVRKDIDTLKQVLWNYCILDEGHIIKNPKSKITCAVKQLKAQHRLILSGTPIQNNVLDLWSLFDFLMPGFLGTDRQFQATYGKPLLASRDSKCSAKDAEAGALAMEALHKQVMPFLLRRTKAEVLSDLPEKIIQDRYCDLSNVQLKLYEQFSGSQVREDITSMVKVNEADHGEEIASSKASSHVFQALQFLLKLCSHPLLVVGEKTPDSLIKLLPDHFPAGADIASELHKLHHSPKLVALQQILEECGIGLEASSSEGSLNVGQHRVLIFAQHKAFLDIIEKDLFHAHMKTVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDHQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQKFKVSVANAIINADNASLKTMNTDQLLDLFTSAESKKGATMSKTSDMNVDSESKLPGTGKGLKAILGGLEELWDQSQYTEDIEGIPFETVSRIGGLHDMLGLREYALAAAPRDSDVCIPESNSSNKFGASFETPSGRHLIKPIEYMKMISSTKPDLWTTLADEVPAWVSQKRNRTSVDRTVKWLDECIGQNVTGGAVFGSIVGGSSIEERQRCAQEIARRNVSGSEPSSDSSFHPNLVLCLCDDSMYRCWKFFFFAVEYWILDNLFRYWIGGFGLGESMEQRPALLHAVVTNLPEEKPRQIFGLSLPEEVLQGISAGVDLFDSTYIYDLTIGGLALTFPVNGTEPHPSDYQLNDNVSDFTKINLKATIYRKDESPILDSCNCYTCQNHTKAYINHLLNVHEMLAQTLLEMYGI >KZN09629 pep chromosome:ASM162521v1:1:27811139:27811348:1 gene:DCAR_002285 transcript:KZN09629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESSASKNTKVAVYLNFLQHNIYVIFQPRVKIYKKKILLYFQLRINSQMPRIMRHSYVVFLFQYVWN >KZN12130 pep chromosome:ASM162521v1:1:51274242:51280364:-1 gene:DCAR_004786 transcript:KZN12130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSSPTSNPSSLTNINTSSNPVRLRYNLSASNLIHTPLLTLLDYFGLLHNPPISPRDSNQDMQALLRNPPPHFHHLDASPSAATSSEEVSIRIIASSEEDDEEEEPSSAVVADTGDHEDVENHSSPSTPLFTSPNAPPRGEEGAGSAAAAGGDANNNANGDSTNQRYGIQQIARWFEQILPFSLLLLVVFIRQHLQGFFGTIWVSAVLFKSNDILRKQTALKGERKISVLVCITLLFMLHLISVYWWYQSADLVYPLILLPPKAVPPFWHAIFVIVVNDTMVRQAAMVFKCILLMYYKNSGGHNYRRQGQMLTLVEYMVLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSIVQKVQLFFAALRALSRKEVHYGSYATAEQVNAAGDLCAICQEKMHAPIFLSCKHIFCEDCVAEWFERERTCPLCRALVRPADIKSYGDGSTSLLFQLF >KZN09005 pep chromosome:ASM162521v1:1:18949803:18954799:-1 gene:DCAR_001661 transcript:KZN09005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQISFLESTTSLIDSQSRNHSSDQSGLPTENAAKDCKQIHLGQQNDAATKIYGLAELVIDQKTVANSPSQVVETGKRGRGRPKKVLIGPEQLLPGQKTAIKSSSPLGDTGKRSRGRPRKVQNSPTSLGGSVKVLPEKRKDAQELSVNSSRSLRSRSQEKSCEPDLSNIVAEGADREKTRKKRKKRMDESRVDEFSRIRTHLRYLLHRIKYEKNFIDAYSGEGWKGQSLDKIKPEKELKRAKAEIFGRKLKIRDLFQRLDLSRSEGRLPEILFDSQGEIDSEDIFCAKCGSKDVTLSNDIILCDGAFPCDDEGWLCPGCECKIDCIKLLNDSQETNILVGDSWEKVFAEEAAAAASGKNLNDDSGMPSDDSEDDDYDPGDPDLDEKVHGDESSSDESDYHLSSDDMQALPQKEPCLGLPPDDSEDDDYDPSALITEQVLKESSCSDFTSDSEDLTVVLDDCKHYSIVEGPLTSTPDRPRNEEGCGHSGQGDAVPLYPKRQLESLDYKKLHDEEYGNRSSDSSDEDFMVTSSPDKKTYKSDKEARVLLNFGSLTTDHGKVHGDLELDQKVSESTHKRRYVKRSSVEGTNASLSRSCESSAAPVTSGKSTSKTLYGEHATERLLQSFKENQYPQRAVKESLAAELALTVRQCKEFYVKQVGKWFDNTRWSFRNSSRVASVVAESPSNEGTPHQKSINLSGSSLKSIPDNAACDETKEEQDKGSLGVTEGCDRDVTLNMVTDEGNGHISGITETSNGNTKVGTATEHTIILETPKPNMKDDLPNTGIAEY >KZN08136 pep chromosome:ASM162521v1:1:3020713:3024913:-1 gene:DCAR_000805 transcript:KZN08136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFVDGSSARRDVSYLDGLPVYVKELIAGGAAGAFAKTAVAPLERIKILFQTRTEGFQSLGVHQSLKKVLKHEGVLGFYKGNGASVVRIVPYAALHFMTYEQYRCYLLDNCPVLGTGPVVDLLAGSAAGGTAVLCSYPLDLARTKLAYQIVDTRSSKNSTRSLNTQVPYTGIKNVLGTVYKEGGVRGLYRGVGPTLIGILPYAGLKFYIYEELKRHVPEEHQKSIGMRLSCGALAGLFGQTFTYPLDVVRRQMQVEHLQSLTHSGARYRSSWEGLTTIARDQGWRQLFAGLSINYIKIVPSVAIGFTAYDIMKSWLKIPQRQKSGSVSAA >KZN09142 pep chromosome:ASM162521v1:1:20839943:20840191:1 gene:DCAR_001798 transcript:KZN09142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSLKHCDMRSGSTLPASTAPDVIVKRKAKGCNQLGSSQSQSHGLVTQAKTKTGNSPVIRNKAAKSKIPVKPFSAPRKKI >KZN08853 pep chromosome:ASM162521v1:1:16629011:16648574:-1 gene:DCAR_001509 transcript:KZN08853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVEVKMEMAGKIEKESSRERNNKCSPSSSKIRAMPLNSSVEDNGAVRNSKLNKSTFLASLMPKKEIAADRFVESHPEFDGRGVLIAIFDSGVDPAAAGLQVTSDGKPKILDVLDCTGSGDIDTSTVVKADANCCIRGGSGASLVVNSSWKNPTGEWHVGYKLVYELFTDTLTSRLKKEIKKKWDEKNQEAIADAVKNLDEHDKKHSSTDDANLKKVREDLQDRVDFLRKQADSYDDNGPVVDVVVWHDGELWRVAVDTQTLEDDPECGKLANFIPLTNYKTERKYGIFSKLDACSFVANVYNEGNIVSIVTDSSPHGTHVAGIASAFHPKEPLLNGVAPGAQIISCKIGDSRLGSMETGTGLTRALIAVVEHKCDLINMSYGEATLLPDYGRFVDLVDEVVNKYRVIFVSSAGNNGPALTTVGAPGGTTSSIIGIGAYVSPAMAAGAHAVVEPPSEGLEYTWSSRGPTADGDLGVSVSAPGGAVAPVPTWTLQKRMLMNGTSMSSPSACGGIALLVSAMKATGILVSPYTVRRALENTAIPVGGLPEDKLTAGQGLMQVDKAHEYLQKSHDIPCVWYKIKINQVGKTSPTSRGIYLRDSSYCHQSTEWTVQVDPTFHDDASNMEQLVPFEECIELHSTGEAVVKAPDFLLLTHNGRTFNIVVDPTKLSDGLHYYEIYGIDTNAPWRGPLFRIPVTITKPTSVRSRPPLISFTGMSFVPGNIVRKYIEVPQGASWVETTMRTSGFDTARRFFIDTVQISPLQRPMKWETVVTFSSPSAKSFAFPVESGRTMELAISQFWSSGLGSNEITVADFEIAFHGININKDEVVLDGSEAPVRIDAQALLSSETLVPAAVLNKIRVPYRPVDAKLHALTADRDRLPSGKQTLALTLTYKFKLEAGANLQPQIPLLNNRIYDNKFESQFYMISDTNKRVHGMGDVYPKSTKLPKGDYTLQLYLRHDNVQYLEKMRQLVLFVERSLDEKDAIPLSFYIQPDGPLTSNGSFKSSVLVPGGKEAFYVGPPTKEKLPKNTPEGSVLLGAISYGKLSFGVQNEEKNPTKNPVSYLVSYNVPPNKPEDVKERRSASERKSVCERLEEEVRDTKIKILASLKPSTDEEHSEWKKLSSSLKSEYPRYTPLLAKILEGVLSKEIVEDDVHHYKEVISAAKEVIDSIDTDELARYFSLKSDPDDEGAEETKKRMETTRDQLAEALYQKGLALLEIEHMKEGEKTLELAATEGAKPDAQQDSFEENFKELIKWVEVKNSKYGTLLVLRERRCRRLGTALKVVNEIIQDAGEPPKKKLYELRISLLGEIGWNHLVAYEKLWMFVRFPANLPLF >KZN09207 pep chromosome:ASM162521v1:1:21662309:21667990:-1 gene:DCAR_001863 transcript:KZN09207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIPNTHVPISVQSSTSAFLGQPNKLSTAFRARNVWIPAKKSGICKCVATPSVEKTAYTTKVARNTNIGKLQAGYLFPEIARRKAAHLLKYPDAQVISLGIGDTTEPIPEVITSAMAKKAYALSTLEGYSGYGAEQGEKPLRAAICSAYYADLGIEQGDVFVSDGAKCDISRLQVVFGSNVTIAVQDPSYPAYVDSSVIMGQTGQYQKDVEKFSNIEYMRCTPENGFFPDLSTVSRTDIIFFCSPNNPTGAAASREQLTQLVQFAKKNGSIIVYDSAYAMYMSDDYPKSIFEIPGAKEVAIETASFSKYAGFTGDFNRIVCTCFNGASNISQAGGLACLSLEGLEAMQEVIGFYKENTNIIMETFDSLGFKVYGGKNAPYVWVHFPGQSSWDVFSEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRDNVVEACRRFKQLYK >KZN08471 pep chromosome:ASM162521v1:1:7403514:7403786:1 gene:DCAR_001017 transcript:KZN08471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSPSKTIRIIADMSVLEERIKCLVEEIANLQENLRLMENQLAHHQIVIDLMKKQIEERSAREDFIPVEVESRKASKLIEAERKEDKN >KZN10049 pep chromosome:ASM162521v1:1:32095953:32097498:-1 gene:DCAR_002705 transcript:KZN10049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIPKFVKMLSRDACRSNILDVPRVFTKKYGHRIPTTIKIVLRTGYTLWLDYDKKKSQFFGIKELFSDFSVTGGQLLVFTYSDGFMFDLCIIGVDGGEIQYPPIVHKLQDCSPQNVSIREIGWSFIRGITTGPKVVDEVELPTSFVEHLGRYIPADLEISVSCGVKILGGYNRKEKKINGLSSLCNMVGYRHLNSFNVLLLTYYGREKFTVSAFDSAMVEIFVKVIPAVHVERFAVLEPSFEIVVQPFHLLRYSYGVDIPSKFSKLSDRWDRLDYITVYEGKRCWKLQVRARRHGNRMCIHDGWIKFRSDMRLAVGDVMKFIWQSESIRNFDVLVLKKVQNAD >KZN10835 pep chromosome:ASM162521v1:1:39413455:39418587:1 gene:DCAR_003491 transcript:KZN10835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVSDHWPNEFTIPMVVSACAELGVLFIGKKIHGLVSKINLFEGNAAVGSSLVYMYSKCGCMDDAGLVFDEMSVKDLVSWTALVIGYVQNDECEKGLKLLCKMHKVGGYEERPNFRTLEGGFLACGNMGAMLEGKCLHGLAVKSGIGCYASVLSSIFSMYSKFESCEEAYLSFCEVRSKDLMSWTSIIGVYARMGSFKDCLSLFFEMQKAGIDPDGMIISCMLSCFGNSTCPLAGKAFHAFIIRADYENNQMIHNALVSMYCKFGLVTLVEKFVDGIHERDDEVWNVMVQGYFKVGRPTKCIELFREMQVVGIPSSLNTLVAVISSCTILQAIHQGRYLHCYAIKNIMHENISVANSLIDMYGKVGQLTKAWNVFSRTYKDTVTWNTLISSYAHSGHYDDSISLYDRMVSEGVKPNPATLIIVLSACSHIAYLEKGERIPSANVYDLPVVWEFLVLVGHGNNNSWRLFHVGMKGVNPPKKISSKRLQRSGDLMSNFWRY >KZN10415 pep chromosome:ASM162521v1:1:35485867:35489276:1 gene:DCAR_003071 transcript:KZN10415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWRWDGFVGDRDGENGHGGMSDVELPKLEDASTEAASEEKKRWTLNDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLVGAQGELKIADFGWSVHTFNRRQTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPAKPIVSSSAKDLISQMLVKETSSRLPLHKLLEHPWIIQNADPSGVYRG >KZN09680 pep chromosome:ASM162521v1:1:28391684:28393426:1 gene:DCAR_002336 transcript:KZN09680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAWILKMGNQFSANLKHALLIEAPKKGNKKQEVKETIGILSFEVANVMSKTVILHKYLVDNEIVKLKGEIFSCDGIKALISDDEAFLLELALAEKLDELNRVAAVVSRLGKKCSLPALQGFEHVYGDIVGGVIEVRELGFLVKDMEGMIKKMEKYVNSTANLYGEMEVLSELEQATKKFQQTQHEESRKAFEQKLAWQKQDVAHLKDVSLWNQTYDKVVELLARTVCTLYVRICHVFGKPVMKRELVSGTISGPQFRSNGSLGNIKEEYGLKSGQIDVKFGNSNSMRRGLTKNYSYTHSGSIEKGSSDKIDQRGGMALVRAENHFACGMGPGRLLMECLSLSSSASKVDDDDDSVGHDDRSSQVSGCCSVASGVKRGNLNQSDCFNRSLQGSATNSPKSGPKSWLTTYAPVSTVGGSALALHYANIIIIIEKLLRYPHLVGEEARDDLYHMLPTSLKTLLKISLKSYIKDLAIFDAPLAHGWKERLDQILKWLAPMAHNMMRWQSERNFEQQQIVARTNVLLLQTLYFADREKTEAAICELLVGLNYICRYEHQQNALLDCASSFDFDDGMDWRLQQ >KZN09522 pep chromosome:ASM162521v1:1:26619348:26620526:-1 gene:DCAR_002178 transcript:KZN09522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPASHRRHHPLHPTRQNPSLPAXQFRSLDANGRLEILANRLGLWFEYAPLIPSLTQEGIAAPAIEEVTGLTGVEQNQLIVGCQVRDSLVQSKLEDEVLGFFDLGGAQLLYEIRLLSVAQRAEAARLIAREKFDVKGAQELARAIKDYPRRKKEKGWECFEYSSPRDMLAFMYYRLALEHQGVEAREAGLEKALEMAETEKARERVLRDLRGMSGDGGGEESAVKAVKVPVVRMKVGEVSEATSVAVLPVCRAEEREKEVVDAPWECGTAGEFGVVVAEKAWSRWVVLPGWEPVVGLKKGGVVVGFSDARALPWKVNKWYKEESILVVADRKVKEVTMDDGFYLVCRDDGLKVERGSALKESGVVESLGAVVLVVRPPREDTENQLEEDWE >KZN10272 pep chromosome:ASM162521v1:1:34073682:34074875:1 gene:DCAR_002928 transcript:KZN10272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMFMICTLLFSALAILAPLEILAAHWQPLAEGYYWKSCPSAEQIVWKAVSAAVRKDPTIPAGLIRLYFHDCFVRGCDASVLLKTTSSKNPTELEDFANRGLRGLDLIDSIKAKVEAVCPGIVSCADIIAFAARDSTYKAGGIYYSIPSGRRDGTVSIESEVSALPPPFLDVPPMLQFFTNKSMSVKEMTALSGAHSIGFAQCRLVSPRLYNFNKTHPQDPTLDPKFASFLKKKCPQNATNSVNLDSVTPNHLDNQYYKNLKRNMGVLTSDQVLANHPMTAKIVKKYIAYPEIWIQDFADAMVHLGSLDVLTGKKGQIRKKCGFVNH >KZN10043 pep chromosome:ASM162521v1:1:32025495:32027949:-1 gene:DCAR_002699 transcript:KZN10043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSGNSPDAWTFTSVLKACGECIEICHGKQIHGLVIKHGLFTNVFVLNALMAMYTKCRDQNAAMLLFDRMVETKDVVSWNSIISGFNANGQYMKALSSFRDMQVTEIFPTTYTFVITLQACEELVFLNFGKQIHASVLKSSHYADIYVANALIVMYSKCGKMAEAAIIFFDMEVKDNISWNSMLSGLVQNGLYDETREMFREMQKARYEPDKCSVLSMLATSGRLRNIFIGMELHAYTIKNGIDGDLQVGNTLIDMYAKCCKIDNMNNVFNRILFKDGISWTTIIAGYIQNNCHLKAIQFFREALLKRVNVDSMMIGSILQACSRLHCILLVKELHGYTMRRELSDIVQENTFLDLYGECGNVNYAFHLFEQMKIKDVVSWTSMISCYVNNRRANEGLALFLSMIAAGIKSDSVALVSALSAAADLSSLRKGKEINGFLIRKGFIKEGHVAGSLLDMYACCGAVQNSWKVFSSVDDKDLVLWTTMINAYGMHGYGETAIRLFQMMVGEKILPDHISFLALLYACSHSSLVDEGRKYFKSMICEYKLEPWPEHYVCLVDMLGRANQLDEAFQFVESMEVEPTAAVYCALLNACRIHHNKEGSDLAAKKLLELDPWDPGNYVLISNVKSILLKKNLVQSCGEDASGSCRNF >KZN11152 pep chromosome:ASM162521v1:1:42164314:42165631:-1 gene:DCAR_003808 transcript:KZN11152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYVSKSTHELNAIGELNSTSNKCGLSGKHLIRASKCVHFDSSDHRPDSVLELFRNFGFPQPNITRIVSVVPRVLQSLKPEELLKPKLDFLLSMEMSHAMVVRIVTRDPRILVRSLNKHLIPTFDQLKDIIGCGPNAVALLKCGPFIFTNTAEVLPNIRFLLRQGIPISQIFKFVSQYSRILCYPHDRFCQVFLMVEGMNFDYSSSYFIHALHALSFHNDSTWESRCLLLRSFGFSNDEILKVFKKSPLIMCYKENHINKKVEFFLKKLQWTPSRLSCNPKVLCYSLEKRTIPRCSVLQVLVSISSTSKSYNISTILAIAERKFVRDFVSVYKDELPEVMEAYKGKMKFDEYTFKQKGLLKL >KZN11713 pep chromosome:ASM162521v1:1:47227975:47231204:1 gene:DCAR_004369 transcript:KZN11713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLGENDMKQRLQELQKQLGKKQMFEEAVSSISSLLILYYPSASPSIRNLFYTVICRVATILKARYTAQGYWTSGLQLFLEAEKLVVEPSQKDHLRNCIAQAKEHLSELDNQSEGVERVQNPTGGYLFEGHLTVDPEPPQPAWLVQSNLLTAVANLVPAATSEDQTGNSNTSEETARLLQGLVDRLDDIVPMFMDEGSAAPRVPPASKEVVAKLPIITVTEETLTKLSSDAECCICKENLVVNDKMQELPCKHTFHPPCLKPWLDEHNSCPICRHELQTDDHAYESWKEREKEAEEERRGAANAVREGEYMYV >KZN09295 pep chromosome:ASM162521v1:1:22685120:22687893:-1 gene:DCAR_001951 transcript:KZN09295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLKHKILKSLLLRRTKQGRSADLALPPKTIFLRRDSLDIKEDDYLKSLYNDSRAQYNTYVNEGTVMNNYANIYNLLTRARQALNHPYPVVYSKNAMSEKSSAANDDGEVKCGLCHESVEDPLVNDCGHTFCRSCFIDFSASAGQVSCPTCSITGFKSSSILNRIRLDDFQTSTKLEALREEIRFMIERDGSAKGIVFSQYPSFLDLIHYSLQKSGVQCVQLDGSTNMKARDTAIKRFNEDPDCRLFLMTLKAGGSALNLTAASHVFLMDVWWNPAVEQQAQDRVHRIGQFKPVRQVPWLYSYFQTCSLLVLLCKLQPFF >KZN10230 pep chromosome:ASM162521v1:1:33733961:33736555:1 gene:DCAR_002886 transcript:KZN10230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNSGLVVFYVQLCIVFSIIHAQPGPGQKIFLSCGDQKGGNDADGRKWEPDAKYLVNSDKTVETKAETKDSSLPSDIPYMTARIFNAETSYKFSVNGSSRIFLRLHFYPSSYPNVNISNSYFSVNAGGVTLLNNFSAATTAMALSQAYIIKEYALAPSNKNDLSLTFKPSDKYSGTFAFLNGIEVIETPEMFDDNIEMVGVSGEDSGVMVSATTASMETMYRLNVGGQFVPPTNDSAGLMRSWYDDSAYIFGASSGVTNKANTTIKDSGLTGVLAPLDVYNTARSMGPDPNINKNYNLTWVFEVDANFTYLVRLHFCDYLYQKVNQRVFTIYINNQTAADTLDIIALAGGMDQPVKKDYVVNVNGKQDNKEIWVALHPNVNVKPEFYDAALNGLEIFKLGDAKSNLAGPNPEISDLMLKQMELNNKKFAPEKSYIGAIVGGAAGGAAAFGIAAAIIFIVNKRNKKQHGDSVSWLPLYGHSHTSATTVSGKSNGSTNISSDAAANCRFFSLAEILQATKNFDESHVIGVGGFGKVYRGVIDGDTKVAIKRSNPSSEQGVNEFQTEIEMLSKLRHRHLVSLIGFCEEGNEMALVYDYMGKGTLREHLYNKNKVTLTWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDDKWVAKVSDFGLSKTGPNMNQSHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPKEQVSLADYAMHCQRKGTLDEIIDPQLKGKVNPECIKKFAELAEKCLADHGTDRPSMGDVLWNLESVLQLESDPTSVNHSPAPSGSIEYDNAEQINQAKLMAMHRNTLSLGSDHDENEQSEDKPDEIFSQIVNQKGR >KZN11727 pep chromosome:ASM162521v1:1:47513534:47513893:-1 gene:DCAR_004383 transcript:KZN11727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSMAMPLTTASHKTLQAPASGPFLNPLKLSKKTVSVTSNSKAKLQVQASLKEQAITGLTAAALTASMVMPEAASAASTLTPSLNNFLLSIGAGGVVLLAILGAIIGVSNFDPVKRA >KZN11901 pep chromosome:ASM162521v1:1:49264196:49265981:-1 gene:DCAR_004557 transcript:KZN11901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPTTVSSSNPLDHFFCLLVASSVLVSFFNNGVAAADRKLLASESHEFHTIKLSSILPDSVCDSSSQGPTDLIFDPSKSSTYSNISCRTPQCNKLKSATGITPDCIAGGTTCIYRTLYGDNSSSVGFFAKDTLTLTPTDVVPNFFFGCGQNNQGRFGQTAGVLGLGRDPLSILQQTSKQYGQVFSYCLPSKASKTGYLTFGKSSVSNSVQYTPFSTSPDKTFYSIDILAMYVGGQKLQISPTVFSSTPLSIIDSGTIITRLPLPAYTALRDAFRKQMTSYPLARPVSIFDTCYDLSIYGTMKIPTISIVFGGNTKIDLDDSGVVYVPNSNRVCLAFAPNSDPSDALIFGNVQQKTMQVVYDVAGGKLGFAAKGCA >KZN08127 pep chromosome:ASM162521v1:1:2955312:2956404:-1 gene:DCAR_000796 transcript:KZN08127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGAVEMEGGILVVSAPDGPMPQTKEHILLAPNDEKVAESYRQTVWKPKFDVEKEKQKAEEETSCVSDSLKGFQNHKFKILDLIEKAERQPNITIGVLVSIVVATPKVSVKPAKEEVTEASNNGEDSSEEKERNEDTTAAPRRRTRLED >KZN10381 pep chromosome:ASM162521v1:1:35115724:35116371:1 gene:DCAR_003037 transcript:KZN10381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEESKAHHNQINDVHHSRKPKSKTRPICICLALTGLILLLIIIIIVILAFTVFKPKRPTTTINSLTLQAFNFKLNPLPLEIHLNVTLDVNILVKNPNKVAFRYTNTSASLKFKDQVIGNVPIPPGKIKRDGSTPLNLTLTVMADRLLADPDLYSVLLGSGSLPLSTSTRIKGRVRILKLFNVHVVSDSACDLKISVQSRSIEQQTCQYKTKV >KZN08799 pep chromosome:ASM162521v1:1:15647170:15649398:1 gene:DCAR_001455 transcript:KZN08799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRTQHTKFAVDLFYMGEVKKLAEDNRFLIDMVGRIQNVRTNIKSIKNDVEKVITKFDLTDGRMLETIEETVSSPPQEIIELQTLTIKEIKAMTPDSTECRVKCQLKVTKVEEQSSWFYAVCTKCPKEISRVDGVFKCEDCNRIIPYPDKSDNTGSIAVIFLDQEVTRIIEKTLFDIEVDAIQENTEGKFPAVLKTFEKKVYTITLNITENNLKKGSMVYEADEIFDKIESSANFDPSTNTDTQMVEAATVDLKDDDLSTPTTGISSTKTRPRVDIEPVAFDPKEDTPAKLNKKDKKKKVFMPNIFSAVYFSSNFCHPIPYFCHPISGVTALYWTSTTTFANEEEFETSVSDTIQSFQDFCYNFSESMTLSV >KZN08623 pep chromosome:ASM162521v1:1:12382082:12383570:-1 gene:DCAR_001153 transcript:KZN08623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSKILIFGGTGYLGTYLVKASASAGHPTFVYVRPVKPQHDSNKLNLLEEFQSMGVTIFQGEVNEHDKLVEVLRRVDIVIVTFGVPSYLEQLKIIRAMEEAGNIKRFIPSEFGNEVDRISPLPPFQECCDKKKAVRRAAEESGIPYTFVSANTFGAYFVNFLLRPYDEKSQKVTIYGTGEAKCRSLLCFSEFVCNYEKDIAEYTVRVATDPRTENGLVIYRLPKNIITQLDLISRWEKKTERTMEKTYIPEEEIIKLSQSFPSENAVGNSIVHSIFVKGEQMNYELKEDDLDAVELYPDYKYTSVDELLDIFMVDPPKPGVAALE >KZN11638 pep chromosome:ASM162521v1:1:46562565:46566482:-1 gene:DCAR_004294 transcript:KZN11638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALEVSFSRFSPYPSSCSSKSTHPLSPKASSSINFQLSPYTPTLSLKTPLLSSFKISRKSRFEVFTTVQEEVQVEEKAENVEISQKRKLYVLNLPWSLTVTDIKKLFGECGIVTDVEIIKQKSGKSRGFAFVTMDSAEEAQAVIDKFNSYELSGRVLTVEYAKRLKRPSPPPPDSPPPGKTRYKLYVSNLAWKVRANDLREFFAANFKPLSARVVFNNPSGNSAGYGFVSFATREEAESVISELNGKELLGRPIILKVGEKSIDRSVSKEEHTPDGQPEES >KZN10580 pep chromosome:ASM162521v1:1:37194808:37195362:-1 gene:DCAR_003236 transcript:KZN10580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCHIFLIYLVLISTTFLVVASSANFSEQLAKTEAMHSANKMTHLHFYFHDIVSGKNPSVNIIFRGKNNLGTTVMIDDPLTQGTERGSKIVGRAQGMYALPTKTDAALLMVMNFCFLEGEFNGSTISILGRNPVFEKVREMPVVGGSGVFRFSRGYALAKTVRFNLRTGDAVVEYDVYVMHF >KZN07844 pep chromosome:ASM162521v1:1:105853:106485:1 gene:DCAR_000513 transcript:KZN07844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQQSPACSWNLSPSSNKLNLESRSFVSLNTHLQNPGISMNKSSGWRKSSSVAAMNSSVYAPPAEEETGSFYELLGISESGTLSEIKKAYKQLARKYHPDVSPAERAEEYTQRFILVQEAYETLSDPQTRALYDRDLSRGFHFAFSARNRGGAAAEEEMGEWKNRWESQLTELKRKSMYNHYNYSNSNAHDDARQSWGARMRSQNRESQ >KZN10526 pep chromosome:ASM162521v1:1:36634410:36635286:1 gene:DCAR_003182 transcript:KZN10526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTARMLFISDLEGLIHSINISRSIGDVYLKKAEFNREPLYAKFRLREPIKRPILSSDPSISVHQLQPHDQFIIFASDGLWEHLSNQEAVDIVQKHPHNGSAKRLVKTAMHEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLVSKASTAKGPNLSVKGSGISLPSRILAPSA >KZN08158 pep chromosome:ASM162521v1:1:3314796:3325807:1 gene:DCAR_001223 transcript:KZN08158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSYEGMEIEDDPERTDTEEMEFVSGFDEAFGLNNFLGGKLMRRRQIAAESSSSGQGGTEVNLNLALGGAPSSSSSASAVPEREIPDHDSHNKRPKVHSFSLICSDWDNQFASASLENDSYDFLGKGYNINQGSFKNEFHYLSPILNDRKSENITDSSNGRDIKEGEVRMDLTDDLLHMVFSFLDHINLCRAAKVCRQWRTASTHEDFWKRLDFENRNISLLQFEDMCRRYPKATQLNLNGTPAIYLLAMKAMSSLRNLEVLIVGKGQLGENFFQDLTGCSKLRSLIVNDATLGNGIQEIPIYHDQLRHLQIVKCRVLRISVRCSQLQTLSLKRSSMAHAALNCPLLLDLDIASCHKLSDAAIRSAAMSCPLLESLDMSNCSSVSDETLREIAHTCVSLHVLNASYCQNLSLESVRLPMLTVLKLHSCEGITSASMTAIAYSYMLEVLELDNCSLLTSVSLDLPRLQNIRLVHCRKLIELNLRSVVLSSIKVSNCPSLQRISITSNSLQKLVLQKQESLTTLALQCQSLHEVDLTDCESLTNSICEVFSDGGGCPMLRSLTLDNCESLTVVSFRSTTLVNLSLAGCRAIISLELNCPFLEHVSLDGCDHLERANFCPVGLQSLNLGICPKLNSLHIEAERMVLLELKGCGVLSEASINCPLLTSLDASFCSQLTDDCLSATTTSCPLIESLILMSCPSVGPDGLSSLRWLQHLISLDLSYTFLTNLQPVYDSCLQLKVLKLQACKYLTDSSLEPLYKNGALPSLCDLDLSYGTLCQSAIEELLACCTHLTHVSLNGCVNMHDLNWDSDAYQLPVPTTDMGLSSDLPNRLLQNLNCVGCLNIKKVTIPSVAKCLHLSSLNLSLSANLKEVDLACYNLCFLNLSNCCSLEILKLDCPKLSSLFLQSCNINEDAVDVAISQCNMLETLDVRFCPKIHPVSMGRLRAACPSLKRIFSSLASM >KZN08872 pep chromosome:ASM162521v1:1:17008825:17013211:-1 gene:DCAR_001528 transcript:KZN08872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAQPATDPNEVAALKKLVDYWNLNDKLNLTIDPCNKDATWAPENENPRIACECSSTVCHINHLKIYALDISGVIPRELFELKELMDLNLGQNVLSGPIPPEIRQLSKMQYLSFGINNLTGPVPHELGSLTKLVSLSISSNNFNGHLPTEIGELKSLEQLYIDSSGLSGPIPEELSNLKLLHTIWASDNSFSGKLPEFLGTLTNLKTLRLQGTNLEGPIPLTYGALTKLEDLRIGDLSEGESSLDFLGNYASLSILLLRNCHLVGELPKQLSSFSNLKILDLSFNKLTGQIPNSFQDFASLQYLFLGNNNLKGELPESIISPNLVALDVSFNSISGSLPLNFAKVGLKVRASTLLQCLDNTKCSNIATSNSFSIKCGGMKQVSTSGIRFDDDSEELGAASYYAGSDNNWAVSSTGSFISNPNGPQSIAQTDTQISGTLDSELYKTARTSSSSLRYYGLGLKNGKYAIELHFAEIQMEDTRSWKGLGKRLFDIYIQGERVVQDFNIQNEAGASKKALVKKFEGNVTNKILDIHFFWAGRGTCCIPVQSTYGPMVSAIHVSKVGDEVSSSKSDKKRVGKVVGIAFGCAAGLLIISSIFYLWWMKNSAAHQRIYTGSPTKALTS >KZN11428 pep chromosome:ASM162521v1:1:44549941:44551101:-1 gene:DCAR_004084 transcript:KZN11428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSLLLKNNNNDKVQFVLPKHIRLQLSTRSASPSQINSPSVPVVEVKIESQCETKTSSVYGKRKKTDSDATIVDGDEGNETGMEGYSPQKKNNASTVKSELRRISEDPEMPPGFLKNGVSAGLPSSMEEGKPKSELRFVLEPTVEPSSLETMLPEDRQHLVNAASVLKSRLVARLTNEANNLSTDCKITLANRCYYALHELGDDYTLFRSKVDKLIEQQEELESFLREKESWNDMVIMDRYDEQVMNVSDVTKKVSNAEDKLSKAKTNVGSIKCKREELTVALLKLQEELHEEEERVKILTAEWDRYKEAQSDAEAELRKLDREKEKARVAFKAINDQYNTAKEKCEKLYNKLLQLSRSDKVTFLNVGKLSNHNVFKGFTISTMF >KZN08632 pep chromosome:ASM162521v1:1:12675388:12683692:-1 gene:DCAR_001162 transcript:KZN08632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKSLASSLDEVDRSMYSTFCNGANAISQLYSQAMNHQKISFQSGERHGLEKLYQWILRQQEGGSRVTTADILSYIQADLDYCGEEPSLSPRAPLQNQLQAASSGLSGGPTAGQVFRSEHNDQQSKSYVFSNALSSPVRRSLQNYHISQGEFDPNGVQHPTTGTRNNRPNSAQLQNSNSNDSSMDMHSDSPGHESTF >KZN09063 pep chromosome:ASM162521v1:1:19728773:19730572:-1 gene:DCAR_001719 transcript:KZN09063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATYNTNGNDTVAARDHENHERAFFDSADWALCKQAAGVDPISSVYIENLQPKLQRTPHQQLPPRRPACSSGRDGLISSSN >KZN10551 pep chromosome:ASM162521v1:1:36873592:36875505:-1 gene:DCAR_003207 transcript:KZN10551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGHIKFLSAASLLFVISMLSSSAEAKECGKCVFQSKAAFFSSPSALSSGACGYGSLALGFSGGNLAAAVPSVYKNGAGCGACFLIKCKNSKLCSKEGTRIVVTDLNKSNETDFVLSSDAFKAMATKGMGDSLLKHGIVDVDYRRVPCDYKNKNLAIRVEESSNKPNYLAIKFLYQGGQTEIVAVDVATVGAANWNFMSRNYGAVWDTSRVPKGALQFRFVVTQGFDGLQLWANKAVLPADWKTGVIYDTGVKVTEIAQDGCYPC >KZN10783 pep chromosome:ASM162521v1:1:38924385:38925413:1 gene:DCAR_003439 transcript:KZN10783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQDNLNPNPPDDSSGNSQKGACRPAEAPRCPRCDSPNTKFCYYNNYSLSQPRYFCKTCRRYWTKGGALRNIPIGGGCRKNKKMSSKSCSRFASDSMDSGGSSSYLDHLGGFKFSNIGLSSGMNFQTLDTNLPRTFSPVQQYQQLSTNSSFGNFSRTLSSPCFSLENQTGSSSGSGFMGLNFPLSSSGIKQVHGSAQLLQDHDLDIKNNDVVASSIESLSSINQDLHWKLQQQRLGMMMFSEENSITDQKEGLGNTSVIMPPKPQPILFENLEISSRVKDHDVHQAVGGSGSGSGTSGTEWLLDHNSNHSLGNAAQTNSSNTGINGMNQAWGNFYQYTPLP >KZN11192 pep chromosome:ASM162521v1:1:42439339:42444571:-1 gene:DCAR_003848 transcript:KZN11192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLPSQDILTLLEFKKGITHDPTGYVVDSWSEESIDFNGCPASWNGIVCNGDKVAGVVLNNLGLSANVDLSVFLNLTMLVKLSMSNNSISGKIPSNIGDFKGLVYMDISENLFFSSIPSEIGQLGSLQNLSLAGNNFSGSIPDSISGLNSIQSLDLSRNSFSGELPSSLTDLTNLVSLNLSLNGFEKKIPEGFDAMVNLKVFDLHGNSLEGNLDAEFLLLTTAVLVDLSDNLLVSSSSQRQKFLPGLSDSIEYLNLSCNQLTGSLIGGDQPQVFGNLRVLDLSNNDLSGELPGFDFVYELQVLKLSNNRFSGFIPNDLLKGDSSVLSELDLSGNNLTGPISMISATTLHTLNLSSNKLSGDLPLLTGNCAVLDLSNNQFEGNLTKLLKWGNIEYLDLSQNRLTGSIPEVITTFPKLTVLDLSYNQLDGRLIPTLLTFPTLQELHLENNKLGGSIEFSLPLSAEPSLHVLDISHNQLSGYFPDGFGSLTGLHDLNLAVNNFSGSLPTSISNISSLSSLDISQNHFTGPLPKNLPDSMEKFNATYNELSGVVPENLRKFPLSSFFPGNSDLQFPNPPPGSTPSESGQQNSRTIKTVVKVLVIIACVIAVILFILLAIFIHYIRISRRSRQQSVTSKDIRRHVQHNPSGLVGRVGGGGLVVSAEDDLMTSQKRPSSEIIVPDEKMAVITGFSPSKNSHFSWSSESGDSYTADLSRLDVRSPEILGGELYFLDDTISFLPEELSRAPAEVLGRSSHGTSYKATLDSGVFLTVKWLREGVAKQRKDFAKEAKKFSNIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLFDRPERKGPPLTWAQRLKIAVDVARGLNYLHFDRAFPHGDLKATNVLLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELATSNKPLPNFKSDVYAFGVILLELLTGKCAGDVVSADDGGVDLTDWVRLKVTEGRGSDCFDAALAPELMNPASEKGSKEVLEIALRCILSVSERPGIKTIYEDLSSI >KZN08112 pep chromosome:ASM162521v1:1:2822494:2827889:-1 gene:DCAR_000781 transcript:KZN08112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYLAGAVALLVVVSISAVVESRRDIVGDVLKLPSEVSSFFRPVAEEEDSVGTRWAVLIAGSNGYWNYRHQADICHAYQLLRRGGVKEENIVVFMYDDIAYDEENPRPGVIINSPHGSDVYKGVPKDYTGEDVTVNNVFAAILGDKSATTGGSGKVVDSGPNDHIFIYYSDHGGPGVLGMPTNPYMYAGDLVDVLKKKHASGTYKSMVFYLEACESGSIFEGLLPEGLNIYATTASNAYESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLRTETLRQQYQQVKKRTSNDNSGWGSHVMQYGDLKLSTEELFMYMGTNPANDNFTFVDDNSLRLSSSKAVNQRDADLLHFWDKYRKAPEGSDRKIAAQKQFSEAMSHRMHLDNSIQLIGKLLFGIDTASEVLTTVRPSGQPLVDDWLCLKKLVRTFETYCGSLSQYGMKHMRSIANICNAGISEEQMSEASAQACVTFPSNPWSSVNKGFTA >KZN08642 pep chromosome:ASM162521v1:1:12862544:12864318:1 gene:DCAR_001172 transcript:KZN08642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTSFYSPKSPPTTSVGCCSSLSAQLKLYQAFIFSVPIFFTFILLFFFYLFYLRRRRADWSSLRMRTARLATIQNNISTCEMGLKKEVREMLPIIVFKESFSVKDTQIGKELKLILLKDAQFCLGDYQAEDKLQQIPVCGHTFHMECIDLWLATHTTCPLCRLSLLASRASEKPTDNQSNETDQSPESPDVENHDENSPPSSSQLSGEPQVNEQIFNIVQQTNENFQSPESADVENHDEVSPQCSSQPSEDPQPTNKYQT >KZN10612 pep chromosome:ASM162521v1:1:37588430:37589146:-1 gene:DCAR_003268 transcript:KZN10612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGMENLSEEDVAAALQLCSFQYIVLDPQSARELEEEKQRVQRSLPPPKPREKKTLHCFPSSSGNQPDDFPVALRRLVGKYCSPSWKKRLTESDLLDTQTRLLLKQSYVENKLYPLLTPEEVVQVKDGGLGVNVYDGEGNVYNMKFKLWGLKAYVLTNQNWLNFARDHNLVKGEDWITLWMFKHSRTGQVCFAIKTKPLSKVSVASGGKKVVSMDQCDSQKRSRNIASDRNGDGCSN >KZN09993 pep chromosome:ASM162521v1:1:31469620:31474649:-1 gene:DCAR_002649 transcript:KZN09993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLYEYSSKLPKFRKGNDDNKFPDETTKQAAIKTGCSVVALECFCGCHPGLWHHNKAIVLTSANLIRRPPCPLNDVEDTENVLARKLRVYMHSYDAHVDDSMDIFARQPLLKPNSEAFKLTPGYRVIVVGQYFDPPFVLMNAPDYYVLKRTEYDCKELFAAXLSLSILLINQFKPIKPKHDPSKPVKPKHDPPKILLGGKAKPLKAPKAEKKEYDEDDLAKLQKKKEEEKALKELKAKAQQKGAFGGSGLKKSGKK >KZN08439 pep chromosome:ASM162521v1:1:6790531:6791030:1 gene:DCAR_000985 transcript:KZN08439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEEVMSESEGAYSTSSFSCWRKLWNILEDIIKRFKTFIGFASQSQDHAQPQSTKSTEEEVEVVEVEEYVETTTSTSRSIGGSIMMFRAPPRRQTVTRGRGPQTN >KZN10201 pep chromosome:ASM162521v1:1:33487640:33502693:-1 gene:DCAR_002857 transcript:KZN10201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNERSLSVRERVEDTLAAHRNQLVSLLSRYVAQGNRIMQPHKLIGELEGVVCDENGCHKLRDGPFGQEAIVLPPFVAMAVRPRPGVWEYVRVNVSELSIEQLTVAEYLRFKEELASGEVNTPYMLELDLEPFNASLPRATRSSSIGCGVQFLNRHLSSIMFRNKDSLEPLLDFLRRHKYRGHVMMLNDRIRTVSRLESALAKAEDYLSKLLSDAPFSEFEYDLQGMGFEKGWGDTAERVLEMMQLLSEILQAPDPSSLETFLGRIPMIFNVVILSPHGYFGQANVLGMPDTGGQIVYILDQVRALENEMLQKLKQQGLDISPKILIVTRLLPDAKGTTCNQRLEKVSGTEHTNILRVPFRTEHGILRKWISRFDVWPYLEKFTEDAASEISAELQGNPDLIIGNYSDGNLVATLLAAKLGVTQCTIAHALEKTKYPESDIFWKKFDEKYHFSCQFTADLLAMNAADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMGIYFTYSDKDRRLTSLHSVIEELLYDPAQNDDHIGSLSDKSKPIIFSMARLDKVKNITGLVECYSKNKKLREMANLVLIAGYNDVKKSNDREEIVEIQKMHELIKQYNLNGNLRWISSQTNRARNGELYRYIADRKGIFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPMEIIEDGVSGFHIDPYHPEKAADIMVGFFQRCKEDSKYWSKISNGGLQRIYEKYTWKIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLVSS >KZN11456 pep chromosome:ASM162521v1:1:44803344:44811076:1 gene:DCAR_004112 transcript:KZN11456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDLPNGVVVAPQNPPAAAKKSRESERRRRRRKQKKNKPTDASAGDESEAGAAANGGDSAKENSDPQTAFEPVEVEYIPEKAELDGEMDEEFRKVFEKFNFSELPASEENAKKDDTTADTAAKKKVDSDDEEEGQDAPEKEKGGISNKKKKLQRRMKIAELKQICSRPDVVEVWDATSSDPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKTPFQLPDFIAATGIEKIRQAYIEKEDNKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYHEGKEFEVKLREMKPGMLSQELKDALGMPEGAPPPWLINMQVQPSFTLLLIDGWRYGPPPSYPSLKIPGLNAPIPHGALFGYHPGGWGKPPVDDQGRPLYGDVFGQQPEQPNYEEEPEDKTKHWGDLEEEEEEEEEEEVEEPDEEELEDGIQSVDSLSSTPTGIETPDVIDLRKQQRKEPEKPLYQVLEEKEEKIAPGTLLGTSHTYVLTGAPQDKPAAKRVDLLRGQKSDRVEVSLNPEELEVMDNVLPAKYEEAREEEKLRSQREDFSDMVAENAKKRKRKMQDKDSKSKKKDFKF >KZN12030 pep chromosome:ASM162521v1:1:50430313:50432910:1 gene:DCAR_004686 transcript:KZN12030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEADHEERMGSTDKDIKVYEWAVQKVTYSVDMWLHYCVFAINTYGDLDTIKRLFGRGLVYVGSDFLSYTMWDKYIEYEYTHQEWSRLAMIYTRILENPNQQLDSYFTG >KZN11321 pep chromosome:ASM162521v1:1:43672470:43673597:-1 gene:DCAR_003977 transcript:KZN11321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEFWDTAPHYGGRKEIWDALQAAAESELTLAQAIVDSAGVIVQSADLTICYDERGAKYELPKYVLSEPTNIISDS >KZN10774 pep chromosome:ASM162521v1:1:38825972:38829079:1 gene:DCAR_003430 transcript:KZN10774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLLFLLAFSAVSADEDAFIGVNIGTDLSDMPHPTQVVALLKGQQIRHVRLYNADRGMLLALANTGIKVAVSVPNEQLLGIGQSNSTAANWVAQNVVAHYPATNITTICVGSEVLTALPNAARILVTALKFIHSALVASNLDRQIKVSTPLSSTIILDSFPPSQAFFNHSWNPVLVPLLSFLQSSGSYLMLNLYPYYDYMNSNGVIPLDYALFQPLPANKEAVDANTLVHYSNVFDAMVDAAYFAMSFLNFTNIPVMVTESGWPSKGDSNEPDATLENANTYNSNLIRHVLDPNKTGTPKHPGIAVSTYIYELYNEDTKSGPLSEKNWGLFNNNGLPIYTLQLIGSGPVFANDTANQTFCTAKEGADSKMLQAALDWACGPGKVDCAPLLQGQSCYDPDNVAAHATYAFDAYYHLMEKAPGSCDFNGVAAITTTDPSHGSCTFAISSGNNGTSLNSTASATDSKASGSPACIMYAGTYFGGILVMEVLVWLVVIL >KZN10209 pep chromosome:ASM162521v1:1:33574183:33580412:1 gene:DCAR_002865 transcript:KZN10209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGGYAVSRSHGGDRFYNPPAMRRQQQLLLQQKQEKLQHMQLHRPEKSPTPVVVEPEKQASSEDSTATLLKIESLSTCSDVSPSPRSNLDCLVEAVTPSVPARYSSEVNVRGRRSREAVKQPFYCLGDLWESLKEGSAYGAGVPLVLNESDSIMQYYVPYLSGIQLYVDPRKLPYNVRRPDEDTDTDSSKETSSCACGDFEPDKQRKCAKHGLQSPQNPANLNSRQLNSPSLRDKSVMSSLGSEGETSNSSGLLVCEFFEQEQPYIRKPLTDKIIILESQFPNLSMYRSCDLLPTSWISIAWYPIYRIPMGSTLKDLDASFLTFYSLSTQNSSQPQVGSGGPSPRVNSMIKASSKISLPLIGLASYKYKGSILSPCGPQECEKEKALMQAADDWLQGLKVYLPDFKFFQSHYSSWRR >KZN09824 pep chromosome:ASM162521v1:1:29697032:29697748:-1 gene:DCAR_002480 transcript:KZN09824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSPVFQMPDAQHFSDYGFHPHFDYFQVVEEARKQRKGASRAGRSIDGLHLKLQKPTISHDLDSSKIIKKNKHSTKNNKRWWKHALHFFRGKWTPQVHHSSSSQNGGGVQCIGFSAPPPVYTTESRSGSTTPYRTTSRPASCPRSPAMRDEMDSLPYISLRDINVEQHHRVSASSPMPIYLVT >KZN08468 pep chromosome:ASM162521v1:1:7365833:7367602:-1 gene:DCAR_001014 transcript:KZN08468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSKQEKGRLGNRGNHRSQDNPTRVRSGNQQDGATNKPPSGNEASSETNDKLRVLQHWFLLESDWVDAINKGNHSLIPAALARVDLLCIVVCPDLLKEGLKGEEEALWSIHESLYNNGWWERARNLDLGRKNWSKKDAHSDLLLNNFMSSYERFVDPNVQLMVKQGSQEGFRMALNHIHYGSIRESRENGMRNNHSSSSVSQHQAPAKHTSQGNSYSHLAILKSFLLGYKHIIEPSVLDDALSGNDKALSLALGQIHHKSLAHPSLSEPSFKEKLLLNINENKSPARTTTIRSPKSVTPETSKNTVFFTGFSNSTNLKDLWVLFKRVGKIKDIILPRRRDRFGNRIGFIIATNGQEAEKMISQFNGMHFDNGTPSKKSPHKDRNSGNIPPASPTTDKPLKEDNIGVRNDTVTNIQDPPLLDKVPDMQQILSSSLLLKTVKMETVQTVGMIVEGLGVHGALIRGLSSTRFLAFFEHLEDFNGVDLDFLSIGFEEVRQVVEEDLIPVRKAWVEVRGLPIFGWSDINFQKIIED >KZN08080 pep chromosome:ASM162521v1:1:2325656:2333797:1 gene:DCAR_000749 transcript:KZN08080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKALSHTDYAVRDAEKFPLFPLGGADSTSFMASSEAQQQPSQQPDRPPTPPRGSDDTKELFLLHQALNPEFLSNQQLLRNGLYRNLMRGKTMGRWIKDQKERRKHELRTQNAQLHAAVSVAGVAAAVAALVASLSTSPETSVTQPKATSKTSTALATAAALVASHCIEIAEDLGADNEQILTAVTSAINAKTNGDIMTLTAGAATALRGAATLRARLQKRAATVSLLEEQADEGKDQNYSPALNFVSRGGELLKRTRKGDLHWKQVSFKISSNWQVIAKMKSKHMAGTFTKKKKFVVSAVYYDIPAWPGREREDSDERRAYFGIKASERIIEFECRNKGDKLLSLHFQGYVCAALLYLNFLASLIKLVNISSSYSLLHQFLIMSSELGRICQVETRRWLWLIGLVFAFVLMAQYVELPYGNIISSVLPDGRTQVSEKSSPLPANLSSDTSKSGNTADLDNLNSTRTPLSNVQTDTAKSNEVKNLTQESDSSLVSNSASDNPSLTTKPEDNVVLTQENEILGDIPMSPSSASPVMSPTGVNLTTSAVISSGPSISPVSNEAQEKVHNTDGPGTSESINNSSVKKRPKSQEVPVLSVSEMNDMLKKIRASSSSTVPRWSSAVDQRLLDAKSQIESAPINKNDQGLYSPLYRNASMFRRSYELMEQTLKVYIYKEGKKPIFHHPPPVLAGIYASEGWFMKLLQENKQYVTKNPKEAHLFYLPFSSRTLEEALYVPDSHSRTNLIKYLDKYLDLIVAKYPFWNRTGGTDHFFVACHDWAPAETRIRFNNCIKALCNADIKEGFRLGKDVSLPETMVRSKKNPLRDLGGNAPRQRPILAFFAGQMHGYLRPLLLQQWQDKDPDIKIFKKLPKSKKNRVYTEYMKSSKYCLCPKGYEVNSPRVVEAIYFECVPVIISDNFVPPFFEILNWESFAVFIQEKDLPNLKNILLSISDRRYQILQQRVKQVQQHFLWHSQPIKYDIFHMILHSVWYNRVFQG >KZN10163 pep chromosome:ASM162521v1:1:33144277:33145709:1 gene:DCAR_002819 transcript:KZN10163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETQSVRVDEKQLKYLGFVQEAAIYVILLVTSFYEYAKSNSGPLKSGILTVEGAVTAVIGPVYHKFHHVPFQLLLFVDRKVDQLMTKVDHHMPALIKDTSSQVRLLVSEIKQVGVVETAKKRAHTVYIKYQPVANEYYCKYEPLAEKYIVVVWNSLNRLPLFPQLAHVLVPTAAYCATRYNRTVVYFRSRSYTVSYYVPLVPVEKIAKIFKTTENGHAARNGYAADGKTQ >KZN08693 pep chromosome:ASM162521v1:1:13576752:13592992:1 gene:DCAR_001349 transcript:KZN08693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDELADAKRSYRSATEIGDRTEQARWSNVIGDIHKNRGEYVEALKWLRIDYDVSTKYLPEKQLLPTCQSIGELHFRLLHFQDALVYQKKHLELAKDKEDLAEQQRASTQLARTYHEMFLRSDDDIYSIQNAKKYFNSAMNLAKSLKENSPNKSNFLKEYIDAYNNIGMLQRDLENFDEALKMLTRGLQICDDEEVARLDDARSRLHHNLGSVYMGLRKWSEAQKHILEDIHICNSIQHRQGEAKGYINLGELHNKVQKYDEAISCYKKALDLARSMEDEDDLVNHINENMRIVKQAIKEMDELKKEEKNLKKLARNMEMAIGTSSERKCLLQQDASLSRLIDISNSILAWREHKAFAKRKKQIATKLCDQEKLGDSFLHIGESYQKLRIFDKALKWVTKSWKVFKAIGNLEGQALAKNSIGVALDSSGDWAGALDAFEEAYANACEAKLPSIQLSALENMHYSNMIRFENFDEARRLRSIIDKKRDSATEVLEPKILKKDCCSETETEGDDQLSNSRSDISCSPEISKSSFNRYKPGPGAKDIVDDAPSNSFLCPENASKSKPRPIKKPSTSREPDDSSLRSQSRLASSQAVSRKRVRVILSDDESENDEVPPSSGRFRFSPAEDVATSDEVDRTYNMRTAHGLQVDVSPVASHCVISANTPINHEGTASSYKSSGYMRADQDAKDVRSSCSNEVVSVSNVHSTSARCRFNDSENLFQNHRNSGLSHHICDDSCCKHVTFKVEEELVTMELSSCMIGDKVSIAALKVDISCLYFLQLPKQKRSKGLLPIIQHLKCRSKVLDSLESTETFKDYFSGKGWIDVSVDAWVPKRLMKLYIDCCMELSEPPNLHLLKKLYNLEVSEDEIIVSDCGLQDMSVAPLLSALHLHKTVAVLDLSHNMLGNETMEKLQQLFTSSRQKYGGLILDLHQNRFGPTALFQICECPVLFSRLEVLNISGNRLTDSCASYLANILKNCKGNETMEKLQQLFTSSRQKYGGLILDLHQNRFGPTALFQICECPVLFSRLEVLNISGNRLTDSCASYLANILKNCKALYGLDIGSCSITSRTVQKIADSLDSGSSLVQLGLGYNNSISGNAIVNLLAKLATLDRFAELNLNGLKLNKAVVNGICQLAKTSCLSELMLQDTCIGTDGALHLLESLSSETRELVKLDLSSCGLTSEYIFRLNDEISLIGGVIELKLGWNPITQECGNALAALLKNPYCCLRVLVLNKCQLGVVCLLRTLEALAENLVLEELNLAANTCSGEVNSLSLNFNGTLNSMHADLSFANSSVKASACNDAHGASVDPDIDQLEVADSEDDLDSTKPSVSGIHGSSMSFSEKYSSNLESQFIQELSSAISRAKHLQMLDLSDNGFSEQHAETLYHAWSANSRAPVAARHIEGNVVHLKVQGNYCCGLKPCCRKIN >KZN08840 pep chromosome:ASM162521v1:1:16383137:16383487:1 gene:DCAR_001496 transcript:KZN08840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSNHSASASDSDSASVSKNVYSENVEKSLSNVNTHLAQLNEQMAELTKLAATFNTMVGMLGEDLQGVRRVQMELVQRLRHGGRD >KZN12121 pep chromosome:ASM162521v1:1:51190342:51192480:-1 gene:DCAR_004777 transcript:KZN12121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSEPPRQPESVCTFFKKPTKNRNIRKRNLSEDDGVEEDEGSKSDTVTVNRKKSSLQDNKLHFSTAPTKQLKSSDVETKKEQTLFQFESSSAIQVQNDSRATATPETKTDFSRDARAICERVLKQAEAALKGKNKGEGDDKLYKGINAYTDHKAGFRREQTVAGEKAGGSHGPLRASAHIRVSARLQVTKSGWQLEKEWDEKEKARKRNLALKQFESDEEAEQSDEDDDSLPFACFICRQPFADPVVTKCKHYFCEHCTLKVFEYIFLKYYSLKRCRSLGP >KZN11930 pep chromosome:ASM162521v1:1:49481153:49483770:1 gene:DCAR_004586 transcript:KZN11930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSVATKQFPGREDPVALASQTACSVSEVEALFELFKSISSSVIDDGLISKEEFQLALFKNRKKENLFSNRIFDLFDVKGKGVIDFGDFVRSLNVFHPNASQEDKINFSFTLYDMDGTGYIERQEVKQMLIALLCESDMKLADEAIETILDKTFLEADINRDGKIDRSEWLNFVGKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDDIAT >KZN11267 pep chromosome:ASM162521v1:1:43247969:43249259:1 gene:DCAR_003923 transcript:KZN11267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPITFRPSTPATPPLREIEVLIISAEGLKNVKHVTKMRTYAVVYVDQNVDVAKTDVENHGGVNPTWNQVVKVKFPEGLPESDVMSALNVDIYAHGHVREKPVGSARVLLCDVLKGGDAAEPVDNPIQCLTLQEEDGDGAAASDGGAGSEHSSEAVIKNSSGTALRDCHGVTRIFVAMAAYTATAMHPDVKHFSQIKQVCTFAFVGKFFT >KZN09337 pep chromosome:ASM162521v1:1:23403168:23404798:1 gene:DCAR_001993 transcript:KZN09337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALVVNLGDYGHLWKSAKVSALQNQDKWESRLLLISLLGAFWGTKGVLESEFLMKIAKSKGTPVARIALRRAYEQGVIIVVNSFNKERLKQNLDIFEWELSNEGSKKIAAIRQRRANLEQLFISETSPFRTVQELWDGEL >KZN10739 pep chromosome:ASM162521v1:1:38550775:38556907:-1 gene:DCAR_003395 transcript:KZN10739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSKIKWAGLGLLALSFFSLLVHLFVANSSGELGHYSALTSFNEDLNIGVGDRLPVISRKLWGNVKSLESLQPYSKPRSRYPAPSKRNNGFIYAKIFGGFEKIRTSICDLVTISRLLNATLVIPEIQESTQSKGISSKFKSFSYIYNEEQFIAALANDVIVIKSLPSTLKEAWKRKEYKIFKPKNSASPSFYTREILPELKKAKVIGLVLTEGGCLQSVLPPSLVEYQRLRCRVAFHALQFRPEILSVANLLVKRLRASGQPYLAFHPGLKRDSLAFHGCAEIFQDVHTELIQYRRAQMIKHGIVKDELSVDSYLRKGNGSCPLMPEEVGILLRAMGYPPKTRVYVAGSELFGGQRILIPLRAMYTNLVDLTSLSSKQEIIDVIGPETPIPLDALQPPPIKSKKQSREDWNKAGPRPRPLPPPPGRPIYQHEKEGWYGWIGESDSEPDPSPLNLRMQAHRLLWDAIDYVVSVEADAFFPGFDNDGSGWPDFSSLVMGHRAYEMASSRTYRPDRKFLAELLNSTRDHLYDHSRNWTILVRNHLNASLREEGFIKKFSQSKPLSFLSHPIPECSCRTMKTSDIQSDSRSSKISKNLFKGEDECPSWMERTRAATTTPENAADEDEAEDDIDLGLQYSDYQNRKNDSTSTDQDEEMDPND >KZN12005 pep chromosome:ASM162521v1:1:50166904:50167476:-1 gene:DCAR_004661 transcript:KZN12005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINISAFLMVSKYWSFLTNKPGTKAWRKSFLFSIYIYLTDEKDGLPALIERAGSEPGFLDRYVPSRRVEVGKFRIPKFLFEYNIEASEALQSPGLVSLFGPSGGLGEMVSNSLPLFVSQIVHKSFIEVDEKGTEAAAATVVGMSGSSCVPRVKVVTDFVADHPFLFVIRENATGMVEFIGHVLNPSAHA >KZN08451 pep chromosome:ASM162521v1:1:6962019:6966712:-1 gene:DCAR_000997 transcript:KZN08451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSPLSLLLLFSLISASLAVDSDELRSLLEFKKGVKTDPLSKLATWNIQNPNSDPTDAVCSSFYGVSCEYNSNSSGLVTGIALDRLNLGGDLRFSTLNGLKGLKNLSLAGNSLTGRLVPTLGLITSLQHLDLSDNSFYGPIPGKLNDLWDLRYLNLSRNKFVGGFPSGMERLQQLKVLDLSGNGLWGDVRGLFNGFRNVEHVDLSGNEFFGSVLVDAGNISGWANIVEYVDLSSNNISGGFLSGDVVLLFRKLRVLDLGDNQLTGELPSLGDLPSLRVLRLGNNQFYGSIPEELLGSAVPVEELDLSRNGFSGSIPKINSTTLSTLNLSVNALSGLLPPSVGSCKIVDLSRNSLSDEISVVQNWEANLEVLDLSSNNLGGIIPNFTAQSQNLAMLNLQNNSLVGSLPAALGTYPRLSAVDLSVNKLDGSIPRSFLTSVTLVSFNVSGNQLSGPIPLQGSHTSELLVLPSYPLIESLDLSDNSLSGPLQAEIGNLGRLKLLNLAKNKLSGELPDELKKLVGLEYLDLSNNNFSGKIPDKLPLTLKGFNVSYNDLSGSVPGNLNKFPDSSFHPGNVLLNAPDGSRSNGGAPVQPGGAPVQAAGGRKNHKSKTNIRTAIVVASVVAAVMIAFILLAYYRVQLQDFRVRSGFSAQTAGRDVKVGRFSRPSIFKFHSSAEPPTSLSFSNDLLLASKSIYPETSGRKSSSGSPRFIEAIEQPVTLDVYSPDRLAGELFFLDNSLALTAEELSRAPAEVLGRSSHGTLYKATLRSGLMLTVKWLRVGLVKHKKEFAKEVKRIGSIRHPNIVPLRAYYWGPREQERLVLADYIDGDSVALHLYESTPRRYSLLSLNQRFKVAVDVARSLVYLHEKGMPHGNLKPTNVILAGSDYDARLTDYGLHRLMTPAGIAEQILTLGALGYRAPELATMSKPVPTFKADVYAYGVMLMELLTRRSAGDIISGQSGAVDLTDWVRLCDQEGRGMDCIDRDIARGEEHSQEMDELLSISIRCILPVNERPNMRQVYEDICSISA >KZN08824 pep chromosome:ASM162521v1:1:15869826:15875268:-1 gene:DCAR_001480 transcript:KZN08824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCSLLVYFDKGTPAIANEIKEALEGNDVEAKVDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKRDARGMVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEVEIIEPLIPSVLANLEHRHQYIRRNAILAVMAIYKLPHGEQLLVDAPEMIEKVLATEQDQSAKRNAFLMLFTCAQERAINYLLTHVDKVSEWGELLQMVVLELIRKVCRTNKAEKGRYIKIIISLLTVPSSAVVYECAGTLVSLSSAPTAITAAANTYCQLLSSQSDNNVKLIVLDRLTELKSAHKEIMVNLIMDVLRAVSSPNLDIRRKTLDIVLELITPRNINEVVLTLKKEVMKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAMDVVVFVREIIESNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGITTIKQCLGDLPFYTDAEEGESADSSKKPQQVDSITVSSKRPAILADGTYATQSAASETAFSPPTVVQGSLSSGNLRSLLLSGDFFLGAVVSCTLTKLILRYEEVQSSKVEVNKASTQALLIIVSIIQLGQSSFLSHPIDNDSHDRIVLCIRLLCNTGDQVRKIWLQSCRESFVKMLSDKQLRETAEIKAKAQISFAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIQDGDSANKLNRILQLTGFSDPVYAEACVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSKVFERTVVVLNDIHIDIMDYISPAVCGDAAFRTMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTGPSALEGECGFLAANLYAKSVFGEDALVNISIEKQADAKLSGYIRIRSKTQGIALSLGDKITLKQKGSG >KZN09020 pep chromosome:ASM162521v1:1:19101926:19105581:-1 gene:DCAR_001676 transcript:KZN09020 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MENTVDLKEAVPHIVCMPFPGQGHITPMLTLAKLLHNRGFYITFVHTDFSYRRSLRSLSPVGHSATFRFETIPDGLPPPESPDDFPHVIQLCVSTSIHSLSPFRDLVTRLNNSPDVPPVTCIISDATMSFTLDVSQELGIPNIFFWTVNAFTLMCYLHFSRIRNLASQLRQGQGHITPMLTLAKLLHNRGFYITFVHTDFSYRRSLRSLSPVGHSATFRFETIPDGLPPPESPDDFPHVIQLCVSTSIHSLSPFRDLVTRLNNSPDVPPVTCIISDATMSFTLDVSQELGIPNIFFWTVNAFTLMCYLHFSRIRNLASQLRQEAVAGTDVKNGHLDHKIDWLPGMGVVRLRDTSSMIWEPALPDCFVEYCMNEISRTYKASAVILNTFDALESEIVNQISAIINRDMYCIGPIHSLLNSVNSDENTKSIKFNLWKEDSGCVEWLDSKEAGSVVYVNFGSTTVMSPQHLEEFAWGLANSKQNFLWIIRLDLVVGDSPALPLEFVSQTKGRGLLASWCDQQQVLSHVSIGGFLTHCGWNSTLESLSAGVPMICWPFFADQLCVRHCVCKLWRVGIEVDEDVQRDGVEKAVRELMEEDNGKEMKNRALEWKGKSETAILSSCSGSSNLDLDRLVNEVILSHGKRS >KZN09071 pep chromosome:ASM162521v1:1:19880386:19892313:1 gene:DCAR_001727 transcript:KZN09071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVITGILEKMTGKDKDYRYMATSDLLNELNKEGLKLDSDLEIKLSNIVLQQLDDAAGDVSGLAVKCLSPLVKKIHEAHVLEMTNKLCDKLLNGKEQHRDIASIALKTIVCEVPTTSAAQSILVSVTPKLIRGITGPGMNTEIKCVCLDILCDVLHTFGNLVASDHEVLLGALLPQLSFNHASVRKKTVSCIASLASSLSDDLLAKATVEVVRLLKNKGAKPEMARTNIQMIGALSRAVGYRFGTHLGDTIPVLINYCKSASENDEELREYSLQALESFLLRCPRDISSYCDEILDLNLEFLSYDPNFTDNMEEDTDDESHEEDEDDESANEYTDDEDVSWKVRRAAAKCLAALIMSRPEMLSKLYIEACPKLIDRFKEREENVKVESKTLNVDFSFPKWLLKQEVPKVVKSINRQLREKSVKTKVGAFSVLKELVVVLPDCLADHIGSLIPGIEKALCDKSSTSNLKIEALIFTRLVLASHSPPVFHPYIKAISGPVLSAVGERYYKVTAEALRVCGELVCVVRPNVEEVKECAISCMGLVVSTFGDNLRAELTACLPVLVDRMGNEITRLTAVKAFAVIAASPLHLDLSCVLEHVIAELTAFLRKANRALRQATLGTLNTLIVAYGDKIGSAAYEVIIVELSTLISDSDLHMAALALELCSTLMADRKSTPNVGLTVRNKVLPQALTLVRSSLLQGQALLALQSFFAALVYSANTSFDDLLDSLLLTAKPSSKSGGGVAKQALFSIAQCVAVLCLAAGDQKCSSTVKMLTAMLKADSATNSGKQHLSLLCLGEIGRRKDLSSHAHIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKADFQDTSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIKPAKLVPALKGRLTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDQDRHVRRAAVLALSTAAHNKPNLVKGLLPELLPLLYDQTMIKKELIRTVDLGPFKHTVDDGLELRKAAFECVDTLLDGCLHQMNPSSFIIPYLRSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFRPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDCSHKFKNLMSEISKSPTLWEKFCSIRNE >KZN08441 pep chromosome:ASM162521v1:1:6873313:6875354:-1 gene:DCAR_000987 transcript:KZN08441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPARKTGYKTELMSDSAELVRTLLRNINDKRPLTTTLDKYVKVVRTEHCFLLFEQLGKSQKWLQCLEVFRWMQRQRWYIADNGVYSKLISVMGKKGQTRLAMWLFSEMRNSGCRPDTSVYNSLISAHLNSRDKSKALDKALGYLDKMKGMERCKPNIVTYNILLRASAMAKKVDQVEALLKELNDSVITPDIFTYNGMMDAYGKNGMIREMEMMLSRMKSAKLKPDIITFNLLIDSYGRKQEFEKMEQVFKSLLCSKERPTLPTFNSMITNYGKARLREKAELVYQEMIDMKYTPSFITKECLIIMYGYCDCVSKAREIYDGMVQSEKEIKISTLNAMLEVYCRNSLPTEADALFESARSSRVCRIDSTTYKLLYKAYTKADMNELLQKLLKHMDKDGIVPNKRFFLDALGAFGSSKANYSTAKRLPATDRKAPLKPATYMKG >KZN11311 pep chromosome:ASM162521v1:1:43620989:43625568:-1 gene:DCAR_003967 transcript:KZN11311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPIFMEKTKNMSDQDALITTICNSIQALGRGFDVTSDIRLLYCKGTPGARLVKVDDGETRDLVFSHGVVVPNVPVDIDCSQGERGMENTPVLSFNEMAIFFNQKSNLFGNVPLGSFNAMFNLTGSWQLDEASTKSLAMIGFVIPLYTVELTNLDLVLHEDVKRAVPYSWDPASLASFIENYGTHIVTSATIGGRDVVYIRQHQSSPLSVSDIENYVKDIEEQRYSESNNPSTGPLKYKDKDVTVIFRRRGGDDLEQSHVKWADTVETAPDVINMSFTPIVSLLEGVPGIKHLARAIELYLQYKPPIEDLQYFLEFQISRVWAPELSNLQGKDPVCQSLHFSLMGPKLYISPNQVTVGRRPVTGLRLTLEGSKQNRLAIHLQHLVSLPKILQPHWDSHMAIGAPKWKGPEEQDSRWFEPIKWKNFSHVSTAPIEHTETYIGDLSGVYIVTGAQFGVWDFGASKSVLHLKLLFSKVPGCTIRRSVWDHSPSNISSVQRSNGASSSSLINERNSDDMKVEGSGQAGKLAKIVDTTEMSKGPQDVPGHWLVTGAKLGVDKGRIVLRVKYSLLNY >KZN07954 pep chromosome:ASM162521v1:1:947985:949607:1 gene:DCAR_000623 transcript:KZN07954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTIMLVFAVIAAYMMWFKAMIRPLKGPRVWPLLGSLPGLIENSDRMHDWIAENLRACGGTYQTCIAAVPFLARKQGLVTVTCDPNNLEHILKVRFENYPKGPNWQGVFHDLLGEGIFNSDGDTWKFQRKTAALEFTTRTLRQAMARWVSRAIKVRFCPILKRAEAEGEPVDLQDLLLRLTFDNICGLAFGKDPETLAPGLPENHFASAFDRATEATLRRFILPEMIWKLKKWLRIGMEVGLSEGVGHVDKYLTGVINTRKIELISQQNGGGGVPHDDLLSRFMKKKESYSDKFLQHVALNFILAGRDTSSVALSWFFWLVTKNPRVEEKILLEICSVLTETRGRDISTWLAEPLVFEEVDRLIYLKAALSETLRLYPSVPEDSKHVLSDDTLPDGTVVPAGSSITYSIYSSGRMKFIWGEDCLEFRPERWLTQDGTKFETKDPFKFVSFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLTVAEGHRVEQKMSLTLFMKYGLKVDVHPRDLAPVVAKIADNSACMGKTKADEELNYVA >KZN08365 pep chromosome:ASM162521v1:1:5688141:5689413:-1 gene:DCAR_000911 transcript:KZN08365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVRLLPSVRPCQFLEKLSKTDKQLSNEAAYELEEASEARDRQKQQPVSEIVELDKPVNTDEPQNKEDGGTVKSEMEFRGLDHADSLGLILISCRANYLVNWLVNALTSLNHPNSENALPLVTIYGPKVYDLIEGQHCGFLKNIYFSDMQDNERNLLESKNPEQAGPLRNKKDKCILGISVITVSLGFLTNFEDVCRLWEFASKFLDADFVEKEKWRYLALNQTTVEGDQVKKLSSASQGASPCIAQYLKLEV >KZN10123 pep chromosome:ASM162521v1:1:32798275:32799687:1 gene:DCAR_002779 transcript:KZN10123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVKPDVKEVNIIFNRNQKCFATFKLSNLMHTMNVAVSLTTTNPSLLSFSNPLSIIPPLSTMCFTLMLSKPSAQPPLSSPLDTILVKSSVLPKGKATQEELRRMFLKSGSQIFKDAIIPITFVGPHVVEFLLTPAPRKLDISFLLEKAISACDESQLSLLLRAAARCGNSYFASVLIEAGADVNNRDSSKLSVMALAVQSGNADLIEILIDSGYLIDNSVDWLLHKAAAMDRVELLEVLCLSCLDINLNSADMNGRTALHVAAIYGHVEALRFLVSVGSDPDVVDCDGWTPLHCASAEGHIKAVEFLLDCLKYVKYAVTKDGQTAYALAFKKGYSKLYDMLHLGDVLHKAATTDDVEGLKSCLAKGIEVNGKDQNGWTPLHRAAFKGQIKSVKLLLSYGAKTNVVDDHGHTPLHRAVEAGHVNVAMTLIGHGAKPNMKGLKGAVPLHLECYKKHLSLVSPLGGEKERA >KZN10947 pep chromosome:ASM162521v1:1:40511952:40513026:-1 gene:DCAR_003603 transcript:KZN10947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLLSSLRKVAKRKSTTVGKSNSDEADSDFDAFEDRDLVASDSDSDEADLDSDAFELPDLVAALTASLATLHSRRTTRTT >KZN11111 pep chromosome:ASM162521v1:1:41784123:41793023:-1 gene:DCAR_003767 transcript:KZN11111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIQKNIQLTGADRNLPDGDELEDVRLLDSYDEDGENEDANYSLSSNHRRIQVRITGMTCSACSNSVEGALKSLIGVVRASVSLLQNKADVVFDSASVNVSLLFLLTFLLGFCCFIIVVSVSDVDHNLVGLSSVETLNQDDDIKNAIEDAGFEAEIVPEASSTKKSSSSTLVGQFSIGGMTCAACVNSVEGILRKLPGVKRAVVALATSLGEVEYDPTLITKDEIVEAIEDAGFEGSLLQSSQQDKIILDVKGILSEMDVKLLEGILCNLKGVRQFYFNRTSKELEVLFDPLVLSSRALVDGIEEGSSGNIKLHVKNPYTRMTSKDLEETSNMFRLFMTSLFLSCGPFIMGDWLKWALVSVVQFVIGKRFYVAAWRALKNGSTNMDVLVALGTSASYFYSVCALLYGAVTGFWSPTYFETSAMLITFVLLGKYLETLAKGKTSDAIKKLVELAPATAILLLKDKGGKIVGEKEIDALLIYPGDVLKVLPGTKIPVDGLVVWGTSYVNESMVTGEAIPVSKEVNCSVIGGTINLRGSLHIQATKVGSDTVLSQIVSMVETAQMSKAPIQKFADYVASIFVPTVILFSLLTFSGWYIGGVTGSYSEQWLPENGNHFVFALMFAISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQMVNCVVFDKTGTLTQGKATVTTAKVFTEMQRGDFLTLVASAEASSEHPLAKAILEYARHFHFFEEPSTTSNIQYPEKDPKYSGWLLDASEFCALPGRGIQCIINEKQVLVGNRSLLTESGVNIPTHAEKFVVELEESAKTGILVAYGSVFIGVLGVADSLKREAAVVVEALLKMGVTPVMVTGDNWRTAQAVAKEVGIHDVRAGVMPAEKADVIRSFQKNGRIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLMKSNLEDVITAIDLSRKTFSRIRLNYVFAMAYNLTALPIAAGVFFPLVKLKLPPWAAGACMAMSSVSVVCSSLLLRRYRKPRLTDILEITVET >KZN08300 pep chromosome:ASM162521v1:1:5075751:5077716:1 gene:DCAR_000846 transcript:KZN08300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDIQAVFYDTLSWLWLLIRTNDLAYAVAVTATVLLVFSLFSRIFFKSKKISPQLPPGPRRLPFVGNLLSLDPDLHTYFASLGKTYGPIVTLWLGHKVGIVINSPVIAREVLKDHDTTFANRDVPAAGIEATYGGKDIVWTPYGPKWRMLRRVCVSEMLSKTTLDSVYDLRRKEIRQTIGYLYSRKGLPVDVGEQMFLTIINVITNMMWGGTVKGEDRAGLGTEFRLVVNEMTQLLATPNVSDFYPGLARFDLQGVRKKMKVLAKKFDDIFETMIKKRQEMDGQEGSKDFLQFLLKLKDTQDAKEPLSMTHLKDPSIWENPLEFIPERLLDGPWDYSGKDFKYFPFGSGRRICAGIAMAEKMFLFSLASLLHSFNWKLPEGQKLDLSEKFGIVLKKTVPLVLIPTPRLSNPELYQ >KZN11535 pep chromosome:ASM162521v1:1:45531857:45535185:-1 gene:DCAR_004191 transcript:KZN11535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAEPPLQETYKALLDSKSSINNVGANMQPVTELVEECELPLIDLSMLGLGESEREKCKAEIAEASQEWGFFQVINHGISEEILEKMRSEQVDVFKKSFREKERVFPAGTYRWGTPSATCLPQLSWSEAFHVPLIDISSLGGFTSISSTMEQFAGTVSELAQKLAEILAEKMGHKATFFRENCVPSTCYLRMNRYPPCPISEISGLMPHTDSDFLTILHQDQIGGLQLLKDGKWFAVKPNPQALIINIGDLFQAWSNDVYKSVEHRVVTNKVVERFSTAYFLCPSYETRIQSCMEPSVYKEFSFGEFRQQVQHDVKKLGYKVGLPRFIV >KZN10560 pep chromosome:ASM162521v1:1:37029987:37043523:-1 gene:DCAR_003216 transcript:KZN10560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCD1-1 description:carotenoid cleavage dioxygenase MVKGKEEEKGAERNEGEVVVVTPKPNKGLTSKAIDWLETLIIKCMYDPSAPHHWLSGNFAPILDETPPCTNLPVIGHLPECLNGEFVRVGPNPKFPPVAGYHWFDGDGMIHGLRIKDGKATYVSRYVKTSRMKQEETFGGAKFMKEIDYAVYLEFFTLFISLYQKFKSANTAMIYHHGKLMALNEGDKPYAIKVFEDGDLQTLGLLDYDKRLTHSFTAHPKVDPFTGEMFTFGYSQTPPYMTYRVISRTGVMDDPVPITLADPVMMHDFAITENYAIVMDLPLFIKPKEMVKEKKLIITFDATKKARFGILPRYAKNELLIKWFELPNCFIFHNGEAPNSLSIPVTNAWEEGDEVVLITCRLENPDFIINNGDMKEKPENIHNELFEMRFNMKSGLASQKKLSASAVDFPRINESYTGRRSAVNVIDAKTMSPDPVAVVELPNRVPYGFHAFFLTESMKWSNFYLDSRLSARMVSSSTNQKMNIVTNELVEQHEETTNDLMLSNRKNTGFLYTRRKTVMGKQNVKEVKRNEAEVVVVNPKVRKGLTSMAIDWIESLIVRYMYDSSVDHHWLSGNFAPVLDEAPPCTNLPVIGHLPDCLNGAFLRVGPNPKYPPVAGYHWFDGDGMIHGLRIKDGNATYVSRYVKTSRLKQEETYGGAKFIKVGDLKGLFGLLMLNMQILREKLEVLDMSYGYGTANTAMIYHHGKLMALSEGDKPYVIGVKEDGDLQTLGLLDFDKRLTHSFTAHPKVDPCTGEMFTFGYSQTHPYLTYRVISKDGFINDPVTITLPRPVLMHDFAITENYVIIMDLPLYIKPKEMVKEKKLIFTFDATKKARFGVLPRYAKNDSLIKWFELPNCFIFHNANAWEEGDDVVLITCRVENPDFTMNNESMNGKLEDSNNELFEMRFNMKSGRASQKKLSASAVEFPRINESYTGRKQRYVYGTVSNHFAKVSGIVKFDLHMNPEMGKRKIKVGGNIQGIFDLGPGIFGSEAIFIPRKPNITSEEDDGYLIHFLHNENTGKSAINVIDARTMSPDPVAVVELPYRVPYGFHALFMSEEQLQEQAEL >KZN08380 pep chromosome:ASM162521v1:1:5878596:5885501:-1 gene:DCAR_000926 transcript:KZN08380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTTSTIMDQHRRGLAPNKRKDREFQKPSKFIKPSHHRAAAPTETPKPAPKNQLLAGYMAFEFLSKGTLFGQPWDQIRPEAKPLDEPSRVRQVWIYERFPTLAPRHTATPLITYPLALRWTGPFTRTEVPHGQRRITRYELDNMIEANFRWRPYADLDDEHQPEYDLYLRWTAPTPLLYMAYVEWCYTDRVPHGQRRITRYELDNMIEANFRWRPYAHFDDEHQPEYDLYLRWTAPTPLMYMAYVEWCYTDRVTRQFGFVQDIPTSSPRANHSNLHTIVNEAINWEGARESHTRLWDRSLERALTSPPLMFGEGCTAAYMPWFLAVTRRYIVNPVYWRTAEAFQGTQGATQALEDQLLDMESAIDPATLDLSRAQRIVQGLLGRFRGPGNPPRHRGRPPVTPVEPEPGTYYTHVGSSSSDRGGWSHLVGTSSSPVGDVEGTSRADGWDSWPKSTVPPSTYAGDDYSGGPRGFTDADAYRPDMSFLRDQYTTPPPQALVPSFASQSYIFGAPAFPFAPPPVRSTPTPIQMSTFASYTGESSPWAPPQHSSSRAVRGRGAT >KZN08095 pep chromosome:ASM162521v1:1:2594719:2601837:-1 gene:DCAR_000764 transcript:KZN08095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRGSEREIKEQNGGPPCGQVRVLVVGDSGVGKTSLVHLILKGSSCARPTQTVGCTVGVKHTTYGTAGGSSNSINGDAERDFFVELWDVSGHERYKDCRSLFYTQINGVIFVHDLSQRRTKTSLQKWAVEVAANGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGSRGSSGNLVDVAMQWVEKQGLLSSGDELPVTDSFPGGGGLVAAAKGARYDKEAVMKFFRMLIRRRYFADELPAASPWVTPVRSSSQSGENLSDEDHLYKSTSLPYNVLPPLPAQRNLTPPPTLCPQQPMSTPDIKYTSIPRFAATSANEYNTVARSKRTDINV >KZN12001 pep chromosome:ASM162521v1:1:49962373:49962540:-1 gene:DCAR_004657 transcript:KZN12001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINCVHPGYCQTDITSETGPSTAEEGARGPAMVVLLPDGGPSAIYFLEMQPSTF >KZN09274 pep chromosome:ASM162521v1:1:22446497:22448572:1 gene:DCAR_001930 transcript:KZN09274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNGDDDLKNVKKPVFLTKAQREQLALQRRQDEVADQKRQLPPPPQQQHSDRDYNNSGNNSSSRHHRYDRDRERDRDRERDRERDRDRERERDREHKERERLREAKLAEREKEKELEAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEARLLFGRGFRAGMDRREQKKLAAKNEKELRDEIRKKEGVEENADEIEARRLKDQAAELYDTFDMRVDRHWSDKLLDEMTERDWRIFREDFNISYKGSRIPRPMRSWVESKLSSELLKAVDRAGYKTPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVLPMLTYITRLPPITEENEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNFKPENEDEELDDKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQHVIMVKESEKMYRLQKLLDELGDKTAIVFINTKKSADSLSKGLDKAGYRVTTLHGGKSQDQREISLDGFRAKRFNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGIATTFLTLHDTEVFYDLKQMLIQSNSPVPPELSRHEASKFKPGSVPDRPPRRNDTVFAH >KZN11680 pep chromosome:ASM162521v1:1:46953064:46954458:-1 gene:DCAR_004336 transcript:KZN11680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEILSKEIIKPCTPTPPSLSTYNLSLMDELAPNLNVPTIFYYSAPDQESADEVALRYKHLKTSLSKILTTFYPFAGRYRAESYLVDCSDQGAEYVEAKVDIRLDDLVSQRTDVKAELLNELLPYPIGAIDEYEDPLLAVQVSGFSCGGFAIGICSSHRIADVSTTIAFVNAWATAAKQELGQVDESYVPEPYKFDSASLLPGLKLMAGLPSGMSRDKENFEVHKVSTKMFYFPNSTISSIQERARLNDSSKLPSRVQSVFGIIGKTIVDLHVADLEEPKGYILTETVNIRGKTNPPLHKNQFGNLYQIAHAQGVASPKTGVELSSYVDDLSKSVKKAMNFCGMLTSGKEGQTAMTNEFFALLKNLSAIPDIYFVGMFTSWCTFPFYEADFGWGKPVWFSVANVPMKNTVVLIDDKSGEGIEAWVNLDETDMQKFIAHSNIADLDLGVEPSVPHPIIQGRRVM >KZN08009 pep chromosome:ASM162521v1:1:1399329:1402072:1 gene:DCAR_000678 transcript:KZN08009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTIVLHVLLMCVIFGSSDDSEAEILLDFKKSLSNAGALSNWNEEVSLCTGDKGNWNGLLCLKGSFFGLRLESMGLSGIIDVESLSKLPYLRTVSFMNNNFNGPFPGNMNKMSSLRALYLSNNNFSGEIPEDAFKGMNLMRRVYLGNNHFMGKIPKSLAGLSKLVDLQLQNNKFVGRIPNLKQKDLIANFTNNKLEGPIPSALSDEPSSSFAGNNLCGAPMAECPPSKKKSVPKVAIIVAAAVAIALAIIIISSLLLHRQNRSKKRFELQDLLRASAEVLGSGSSGSSYKAILLSGPAVVVKRYKQMSNVGKAEFYEHMRNLGSLSHPNLLPLVAFYYTKEEKLLINDFAENGSLASHLHSNPKRNQPRLDWPTRLRIIKGVAKGLEHLYKAFPNLPLPHGHLKSSNVLLDETFAPLLADYGLVPMMNKEHAHHFMVAYKSPESSQNDHRLTRKTDVWCLGILILELLTGKFPANYLRQGKGGNADLASWVNSVVREEWTGEVFDNAMKRTKNAEAEMLKLLKIGMCCCEWNVDRRWDLNEAIAKIDGLKEGNNDEDQSSYASDGEAYSSKGMTDDEFTFSVTG >KZN08055 pep chromosome:ASM162521v1:1:1817237:1817404:-1 gene:DCAR_000724 transcript:KZN08055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNEFHTARGSGTPGHIQNKFSIKPFTNKPLNSTQGVFAASKKANKDILQHDQR >KZN09598 pep chromosome:ASM162521v1:1:27477282:27481155:1 gene:DCAR_002254 transcript:KZN09598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVLLSSPAARKPMQLSVPVLSSSCALSSTGLKHKIWSHAYGLQARKKWRHSVVSVLVDTKPVVKDGVLSYNGNDALTGMPDNVVVTPWSNSSFFLGAASSQSSSRHVFKLGLIQDVRLLCLFRFKIWWVIPRVGKSASDIPVETQMLLLESSSQEDGEEPNYIVFLPVLDGDLRSSLQGNSDNELEVCVETGDPAIVASECLKAVFVNYGSNPFHLMKESMKILEEHLGTFTLPGMLDWFGWCTWDAFYHAVSPPGIRDGLKSLCEGGTPPRFLLIDDGWQSTSNEYQTEGEPFVEGTQFGGRLVSIEENNKFRKPGNEAAGKPASGLKDFVAEIKKSYGLKYVYVWHALLGYWGGLNPAVPGTEKYNPKLTIPVQSPGNLANKRDGSMDSMESYGVGVIDPSKIVEFYDDLHSYLVSQNVDGVKVDVQNILETVATGLGGRVALTRQFQQALEQSIAKNFQDNSIICCMGQSTDSMYHSKRSAITRVSDDYYPKNPTTQTLHIAAVAYNSIFFGEVVVPDWDMFYSKHDAAEFHAVARAVGGCGVYVSDKPGQHDFTVLKKLVLPDGAVLRAKYPGRPTRDCLFSDPVTDGQSLLKIWNLNKHTGVIGVFNCQGAGSWPCLDRINTAEGETSSYELSGQVSPSDIEYLEEEVSGNSWTGKDYAVFSFNSGSLSELSKQDTFAITLKTLECNVFTISPIKTYNQSVKFAPIGLINMYNSGGAVEVVESEGSAGRSSRIKIKGKGASGCFGAYSNPKPKLCLLNSTEENFEFSTEDHFLKVTIPSDANSWEITLCY >KZN09231 pep chromosome:ASM162521v1:1:21884686:21884931:-1 gene:DCAR_001887 transcript:KZN09231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNSYKQKKSFSLFSMFKTKKRARRVEDVDGVSDDLVNAYRVYPSDEDRGRWIADRRIDTKASAYIATVQANWNRSEVSN >KZN11257 pep chromosome:ASM162521v1:1:43077466:43079562:1 gene:DCAR_003913 transcript:KZN11257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAVLFLAFPVSVISMFCVIIYVVYDLCLKPKFLRAKLVKQGIDGPKPTLIMGNMPDIRQIKCKELASDAVPYDLTKSLSLDCCSVLLPHISQWTKQFGKTFSFALGKTQFLYIGDGELVREMSLCKSLNLGKPSYMHKERGPLLGKGLLTSSKEVWLHQRKTIAPTLYVDKVKNMFSIVLESGNTLIRSWEQLVDTEGGIADIRVDDYVKTFTSSIISNVMFGKYEAAEKLLFSKCRDLMEVSGSPTVLDGYPFNRFYPTKIHRQQWKLEKEIYWIIQDTKMKCRSESESIIQTLVDGAKHGELGSSTPQQFIVDNCKELCIVGMEVPGITAIWGLMLLALHPEWQARARAEVLEVCGGQILDAEKLGKMKVREERYLLGQNILGMVLNVNFMILSVLPPV >KZN11108 pep chromosome:ASM162521v1:1:41775329:41776366:1 gene:DCAR_003764 transcript:KZN11108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHNIVLIVIFAGNKQIHGGTLRASDNFPTDGYLIDLSASSDLEYIYEFVTLRDLMLDSNFRGGAIQVVDSLRTSIDNCYITHFTTTGIRVQAGHETYIRNCYMGQHITAGADPGEKDFSGTAIILGGNDNAVTDTVIFSAAIGIVIEGQANTLSGVHCYNKATPFGGVGVYLKVPGLTQTRIVNCYLDYTGIVAEDPVQLHISSSFFLGDAFVLLRSIKGVANGVNIVDNMFSGSDQGIEIVQLDEKTSKFKDVKQVIVDRNNVNGMKIKSTIARATVEGNDSSWTVDFSRDLLFPNLIKSVQYSLQATGSQFPNHALRSVTDNRIVITTDRVVPASVTVTVDQ >KZN09882 pep chromosome:ASM162521v1:1:30305430:30309833:-1 gene:DCAR_002538 transcript:KZN09882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVKVEDNEDLQLDDMCPWKIGDNVASSSSSRVRSSFIEMGFPPSLVDKAIEENGEDNEGTLLETLFQYSAQKDTRPESLNSFGGFLNTNDELAGVVLPNEKVKVPHTSESSDSLDSLFGERNDASSHVDISMHVHPKEVSKAVRDCTVFVVYLCLFNFIKKVSGLANYLRRPM >KZN11937 pep chromosome:ASM162521v1:1:49523079:49525919:-1 gene:DCAR_004593 transcript:KZN11937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNQFVTINPDELKFQFELEKQVCCDLKVANTTDQHVAFKVKTTSPKKYFVRPNTGVIQPWDSCVIRVTLQAQKEYPPDMQCKDKFLLQSTKVSQQTDIDELPANTFNKEGGKVVEDCKLRVVYVFPYSGSTNGEDGIKDSSNMQGSGSSDDNQVLQRLRDERDAAVRQTQQLQRDVEVVFLEVRTRTCLLEVLELEARMMHLYEVLQLEPRITTGSIESQMQCQRVKRQRSRGNELTFSFKFALYVALFGIASGFLLNLWLSSAPTAVPHISASSSPPVPTPPADMSSSSPPKTPPPTDASSSPPAPTPPPDESLSSPPTPIPPTDATLSSPPADASLSSTPTAIPPTDESSTPPADSAPSTPPAE >KZN11875 pep chromosome:ASM162521v1:1:48965274:48969895:-1 gene:DCAR_004531 transcript:KZN11875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHRIINWLEEHPELREHVKNQEDRANCWAIVVARAIGARHTIHKRVKALRWLLSAQYLMENFWHDRSSDIQNGSNGREARDPYGNYPLNAQFALEYVTQKGMPYEEDYPYTGSFDRSEPIKDTSKLNKVYISSFSFLGCTSDGEATKEAIYQALLNGGPLIGTVEPTRLFFNLKGGILRPEDDSVSSYNPSNGDSTSEGEADEAEEEADEAEEEADEADEEGDEADEEGDKADEEGDEAEEEGDEAEEEGDKADEEGDEAGSPKSQSHNIDNVGTGADILESESHNSDDPGIISHLILVVGMDFERNTFLVQNSLGRKWGIDGVGTMDCELVYDILDIDINDDRNRGFVGPTSSSSHKQQRELKRLGRRTISGQCLPQKTPERDFRIRISGLEIYNENVKDLLNSDSGHNAKLLDDPEKGTVVEKLVEETANDDQHLKSLIRIFEAQRQVGETAPNDTSSRSHQIIRLTIESTLRENSNCVRSYVARLNFVDLAGSERASQTNPDGVRLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTLTLQHSLGDNARTAIICTLSPVSGHVEQSRNTLFFATRAKEVTNNAQVNMVISDKQLIKHLQKEVARLEAARTPDPLSEKDYKIQQMEKEIEELRIQRDLAQSQVDELRRKLQEPQVLELEANEAAGYDWENENMPEIQESPISWTVTFREQRQEIIEVWNVCFVSIIHRNQFYLLFKGDPSDQIYLEVELKRLNWLQQHLAKIGNTTPAHTGDEPTITVSSRFS >KZN11657 pep chromosome:ASM162521v1:1:46741768:46747395:1 gene:DCAR_004313 transcript:KZN11657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLSPPLSAPRFSSFITANTKLYEKPTKHVCFLSPLSKSFHPKLTFHTKKMQINCLSASSSSSELNPSPQLAVLLEVDGVLMDIYGGGNREAFNVELWIKSLKRTLADLNLVFLCSTVIPIIQIASAGDEKMMLFLYFNRIGWPSSLPTNEKETFMKNVLREKKTALEDLVMSKSIPLRPGAEDFMNEAIKEGIPVVILTAYSKIGDKIARSMIEKLGIEIVSRIKVVGTVEAEKSFYGQLVLGEGVSSSLDEQLIREARKAASAEKQRIAKEVASMLKLSVDIDTSSSESLQKIVTALRAAAEYVEVPVSNCVLVAGSQSGVAGAERIGMPCIVLRSSSTMRAEFTSANATLDGFGGADLTIAKLLSKRWL >KZN07891 pep chromosome:ASM162521v1:1:492442:493853:1 gene:DCAR_000560 transcript:KZN07891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPEQNGMFSRRCVWVNGPLIIGAGPSGLAVGACLKEQCVPFVVVERADCLASLWQNRTYDRLKLHLPKQFCQLPKLPFPEDYPEYPTRKQFIQYIESYADHFGIKPQFNESVESAKYDEAARAWRVTTVSTKGSVRSEVDYICQWIVVATGENAEPVIPEIAGLGDFGGEVIHGREYKSGKDYSGKKVLVVGCGNTGMEVSLDLCNHNAKPSMVSRSPVHVLPREIFGKSTFDWAMMMMKWLPVWLVDKILLTLTWSILGDLEKYGIKKPSIGPMELKIKDDGKTPVLDIGALEKIRSGGIKVVPGIKKFSKTMVELVNGQQLEIDSVILATGYRSNVLSWLQETEFFSKSGYPKTPFPNSWKGKNGLYAVGFTKRGLLGASADAMRVAQDIAELWKKGLKQKKPNV >KZN09100 pep chromosome:ASM162521v1:1:20259616:20266447:-1 gene:DCAR_001756 transcript:KZN09100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGKAKPRQNKSNAVVENEPDLSMSYPEADKTVQDDVCVEFDSAINTQTLQTDFSMRKQPLCFEKLVNEKSENNVSSLGNRTCQEESRLLHQDPRYNEEELSAALLVIKIIMKSDAAQPFNVPVDPDLLGIPPPHSRRGKECKDGSGTNNSACQLHQMGPHQEQSGCPSLTNSQIHKAPGPPTNDRWQNKHAHGVAVGQDTDNSIHQEQRHQALLNNKQVITPPVESNTRHRNHGHNIGYSVGSDTDNSAHKLDNLVQHIQLQSQQFPIAHEYQPSNASRVGQRKLRQKYPVGPDNFIIPCQLQGPRSLSLEQSQHQSNRERNQPQQSCNQPYSLLSQPDTSSNRPQASVQQQNTSSNQSQPDSSSSQSQSPQQQPEVQNDITSTSKKGQSRTRGPTRCLKLWNRDGKRIYVTTDNSGQPVGDQASKLISFLGTVARDGFTAPLIYADWRAMPEANKEKMWQQVQSKFDIDPICKDWALKSLGRKWKDWKAKLKIAHYNTHATNEERIADRDERVPLDQWVALVSYWSSAEGEARSARNKANRAHYKYGNATGAKRSAHVREESQLQDNNMQQHDTSYNIQKNQEENFTEIMAQDKHSQVVTNGLDMSRSKSKGSMPTRAEALKMVSVANLEVREMKEKMSVMEQTCAQMATQMSTMMAMISSMQKSQDKQNSSVDVAGPSAFRGSPQQIGHDNDIAFRPISVADTPDASEDEMQRQTRSNKRSQMVQVPPLRNKIKRTRASRK >KZN10738 pep chromosome:ASM162521v1:1:38549003:38549983:-1 gene:DCAR_003394 transcript:KZN10738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLEMLPKEYGYVVLIVALSGILNLWMVYQVVKARIKYNVRLPRLYALESENKDAKLFNCIQRGHQNTLEWMPLFLMLMAMGGIKHPIMSSVFGFIYVVARFFYFNGYSTGHPQKRLTVGVYNYMALVGLLLCTISCGLGFLLA >KZN08707 pep chromosome:ASM162521v1:1:14052479:14058022:-1 gene:DCAR_001363 transcript:KZN08707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGTVQINWHDTKPVLTLDFHPFSGLLATGGADFDIKLWLINSGEGEKKVPSATYHNSLSYHGSAVNVLRFSPSGEELASGADGGELILWKLHSSDAGQSWKVLKTLSFHRKDVLDLQWSTDGAFLISGSVDNSCIIWDMNKGSVHQILEAHCHYVQGVAWDPLAKYVASLSSDRSLRICVNKPTKAKGIEKMNYVCQHIVTKVDLEVVDNSKSAKGHLFHDETLPSFFRRLSWSPDGSFLLVPAGSYKISPVSEPINTTYVFSRKELSRPALLLPGASKPVVAVRFCPKAFSLRGTTASAFFKLPYRFIFAVATLNSLYIYDTESVQPIAVYAGLHYAAITDISWSPTGKYLAISSQDGYCSLVEFVDDELGSPIYSSEDKNDTSNEFTPTKKPEDVRMTEATTRKDEICRDAEAENGAGKQAIPAEKSEDNQKLPAETDAVKQPSPTGDTNEQKQESPKLVNTATEGKPARRRITPMAID >KZN10770 pep chromosome:ASM162521v1:1:38775091:38776991:1 gene:DCAR_003426 transcript:KZN10770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVALEARNGRHLQRYYKGSRQVVGCIPYRVVENGKDFEVLMISSQRKGKGMLFPKGGWENDESIEDAALRETEEEAGVKGIIEKKLGNWIFKSNGSDVYHEGHMFPLCVEEQLDSWPEKDIRSREWMSASDAKKVCQQWWMKAALDLLVDRFTSPQKQELDAAL >KZN08502 pep chromosome:ASM162521v1:1:9084515:9084727:1 gene:DCAR_032174 transcript:KZN08502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKQERANFYELLSLNSKENVGFEEIKKAYRSKALEYHPDVCSPSNREESTRNFVEIRKAYDTLSDPAS >KZN11020 pep chromosome:ASM162521v1:1:41106378:41106815:-1 gene:DCAR_003676 transcript:KZN11020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVIRLSKVAESFNTGMPMWIEIRGLFLVRGVVVIQKCWRVAGVRKKKGIRGIISSKCVXEEKEGDKGNNIVEMCDRVECMSIDHGNDCAGSVNSPSEQVNTENFEPAMIAQENKEEESGRGKFLFGMTKVQFSGHSNPQEEDSV >KZN08244 pep chromosome:ASM162521v1:1:4233385:4233636:-1 gene:DCAR_001309 transcript:KZN08244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFALPLKYSIAISCLFLSSCSIFTTFLQALVLEQYMPPYYFTSLIIGCTIMGLVYVDSIYDVLTYIVEVLRHSRTYRSNEQL >KZN08001 pep chromosome:ASM162521v1:1:1329928:1332076:-1 gene:DCAR_000670 transcript:KZN08001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDQQNSDWESVSCPICLECPHNGVLLQCSSYVKGCRPFVCDTDHLHSNCLDRFKSAHGMLSESSSSRTSSATSLSNESTDTKDPEATDKPVCPLCRGEVTGWAIVEVARVHLNEKRRRCEEEQCAFSGTYYELRQHAQLEHPHACPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDDSGDDFEDLPGAQGNWWTSCILYQVFDNFRTSRRRRRSRANESRRGNRNINYDTSNSDEGSVTSTEDEFVSTIGLSSTTGHRSSIGDQLHRSNKEFDYVGQFKLKAVKKLALLF >KZN08570 pep chromosome:ASM162521v1:1:10614257:10616948:1 gene:DCAR_001100 transcript:KZN08570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPICMRCIALFKFYDFSGLQINDIEPFCQDEIALYKQCSQKRDMELRQRLRDSEHKLGMSMPIDEARERASQLESEATLLDRRLILLSADKSFIAHRLRWSLQSRSIDIKRRLEGLKQGIEKRKEEPVKSETPKKWSFW >KZN11166 pep chromosome:ASM162521v1:1:42236445:42238063:1 gene:DCAR_003822 transcript:KZN11166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRTTFRLAKTYNPELLDGFTQSEEIIGVVVSPKLEFLLSMDLSRAEVIAIVTKCPPILHRSLNNRIKPTFNLLSSLIGSRDTLVLLKHNPHILTAYRIQDLSFNFEFLCELGVPRFQIVKYLAGPFPVLGKPHDKLRSVALKVKGMGFDLSSGYFFDAVVALCHVTDSTWEARCVLFRSFGFSNHEIDLMLRKLPRIMCYSEKKISESVQFVVNKLQWTPSRLSCHPAILSYSLQKRTIPRCSVLQVLVSKNITRESYRLSTILSLTQRKFLMEYVNAHMDKVPEVMEAYQGKLRFDEYTFKQKGQ >KZN10336 pep chromosome:ASM162521v1:1:34680971:34681348:-1 gene:DCAR_002992 transcript:KZN10336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHREMRNGEYEDLLPVMAEKLDVETFVSELCGGFKLLADPTSGLITAESLKKNSALLGMEHMSKEDSEAMVKEGDLDGDGSLNETEFCILMVRLSPGMMQDAEAWLDKALEHELRTSSDPHLN >KZN10890 pep chromosome:ASM162521v1:1:39978072:39982364:1 gene:DCAR_003546 transcript:KZN10890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRATYNLASLALSRSFLAGGHSAAVIRPRLLLTARLLSSSATPEVSPPSTNRLKPSIAVSGGIIAGAGVASYLEEQVYAKEMPLSPEKMPKEVVLYQYEACPFCNKVKAFMDYYDMPYKIVEVNPLSKKEIKWSDYKKVPILVVDGQQMNDSSAIIDKLDSMINSEKSAEQVPDNDEEKQWRSWVDNHLVHMLSPNIYRTTSEALESFDYITSNGNFSFTEKYTVKYAGAAAMYFVSKNLKKKYNITDERASLYEAAEQWVNALNGREFLGGTKPNLADLAVFGVLRPIRYLRSGIDMVENTQIGDWYARMESVVGGSSRIMT >KZN10366 pep chromosome:ASM162521v1:1:34966194:34967486:1 gene:DCAR_003022 transcript:KZN10366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAKDTTPGIVKEKRRITHSPSFPRPANTTKKSVNTATTTTIAPNHIKPTKPSNVDPSIKPVSTKASTSTLQKPDLARRKSFGSRPLSQPKSPDPAANAEKSPKTTGTGVRPKPVTQRSRSSSSLKTPASPSARPKVAATVTRNPVPGKSARPATRPATTAVYRKKEPQSNAAAKTLKSPTSKANRDSPQKSESIDEIDATKPDHEVETESCQDIHDQESVCSSVVDAHNENDDTLLNVDLEHDDQLDQINEEAYLLSEASTVVSEIEQSEVELENKVNEVTEKLQQESTNNDEPKIDADNGNDASSPKDGLQESEEVNMSTNETNEKDPVAAPEEEKEAVDDKNEKSGDEKEKAVEQVRPEGIEQVKVDKEDTTKKINNNNTTGGSDKKESPVSNEVIEETASKLRVKRKNKVLALVGAFETVMSKD >KZN10949 pep chromosome:ASM162521v1:1:40565480:40573631:1 gene:DCAR_003605 transcript:KZN10949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFAEGSLNNVNQDVPNLQKPLLDHGNSKDEAGGGGCHTVTSYENAGLFQTVFFSWITPLLALATTKTTLDLDDIPQLGPSNSVFGAFPFFRTTLLESSSQNNNYADVGGFSSKNRVVTTELGLAKAYILLAWKEIIWTALQAIIYTLARYVSPYLIDSFVHCLYGREVFNNQGYILALTFCAATFLEGFIRKHWIFKMQQIGIRLRGVTTVMLYNKSLTLPSWSSQLQGEEASRGSGGELINAVAVDVQRIQGFIVYMHDTWLIFFQIGLALLVLYKKLGLLASVGAFVVTLIFMESHLKWGKCCPHLLHFGLPIFLLPDTLYWLVQAKVSLGRIASFLSLDELQDNGLEKLPLGSSDKAVEIINGNFTWDNSLSTTTATLKDINLKVSRGMKVRICGTVGSGKSSLLCCILGEMPRISGDVKFCGTKAYVAQSPWIHSGTVQENILFGKDMDQHRYDQVLEACCLEKDIEILSFGDQTLIGEKGINLSKEYKLLAHSIMMRISMYLMILLVLWMPILEVLLGLQTVVYVTHQVEFLNVADLIVNDVTELSGQGTVQVGKYDEILVPGSDFIQLVGAQNAALSSTLESNRAVSNSADNAKSIIDSLVTATGYKTATILFKNMLESIFCAPMSFFNATPAGRILNRFSTDQSVAETRIPSLLASLISCTIQLVGIIAVISTVAWEVLVIFIPLIFASIWYQQYYMPASRELSRLCRVCEAPVIQYFNETISGIATIRSFDQESRFQPTFMEIVDSYSRPEFQVAAAMKWLLLRLDAFSCITLAFLLFISIYFRKSIDPAIAGLVVTYGLTLNGSLSGVIWCLCHWETKMISVERIIQYMTIPSEAPLIIQENRPDNSWPSRGEIDIHNLHVQYAPHLPLILHGVTCTFPAGMKTGIVGRTGSGKTTLVQALFRVVEPRTGSIVIDGVDISSIGLQDLRSRLSIIPQDPIMFQGTLRSNLDPLDQYTDAQIWDTLDKCQLGDQVRKMERKLDSNVHENGENWSLGQRQLVCLGRVLLKKSKVVIFDEATASVDTNTDNLLQRTLKDYFSDCTLITIAHRVTSVLDSDMVVLLSHGLVKEYDSPSRLLQNRSSSFSKLVAEYTSRSSNSNAR >KZN11241 pep chromosome:ASM162521v1:1:42954254:42955959:-1 gene:DCAR_003897 transcript:KZN11241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNVAGRSLLGGLNSNSLGLLRMSQETTCFDSLSQQTRTFIQMGTKLKVADNSGAKLVKCIQALKGKKGARLGDMIVASVKEAAPGGTVKKGAVVYAVVVRAAMPRGRCDGSEVKFDDNACVLVNKEGEPIGKRVHGPVPHELRKKKHIKILSLAQHIA >KZN11665 pep chromosome:ASM162521v1:1:46824319:46825157:-1 gene:DCAR_004321 transcript:KZN11665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQFDNLNIPPMITDDVPPGFAPQTNFLQDEYHQWDIDYILSQFTKNIKVELETQTERDLKFLLASLTSKFIKKMKIQSEKISRIAKLNMALQERAKALCAETQLYKDIARHAELTNMSLRRDFDRILAKVSQHNRPMPNEAAEQDAESCYGSTDFGNNDQGVDQDVTTRIPEICNRMCKKCGERESSVLLLPCRHLCLCGVCGSSVQANCPVCDTGMTATVHVCLT >KZN11719 pep chromosome:ASM162521v1:1:47403112:47405159:1 gene:DCAR_004375 transcript:KZN11719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAFMKIAQWKGGIVPVMYCRIDIQHNALVPYGESQYEDEKLPVKRTWPLFPFPIPHFQLPHYFTAPKKPVISPDCIKAKLALSDCVKEKLVSAWYSAPLVKDCCHPIANHKKSCPDFIVKIDELLIPHYMMSTCHAPNHSGKHADVPSASPPTGPPPKGPVGPSPKGPVGPSPSPKGPPSKPKGPVGPPSEPKGPVSPPPKSPPSKPKGPVSPPPKGPPSKPKGPVGPPPKPKGPTPPIDSTT >KZN09874 pep chromosome:ASM162521v1:1:30239617:30241277:-1 gene:DCAR_002530 transcript:KZN09874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDKKNKEMVPEAAATTTADVSVQYTTSVSIEFSQSVFGCSTSIQATSLISTASKYAPKIRSGSHTDIGPRSSNEDEHISIDDLSALLGTDCRWPQPSSFYAVFDGHGGSDASSYVKNNAIKLFLEDADLPELSDNDDKFLEDMKISHQKAFLLADQALANECSVRDSCGTTALTAVVLGRHLQVANAGDSRAVLCRKGVAVPISQDHRPSYLPEQKRIEDLGGYIEYGYLNGELSVTRALGDWYMKLPSGDLSPLTAEPDVQHVLLTEDDEFLIMGCDGIWDVMSNQEAVSLVRRQLRQNDDPQKCAVALVDQALRLDAFDNLTAIVVCFSAPTDLRDTVCSERPKLRCCSLSEESLSKLRSLLQGN >KZN11337 pep chromosome:ASM162521v1:1:43764060:43774554:-1 gene:DCAR_003993 transcript:KZN11337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKVEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPTFLVPLMGGSDNDKVRVIQTLLFVGGINTLIQTLFGTRLPTVIGGSWAFMVPIMSIIHDRSLTAILDPHVRFLSTMRAIQGALIVGSSVQIILGYSQLWAIFSRFFSPLGMVPVVALVGFGLFDRGFPVVGQCVEIGVPMLILFVAFSQYLKHFQTKQVPILERFALLISVALIWAYAHLLTASGAYKHHPEETQMHCRTDKANLISAAPWIKIPYPLQWGAPTFDAGHAFGMMAAVIVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLDGLFGTLTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFAILGKFGALFASIPFTIFAAIYCVLFGLVASVGLSFLQFTNMNSMRSLFIVGVSFYLGLSIPEYFRQYTAGALHGPSHTNAEWFNDFLNTIFGSSPTVALIVAVFLDNTLEFKESAKDRGMSWWAKFRSFKGDSRNEEFYTLPFNLNRFFPPSFNLSLFSELSVERYIMADDKEMSGPVVDGNNEVTGHIISTTIGGKNGEPKQTVSYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRTMSHPNVVGLKHCFFSTTSNNELFLNLVMEYVPETMYRVLKHYSNANQQMPLIYVKLYTYQIFRGLAYMHAVPGVCHRDLKPQNVLVDPVTHQVKICDFGSAKMLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVGQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCSALDACSHPFFDELREPNARLPNGRPLPPLFDFKQELSGASADLVNRLIPDHVKRQMGLQFLHPTGTGT >KZN11915 pep chromosome:ASM162521v1:1:49384523:49384994:1 gene:DCAR_004571 transcript:KZN11915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVASAPGKVLMTGGYLILERPNAGLVLSTNARFYAIVKPLYDELKPDSWAWLNA >KZN10654 pep chromosome:ASM162521v1:1:37945041:37948713:1 gene:DCAR_003310 transcript:KZN10654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHNQKCMPKRIILVRHGESQGNLDGRAYTNTPDYKIPLTELGIHQSKLAGEKIRRVISDDDRRFNWKVYFYVSPYERTRATLREIGRSFSKDSVIGAREEVRVREQDFGNFQESERMKRIKETRERYGRFFYRFPEGESAADVFDRVSSFLESLWRDIDMNRLHHNPSDDLNLVIVSHGLASRVFLMKWFKWTVEQFEDLNNLGNAEFRVMQLGRGGDYSLSIHHSKEEMAGWGLSSEMIADQQFRAQACRGCWNDKCSWYYDSFFDNLVRETAGDLGENKAEIRLEEVLEFRPDEEDLEDEEEPGDEEEPRETKPNEEIPQTPTAESIQNIWNRLKQFSLSMTKRENP >KZN11698 pep chromosome:ASM162521v1:1:47081532:47087163:-1 gene:DCAR_004354 transcript:KZN11698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAVRSFLQVAVTEEVASPLRVVQIEGLAMLKIIKHCKEFSPSLVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSYQTVELIETFMNYQENIKRCVCIIYDPSKSNQGVLALKALKLSDSFMDLYRNNNLTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEADTPVTQCDYDRLQLSTNPFMERNVEFLIECMDDLSMEQQKFQYYYRNLSRQQAQQQSWLQKRRSENMARKAAGEDPLPEEDPSNPIFKPIPEPPRLDSFLITNQISNYCNQINGVAGQSFSKLYLMKALHEN >KZN09053 pep chromosome:ASM162521v1:1:19556351:19561010:-1 gene:DCAR_001709 transcript:KZN09053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQWDEFSGEQQPSRIKRSLQGPRPLPLEVDERTHTIKKLPATRQPVSIYAVAPKVIHTTANEFKGVVERLTGNFSGDSSPAESLAAIEKTSPSGREMGKFPGILSPASLPPISSGMFALTASQADVMEVQVDFPGGNYGLFDIEELPGLMKNVLEHKLPKSKSVAIRNVRVMLIRKSKLPEIPDNLSFPDLENLIFRISNLDMIHLPSLFERMPALKVLDMSYTSIKVLPPSVSKLIKLEKLVLQCCEHLMELPHEIGALGNLKVLDLSGCANLAAIPESIDFPESLCSLDLRDCRCLTNLPESIRKLRSLNSLSLSGCSNLLEFHACEVSINRLSTSRQADTMEVKLDFNERDGTLFDITELLGLMKNVLGYQLMKSKFVAVMNLRVIHMTNFKSKFPEIPDNISFPRLEELYLQSNLNLSHIPPSVFEQMPALKVLDMSNTSIKTLPPLVSKLIKLEELHLRCCELLLELPHEIGTLRNLKVLDLEGTDLVCLPEELGELFELKCLKVSLCDADSCRKRKDLVNIIPRKTLSKLTQLEELSVDVAPQDIWFNASAKAIMEDLPNLRKLKTLKLYLPTTVLFQKLLELKWNKDELPIYQNLSNFNFIIGPHAHRFISRLPRNLEEEFLKLKKCLKFINGKDSMTTFSEALKYANALYLDCHWTIQKLSVLKLEELNELKFCLLVDCNEMQTIFDGTDFNHKVANKGDTCHSLQYLAIHYLKNLEVIWRGPGVDYCLQSLKVLALHMCPNLTTIFTQVLLGDLVNLEEIIVEDCPKIKTLVDADLTLPSLKKLSLLYLPELVSISSGLSIGPKLESIVIYDCPKLKRLPCVGECSKEVVEIHGESEWWNALEWSSSQPYTFSELDIDGDFLDELAPKYEDSLHVPDITQCQSTGKQTIRTEPSSAPPEKVLTFSRNELSRFESSDRIVKEIQDLQDLFL >KZN09831 pep chromosome:ASM162521v1:1:29774939:29798652:1 gene:DCAR_002487 transcript:KZN09831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEQLTPGGGGPRYVQMQPEPGTSMMSSFFSFRQSQPESTRIFDELPKATIIQVSRPDASDISPMLLSYTIEFRYKQVKEWLQNLGIGENTTMVHDEDEHDDETVPLNHDGSVRNRDVPSSAALPIIRPELGRQHSMSDRARVAMNGYLNHFLGNLDIVNSREVCKFLEVSTLSFSPEYGPKQKEDYVMVNHLPTIHNDDDHKKCSPCQWFNCCNDNWQKVWAVLKPGFLALLKDPFDTKPLDIIVFDVLPASDGIEGARATLAVEMKERNPLRHSFKVSCGNRSIRLRSKSSAKVKEWVTAINDAALRLPEGWCHPHRFGSFAPPRGLAEDGSEVQWFVDGRAAFESIASAIDGAKSEIFICGWWVCPELYLRRPFQTNASSRLDALLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLEIHENVRVLRYPDHFSSGVYLWSHHEKLVIIDNHICFIGGLDLCFGRYDSSDHKVGDVPPNIWPGKDYYNPRESEPNTWEDTMKDEINREKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPNEQTIPLLMPQHHMVIPHYMGSSSEMEVEKKIVEDIYSDMKRNDSVASLSSFQDIPLLIPQEADELRPSDGDLKSNGLEMKHDFDDQPSRASKGPFSFRKSKNEALVEDTPMKGFVDDSDSLVPNQDLSPDIGKRTSEKEWWETQERGDQVVSADETGQVGPRVKCRCQVLRSVSQWSAGTSQVEESIHKAYCSLIETAEHFVYIENQFFISGLKGDEIIRNRVLEAMYRRIIRAHHEKKSFRIIIVIPLLPGFQGGIDDAGAASVRAIMHWQYRTICRGPNSILQNLYNLLGARMHDYISFYGLRSYGKLFEGGPVAASQVYVHSKVMIVDDCIVLIGSANINDRSLLGSRDSEIGVLIEDKELVDSFMGGKPWRAGKFASSLRHSLWSEHLGLSAVEINQIIDPVIDSTYKDIWMATAKTNTMIYQDVISCIPNDLIQSRSALRQCMTHWKEKLGHTTIDLGIAPVKLESYQDGNIRGTDPMERLKSVKGHLVSFPLDFMCKEDLRPGYKESEYYASPQVFH >KZN10877 pep chromosome:ASM162521v1:1:39835163:39838887:-1 gene:DCAR_003533 transcript:KZN10877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKDIDSDERLYELFSFIFWTLTIVPLLKYAVIVLRADDNGEGGTFSLYSLLCRHAKVGLLPSDESSDEIMHYETGSTSPGKKNSRARRAIKNNKISHYMMLLLALFGSCMMIGDGVLTPALSATSECGDLEKDLKKYVPVPTACFILVCLFSVQRYGTHKIGIIFAPVVIIWLFLISGVGLYNIVHWDHRILKAMSPTYMFKFMKHSTIKSWKLLGNLVLCIAGSEAMFTDLGHFSKKSIKIAFVCLVYPALVLSYAGQAAFISKHLIPHDDEVVCVTQNASSEYFHLRESVPNHTGSSEVVRHFFVVLSLFAAAVGSQATITATFSIINQCQALSCFPRVKVVHTSNKIHGQVYIPDVNWLLMILSIACTIGFHEILPLGNATGFAIISGMLVTSCLMSLVILLYWERSWLLAASFLIFFGFVEIMYLSSSLLNFYKGAWCLVILLVFCMTIMLSWHYGTRKKYEFDLENKVPVDWLTDLSPGLGVSRVPGIGFIYTEVVTGIPAFFSHFITNVPAYHQVLIFVSFKLLPVPHISPSRRYLIGRVGPKEYKIYRCIVRYGYCDQVRDTDDFEDHIILSIGEFISREEEDVEALTSPERRMVVIGNQLMDENTIVSVPRGSDSETLSPPVDPSGSSCVTPNQKKVRFMLPPKSPAMRVSVRRELQELVDARESGTAYFLGQSHLSVQKRASFMKKFLITTYVFLDKNCRQPPVALNIPRAALLEVGMLYTI >KZN09190 pep chromosome:ASM162521v1:1:21520029:21520784:1 gene:DCAR_001846 transcript:KZN09190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDSWLKFLHIDFGSGNLLLGRVSDVSCFLGLFFLFSLGFRRLESDHGGVLSVLKSGFDFKSIFNEKSNSKILSCISRILSFLKRPEGRKTGSGDDDSNCCDGDEELDVMDLRNLVKIERDRADAACMELEKERLAAASAAEEMMAMILRVQNEKSLVEMEAKQYRRLAEEKQLHDKDVIQSLKWIAVKYKTEKNWLEGQVRLMKQKLKLDSEDAEEDQLEGIGESPSFRGASCGDGFEDGLISSLDSGL >KZN11932 pep chromosome:ASM162521v1:1:49491472:49493016:1 gene:DCAR_004588 transcript:KZN11932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSEPTALALTNPNAAAAAGEPPRVRQQPKRFIKNQIPDSILNNASLNAAISLLPSNYSFEIHKSVWRVASTNAKRVALQFPEGLLMYSLVISDILTAFADVERVFILGDVTYGACCVDDLAAAALGADLLIHYGHSCLVPVDNTVIPCLYVFVDIKIDVSKLISTIQLNLADKISDVRNGSSDEVSDIRVNSGEKASDIGVNLDAKVSDIGDDLGDKVSDIRVNKIVLAGTIQFGTAIRVAKPELEKLGFRVLVPQSKPLSAGEVLGCTAPTIVGKEVGEVGENVLVFVADGRFHLEAFMIANPGVKAFRYDPYMGKLFLEEYDHEGMKECRRNAILKAREAKNWGIVLGTLGRQGNPRILDRLEKKMKEKDLDWTVVLMSELSPARIALFGDSVDAWIQIACPRLSIDWGDAFVKPLLNPFEAEIALGDLPGWWEKSGMNSDCNTGVGCEKNESCCRGDCTGKKEEGKTVADYPMDYYAQDGGEWNSSYSKKQTRPSRRILVSGKENGHNL >KZN10525 pep chromosome:ASM162521v1:1:36591791:36603134:-1 gene:DCAR_003181 transcript:KZN10525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVETVEPEKLKEKVNTDEETVKSEGGEGRQSSKHKSEKNGDDKSHRSKRVKSGDEKGDGDRERSVRDKERGHRGRERGERDKEKGGRDRERGDHDREKGSSRHRSSSRDVEKSERGRKRSTREHKDREKDKGRKEKIGKGKDRDQDRERGADKEKDCEREKRVLKKDNDKEKDKGSGRERSQRSKSRPERQKDEPDREKHRDKELREREKEEESKEPDLMIRRPKEKREEVVEPEVDPERDQRTVFAYQICLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQTLLSQPVMVKPSEAEKNLVQSTTSAAGGPGGGIVPYSGGARRLYVGNLHYNIREDQLRQVFESFGSVELVQLPLDQVTGNGKGYGFVQFARLEDARAAESLNGQLEIAGRAIKVSAITDQAGMQDVGANPGDFDDDEGGGLSLNARSRAILMQKLDRSGTTSSVTSILGIPGVSTLGSSQPETSLPGTASTVSSLVNPLAQAPVPPLAGLLAAGSSVPTVNVPSFDIVGVPSECLLLKNMFDPNSEVVTAKFVKIPSEPDFDLDIKEDVQEESSKYGTLKHIYVDKNTAGFVYLRFDNTEAAVSAQRALHGRWFAGKMITATFMLPQNYESRFPDSR >KZN10020 pep chromosome:ASM162521v1:1:31760066:31763386:-1 gene:DCAR_002676 transcript:KZN10020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNQESALTQLRASVEQQLGCSTNEYNDKTMMRFLIARSMDPNKAAKMFVQWHKWRESFVPSGFVADSEIPDELEANKCFLQGLAKNGLPVAIIKACRHFPNKDQPQFKKFLVQMLDKSIASSFRGREIGDEKMIGILDLEKISYKNVDARGLIIGFQFIQGYYPERLAKCYILNMPGFFVSVWKLVSRFIDKATLQKVVIVTNKDEMKGMLEEIGDEILPEEYGGRAKLVPIQNVVLAPAEDDLVGQINP >KZN10211 pep chromosome:ASM162521v1:1:33601464:33602189:-1 gene:DCAR_002867 transcript:KZN10211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARVIAPSFLAKLKPSAQYNHFFTRLLCSSGGRTADPAVHSTDDPMGPTSAIHSPEEAYKDMENKGQRDPTPVKDKDPFVTSKAPISSSPQLKTSVSDQHAQPPTTQQKRNNSTLSAPSCEAFDPWSGESEEKRDRREMRREQEEDDKEYFSHHKASPLSEIEVADTRKPVTQATDGTAGGSEIGYDGAGGGVIMFREEQRVGAEETMRRATEMWRQTAARGDPYSPHGRVLRSLRGEDW >KZN09846 pep chromosome:ASM162521v1:1:29924732:29928697:1 gene:DCAR_002502 transcript:KZN09846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVERERSKSGGGYVGGFLHLFDWNTKSRKNLFSNKSNAQDILFISVISVEQLKQKTINDHNHPTTQLYLMDQDDSLARSSFKGSSEYSCASSVTDDDVGGSKAPSVVAKLMGLESLPTSNFSEAYSTPFLDSRSLKDAYYYRKSEEFHEDQQIMRSGNVFDRSQNTLRNNLDSKIHKSISKPFEKFQSEVLPPRSAKSIPLTHHKLLSPIKSGGFLPSKNAVHIMEAAARIFDSGSQVTTKAKLPSVGSSVPLKVRDLKERVEAAKKPSNLVESSQKPLESIAAKNIKGQSMTKSWNGSLDSKTFRASSNSEEGSVGSKNKGKSVSLAVQAKANVQKRGLSPNHSRTSAGQKEQGEMTTNQIFRSQPSLQRSSHKKSSTNNSSSVLRQNNQKQNCIAEREKVAVKSLNSNNSQVKKVTSGDSSLGRQRNSSKNSGSNKNGSRKIEREGNDDGREVPYSSTSVTRKKRCIDGDFNFQKDQAVKSEKKGKADQHNGVRESKFSWAEDSRSNGMDVVSFTFTAPMGRSIPVPETSREKLEKNNAFSAEFQGKEVFFNSSGTNRLRPSSVGHNMIEGDALSNLLEQKLREYTLGVDSFSRKAEEVGSTTSSQDQTPLKAVVKSSKLHIEGNQMGSQTECLDGRWNPVFSSNTYTEGHMSKHKLQEVEDMFDCGSNSSEVKNLLGCRHPSPVSILEHSIFAESSNSSDTGDSTSTEGLVISKQCSTSVQGQDVSNMRGSYKFRVVESDAELSDSASSSSTRFVTTKHVTLAMTDPVGSAKWELEYVKKILCNSEMMFKDVSTGQASQIVDPRLFDQLESCEDELKLQRKVLFDCVGECMDLRFRHYAGGGYKAWEKGLSMARRTKWLAGEVHREISSWEAMGDCMVDELVDKDMSSQCGSWLNFGVETFELGVEMERKILNSLLNELISDILVR >KZN11846 pep chromosome:ASM162521v1:1:48684660:48688278:1 gene:DCAR_004502 transcript:KZN11846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFITHYLPRLSIPISHSPFFHTQLFFLTKPTFSVSSTLVSPPKISHFSSTPTIPSLTHQETSDSEEPHLQISLEKLFVPPDTEVSATDVPRILKGSNIVVSKYARDAQISQAEFVKSSVDTESCPADGAPEFALVGRSNVGKSSLLNSLVRRKKLALTSKKPGKTQCINHFRINDSWYLVDLPGFGYASAPKELRTDWAKFTKDYFLNRSTLVSVFLLIDASIPAKKIDLEYASWLGQNQIPMTLVFTKCDKRKKKKNGGKPPEENVQDFQELIQEFFQAAPPWIMTSSVTNQGRDEILLHMAQLRNYWLKH >KZN08836 pep chromosome:ASM162521v1:1:16186984:16188021:-1 gene:DCAR_001492 transcript:KZN08836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDMPPIFHDSVLPTFVRGYDELMLETFGPTYERPDFKNVLPKRKARRIAEPSSEPFESNYFRDYEDYQQQQTQFDYAASGSFDSEQFDVGPSAPQYDVGVSQSQFEQPFGEESYRPMLYVDPIIQVDQPNSRDDPNAPFLDLPPEVDWLKTHWWSGGPIEKADLPQFCSEASVRSLEPGNLVEDSIIDEYCKLLKTRELMLYIDSDTVASYYFMNPWFFQVMATYHSKKKNPLRRKASEWAKFDTNCKAYGTLDKGARKITDYNYILMPVATDGHWILFFVVSKRLRSDIVRFSPR >KZN10247 pep chromosome:ASM162521v1:1:33833153:33835828:1 gene:DCAR_002903 transcript:KZN10247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPSSSLTCAEKKPWWLTNKKIVDKYLKDARYLIETQEQSEVASALNLLDSALTLTPKSESALELKARSLLFLRRYKDVADMLQDHIPSLRMSSSDDNSSSSSSSLSSDNSSHSRERVKLLSSGDSPGRDEPIFKCFSVSDLKKKVMAGLCKSSEKEGQWRYLVLGQACCYLGLMEDAMVLLQTGKRMASAAFRRESVCWSDDSFFFSKFPVSGEIIINNQTASQPKSEFETITQLLSHIKLLLRRKTSAMAALDAGLYAEAIRHFSKIVDGRRGAPQGFLAECYVNRASAYRSAGRIAESLADCNRALALNPSCVDALSTRASLFETIRCLPDSLHDLEHLKLLYNTILRDRKLPGPAWKRQYVHYREIPGRLCTLATKIQALKQRVASGETGNVDYYALIGLRRGCSRSELDRAHLLLTLRHKPDKSNTFIDRCQFSDEQDVDSIRDRARMSSLLLYRMIQKGYTNVMSTIMDDESMEKQRKTTSAALQATATMQQQVHQVQQDQAELLNAASMNIVTSESSNLNRVNNKSTPSAAAAAAAASSVFQGVFCRDLAAVGSLLSQTGFNLPIAVKYEALSC >KZN12045 pep chromosome:ASM162521v1:1:50563001:50574450:-1 gene:DCAR_004701 transcript:KZN12045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSETVVTQTTSVMAYTSTEYASTDNYNENAASDAVTSDAGVAGNVPSKISAGSGEMKVLTDVMVTDNTSEHTQNPTDENGNQNLAKEEVPTKQMMLVANSANVGENGTIAVANDFMSDAPALSAEEERLWSIVRANSLEFDAWTALIEETERVAEGHISKVRKVYDAFLAEFPLCYGYWKKAVQGVTYSVDMWLHYCVFAINTYGDPDTVRRLFERGLLYVGSDFLSYTMWDKYIEYEYTKQEWSRLAMIYTRILENPNKHLDRYFTRYNSYYSFRELVAQRPLSELRTAEETAAAAAVGTTVQEIEEEVHPNAVESPKPVSAGITEAEELEKYITIREEMYNKAKEFQSKIIGFERAIKRPYFHVRPLNPVELANWNNYLDFVEAEDDFQKVVKLYERCLIDCANYPKYWIRYILHMEARGSTDLAENALGHATQVFVKGKAEDACSLYEQAVAIEKGKEHSQTLPQLFAQYTRFVYLAVIHLESIQSTPKQIEFLDSLINKFNAPNPDNPCAASFIDREELSSIFLEAEDRHSELFFVHKSTSESKIRHADDILQSDKAKLAKSGYSSSPSVMGAYQSTKNQWPAGYGAQPQAWPQATQAQAQNWTPAYTQAAYGGAYGNAYAQPQVQTSVPQAAAATAYGAYPPAYTVQAQQTYVQAATPAPLAPGSSTATCCCCCPSILWHLLLLSQLMFHTILQFVAQIVCHWGMMEQQQQQQMPTVFDDHIIRGFEQEYRKAVAKVFIRNHGDKGWTGFIVSLSPTVVITVAHAVGSFPVHQVFSEDQFYLKFYDDPAEYKARALKCSFKSDLLMLHCELRPSAVSFFEFADHTSPLLSSTSLLCISHPAQRDWVTLRGTVCRVPFLMGENENYDHNMQLFDHDAQCFPGSSGAPITDLRGRVIGMNFSEYTIKEHCFCVPNNAKETNLSTYQDGRVVPHQIKELTFLPCNVKQGITLQYIAQTIRSFFQDEGFNDVDMNLNNYVRRSAIKYIMKEAKRKNQGKQPSQTPQGKRPREQRPAEETTEESNVFNPCLSISEGHGETCLGDIKKCRKSEQIEAPLDVEVVSFNLDMSIASHEPKVTTRVGHKFDQKTFSLMKQALEIQAKLAPKFPSFVKNMLPSHVAGGFWLGIPKNFSDMHLPKHDETVVLIDETELEHETKYFFEKNGLGVGWRGFSITHKLQENDVLVFHLIQECKFKVYIVRANALTGSDGSACVEEMNCDHGEKDLKITVMAGEEHLEHYSSIHCGQKKDKQMTLSSDLKGAMKCKILEEEEAGGTMGKAQGIIM >KZN09896 pep chromosome:ASM162521v1:1:30566929:30571900:1 gene:DCAR_002552 transcript:KZN09896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTHLSDRPLHSIPKTNPNPPLHLLQDRILRKPHFRRRLPAVRACAIGLPAPVPATLEQPGGKMVVELVGAFNELTERMDMSVLSTCSSRLLFKTLKLSIPILQALPMSPDGRSPLSRALSVALILADLQMDVEVISAGILREVFDARAISMQEVRKEISTGAAHLLHESLRVKNISSKVEVLDDDTASALRKFCLTYYDFRALILDLALKLDMMRNLAYLPRYQQQVVSIEIMKIHAPLAHAIGTHVLSLQLEDLSFQYLFPNSYLYVTTWLRDHETEGKPLLDTYKEQLFQSLKSDPILAKMVEEISVAGRYKSRYSTMKKLLKDGRKPEEVNDVLGLRVILKPSSEFISTEGGERACFRAREVIQSLWKEMPNRYKDYISRPKENGYKSLHMAVDLSDNGKIIPPMEIQIRTVEMDMLAAGGIASHALYKGGLTNPEEAKRLKAIMMAAAEVAALRLKDFPSTNQKALEGDQRHRVFRLLDKNGDGKISIEELTEVMEELGAQGEDAGEMMQVLDANSDGSLSSDEFDLFQKQVDLMRNLEDRDQQYKNLLNDKLQVVDGSSLIQVYRKELGDKLAVS >KZN10587 pep chromosome:ASM162521v1:1:37256363:37257541:-1 gene:DCAR_003243 transcript:KZN10587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFHNDAPILKKHNQTRIHDLPEELLAEILKLLPVKHILHCLCVQKSWYYLIRSPMFITLQLDYQKTPNNHHPKYLYFENAYTDVLSLRFDDVQCQEYCTLKTLPGLPQHSWRALSYGLICVSTILWPPRNATQKIYLWNPLVQKYKTLPDSPLPITKTKWKALAFGFVPEINDYVVVHVVSPCLHLSHGESDPHSVIIGVYNLNTDSWKMLTQDDVFVRMISDSDTVFFNAAAFWVGISLDKRRILMCFDTKTDILREISLPNYVPYTPGNPIIHLFDQYIAYFVWDSGYDYFDMWVLRYNSINDFFWEKKMSISPNKDIRVEVLGVRNNGEPILARSYDLISYNLDNHEAKNFVDSWDSWTPYKYYEEGFAPPFFISPFVESLVLLDIG >KZN10458 pep chromosome:ASM162521v1:1:35870933:35881142:1 gene:DCAR_003114 transcript:KZN10458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITRKWMVMMRPVFVVVWSFALAFVYVSADRGLMRNAQFDQIYDEFDQPNVVLRIKHFLWQSGQPGYHHVWPEMKFNWQIVVGSLIGFCGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSATAISKCMIMGAAVSTVYYNLKLRHPTIDMPIIDYDLAVLIQPMLMMGISAGVVFNVLFADWMVTVLLIVLFVGTSTKAFLRGIETWKKETILKQEAAKRHLANGHGEEAEYKLLPGGPSGATNNEIKDSSKEEITVFENVCWKEFGLLVFVWISFLVLQIAKNYTTNCSIEYWVLNLLQIPVSVGVTLYEALSLYSGKRVIASKADSGTRPGVKQLIVYCSCGVLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMMFSSSMSVVEYYLLKRFPVPYALYFICVATIAAFVGQHVVRRIINILGRASLIIFILASTIFISAISLVVLLLTDPVSTDKVPLLSGSEAIERMRTNRESYKSKQQYMAMYSSCFGGITTDPAAMVIPLDDHMVHRGHGVFDTAAIVNGCLYELDQHLDRFLNSAKMAKIKLPFDKESIKRILVQTVSASKCRTGSLRYWLSSGPGDFQLSPTCCFHSALYAVVIEDKSPSDYSGVKVVTSSIPIKPPQFATVKSVNYLPNVLSKMEAEEKGGYAAIWLDADGFIAEGPNMNVAFVTKEKELLMPCFDKILSGCTAKRALVLAEELVKEGKLRDIRVENVTVDKGKSAAEMMLIGSGVLVRSVLQWDEQVIGDGREGPVSKALLGLLLQDLKSGPATVRVPVSY >KZN11500 pep chromosome:ASM162521v1:1:45228969:45231606:-1 gene:DCAR_004156 transcript:KZN11500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSETPVRLEGTLLAILVCWFLGLGSLVSWNSMLTIGDYYYALFPDYHPSRVLTLVYQPFALGTMAILAYNESKIDTRKRNIAGYILFCLSTFALIVLDLATSGKGGIGNYIGICAFVGAFGVADAHVQGGMVGDLSFMRPEFMQSFFAGLAASGALTSGLRLMTKAAFENSGDGLRKGTMLFLAIATFLEFLCIFLYALVFPKLPVVKYYRSKAASEGSTTVSSDLAAAGIQKEEIQQAEDDADSKKRLTNKELFYQNIDYLLDLFLIYVLTLSIFPGFLYENTGEHQLGSWYPLVLIAMYNVLDLIGRYVPLIEFIKLESRKGLMIAILSRFLLIPAFYFTAKYGDQGWMIFLVSFLGLSNGYLTVCVMTVAPKGYKGPEQNALGNLLVLFLLGGIFAGVSLDWLWLINSSTEF >KZN10539 pep chromosome:ASM162521v1:1:36702266:36710723:-1 gene:DCAR_003195 transcript:KZN10539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEDNESCSSRVVESTAVNSRQQRMKLEVYNEVLWRLKDSDNKEAKEADFDDELWAHFNRLPTRYALDVNVERAEDVLTHKRLLLEAHDPANRPVFDVRLVQVSPSNGHTDDSIHSGSPKKEVVQSIHPPPAFGSSPNLEALAIEANKDVQDGDSATNACAKFSRPMHEVTFSTVDKPKLLSQLTSLLAEIGLNIQEAHAFSTADGYSLDVFVVDGWPFEETEQLRTAVAKEVLKIENQSWPNQIPLSIDVNEQTPIKCETDHLTIPNDGTDVWEIDPKQLKFECKVASGSYGDLKVRHKNVVQFIGACTKPPSLCIVTEYMCGGSVYDYLHKQRGTFKLPSLLKVAIDISKGMNYLHQNNIIHRDLKAANLLMDENEIPYEYLTPLQAAVGVVQKGLRPTIPKNTLPKLAELLERCWQQDPTLRPDFTEIIAVLQQIAKEVGDDGEDRRKSGGFLSVLRRGHY >KZN08760 pep chromosome:ASM162521v1:1:14950076:14954538:-1 gene:DCAR_001416 transcript:KZN08760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLHPKSLVCLSKLDLLTFSRIPRSPFFRISQFSSTPSPQFHSHSHYPSRRYEEESRLLRVSVWWDIENCTLPAGTNVFRVAQNLTAAVRRNGIKGPVQITAFGDVMQLSRSNQEALSSTGINLTHVPRGGKSSADRSLLVDLMYWVSQNPPPAHLFLISTDGDFANILHRLRLSNYNILLSSSENAPVVLRSAASIMWLWKSLLKGEDLDGKHFNQPPDGPYGSWYGHYKLPLDNPVAVTAQPVARADGLSDAEPKLRPVPKTVVKQIRDVVNLYPEGISITDLHSELGKNNVPLDKDWYGYKEFSCFILSNSHILKIQSWGESQLSVRSIAPTGEGILGIHAETVTNDKDLKKNIPENINYSESSSSRVEDKTSFPISSNANVKEPIRNLKESRKQVKESVKQVLVTSPLVEKVNGTEIIKENLMKSEELKNVEGPQEVVPNPPLVAENEKCAEVSMSNLEGQSPDSKIGFLRTIWGKWLHGENTASANKNVEPEDLTTLNEDNKRIEDMKKECVESTCQCIDAIQRSPKVSATEQTIIDENGATSCETTRGPGILNKMIRWWRGPESDNSTKLSSDNVTTTKVDDNEQGVFTNSFWDDMVAFLQTSSGSNLVMRSKSRKEMAWNLQNQGPAVLRYIIDYDCVRLVDLLISEKKWVEENPSQKYPFKLNLSFESEFNKLNGVKKISVDTQSQAESEKLPADGENTNVPPAVQEKPINPRAQIIQDCQKLVDYIVKTYPEGYHIRCFKNLFLQRYGYSLDVNKLGYLNLRSLLQIMVGVKIDASHIKPDIRLSKVMKFFDQKNTSSSVPVSKSVKSRNLDFPWEELGPVCHDSSKRNEMERSSRIKKEAVIRPVDHNYDTLSDDEFSNTEAENSYLSGLKGQEQPRKIVKHNSLLEILDTWHNGKEDNNARSLLIDVNDMVNDGSRKGRGGNFGQKPQSGKSYSFVADQGRGGLMKSGESAAESRVPT >KZN08333 pep chromosome:ASM162521v1:1:5378462:5381288:1 gene:DCAR_000879 transcript:KZN08333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALMLSVIISCVSEKEILLQFKGNISSDPFDSLGSWDSSKSPCQDFKGVYCNSDGNVYRIVLWNTSLGGILSPALSGLKSLRILSFFGNRFTGNIPFEYGEIDTLWKINVSSNALSGLIPEFLGDLPNIRFLDLSNNGYNGEIPSALFKNCNKTKFISFSRNSLSGSIPLSVGSCLSLLGFDVSYNRLSGGLPSQICDIPGLMSLSLSNNGLVGSVQDQILKCRSLEFLDLGTNKFAGSAPFNVLQFSNLTYFNISYNDFWGQIPEIESCSQRLEIFAASGNAFTGQIPGSISKCSGLKVIDLGFNTLSGSIPPDIANLQRLSVVRLGNNSLTGTIPAEFGNIQLLQVLDLHNLNLDGEIPDELCNCRFLLELDVSGNAFEGEIPSNLYNMSYLVNLDLHNNQLNGSIPTTLGNLSNLQLLDLSENLLSGSIPLSLGNLLELAYFNLSYNSLTGIIPSVPAIQKFGASAFFHNPGLCGSPLISCSGTQAKEKSSLLSVSAIVAIVAAAIILAGVLLITIINIKSRRKSGEAAAVVESTPLGSTDSNIIIGKLVLFSKSLPSKYEDWETGTKALLDKDCLIGGGSIGTVYRTSFEGGISIAVKKLETLGRIRNQEEFEQEIGRLGNLRHPNLVAFQGYYWSSSLHLVLSEFISHGNLYNSLHGVDHPGTSSGSGNSELNWSRRYQIALGIARALVYLHHDCKPPLLHLNIKSTNILLDESYEPKLSDYGLVKFLPLLDNNTFTKFHNAVGYVAPELAQSLKVSDKCDVYSFGVVLLELVTGRKPVESTLANEVVVLCEYVRELIESGSASDCFDRSLRGFVESELIQVLKLGLVCTSEMPSRRPSMAEATQILESVRSDPSS >KZN10164 pep chromosome:ASM162521v1:1:33148181:33152473:-1 gene:DCAR_002820 transcript:KZN10164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTEGAANQMGSSDSNPGSLKSSSFYLDKNVIDSDVLKVDDNDENDSLSTISDGGFVSGEEDFEIEKPVLGDKLVEIREIKTVGGVDSGDPFVGSPDFATPIAGGEEKSYKDVVLEGGVGRSFKDVVLEGDLSDVGGNVEVGVLEEGLKEDKVIEVAGAEEIKLEGEEVLLDEKKIEGYNGGEEGVSEVVEKHVVESSGSEEVSVGVVEPMEGVEVTREGDAVVETINVDLLEPGVAVVGEIEGNESANGSRSLSDESDQVSRGIVEEGSVQNVVVPMDIESLSFKDNSDNVSSGTVEEASVQNIVVPTDTDSLSLSNETDEARTGTVNENSVQHGVAPTTSELVELDGTKFTPEGDSVVEDIQVKLAVPGVAVVGEEENKVDVDVGSNDEPKQVFGDNVTAVQNVNIEPLGIDSTELTRSADAKTTPEGDFLVENIQVDVSKPGVAVVGEVEENGQAVGYVGDIKENENKPVTQVEEDAKSKLGVVSAPSSVGTGAEAVVGTIDSITSIPANLEEHKQTEDTSVSIQDEIKENGASAIDSAELNPLEITDGQNFKEKEASEDDVEGSGSDGESDGMLFGSSEAAKQFIEELERESGGSNSGAESSHNNAHRVDGQIATDSEEEDSDEGEGKEMFDSAALTALLKAATGASSDGSNITMTTSQDGSRLIEFERPAGLGSSMQSLRPAPRANRSNFLTPSNFSGSEEPENNLSDEEKRKLEKLQSIRVKFLRIIQRLGVSSDDSIPAQVLYRLALIAGRQTGQLFGFDTAKQTALQLEEDGKDDLDFSVNILVLGKSGVGKSATVNAIFGEEKTRIDAFEPATTAVKEIVGVVDGVKIRVLDTPGLKTSVMEQSFNRSILSSVKKLTKKNPVDVVLYVDRLDSQTRDLNDLPLLRTITSSLGSAIWRSVIVTLTHAASAPPEGPSGSPLSYDAFVSQRSRVLQVSISQSLGDIRMISSSLMNMMVSLVENHPSCRKTRGGQKVLPNGHTWRPELMMLCYSMKILLEANSLSKPQELFDQRKLFGFRTRSPPLPYMLSSMLQSRAHPKLSTEQGGDNGDSDIDLADLSDSDQEDEDEYDQLPPFKPLKKSQLAKLSKDQRNAYFEEYDYRVKLLQKKQWKEELKRMKEMKKKGKDYVNDSGYTDEDPDAGSAAPVSVPLPDMALPPSFDSDNPAHRYRFLEPTSQFLARPVLDTHGWDHDCGYEGVNLEQSLAILSQFPAVISVQLTKDKKEFSINLDSSIAAKHGENGSSMAGFDIQNMGKQLAYIIRGETKFKNFRKNKTAAGVSVTFLGENVVSGLKVEDQISFGKQYSLVASAGTVRSQQDVAYGANIEMQRRELDYPIGQVQSSLGLSLIKWRGDLALGFNSLAIFSTGRNSKVTVRAGINNKLNGQISVRTSSSDHLSLALASIIPVAITIYKKLYPDVGEKYSY >KZN10628 pep chromosome:ASM162521v1:1:37754578:37766879:-1 gene:DCAR_003284 transcript:KZN10628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELAQLSDSMRQAAAVLADEDVEENSRSSRRPSTFLNVVALGNIGAGKSAVLNSLIGHPALPTGEGGATRAPIVIDLKRDASLNSKSIVLQIDSKSQPVSASALRHSLQSKISTKNQDEIYLKLRTSTAPPLKLVDLPGVDKGNLGESLSVSIASAQSGSVGSDNSLETAWRAESESLKSILTGAPQSKLGRLALVETLAQQIRNRMNVRLPNLLSGLQGKSEVVKDELVKLGEQMVNSSEGTKALALELCREFEDRFLQHITGEGSGWKVVASFEGNFPNRIKQLPLDKHFDMNNVKRVVLEADGYQPYLISPEKGLRSLIKGVLEMAKEPSRLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIATTALEGFKNEAKSMVVALVDMERAFVPPQHFIRLVQRRMDRQRREDELKNRGSKKAVDAEQSTTLNRATSPQTGGQQQSGGALKTLKDKLSRTEKESQEAPALKTAGPEGEITAGFLLKKSTKTDGWSRKWFVLNEKTGKLGYTKKQEERHFRGVITLEDCVIEEASVEEEPPSKSSKDKKANGPDAGKPNLVFKISNKVAYKTVLKAHSAVVLKAESMADKVEWLNKLRNVIGGKGGQVKGDNGTPMRQSLSDGSLESMTRRPADPEEELRWMAQEVRGYVEAVLNSLAANVPKAVVLCQVEKSKEDMLNKLYSSVSSQSTARIEELLMEDQNVKHRRERYQKQSSLLSKLTRQLSVHDNRAAAVSSLSSDASEISPSSSGPSTGDDWRSAFDAAANGRSDSFGSSSRFGSRGHSRRNSDPTENGDADSGSNPGGRRTPNRMPPAPPPSGSGYRF >KZN11735 pep chromosome:ASM162521v1:1:47604905:47605903:-1 gene:DCAR_004391 transcript:KZN11735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRGARDETCVDIHIPDDIDWKRLDKSRFFCLGSVLFSGVSGALYPIVVLKTRQQVLVNGTPCYKLAGLILRNEGIRGFYRGFSTSLMGTIPARSLYMGTLEIAKSNVSSLAVNFGFSEVAAAPIANAAAGLSAAMVAQLVWTPVDVVSQRLMVQGGSNLSSAMVKYSGGIDAFRKIVYSDGLRGLYRGFGISIFTYAPSNAMWWGSYSVANRFIWSGVGCYNRNKDGGGFRPSSTSVVAVQTVSSVMASGVSALVTMPLDTVKTRMQVLDEGGGRPKVIQTVRNLLNDGGFCACYRGLIPRWISMSMSATTMITTYEFLKRMSTRKPENR >KZN07999 pep chromosome:ASM162521v1:1:1313899:1321165:-1 gene:DCAR_000668 transcript:KZN07999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTSRAKITGAGDKSGGNIAKRRRHNTLKTPYDRPPPQSPENFGIKQLSSDFFADTSRMIAKGAIKFLTFMTDTDHISSSSETEDDDDVVSCDDDVYAPFEVSKWIENDSTSTEMVRMSPKWVIGKLLLQETFSKLPRTIYLNMPIPVPITYFIKAYHTASLMLTDPLLKSFTFESLTRKESDKLIEIINSRVVDCFPMGEGQDAGLIEMPRGKCGTDDRCGTAVMQDKHWLNKKACPPCASPSLRPSGLRTPSPMATDHYKDEALSTLSCTSFPSTQERGTHSARSWNIQDEVRKVRFKAAEDILHAFPSKKIGLSINSEQKTFQNSIVPGGGSTVDKMQLSKSLPDKEDVDASVKLLSGPSTIHDSKDSFDFGLKQDGMQAEHLFTNPSTSVSEPNQHLTVEGASTSDSHHSMNLEHLVEQHNENDTNSEDGISRRFDVANSGAPVANSLASLRSSLRLRLSAGTEHDPKQTNSKLSTYLRRRKIHSTAEGSQSQDLQNSAYKGAMKISTAVGVPCPNACHGIT >KZN08273 pep chromosome:ASM162521v1:1:4709295:4710409:1 gene:DCAR_001338 transcript:KZN08273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNGRKQSGAAAGGLSAAAQVSPCAACKLLRRKCAAGDCVFAPHFPPDDPSKFANVHKVFGASNVNKMLQEVPEHQRGDAVSSMVYEANARVRDPVYGCVGAISCLQQQIDTLQTQLAIAQAQVLHLRVHVAATAGQALCASTCGSPMTSKITMSNQTKPNSNMSMVVDQGSLGDFTWSC >KZN11290 pep chromosome:ASM162521v1:1:43441438:43443187:1 gene:DCAR_003946 transcript:KZN11290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKFVTLCLVFLVLVVIDGARTPPGIAKNPSNASCKKKEFKNCYNLVHVCPKFCPDSCHVECASCKPICGPESPTVSPPDSQTPPKSPKPSPTPKPSPPTPKPPTPSPSTPKPQSPTPKPPTPYPTPSPSTPKPSVPSPSPPPPSPAPTTPSAPKPSPPTPATPYPTPSPSTPKPSVPSPSPPPPSPAPATPSAPVPSTPPSTTPTPTTPSTPTPPPPSPTPPPPSPYPTTPSTPSPVSPTPDSASSPAKAKCKNQNYPQCYNVQHTCPSACPGGCQVDCVTCKPVCNCDKPGAVCQDPRFVGGDGITFYFHGKKDQDFCLVTDSNLHINGHFIGKRNQNMQRDFTWVQSIAIFFDTHTLYLGAQKTATWDDTVNHLELSLDGESIHIPETEGAVWKSEAVPNASITRISNTDNVIIEVDGMFKITANVVPITEHESLVHNYGITQDNCFAHLDLGFKFYSLSDQVSGVLGQTYRDDYVSRVSMGVSMPVLGGNKEFATSSLFATDCSVGLFKGSQRNSHEASSLALELPSLTCGSHQNGRGVICKR >KZN12104 pep chromosome:ASM162521v1:1:51048443:51048867:-1 gene:DCAR_004760 transcript:KZN12104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFPSADPAWFYLHVFCQVSAYAVGVAGWGTGLKLGSQSKRVKYSSHRNIGIALFVLATVQLSCMSVGQNSVSYFTDR >KZN09220 pep chromosome:ASM162521v1:1:21785369:21789182:1 gene:DCAR_001876 transcript:KZN09220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSAYEPLLEKGRKNYDYEKTPLFLSCMKWVLKIIMWVIFVLWATVLVIYPLELGDQFFLKWIDATSHTLFGITGSIFLLFGGPLFLIAFLAIVQVAIFKKEEVQEKPASKKARFRLWTFPVIVDGPFGVVSAGEFIGILAFSVFIIWIASVFIIKDSNSSSVSAIQSLWEKSVLMLEITGLRFGLIGLFCLAFLFLPVSRGSILLRLIDIPFEHAARYHVWLGHLTMVIFSLHGLFYVIAWTMKGTLIQELLDWKNIGIANLPGVISLSAGLLMWVTSLPPVRRVQFELFFYTHQLYVVFVVFLALHVGDFIFSIAAAGIFLFMLDRFLRFFQSRSTVDIVSAKCLPCGTVELILSKPASLHYNALSWIFVQVRELSWLQWHPFSVSSSPLDGKYHIAILIKVLGDWTEKLRGLIMNISDQENQKKALLQPYRSITASVEGPYGHESPYHLTYPNLILVAGGIGISPFLAILNDILHRINENKPCSPRKILIVWAVKKSNELPLLHSVDMESMCPRFSDTLNLEIQTYVTRESEPSLEEGAVHKLQGSSVFPIPSGSAMSVLVGTGHIIWSGIYLIVPTIGLVITLGLLNIFYINPYSISYWWYKGLLFIACMVASVVIFGGATVGLWNYWDKSVSKMEVPEDFKKDSIQSHQTGNHETSEQENFTSTIRYGVRPDFRDIFGSISEKWGDVDIGVIVCGPPTLQTSIARECRGQNINRQKNHPIFHFNSHSFDL >KZN11580 pep chromosome:ASM162521v1:1:45961542:45963957:-1 gene:DCAR_004236 transcript:KZN11580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYPRSISRFSVRVGSLNQASRILGSKSESTPLHLNSPSQNYSAFSGSRNSKDHARTLANASPNFLGSLGMKWGFLKVNDNASLPSALNFRSYIHSASPKEFESGDDPRPMNFARGVTNENRPNEFEMGRNLRPMNFFRGVMNENRATEFETGRPSRPMSFVRGVTNEGRPNEFELGRDSRPMNFVRGVMNENRSNGFRGPQFSHQEVEKETADIVHIKLIRNNAFVTVTDSKVSDGS >KZN08610 pep chromosome:ASM162521v1:1:11934559:11936799:-1 gene:DCAR_001140 transcript:KZN08610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSISKLVCFLGFTCLALLVNTAHSATVVVDGVSNWKDPTVQVGDSVIFEHKYEYKLYIFQNRRAFNLCNFTQATLLTNPNSTSYTWHPSRLGYFYFSFNNGTTKPCEQGQKLAIKVSIFQPQVSSPLASPPLSPGGAVSSSPSYQWPFRPRDSTSPGPAPTTALLPASSPGGGIPFINSNPAVPLPTGEVDSATIGPLPITSAAHYTMLQVVGFQFHPQLQTALLCFVLFLALQ >KZN11568 pep chromosome:ASM162521v1:1:45809033:45811995:1 gene:DCAR_004224 transcript:KZN11568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKALPLWSGIYVSDVVVVNKISYSWHSNGRVGSFSLSAQRRETQVEDDKLWPYKQDLGSLSLNQFTDESTLFLKDLNSLPKPLSASELSFSSNGGAKARVAHQGVPGAYSEAAALEAYPKCETVPCDQFEDAFKALSQCEMTLNKLGIVRVNANNTAAAAQIVAADGKRDTGAIASSRAAEIYGLNILAERMQDDSNNITRFLILAREPIIPRTDRLHKEFAKFIRVLGCYPVSTVQ >KZN09492 pep chromosome:ASM162521v1:1:25902347:25905485:-1 gene:DCAR_002148 transcript:KZN09492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSTEEYESLPYICTLLNSCLWTYYGLVKPGEYLIATINGFGIIVEAVYVILFLVYAPKHIKAKTTILAGILNVGFFGTAVLATQLALEGEARINAIGILGAGLNIIMYGSPLAAMRTVVRSKSVEYMPFLLSFFIFLNSLIWALYSFLFRDYFLGVPNGIGIVLGLAQLVLYGMYRNPKASKNAIIVKTSGNAFEEDPRQQHEPLISSAHVSNI >KZN10911 pep chromosome:ASM162521v1:1:40220350:40222931:-1 gene:DCAR_003567 transcript:KZN10911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQSILQISMSLDSKCFDDDDRLKRTGDFKVKICGAIQYLNLFGVAIGYTISASISMAAIRRSNCFHDSHSKDPCHFSNNPYMLAFGAMGIFFSQIPDFNQICWLSIVAAVMSFTYSLIGLGLGISKVAETGKFKGSLTGISIGTVTQTQKIWGSFQALGAIAFAYSYSLVLIEIEDTIKSPPSESKTMKKATLLSVSVTSVVYMMIGCFGYAAFGDLAPKNLLTGFGFYNPFWLVDIANIAIVIHLVGAYQVSCQPLFAYVEKHAATKFPQSSFINKEYNINLFLKAYKLNLFRLVWRILFVISTTIIPMVMPFFENIAGILGAIGFWPLTVYFPVEMYIVQEKIPKWSTRWIGLQILNVACLIICTVAAVGSFAGLVTDLKVFKPFHTNY >KZN10263 pep chromosome:ASM162521v1:1:33997919:34002082:1 gene:DCAR_002919 transcript:KZN10263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDLQGRLLCYKQDWTSGFKAGFRILAPTTYIFFASAIPVISFGEQLERSTDGALTAVQTLSSTAICGIIHSVVGGHPLLILGVAEPTVIMYTFLYDFSKQRPELGHKLFLAWTGWVCVWTAVLLFFLAILGACSIINRFTRVAGELFGLLIAMLFMQQAVKGVIDEFRIPKREDPTLTEFTPSWRFSNGMFALVLSFGLLLTALRSRKARSWRYGSGWIRSLIADYGVPLMVLVWTAISYIPSGSVPKGIPRRLFSPNPWSPGAYDNWTVIKDMLNVPILYIIGAFVPATMIAVLYYFDHSVASQLAQQKEFNLRKPSAYHYDLLLLGFLTLMCGLLGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVSTARQSMKKNSSLGQLYGNMQEAYHQMQTPLIYQQSSDMGLKELKDSTIQLASSMGNFDAPVDETVFDVEKEIDDLLPVEVKEQRLSNLLQATLVGGCVAAMPFLRMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFVETVPFKTIATFTVFQTAYLLVCFGLTWVPIAGLLFPLMIMLLVPVRQYFLPKFFKGVHLQDLDAAEYEEALAIPFNLSSMEGEQGTRASPAEAGELLDGIVTRSRGEIKHIRSPKITSSTSTPFNDPKNLQSPHFPQKVYSPRINELRGQPSPRSSGKGVSSPTGEVRPSTPRRSPLN >KZN10804 pep chromosome:ASM162521v1:1:39168342:39168839:1 gene:DCAR_003460 transcript:KZN10804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFPKIPLGLLIFLTLATIYHHSNAQNSPTDFLNSHNTTRANVFSNGAMTWSTTLANYALNYANARAGACNLVPSGGSYGENIATGASPSFTGVAAVNLWVSQNAYYNYAANTCASGHVCTSYTQVVWANSVQLGCARVLCTNNVNYIVVCSYSVKGNVAGEWPY >KZN09535 pep chromosome:ASM162521v1:1:26758566:26759004:-1 gene:DCAR_002191 transcript:KZN09535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNAGSGSFALPPVTWVTRNVGVSVAGRNASIAVLSPRNSNMSDDIVGVIQRNGLLVKSRVKSSNVDKDYYTFVVQDGRHGDPWEAIRVRQKCNSAAREIESDVLN >KZN09643 pep chromosome:ASM162521v1:1:28073456:28075744:1 gene:DCAR_002299 transcript:KZN09643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDVLNHPEQQQQQLPVQEISSPLSAQILEFCESELFPETLQNSEVASSSNCCYEEHSSYTTNVPFNTPEVSKLSDSIANNVTRKPFSNAPPPMSAENNTNTSNLSIIFDSAEDFDNDISASIDFSSSPTALSVPQFTNCQQQQFDISLLQTQLGVADNAVDASLSQYANGPVVPQIMGPPFPALNEDECLSSMPSYMRMNHSSPTCSFLDPGINSYLQSNLNGTLSTESSGIFTGNLFLGTDLQPQELDYQGDNGGLFSTEPLSRVYNSNLQALSNESQHLVNGGANATPLASEITSLEDSTFKVGKLSAEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDPTRTTSSTHEEDTDEDVRSIRVVVKEEDDMVDSSDIFAHISGVNSFKCNYSIQSWI >KZN10246 pep chromosome:ASM162521v1:1:33817659:33818246:1 gene:DCAR_002902 transcript:KZN10246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHITSNRSLPATGKVILSDGSIHVYDAPLTVAELMLEYPQQVVVEYKAGAKGNKPSPLPADEKLDRQKLYIMLPVRKGKPASLTSLESQELLLRASNVLKSPSFLSSTTGVLPFLVRICPASRKCVADKNRRAAKRKEEFVGNNSLEKEDYFEKMLEMGTEFMTRQVSGKGWKPSLDTIVEKGVKPKVLHWLS >KZN10408 pep chromosome:ASM162521v1:1:35401496:35401897:1 gene:DCAR_003064 transcript:KZN10408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTEEADCSMGSMNFESLQLQAQGIKEIQAEHENSVPREEKQDEIEKIGVKLAKIVKLSRSPAPTKVKLAKSVMLSRSPPPAPIKRRRKVGDAKCFSGRKLWEDDEEAEECGMKEIAGFEDVLTRSPTATSD >KZN11790 pep chromosome:ASM162521v1:1:48113620:48116173:1 gene:DCAR_004446 transcript:KZN11790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICISTASYSSEIYDIEHGPENAVFYEEMKDAAEENQLLCSLHSQQGSKGINQDYGIIYQGYGMEMGTFCGVFDGHGANGHTVSKLVRNRLPSLLLNQRNDIVKYNSLSNDDRNLENWKEACVSGFRVMDKEIKLMENLDCTCSGTTAVTAMKQGEDLVVANLGDSRAVLGTIGKNGIRAVQLTTDLKPCVPNDSPGLAMSRAFGDFILKNHGVISIPHITYHRLTSSDQFVVLATDGVWDVLSNNEVVSVIWAAKSEEAAAKAVVDAAIVAWEHKYPTTRRDDCTVVCLFFQKSVHYNSRAQT >KZN09463 pep chromosome:ASM162521v1:1:25525235:25530958:-1 gene:DCAR_002119 transcript:KZN09463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKKELLASAPWRGQEEEKFKDAKLKATRNQSGSTMHLHRKKNNGVEKTYEDDSITELDPQLRYSFQRNYQFLQSVFSIDTIVKPLPPAMAYNVSRNLSFFTRIFTQFFDPEGISNAQKSLGLGQEEKVRQVR >KZN08159 pep chromosome:ASM162521v1:1:3327748:3329758:1 gene:DCAR_001224 transcript:KZN08159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISCCALACIVLFYVSVPVSAHVEFSEKVTDNPADKLVAELNSNRTARKGSSALFNNPGLACIALQYIKAYGGDCDSVGGKNAKKPPDAEIAETFAPNCGVEVSSLAPFTGRLLGCQSKYIQPKQAFSDILVTNDKALEIVHNSNHSEVGAGVSGADGGGPYFWCVLFSNGKGNSSFAFEGGVAKSTRPGCYSGANDDCSGSYSFFRHPRLWPILVGAFIVMSYASLV >KZN09138 pep chromosome:ASM162521v1:1:20787518:20788732:-1 gene:DCAR_001794 transcript:KZN09138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQQATSSPTSSSTSSTAQAQKPNPDSSDPMHSWWESISKARTRISLLSSLLSPSLSLSFLADTDRPARSLLSSHSAYSSISLSLSDPSSGSGSDPLCHWLYDTFLSADPDLRLVVLSYVPVLAGVYLSRVHSNWGSSLAGFEAVLLSIYAAETKARNGKAVVVTLPDLSLPSLYHTPRNVGGKSNGNVNLNNDRNLSGKSGVLCPPLEPQTAVKSTKRAFIVGVALDCYYKQISQMPGWSKLDFCRFVADWAGQDCECKSEFDDQVGNVFGDEIRVEEIDEVGEGIESLRITENGDCDVGVKGSRIPLPWELLQPVLRILGHCLLGPLNTNEVKDAASVAVRCLYARASHELVPQALLATRSLIQLDKRARESEQLAAVNSASSNANTPSKAKKPEILLVSK >KZN08472 pep chromosome:ASM162521v1:1:7418939:7421476:1 gene:DCAR_001018 transcript:KZN08472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALVVSFSSEVTGMSSCDKKKAKRQRVESNAETAPNIGSSETPDSVDEHMVHHGDDMDWDLIPKGHTKGLEWNFTQQNEKTCYDSFHDKDTSAFGMDAEINRTQGEQRPPSIQMPCFDQEQHKRSKAHYEQGTTSTSNAQKSENEDNHGKYTLLESLLSSYKSIQQTLLLHILYADDIACNEKMDSIKKSFKELNEKANLFVD >KZN10081 pep chromosome:ASM162521v1:1:32433251:32443381:-1 gene:DCAR_002737 transcript:KZN10081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRASNSGALRTAVRVVVIGDRGTGKSSLISAAASESFPENVPSVLPPTRLPADYYPDGVPVIIVDTSSSIQNKSRVAEELQRADAVVITYACDQRETLTRLSTFWLLELRRLEVKVPVIIVGCKLDMRDEGYHISLEEVMAPIMQRFREIETCIECSAANLVQVPEVFYYAQRAVLHPTAPLFDQETQTLKPRCVRALKRIFILCDGDEDDALNDAELNDFQVKCFNAPLQPAEIVGVKKVVQEKVPEGVNDFGLTLTGFLFLHALFIEKGRLETIWTVLRKFGYNDEIKLRDEYISIPLKRAPDQSVELTGEAMEFLKGVFSMFDNDNDGALRYSELDDLFSTAPESPWEESPYKDAVERTALDHLSLSGFLSEWDFMTLVDPARSLANLIYLGYNGDPASALHLTQRRLLDRKKKQTERNVFKCLVFGPKKAGKTALLNSFIGRPYSEHYFPTSAGSYAVNRVDRLRGNKKTLILQEIPEDGAKKFLSSRESLAATDVAVFLYDSSDEYSMKRAAELLVLVARRGEESGFGVPCLFIAAKDDLDSYPMAIKDSEMICQDMGIHAPISVSVKDGDMNNLFYRIVNAAEQPHIGVPETEIGKYKKRHRQILNHSLVFVSVSAAVTVVALAAYRAYAARKNASG >KZN11198 pep chromosome:ASM162521v1:1:42492508:42496189:-1 gene:DCAR_003854 transcript:KZN11198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSAIAQISLGVSLSDPSIRRSVFKAKNAFSRDQSVICMSVQQAQKSKVTVSPLALEDAKEPPLNLHKPKEPYTATIVSVDRLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGDNPKKPGNPQNVRLYSIASSRYGDSFDGKTASLCVRRAVYYDPETGKEDPTKNGVCSNFLCDSKPGDKVKIAGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMESVPKYKFNGLAWLFLGVANTDSLLYDDEFSKYLEDYPDNFRFDRALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDAKLSQLKKNKQWHVEVY >KZN09875 pep chromosome:ASM162521v1:1:30243335:30248040:-1 gene:DCAR_002531 transcript:KZN09875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNWSGGVLIVGLGLILLLRYSFVGKQPHKQSAYDFFNSHLSKDSTESGDSSNTVKTETVQNPEKRPHFVDVEGLDDLYAFRNMSEEESKVLLVWSQMRMLLSRSDALPETFQGIKEAAVSWKELLSLIEKDKSSQLNDNIQNDKKCPYYVGMPSGLNTSTSGSGYILEIPCGLVEDSSVTLIGIPNRGQGNFTIELVASKFPEEQNPPIVLHFNVFLPGENLTKEPIIVQNTWTNETGWGKEERCPNHHSINTTNVDGLAKCNEEVATSAEEEIAHASNLSVNQSSNVSSGSAHVSANFPFSEGSPFTATLWTGVEGFHMSVNGRHETSFEYREKLEPWLINGVRLLGDVEPVSAIAKGLPVSEDLDLIVDVEHLKAPVTAKKRLVLLIGVFSSCNNFNRRMALRRSWMQYDAVRSGEVAVRFFTGLHKNIQVNFQLWKESQAYGDMQLMPFVDYYSLISLKTIAICTMGTKILPAKYIMKTDDDAFVRIDEVLSSLKQKASDGLLYGLISFESKPQRDAENKWFISTEEWPHESYPPWAHGPGYIISRDIAKFIVQAHQKRELKLFKLEDVSMGIWIEKFKERGHEVQYISDERFYNAGCEPNYILAHYQNPRMVLCLWEKLQKEHKPDCCE >KZN08415 pep chromosome:ASM162521v1:1:6446986:6447921:-1 gene:DCAR_000961 transcript:KZN08415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAVLYQTFITTGLISMGTYHLISTVKNYLKSPSHYSAKPYHPFTSTSSYKKYIQLYLSLVFLFFSFLHQTLISSHTDLLLKGNTPVHKFISLQYAAVSVLFILLCLAILLSETTLLLPFSNDLFFALASGVFFFQYYVSSSAAQFQISDLQAHCDSVSAKISAVSSLLSFLLACFPKLFVADVLLCGSLILQGFWAMQTGLTLYVDAFVPQGCHKLLDVVTGVEGSTRCDLDDSKFRAVAILDLMFLVHVFFVMIIVLLVYALVAKMLGVRSSRFGSYEALPTVIPASASSITDSNHIQMKALTGTQA >KZN08924 pep chromosome:ASM162521v1:1:17774728:17777778:1 gene:DCAR_001580 transcript:KZN08924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQPQYNHSSIIFHHHNYRLIIAGAAIKPTHLCCLSSARDPTTTPPTNHRRHNSKSTHLLVHHLNPPEQDQPHQEAYFKQPHEEKMANKELLELSLARKRTPQFPGSIYPHSPSDVGEGVDVAGYSSMPPIRKLLKDNDSGEVDREMLIKALEIRRKVVMEIFVEAMVKGKFGITYATNLASRLPDFIDFVMIQAASLKNLPEFTGSSFNFRAKYVIDDSNVVPVIRWLKHNELPYPHIGKIICKSRGDLDSITRTAEWLKTIHVKGRSIGIVMIRAGEDILERSRKEMDEIVDYLESNGVRRIWMGYVMSRCPELLCMNLEEVKIRSEFYLDMGMNYHDFGTMVYDFPKVLGYLSLEEMNQKVAYLKEFGLNSEEVGRLLASKPHLMGCSIEERWKPLVKYLYYHGVSREGMRRIITTKPILFCIDLESNIVPKVQFLRDIGVQDDAIGNVLVKFPHLLTYSLYKKIRPVVIFLLTKAGVTQKDIGKVIALGPELLGCSISKKLDPNVKYFLSLGIRLKVLGEMIADFPMLLRYNTDVLRPKYQYLRRTMVRPLNDLIEFPRFFSYSLEGRIIPRHKIMVENRVNFKLRYMLEPDTDFDKRVQEAVEKRRKFELGFNGAAESEAQAEGYTDNIPVDACDHDYPSSDSGTITQTM >KZN10383 pep chromosome:ASM162521v1:1:35140051:35149997:1 gene:DCAR_003039 transcript:KZN10383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMDCEMENEHSEEMDMEVLSSMWPEDINEEGKMFNLEKPGADHDMLEGVKSKEEPAAVDFNRLVDLTTYSEKGSSQLSNLVKSWEYKQANAVRLLREELDSLSKQQKKVELKKLEMIEEHRFEEHKYGGNKRPVSVLDEVYDIWQEVPRRKNDVVVHDQRPEIEAEYDTIIFWKQRAIHLEKLLEASMQREQIALEKLQESIQSLERQSSPVEELSQVLRRADNYLHFVLQNAPVVIGHQDNELRYRFIYNHFPSLGEEEIIGKTDVEIFAGGGVKESQDFKREVLERGVAAKREITFETELFGSKTFLIYVEPVFSKAGETIGVNYMGMDVTDQVRKRERVAQLREEIAVQKAKETELNKSIHITEETMRAKQMLATMSHEIRSPLAGVVSMAEILSTSKLDKEQRQLLSVMLSSGDLVLQLINDILDLSKVESGVMRLEATKFRPREVVKHVLQTAAASLQKILTLEGHITDDVPVEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVTDPCACKQGDSPNIKYKEEQSAPVKGSHDHNIQSTSKCRCDQKDFDGQKQGEVPCQCRAHNDETRTSLENGTSTNGDREEYLPHETTVWIRCDVHDTGIGIPEKALPTLFNKYMQAGADTARKYGGTGLGLAICKQLVELMGGQLTVSSKEHQGSIFTFILPYKVSTMYDCSDDPDELQDMMGHDAANDMNDDDANSGFFKFQPRTLGPIFSASGPGRSQTLRPNGFGLGAIHTRTAYPQDSSSFPSGNNTPKEICLIEDACSEVDVTETSPEPESSLICKRSQRGVLNALPMTAETFPKQHQNLKSFS >KZN11876 pep chromosome:ASM162521v1:1:48973721:48982520:-1 gene:DCAR_004532 transcript:KZN11876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRTKNDSRGSDKHGPGATRKGRRVHFYLGLPTEKIYDASKNKKLHPIYMDEYVCLTELAIRLYNIKEHTQFILVKLLKIVTAKGGCWINLITFEALSPRGDATVFKTTITQFFLKYKKIEIKSVELKSSQSGHNDNWYLHFSMFCIKELIDFFNDLPITCVLKDLLATVPVEEHKGTKKHTQFILVKLRKIVTAKGGYWFFRKYRKIEIKPVKLKSSQSGHNGEKSKQDPPLDIAQQPVIKTFPANTLEDMAPFLSQYALVMYNMDQVKLFEVFPCKYLSTMLICITAAVQRVVVRLCIDNSRSSWRLDFNLHLTECCCSQFNRLSSTCKYIRSEGSPELVRRLGVPSFDETSPPEHLFPKLMEFHWNNCLHIPXTSPPEHLFPKLMEFHWNNCLHIPDLMKFCLLAVLLDARGSSLFYLE >KZN12039 pep chromosome:ASM162521v1:1:50480425:50489654:1 gene:DCAR_004695 transcript:KZN12039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKSSVDIEVEDREQADETSKSFEELGVDARLIRALSKKGVNKPTPIQCSAVPLILEGKDVVTRAHTGSGKTFAYLLPVLQKLFSEVSEQKKAAPAVFIVVPTRELCQQVYNEAMSLIELCRVQLKVVQFTTDAQINDLKVALAGRPDILVSTPGGILKCLKLGVLQGKQIQDSLSVLVLDEADLLLSFGHGNDLKALTVHIPRQCQCLLMSATISEEVETLARPLLHNPYILTLCDNGNEKDEIVSKNIQQYYIKCSSRDKLVHILALLKLELVQKKVLIFTNTIDMSFRLKLFLEQFGIKSAVFNSELPLNSRKHIIEGFSAGLFDYMIATDDGDSKEKEKDGDGDNAVINFDMPQSAVRYVHRIGRTGRAHDLGESVSLVAPEEENVFEEIEALLGENGPDSNPIAKFRFPTENAVESLRYRAEDVARSVTKIAVRESRAQDLRNEILNSEKLKAHFQNNPKDLDLLKHDKPLSKKAPAPHLRDVPAYLLDPTTQEASKIVKLTSAVMRRNNNSARRRGSQGKSRNRDPLKSFSAQGQKNSSKGGMKRKGTDGDSGKKSKTKHT >KZN08048 pep chromosome:ASM162521v1:1:1755459:1757034:1 gene:DCAR_000717 transcript:KZN08048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGASFGESKVQSVTCHTKLSIVFAGWEKKIPDACIFNPGSRDASALDNMVNSGQDFHCRFNLYMTN >KZN11634 pep chromosome:ASM162521v1:1:46538805:46541473:1 gene:DCAR_004290 transcript:KZN11634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGRDSSGDVVHIIPGNHGSGGGNWGSGSTDQAVWATEEDYGAWNREASVDGNSNLDGRQSQTRSGSEPPNKKSRILQPDVQSTNRSKAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHSIEELRRPPPNWQEIVAAHEEEQTANEPREEFQIPTLGSTAFPVEPQRSSKGRHCKKFFTEEGCPYGENCSFLHDDQSRTRESVAISLGPGSGGGYGGSANAGAGSGGVSPSTLKPSNWKTRICNKWEQTGFCPFGNKCHFAHGAAELHRYGGGLVDSDGKEFSSPSDTKQGTGLSKSPGDTLVASVPSVPYADGYHMGGSSQRVSNMPRAGPILNQKWKGPNKISLIYGDWIEDLE >KZN09817 pep chromosome:ASM162521v1:1:29664139:29666641:1 gene:DCAR_002473 transcript:KZN09817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVIIVCIIVAFLGIVSAALSFIAEVKRIKATQIQLDTTSQCIFPRSPAFVLATAAVVFLAIAHIVINVATGCLCVRILPEVLNFRLTTALVSSTMSWFTLSAAVFLLISGAALSYKDGEESKYEGNYYCFVINRRIFALGGLLSLATVFLGILAHILVYSADDLDERWSPPAAPGLHGIAMGQAELSPQSSGDQEFVQEISAYRGQEMV >KZN09442 pep chromosome:ASM162521v1:1:25278848:25286850:-1 gene:DCAR_002098 transcript:KZN09442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLGFAVPISDDAVPFPSDDSFSGYKLVPWISWDDWRFVKDGLFSSSPHSIASSLRRVSAWRSRGCVPVVVEVTASIIEIQQKDPFFRDDVSENLLHSDEMLSMLYSMAIMRLVNGVVEKTRKKNEVSIGEAAEVLDIPRMLIDVRHECSHRDLPSLRLVRLASLKALDWLKSYYWDPQTIAIPSNQTVNVKKEIKSVLRELLLSCPKKQINKILKSFSRLYSSYSPEVVSVLLRLLLKASDSVDMVMRVKNPLSHSASSLQTALDDWKPVIIKLLNREPELLVTLLRGILDMIETLESGNYDIEAEHFRSVEAVSEIEQLCKLFEWLVISCKGLKVVVDKESAVKTSSSTDLVVSNTTLVEYLRRCLKLCPGNNQLMKSALIIAHMTGNSNLTQKLKKLSSINNLTLQDENEIMPSVSSERYLSQQEDHLQKAAEKLEGFKQRHVKNVNANATDEHVGSRSVWVVAKSWKPCSIGMLPCAIGSSAHLPVLDGDHHCEEAKTLSAIKELPELNHCVGKREADCSVENLDITCHKKVRGSNAGLESEDTENQSLEGEYGHLMLGGVWKKVQEDEILDIASDIRILI >KZN08839 pep chromosome:ASM162521v1:1:16322715:16329189:-1 gene:DCAR_001495 transcript:KZN08839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWRREEKAIERILRVLMKLPENRRCINCNHLGPRYVCTSFSTFVCTVCSGVHREFGHRVKSVSMAHFNHEEVLALQDGGNERAREIYLEGWDPERNTHPDGSDIDRIRDFIKHVYVDKRYTRERRPHTDAARKSGDGDNFHKRYSIEKPGLSLREEFYERRYHERSRPTGRSEKNYREYTDGRSKRNHIDDKDYWDHFEGSSPRSIYERFGGHRRHSSTRFEIVDNRIRDDRYERRQSESLKISSTDTHVSEEPQTRDDRYETRRSESLKISRTDTHVSEEPQTSDDRYETQRSESLKISNVDTSMSPEPQRREEKVEPMELEPQKIRKKPNPPVLRPLRDIMLQMNPTIKSSDHANAAASHQKTAFSEIHDSADVKENEKKEESESRLIDIKNNLESHDTSANTQTERGSTIQSSTEQKTSNPPSINSVEYLLLELSVGNASKNPITDTASSAAPNASSDAPNISSSSPVASLTEPVTSSNLPTSSPTELVGPPIAPVTVSDVAANNESASMSKTSPTLLDEIPVVSLSIGNAQTHPIIFADSVSRVAEEENVQTPQHQPSTSPAESNSSTGPQGVRTLEALFDQNWPSSLAPATQEAPGNASTDQSSQAVSKESQTTKSHENGSKSSGRKELPPELFTFSHPTYPSPMNNWQCHPPHGMGYGMQYAPSPNVAAFPSSAKSRNPFDVEDDPRTIQSAMLPLIASVPGALARMPPHAGMQPRPLPFASGMPPEYSPSYEMYMPPGGYMGQQLPNHSSTQRPQGTGSFGAASAFASFNPTLHIPGGFSSNPALETMNSFPSAGRNPFA >KZN11441 pep chromosome:ASM162521v1:1:44669495:44671456:1 gene:DCAR_004097 transcript:KZN11441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLEKLLLEAAGRTGSSGRKRQSPPLSRRRREGSYSDNGSDSKEDDSDDDRRYSSRNRSSSQVPLKKRLSQLERDVGHRSREEGDVGYGREGDSDDDSIGSDLYKDEDDRKKLAEMTELEREMILTERSTKKTDRKMQEKLRMQKEKMNQSRKISPPHVSSRTGVRSSARYADRAAAKGDALNELRAKRLKHQDLEARWKFNRDSTSGGTSESRRFSPVKKRFVPETAVSSSSQSASGSRSEDDGSTGYGDSDDDNTSPKSAVLRFEDVKEITIQRSKLARWHMEPFFDDLIVGCFVRVGIARARNGPIYRLCVVKNVDSSNPEKHYKLNNMITYKYLNVVWGNENSAARWQMAMISESPPTEEEFDQWVKEVKRSGGRMPSKQDVSEKKDAIQKTSTYVYSAATVKHMLQEKKSATCRPLNIAAEKDRLRREMDVAISKNDEAEVERINTRLLELEASRQTQTKDCKAIKLEEMNRKNRVDNFKNASGIKSAIGLKAGDAGYDPFSRRWTRSRNYYVPKASGGDEAAVAEDEQTAAVVGPDGSGAVGGVVTEAGEVATAAALVAAADAGKLVDTSAPVDQETESNMLHNFELPISLALLEKFGGAQGAQAGFMARKQRIEATVGRQVPENDGRTHAQTLTISDYKRRRGLL >KZN08892 pep chromosome:ASM162521v1:1:17334706:17346997:1 gene:DCAR_001548 transcript:KZN08892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRRRRSRKQIENPDHQRNHRLWQDAENRKLLANLLQKNSNQRPKYLTVEDKLTVFGCRDLQRRIVEAEMELTLVKSQGYLQLQQSGSTSGKRLLAVIGVYTGFGSRLRRNVFRGSWMPKGDALKKLEERGVVIRFVIGRSANRGDSLDRNIDKENRTTNDFLIMDGHEEAEEELPKKAKFFFSTAVQKWDADFYVKVDDNIDIDLDALVELLESRRSQDNAYIGCMKSGEVVAEEGRPWYEPDWWKFGDEKSYFRHASGSLFILSKKFAQYININSASLKTYAYDDTSVGSWMMGLQATYIDDSRLCCSNARQDKVCSSA >KZN09017 pep chromosome:ASM162521v1:1:19091875:19092091:1 gene:DCAR_001673 transcript:KZN09017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKAGDEVLVDLNDIIKLLQTKDVEELCESYESGHNNKTVEKVLQ >KZN10379 pep chromosome:ASM162521v1:1:35100031:35101405:1 gene:DCAR_003035 transcript:KZN10379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYTFVCRSSGGEWTGKQHKEGDLEASAASTYELQRKLVQTVLSTDSSGGVQSSFSFVCPNSAVFQVIVGGAALVGGGGVAAAASGGAAPAAEAAAPAEEKKAEKEESEDEDLGFSLFDD >KZN11715 pep chromosome:ASM162521v1:1:47297838:47310942:-1 gene:DCAR_004371 transcript:KZN11715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSEEEIRILKKRKFDDYKYSPPVDDTMEIDASSLSLKPTKYQMEVFEAAKKGNTIAHSEIGAENSLIAVMLIEEIAKSVKLSGRKRLIIFLAPTNFMVMKFSTELQVDAYHGSRGIDLWNGKSWESEIDKNDVMVMTPQILLDALRKAFLKFEMICLLVLDECHHTKGNHPYAKLIKEFYHNSEVKPKVFGMTGSPEIKKGISSAGGFEKCISKLEAILESQIYSNKIRAEQKSVIPSTTELYRFYDPAVCSNPVLKRKLESTWLKFNSSLGALEASLSDSFRDTDDKQVSLGSRMSSIHQMILFCIDDLGLICALEAVKILTEKSPDVNKDCEFSVNSSLQLKNYLEEVLQLVKEHLPQGHESLSDVGCDHSQMVSTGLISPKLHELIRIFNSFSEAQPILCLVYVDRIIKAKAIERVLKKMTYLSHLSASHLTGNNGSVNALTTKLEEDALESFCSGKVNLLFTTDVEGIHVPNRSTMIYFDLPTTGRSYVQLKGQACQNYFQHVMMLERGNLKQRAQVFNNKQSEYCMLNTVLRIEEDAAVFKPCDTKGNAIAVDATTASVKEEDASILKPCNKDMNAYTVDVTGASAREEDASNLKSCNTDLNAYSMDVSVALVREEDSSVLNPCKENITSYAADVAGALVRAEDASFLKPCNKDLNTDAVDVTGSSVREAVATKKNKVSSTGTTKRKELHGTTCTRALSGTWGKEIDNAAFFAYKLDFVCNIPDQQYSSFIFLIESKLEDDVANLEVDLYLVNKFVKSSVSSCGQVHLNAEQVRKSMCFQEFFFNGLFGKLFVRSGSKKGREFLLQADVLLWSPSNMYLLLPLEFANTPSRELWKIDWAGIDSCVSEVDFLKKNAWLSAEQSGNVIRSSKNGGVVGSDLNCAGNIRFANRSVPITNTKDMVVMSVHTGRFYTVLKVLVDTSAESSFDGDSDEAPSNYSSFIDYFQKKYGISLVHPEQPLLLMKQSHKAHNLLVNFKEGFSSKKKKETKSKKLDRKPYELVRIPPELLVAVDVRLSVLKSMYLLPSVVHRLETLMLASQLRDEIKSHCGNFQISSSLILEALTTTKYNSSFSMERLELLGDSVLKYVLSCHLFFKYPDKHEGQLSECRTQAVRNSTLHKFGTDKKIQGYIRDSPFDPLRWTAPGQQSIQFFPCEHGVDTPEVPLDSKFVTEDPKIKIGKCCDMGHRWMGSKTISDCVEALIGAYYIGGGLMASIQLMKWFGMDVEVQPLLLDEAIKKASLHSYNPKDIESEILEAKLEYKFLVKGLLVEAITHASDGQVEDRGYSYERLEFLGDSVLDILITVYLYQSHTDIDPGELTDLRSASVNNENFAQAAVRRKLHLHLKHCPGLLSNQITEYASFVSGLCSNTYTPQAAKCPKALGDLVESIAGAILVDSRLNLDEVWRVFKPILSPIVTPDKLELPPLRELILLCDSLGFFIKESCLTRGETVFAELSVQLEDTRLSGEGFGQNRKIAKGNAAFQLLKDLEGRGFSLRKQNQDPAVDSSSMDSVEACPEALSVVPSFKKQKTCDFQTTTNTSSANGVSELNILAVKPINRNKGGPRIALYDVCRRTQWPLPKFETAEEKSRSPIEFTDGLEKRQGFSSFVSEITLTIPDRCTVVVNGQARPDKKSSLDSGCLMMLYELEQRGFLTIEKS >KZN07887 pep chromosome:ASM162521v1:1:475710:476985:-1 gene:DCAR_000556 transcript:KZN07887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAEDSPPLPWDRENAYKREAIELYYEAASGVRLSKKDSLRYFLEGTVGSHIESFDDDEKHADEYSVNDVNSNGQGRSKWIKVNEKRTLYDVLREPNFLIPGIPVFYVVSAKSSFYKKFKAGKWAPPP >KZN10569 pep chromosome:ASM162521v1:1:37094004:37107158:-1 gene:DCAR_003225 transcript:KZN10569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTIRGTTAVEITSGLHWYLKYWCGAHISWDKTGGIQIASIPKPGALPRILNDEGVIIKRPVPWNYYQNVVTSSYSYVWWNWERWEKEIDWMALQGVNLPLAFTAQETIWQKVFTGFNISKQELDDFFGGPAFLAWARMGNLHGDTFNENSPPTNDPDFISSLGSAVYKAMSKADKDAVWLMQGWLFYSDSSFWQPPQMKEWLKNYSSRRYGKAVSEVEEAWKILHRTVYNCTDSIADHNIDFIVKLPDWDPSLRTISRTTPKQMQNSYRPHKRRFSYHETTNPLPQSHLWYPTHDVIIALRLFLDAKHALAGSLTYRYDLVDLTRQVLSKYANQVYLDAINAFRVKDTKTFLYQSQRFLQLIKDTEELLAADDNFLLGTWLESAKNLAMNHKERRQYEWNARTQVTMWFDTTKSVQSSLHDYANKFWSGLLGKYYLPRASMYFDHLSRNLTENEDFKLKEWRREWIAYSSKWQRSNELYPVKAEGDALAISSTLYDKYLV >KZN11734 pep chromosome:ASM162521v1:1:47598501:47602230:1 gene:DCAR_004390 transcript:KZN11734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGQQYNPRTVEEVFRDFKSRRAGMIKALTTDVEDFFQQCDPEKENLCLYGFPNERWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINDLPTIYEVVTGAAKKQSKEKSAVSNHSSSKAKPNPKRVTESQREVPKSLQLKDDDEDEEGLEEEEDEHGDALCGACGENYGQDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRART >KZN11509 pep chromosome:ASM162521v1:1:45296576:45297967:1 gene:DCAR_004165 transcript:KZN11509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVYIVYYSMYGHVAKLAEEILKGASSVEGVEAKLWQVPETLSEEVLGKLSAAPKSDVPVITADELTEADGLIFGFPTRFGMMAAQFKAFLDSTGGLWGTQKLAGKPAGLFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGSGMFEMENVKGGSPYGAGTYAGDGSRQPSELELAQAFHQGKYIAGITKKLKGTA >KZN10057 pep chromosome:ASM162521v1:1:32228283:32231012:1 gene:DCAR_002713 transcript:KZN10057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMTDINEDSLILVKQGAEARVFESQFVGRRSIVKERFSKKYRHPTLDSKLTQKRLNAEARCIAKARRLGVPTPVLYAVDPVLKTLTFEYVEGPSVKDVFLEFGIHGVIEEKMDDIAMQIGHAIGKLHDGGLAHGDLTTSNMLIRSSTNQLVLIDFGLSFTSALPEDRAVDLYVLERALLSMHSSCGNVMEKILAAYRKSSRQWCSTLNKLGEGIVFRP >KZN10839 pep chromosome:ASM162521v1:1:39440875:39441351:-1 gene:DCAR_003495 transcript:KZN10839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSFKHSISTTSTKPLAFLFITSILCNFISLSSSIQFPDSPPENLVSAEKSRLGSTPPSCHNKCNQCHPCMAVQVPAPPRPLRATRFDPSSPGNNYSNYKPLSWKCRCNNHLYNP >KZN11804 pep chromosome:ASM162521v1:1:48219421:48221099:1 gene:DCAR_004460 transcript:KZN11804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSEMRDAWAAKRKNPFFIASPSEDQRLINSRNCTQEGVRAGAKAASIACVVSAVPTLTACRMIPWAKANLNYTAQALIISAASIAAYFITADKTILECARRNAQYGKSA >KZN11223 pep chromosome:ASM162521v1:1:42799770:42801263:1 gene:DCAR_003879 transcript:KZN11223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNICHHNFPLSNDYQTANRGPRSRVRAFQEATQEAQKPSKMTTRLAESVSHMLHLHIEKPKPKSLRTMINSHDKPILSPKEDISDKWRQIQGSSGWGDLLDPLHPCLRREILKYGEFAQATYDAFDFDSFSEYCGSCRFSRNNLLNKLGLHYHNYNVSKYIYAMSHVDVPQWLKKSHVMDSWSRDSNWMGYVAVSDDKESRRIGRRDIVVAWRGTVAPTEWYEDLQRKLEPIGAGEAKVEHGFLSIYKAKNEYTRYNKSSASEQVITELKRLVKLYKERGEEVSITVTGHSLGGALAVLNAYETATLVPNVPITVVSFGAPRVGNIAFRDELHQKGVKTLRIVVKQDFVPRMPGIVLNESLQKFDDITGTLEWVYAHVGAELKLDVRASPYLKRGFNVLGYHSLETYLHLVDGFVSTESSFRTEARRDVALVNKACDMLVEELRIPHFWYQMANKGLVCNDHGRWVKPERDPEDVPSPTSEGQYNVFHHLESNYQGA >KZN08069 pep chromosome:ASM162521v1:1:1995465:1997187:-1 gene:DCAR_000738 transcript:KZN08069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNLFHFFALLSVAFVASHAALSSEDYWRSVLPNTPMPKSISELIQSPEFLDDKSTAVNVGKGNVNVHTGKGGGTNVNVGKGNVGVHTGKGGGTNVHVGKGNVGVNTGKGGTNVHVGKGSGVSVNTGKPGKRTNVGVGKGGVVVRSGHKGKPVYVGVKPGKNPFVYNYAASADQLHDNPNVALFFVEKDLHQGTNMNLHFTKSATPATFLPKKVADSIPFSSEKLPEILSKFSVEENTYESETMKNTISECEAPAIKGEEKYCATSLESMVDFTTSELGNKVSAVSTDVEKESELQKYTIVGSKKLGEKAVICHKQNYAYAVFYCHKTNNVKAYTVSLVGNDGTKAKAAAICHTDTSSWNPKHLAFQVLNVKPGSVPVCHFLPEDHVVWVPRS >KZN11830 pep chromosome:ASM162521v1:1:48474421:48480004:-1 gene:DCAR_004486 transcript:KZN11830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNDGKREFLYTSPLELLATVAETVSDHPTLQETCHGPNIAEKDSSFERITAGEGDRSPSEVNDLVDDISSSEVSDLVDRDDQRKVKKVRSLKDVMRAVNEKASSKTSITAHNLPHKNQKLSLTKQKKIHSEVEESEKIITTVTRKLNEVVHTQAKVIADEDVMFKYISKNILLVATVSPKATGEIGSVTPEESSLVVYLIDTITGRILHRMTHHGSQGPVHAVLSENWVVYHYFNLRAHRYEMSVIEIYDQSPTG >KZN09813 pep chromosome:ASM162521v1:1:29646136:29646522:-1 gene:DCAR_002469 transcript:KZN09813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSGNHMAVDDHRGSAPYGILLVVVVVLLVVVPSILGEQGEALTEFIAELLSPVGLFLLPIILLLTIQFLSSESGSFVSSLFSSGGPESIHRASGSPIGVALFLVLVLFLLYNRISIFGGGDDDSDE >KZN09394 pep chromosome:ASM162521v1:1:24550427:24551521:1 gene:DCAR_002050 transcript:KZN09394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFLFITAIVALATVSAVTGCPPSDREALLAFKASLHEPYLGIFKSWTGSDCCHNWYGVSCDSTNGRVADIILRGESEDPLFQKARRTGYMTGTISPAICKLTWLSSIIIADWKGITGPIPDCVSYLPYLRMLDLIGNKLTGQLPKDIGRLKRLTVLNVADNNISGWIPRSISGLTALMHLDLRNNQISGPLPRDIGKLRMMSRALLSRNRITGPIPNSISYIYRLSDLDLSLNQISGAIPASLGKMGVLATLNLDGNKLSGSIPTTLINSGVSILNLSRNSLEGNIPDVFGPRSYFTALDLSYNQLKGPIPKSISAASYVGHLDLSHNHLCGRIPVGSPFDHLEAASFLNNDCLCGKPLRSC >KZN08276 pep chromosome:ASM162521v1:1:4777318:4796074:1 gene:DCAR_001341 transcript:KZN08276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGRYSLDLKRSPRKSFTSSPLSKSISDASTQSLSAILNNPTDATWTAWFSSPAVSPPDFLAVNTTRPGSELTRPDFKPYVSSICEQFNRFEDIRKHGSEEDGVELDRSGGQGEALVACLREVPALYFKEDFELEDGGTFRAACPFSSVGENLVLQEKLSQYLDVVEVHLVKEIALRSNSFFEAQGQLEGLNSRIVEGSSRIRELKETIWVLDSDLVDLARQVQELSSNKSNMLVLQQKLRLIMNVNHALSALKLLVASADCAGALDITDDLRQLLDGDELTGLHCFRHLRDHVNGAVNSINSILSAEFMRTSIQDTRDADSVILLQAKERAASLTNGDDDEISIVSYTVLFADGAANVTYGANMMQVETDKVEDSNIREQLLPPIIGLLRTAKLPSVLRFYRETLTAEMKSAIKAVVVELLPVLLCRQSDLVYNHWEEVADADGGGSSLASKLKTLSSDNFVQLLRTLFRIVWAHLVRADEVKKVIECIMCNLDGHYAADSVAAAIAVGTATSEASQETDAQVSPFLSYSLHRNTAMSPSNQGRGNDANYPLNISRNFRADVLRENMEAVFAACDVGHAKWAKILGVRAVLHSKLRLQEFLSIHKLTQDFITATEMIGGRSAYSIRGTMQSQTKAFVDFHHNYRMDKIKAVLDQETWVEADVHDEFQRIAASLYIEEPVDDGNADDTYNSLAGGKNESVSSNSVSVMADSQVADSVKPIDRTDSIEVSPDMTTQITLSSSTRPTDSNSSDVRLSAQRNGATRTISFRGVHYHMVNCGLFVLKMLSEYIDTNNSLPALSSEVVLRVVELLKFFNTRTCQLVLGAGAMQVSGLRSINSKHLALASQVISFTYAIIPVIQSLVGNGKKQELVATFFEKGLTRRILFYKVPETRQPLLLKEIDRVEHDYKGHRDEIHKKLVQIMRERLLYHLRGLPQIVDSWNRTEDTDPQPSNFARSVTKEVGYLQRVLSRTLHDADVQAIFRQVVVIFHTEISEACSRLEISTSQAKNRMYCDLQHVLGCIRSLPSDDLNNSETPNWGMLDEFVVQRFGGEDGQ >KZN08457 pep chromosome:ASM162521v1:1:7097109:7099519:1 gene:DCAR_001003 transcript:KZN08457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTWAFLLVLLLCSTFVDASSRREVITARHGAVATDDGRCSLIGRNVLREGGHAIDATVAAALCLGVVSPASSGIGGGAFMVFRSAHGKAQAFDMRESAPIQASQNMYDGNADLKSSGALSVAVPGELAGLHKAWKKYGRLPWKRLVRPAERLARRGFTISAYLHMQMVRTESGIMKDKGLSSVLTSNNSLLQPGDMCYNKKLAKTLRAIAKYGIKPFYNGSAGLDLVQDLREIGGILTMKDLQQYQVKMRKPISAETMGLKVLGMPPPSSGGASMVLILNILAQYGAQGLAGSLGIHRNIEALKHAFAVRMNLGDPDFVNVKNVVADMLSPKFAKELKKTIYDNMTFSPSHYGGKWNQINEHGTSHLSIVDAERNAVSMTTTVNSYFGAQIMSPSTGIVLNNEMDDFSIPFKTTGGARPPAPANFIVPGKRPLSSMTPTIVLKGKRLIGVVGASGGSMIIAGTTEVFLNHFARKLDPLSSVMAPRVYHQLIPNVVLYENWTTVINDHFEVPAETRASLQKKGHVLEGLPGGTICQFVAIEEERHSKLGQVVAVSDPRKGGIPAGF >KZN09753 pep chromosome:ASM162521v1:1:29060219:29061541:-1 gene:DCAR_002409 transcript:KZN09753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSSLLFSLLCLFLYTSSSLAKTKSGPKQLVLPIYKDASTLQYLTQIKQRTPLVPVHLTIDLGGRSLWTDCEHGYISTSIQPGGCGTTQCRLAQASKECEPCQPTFPVGPGCTNSPTCWRFPQNTVTRSASGGELASDIITINSTDGKSRGDVVIVPQFLFFCGLTTIVDGLAKGVTGMAGLGRTKISFPVQLASALKLKRKFALCLSSSATSNGVVIFGEGPYNFPTNSNDSTFLTYTSLILNKVNTEVRVLRGEASAEYFIGVKSIRINNKPVKLNTTLLQINSSGYGGTKISTVNPYTVLETSIYNAVIKAFVSELKNVTRVPAVAPFGACFSSKNIGSTRVGPAVPTIDLVMEESGVFWRMFGANSMVRVTKNVMCLGFVDGGEATFITSIVIGGHQVEENLLTFDLDKSRLGFSSSLLLRQITCENYRVLAHA >KZN09927 pep chromosome:ASM162521v1:1:30849609:30850956:-1 gene:DCAR_002583 transcript:KZN09927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KZN09243 pep chromosome:ASM162521v1:1:22006454:22006672:-1 gene:DCAR_001899 transcript:KZN09243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTLSDIYLDNPPTRKIIFKISSGLYRSLLVSAFKVQDVEYGKKLKEMAVPKMEHRMTEANGTEEGDMKEI >KZN09647 pep chromosome:ASM162521v1:1:28101066:28107700:1 gene:DCAR_002303 transcript:KZN09647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETTAVIESSGGDTAVDKQPHKLYREWTFWFDNQSKPKQQGAAWGSSLRKAYTFHTVEDFWCLYDHVFRPNKLPGNADFHLFKAGIEPKWEDVECANGGKWTVISSRKETLDTMWRETLMALIGEQFDEAEEICGVVVSVRQRQDKLALWTKNAANEAVQMSIGRKWKEVLDITDKISYSFHDDSKRERSAKSRYFV >KZN10143 pep chromosome:ASM162521v1:1:32969223:32972368:-1 gene:DCAR_002799 transcript:KZN10143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAITVGELKSSIIGKRPFRPNASTRHLSEWPISDVASDLTVEVGTTRFALHKFPLVSRSGRIRKLLLEAKDTKICQLNLSGVPGGPEAFELASKFCYGVNVEISLSNVAMLHCAAHFLEMTEEFLEKNLAHRTDVYLKDMVLPSIANSIAVLHNCETLRPISEEINLISRIISSIANNASKEQLTSGLSKLDHNYSSKCMPKLDTEIPSDWWGKSLQMLSLDFFQRVVSAVKTKGLKQDMISRILIDYAHNSLQGLVTRDPQLVKGSVPSLELQKKQRVIVEAIVSLLPSQSRKSTVPMAFLSSLLKSAIAASASTSCRSDLERRIGLQLEQAILEDILVPAHSLGNNQGAIYDADSILRIFSIFLNLDEDDDDDNHMRDESEMVYGFDSPGSPKQSSILKVSKLLDNYLTEAALDSNLSPSKFIALAELLPDHARILDDGLYRAVDIFLKVHPNLKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNNQFFFGSISGGQHFPQRSGSGAGSGCISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSIKQELVKTHPANKLFKSFTKKLCKLNSLFKIKDIKALNGSKGNLEARFLFQRRRRHSIS >KZN10779 pep chromosome:ASM162521v1:1:38880709:38883151:-1 gene:DCAR_003435 transcript:KZN10779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTFHPPASLTTTIKHLACNLKNPLIPTHFTKTPPHLKSTFRKFTVSMATTPFEVCVKASYTVPNKLGDCPFTQRVLLTLEEKHLPYDMKFIDLKDKPEWFLKISPEGKVPLIKFDEEWVADSDVITQSLEEKFPVPPLVTPPEKASVGSKIFSAFIGFLKSKDPADGTEQALLSELSAFNDYLKENGPFINGKEVSAADLALGPKLYHLEIALGHYKKWSVPDSLPEVKSYMKTIFSRDSFINTRALTEDVIEGWRSKVEG >KZN09227 pep chromosome:ASM162521v1:1:21859547:21859950:1 gene:DCAR_001883 transcript:KZN09227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGTATCVEIILAIILPPLGVFLRFGCQVEFWICLLLTFFGYLPGILYAVYAITK >KZN11740 pep chromosome:ASM162521v1:1:47757240:47758982:-1 gene:DCAR_004396 transcript:KZN11740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPSFFEEGDSYIHNLLKETSLGQMNENSGEILGNKRQRRVNKGRDGGGGDDGGYNGIGGDGVDGGSVRTKGLKEIITTLLLLEDQEKGLCFALECIHRIHHSSYT >KZN09983 pep chromosome:ASM162521v1:1:31385773:31387354:1 gene:DCAR_002639 transcript:KZN09983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAHMFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNRRRAEGKPCDKPNIVTGANVQVCWEKFANYFEVELKEVKLSEGYYVMDPVKAVELVDENTICVAAILGSTLNGEFEDVKLLNDLLMEKNKQTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGSSQVIAQYYQLIRLGYEGYRNIMENCQENAMVLKEGLVKTGRFNIVSKDNGVPLVAFSLKDNSRHNEFEISDTLKRFGWIVPAYTMPANAQHITVLRVVIREDFSRTLAERLVLDIIKVINYLDALPSSFTAKVKTDDELEEKVKNGTVVKKTAIETQREITDVWKKFVMARKAKVIC >KZN08044 pep chromosome:ASM162521v1:1:1680778:1681380:1 gene:DCAR_000713 transcript:KZN08044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPALGPERGTNPVIWFAAIILAIVAVAVITIGLFVIISYLVIHPTTPSISVSYANLDKFDYDQLGMLDVQVRVVVKAKNGNIKNHVRFYGLELVLALHGLQMAKLVNEPFDVKKNDSVEFLYVVKSNKIPLRSVYRDYVQSSLMKNLVSLELKGTTKTRWKVWVVDSVKITLHMDCDLHFVVPNGSLSTGSRCSSKSS >KZN08274 pep chromosome:ASM162521v1:1:4711994:4713617:-1 gene:DCAR_001339 transcript:KZN08274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATILDTNCELDKLTSEIFSILENKFLFGEYVNDPQLFSAKHQALPLEQLKSGKHITGKVRVLAIDGGGATDGVLSGKALVHLESCLREKSGDPNARIADFFDVVAGSGAGGVLAALLFTAGKDGRPIFTATEALNFVVENRRKIFRSPAAGGFRRFFRSPENVFGKRFGELTLKDTLKAVLIPCYDLTSGAPFVFSRADAFETDGYDFMIKDVCAATSAGHVAVEMKSIDRKTMITAIGGEIAMNNPTSAAITHVLNNKQEFPFCNGVEDLLVVSLGNGESCPGLVGKLSALPTAFVKIAGEGVSDMVDQAVSMAFGECRSSDYVRIQATNGVGSSKGDKNDKVLASIDQMLRQKSVESVLFKGKKLVQKTNLEKLELFSGELVQEHERRKTSILPTVVLKHASGGSPRTSSATSSSTLSSN >KZN11132 pep chromosome:ASM162521v1:1:41995874:41996533:1 gene:DCAR_003788 transcript:KZN11132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVARTDAAQAMKMGKELPHVAGSEGKTQVVPSKELHYADLQAWKFNDDTAEFLGGSDPTVDGTYSVKNLELGKMYEVSFYMSIKNLPVSNQVTLTLELPGGKKEEKTEKIVVVPLVWEEVSVGNFLNVYPRGDIKFKFSGVSGDTWKGLLLKGVHITSSS >KZN12002 pep chromosome:ASM162521v1:1:50155147:50159457:-1 gene:DCAR_004658 transcript:KZN12002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGEFANDTGGLASLSNHFNVQEENNIAQTTFQHYPDVEPDWDAILQQEPVAVGVDGNEVVKELPVQDAGYAMNAEYQSSNFQTEKSPPLQEIVEIEKDLVTLDHVHQPPVVEDSIHEKGLVEGVEKYGTYNYVSSQTCIEETSKERKSPEKGYTYDILSTQFGKLQDDAAK >KZN10592 pep chromosome:ASM162521v1:1:37289504:37297085:-1 gene:DCAR_003248 transcript:KZN10592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKIADKSRRARRKEARLEKNKKKFSSWVDHQQSRKLSKKYKNEKSKTVKFLEDDICVLRGMGMVPKDCENEVVSGFEEPSEVGSFVDSVQAPVVLDGNVSKTVKRKKGSVKKSKFEEFMEMDRQGNNASAEEDLRLERKLAKKLKIKDGKLSGKDASIDMLLDGISSVSNGGGKGENDEASGKSLLKASSRNRSRKSRLVDEEREKLETEKAGHSGDEVPESLDPGTVKNHDMKNTNIPSLDKMELDSLVGLKSMSKVRKAKKTKFEEYLQMDVKKDFVSAEEDMEVEKKLSKKLKVKNGKLRGDDDDMNMLFEGLPSTLFSSAHEEIPDAEEDPQKTLEDCSTRGKRKKKGTKQDSQMAPDTMVAQSEAVVRNVKYVAPHLRSQGRIDSNDHPQIRRRVRGLLNRLSESNVEAITGEAFSIFRDVGRSIGSEIISAEVLAACSGGPRGNEQYAAVHAAFVAGMSCMVGIDFGAKLLSLLARAFEDEYTKEDNLSLRNLILLFSYIYIFGVCSSELMYDFIAMLSKRLTEVDVSIILTVLQSCGMKLRSDDPAAMKDFIVSVQNRVNELKSNSEINDASKGGRRMEFMLETICDIKNNKQKPKEENVQQTKMKKWLLKLKVDEILIRGIKWNTLLDPDKKGQWWLSGEMSSDRDNIEEIASKTDLEITETQKMLQLAAAQRMNTDARRAIFCIIMSGEDYIDTFEKLLRLDLQGKQDREIMRIIVDCCLQEKVFNKYYCVLASKLCSHDKNHKFTLQYCLWDHFKEMESMELNRSMHLAKFISEMLASYSISLAVLKVVDFHDVHQLTPKRIMHFRMLFEAIFAFPESLVWNMFTRIAVTPEYEPLRSGIKFFIRKYVASSQKSLADKIKVAKKALNNAEGTIL >KZN12084 pep chromosome:ASM162521v1:1:50853359:50856056:-1 gene:DCAR_004740 transcript:KZN12084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGETWCFCNGGGKSERMKASIFSGKAPALARIALGGSLFGTGFLIHRNLLLTTHANLPSVSAAESSEIRLQNGVGACLFPHRFFITSSVLDLTIVGLDVMDGDSSVQVPHPQYLKTCSKLNLDLGSVVYILGYAEKNELTVGEGKVVIATDNLIKLTTDGVTWSPGSAGYDVHGNIAFMVCDPMKLATSPNTKSTSTSSSSSSWKRDPLMQFGIPIPIICDWLNQHWEGNLDELTRPKPPVIRLMSAGPKSEQSCASFTKRQVFKSTEDEDNGTPTLSTLKTKDIPGPSSSHVAKAHEEDTPNAESQTVPHLQGIPTPEIYESPKLTSAPFRKNESALIQLLDINFPPRIIKPAVLHQPARKLHSKLGENFVKAIPSRHDVREEHSRDQRYDTVADTEIASTDVPDGYSSEGEITMYSAETAESHNYPSPREVKFQQVGRSQSCVNYSRWGSVQRNPIARRTSLEKQRSFLQGRKVYSQGATSQKSNDYFSPTVSSIMKKRNNLEQQPTRPQQSAVHSSAKWAF >KZN08697 pep chromosome:ASM162521v1:1:13654015:13657678:1 gene:DCAR_001353 transcript:KZN08697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYVNVNFFWGGKIIKQDNDVLYTLDPKEMMYVKLSSSLEELRDMVFGLMHISRHHWDVKLSVKYPRIGVSNLVSGFFVKSVKSDDDVRRMLSIPERFHLGGDVSLFIEAESIAQPSLHYGGEYRGHYGQTGQTAAGGQVSNYVENYGWSFGGDYGGNYGQSQGMQGWSSGYNYGTIGEYGGGSSNTGRFVVEEGKAPMDKGKAPMTPGCEKRLKQQQDRRQPELVLGGMRNNRSNQPKPAPKSKAPPVVQQKKTRPPLGRMRTDFDIKKMIEETREERRLEVEHQKNVKKWNKLFRYICRKFPEVHKLYFQRHREMGEMDIPFGDSRRRYHAAKIDVECAALQAKLEGSQEARFWAEWGDVDNVTYQCRRVQMPTPIAFNIIRHFPTTPTAVLDFGRAVRVRLLRLVIPLIL >KZN09844 pep chromosome:ASM162521v1:1:29908783:29909199:-1 gene:DCAR_002500 transcript:KZN09844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERESCKRRKELELLRLISPKVVRKRKPKKSSSSNVSTVTSDGSSSNNNEGEAIQRTQGVREVESSSSKILDQGCSRQQVLCEKKWSKLLCF >KZN08465 pep chromosome:ASM162521v1:1:7349286:7352396:-1 gene:DCAR_001011 transcript:KZN08465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYPVLYDKPIDQWKVTELKEELKRRRLTTKGLKDDLIRRLDESLQMEIEIANKSAGKGLAFHPEPVQYQDASVVAGADEKSSAIFNKSGKDTELNSNVTEPNVDVNMGLMAQEPVVEVDTLEPVTIAETAMESIVQETTLDMTVQVTTVETKLKSGGHELQNNGAQSQSEALDIQMQDEKLDPSHELAKLSSSDLETQVFEVNKVKSDSISTDNVPVNEKIEDLNIQPQNEESNPHQEEANPNSFALETQVYEVSKVTSDSISTDNISINEKLELKDNIIAADVKLEFDVKHIRQEPSSGHVGLDDGGLQPMDVKGPQENEVKFEVTGTNADDSNLEKKNHSGDVEFSKTSHLDRSSGDNSIEDGILESKQTESISLFVESSDKIERREVTVAREQVPVVTMVDDTRTDGKTEQKNVPDVASTKRKPHDQGTVGNFDTAKKQRRWNNEGLKVLEPNNDNASPSITPRGTFQSNAKHTILRPDPMASVETPKERVVPPSSRSPTSALRIDRFLRPFTLKAVQELLGKTGTVTKFWMDHIKTHCYVNFSSIEEAIETRNAVYNLQWPANGGRLLVAEFVEPQEVQMRIEAPHSPAASVNTVPILPAVPTPMQSQPYPRVQVLRKAPPPLPVLPRPPPTVSNPLAGRERGLHPPPPTVSNSPAARERALPPPPPPPVSNPSAAREQDLSPPPLPERVMVPEKVDPPVVTLDDLFRKTRATPRIYYLPLSDEQVKAKENAHGKPSSSRD >KZN11616 pep chromosome:ASM162521v1:1:46338065:46342380:1 gene:DCAR_004272 transcript:KZN11616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKFAKVLDSRRSLNDMKRKRALQCKANNTKAATGTNIAPQPVFESSPNKINKTTKRRKLDISKSQYGWCSSQSKSSLLRYYSNFKRSAPPARLMCYQKGEWTNLPQDAVTSVRKDFQMKKAVLEVELSGKLFLMDFLHMMKLDLETGTQQPIAWIDEENTCFFPEIFLNQEKINECYGENDQVCGHIVPESHGSNDLKLQLEIDISGLDYLKMKESTGESDDIVRQVKVVKKPAIDAEADNSCIRVSNDEACEAFGENQQGDNVVRHDRGSIDSNTVREMILKAFSLFKVDKLEVSCGSGMTMQARSELFQKQVEITEKYHGDANVKYAWLPISKGEFSNVMTYGLGECEMSKMKSAYGSGILLLPLHCARSSASYCDVDENGVRYVILCRVIMGNVEAIYPGSKQAHPSCESYDSGVDDLNDPRHYVVWGTKKNTHVYPLYAVSFKISSEAGGRMGGNGNKLNISGVTTCQGPDAQLQSNSGSPDMVSVPKAQVAAENIGSHSPKSPKSPWMPFPMLFAAISNKVPSSKMNLVSNNYELFRNQKISRDDFVRRLRAIVGDALLRSAILNLQNEGQDRCVDAVGRSRSAH >KZN09332 pep chromosome:ASM162521v1:1:23363858:23364076:-1 gene:DCAR_001988 transcript:KZN09332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNNQEASCSRNEVNKNDRGRKETMQVKVGDDDTQDINKMADDFINNFRKQLRLQREESLRRYREMISRGA >KZN11288 pep chromosome:ASM162521v1:1:43425337:43426131:1 gene:DCAR_003944 transcript:KZN11288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASMALSSPSFAGKAVQVAPSSSELFGNGRVSMRKTVKAPVSDSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEVIDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >KZN07967 pep chromosome:ASM162521v1:1:1077202:1086119:-1 gene:DCAR_000636 transcript:KZN07967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIDYALASSEVPKFDLQFPTLLKQICEHRSDCALQAAIMVLMISVKTACKNGWFLHNDSKELQTLAHEIGSAFCCTIDMKTDMSSLEPTITTIMSRFYPQMKIDQILTSLAVKPGYEAYMMDFQIPKNLNVPQDVWLFVAEIDNLETSACIISPQQVNFLLNGKGVESRSNILMDNGPQLPTNVTKLLKHGTNLLQAVGQYNGNYVIMVARMSLGKPPVRPILQDYVQPTVALLDSDTDLTEGPSRVSLSCPISMKRIRIPVKGVLCKHHQSFDLDNYVDMNLRRPSWRCPHCNQTVCFNDIRIDQKMILEDVGVNVAAVMISADGSWKAATESNNQSDTQHEETSNCTLDDIVLPQCTVTNRLPPIMDLTEEVDVMDIVSDGENECVNPLLAHKQDQLSNPCTKSTNVINQSTPLQFKDCYGSGVYLPIHGSETSDGRIDAQVNAVSVSAPTSHVSPVLTDAISPALSREPDSFHAPPLAASDAPSQSALPVNTPVQQFSNFDMVNEYVRFPTTSINTNSVQGLPVQASPSILQQRPINASQICPNPLMVNSSNPVFSNVERRQQPMSHLSSYPGAYMSLSSLQQQSWGQQGQFSPSQPSQPITPTVSSRHPRGYRVPTVPVTDGHNLHQQSIGQRMLNIRSPSPSLVRSSVHGPPTQSPHGGLLNRVTSTPPVGQQPGQSHRSAQQASQLAGISSQMLSGLVQEPPIIRNVSADSRPLTGNTGGTIQPATPEVLVDMSAAGQEWRPTGRMRGSLSGAAYSAALNQFITQPAQPVQTTRPLTNVATSISGIPYPQHVLKAMSMNPTTEALNMSTPVPTTRPSTNMATSISGIPYPTC >KZN10220 pep chromosome:ASM162521v1:1:33657927:33659463:-1 gene:DCAR_002876 transcript:KZN10220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKCLERKGVADFLQEFTVLEVKVIEGHRTTIDVVLVNGVLHEGDQIVVCGLQGPIATTIRALLTPHPVKELRVKERKELIDLINSADTAIYSIEKSLGEYKDRIPSEVVTEIETAVSSLRTAIGGENAEEIKSKLDAANKAVSKIGEHMSK >KZN09782 pep chromosome:ASM162521v1:1:29308599:29309941:-1 gene:DCAR_002438 transcript:KZN09782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTIAASSISFTSLTLNNKGLAKNSVSLSINGKSFPSLRLQAASRRFRVSCAAKPETVDKVCEIVRKQLAIQPDVAVTGDSKFAALGADSLDTVEIVMGLEEEFGISVEEESAQTIATVQDAADLIENLVEKKGSA >KZN11245 pep chromosome:ASM162521v1:1:42970665:42971993:1 gene:DCAR_003901 transcript:KZN11245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENELVGLFEVMDSLLDDTSWGEMHPLPCTDTPWPGVQCEIAGDLNSPIFHITKIHIGPDILSPPCCKFNATLSSKALLKLPYLKTLSLFNCFTGSPVYIPSTLFGTFSTLELLALRSNPTLFGEIPSSLAKVPTLRVLSLSQNNLYGRIPKEIGGMVSLEQLDLSYNKLSGSIPQEIGGLRSLTILDLSWNGLQGELPYSLGQLQHLEKIDFSSNKLQGRVPQDVGHLKELVLLDFSHNLLTGPIPETLSGLQKLEYLIIEDNPINSGLPLFIGRLKKLKVLSFSGCGLTGPLLTSLSHLDGLIALSLDNNNLSDTVTPALGMLPNLDHLNLSHNFLTGEVSLPEGFINRLGKRLDLGGNVGLCTRQKFKKTNKMSPSFLQTPSCLETRGPAALDHSNNIKKVKPVWYQDSNMSSGSGRLDHQSFEFGSTFLVCFLISLI >KZN10467 pep chromosome:ASM162521v1:1:35984071:35984796:-1 gene:DCAR_003123 transcript:KZN10467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLASNASSSSHTRPQPQRPRFYPTQPLAERVIRALRHGLRLLHRSAATFYILGATANVYTVTLSSTPACSCPDRTTPCKHILFVYIQVLNISLDDACLWRRTLRPCQLHRLLSAPMSSQAMAGASIRERFHQLFFQERQCSSSNSHTNQQSFKIEEGTLCPVCLEEMTLQEKLIACATCNNLIHDECMTAWKKSSRRRSISCVICRARWKSAARQREDQIKYLNLSAYVNKQDDMVQAE >KZN09574 pep chromosome:ASM162521v1:1:27327116:27327550:1 gene:DCAR_002230 transcript:KZN09574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRERSDQTVIRSSSIALLQERFRQLQKVKELREEKEMFRFFPESDRCTSPPNYNTPLQKPFSLFFESEVLLPSSANVAAQAIPTSCQIKTCLSLWPDHSHVVAENVIKKSHCLNNKSWLSKTTPLFDVSDHDNETDVDTSLHL >KZN10344 pep chromosome:ASM162521v1:1:34752589:34755568:1 gene:DCAR_003000 transcript:KZN10344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACLRNLLKTARNFLPLTAGAATLSTTTSPKLSGAAMDDLQTLKTRLCIIGSGPAAHTAAIYAARAELKPILFEGWMANDIAPGGQLTTTTDVENFPGFPEGILGMELMDKCRAQSVRFGTQIYTETVNKVDFSKTPFRVFTDGKCVVADSVIVATGAVAKRLSFPGSGDGNGGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEANFLTKYGSKVYILHRRDEFRASKIMQTRALSNPKIEVLWNSTVVEAYGEGDKGNLGGLKVKNVVTGEVSDLKVNGLFFAIGHEPATKFLNGQLKLHSDGYVATTPGTTETSVKGVFAAGDVQDKKYRQAVTAAGSGCMAALEAEHYLQEIGAQEGKSD >KZN08951 pep chromosome:ASM162521v1:1:18097705:18100447:-1 gene:DCAR_001607 transcript:KZN08951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMLNVCMGEMIKLKQKVQVHRTQVSSEVSRQQESRTAAFCNLKSSVEDKKDVNSLSESTIFLIMDRLLRMSDMLNVCMGEMIKLKQKVQVHRTQVSSEVSRQQESRTAAFCNLKSSVEDKKDVNSLSESTIFLIMDRFAPS >KZN10331 pep chromosome:ASM162521v1:1:34642603:34643871:1 gene:DCAR_002987 transcript:KZN10331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPPARPWFRLATMAARSAPPPQPDPVPQPRPSAIRPAFRAPPTQTQEPAPQAPVSAPPPPVANTQVPPASVAPVTRSPPAAPAPVPATPPSKITTVAPPSPIVTQSPSTANRSSPVSSAPKATLAPVIPLPSSSSLPQSPKPKDPSPSRGTIYSPAPKTVSQAQTSAPKPSPYAAGSINVPATNSTSFPKPVSSQPNTPSASPINRRIVHPPSPLTLPPAQLRSDNERELKHPLEFEQKAVLVQETKEKPNLYNTEPRSTDNPHKGDRETNKKTHHKDKGSHKNTANSNSSGMRIITVAGDNKGAIMELRPHKNKDLGTNPVNFQGKFRTWGEGEKSRSGSSSSSSSEEGKSKKDGNPKEAMTKALSLPYVNSNVQAVNNSILFNATCTHHDPGVHISMSRTMSAGRGSKVKKQMNRDD >KZN11225 pep chromosome:ASM162521v1:1:42811457:42818116:-1 gene:DCAR_003881 transcript:KZN11225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADITHLPMEQLQDLEYCIDSNPPWPETILLAFQNYILVLGTSVMIPTLLVPLMGGTDGEKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPVLNIIFDSSLQRITNNHDRFIETMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGLFQRGFPEYLKYWRPVREMPIFERFPVLVCVAIIWIYSIILTASGAYRDRPIRTQFSCRTDRANLISTAPWFKFPYPLQWGPPTFSAGHSFAMMSAVLVSMIESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLYGTSIGSSVSVENIGLLGLTRVGSRRVVQISAGFMIFFAILGKFGAVFASIPFPIYAALYCVLFGLVGSVGLSFLQFTNMNSMRNLFITGLSLFLGISIPQFFNEYSTLRHGLVRTNAGWGHVILSYQIFYLQASMLLSDEKFDAFLNTVFSSPPTVGLIIAVFLDNTLEVEKSKKDRGMPWWAKFRTFRGDNRNEEFYTLPFNLNRFFPPT >KZN11827 pep chromosome:ASM162521v1:1:48431749:48434366:-1 gene:DCAR_004483 transcript:KZN11827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPVTRPGMLMNGEANLVASPLSRSSSSNQNHTLPNSSSSGYGFYTVQSFISDFFSHKSSRPLEKTKLKGHVWRRAIFQFLVCFFLGIFVGLTPFVSLDMSPSYTPEGPEFPLKKFSPFMANEKMVVDELPTKSENVSLKLHLTVEDNSNVTSDASIAPQSFGEALNKLLIIVTPTYARPFEAYYLNRLAHTLKSIPPPVLWIVVEMNSQSVETADLLRKTGVMYRHLACIKNLTEIKYRSLHQRNLALSHIEMHRLDGIVFFADDTNIYTTELFEQMRLIRRLGTWAVAKLVPGTSQIALEGPVCNGGHVLGWHSRDGRRRSRRFHVGMSGFAFNSTILWDSKRWHRPNPKPIRQLDTVKEEVQVSTFVEQIVEDESQMEGLPQNCSKVMVWHLQIKSPSLYSYPPHWLLKNNLNVVHPLNSQF >KZN08309 pep chromosome:ASM162521v1:1:5125051:5127674:1 gene:DCAR_000855 transcript:KZN08309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSSLDPDNKYLQSWTDEGDPCSNDSFEGVACNLHLKVANISLQGKGLTGSISPAVAGLKCLSGLYLHYNSLSGVIPIEISNLTELIDLYLNVNNLSGTIPPHIGNMASLQVLELCCNHLSGGIPEEVGYLQKLNVLTLQYNRLTGQIPSSIGNMGMLKRLDLSFNHLSGKIPGQLANAPLIEVLEVQNNKLSGLVPLGLKKIKGGFHFGNNSGLCGAGYPSLRPCNSWDDQNINQIEPFSPTKNSTNAEHAPLNAKIHADCNQTSCPRSSKLRQIAIFGGIITIIITVVAVGLLIFRHRRNKQKVIITSHSISDDRLSATDAKDFYSRTASPLVTLEYSNKWDPMVCYQIGSDDSDNFLQRFKFNLEEIESATQYFSEVNLLGKSKFSSVYKGILKDGSFVAVKSINIISCKSEEVEFMDGLRLLTSLRHENLARLRGFCCSMGRGECYLIYDFASNGNLAQHLDIADGRSNYILDWKMRISIITGIAKGIGYLHTSETNRPAIVHQNISVEKVLLDQDFNPVIMDSGLVKLLADDIVFSALKVSAALGYMAPEYITTGRFTEKSDVYAFGVIMLQVLSGRTILSASVRQEVETGKHEEFIDQNLNGNLSKLEAAKLSNIASACIHENPECRPTMKAVIGDLSNHRF >KZN10724 pep chromosome:ASM162521v1:1:38463767:38464045:-1 gene:DCAR_003380 transcript:KZN10724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYVLSLLGFFILLQRVFAQPTANAGTNFSCFSESPVSCQTYLSYRARPSDYMNIGNISDLFGVSRLSIVIANNLSSDDVKLKHSAKRHCA >KZN11852 pep chromosome:ASM162521v1:1:48737344:48738692:1 gene:DCAR_004508 transcript:KZN11852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNINMNHNKIHGKLPSDFASWPKLDSFSLSTNQFTGSIPPSIGNVSSLRILYLYDNIISGGIPLEVSLLAKLEALDLSGNYLVGIVHPLLYNLSSLAVISLALNQLEGTLPADMGFTLPKLGGFVVGGNRFSGLIPPSIANASHLVYFDIPGNNFTGPIPNNLGSLSKLQVLSLGQNSLGDNMRPGDLSFLDSLVNCTRLRVLAFDDNNLGGKFPNSIVNLSTTMEKLGLYGNHIYGSIPREIGKLKNMNLLAFANNFLTGTIPESIGELSKLGGLDLGINNISGVIPTSFSNMTQLLYLGLDGNTLQGSIPTELFNISTLQTLALGNNRLRGEIPEAIVSSSQCFSLDLSNNLLTGPLPSNIGLLKQLVQLSVSNNKLTGGVPAALGECVMLEELYMAENLFQVSSNRIPQSFP >KZN08860 pep chromosome:ASM162521v1:1:16781204:16785625:1 gene:DCAR_001516 transcript:KZN08860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISGHLKLLLYLGAAACFRKKVVVPFVVKSSQKPALPKVTRESRDVWAEVTSVGKSSRLTPQKGNIFLQQKQESIAEKNAQKSGLLQNPKKGGDANDIPKSASVRRKLDLHNPCDEDENIDATENIDENEVEYLPPPPPLPSLVKPITEYELLKLLNIQKNNEKLKELSLPTLAVGVVEEFKKDKRKKKQRDLDEYVPENEKESEDDCLESKPKQRYILPDELKPWVRKTIQHAWKGLKSRTKSCHYLAYATDEERLENKPNDIPLEDFKSLLKYWSDPKVQKTARTNAESRSQFKDTHIVGPKQRAGMLGKNLPKDQQPCDGEIFVNTRKRKPGPEYKNNPEATIEKKLKSSEGLDGIQELVSGGKESHGPGWLIGKHDTKIDKNATSAPTDECLEELTKK >KZN09237 pep chromosome:ASM162521v1:1:21937462:21938370:1 gene:DCAR_001893 transcript:KZN09237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQAESPLTGELTPANSTICGQCKSESSPFLHYIKHRGLFRRLCTTCVLRFNSQSFCPSCFSLYNPTTPLQDSTTPCSKCYSISHSSCIEGLSSGSQVNNNPNKLNNKPNNPSIPYVCPLCNSPPVFRVTKNEVIDKKSAAALLAASRISYDSMCKAKAVARAEVDKRGKEAWSTKKRARDAIEHVASIQERLKVESRGVGFGGNLSRIVGNVGERNLFGDGVRVDRVDSSSAVLASLNAVELNDKEQEQKSGKGNVLMGGGLMPAGENGGVSGIDEKPSAVMGPYVQNNHPRDGNGGSYQ >KZN09616 pep chromosome:ASM162521v1:1:27687686:27694997:1 gene:DCAR_002272 transcript:KZN09616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVNEVQGLNEHAVANPDEIAAMVDMSINNSTKRRKLGYFSCGTGNPIDDCWRCDPNWQRNRKRLADCGIGFGRNAIGGRDGRFYVVTDSGDDDPVNPRPGTLRHAVIQDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGVNVHIANGACITIQFVTNVIVHGLNIHDCRPTGNAMVRSSPSHFGWRTMADGDAISIFGSSHVWVDHNSLSNCADGLVDAVMGSTAITVSNNFFTHHNEELTCSVNQVMLLGHSDSYMRDKQMQVTIAFNHFGEGLIQRMPSVEDEGLSEHAVGDPEEIAHMLHTSILNSTDRRKLGYSCATGNPIDDCWRCDPNWFINRKRLAGCGVGFGRDAIGGRDGRFYVVTDPGDDDPVNPRPGTLRHAVIQNEPLWIIFNRDMGITLKQELIMNSYKTIDGRGVNVHIANGACITIQFISHVIIHGLHIHDCRPTGNAMVRSSPSHFGWRSMADGDAISIFGSSHVWVDHNSLSNCADGLVDAVMGSTAITVSNNFFTHHNELLGGHENASDNCLQPFWRGSCAENAKVTKRVNTNADQWKGWNWRSEGDLFLNEAYFVPSGSRASASYARASSMPAKSSSMVGTITSGAGVLNCRKGHPC >KZN12038 pep chromosome:ASM162521v1:1:50473693:50478424:-1 gene:DCAR_004694 transcript:KZN12038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAISKRLGQQCPKSSFSVKALYPISNHYNGYDNPRYASSLATKGVGHLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDDPRHLKLMGDLGQIVPMKYNPRDEDSIKAVMAKANVVINLIGRDYGTRNYSLEEVNHHMAERLAIISKEHGGIMRFIQISCLGASSSSPSRILKAKAAAEEAILREIPEATIMKSALMIGTEDRILNPWAHMAKNYGFVPLFGDGSTKIQPVYVSDVASAVVSALKDDGTSMGKVYELGGPDIYTMRQLAELMYEMIREYPKYVNVPFSIAKAIATPREIMLNKLPFPLPFPTYFNLDMINALTSDTLVSDNALTFSDLGLVPRKVKGYPVEYLIQYRKGGPNYGSTASEREISEYNP >KZN09862 pep chromosome:ASM162521v1:1:30090316:30092376:-1 gene:DCAR_002518 transcript:KZN09862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSAAGSSSKTRVGLSHQSEPSFKRKRAVFQKDLQHMMYGFGDDPNPLPESMALLEDIVVEYVTDLVHKAQDIASKRGKLLTEDFLYLVRKDAPKFNRCTELLSMNEELKQARKAFDVDEEKLATIE >KZN11674 pep chromosome:ASM162521v1:1:46866099:46869332:1 gene:DCAR_004330 transcript:KZN11674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRLWASKSASYLKISVFNRGFATVLKDLKYADSHEWVKVEGSSATVGITDHAQDHLGDVVYVELPELGTAVTQGSSFGAVESVKATSDINSPVSGKVVEVNKELDDSPGLVNGSPYEKGWIMKVELSNNDELKTLMDSDSYSKFCDEEDAKH >KZN11381 pep chromosome:ASM162521v1:1:44129886:44131004:1 gene:DCAR_004037 transcript:KZN11381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTVFLRTNRFEVKLEAITFKTKEFDQLCTMDFLFPRGKVWQHTPVVGLDVIRHPRDPNIVLLLLCFGVGCVILRFRAGDCLSEPILKFLTDKRITFVGFGIPEKNHLLPFDELGLDAWKTDVGYMAAKLRNNAKYAKCDLAELARKVLGIKEMMALTDASSFERHERIKSAMCQLFLSSAIAMTLLGADSKNILRGSPKKFSCLKRFNTMKLVNEAWSKLVKGKKDIGSKFSEVVHSYYGVRTDAYPDNVAHDCFVSKVWKGYGRGNFFQTKIPEDESGEDSVRVREGGRLGDDYARENEGVSGDGTPGKENSSPSTKPLKGILKCPHKNKNHCRYSIPSSPTSLSPSPTLKRANSKGHNVTFKCDMGSP >KZN09855 pep chromosome:ASM162521v1:1:30046983:30050651:1 gene:DCAR_002511 transcript:KZN09855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFSCYASLNPQLQRADKPITQSNKKCLEKVKNLVRANGVCLNTSSPHESLQKGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADGAVINAVNEGIEAARAITPVRRPWVMISVNDHEDLHFRKAQFDPNECPLDCSRPCENVCPANAISMNDTVGTLQHGVLTERCYGCGRCFPVCPYDKIMEITYIRDAAATAELLKRGDVDAVEIHTSGRHISFFKELWDGLGDSVDCLKLVAVSLPDIGDSTIPAINMMYSVMEGNMSFFNLWQLDGRPMSGDIGRGATREAIAFAVRIAAAKDKPPGFLQLAGGTNAHTVDGLKKHRLFQTTTTSKDKNVHSSSPSSNALIGGVAYGGYARKIVGRTLNSMQALHGHACIEDHPEHLVMALTDALALVGPIKCYPSS >KZN10400 pep chromosome:ASM162521v1:1:35323706:35342226:1 gene:DCAR_003056 transcript:KZN10400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVAPDLGFTMFFIGYVISRDEEFSISNFDDLSLFHQDYSPPSPPPPPPHPPSVTCEDDLGGVGSLDTTCKIVASVNISKNVYIAGKGNFYILSNVSVTCLSPGCEVSINITGNFSLGEDAQVVVGYFDLTADNATFGNGSVVNTTALAGDPPAQTSGTPQGIEGAGGGHGGRGACCLNDKEKLPEDVWGGDAYSWNSLRMPDSFGSKGGTTSKEEDYGGGGGGIIKLIVNNYLEVNGSLVADGGNGGPRGGGGSGGSIFITAHKMIGTGTITACGGDGFGGGGGGRVSVDVFSRHEDPKIKVHGGSSLGCPENAGAAGTFYDTVPRSLFVNNHNKSTDTDTLLLEFPYQPLMTNVYIENQAKAAVPLLWSRVQVYGALRMSVKIFLMWNSELLIDGGGDANVETSSLDSSNLIVLKESSLIHSNANLGVHGQGLLNLSGPGDTIEAQHLVLSLFYNINVGPGSTLRGPLVNATKDAVVPKLNCNSQECPVELLHPPEDCNVNSSLSFTLQICRVEDILVEGLVEGSVVHFHRARTIDIQPSGIITTSGMGCIGGVGRGKLVGGVGSGAGHGGRGGNGCYNDSCIGGGISYGEADLPCELGSGSGNDSVIGYTAGGGILVIGSWEQPLTSLSVKGSVKADGGSFGDKSHSRYFVGSDNVNEGIGGASGGTILLFLRALALGDLGILSSVGGHGSPDGSGGGGGGRIHFHWSDIPTGDVYQPVASVEGSIHASAISTYCAAAVLLYFSIILIFLLSFNCSVPPSPYLNYMYQATNLPTKLPDQSSCGGVGGKQGGAGENGTITGKACPKGLYGTFCTECPAGTYKNVTGSDMSLCYECPSSGLPRRAFYVAVRGGIAELPCPYKCISDRYHMPHCYTALEELIYTFGGPWLFCLILLGILVLLALVLSVARMKFVGTDELPGPAPTHHGSQIDHSFPFLESLNEVLETNRVEESQGHVHRMYFMGSNTFSEPWYLPHTPPEQIKEIVYEDAFNRFVDEINAISTYPWWEGSVYSIVRILAYPLAWSWLQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLGYVDFFLGGDEKRTDLPPRLSQRFPLSLMFGGDGSYMAPFSLHSDNIITSLMSQSIPPTTWYRFVAGLNAQLRLVRRGRLKTMFRAVRRWLDTYANPALRISGLRVDLGWFQATGGGYCQYGLLVYAADDANHLSFGGVDHAKPSQQHSRVEDIIHRENYYGHLKEETTLIQSPVVDETNMRRRMIHGGNVDANDIEVLEEKRDLFFPLSFIIHNTKPVGHQFCLFYPLGSYYHFLLESMLYLVMDPDAQRGLHVSMLCGISLLWLMWRLHLYVDIITTARSQVKKYLIFSRGTWMKVNGGCFQLH >KZN10824 pep chromosome:ASM162521v1:1:39354272:39358025:1 gene:DCAR_003480 transcript:KZN10824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPGYDLELDLQYFQPTLRTSYSDSRRASVLSNVLYQYLSRALLLLKEQPVNSYMDSPDKVSRWTPLVSPPRSILMKFVTKERTNKSGPSTPTPEEDENVDIHNSYIAPDIEADDVPFNNAASSTNTPPRSPRLHASSSKRSRSRKSEDIRSKSGKSEDIRSRSGKVEEHKGADINQVGIYITWSEVWVTVPTKRGGRRPILDGLTGYVQPGECLAIMGPSGCGKSLLLDTLADEPTSGLDSAASYHVMNRIIKLAQLDKRTVIASIHQPSGEVFELFDNLCLLSSGRQIYFGPTARASEFFASSGFPCPAMRNPSDHFLRTINKDFDVDIKHGHGGSVVTAKKAIDILVKTYLSSETRRQAVSKVYEICQKHQETIVERDRQAGFITQCQVLTRRSFINMFRDLGYYWLRFVIYLALCVSIGSVFHDIGHTYGSIQDRGSVLTFIAGFLTFMAVGGFPSFVEDMKIFGRERLNGHYGVAAFVVGSTISSAPYLCFISVIPGAIAYFLVGLQRDFEHFVYFCLLLFACMLLVESLMMMVASIVPDFLMGIITGSGIQGVMMLNGGYFRLPRDIPKPFWKYPVYYISFHKYGFQGFYKNEFLGLTFPNVKVGGPPTISGEEILRDIWQVEMEYSKWVNLVILLGMVVLYRLVFFAIIKASEKLKPKIAAYLSSSRTKADDFSTAPSVELGCNVRRVRAFSLFDCRCYTVDLAFAD >KZN10416 pep chromosome:ASM162521v1:1:35492848:35494863:-1 gene:DCAR_003072 transcript:KZN10416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVEKLIAAKNSLKFSLEKTKVLGDSIEKSGPRLEEISQRLPYLEAAVRPIRAQEESLDAVGGHINQAVVPAAAVLRVFDAIHGLEKSLSDPQSDLSGYLSVLKRLEEALRFLGDNCEMAIQWLDDIVEYLEDYKIADGRYISNLKKALKYLRELQKNEEKGRLDGGLLEAALDRLEKEFRRLLMENSVPLPMSSQSSLEEQACIAPSQLPVPVITKLQAIFERLIANDRLEKCISIYVEVRSSNVRASLQALKLDYLEITISEFNNVQSIEGYIAKWSRHLEFAVKHLFEAEYKLCNDVFERMGLDVWRDCFAKIAAQAGMLAFLQFGKTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGAACAEIQNLTRDLIKRVIEGSCEIFWELSVQVELQRDSPPPTDGSVPRLVSFITDYCNRLLGDDYKPILTQALAIERSWKHEKFQERLLVDELLKLIKSIEVNLETWSKAYSDPSLSHLFLMNNHWHLFKHLKGTKLGGLLGDFWLKEHEQDKESFSTAYFRESWQKLPALLSREGLILFSGGRATARDLVKKRLKAFNEAFDDMYKKHSNWVISDKDLREKTCQIIVQAIVPVYRSYMQNYGPLVEQDASASKYAKFTAQSLEQTLMSLFQPKPLRYGSFKAGRSSGKFNNVVTDQYQAAVTSA >KZN07871 pep chromosome:ASM162521v1:1:318511:320241:-1 gene:DCAR_000540 transcript:KZN07871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKIWPHPISKRVPTNMRFPPRKKRIKGVCNMDDERTQEASRDIGKDLELSPPQPQQKTSSWWVPQFNRVELAPLPDPCLVSASTQQADYLVDAPTKHMLSANTSLDPLSSGADCTRTDNLKRKEKCEYPSPHEDSDGICMEKKEESPSGKKLKIFRETHNLSERNRRAKISQQFQKLQKLIPPGNTASQASILDRTVTYIKSVKGLLQMLSTMSPENAEAIQQFYSSKFG >KZN08598 pep chromosome:ASM162521v1:1:11417477:11417815:1 gene:DCAR_001128 transcript:KZN08598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQRNVGSESQAEAETQARDEAEAGQNNEVNEENVGGQSTTTEFAPGASQPTDDLHDSQGGVFEPPPARQSPSTCGVVPKAWQKKQKVVTTRAEILRARSTRERKINKRYQD >KZN07911 pep chromosome:ASM162521v1:1:629224:630853:-1 gene:DCAR_000580 transcript:KZN07911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLIIHSASGDDVATVITMEVDGCRVVPFSGAQKAVVSCLIKLISLNGEKAKDGSLVYLAYDTLMNVLLKKEEVPISFDGADVVELLATLVYWSENSSHHSVVMMAATISSLILDFTLEDALRQHPGFDDGKLAGLCQLLKRSMTASSEDVFYEEAEADLYEIVISGYSRWSKSFPRIKAAIGK >KZN10976 pep chromosome:ASM162521v1:1:40771798:40773068:1 gene:DCAR_003632 transcript:KZN10976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFGLSCGEDHCLNRVTEWIRNVVRRDLKELELSFRSKELYKLIKDVYNCNSVEAIRLFGDILVDPPEDVGFSRLRVLEFNKVTFLSYESVGELLQKCPVLEDLSIDECVWLDGYSLSICGSALKKLSLSSSLAVDIEFSLEILIDTPALETLYISSAADDIFIKENLQFLKTAYIDVEQIIIEGSVPTSVFGLLKKINHVQFLHLGAETVEVLNAAYDHDQTTVHRGLPPFYNLTEMCIDINIYCGQTLLMDFLQNSPNLRSLQFPHGLVDTSFDESWRFSWVWSSVPECLSKHLEKVKIYEFNGTKEELSFVEYLLKYGSALGEVSIDMVSDLWGGPVLAVREELLTFHRESITCKLNISF >KZN10013 pep chromosome:ASM162521v1:1:31712063:31713385:1 gene:DCAR_002669 transcript:KZN10013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSWRKIRRSSNKKDVASMELSIPNHYRCPISLELMKDPVTLSTGITYDRASIETWIEAGNRTCPITNQVLKTLEPIPNHTLRKMIQDWCVDNSSFGIERIPTPRTPLGAREIAEILSRLVEAQQREDARACQEIVAKIVGLAKESERNKQRILKNETIGVLASSFEAFAKSSYDHNVGVLEQILLALTILISSLDGEAKFHLGSNSSLRCMVWLLNSGDLSTRRNAVLVLKHVLSSDQRKMDDFSEIKESLEGLVKVIKEPICPTTTKASLVIIYNIILSKKVNENVVTKFINMGLVERLLEMLVDSEKSICEKALGVLDGICMCNQGRERAYANALTMPVLVKKILRVSDLATEFSVSILWNLSKNESAAGNNGNGSVVLAEAVQVGAFQKLLLLLQFGCSERTKDMASDLLKMLNVHRDTVECVDTRDFRNLKLPA >KZN10032 pep chromosome:ASM162521v1:1:31861597:31866207:-1 gene:DCAR_002688 transcript:KZN10032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAAGDDFLDQILGFPSDAGALVPSLAGNQSSSSPMMLQLNSAGGGVGLMNASGSVKIFPDHVVNDTRLSSSGFQAQSMPHPHGSGQKKRAKRGQATDPHSIAERLRRERIAERIRALQELVPGVNKTDRATMLDEIVNYVKFLRLQVKVLSMSRLGGASAVAPLVTDIPISSIEEEVGEDQRNQPLWEKWSNDGTERQVAKLMEENLGAAMQFLQSKALCIMPISLASAIYHTQPPDSTVHPSC >KZN10371 pep chromosome:ASM162521v1:1:35009783:35025248:1 gene:DCAR_003027 transcript:KZN10371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCIFTGHQTNHLVLILIILLYFPATRDGERRGINQTGPSARVSVLIYLEKKALQGPSPLQIMSAGNTGTPKTERDEAYQLAEAAGDHTKEYEADLQRLGLGVQHREDRIRDLRTQKISLDGSILDLEGSIGKYHESISKPVNKEVDAQSEDETYEKILELEKSAAGLVHQLRTRQGTQVSDSPLVKDVLGFVATLGKVDDSNLSWEKAIVKFPMIFGKPSLPGDYYETKHDLQIKKWNLQILLEDIRREQSMLDQARLHFEVKRQEFVQFLAQSSHRARKKALQGPSPLPIMSAANTGTRKTERDEAYQVAEPAVDDTKKYEADLQKLGLGVKHREDRIRDLSTQKISLDGSILDLQGRIGKYHESISKPVNKEVDAQSEDETYEKILELEKSAAGLVHQLRTRQGTQVSHSPLVKDVLGFVATLGKADDENLSWEQAKVKFPMIFGKLTLLGDYYEIKNELQIKRWNLQILLEDIRREQSMLDQARLNFEVKRQEFVQFLAQSSHRARARKLQERGWQPRWFRKDEEGCYRYVGGYWKRGKKPTGKRFQIYLDRLLIYILPQWMNDPLFCLVSLSRRIVKAESNVPWNCPDIENEVS >KZN08258 pep chromosome:ASM162521v1:1:4347348:4347626:-1 gene:DCAR_001323 transcript:KZN08258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYEVKIVVKLLSCLCITGPITCTLVDPNGCKQVCRKNCMQMPRNQIICISLGQFCVRKSSGCGEIQFSMVNTDSNWKRGIVVIGALIVPKY >KZN08078 pep chromosome:ASM162521v1:1:2090569:2096438:1 gene:DCAR_000747 transcript:KZN08078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDRASNCSEGGSDSGPRVRALPGRTSGPTRRSTKGQWTPEEDEILRQAVQRFNGKNWKKIAECFKERTDVQCLHRWQKVLNPELVKGPWSKEEDDIIIQLVEQIGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKNAWTIEEEVALVRAHQVYGNKWAELSKYLPGRSDNAIKNHWNSSVKKKADSYLASGLLAQFHGLPQSMSSSSSRVQQYSGDDSIPRDVREAEATSECSQGSTAVGCSQYTDDMSNATGRDDFLVTEESRQGKELSYSPVHREVPCIMAELPCEFGSSGNLLEHIYPCDWVTSPGKNWQLDPNGLPNMSLQCEQESLRLVHCIADDENHEGLPFPMQSSVAVCTSAPLENNIAGSDKPEQMLMYQDPYPEAGNGSSSAHENLTKCLTITNADGFTDSLLDHSSDYQTLEGNHLASNSYYSFTSEMMGTDCYQPLIPSQFPNENGENIYEINPNQCNATSLGNQEEDLLRIINDGLIYTNDTVASNLDERVDSVGQQSQSDTEEHRAIFAPEDAFASTESNNTLSIPSQSENPAEPAEKDDAGGLFYEPPRFPSLDIPFLSCDLIGTEMQQEYSPLGIRRLMMDSFTPFRMWDSPTRDDSPDAVLKSAAKSFTCTPSILKKRHRDLVSPLSEKRCEKKLERSFSNLARDFSRLEVISDEIGKHEATLASPPTNLIRCSNALDENKENLNHASEIGEEGKGGNVSCNAKTESRSAGAAQSVQQSSKDLEEEVHDMLFISPDHFGVKSNRVPSSSAKTLGSQYTRRLEAASSQVAVSESSERPLVSISSPNIPGRNKSATTSIQRPSSAPLEIKVSSSGKFDGAENFSIFGGTPFRRSIDSPSAWKSPWFMNSFVPGPRVDTDITIEDIGFFTSPGERSYDAIGLMKQLSEHNAATFADAQEVVASLGRTLDGERDTTLHKVTESGRNSAAELLDSLFPPVKRLQVAAELLESVFPPVKRLQVAGSLQLS >KZN11752 pep chromosome:ASM162521v1:1:47861300:47862377:1 gene:DCAR_004408 transcript:KZN11752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLEELDLTGCSGLTQIQGLEELTSIKTLHLGGCNSSMLADTFTKHFFQVYISFGQHIRIYACPSVFPKWISQSADWISETSDSGSPVSLGLSPDVSHNFLGMVLCFDHMEDMYFQRAVYSVKTTTSDFAWSDGRYFSFYTEDEEEEFDHCSCMHIVPKSIFSLSDDDDEIEFTAAPVIFYFKFGDLEDCERTETARILGIHLLYKSDLQ >KZN04863 pep chromosome:ASM162521v1:2:17398990:17399506:1 gene:DCAR_005700 transcript:KZN04863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTRQREVLQFRTPQHPSSGTNNWGGASPMLARNVPKESLEQRYLNLNAIRTRDEIFSALDHEFFKGLTEKESQCPPTPSRRRGMLLKFIFRQKEKSAQLGSPKKKRWFRKMDHKTRWPQGSGEINGNLFEFETQMQ >KZN04664 pep chromosome:ASM162521v1:2:14370793:14382291:1 gene:DCAR_005501 transcript:KZN04664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRECARILRARSCSTRLVFPSNQYCWVLGDIRAAVPLNPFSLVADEYAILADSLQKMIVTEVLLLMEKAMNVPTSTQDSEQCLDSSPLVFSFGHQSIHAIAEMSNVSIANYLEMVYNKKASLLANSCKAIAILSGKDEEVTTMAFDYGKYLVYAYQLMDDIADITGRSATSGNLSSNFSRGMLGSPMLYAIEEFPQLRTFVDRGFKNPSELDLALEYLKKSRGMQRTRELATKYAGLALEAIESLPRSNNKDTEVWRRALVDLAQNIIRSSQVSKDICWV >KZN04294 pep chromosome:ASM162521v1:2:6887528:6887809:1 gene:DCAR_005131 transcript:KZN04294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEIDNGVTLWFQAXDGGGGRQRSDAVVSGVLASPEPWKTAGVAIKRRQWRRKRRRKGAGIGGGEGGGGGGGSGRGGGGGGGVTEMEVKVKM >KZN05711 pep chromosome:ASM162521v1:2:26549339:26550850:1 gene:DCAR_006548 transcript:KZN05711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLISSSSSSSFLCHGGASPTIQQRLQFIMQSRPEWWMYYIFWQTSKDSSTDRLVLSWGDGHFRGNKDFMLKAANSNIGLGPQDQPQQPQQPHPKFGFELERRKVAKGIQSLFTDSLPGIDGVVEADFPDSEWFFMVSVTRSFAAGEDNTVGRAFSSGSYVWLAGDHELQFQNCDRAKEAYLHGIKTLVCISTPCGIIELGSSYVIKEDWGLIHLAKSLFSPDNNGTTNIMPISNMSSPSAIAHAPPQNPTRSLSFFDINAVSGDQNRTSGDEDGNVKPEKKLTKVGRSSSDSENSDFESTLAPDSSMNTRMKKRGRKAATSGRELAQNHVEAERLRREKLNHRFYALRSVVPNVSRMDKASLLADAVTYINELKSKVEDLEVKLREEMKKSKCITDVVYDTQSTSTNVYHTARSSMSYGPVNMEVDVKILGSQAMIRVQSPDVNYPAARLMDALRLLEFRIHHASVSSVKEIMLQDVVIKVPDGLTSEDALKTVLLRTLQM >KZN04470 pep chromosome:ASM162521v1:2:11409794:11410077:-1 gene:DCAR_005307 transcript:KZN04470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWMKELSSHLKEIRFLFCQTSPLSSSTRSFVEKNYKDLKKLNPRFPILIRECSGTHPQLWARYGIP >KZN04575 pep chromosome:ASM162521v1:2:12950293:12951362:-1 gene:DCAR_005412 transcript:KZN04575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKIQTVVLNGDFVAGDGENWTKNDFDSCVIREREGKRPLVTGDLTLTLRNGVAELGNISFADNSSWTRSRKFRLGARMQGIPDVRVKEAKTYKKHRQPSLADEVWRLERIAKDGVFHKRLSSAGIFTVKDFLRLYVADPSSLRNDRMTVYSDSYRYLVVEFLTEHVKQSLDMP >KZN06516 pep chromosome:ASM162521v1:2:33584526:33597429:-1 gene:DCAR_007353 transcript:KZN06516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALECWSSRASTDEDMVEQVLMRTQHRSESLNDAVLSSAVSPGGAAGFKETSAMQKRIQRLSRNVSEAIASLKNSLNLDSPGPSGRVENCRKNVWAGVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFEMKDVFLHIKLIEQASVQDHPAILIQEVSDDEVQGSVFKLVFACTSSLSWPTMSGALDNASICCKKIQIFEKKGFTLGIVLVLVQSGQEKLFKNRIDSALKLGLKKPKNSGMKLPFGLCGCQEESTRGRELGVGEVDEDSGESRNGSENSNSRVQLQLPLSNSAFVVSVDEWQTVESGGDEIGRWLLNPDNLEFMDQIGSSTYKGLYKGKKVGIEKLKGCDKGNSYEFEIRKDLLELMTCGHKNILQFCGVCIDDNHGLCVVTKLMEGGSVHDLMLRNKKLQNKEIVRIAADVAEGIKFMNDHGVAYRDLNTHRILLDRHGNACLGDMGVVAACKSVTEAMEYETDGYRWLAPEIIAGDPESVTETWMSNVYSYGMIVWEMVTGEVAYSAYSPVQAAVEIAACGLRPDIPKDCPQLLRALMSKCWNNCPSKRPHFSDILSILTRPVNNGNNTNSLLHYMLPKKRSVEGVAGGGDSVNTSSDSGKVVKKQKTGCLFSSSNENTKVGNMGGSVSGVGGVGSSVEKRSMALDDGNQQEIDEDLHSRQLAVYGRETMRRLFASNVLVSGMQGLGAEIEYAIYSWVLANDKMGSAKNLILAGVKSVTLHDEGNVELWDMSCNFNFTENDIGKNRALASVQKLQELNNAVVVTTLTKKLTKEQLSDFQAVVFTDIDLETAIEFSDYCHNHQPSIAFIKTEVRGLFGNVFCDFGPEFTVVDVDGEEPHTGIIASISNDASALVSCVDDERLEFQDGDLVVFSEVRGMTELNDGKPRKIINARPYSFNLEEDTSEYGQYERGGIVTQVKQPKVLNFKPLKEALEDPGEYLLSDFSKFDRPPLLHLAFQALDKYVSELGRFPVAGSEEDAQKLISIVSALNESLGERKVDNISPKLLRQFSFGARAVLSPMAAMFGGIVGQEVMKACSGKFHPLFQFFYFDSVESLPTESLENRDFEPLNSRYDAQISVFGAKLQKKLEDAQVFVVGSGALGCEFLKNLALMGVSCGNQGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAAALINPALHIEALQNRVGPETENVFDDTYWENLSVVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNTSEYTSAIVNAGDAQARDKLERVLECLDKDRCDAFQDCITWARLRFEDYFSNRVKQLIFTFPEDASTSTGAPFWSAPKRFPRPLQFTTSDPSHLHFIMAASILRAETFGIPIPDWATHPKALAEAVDRVMVPEFQPKKGVKIETDEKATNLSASSIDDSAVINELITKLEQCRKNLLPGFKMKPIQFEKDDDTNYHMDMIAALANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLNGGHKVEDYRNTFANLALPLFSIAEPVPPKVFVHRDMKWTVWDRWIVEGNPTLRELLKWLSDKGLNAYSISCGSCLLYNSMFPRHKDRMDKKVVDLARDVAKLELPPYRRHFDVVVACEDDDDNDIDIPQISIYFR >KZN07827 pep chromosome:ASM162521v1:2:43832708:43846289:-1 gene:DCAR_008664 transcript:KZN07827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKRRRRSMEDDDVNSRNQRTTRSRTSSMNDDQSDGNVLVNSVVYKNTRRERGLFDAADDLRNPTITVKRRRAVLKARVPKTICVMFYGYNNKGKRDYGWIKDGMIFPFAEYMNRFQTQTQLYGSKPSEFRLSIEEALLVENGHTEPDFDVGHQDNPVSTILVNQDMDYSSTKDTRQCDCCDMSFPCQKMKKVKGRASLVKYQCDNCAKVCCDGCDVWVHAKCADFSGKLLKSLKDTDYYCPECEAISDRKPSSSETQRSKVRSAQNQNRAGVPDKIIVICTGVEGIYYPSLHLVECRCGSCGKRKQKVSEWERHTGSRAKKWKTSVKVKSSKLPLEQWMSQYNLLHLKPVKLNKEQLCTILQEKYEPVCPKWTTECCAVCGWVEDWDYNKIIICNRCQIAIHQECYGVTSDQDFTSWVCRACETPDTERECCLCPIKGGALKPTDVDTLWVHVTCAWFRPEVGFPNFKKMEPAVGILKSPLDSFLKACVICKQVHGSCAQCCKCATYFHSMCALRAGYHMELQSLERNGQQVTKWVSYCAVHSGPESGNGIVIRTPTGIFGARNLLNQSQCVRGSRLVSCKTNELPQRLTGETNEYEPFSSARCRIYKRLQNTNSVREPRFHRLMGPTHHSLEAIDCLSMHVEVKGAHKFSTLKERLHDLQKIIGFVLGNLEYMDGDFLLAGTFKKEKWFWSIVV >KZN06613 pep chromosome:ASM162521v1:2:34403578:34404555:1 gene:DCAR_007450 transcript:KZN06613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDPIGIPACFSSGDKAMDDSAAVTRSGQSVFMSAYRTKIADQCRLITVTWCKNLLLHGLSVSIEGPEGDSHYTCKVELKPWYFWRKQGSKRIVVDGKPVEIFWDLKAAKFNGETEPSSEYYVAVVSDEEVVLQLGDLKKDAYRKTGCRPALIDPILVSRKEHVFGKKKFLTRVKFHDKGRFHLISIECKMRSTQTSGSINSSNGIDPEMEIRIDGHLVIHVSHLQWKFRGNETIHLNKVRIEVYWDVHDWLFSPGLRHALFIFKPTLLSSSPSSLSVSSSPQFSSSLTSTPLSSKTGSSGSVEGIQGGGSSEFCLFLYAWKVE >KZN07137 pep chromosome:ASM162521v1:2:38620642:38629624:1 gene:DCAR_007974 transcript:KZN07137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLKYITLTSLTVLLLMIIVTFHRSAAKTRYEAIRISAIIDSSTRVGKEAKVAMEIAARNFNTTSVHQKIFLQFHDIREIPLQAAVGGILRENEAQALVYMGSEEDGIRQVAEFGNLAQVPVISFASDAIPQRFKSLQWPYLVQMSSNVTEQIQCVVAIIRSFYWQKIITINELDSNGADSGTFAVLSEALFGSGVKIEHRLVLPPFASIMADPQSFIQNEVKELARKNSRVFVILKSSMSMATHLFREAQRSGLMGIDSTWIVLDDISNLIQYSNTSVISYMEGVLGIKPYFSEKSPDFLHFKSQFNEDFQLEYPEVDNLNIGINALRAHDSITAIARALVQCRSSNISDTIYNLLKTYLADHVTGLSTSQIRLHDKELSEFSLYRLVNVERNSHKSIGFWSPEFGFFKDLVDSSRSESITGSSSMDVLTDAIGYPGGINRVPKEWCMSVCGKKLLIGVPGRAYFDQFVKVDWNKSSILGQHHIHGYCIDIFSKVRKILEESCSCPLIFEFKPQNCTYDELVEYVANGTYDSLVGDFTILAKRSDLVDFTQPYDVSGLAVIVTVNDDKSWIFLRPFTTEMWALSFALLIYTVLVVWLLERQTNPEFQGPWHDQLSLAVWFTFSIIFFAQREEGRSNYTRVVAFSWFFVVLALTTIYTASLSSQLTVTRLRPNITDIDWLRTTNAKVGCAPDSFVCRYLQDVLDFKAENIINVSSEYKYPGEFASGNISAAFIELPYEKVFLNSHCKDYTVPKDMKYKGDRFGGLGFVFTKGSPLTFEVSKAILILSENGVLKQLYEKHFTPSSKCKASQTDLEDPGSLSWKSFWGLYFFSAAISTLSYILFAANRCHQLTKVVTLLSSCQTRKDDAISCLNLSNLQVDSYKHMDINSKFFSKNIATPMEPDMSFLIGTFSGPLKLGSIFFPSVVTIFLLLLSDTSIIISAHSEISIGSIINVKTRVGKEIVVAMKVAAHNFNNSSMHQTLYLHFRDSSGNPLQAAYTAEELLKENVQAIIGMETWEQAALVADVANKAEVPVVSFSSAAIKKPFASLLWPFLLQMNTNINEQIRCIAAIVHSFNWHRVIPIYEADVYGGDSGIYAALTEALQRFGVDIEYHLVLPPSSLLSDESKFIQEEVAKLLSKQSRVFIVLQASVSMAIGITSLLDSFDTSVISSMEGALGIKTYISEDNSPFVQFRHQFRKLFRSEYPEEDYSDVGIYALRAHDSIVFLTRAINRLRSSNNTSKALLETILKSKFSGLSGDFHFNSGELSQDSVFRIVNVVGRRYKELGFWSKKFGFSSSLVHKESTDKSIGSSMEVLANLIYWPGNLKRTPKGWCMPTEAKPMKFAVPGETSFKKFVKVQWSESSKEINYSGFCIDVFFEVLKILEESYSPPYEFVPYNGTYDNLVDHVADKTFDAVIGDVTILANRSKYVEFTQPFAESGLTMIVPVKPQADKAWMFLKPFSKGMWGMTAAILVYTVLIVWLLERRSNPEFDGPWHYQLSTALWFTSSSLFFAQREQIYNNHARVAVSVWLFVVLALSSSYTATLSSMLTAPRLEANVTDIGWLKKNNAIVGCDGSSFVKRYLENVLGFNPVNIRNISSEYNYPEEFESGRITAAFLEVPYEKAFLNHHCQGYTVAGSSGRYGGDRFGGLGFVFQKGSPIAADASQAILTLLENVSGAFICFQWALPASVICPRENIKLTKSMGLILECPLDCGLQAVFTNLPWCKKVYDGHFWV >KZN05695 pep chromosome:ASM162521v1:2:26407009:26409548:-1 gene:DCAR_006532 transcript:KZN05695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGDKFMSNEHQEQLRAASESIDPLSVSPLQISTSKSPKSPRSPKSPRSPNAHHGKHGTAKPGSPLKNDRHSHSGRDGRPKKGGCGGKGTWGSMLDMNDEHLDPNDPNYNSAEDHDKRGAAQSDSPLAEYKKKATVIVDEYFATDDVVSTANELRELGMPNYNYYFVKKLVSMAMDRHDKEKEMTAILLSSLYADVIDPPQVYKGFSKLVEAADDLIVDIPDTVDVLALFIARAVVDDILPPAFLKKKLESLPKDSKGIDVIKRADKGYLSAPLHAEIIERRWGGSKNKTVEDVKAQINNLLAEYVVSGDKKEACRCIKELNVPFFYHEIVKRAIIMAMERPQAEGRLLDLLNTAADECLINSSQISKGFTRIIDTVDDLSLDIPDAREKLQSIISKAASEGWVCASSLKSLSSPSARNSLEDSAAKAFKMKAQSIIQEYFLSSDIQEVSRCLDSKNSFCAAELNAMFVKRLITLAMDRKNREKEMASVLLSSLCFPADDVVNGFTMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPSHLEEIKSQCSGTDSGGNKVLQMAQSLLKARLSGERILRCWGGGGRAIEDVKDKIGKLLEEYESGGDIKEACRCIKELNMPFFNHEVVKKSLVAIIEKKNDRLWGLLRQCFSTGLITMNQMTKGFGRLAESLDDLALDVPDAEKKFAQYVERAKAEGWLDSSVSFQRSGHVVENGAFS >KZN04573 pep chromosome:ASM162521v1:2:12935937:12939475:-1 gene:DCAR_005410 transcript:KZN04573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSWSARLSSASKRYQSALQSRSDIFMGFEEIEADDDIRDEYPCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCAMRVGVDMVAHITLQHGNIFKMQRKRKSRKGGSHSTLTLLRRELREGNLQSLFGGSSYLVPSTNVAPDPLLSSFIMPMVDDYGSGQSHSLAEVTSVKKNTTDSISERSIQSAPLSVKDHEERTRRSEFVQGMLLSTILDNNL >KZN04346 pep chromosome:ASM162521v1:2:8823165:8824617:-1 gene:DCAR_005183 transcript:KZN04346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPQSKVSKELEPWSHLNGKVVLITGASSAARRLDLLISVCDLINAQYSETPRAVALELDVTAEPQAVEAAIQKAWSAFGQIDVLINNAGLRGSASSAMKITKEQWEHVLKVNLYGAWICSKYVALQMKASKVEGSIINISSISGLSRVQSTGSVAYSSSKAGMHALTSVMALELGAYNIRVNTIAPSIFRSEITEELLNQKWFLGVVNKIVPLPYHKSTIETAFLPLIQYLIDDSSKYVTGNIFIVDGGSSLAGVPLYSSL >KZN07792 pep chromosome:ASM162521v1:2:43605768:43611231:-1 gene:DCAR_008629 transcript:KZN07792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPYMEAVVGFMILMYIFESYLDLRQHTALKLPKLPKTLEGVISQEKFEKSRAYSIDKSNFHIFHEFVSILMESAILYFGVLPWFWKKSGSFLVTAGLNAENEILRTLSFLVGVMFWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDMIKGIVLSIVIGPPIVAAIIVIVQKGGPYLAIYLWGFMFVLSLVMMTIYPILIAPLFNKFTPLPEGELRSKIEKLASSLQFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKDEEEIVAVIAHELGHWKLNHTMFTFVAVQILSFSQFGGYTLVRNSNDLFQSFGFDTQPVLIGLILFQHTVMPLQRVVSFALNLVSRAFEFQADAFAKSLGYSTPLRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDEPDKKTD >KZN05119 pep chromosome:ASM162521v1:2:20284364:20285683:-1 gene:DCAR_005956 transcript:KZN05119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKSPTSLVAALLCFLLQFSMIKAHYASEGIDSDLSERSLIVVKIWCLVIIFLGTFVCGLIPLLFRSKSKVLVLGNSFAAGVFLVISMHFLQDSANVSDDYTEGSSFLPFIFVLIGYVFILLVESFLFKAKKEDGETQALVRVEAGIKEGESVSDNISSNPRLVSKAAVEAAVVIILGLSLHSVFEGFTIGLAERDRYAWRYLWTIGIHKVASGLSLGIVVLRMQPKRPILTSIAYSFAFAISTPIGIIISLIIKKAAQDGTADWVYVLTQAAASGVFLHVSIYHLLAKGFSPQDQSAHTTPFRRFFVLCLGIGVVTIGLIFD >KZN06540 pep chromosome:ASM162521v1:2:33861897:33863194:-1 gene:DCAR_007377 transcript:KZN06540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVYLIVFTMCMAELKTTTAANTTTLKQNFYGKSCPAAERIVRRTVSDAIAQNPGIAAGLIRLYFHDCFVRGCDASLLLKSTPGNESEQDHGANGATLRGMEVIHKAKAKLEAQCPKTVSCADILAFAARDSTLRAGGFSYRLPSGRRDGTVSSIDEAGENLPPLGPDIATIFKSFSRKGMSMKEMTALLGAHSIGIVDCRFFSSRLYTFNNKPNSTDPSLDKKYASFLKRKCPQNSVSGGKVNLDVVTPNRLDSQYYKNLKKKMGVLGLDQQLETTPSTAKFVSNYSKFPEVWAADFAAAMIHLGSLGVLTGNEGEIRENCEVIN >KZN05224 pep chromosome:ASM162521v1:2:21401868:21402347:-1 gene:DCAR_006061 transcript:KZN05224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHTSLRNLSLSLLLSLLFIHSTLSASDSPSPSPQPDISSPPSPPPSPHSSPSPSPSPSSLHHSPPAPPSPAPSPANSTTPSPAPSPVSDNGVSHEDQNAVVPKTSSSSGMSGGQKAGVAFGVIAGACVVIVGGVLYKKRQNNIRRSQFGYASRVEMI >KZN04134 pep chromosome:ASM162521v1:2:2508156:2511342:1 gene:DCAR_004971 transcript:KZN04134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKTFLPDADSRIDVETIRILVREVRELAYDAEHAVESFVIKASSTKKPFQWMNRVKFSRKMKDIQKKMSIFFDLFSDYNISPTSESSTSSNRESGKLKRFHSFTTPEPEIFVGFHEDVECLVRHLLNETHNSYPLLSICGMGGLGKTTLAQKVYNHSAIKTHFAGLAWVSISRKWQTDRVLQRILICLVPENRNSILNMDTDKLVEYMLQIQERKKCLIVLDDIWSSQAWDALKAAFPAGKSISKLMLTSRNAEVAEHVNLNGFIHQPECLDITRDVKRMEELGREMVEHCAGLPLAIVVLGGVLVTKPSLIEWEKVYRDSKSSLKAGKGPGEAYQREILSFLVWSYNDLPPQLKPCFLYLSKFGEDKWIKLETLYQLWIAEGMILSSDKREGETMIQVAESYMGELVHRNMVQVRFNDVESSLTKFESCSLHDLMRDMSLIQAKAEDFFEVIHFQSGNEFHLKSTTESRSASTRVVIRLDEEYSSKEANYYFTKKRNEECYRSILLLGKFGARSLPQALGSHVANFRFLKVFSVEHYTNFSGAFSHINFGRAVGSLVYLRYLSVRDTNLLIFPSLQKLVLLQTLKLDTDKIYVLPWLSRDVLVKMDCLRHLYLPKFKVDVLGRKSKLRFNGLSKLETLENFDTSWCEVKDLRELINLRKLTVTVRGSCDILEEMMKNLDDVASSPSSCLRFLGVTIVDCDIELNNDLTILKQLVYAENLNLRDLKIYDLIPEVGLIFPLHVSTICITTLYLRESYLAEDPMPILEMLPVLSVLQMREDTYVGKEMVCSATGFPKLTVLILYKFTKLENWRVEEGSMPILSYLIIGRCNKLEELPEGLVFLKSLHIAQMPQDFNDRLKRQDGEQRPDFMQIWS >KZN06293 pep chromosome:ASM162521v1:2:31743702:31748132:1 gene:DCAR_007130 transcript:KZN06293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFVFFDLLKSQQRTGTMFTEGTAVEVSFQEENHNVWHVGTVMKVTGINKCLVNFQCPGDGNGYRGQEFALSDVRPSPPCVQDNSFALREEVEAYFECCWWGGVIKKLLGDKKYCVLIKHSKKEMEIDHVNLRPSMIWADGKWSDEAKVANRPGMLTRQSVRLNRLKTRAEEQQSGNRCALTEKKDYTEVHVEEIVEKKCTTKEVDLSVKEVKGARSTKNSEVPQKKQSRDQEIPDFSSDIAVIERGLKSLDEYKSHGFDVEKVQASLNQLLLKKQQADEFQREYEDIRSKIANSVDNGELDEEISQLCQEFRETEKKLSEAKQKSEALAALQSKQDTLAENVKILQAEFESLVGSLL >KZN06085 pep chromosome:ASM162521v1:2:30006743:30007309:1 gene:DCAR_006922 transcript:KZN06085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRGSVSVHSAFLFFFVVLVATVDAQTCKPSGKIKGRKPPPGQCNTENESDCCVKGKLYTTYTCSPPVSGQTKATLTINSFEKGGDGGGPSECDGNYHSDDTPVVALSTGWFNNKKRCMKFINVHANGKSVKAMVVDECDSTMGCDSVHDYQPPCPNNIVDASKAVWKALGVPERDWGELDIKWSDA >KZN06193 pep chromosome:ASM162521v1:2:30881925:30885514:-1 gene:DCAR_007030 transcript:KZN06193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIILREQDQKSRLRGIDAQKSNISAAKAVARILRTSLGPKGMDKMLQSPDGEITITNDGATILEQMDVDNQIGKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRIAEGFEMASKIAVEHLESVSNKFEFSASNIEPLVQTCMTTLSSKIVNRCKRDLAEIAVKAVMAVADLDRKDVNLDLIKVEGKVGGKLEDTELIYGILVDKDMSHPQMPKQIVDANIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRQQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVREKSFGTTKDRMLYIEHCANSKAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSLAVEAAADKYPGVEQYAIRAFADALDSVPMALAENSGLQPIETLSAVKSQQTKDKYSWYGVDCNDVGTNDMREQNVFETLIGKQQQLLLATQVVKMILKIDDVISPSEY >KZN05144 pep chromosome:ASM162521v1:2:20564951:20565405:-1 gene:DCAR_005981 transcript:KZN05144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALIGAVSSLHKCKNRTHKGIWFNVLVSLLLVVASGHALAVHSDPKESYYLLGEKIVPIAGFCVQGDVITAVAVSAEHAHLVDSRYQDDRNLELLVSSGEGLMTNINMREECPAPSVFIPITSLAY >KZN06452 pep chromosome:ASM162521v1:2:33024403:33025087:1 gene:DCAR_007289 transcript:KZN06452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTLGGKKTTKIMKINGETFKLKTPVEAGEVTKDYPGFVLLESESVKHFGIRAKPLGDHQQLKAKRLYFLVELPKFPHEEQAPIIRKVRSGIVMSAKDRLDSLVLARRSVSDLSLLRPKSNFSVEDGQGSSGINGSRTKIKMKLPKADVEKLMRESKNETEAAEKIMQLYLSTRSDDNKVCDHGRVHRMDGAQGRISREL >KZN06125 pep chromosome:ASM162521v1:2:30352229:30357280:-1 gene:DCAR_006962 transcript:KZN06125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLRAKIGAVDKAHGSAYFEMGNTKVIAAVYGPREVQNRSQQLNDQALVRCEYTMANFSTGDRRRKPKGDRRSTEISLVIRQTMEACIMTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMHDLVTSCSAGYLNSTPLLDLNYVEDSAGGPDVTVGILPKSTKVTLLQMDSKLPMDIFENVMQLATEGCKAVANYIREVLLEKTKERQKFHGV >KZN06385 pep chromosome:ASM162521v1:2:32410784:32413315:1 gene:DCAR_007222 transcript:KZN06385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVATILPPSRLSLVLLARLSALLVALLALTWGFYFQTSFLHHHRPSSQQDLIYAVLHPLLMVIGFIVISGEAILVHRWLPGSRNLKKTVHLCLQGVALASGIFGIWTRFRGEKGVVANFYSLHSWMGILCISLFGAQWVIGFLSFWHKVEMRTIRARVLPWHVFLGLYTYGLAVATAETGLLEKLTFLQANGRVMKRCTESTVVNCLGIGLVLLSGVVILAAVSPKQRNLQIAYSNGKCLTS >KZN05777 pep chromosome:ASM162521v1:2:27148190:27152190:1 gene:DCAR_006614 transcript:KZN05777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQFLPLLALLILPLFGTTVSGTGIAIYWGQNGNEGNLTQTCATGKFPYVNIAFLNKFGSGQTPELNLAGHCNPASNTCTSLSESIRYCQQKGIKLMLAIGGGIGNYSLASKADAKIVAKYLWNTFLGGKSNLRPLGDASLDGIDFDIELGSVNYYEDLVKYLVAYGKKGRKVYITGAPQCPYPDAHLGKAISTGLFDYIWVQFYNNPPCQYTSGSTDNILNSWAKWTTTVKAGKIFLGLPAAAKAAGSGFIPADVLSSQILPVIKKSPKYGGVMLWSKYWDDQSGYSDSILSSLMADYDVVPDHYTYPCVLKACSGSGNLWVGLQVHGPVVKPLGASKHIQNELQQGHRFFCFALEVTQSFNLTIALTTSSNKICLATVIHGYLLFSCVVYMAPSWRSNEGISVLTVVASCYRGGYHVEDAFANIIKVFKAPKNAVKFQAEVRRKNTMCTLPV >KZN04656 pep chromosome:ASM162521v1:2:14290937:14291603:1 gene:DCAR_005493 transcript:KZN04656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSNDIDLLHPPADVEKRKHKLKRLVQTPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGSSFRRKSD >KZN07504 pep chromosome:ASM162521v1:2:41394184:41394621:1 gene:DCAR_008341 transcript:KZN07504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYQSPQFTRHQAHHYNQPLSTQVVKATTAATIGGSLMLLSGLTLVATVIGLVIATPVMVIFSPVLVPAAITLLLLLGGFLTAGGLGATAAFVLFWMYRYTAGKHPIGADQLDFARHKIANAAYEVKEKAQHLGHESLQTIQGS >KZN05799 pep chromosome:ASM162521v1:2:27329787:27339284:-1 gene:DCAR_006636 transcript:KZN05799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVGPPVPLPKNGYKAYEDPSFIKWNKRDAHVTLRCQDSVEGSLRYWHERNKVNLLASQSAVWDDDAVSQALDCAALWVKDLPFVKSLSGYWNFLLASSPASVPSNFYDNSFQDSTWDKIPVPSNWEMHGFGRPIYTNVVYPFPLNPPRVPDDNPTGCYRTYFNLPKEWEGRRIFLHFEAVDSAFHAWINGVAIGYSQDSRLPAEFEITSLCHSCGSQKQNVLAVQVYRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQVFIMDYFFRSNLEKDFSSADLQVEVLIDDSKETSKDNFLKNFTIEAAVYDTGSFSDSDGQVDLLSADVCHLKFCPPPAGVLGFHGYMLSGKLKMPKLWTAEHPNLYTLVVTLKDASGQIIDCESSQVGIRMISKAPKQLLVNGQPVVIRGVNRHEHHPRLGKTNLESCMVKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIETHGFDYTGHFKHPTKEPVWASAMLDRVIGMVERDKNHACIILWSLGNEAKYGPNHDALAGWIRGKDPSRPLHYEGGGSRTTATDVVCPMYMRVWDIVKIAQDPNETRPVILCEYSHAMGNSNGSLDEYWKAIDTTFGLQGGFIWDWVDQGLLKEGSDGTKHWAYGGDFGDIPNDLNFCLNGIVWPDRSIHPAVHEVKYCYQPIKVSLEDGIIKITNTHFFDTTEGLVFDWTLYGDGCELGSGILSAPTIEPQKSFEIKWESGVWYQLWSSSSAAENFMTITGKLLHSTRWSESGHFILSSQIQLPVQHESIAHVIKVKDATFSSEITEDAIRVSNHKLWEIKINKCTGAIDSWTVEGTRVLQKGIFPCFWRAPTDNDKGGESKSYYSRWKAANLDAVSFLTESCTIGNKTDSLLEVAVVYLGVVKHKEKTVSESKDSDVLFKINVGYFIHGTGDIVMKCNVIPTPNLPPLPRVGVEFHLDKSVNHVKWFGKGPFECYPDRKSAAHVGVYEMNVDDMHVPYIVPGECSGRADVRWATFLNKEGCGLFTSIYGESPPMQMNASYYTTEELDRATHNEELIKGDYVEVHLDHKHMGVGGDDSWSPSCHEKYLVPAVPYAFSIRFCPITSATSGHDLYKLQL >KZN04554 pep chromosome:ASM162521v1:2:12570273:12570830:1 gene:DCAR_005391 transcript:KZN04554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPHRSKPRRGHTNLASCIVAFFFLLFIAATITTIYLLIFKPKHPHIAVTSVKFPTFALSNNTVNFTFFQYVTITNPNRDTFTHYDSSLQLDYSGSPVGFVFIPAGSINAGMKQQMSAKFSVEEFPVAATAGSRTTTTAMEIETRMKLVGRVRVLKVLTHKVESGVKCRVAVKVSDGSVLGFHC >KZN07387 pep chromosome:ASM162521v1:2:40491111:40494054:-1 gene:DCAR_008224 transcript:KZN07387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQGDEAWDDTLLIKAFDKAISTFKATTFLYSCAMHGKEGQKSTSTGGEDVGSEEQDTAALVSGSNERYRDLETNVSTVSSKLETKGAVNLPQENISLLGKDGQSLQHEDGQNLHNGYSNTQYTEDYNHLLSQYYEVEEQRQKILNQLYQYGSWDYGGYDSSMQWGTNSASQEHQVPAQASCPTDTVVQRDRTSASQDNQVLKPQPQSSAAAHPCCSCICPCMLGPCTSLPACTSGTCAGEFGHNTSALSYNANSSSIGKDDLVNTALGAADRALSALKFKASGDANTSKDEEMKLETDIGKSAQSCSSKTDLSVVFNAWYSAGFYTCKYLMEQSAAKERQS >KZN07741 pep chromosome:ASM162521v1:2:43210231:43215108:1 gene:DCAR_008578 transcript:KZN07741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSWERAQRLYDKNTELENKRRRAIQAKVPSDPNTWQLMRENYEAIILEDHSFSEKHNVEYALWQLHYRRIEEFRAHFNAAAAASAGSVPPRSAKGPRPDRITKIRLQFKTFLSEATGFYHDLILKIKAKHGLSLGHFSDDFETRIVFEKDEKKSIEMKKGLIACHRCLIYLGDLARYKGLYGEGDSKNRDYAAASSYYLQAASLLPSSGNPHHQLAIVATYSGDELVAVYRYFRSLAVESPFSTARENLIVAFEKNRKSYTQLHKDIEGSASKESPNQTNNRGKGTKETRLQAKEVDLDGFSERAPSIHDIYKAFCTRFVRLNGILFTRTSLDTFGEVLSLVSNLLRELLSSGAEELKFGLDAVDNGLFIVRLVTILIFTLHNVKREAEGQSYADILQRTGLLQNAAVATFELMGHIFKRCSELSDPCASQLLPGLLIFLEWLASFPDIAAGTDIDDKQVSVRSKFWDHCVCLLNKLPLSGSMLTSDGDNDNCFFNMSKYEEGETDNRLALWEDFELRGFLPLHSAHLILDFSRKQSIGHDSKKEKTSRLKRILAAGKALTNLVKVDQKPLSFNVQLKRFVIGVAPQSSEDTSSALYSAGSKSGASIKESLKAPMTDLAISQSEFELYDGDEDDEVILFRPTLSEKRHDEISELVPPGEVVNGMNAFSDETQLYSAPLSAFEVGLHQNSAFSSGPQAPMPVTAFSSGRQSPLPVPNFVSQQMQPNQTSSSSRNQHDFLAKDLEGWSLVESGRVIDLRMQNDMKVSNVASLSLPVQQIISPGYTGIYSQAVGPGTITQNQINPISSSRAPDVPNNFEFVASSGYNGGIIAPKVSSALQAMSMKGPANRPVRHLGPPPGFNSVRPKQGFEPSVAMSGENPSVDNYSWLDGYTHPSSIGHSSLKQSTGYPSHPGFLYSEEGNAPLEGARFPFPGKQVSSAQFGAEDRNGQIPESLNLPNEQMQQQQQSVPPPQQYQGKSFLMNHRIV >KZN06152 pep chromosome:ASM162521v1:2:30581599:30583087:-1 gene:DCAR_006989 transcript:KZN06152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLDDNKKLILAIMDNQNLGKLVDCAHYQALLQKNLMYLAAIADAQPQGPMIPSQMAPHPMMQPGGFYMQQPQAAAAVPQQQQQPVLTPKGPLQFNTPHSMQDLQQQKILQHHVQGGLPGPSGGLPGPSGTRSVAPNNSTNPIHMEASRGGGDGGLLTEAKTPSKARGGGSKQDANEGSRVPSASGARSSATGKGSGDAEAK >KZN04057 pep chromosome:ASM162521v1:2:1262650:1264048:1 gene:DCAR_004894 transcript:KZN04057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSLQNLDLGCASSSKYSCFSPRPLCFDQSNAVHEALHGYVPWLPQPSSFVDTNTFSDQGQMRTDLGGYPMMYNEANQVPVTSVQSNTVPADGPSYTGGSTMNQNGGSGQAFTQPRNDSQNGNHTQGFTETNNVQNGANLPNPQGIASMAMRNEGWMVLQNAVVVELVQCMRVMNDILRRVSLQVEANEAVPRHDQQTPNRIRSSFQDLPVPTSTKTIFVGGLSSTVTENDLMDYFYQFGTITNVKVMYDHFTQRPRGFGFITFDSEEAVDEVLHWTSFHELNGKMAKVKRAIPKDIYQNSIRGRLGALNYGLSTVSNLLRACTQGYNPGQVGGSAAVSGSGYPWIP >KZN04636 pep chromosome:ASM162521v1:2:13836137:13839412:-1 gene:DCAR_005473 transcript:KZN04636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQVLACKPSFNVFSIPRGRYSSLGLHSVVRFSRDSACQVPEFSSRLKTYTSGIQRCAPVFVFGGKGNSTDDNDASPWKSLEKAMSGLKKEKSLEDVLREQMEKKEYYDDGGSGGKRPGGGGGGGGDGFGESEDEGFSGILDEAFQVFMATLGFILLYVYIIAGDEVIAFFKDILRFLARRPNNRFSNMFYRFGRQYQTQYQEDPYALEKAILNTPTWWDSPTKYKRMIKAYLASLSSSDNEEYDSS >KZN04359 pep chromosome:ASM162521v1:2:9199554:9200842:1 gene:DCAR_005196 transcript:KZN04359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDFHSKDLASERCYILRTREPRHITGEEIPDLGEQTQSEGLESPFRSLPTITTIPAITTDVVTTPPTYVTAPVQRSDGEPDILELLSYFQTPTSEVSTYTPEFAAMPTADRYRFEFSVSAPVAEFSTPSFVTPAPVYSVPEPRVQVEQPVVTAPEIPVHAPGIPMISAPASQTPTVSLGASVPMSFSDWADWAMMSSTMHSEYMALAHQYQGVLDQYVDFVYPSWDSFMTFGPPIPSLQPNDPLEV >KZN05413 pep chromosome:ASM162521v1:2:23591315:23594216:-1 gene:DCAR_006250 transcript:KZN05413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRLSQAFYTLLVVCNLIKFGRGVDFPYVTRLVNLTYLHYAVRQGAVCLDGSPPAYQFDKGFGDGVNNWLVFLEGGGWCDTTEDCLNRILLNGMGSSTNMFPKNFTGMTSNEPSLNPYFYNWNRVYVRYCDGASFTGNNVDPVHKLHYKGAKIFNVIVKDLLAKGMKNASNALLTGCSAGGLAATLNCDKFRSLLSTSTRVKCASDAGYFPHLKDVLGGFSFAKYFDNIVKLHGSAKNLPKSCTSKMKPRSLCFHPQYSLPYVQTPVFIINSAYDTYQIANILAPNITNLPKSWETCRSNTTTCLTPDHVETLKEFRLGFLDALPKLGNSSFRGMFITSCLIHCQYHLQQSWHGDDPRFRLHNKAISEALGEWYSGKAALQIIDHENDLPRVCLTL >KZN06665 pep chromosome:ASM162521v1:2:34821752:34823678:1 gene:DCAR_007502 transcript:KZN06665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKEEKVEEVKKVEEAKKEEVKEEKKQDDKKVDEEAAPAPPPPPPPVIVLRVYMHCEGCARKVRACLKGFPGVEGVETDCKSHKVVVKGEKADPIKVLERVQRKSHRQVELLSPIPKPPEPAAEEPKAAEVKEAPKPEEKKAEPQVITVVLKVHMHCEACAQEIKKRIMRMKGVENAEPDLINSQVTVKGVFEAPKLVEYVYKRTGKHAVVVKQDPPEKKEDKEEKKGDEGGDKDKEAKKGEEAVGDGKEKKEGGGEETAKPDAAATAVEQSEDAQVLDMKKHEFYYYHPQSYHMYPPTFTAESYSSTSYPPAPQMFSDENPNACSVM >KZN04767 pep chromosome:ASM162521v1:2:15498865:15503899:1 gene:DCAR_005604 transcript:KZN04767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFPWPKGRDYVHYANVPYKSLTYQKAVQHWVEYHGNVFKFPGGGTMFPQGADAYIDELASVIPIKDGSVRTALDTGCGVASWGASLMKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGTIHLPYPSRAFDMAQCSRCLIPWTANDGMYLMEVDRILRPGGYWILSGPPINWKTYYKTWKRSKEEVKAEQRKIEELAERLCWEKKYEKGDIAIWRKKINAESCQRNPANTCQSSDADNVWQLLGSTRYRNIMDMNAGLGGFAAALNSPKSWVMNVVPTIAPNTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHANGLFSLYQNKCELEDILLEMDRILRPDGSVILHDEVNVLNTVNQIVRGMRWDSKMYDHEDGPLIPEKILVVTKRYWVGNSNSTSSIDE >KZN06627 pep chromosome:ASM162521v1:2:34535506:34539732:1 gene:DCAR_007464 transcript:KZN06627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRHSVDIPISKALVALRRVRSLRDPSTNCSSRLSPVVDGLNWETNSNNGITLGFANDGIDGVNALGSKDVVLYGQRREHDSECEVYYSKRKHNPNFGRDEYPDFGGYCPGRTIEEESNLILTNQEESCENTLPSEKYYREYKDKKLGLIGKPPLGDCSEGVGSCNEALEGSLRAERLDQNASKRNSRYRNRIRSSRAGTCDVMSRTSSPCLSIGDVPVEKASCSPSLYDNGDVDALYPNDQGCGISRCWSRTPRFRESDFSSDLEERPLMSEGARSIDQSEHRRSRKHIGKEAIPYSESPRSLHQKFRPKSFSELVGQDVVASSLLSAIANERIIPFYLFHGPRGTGKTSASRIFAAALNCLSREGNKPCGTCQDCVSFFSGRSRDVKEVDSVRINRVDRIRWIIKSASAPPVSSRYKVFIIDECHLLRGSTWASILSAVDNFSRHVVFIMITPDLDKLPRNAVSRSQRYHFPKIKEIDTVCKLKKICVVEGIEFDQNALDFIATRSSGSLRDAEMMLEQLSLLGKKITLSIAYELVGMVSDEELLDLLDLALSSDTANTVKRARELMKCRIDPMHLTSQLANIIMDILAGNCLDGAKKKFMGRHTSEADLQHLSHALKVLSETEKQLRTSKNQTTWLTVALLQLNSGGSTIDANETALCRSTVHTRDGEFCSSSYSGESSKHHVTCACGKFDSCKMGTQEGKGTLESVWSRATEICESKSLRNFLQKKGQLASVCFNEGVAVAELEFKSPAYVAKAEKSWKLIAAALQSTLGCNVEIRINLMRSNSEIKSKKVKKSSFSLFSCSRRIDRHSTTEPGSDPSENYTSLSEKAMIKEKSVATCTSDCGSQKSNSHICCHTQETVKTLRDSDGNALSVETTTSYRLLPENTPKPEYCKDDCCNGDEMNCKFRNVYSDTAEKQPGCFPRTMNLHKKLHTSGNRQMDVFSMQPEHHLALANHGQTPPQGYFCASDPYSFSKDCKNNTECHGYENKF >KZN05967 pep chromosome:ASM162521v1:2:28776257:28778437:1 gene:DCAR_006804 transcript:KZN05967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSERGSSDLPVMNLASPTSCYQGAYYFPQHQVSNPGLYYSHEYEPPPTVTSKSYASDIYAYQMFDTSSEREYVFANDNFDTTSTIASLYTLTCHTLSTGQPYYALELASSITAPCPNVASSIELSSVLNNHEFGEEFTNINEVVNSVSVLVKTDGSKECGDGRSMVVGGNDEDRRLRGNLLENNINLGEVIKDDNNEKNEVKSDVEEIENDIDDVFGDGSLTDLTRTVNCSEKLTNICDDLFVMDNFRIHQNSSSITDYVEDFMYVKNRAELIFKRKFDDDLLSYCFVEGLKEDLRDALELWAPRTLQEAIILAKYKELLLEESLMVVNVVMKCEKGPVTNYCVKNLSAGLRKDEKPMVAEELPAMVNNKRQTPNDHMLQTIKKLTSTIYSVDLGINSGNIGEDNVLIFDPGGNSHNAHEARIINLFKEIIVKKGCEDDEGGEQRDNCSKIRSFLLEELNVMLKATKMCLAFEVAGITLFSVTRSDLLGGAISGDKFFGFMLAGNFGFKEVLSYAIECSAFILLYQALLEKLQTCVQHSNNTATEVFTRDQLGRLLNRIHGLRGGIVRFKVPISKKMASAWHRCEITLVFDPGNLFINGAILVKLVAATMDELEILFNNISQAPGHTPWTWTAVFASGIIECEETVYTWVQHHNYLVSSIARRMASAWYWSIAILVFDPGDFNYSHPGDLSCCYLKIIGTSLRTRMFLREMDCNQHERLDLEELA >KZN07556 pep chromosome:ASM162521v1:2:41806948:41807496:-1 gene:DCAR_008393 transcript:KZN07556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSKPAVSIEAAASHNALIPKDSLSAAKGKKRVVKEGKANKPVAKERHSKVNGRARRVRMPAVCAARVFQLTRELGHKTDGQTIEWLLRQAEPEIINATGTGTKPAQLSVSSPAKPASEASVAAPLSGSVMNPENFVQGGNGLGVAPEVEYQTGGFMSLLMDPMIGEEELQQHEEYLLQM >KZN04923 pep chromosome:ASM162521v1:2:18003918:18005139:1 gene:DCAR_005760 transcript:KZN04923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKKISAILRKRFTAPNWLKHKEPREVHMFVDILLQELKVIGNNVKQILPQGPHVKHRHTDSNGSGSSSLSNSFNNDKLSKFNMHRTRNQLLETHLINLFKQKLKIFTKVEHTQESVYSTIVKLCLKSLHELVRLQTFNRSGFQQIQLDMQFLRSMLKETAEDEAAVNFLLDEVIVAAGTRCLDPILLDSPVLDKLIQTKLAKNSEEIQVSP >KZN07447 pep chromosome:ASM162521v1:2:40921006:40921855:-1 gene:DCAR_008284 transcript:KZN07447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYRLSDDVSQDSSSSQGPFALCRIIKKNEQGIKNGDYSGDLKAKQVGCSSSNGASMSNQASGDLVVIPDDMPVQASPLGTPYRTSTMVESESVQVQANPSSLWHSPNFIFDSSLEYSQGQEGLSECFPQNEVSNTMTPWDTFNQFEISPSSSYSNFTEKVELADDLTQFGCMSPYSGHIDYATYFGNGSMPPPEAYDWSMY >KZN06642 pep chromosome:ASM162521v1:2:34632678:34635052:-1 gene:DCAR_007479 transcript:KZN06642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNLEDVATENLLIETLRRFWCAAKPDKRLILVGTNPTISISLHIYIYVTGESLIQRKDDTAAVLKSRLDAFHRQTEPVIDYYNKKHVVANLHAEKPPEAVTAEIQKALS >KZN04399 pep chromosome:ASM162521v1:2:10258597:10261514:1 gene:DCAR_005236 transcript:KZN04399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFCYSLALPILLALFIIQTEQSVYNETGLRGKKLGGGGTCNLFQGRWVVDSSYPLYQSSSCPFIDPEFDCIKYGRPDKQYLKYSWQPDSCNLPRFNGLDFLKRWRGKKIMFVGDSLSLNEWQSLCCMVHEWVPNSRTKYVRTNLISSVTFEDYGVSIMLYHSVYLVDMVRESIGTVLKLDSIMGGNAWRDADMLIFNTWHWWTHTGNSQPWDYVQDGTTVKKDMDRLTAFYKGLSTWARWVDTNIDPSKTKVFFQGISPTHYQGREWSSASKSCYGELGPLTGSTYPAGEPSASLVLKKVLSSMKKKVYLLDITTLSQLRKDGHPSAYSGDHSGVDCSHWCLPGLPDTWNQLLYAALVM >KZN05942 pep chromosome:ASM162521v1:2:28587795:28589353:-1 gene:DCAR_006779 transcript:KZN05942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLILGYCTSIFRRKNLPPGPRGLSILGNLLEIGPNPHQSLAKLAQKYGPLMTIHQGSVTTIVASSADTARLVLQKHDADISGRIIPDAISTLEHPSHAVAWLHTGDEWRMIRRVLTTFLTNSHKLDSLCELRHGVMDQMVRHVEKISKSEGEQGVEIAKLAFTTALNQMSNTCFSTNVDEYDHQDSKGFKNAVATIMDVAMKFNVADYFPLLKAFDLQKLRPMANAAYGCLEELCDDYIHQRLQHRENKLPKHGDLLDSLIDFSQENQSDFTLKHIQVLLVELFLGGTDTSTNTTEWAMTELILHPDKMTKLRNEISESVRLKGRIQESELLKLPYLQAIVKETMRLHLAVPFLFPHKTETNVNLNSYEIPKNTPVLVNAWAIARDPTSWEDTTSFKPERFLDSEVDFKGQHFSFLPFGSGRRICPGIPLAHRVVSLMIASLVYHFEWKLPHGMKPQELDMNQTYGLTLVRAVPLVTVPVPVTQVTSYN >KZN06881 pep chromosome:ASM162521v1:2:36571937:36574641:-1 gene:DCAR_007718 transcript:KZN06881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSGFGWDENSKKIQRDKSVYDEWCKSHKDARGMWGVSFPHFHALAELMGNDRATGSNAENFAEAIENMGNETNDSMFSASTEEADQDSVSKPGKRKRSKDNPEKNLISMFDDVSSKLGSFMENIDKHLGKLVASESDDMAAKVMEALRQMEGLSSGQMLQAAEILMAEPPKLKVFYHADTELRKEYIYRLLLAKNK >KZN06126 pep chromosome:ASM162521v1:2:30359711:30366121:1 gene:DCAR_006963 transcript:KZN06126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKEENQYDLNSKQPNLVARDVTLGFGERAFSAAGAAVLSAVLVNPLDVAKTRLQAQAAGVPYNDQCRTSCFESNTMLPDMRSSPSCTRAVTGSESECALRYKGTFDVFYKVTQQEGIARLWRGTYASLALAIPTVGIYLPCYDILRNSLEELTSRNSPSLTPYVPLVSGAVARSLACITCYPIELARTRMQAFKDTQAGVKPPGVCKTLVGVISQVRTTNNLQRLQNYRYLWTGLGAQIARDVPFSAICWSTLEPLRRRIISLVSDQASIATILGANFSAGFVAGSIAAVVTCPLDVAKTRRQIEKDSSRASKMTTKHTLAEIWSDGGIKGLFAGAGPRVARAGPSVGIVVTFYEVVKYALRRRSWSKDRDTL >KZN06471 pep chromosome:ASM162521v1:2:33174628:33175455:1 gene:DCAR_007308 transcript:KZN06471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSGKLVSSIEINSCSDVFHDIFRHRPHQISEMSPTKIKACDLHEGDWGTVGSVIFWNYTHDGKEKVAKEIIESIDEEKKSVKFKVVEGDLLELYKSFSLTCHVDTDGEKNLVTWTLEYEKLNESVEDPHTLMEFCLHVTKDIEAHHA >KZN04219 pep chromosome:ASM162521v1:2:4093303:4099512:1 gene:DCAR_005051 transcript:KZN04219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVIHYLCSSSVPLARAVRSRALTMNSSSSLPMVKTADSHVLLGMKSVPVPFRNDLEEAGWTVGRSPIHKSVTAADGTIKLLIKLEDGRLVETVGIPVEDKKGSLRLTACVSSQVGCPLRCSFCATGKGGFSRNLKRHEIVEQVLAIEELFKRRVTNVVFMGMGEPMLNLKEVLGAHQCLNKDVQIGQRMMTISTVGVPNTIKKLASHKLQSTLALSLHAPNQKLREQIVPSAKSYPLNAIMKDCRDYFLETSRRVSFEYTLLAGVNDAVEHAKELAELLNEWGRGHHVNLIPFNPVEGTEYRRPYRKAVLAFTAILESRKITTSVRQTRGLDANAACGQLRNEFQKSPLLPSLDDMQPQLKIPVA >KZN06384 pep chromosome:ASM162521v1:2:32401562:32408406:1 gene:DCAR_007221 transcript:KZN06384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESSRSNSAADSYVGSLISLTSKSEIRYEGILYNINTDESSIGLRNVRSFGTEGRKKDGPQVMPSEKVYEYILFRGSDIKDLQVKSSPPVQPPMQTTTINSDPAIIQSHYTRPASTSSGLPPPVTGSLTDSLSQNVQPGQPGSTFQGAIPLYQPGGNMGSWGPSPSHPSANGSGLPMPMYWPGYYAPPNGLPQVHQQSLLRPPPGLAMPPAVQQPMQYPTFNPSLPTGASNMPGSNLPEFSLPLLPTSTNPLNSTNTSLPSSLPPAPAITLSLEALPSFMQNKATNSAIPLAPKGANFQSASLLTTSGTDVSTIAPPISNKSIASSTSQYSATSQPIPPILGISNSVQTETAPPSLVTPGQLLQSGQSAVSSALSSQIAHKDVEVVQVTPQKSSQLPVPAATEAQPPILSLPQQSRPVYKSSRPVMKFNEEFDFMAMNEKFNKDEVWGYLGKGNKSNSKEKEGDEIAGEGDHFEEVDAELPKLDVKPVYSKDDFFDTLSSNATDNQSNNGRTKFSDQMKLDTETFGEFSRYRGGRGGRGPSRGGRFRGGYYGRGYGYSGRGRGRNMPSRDY >KZN04672 pep chromosome:ASM162521v1:2:14507200:14511024:-1 gene:DCAR_005509 transcript:KZN04672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTESGAGGSGVGANGRKITYFSNSFVLALALVAGFGGLLFGYDTGVISGALLYIRDDFPAVNQSSFLQETIVSMALVGAIIGAAVGGWINDAYGRKRATLIADVVFILGSFGMAAAPDPYVLIFGRFLVGLGVGTASVTAPMYIAEASPSEIRGGLVSTNVLMITGGQFLSYLVNLAFTKVRGTWRWMLGVAAVPAVIQFVLMLFLPESPRWLYIKKDKSEAIVVLSKIYDPFRLEEEIGYLDSALEEEELLRKNAVSYWDVFRIKEVRLAFLAGAGLQAFQQFIGINTVMYYSPTIVQMAGFSSNRLALLLSLIVALMNAIGTVLGIYLIDNYGRRKLALSSLSGVIVSLILLSVAFIMQSPNGDYGWVAVFGLALYIAFFAPGMGPVPWTVNTEIYSQSYRGICGGMSATVNWISNLIVAQSFLSTADAVGTGPTFLILAGIAVVAFVFVMVYVPETKGLSFEEVENIWKERARSSGSSKEPLLTPSPL >KZN05796 pep chromosome:ASM162521v1:2:27313638:27317828:1 gene:DCAR_006633 transcript:KZN05796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQITITWIGLLMLWCICTGMAEEGEYMKYKDRSQPVAIRVQDLLSRMTLEEKIGQMVQIDRIAATPDIMQKYHIGSLLSGGGSSPLPQATATDWVNMVNGFQKGSLATRLGIPMIYGIDAVHGNNNVFNATIFPHNVGLGATRQVAVAHLITIEPSIRDPELVRRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDPKVVQEMTDIILGLQGEIPNGTRKGVPYVAGKNNVVACAKHFVGDGGTTEGINESNTVIDTHGLLSIHMPPYYDSVIKGVSTVMVSYTSWNNKKMHSNRDLISTFLKDTLNFKGFVISDWEGIDRITSPPHSNYTYSVEASILAGIDMVMVPVKFSEFIDDLTNLVKNKYIPMDRIDDAVGRILQVKFISGLFENPLADFSLVNELGKQASKAPPRLTFEHRNLAREAVRKSVVLLKNGKSADDPLLPLPKYVPRILVAGSHADNLGYQCGGWTINWQGFSGNNNTAGTTIRSAVSSAVLPGTEVVYLENPDSDDVKSGNFAYAIVVVGEHPYTESFGDSKNLTMADPGPNVINNVCGAVKCVVVLISGRPIVIQPYMSTIDALVAAWLPGSEGQGVTDVLFGDYGFTGKLPRTWFKTVDQLPMNIGDSNYDPLFPFGFGLSTKTEVAK >KZN07282 pep chromosome:ASM162521v1:2:39682660:39689918:-1 gene:DCAR_008119 transcript:KZN07282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQMLSTSRTLIRRLLSCLVLIIFHVRARAQTYLYSTCPDRTFSDDIQRTSQFQTNLNRLLFGSLYSNDINSMFSNATVGTYPDQVYSLFLCRGDASNKICQDCIDAAITKILRTCRYRKEAIIWYDECLIRFSNTSFFSTLDTKPYRCLSNNENLIEPKNFNQLLEDMFRNLDIQATNNPANRMYAQAKVTISGIGNMYGTVQCTPGLSHLQCQNCISRAYANFANTSICREGKKGKRILTPSCSATYEMYLFDVDPPLQSPNLGKSSDTGTSRARKSNMKAVATSLGMLAVGAIVFLGFCVCYCSRRKRVEAICLAENEEISPEIQLLDLAEERLGDNNSPRDYDGRIQAWKLWSDGQELELMDPLLSEACVEMEILNCIKIGLLCVQEDPADRPTMSSVIHMLGSHMAPHPQPNEPAFSVGRVVPSSPVNSNVIGTLVTAQMHIKTSCQDNTFSYTAGSRFEKNLDSLLHTTLSIYGGGSISADAKKGSYPDEVYGLFLCRGDVSEKTCQDCIDAATDKILSDCQFKKEAIICLDLTESKKFLKIRRDMFDNIIDQAINNPDNHMYAKADVNITGVGNLYGMVQCTPELSPLECQNCLTSGVANFDTALCPDGKRGKRILTPSCNTRYEVYPFSENPPIQSPDSSNSTDNIGIRKTRGKTLVTAISAILPTTLVIVLIGCCICYYNRKKRIEETDYSARDQVLDLTEGGYLSGRFEGESIVESDRFPLIQLDLIQTATQQFSPKNKLGEGGFGPVYKGTLVDGKEIAVKRLSRNSCQGLQEFKAEVTLIAKLQHNNLVNLLGCCLEGEELLLVYDYMPNKSLDVHLFDTTSGAHLDWKTRLSIINGIARGILYLHEDSRLRIIHRDLKASNILLDSDMHPKISDFGLARIFGRNQIEDNTNRVVGTYGYMAPEYAVEGIFSVKSDVFSFGVLLLEIVSGRKNSRFYLTGYGHSLLTYAWKLWSAGQGLELMDPLLLDTYLETEVLNCIQIGLLCVQEDPADRPTMSSVIRMLGSYAMPIPQPTEPAFSLGRVVPFSQQKTIELGSSVIDGQVVTSSYVKPDDTVSPLTIGNPFLSNIFTR >KZN07035 pep chromosome:ASM162521v1:2:37842069:37843066:-1 gene:DCAR_007872 transcript:KZN07035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCMPLKSRNLDVNLLVLKPTVFIDELVEALKHFTSWSLTFGCIHSAILQTIHGNLIIWYGAWMKRSHENKKLLDSALLLMLERISSVADIIDNSFFEPYGGESNNGSLAATFSTGDAVSFSVIAHSRHDNASQIDLPYAVLATFQRRFLAMDGATSGVCLKKNYDTADNSNDRGSNDCVLNFFVWESQEACYSSILRSDVKNVNFPHLGGMSLPMKYEVYRVIYVSGDDELDFKHSPWPPHLMLRANQDQNIQGKE >KZN07672 pep chromosome:ASM162521v1:2:42587760:42589995:-1 gene:DCAR_008509 transcript:KZN07672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFPFFPKPTRWKKRPSSKYPFYPAALFITSLILIFFFYITHNPISTSTLPVSRNSQSALCPQETLGQKFLMYAPHSGFSNQLSEFKNAVLMAAVLNRTLVVPPVLDHHAVALGSCPKFRVLSPNQLRLSVWNHVFELIRNLRYVSMSDILDLSKVISDSSVRIIDFRDFVSKWCGVNMDFACSRYSELQSSLSKISTQCGSALSGNDGNVRDCIYAVEEDCRTTVWTYQNDGEDGMLDLFQPDEQLKKKKNISFIRRRRDVYRALGPDSEAGSATVLAFGSLFTAPYKGSESNIDIHNAPRDNRVQSLIEKIEFLPFNPEITSAGKEFSLQTIKAPFLCAQLRLLDGQFKNHWKSTFTGLREKLESLKQKGPLPVHIFVMTDLPSGNWTETYLGDLARDSRNFKLFTLNEEDELVRKSATRLVTARQRTKSQSASKNLDELKPCDSQTLPDLRLYIEESICGCASLGFVGTAGSTIAESITLIRKHRVCL >KZN06122 pep chromosome:ASM162521v1:2:30326271:30328870:-1 gene:DCAR_006959 transcript:KZN06122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSMATTAVFSPRLPTTHSSALPYLPPRFSTSPFSASNKLSSGSRRSQFQIKATSQESSPDDGGEILADLKEKWDAVENKSTVLIYGGGALVGLWLSATLVGAINSIPLLPKILELVGLGYTGWFVYRYLLFKSSRKELASDIESLKKKIAGTE >KZN06770 pep chromosome:ASM162521v1:2:35691332:35693337:-1 gene:DCAR_007607 transcript:KZN06770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVPGQLIWEIVKRNNCFLVKEFGNGNAGVQFSKEPNNLYNLNSYKYSGLAQKKNVTIQPAGKDQGVLLATSRTKKQNKPANLLNKSIMKKEFHRMAKAVSNQVADNYYRPDLKKAALARLSAVNRSLKVAKSGVKKRNRQA >KZN04975 pep chromosome:ASM162521v1:2:18552406:18553716:-1 gene:DCAR_005812 transcript:KZN04975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMMAEAVSRSLTSDCTQFASLSLSLNSSSAHAVISNHDLLSEILSYVPVRPLICFQLVSKQWLSLITSTQFALLHYRRRRWTSPPSAIFLESEFLLSRGFETHMLFVHLNRDKIHKNPFRYSSFSHDSFDPKKVLIMQSCHGLLLCRTGIVVTQVPLHPRGLFHKNFYVYNPTTNQLATIDLNWSTRVTIHAAVLDFDPSKSPHYKVVFCVSTPRTRAHQRRFVIYHSETKTWKLSALHSFVPHIILSMSNFAYFKGSIYWIITLNVNLVLSYNVDADTSATLPSPTQKGSTFGRRSLYFGESAGHLHIAEVFACAASSLDVYELNPDRSGWFIKFKVDLDQLSKDFPVMSDNRFTSVNEYAFCVLSLVRGREDFDEDSVLVLEVPGKVIVYNLVDQSWKEVYNFCPADKARGWPCGNFKAIDYNGSLAPASFW >KZN07083 pep chromosome:ASM162521v1:2:38218039:38220690:1 gene:DCAR_007920 transcript:KZN07083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASRISTCLQNSTFGETQLGLNKVKVLVPSRKWSVVCKLKDRLPVVLTAHSAPTKNIKPSKDSNSAQKKLIKKVGKQEHHLWSKRDSSGSGQKALNLVRIVSGLPNEKEAVYGALDKWTAWETEFPLIAAAKALQILKKRNQWTRVIQVAKWMLGKGQGMTMGTFDTLLLAFDMDGRVDEAESFWNMILHTHTRSISKRLFSRMIWLYDHHNKPDKVIEVFADMEELGVKPDEDTTKKIAHAFQKQGQEDKQKEVLKRYLGRWKYIHFNGERVRVKRALWNE >KZN04875 pep chromosome:ASM162521v1:2:17475389:17481675:-1 gene:DCAR_005712 transcript:KZN04875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCRSFGSNYHPSSQSRKVSIGIVVDQFAKKPSDIREYGAVPFTGNLGSSKENTNEETRKNGKGKDAGKEKESEAAVHEASLLVATPTGVFDPKQGQRYNVPNNSPKNTRKFNSSQMSVLKSDDGQQKKFGSVTYGKKGQRDGSSNRVEEVSFAIGQKLKDPVEEVVLEKPVYTEKQGHEALRMKIWEALAAVSTPTKELSNSQTKNNCTDNLEPEQKSDEKKSSAVKPRQNSDTIESDSDGPSHTMKRPVTRSLTRKRPTKVQQHKTTNAPSSSDRHKQIEKTTFFFNEGLSRRTTSATIGGSSGSRGRKKNCSTIEPRKLHFPKSSNASETFHVTDTGYMEDHIDSSSGFKKGTGRHSYQFPFIEKTDKPGGNFESIFPKSVDEQVDGIGPSLRNNGEPHFDFNSPTHRVNTPTETCFGGLSPNNKQGDQEDVYSPMKGIINMENIRSFKSFYASDPNSKKSNEAKEISEDEVEQEDFSSEPQFNFKPPTLGLQPPAESCFGGSSLKTNQGKQEDVNRPTKDDEVEHENSPVEPRFDFKSHTLGMNTPTKTCFDGFSPINDQGKQEDVCSPMKGVLQSGKFPRFQNFFASQPESNENKKREISDDEVEQEESPVEKSLPSQKVMNTANILSTPSSEEDGSESSDEGPSEAETPEIATAQQPEILFHPTKRCRLNDGADATVYSPPSSPKVSENFYELPSEMNEEDGLARAVSLFAMVLKRVKSKMKSVANRRSAEILTSVATEMHLQLQNAESQIQTDLWKLSGIGKTKRRRLEIRFQEQQEQLKGIYERFRNEVNMHLQDCRSTFEELESQQTEFRDIVEKQKASHGKLMLEAEQAIKTQLDGAERRITTVHKVIFFPYKFNSMTRAMFHALFIHPDLNLIATLSSCYGSAEYTLIQISILSARQKMLQLRYVIAECLKEDVLY >KZN05548 pep chromosome:ASM162521v1:2:24943319:24949910:1 gene:DCAR_006385 transcript:KZN05548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKSEAAVIDDLESRPAVIASVLPKSEGKIGDKSKWKLKTVVTLALTFLTSSQAILIVWSKRAGKYDYSVTTANFLVEALKCALSLAALTRLWRTDGVTEDNRLSTTFDEVSVYPIPAALYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKRKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPFQGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMAFNAVAILVQDFDAVMNK >KZN04770 pep chromosome:ASM162521v1:2:15535783:15539670:-1 gene:DCAR_005607 transcript:KZN04770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLQLSKEVSKYKGEEEECTLDGSVDYYGRPAIRDTSGRWVAGTIILVNQGLATLAFFGVGVNLVLFLTRVLGQDNAEAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQAIFVIGLVGLSVTTNLFLLRPKGCGTPEIPCGSHSSLEIGLFYLSIYMIALGNGGYQPNIATFGADQFDEENMVEGRSKVSFFSYFYLALNLGSLFSNTILVFFEDKGLWALGFWSSAGSAFAALVLFLAGTSRYRHFKPSGNPLFRFCQVFAAAIKKWRVELTSGEENLFEADGGKDNSSNGARRILHTHEFKFLDKAAFITSRDFKTGVHNPWQLCPISQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGEAMTSKLSDFRIPPASMSSFDILSVATFIFLYRRVISPLVSRFRKTESKGLTELQRMGIGLVIAVMAMVAAGIVEIYRLKYAQKDCKHCSGSSSLSIFWQIPQYALVGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLIVTMVMKISTEDHMHGWIPGNLNHGHLDRFYFLLAGLTSLDLIAYIFCAKWYKSIKLESKYEDDNEEEKLEAY >KZN06870 pep chromosome:ASM162521v1:2:36495983:36500096:-1 gene:DCAR_007707 transcript:KZN06870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNYEFDDTQQLDSAGYDPNFVPDSVKSFVVHLYRHIREKNVYEIHQMYETSFQTLSERLFKDTPWPSVDAVAQYVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEAEIALLKQFDQAWNVYGVLNFLQALVEKSTIIQILEQEKEGLEQFTANDGYDYTGGSNVLKVLGYFSMVGLLRVHCLLGDYHTGLKCIQPIDISQQGVFTIVIGSHIATIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLAVSLSLCPQLKLVEETVNSQLREKYGEKMLRMQRYDDEAFALYDELFSYACPKFITPSAPTYEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISVGKLATYMEVDEPTLRTILMSYKHKTHAVDSDGKVVSNADIDFSINDDMIQVVESKPTKRYGDYFLRQIVKLEELMTDMDRVKLEA >KZN07567 pep chromosome:ASM162521v1:2:41873168:41876690:1 gene:DCAR_008404 transcript:KZN07567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKLYVDRLSQPSRAVLIFCKANGIEFDEVLVDVAKGQQRSPEYAEINPMKNVPAIVDGRFKLFESHAILVYLACAFPGVAEHWYPADLSRRAKIDSVLHWHHSNLRAGAAPYVFNARLAPIFGLPLNPRVAARAEKLLSGSLAKIESIWLKGKGRFLVGSSQPSIADLSLVCEIMQLELLDKEDRNRLLGPHKKVQQWIEDTRHATRPYFDEMHNILFKVKEKLARMPAEGATSKTLAGNKKQILSKM >KZN06197 pep chromosome:ASM162521v1:2:30905445:30910687:-1 gene:DCAR_007034 transcript:KZN06197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAISRPAIVIDNGTGYTKMGFAGNVEPCFIQPTVVAVNESFVNQPRSNVKNNNWLAQHSAGVMADLDFFIGDEAVSKARSSNTYNLSFPIKHGQVDNWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTSKCEMTGVVVDIGDGATHVVPVADGYVIGSSIRSIPLAGKDVTLFIQQLMRERGEHIPPEDSLEVARKIKESYCYTCSDIVKEYNKHDKEPAKYIKQWKGIKPKTGASYTCDVGYERFLGPEVFFSPEIYSSELTSSLPEVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDVKKIVDARVLASDGRAKPVEVNVVSHPIQRYAVWFGGSVLASTPEFFPVCP >KZN07515 pep chromosome:ASM162521v1:2:41475198:41476088:-1 gene:DCAR_008352 transcript:KZN07515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDSIPPPPPPPPPFLTENVIADDNNDDIFPHFLNSSLIFPSMSQNPYYPTNGSLVTQNSQFAMENIDWMSAMINSKPMEDCNQMPPSTCAPVLSSMDNTPNISSGGGDCQNNCINKRKGTGKTKKPIPPRVAFHTRSSEDILDDGYKWRKYGQKSVKHSKQPRSYYRCTHHTCNVKKQIQRLSKDNSVVVTTYEGIHNHPCEKLMETLSPLLRQLQFLSRF >KZN05789 pep chromosome:ASM162521v1:2:27259457:27262784:-1 gene:DCAR_006626 transcript:KZN05789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFERVVKISSASSEAMSVDNGGLEARKTLKLLRDFVNIQQRRARAYAHLKSGFADYMASGSDAAYQQLCSEITVEFNDCSKQVLEMESLFMSPEYGREDLAHLLRSVQIKEKQKLNLTATIQVLKKAGRPSERLVSHENCKFKEPMQHECVHVHEITEAAGTEEAEADAEYDNSLKGAINGVQDAVTAINEHLEEIRYEIAAIEAEE >KZN03981 pep chromosome:ASM162521v1:2:194134:194818:-1 gene:DCAR_004843 transcript:KZN03981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSIVVGRLTDLLTEEAQFLRGLRDEIQQAVNELVRMKTFLRDADSRIDEEKIRILLAEVRELAYDAEHVVETFLLKAYSASGRNRTRQAIKYSKKIKEIEMKMSRFFDCFVEYRVKSTSESSEPSDSSYGASGTLKRFYSYTTREPEIFVGFQEDADGLVGHLVNESEDAYRLISICGMGGLENKCCSGF >KZN07534 pep chromosome:ASM162521v1:2:41649557:41651254:1 gene:DCAR_008371 transcript:KZN07534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYTCRIEADKLLYPVLLSNGNLIDQGDLEGGRHYALWEDPFKKPCYLFALVAGQLESRDDIFTTRSGRKVSLRIWTPAQDVSKTEHAMYSLKAAMKWDEDVFGLEYDLDLFNVVAVPDFNILVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRAVKRIADVSKLRTYQFPQDAGPMAHPVRPHSYIKV >KZN06924 pep chromosome:ASM162521v1:2:36895669:36896208:-1 gene:DCAR_007761 transcript:KZN06924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAKPENILISEGGGGEDGYDVVVVGSGYGGSVAACRLSMAGLKVCLVEKGRRWEAQDFPTSSLKILSTFRFESSKLGISFGPRDALFQVYEQEDCLAAMACGLGGGXEENAIPKPVRVQ >KZN07459 pep chromosome:ASM162521v1:2:41016774:41021087:-1 gene:DCAR_008296 transcript:KZN07459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKGCLECLLKLLNLLLTVLGLAMMGYGIYLFVEYKNASSSHPDGDQMMPLGRPLLMAVSLSGSIFEDLPKAWFIYLFAGLGLAIFLISCFGCIGAATRNGCCLCCVSLWKLLGDLDSIVDLIVRFPILNERIDWPERPYTVIVGRHKDVFGGEEGYNKLKKEIPRDKTGDFDMIYGFLEKNWKIAKWVALGAVIFETLVFLLALAVKAANKPAEYDSDEEYIGGPRQQLRQQLINNRPPVPVTGVPVAATLDNRPVRSDAWSTRMREKYGLNTSEFTYNPSESNGNQQAAPQQTEEKGWCTIM >KZN05868 pep chromosome:ASM162521v1:2:27930781:27932658:-1 gene:DCAR_006705 transcript:KZN05868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESFIKPLLETPPYIVSYAQIYQFLTAKKSLFLGKQVHAKMILRGVLPNAFLAAKMIAMYGSYGEVSYVAKLFDRITQPSVFLYNSVVRAFTNSGCCGKTLEVYYRMHFLGFHADHFTLPFVLKSCAELFRVGLGECVHGISLRSGLELDVYVGSSLINMYVKCGKVREGRKVFDGMSMRDYPAWNALIAGYAKAGLFDFAEDLFWRMPNRNIVSWTAMISGYSQNGLADEALRLFDEMTDECSGVKPNWVTIVSVLPACAHSAALERGRKIHSYASAIGLDSNLNVQSALIAMYAKCGSLTDAEYYFARIRPSEKHLDAWNTMITAYASHGKGIEAVSLFEDMIRAGVQPDAITFTGLLSGCSHSGLVDVGLEYFHRMSSVYFVEPCLEHFACVVDILGRAGRLVEAYNLISDMPMQAGGSIWGALLAASRKHRNLEFAEISAKKLFVLEPNNTGNYVLLSNMYAQAGMWDEVNNIRTQLKFHGMKKTPGYSWIENNGKAHFFLGGDKSHVSAKEIYTLLEELPEKIKAAGYIPDTSFALHDISEEEKEDNLLAHSEKLAVAYGLISTSPGTILRVTKNLRICGDCHTAIKYISKIYGREIIVRDVNRFHNFRDGSCSCGDYW >KZN05175 pep chromosome:ASM162521v1:2:20835972:20836599:1 gene:DCAR_006012 transcript:KZN05175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAYENQIPKVVVETDNIVAFQIFRHQDEEEDVIEAEELIEGSRTRNVSSPPSSVPEAAFLLSRYALDNCSSLVDAPFPVNDIREQLDIDAGFGPHREMLNISPNLGLGEVITIDGRVADNKRVEVITVEDDLEGPLVHQEQMRQSGIINRENSGRMQMSGRVNFTSNVPSGKGKEKMQFGSSSSFARR >KZN06132 pep chromosome:ASM162521v1:2:30420598:30427415:1 gene:DCAR_006969 transcript:KZN06132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIEEWAQPSGMFPNGMLPDAGPLINVLQSDRWLKAEERTAELISRIQPNRPSEERRNAVVDYVQRLITKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSNNQNLKETWATQVRDMLENEEKDENAEFSVTEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEVDHLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSNFDWDNFCVSLWGPVSIGSLPDVTAETPRKDGGELLLSKLFLEACSSVYAVFPGGQENQGQPFSSKHFNVIDPLRVNNNLGRSVSKGNFYRIRSAFAHGAKKLARLLDCSNESILLELNQFFMNTWERYGSGNRPDAPEVNTLSLRSSTQGNVPISVNSKDVLSSKEVKSNSSIRDSKVERTSLHGVSFQRGNHSSDSMSGISNVSATSLNENQKRQENLNSKRISGQIIRQISSNQATGTDKDQRNLQSQRILSDIQGRFLFVRTRSSPELTDTYGDVCSQSRQAGEEDSVKSQGTATESSTNINSYHHKKENPEPYVVPSHSGQSVNDDPSSNRHATPHQSLDPDAGLNSAPNHQSESGLRNMTEELSPASRMQGMQQEQDIMNLMASSTINGFNGQVPMPLNVAAGHLPFQPVLASMGYAHRNFPGMVPANFPLIDQPFSNIQFPYGCIPPHLGHYFFGTGLNTKIDVSNDPVNENISSSELKRGDADYDYWQEQDTESTGGFDPDRGKVELLHTDDTPQSISPSVNLIPPFQSRDPRSSTRGQQMYSREKHGSKPDDHLDNFQFEQKENEVYSDERTTSSRFSSAAHSSSLRSKTSSESSWEEPSTKFSKSTREKGGNKIVAESSTVYGTGNIMSERASDQPDDDQDWNRQTNTRKEIAEISSGMNSVPSLHMVRHHIPDYESAQTSGSEAMIPMPPMIFASRQRTMDNSGTVPFAFYPAGPPVPFFTMIPYPVYSVPPDAGASANLTIKYGGEEGLENSDPAQKFDSPGDEHSQNPANVESLRKAANSETSLEHISDILNSDFASHWQNLQYGRFCQNPQSLGPPTYPSPVMVPPMYLQGRFPWDAPGRPLSGNVNGVSPLMNYGPRLVPVAPIQPVPGRPPNVYQQYGDDLPKYRSSGTGTYLPNPKVSARDRHTSGTRRGNYSYDRSENNGDREGNWNHNYKSRGTGRNHHRNQTERSNSRTYRPAVSESRPDRSWNSYRNDTYSSHQAQNGALHSNSSQAGLPNVTYGMYPVPSVNQNPLSSNGPNTPALVMMYPYDHNPNYSPTAEQLDLGSSSQVGFPVTNEKYLSEGSKEKEAFDEHRLHGRSAHHSSPDQPSSPHHYR >KZN06636 pep chromosome:ASM162521v1:2:34589795:34598649:1 gene:DCAR_007473 transcript:KZN06636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFHIQTPPSLFSLIPPKPINPRKPWKSSNSNFSHLLFPSLKFPAPRAFSSDEFPVDESFLEAFGPKDRDTEDEARRKNWIDRGWAPWEEILSPEADFARKSLNEGEEVPLQNPDSIEAFRMLIPKYRKQKMKDLGLTEDEYLAKQFEIKGEKPEPLTTMWAGPLVVRHVPPRDWPPRGWEVDKEELEFIREAHKLMAVRVDYDNVEKVSSTDTDKLCLDRYKMFLKQYKEWVAANKERLEEESYKLDQDYYPGRRKRGEDYEEGMYELPFYYPGQICVGKVTTLHLYQGAFVDIGGVHDGWVPIKRNDWYWIRHHIKVGMTVTVEILAKRDPYRFRFPIEMRFVDPNIDHLIFNRFEFPPVFHREEDANPDELLRDSGRPPVPRKDPGIKVEEEPLLSNHPYVDKLWQLHNAEQLILDDYEINPEKYKDKNLKDLTDDEEFDEENSVEYTKTYYKKQLLPKMIVKVSAKELDMEAALAERLHNNKLRKEAEKRGEKFKVHKLRRNEEMDEYDFIHWRRSFEEREALIRDISCRQALGLPLKEPGRYVDASRFGKDQYDPDNPLYRYDYWGEPKNSEKSKQDRMTDAHNKSIVGKGTVWYEMSYDDAIKLQERREAQAKLKKSHDEADTAKDQSDEDSDSDDDFDYSILSEPTENESDQPVVNGTQSSRLSSDDGMFEN >KZN06529 pep chromosome:ASM162521v1:2:33767175:33774937:-1 gene:DCAR_007366 transcript:KZN06529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDPEDEDEQRRREAMTTVNRRKLLEHQKVEVRSCTDEGFLGSWHSGTVIATESSARWVQYDHLLLDEYSSTKLVERIEVPSIVDGIEINNKSMSNYRGWIRPLSPPCYFSIWFLHYGQCVDVYYSDAWWEGVILDHQDGSAERNVFFPDIGDEMAAHKDNLRISQDWDEQTELWKPRGNWIFLELIEEIEKDWPILVSVKQIWYDVRARSGFDNLKEWTSCLTDIWRELLLEVIVDNIKLFLKDFFPTLSSSSSGNTLHDCGHLMELSGPILDAVLKNKECFVDSLAVVPGSNGFLNRSEFLHVDEKGEYRQPCDEINRDKLATVTTFDRLGKMPLSSSVKTIDEEIACASSRTMAIAPSMTGEVSKVNSCTNHEESFNASLEVSKITKNNVWHPAGPNIVSDPDYCPEAVDEYCRLFKSGNKRYLKVQNNVWKHLLFMGWKIEYRNNERINGMQQLRYIEPGSPEKYFVSLVDVCEYLKAGASKELCSFTDLKIPKEKAQDNWVPFCLPEPEFYEDAVTEYINISKCGRQPSEEHILNVLKHLSFLGWKVEYTKETWRYRFIMPKDGGSEKEFRSLFEVCQYMETSSSDIVSMFPQDVQNCLSYAADATLFPTEHSQERKSVLIGPSPDEELIFEPEYCPQAVMNYHTHVTKEKGDHSKWKTGSMLKDMQLKVKKHLFAVGWKLYHYRTKKKLRYRYISPSRKMYDSLRSACKGYIDEEIHVGKLAEVQLPREARYSPSASEEVRKEIHCENKKKRKSSSLHLASLSSNSVTKENKSKKIQAIKSKDNINRPVSVSDRVLRSSKRAREVVDASSSHRNPCTILSWLMDNQVVLPSEKVQYRIGKDCKMMAEGRVTRDGIKCNCCQETFTLHNFEVHANSTCHQPSANIFLENGRSLLECQLQLRRDLNTRGSNRGRLEVKGKPNDNKTDSICSVCHSGGNLLLCDGCPSTFHTRCLGLKGVPTGNWFCPSCCCKICGQSKNSGNIEVFTNSSVINCDQCARQYHISCLRMKGHVLESYPKKYWFCNFKCKQISVGLQTLLGKPVQLGRDHMTWTLLKYIKPDKHYHNQSDIVDSTEIYSKLNIALGVMHECFEPLNEPRTRRDLVEDVIFNRRSKLQRLNFHGFYTVLLEKNDELITTAILRVHGEKVAEIPLVATRSHYRRRGMCHVLMDELEKVLVKLGVERLVLPAIPSMLNTWISSFGFSRMSPSERLELLDYTFLSFKGTTMCQKLLLMTPRIKSNPPSLVKQHSDVISGDDIEGSSGGSEILQTERVDDNGAVGQTCINLGGGVGGEDKSCSDYWVSQPTGFEYVPCQNGEMGLQSSGKGSD >KZN06075 pep chromosome:ASM162521v1:2:29926105:29928705:1 gene:DCAR_006912 transcript:KZN06075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATSTEAPLLSNAITGHRFTRRAPSLNTAARFLRRASSRRLMREPSMRVRESATEQIEERQNDWAYSKPVVILDLIWNLAFVAVSTCVMFMSWNEAPEVPLRLWIVGYAIQCVIHMICVCLEYKRRCDHVRVSEGDSLISDAVESGGGVWSGRNSNSSSESEGDYVSQSNQFDDDTSGNSCALFVGYDIMFELLTLLHRILLLICKYYISVAKHLESANTMFSFIWWIVGFYWISIGGQNLTHDSPQLYWLCITFLAFDVFFVVICVAVACLVGLAVCCCLPCIIAILYAVADQEGASKDDIERLPTYKFRSIGDFEKQNGEVQETFSGTMTECNTDMPTERVLSMEDAECSICLCTYEDGIELRELPCRHHFHSACIDKWLYINATCPLCKFNIVKNGNHSGSDEV >KZN06239 pep chromosome:ASM162521v1:2:31268328:31271694:-1 gene:DCAR_007076 transcript:KZN06239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNLWKQISSGDSEDVDWSDEDEMCSLVSPVAYHVPVITPPTCELSSSGSKISDRFLEMGYPLKVVFRAIEEHGENDEEAILNAILTYLALETLPGEDNHVTSDPHLSDSASTYIEDLSDMNSILESQGQNKYFETMNLLEDMGFSYDEAFAAINRCGCETPIDELVEFIDAANMAKADDLQGLNDIEQLRYGKKQKLDRDERWTYSVKNMQLMIGFGVPNSGMKTVDREIPAAGKGPPYFYYENVAYTPKGVWENMSRFLYEIEPEFVDSMHFSAAARKRGYIHNLPIDGRFPLLPIPPFTIQEALPLTNKWWPKWDQRTKLNCILIRHGSAEDTKKIKEELDKSGPDPPEHVRKYVLEQCRRYNFVWVGKNKVAPLDPDEIEVIMGYSKYHTRGGGISTTARYQCLGNSFQVDTVAYHLSVLKKLFPNGIKVLSLFSGIGGAEVALHKLGIPLKFVVSVESSETCRNILQSWWEQSNQKGKLIHIFDVRDVTLGKLKELMDMTEGFDLVIGGSPCNNLAGRNRYTRDGLYGDQSSLFFDYFRILDLVKKITVYRAYA >KZN07793 pep chromosome:ASM162521v1:2:43612386:43616952:-1 gene:DCAR_008630 transcript:KZN07793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYGIAMLLPVPKSSSLFLTPQNSMAPDFSAAPARSATDSRRRDLVFVVNPKGANGRTGKDWKNLLPYLRSRLGNDCNISESFTSGPCHAIDITREAIRQGADAVIAVGGDGTLHEVTNGFFWGGKPVPCEDPEVSHTTALGLIPLGTGSDFARTLNWKNNPEDAIERIAKGQRSRIDIGVIRGEDGEYHYFNNVADIHLTAKAGYYASKYKRFGNLCYVIGALQAFFGHQNQDLKIKVNEGEWEVYSQVTALCIGNAKFFGGGMKITPNADPRSGNFEVVTLQDFKWYHFIRYLHRLYNGTHLSVKNVSSVSAQTLEIEEVSGNSNIYVQSDGEFLGFLPRKFCILPASIEMII >KZN05057 pep chromosome:ASM162521v1:2:19511645:19512757:-1 gene:DCAR_005894 transcript:KZN05057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFKNFFSLFLVFLHLACFSFTSTRKRTKPTSSPLSLHSTSNSHSRLKLIKSHRAFSFLKHIFSSHSSKAVAQPGRTHPNPSIGSPCSSTRSLRHSSIFSVIPNHAFADDPEYPTRKTAGSIPDSEFSSDTVRNDIYPCQICGEIFQRPSVLEQHQSTRHAVSELVDGDSGKNIVLIIFNSGWTNRIKEPIIYRILKIHNGSRSLNRFEEYRESVKSKASRHGGTCRRDERCIADGNELLRFHCTTFLCDLGQNGNSSICNQQYCSVCGIIKSGFSSKLDGIATVSTSWRAHVAIPEDIEEEFSFLNLKRAMLVCRVIAGRVGCDPAVADKEDPGYDSLMGPGRVGEEDELLVYNPRAVLPCFVIVYTV >KZN05805 pep chromosome:ASM162521v1:2:27361656:27362981:1 gene:DCAR_006642 transcript:KZN05805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTETVNVTVTANGNGNAKTATTMTATTTTTSTVNGNANAPSAGGKNTETQKKNRIQVSNTKKPLFFYVNLAKKYIQQHNEVELSALGMAITTVVTIAEILKNNGLAIEKKVITSTVGMKDETRGRLVQKAKIEIVLGKTEKFDSLVTNTATAAAASAPTMDQPAAAATGMGENKQ >KZN07780 pep chromosome:ASM162521v1:2:43533757:43537671:1 gene:DCAR_008617 transcript:KZN07780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMWSYNYPNYERMLCNSSPGASYADYLLPVAFDSEYYNYHYYYYPSTSISNNIILLNHQQHNPYHYRMDYPSVPIRNLFAGELPLFHQSVPIRSHRAHPHPYRASYPVRSRWARRPDPAPCSVRPRRACRARPDPAPFSVRPRRACRARPDPAPFSVRPRRAHPDYAPCPVRPRQADPHRAPCPPCPVRPRRVRVLAPRRRRNNTRSCGLNVQFSLQVSKVGNQRRVFRNPSCFRGLAQGRTTTYQGSRHLGEGKGKRSRNFPMRTPLQVSSLPKTRRIRYPSHFQASAFKKRKRSHARTKSSFQAPAFQKRSYKQVPLRVSNQRRKRSHKFKKWFPLRVSNQWRKRSHRSYACFRCKKQGHFAIRCPLNLMHNKSRIPSPVPVFVGEDGLQYSPPSLICQCGLRCLLKFKKFQEKTSRKAFTCSLRSCRIFRWEDEVNKDELISVPKCQCGAGFCRQCTESGRKYFACPIKKGQGACTFFKLLNDESLINNKHVDERITPPNLTVGDCTGTFPVNSVQKESRSSCVPDTVKRYPKQRKISGNQKLELMEMELECEEDASSKTLRKCHKRFRNGAPKYDRSFLQHCR >KZN05159 pep chromosome:ASM162521v1:2:20674340:20675439:-1 gene:DCAR_005996 transcript:KZN05159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFLVVDDDEKCRTEICDFLRGWQYYATAAKDATEALSMLKAEYFHLVIAELKLPDIDGLQLMRQIYSQFKLPVILMSADVNRNIVINARGEGAMWFILKPVIAEDFRYMWQYVLRWQNEIREEKGKGKADPDPDEDIGTSSSHRLI >KZN04733 pep chromosome:ASM162521v1:2:15208406:15210047:1 gene:DCAR_005570 transcript:KZN04733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNQQKVKRGLWSPEEDEKLIRYITNNGYGCWSEVPEKAGQSPYPFLFIFTWLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHSAVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPNPSSTNIVTPLPPPPPPPNTITTNTTIQPSQFNYNSTNQLDFLTQDFEAKPQFLQENTLFSSQSPIFMFETTTSFNATHGDDISLRNESTFFHSATDMQSDQPWNHQQQLMLPPAILPSFTTTANLDSIYLPPMIDNTDVVQSKNNTTDYVERENNVALAELNEWVEITQQSACPSYLFWENTAFGEEETVTNYNPDMGALLTSFSSSL >KZN06202 pep chromosome:ASM162521v1:2:30946679:30954122:1 gene:DCAR_007039 transcript:KZN06202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFRDGKSRQFGFVGYRSENEAQQALKFFNNSYLDTGRITCETARKVGDPNMPLPWSQHSLKKQQKLLEEKKQTTAPKSLKVKNPEEENKDNQIDDPQLNEFIEVMLPRSKAKLWSNDTSTVAPLEQKKEASKKNIKGGKNKNTASKLDETDESEKSSDGEAVTGNLDPTQTASDMDYFRSKVKTEWSDSESDEEDRDNKHGVDEDIQREKNKKSDILEEDDSKEGNEEAKSDVTAEADHLDENEDFEAGRLFVRNLPYTATEDELEEHFSKFGNVSQVHVVVDKETKRSKGYAYILYTLPESANRALEELDNSTFQGRLMHVMRAKHKNPPVNQETNVPSDKSKTFKQKRVEERRESEASGNTKSWNTLFMRHDTVVENIARKLGISKSDLLDREASDLAVRVALGETQVIAETKKALVNAGVNVASLEEFASKKTDNMKRSNHVIIVKNLPYDSSEGELAEMFSKSGGLDKIIIPPTKTLALVIFLEPAEARSAFRRLSYKRYKDAPLYLEWAPANILNPTSASLSDANDSVIIEEQNAKRGFLEQHVNGTADMDIDPDRVESRSLFVKNLNFKTSDESLKKYFSEHVKEGRILSVRVKKHVKNGKNVSMGFGFVEFDSVDTATNVCKDLQGSVLDGHALILQRCHGKKDEQTLKKVQKDHSSTKLIVRNVAFEATEKELRQLFSPFGQIKSLRLPMKFGNHRGFAFVEYVTKKEAQSALEALSNTHLYGRHLVLERAKEGESLEELRARTEAQFTNEQNGFQNPTKLSKKRKSMAALDEGSFKFGRIVD >KZN04639 pep chromosome:ASM162521v1:2:14029351:14040627:1 gene:DCAR_005476 transcript:KZN04639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYVDSLPDMDLMRSEKMTFVQLIIPVESAHRAVTYLGELGLLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFKDQIHKASLGSPIQAGMQPDIELEELEIQLAEHEHELNEMNSNSEKLQHTFNELVEFKMVLEKAGDFLLSSKGHPVDEDRELEEHAYSNNDYSDSASLLELETQPGPSSSQSGLRFISGIISKSKVLRFERILFRATRGNMLFNQSTADDPIMDPLSTEMVEKTVFVVFFSGEQAKTKILKICEAFGANCYPVPEDITKQRQITREVLSRLSELETTLDAGIRHRNKALTSISFHLTKWLKMVKREKAVFDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQEALRRATFDSSSQVGIIFHAMDALEAPPTYFRTNSFTNAYQEIVDAYGVARYQEANPAVYTVITFPFLFAVMFGDWGHGICLLLGALFLIARENKFSSQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPYHIFGGSAYRCRDDSCSNAYTVGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIMLSYFDARFFGNSLDIKYQFVPQIIFLNSLFGYLSLLILIKWCTGSQADLYHVMIYMFLSPFDDLGDNQLFWGQGVVQVMLLLAALVAVPWMLFPKPFILKKLHSERFQGRTYGVLRTSEVDLDSEPDSARQHIEEFNFSEIFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNILIRLVGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFRPFSFATLTDDDE >KZN05983 pep chromosome:ASM162521v1:2:28904699:28905130:-1 gene:DCAR_006820 transcript:KZN05983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVLSYSATLLLVLNLLFFSLVTSTAPCPPPPKPKSPKCPCGTTPTPKPPATPTPKSAKCDGLKLGVCAKVLGGLVGTVVGTPDKKPCCSLLQGVADLDAALCLCTAIKANVLGLNLNVPVDLSLLLNYCGKKAPAGFKCA >KZN04823 pep chromosome:ASM162521v1:2:16833502:16834128:-1 gene:DCAR_005660 transcript:KZN04823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTASFSSNEGDTSASDSVVSDCSSLNLSSEEMQDVQAAFNSDFDHDSSQSILENDESCNGEGPSTKHRANRKEKVKEKIKKLVPKKLRRSMKKRSGSKPEDPGPEEEFNFDLKENKTEHDDVKEDISVAATDSYQSMISDSEESVVEAEPQRATDRRTLVLEYWLVSFIVLAGLVEGQSLAIVLTVAWCLIVKLVGRMRMKKWKAF >KZN04285 pep chromosome:ASM162521v1:2:6393845:6399550:-1 gene:DCAR_005073 transcript:KZN04285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENSRFQNNKIKKLENLKSQYSKDVTTGSELWTDGLICAFELVKGHKRSSSKSHLKIQPAPRVNGERLNKQVPTHGRVEASTRDDNNSLLDCTSLIELGTNQVGSVNDDIDNHDSQWEHAHTPEKFQGSHWVPIGWTRISELVKKVQVDAAWVSQQFDFMDDEDDRTIADLAAPYWERAAGPIWWCHVAAGHLFVDEWLNNAQWLHPAISVALRDESRLISERMKHLLYEVPVRVAGGLLFELLGQSAGDPYVDEDDIPVVLRSWQAQNFLITALHMKGSASNVNVLGITEVQELLFAGGNNTPRTAHGVIAQLASRLARWDDRLFRKSIFGTADEVELKFINRTSREDLNLLNIILNQEIRRLSRQVIRVKWSLHAREEIIFELLQHVKGTTTRSLLEGVRKSTREMLEEQEAVRGRLFTVQDVMQSTMRAWLQDRSLRVTHNLAVFGGCGLVLSIITGLFGINVDGIPGGSNTPYAFGLFAAILFFIGIVLIALGLLYLGLKRPITEEQVEIKKLELKEMVKLFQHEAETHAQVRKNVSRHNLPPTAGDRYRDDAEYILIG >KZN05077 pep chromosome:ASM162521v1:2:19689600:19696988:1 gene:DCAR_005914 transcript:KZN05077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRETPKRKAITCTTDILIRSESPPIPACLAADELTRLLTRSGPYSRILHTRHHIKCHPNNKVHVLPNHLDEKVAALHLEKLGAKPTKLSKDQADYISVQVEGLQELNNVKNLSIILPVCFHFEYGLIDVVEAKSAMPANICQDVINLAMMCRSYLSHLICMYQCYDCEYICIYIKRRRAGRTNEGKGKDRNTLNHTDGARPI >KZN06215 pep chromosome:ASM162521v1:2:31021182:31023037:-1 gene:DCAR_007052 transcript:KZN06215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGSDYCSKKSDDFCGNASGEVKFLWNWVKFEHVKAMVYGYDPVRVLSMTRLRCIMRGIDLKMYLFLLILIPTCVFGLYVHAQKVTYFLRPLWESPPKPFHEIPHYYHENVSMNNLCKLHGWGTREYPRRVFDAVLFSNEVDLLTVRWKELHPYVTEFVLLESNSTFTGLEKPLVFASHRDEFQFVESRLTYGQVPGRSKKGENPFVEEAYQRLALDYLLGKAGIQDDDLLIMSDVDEIPSRHTINLLRWCEDIPPVLHLRLKNYLYSFEFLMDNNSWRASIHRYQTGKTRYAHYRQSDDILADAGWHCSFCFRRISEFIFKMQAYSHFDRVRFTKFLNPKRVQEVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVYLPAYLLENADKYKFLLPGNCIREDGG >KZN05597 pep chromosome:ASM162521v1:2:25468792:25471263:1 gene:DCAR_006434 transcript:KZN05597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPLNEQEKCLDSQFWHACAGGMVQMPQVNSKVYYFAQGHIEHAQEINVDFKNLPRVPPLVLCRVSGIKFMADIETDEVYAKVRLVPLRSSEIKYDEEGFVGFDKSQGEEKSASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTILAKDVHGQVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRADNGDLCVGIRRAKRGIGGGLESPCGWNTSNCAPRYGGFLGHASGAFDGGESGTRKVSGESVSEVAARVAEGQAFEVVYYPRASTPEFFVKASSVKAAMRIHWCSGMRFKMPFETEDSSRISWFMGTISSVHVDDPIRWPNSPWRLLQVAWDEPDLLQNVKRVNPWLVELVSNMPAIHQSPFSPPRKKLRVPQPPDCSLLGQLSMPSVLSNPLNIPAGIQGARHAQYGLFTQDPHFHKLQSGLFPFGFQQLDYAAALPSKIPIHEFNKPPENNENISCLLTIGNSSPSSNNNGKSKATHSFYLFGQPILTEQQISQSCSGDTVGSSSLNGIANPSDGSGSAVIQNGTLDSSSDEQKSGFSETDTTGHCKVFMESEDVGRTLDLSALGSYDELYRKLLNMFSLEKSEMLSNVLYRDEAGAVKHTGDEPFSNFTKTARRLTILMDSSSDNMGR >KZN04899 pep chromosome:ASM162521v1:2:17693932:17700248:1 gene:DCAR_005736 transcript:KZN04899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFVLPENANLEAIKAVCQDGVLTVTVDKLPSAEKKPKIVKFMRKFILPENANLEAIKAVCQDGVLTVTVDKLPPPEPKKPKTIQVQIA >KZN04283 pep chromosome:ASM162521v1:2:6314740:6314970:1 gene:DCAR_005075 transcript:KZN04283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRRRRQTVTTPSGGDAAASREKNGKRERCGCWERIDAKRGRDVKKERERRREVFGFWPEIKIEAEFLKNNLGDV >KZN04265 pep chromosome:ASM162521v1:2:5661253:5664343:-1 gene:DCAR_005093 transcript:KZN04265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYADPIDGYIFLSLNDCNRYLKSGVLGKSSYKPKDEDHVDMELESDNISVSRAVQSILNDFVAFFTACNRNQLRHKVNYFICLKEREIKIPAHTKSDSRPLPDYAPTTGYNLVFTDQYQFLMLSHGSLDELNSQLEEPLPVNHFRPRVSFNFCGLYYTFQVPLLNQENGIPGPEPNETLMKFQSDKILQLSTRHHGKVYFGQGLCNKDSFDEFGGMILQVGDTIHEEKVFSSYAEAIA >KZN04750 pep chromosome:ASM162521v1:2:15343725:15344467:-1 gene:DCAR_005587 transcript:KZN04750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVSLILLGSWEVKTVSAKKSSASECREELRLAVSACKSAVMDGKKPSAACCKRVKVSHVECICPAITPKVAALIDVNRAIAMVKSCGRKVPRHFKCGSIKSY >KZN05343 pep chromosome:ASM162521v1:2:22888885:22894405:-1 gene:DCAR_006180 transcript:KZN05343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSFYRWLTTKYKSIVVNAKEEIGECIDSSLPNPNGIEFDHFYLDMNGIIHACFHPEDYDHVKMCTIKTFDEVFSNVFGYIDRLFNIVRPRRLLYLAIDGVAPRAKMNQQRARRFRKAKEAEQMNTIHKCQEKEEERLGIQFQLEGKQVLPKQETEVSDSNIITPGTEFMFRLSKELQSYIRLRMKQHPGWKNVKVLLSDSSVPGEGEHKIFSFIRLQRTFPEYDPHTRHCVYGLWVETWSSEKSSSEASIRTSIPPKGPYQGGMDLLIHVYRTEFTNFGGHLLDMQRVEDKKSGYIKLNGVEKFILLVGKYEEQIFKKRADLRERKLRKNFNEFEDSRCAEDQVSGTDEIDVLTLKISGICPEEKNRSSNGPEVIFQNTKEMREKLKAYIRKAADSFQNGDPGTDKVKLNLPGYKERYYKEKFGATNSSDIESTRRAVIFFRYYPYYYGPFASDMKGLSQVKPKFQTGSPFKPFEQLMGVLPPRSAHALPVPYRRLMTDENSSIVDFYPTGKALSDDFPIDVDGMRFTWQFLNGYNFVKGVCKLPFIEEDRLLAETQKLETELKVDEAIRNSEGLDLLFLGSSEIIRKNLSNDSNKHDNDVKIDADLSEGLNGFVLLRRDGNKAVGAAHYDNDILCVSYKWPPKRKHNTRLLEGVIIPNKTIYKDYIYETQLWHEYQGFRPNTRIQNSHRQWKTDEKLTDLASCGTAHNFNSPLHQNYNVNRRTSSWDRGTTRVTEAIKTNEQQLYNAQRDNMSFSKRHGPGNVRRAKSSARIEAQDAWISTSGGANKNVWQDLDSDTEESDLGHVEQLAVNFTEQLERRKSMRKCSGFKRADF >KZN06502 pep chromosome:ASM162521v1:2:33438448:33438774:1 gene:DCAR_007339 transcript:KZN06502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSPNNVLVILVAFLFVFLMIISNEACRMLDEDAEQLKTTTTKSSCHYHDFHLLQSLQRGSVPPPGRRNGCTFIPGKSKTPCKIDGQGFAGRPSRRSATPAFMHEST >KZN06062 pep chromosome:ASM162521v1:2:29841560:29842471:-1 gene:DCAR_006899 transcript:KZN06062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQNQEQHDLNDPTIADKTPLPPPPSMDIQFSSGSELSLVTPKNEYHVDDKLKLGTSETVQSASSHPQSKRVSSKDRHTKVEGRGRRIRMSTTCAARVFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGSLKIPTTPSPSPSDNTSKRRKRPANSEFVEMESNSTLSAPLMISSTPPLVPMFAIPSNAGPTYWMMNPAASQVPQLWTLHAAAPLISVSNSARPISSLLASMQPVELKAQSSGAATGNSDMKNSNTNHSGASSSNESGKTNFSLEVCDKEELQLMGRK >KZN05962 pep chromosome:ASM162521v1:2:28741645:28743326:1 gene:DCAR_006799 transcript:KZN05962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRGNGDPFSQFGDLFDGFGVHRNLTSSFFGGRDPFDDPFFSRPSGGMLGPMMNLDPFSASMGLPSGFSTHEHLMNAHPSAGANRSRGPIIEELNSDDEVVEDEEKKHAPKKHCRSSEGPLEIQGGRGKQLQQRNDFNTLNNSRTNSRSQSFTFQSSSFTYGGSDGSYYTKSRSMRTGSDGLTVDESKEADSTTGRASHRLSRGIYDKGHTVSRNLQSDGRVDTQQILHNIDEDELVGFEEAWKENAGKHLPGWSEGLRVQNGPGSSALSDQHSRGGLALPSTEDGAGSSQMQHIATERARKKVGDPKRSARRRSGGN >KZN05046 pep chromosome:ASM162521v1:2:19262958:19265248:-1 gene:DCAR_005883 transcript:KZN05046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEAERKVEKEVVADKEEEDNAAAAAEDEDTGAQVAPIVRLEEVAVTTGEENEEAILDLKSKLYRFDKDGNQWKERGAGTVKFLKHKETGKVRLVMRQSKTLKICANHLVVAGMTVQEHAGNEKSCVWHATDFADGELKDELFCIRFGSIENCKLFMETFQEVAESQGKKEESEGTGAAAELLEKLSVEEKKDEKKAEEDVTAATTKDAKEPEKAESKKEE >KZN06464 pep chromosome:ASM162521v1:2:33125868:33130605:-1 gene:DCAR_007301 transcript:KZN06464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIANSKSYGVPLYGASWLPLSLSRSKSEDDSDDSPPSIDATRHVVLSGGGGEGKSGIPNAILVSQFYADSNSLSDQPTYIEVTLVTMIYLYRWFEWDAVKSDDGHGWGLKSSEKVLNQLEDVGQQLALTFDDEGSVLAAGGEDGKLRVFKWPSMDSIIDESNAHASVKDLSFSPDGKFLASVGSSGPGRIWEIASATAIAALQKVKDERFGFCRFSHSIENHQVLYVTAMQGKGGSIIKWNTTSWKRISSKHITSDSITAFNVSADGKHLVVFRVPVTSGTHDLKKLVWYNKGTMEGDILVLHSSSMRVHTVVKKAHLGIVTSLMFSHDSRALLSTSFDSSARVTLVADKKERGTSLWIILLIILLAMAMYYTKLVEMLP >KZN05803 pep chromosome:ASM162521v1:2:27351528:27354134:1 gene:DCAR_006640 transcript:KZN05803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIDNKPSDEVIKPGFCINPNKLAKILSAYNLERVEEINGVKGIANALNASRDEGVKVDNLSRRQEIMASAHTVRNLLRDHYQYQQLPGSLQGVLQQIFMRCSPGSAVDGDEANFGARCEKFWPLRGEVSCENLHSV >KZN06861 pep chromosome:ASM162521v1:2:36428151:36433633:-1 gene:DCAR_007698 transcript:KZN06861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAQSKIDNEESVSRCKERRNFMKEAVTARNAFASGHSGYAVALKNTGAALSDYAQGEGQEQDHLVQLGPIDPPSLVSKPPPPPMMDHGLPPPPPPLPSFSPATPLQRSVTMPVFSKDIRKMDSVEIVEEVEEKEGEEAGGLELRKRRNGVVGKEETPPRTPVAPPPPDSKGMAWDYFFMVDNMHGQMEDVREEEEEEEGEDDDFDERYSEHEIQNHNNHDTKNHDNGMVGGKFSEVSEPKTPEKVVMEGFTTEEEETPVMVGLKEKQFMHSNTAPPDMRRMPGNYSKGGAGHSSVNLLKILGDIDDHFLKASECAQEVSKMLEATRLHYHSNFADNRGHIDHAARVMRVITWNKSFRGIPNGEGGKDSYDAEEYETHATVLDKLLAWEKKLYEEVKAGELMKLEYQRKVGQLNKLKKRGASSESLEKTKAAVSHLHTRYIVDMQSLDSTVSEVNHIRDDQLYPKLVALVEETTKMWDSMNLHHQSQLKIVEDLKSLDLAGNPREAGGYIRETSRHHHERTIQLWNVVQEWHLQFDKLVTNQKQYIQALNSWLKLNLIPIESNLKEKVSSPPRTQNPPIQPLLISWHDLLEKLPDEIAKTAIASFSAVIKAIIVHQEDEMKLKEKCEETRKEYLRKNQAFEDWYQKYMQRRTPPDDFDPDKGVETDPKDPIVERQFQVESLKKKLDEEVEAHQKHCIQVRDKSLGSLKIRLPELFRAMSDYTYSCMQGYENLRSTIAQSTRPNGSSQ >KZN06339 pep chromosome:ASM162521v1:2:32038226:32042584:-1 gene:DCAR_007176 transcript:KZN06339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKQFYQFIVMGIYTPLIVCAFGLYIWCAAADPADPGVFKSKKYINFPEHKKHDRLQESKLGGESTSSIHDANAATTELKPMDKEMKDINAASEDCTAEIKKTGAASQQSLCSKVLLALLSPCAYVCNCSNRYDKSSEQQASEDGMFYCSLCEVEVFKYSKHCRVCDKCVDHFDHHCRWLNNCIGKRNYRKFFSLMVSALLLLILQWSTGIFVLIRCFLEHKRYSADIATKLGSSFSIVPYVIVVAVCTILAMIATLPIIQLFFFHILLIKKGISTYDYIIALREQEQQGFGGQQSPQMSQASSLTGLSSASSFNTFQRGAWCTPPRLFVEDQFDVVPSDTRSVSSLGKKTVGEETKKKSNAPVKISPWALARLNAEDVSKAAAEARRRSKILQPVARRDVPYRHDRDNSFGSSDRHMYGRQDFNRKRGSKRVRLPADLPLEPLAKVSTDTAESNITETSTSLAPLQFEPRSAFRTSLAMSNAPGVVASSPESSLDSPDLHPFGISSSGLDEARRLTGPSSDALPLPGIPLSRSASDGYDASGGEDSDRVPSRTVQRSTNWSNVLFNSDQEERITRIKGPGSSSGYGSSRKL >KZN06167 pep chromosome:ASM162521v1:2:30699964:30702538:-1 gene:DCAR_007004 transcript:KZN06167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACALRSFFSLQLPSSLRRTRILNHYNYIAPTTHLRRYSSRETTHDNPPQEPLLNPISEVSKTEGWVGQTTNVVDGGTGTDGTTDDWLTLDRKVNSYPTVREFTAIGLGGDDFVQAMVVAVESVLQQQIPEDLVKQKISSKGKYVSVTIGPVQVASSEQVNSVPLFLCSVDFYLLLTIDYIMFLPCVY >KZN05791 pep chromosome:ASM162521v1:2:27271946:27276203:-1 gene:DCAR_006628 transcript:KZN05791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEILKAGTLEGIQDRIDHQGYQNERKFGLPDPSNGTQVEKKKFLPLNYTEQEIKQWREARKRHYPSKSNIEKKSKKKMAESELADTEAKLRRQQLKEVLVKQAELGCEVAEIPSHYLSDSEKQVYGAEQNRAAFNKKGKFRNRPNRRGKRENDRFAKKQRLSNTDSTSTNEQNVSKQMQRTRNNNTSVVQKREPSLLQKLLSADIRRDRGRLLQVFRFMVMNSFFKYQPEKPLMFPTVIVRETEGEGKPLEQKHSHDEDDEEEGSESQVNEMEIVRNAECEVNEASAEAQEEEGEIVD >KZN06727 pep chromosome:ASM162521v1:2:35387860:35390525:-1 gene:DCAR_007564 transcript:KZN06727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKSFHKVKNVIQRKLRSLKSLVSKRNNQNQLSRAPCFNTIPISNNSTKMQRVDHFDRDISEESRNGDDEVVDTKKSKSVTRSLTKDWNRSFISNGERSVSKIRKEERLKEEKKIAKERQCALAEKLKEVEMVNGYNKEHVKDIEEALHYYSLITCPVYQDIVDRIPSNTWCAMADDNAVTIYSNSAITDTNKKKNSFTIKVGLAQMLRGGAIVEVSDPDQAKIAESAGACCIIVNEIVESGISRMPDPSLVKEIKRVVSIPVMAKARVGHFVEAQILEAVEVDYIDESEVLAVADENHFVNKHNFRVPFVCGCRDLGEALRRVREGAAMIRTQGDISKLGDIVTTVGNVRKVMGDIRVLSNMDDDEVFAFSKKIGAPYDIVAQTKQMGRLPVVHFAAGGISTPADAALMMQLGCDGVFVGPEVFSCADPYKKVRAIVQAVRNYSDPRVLAEVSSGFNEAMSRFNLDENSVEQFGTRFNLDENSAEQFGTRGTY >KZN04459 pep chromosome:ASM162521v1:2:11205737:11213109:-1 gene:DCAR_005296 transcript:KZN04459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGQDYGNKEDKGGNSELDKVVFPAWAKNVSECEEALQVSSHQGLSSEEVDKRRQTYGSNELDKHHGQSIFSLILEQFNDTLVRILLVAAVISFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNACVGVWQESNAEKALEALKEIQSEQACVIRNGKKVTNLPAKELVPGDIVELRVGDKVPADMRVLNLVSSTLRVEQGSLTGESEAVSKNVKPVGEDTDIQGKKCMVFAGTTIVNGNCTCLVTQIGMKTEIGKVHSQIREASQSEEDTPLKKKLNEFGEYINGWPANFKYSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKFVAMGSNVNSVRSFDVQGTTYDPFDGAIQNWTLGQMDTNIQTIARIAALCNDSSIEQTGNQYVASGMPTEAALKVLVEKMGLPDTLNLGSSSDRGDAQCCCRAWTDNESRVATLEFDRDRKSMGVIVNSKSGKKTLLVKGAVENLLERSHFVQLLDGSVVKLDQDAKNLILQSLHDMSSKALRVLGFAYKEYPPEFATYTGSEDHPAHELLLNPTYYSYIENKLVFAGLAGLRDPPRKEVRQAIEDCRAAGIQVMVITGDNKNTAEAICREIGVFEQSENISSKSLTGKEFMDHRDKKTHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGISMGIAGTEVAKQASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKYIMKKLPRRSDDSLISAWILFRYLVIGLYVGIATVGVFIIWYTCDSFLGIDLSKDGHSLVTYSQLANWDQCKSWKKFSTSPYTAGSQVFNFDANPCDYFHTGKVKAMTLSLSVLVAIEMFNSLNALSEDESLLTMPPWVNPWLLSAMAISFGLHFLILYVPFLAQVFGIVPLSLNEWLLVVVVAFPVILIDEVLKLVGRSRSEVSSRRRVKDKAE >KZN05381 pep chromosome:ASM162521v1:2:23243931:23247114:1 gene:DCAR_006218 transcript:KZN05381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHLLHGVLHATIYEVDSLSTGCGFNLFSQIVGSKLYATVDLEKARVGRTRMIEKEPSNPRWYEYFHIYCAHMVSSIIFTVKDDNPIGAILIGRAYLPVEAILSGYEVDRWLEILDVDRNPINSKIHVKLQFTSVTQDSNWSQGLKIPSGVPNTFFKQRQGCQVTLYPDAHVLDDSHSIYLKSHGYYEPQRCWENVFDALNGAKHLIYITGWSVYTDFSLIRDPRRPKPGGDITLGELLKKKANEGVNVLLLVWDDITSCEPLKRDGLMATHDQETGKFFQNTKVHCYLCPRNPDDGRSVVQGFAISTMFTHHQKSIIVDSEGLGGDLQKRRIVSFIGGIDLCDGRYDTGEHSLFSTLGTEHNKDFHQPNFPGSSIQKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWKKQVGNKFLFPLPELDKFIIRPSPVTTSQDPDTWNVQLFRSIDGGAAFGFPQKPEEASALGLVSGKENVIDRSIQDAYINAIRRAKNFIYIENQYFVGSSFGWESNDIKDEDIAALNLIPKELSLKIVSKIAAGERFTVYIVIPMWPEGIPESASVQAILDWQRRTMQMMYKDIAVALKAKGLTANPREYLTFFCLGNRQNPVPGEYVPPQKPEPGSDYSRAQEARRFMIYVHSKMMIVDDEYIIVGSANINERSMHGARDTEIAMGAYQPNHLATTQAVWGKIFGFRMALWCEHLNHINAAFYHPESLDCVRKVNALADEYWKIYADDDFVQDLPGHLLSYPISVSQSGQITTLPGVECFPDTKARVLGKKDEYLPPFLTS >KZN07723 pep chromosome:ASM162521v1:2:43062113:43063868:-1 gene:DCAR_008560 transcript:KZN07723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPHPLALQRRSSSSCNICRRRHLRVTYACEDCDFDICVICAFAFENRVISHQGHPEHTLTLQRQALFSCDACYEKTEDYSYVCLPCDFWCHKKCAAFPPIVPSPTYHHHPLTLIFSIPLEHRYFSRHCAICKERIGTRSWSYYCHTCTFFVHMKCSTSTISFQNEMEENVIVDNNSDLVQFPLPGVESLFDFIITQCSKFQVEIQGDDENRATLSTVNDDPHIIVRHWSHREHPLERLHFTVSVDENDDDNNNDNDVDKRALICDGCVQPITISHPSYYACVECGFFLHSFCANKMPRELPAGASPFHPHHSLLLNQLLKFYSFVQCVICNSLTNGFYYECKTCDIKVDLRCVFLPTRVRHQSHKHHSLIQRPSTSETCPVSGFSITDTLVYACETCSSVEISICCAFYPSRMKHKYDDHSLILRLPPFFYEGVFYCQICEEQVNNQWWLYHCDKCDQSFHDYCQCSYNNVKPGGTIKHSIDNKPHTLALVLKTTTRRKTPPFSCAICGHGYRNQFFFECDGCGYLACVFCIRRVHGANKF >KZN06773 pep chromosome:ASM162521v1:2:35709545:35717451:1 gene:DCAR_007610 transcript:KZN06773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPYEAIPLALVLFFNARTYLCLTVSGILAVSVKWQKELLTGVEIDTSQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWSKLGVKEVGYLTLDGQKLMMMGTADEIVKAPEKGPVFMEDLPEEEQVVVAGHSAGLFNLGNTCYMNSTLQCLHSVPELKSALIKYPHSGRSNDLDQPSHLLTVATRDLFSELDKSVKAVAPMQFWTVLRKKYPQFGQLHNGSFMQQDAEECWTQILYTLSQSLKTPNPSENLDAIKGLFGIELVSRVHCAESGEESSETESVPSLKCHISQEVNHLHEGLKHGLKSELEKSSPSLGRSAIYVKDSRINGLPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDIYDLCSDDLKKSLEVPRQILRDEEGKKLGLKSKEKSSSSSENDVKMSNAEGSSKQSGESSIDASQEGGVHDKEMQLTGVYDLVAVLTHKGRSADSGHYVAWVKQESGKWIQYDDDNPIPQREEDIVKLSGGGDWHMAYICMYKARVVPK >KZN05515 pep chromosome:ASM162521v1:2:24538494:24539015:-1 gene:DCAR_006352 transcript:KZN05515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSALFSPTPFLNHQKDCKSLTNFKSLASFTCSCTSVYTHFRNPKERAPKLKIRAIQDSTRTSATTIDDPSVVSATPSEISYTDEENEAMGKVGARVKVKVPLKVYHVPKVSEFDLNGLEGEIKQFVGVWKGKRISANLPFKVQFVVEKIEGRDGPVKFFAHLKEDEFEYLD >KZN04502 pep chromosome:ASM162521v1:2:11936361:11936647:1 gene:DCAR_005339 transcript:KZN04502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKITLPFKTPTAVVCLKKPQESDDYFKGCSVVLFSVNAALSQLSDHVLSLLAEGFKIVRDEIHECN >KZN05786 pep chromosome:ASM162521v1:2:27222648:27223343:-1 gene:DCAR_006623 transcript:KZN05786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDQKVYTCVYPQCPYNDYRLGFHDRNSRHTHEISCPHRVDSSQGISVPTFQINKDDPAAFSIPFAPPNSTVQPVNKQPPFNASVVGLPDDGEKMISELMSFYDNNIHQNQNQNLNMNSGNLNILGDHNMQQQKFQLDDNFFGQGIVMGDNISQGTSIPLNQPVYPSTDFQFGQCKAYDSVFDANSNGNPLDFQYGSPFNLGTADYTADPLSNQNGSMWKGWGGSFDCGL >KZN07831 pep chromosome:ASM162521v1:2:43886453:43888825:-1 gene:DCAR_008668 transcript:KZN07831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKGELVVNSSMIGWAFHSDCQENLFDSLLPSESSWHEMRNIGVGYWYTSATQLRIKMEKLARHQYLKSKDPKACALLYIALNRLQVLAGLFKISRDEKDKPLVGFLSRNFKEDNNKAAALKNAYVLMGKHQLELAVAFFILGGDTASAINVCAKTLGDEQLALVISRLVEGSGGPLQCQLISKFLLPSALEKGDYWLASFLEWALGNYSQAIVHVLGSQISTVGDQPAVFSDHNSFLDPSIGEYCLMLATSNNMKNALGERNAAYLGRWAILMTATALSRCGLPSDLLRDLTGRDGYAINLSTLHRTSRAMFRGSKHMQETVIEQLMTFVEQTTQ >KZN04357 pep chromosome:ASM162521v1:2:9148023:9148864:1 gene:DCAR_005194 transcript:KZN04357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHTTKKYSSLSGGARKRLSDSSDTTLEGECKRRGCRTALDRFLLQRQNDIRNGGNSPSSHNNMCYRDSSTEFGNLPIDLPLAETNPSHVESNSSRSPLSGIDNVQMPIAATATLEVQRKNNTRPPLSVIDNIQTPVTGCP >KZN04918 pep chromosome:ASM162521v1:2:17959959:17960345:-1 gene:DCAR_005755 transcript:KZN04918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSGISLSTPGFLAKAIEAPTVKSPWLKLSQPWKKSTQFSAGRMILRPVAAKPADLSDKVAESIKEAEEACAGDPVSGECVAAWDEVEELSAAASHAKDKKKDSDPLEEYCKENPETQECRTYDD >KZN07020 pep chromosome:ASM162521v1:2:37721298:37722517:-1 gene:DCAR_007857 transcript:KZN07020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKKRAQNPNCPNFCDDVIITDKISKPRIKRKQRPNWLQLPYDVTINIFRKLGAVEILLNARKVCKAWHNICKEPFLWIVTSMHHDVDYGKFEPAHLDLICMAAVDRSQGQLLDVSIEFLATRTVMNYIAQRSSQLSRLRLVNCHYYLDRHTWNKFLERVPLLEELSLKFSGMPGEAIEDASRHCPMLTTIKIRVYDKGCHPCLDVVLAAAMGMTQLRHLQLSGYVILRRHLEAIISSGCTHLESLDLTGCFSARDIISLSLREKCIAQIENIRFPADWMGKFMGEADWSEMED >KZN05505 pep chromosome:ASM162521v1:2:24464920:24465204:1 gene:DCAR_006342 transcript:KZN05505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVDDLGPPPDSWEVADLDASMARLMLKNRDSVASSKSLELGDAASASALGSSVPPVASEDLVNSVDQFLREAIQNPRERVSGDYSFLYFSI >KZN07507 pep chromosome:ASM162521v1:2:41412538:41414895:1 gene:DCAR_008344 transcript:KZN07507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLSDTHISYLSTSSRWIVNAKTGKRVKLACVNWPGHMYPMIPEGLHKQSLKNITESISQMGFNCVRLTWATQMFTHDSYNKLTVAESLDKWRLVAAKFSMHKSNPQLMNLTLVDAQKAVIDALREKNIMVVLDNHVSLPIWCCDWNDGNGFFKDEYFDPKEWLQGLSIVSRRYRGNPTVVAMSIRNELRGPRQNEYDWYRFMEAGANAVHLENLDVLVIVSGLSFESDLSFLKKRRLNLGMNVNKKLIYEAHWYEFGNPSEKWIFQTNEFCADITQWFMNQTGFLLTGKSPTPLFLSEFGKDQRGVNEAENRYFACLMAFLVEKDLEWALWGLQGSYMLREGHIEWEEPYGMYDYSWDNLRNSSMLQNLQLGQRMIQDPTSDHKTYYIMYHPQTGRCVHVGKHNITTSDCKKLNRWSYSGDRGPIQLLGTSRCLTVEGDNRPVNITSDCSSQKSMWKFVSKSRMHLSAKDDEGRDLCLELDSSNSTVVTKKCLCLGVNLQDIPWCNENPQRQWFKLVQSNI >KZN05252 pep chromosome:ASM162521v1:2:21665463:21665906:1 gene:DCAR_006089 transcript:KZN05252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHFPILFWSHLLLFSPSISLQSQPPTIPAFVEQSDVSSACTLYFSDDLFTGINNACAASKTKLNSPELHRSHCCPVLAAWLYTAYSDTALGRVSHVPEKTFDLPMLPDESETCVKNLEKGLTNKGMKLVKANEIYDLITTFISLI >KZN07203 pep chromosome:ASM162521v1:2:39064558:39068574:1 gene:DCAR_008040 transcript:KZN07203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRVFQTLIRHYSSHSLKNLNPILQTLPRITQFQSFPQISNLISSNSTPNLHTQFSRHFASNRSDDSESDEDYDDEDDSEDETGESLDEDDVVSGSSGKREYTAEEKESEAAAIGYKVVGPLQRSDRVFKNYEPVFAVFQIGSHQFKVSNGDCVYIEKLKFCEVHDKVKEIREIEMSDFMSNTKGRLLQIVVDSDIAISLHCRESLEGERLHPSNIQLISTCDIILNKVLMLGSPTQTLIGRPILPEATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITDIQGIEKPVTPVVIEKTKKAVQEKVGKVAVPA >KZN03989 pep chromosome:ASM162521v1:2:229434:230666:-1 gene:DCAR_004851 transcript:KZN03989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLESSTKQNADDANQASSQPKIMTNEVLIDPVAQSLEQEKDETKLANQVIEPMSRDNEKETQTLEENAVKTKSTEVAEDARNLTRDNSGLTGIPLLHITILLSLIYFLDENEFAGVNLI >KZN07764 pep chromosome:ASM162521v1:2:43418854:43428300:-1 gene:DCAR_008601 transcript:KZN07764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCICSFQQLSVISGGEGSNYSLLESLNSNLSFKCRSIGSKRLVLAGRSEKLKKLVTCCRNEVVSYLGCHMLPRSSGFSFTCGIESSSGVVKTCCQGEDSVVFINGNGNGRRVDYGASANGEGLTDGVDDSSEGDGVVEEVEKVEGTDILSLEGLRGLLQKALKELEIAQLNSRMFEEKAERISEAAIALKDEADSAWKDVKYTLAAIREIAKREGRAKEVVQTATMSLSLAMARLQVAGESLESAKRKTSYKDKSTEGYADYDNVGDKSNALREEEETILSAQEDIRECQARLAKCEMELRQIQSKKQELQKEVGRLVGVAEKAEMDASKAEEDVANIMLLAEKAVAFELEAAKQVNDAEIILQRAEKTLSVSPVTSSKWVLQQNESSKVSESTLVEEDKMSLENSGDSNVDRDKKVPQDTSSLIGDASSDNQLDVQGQRLEDSSESGDGVLENGKLSTSQKEIEEEAEKSENVVHNRKSESQKDSNRDSSPHSAPKALLNKSSRFFPASFFSFAGDGTEFTPTSFFYGLIKSGREQLPKLVVGLLLAGSGIAFYATRTEKVNHIFQQSDIITASIDEVSSNAKPILRQVLKLPKKLKLLMDKIPHQEVNEEEASLFDMLWLLLASVIFVPLFQKIPGGSPVLGYLTAGILIGPYGCSIIRNVHGTKAIAEFGVVFLMFNIGLELSVERLSSMKKYVFGLGSGQVLVTAVVVGMIAHIVAGQAVPAALVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGMAGLKAVIAISAIVAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIMGTLGLLIGGKTILVALIGKLFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAAGGQFIASRFEQHDVRSLLPVESETDDLQDHIILCGFGRVGQIIAQLLSERLIPFVALDVKSDRVSVGRALDLPVYFGDAGSKEVLHKVGAERACAAAITMDTPGANYRTVWALNKYYPNVKTFVRAHDVAHGINLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEITATINEFRSRHLSELTELCEASGSSLGYGYSRMMTKPKSMPLDLSDEDELPEGTLAI >KZN04890 pep chromosome:ASM162521v1:2:17615260:17623254:-1 gene:DCAR_005727 transcript:KZN04890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRDVTDTVGTVGEDDDEGELELAAIERIEAQQNTTERVSKLGALERHAFIDRLLKKIEEDNHRLLLKQRQRIDRVGLEIPTVEVRYKNVCIEAECDVVQGEPLPTLWNTLKRITFGITRFQGHTTHTTKIKILQDVSGIIRPSRMTLLLGPPGCGKTTLLLALSGTIDKNLEETAINGLKRTLQTDYILKPAPETFDLFDDIILMSEGQTAYHGPRDNVIQFFENCGFRCPARKGVADFLQEVISEKDQAQYWHPNNQPIYVSVKEFARKFKESSLGQKSHEDLSQNIEKDVIRDAALTFHTNSTRKWEIFQACMAREWVLMKRNSFVHAFKSAQLVVSALITMTVFLRTQTSISIVDANYFLASLFYSLIRFNSNAIPELSMTVSRLSIFYKQRDFNFYPAWAYSIPAVILKIPFSFLDAFLWTGLTYYVIGYSPEPERFFHQLLLLAFVNQVSTSLFRLIASIFTDQSVATFCGLFTVLVMFLFCGFIIPQPSLPSWLKWGFWLSPFTYAEIGISVNEFLSPRWQKDLSLNATIGHQFLKNHGFDYRGSFYWISIGALLGFWIILNIGFTCALKFSKAPRRSQTVISLKRLSKLQAKENTLEASQEIELPNVATSYAPADIKQMGMVLPFKPMTITFENVQYFVDTPKKMREKGYQQKTIQLLHDITGSFQPGVLTALMGVTGAGKTTLLDVLSGRKNSGIIEGDIRIGGYPKVQDTYARISGYCEQTDIHSPQITVNESLTYSAWLRLPPHIDDSTKAEFVVEVLQMIELEDIKDALVGVPGVSGLTNEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIANTNRTVVCTIHQPSIDIFEAFDELILMKRGGQIIYSGELGQHSSKLIEYFENINGLPKIQENYNPATWMLEITSSSSEEQLGLNFVQTYENSHLCRQTKQRIKELSSPAQGSTELQFSTRFSQTQWEQLKACLWKQNLSYWRSPKYNLVRLAFITMASVILAAILWQKGKDINGEQDLLNILGSMFLFLQFLGTYNCTSVLSIIATERNVVYRERFAGMYSAWIYSFAQVIIEIPYVFLQVGIFVIITYPAVGFYWSVYKVLWYFFTMFCTMLYYTYLGMLLVSTSGSLQVAFVMSSFSNTIMSLFSGFLIPEPDPGPYEAFLRHNMETLIGK >KZN05874 pep chromosome:ASM162521v1:2:27976085:27977032:1 gene:DCAR_006711 transcript:KZN05874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIFQAFSSLIFLFTVLILPVFSTNQSPFGFLENMQGSHKGDQVEGLYELKQYLNKFGYLNIQNLQSTSVEKDDRFDDLLEAAIKTYQLNYHLNPTGALDSSTVSTMMLPRCGVSDIMIDGTSRMRAGQKKMNHPRKSMHAVSHYQFFSGSPKWPLDKANLTYLFNANTSSNATSAIARAFDKWAASTHFTFTQIFLDNSTVLPNVTADIRIGFYSKDHGDGYPFDGPYGVLAHASAPTSGSFHLDADEPWSIGPVADHIDLETVALHEIGHLLGLEHSSVAEAIMFPSVSDGVAKELHGDDVQGIKDLYNKP >KZN07066 pep chromosome:ASM162521v1:2:38086382:38087503:-1 gene:DCAR_007903 transcript:KZN07066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPLLPYIKPKKPPPSPIPIPPPLFALPEYNEISVPLTPSEFKERLIFGTPKEPPPSSSNFVDALASSFRSPTPSSAENVKTPHQSWLVDPNYPKHKTNLHRSKTAPAMAVINDFAHPSSREKQPQFSSSGSIVQQAVVLLILYLTLGVLIYWFCRDHFMAIETHPVVDGLYFCIVTMCTIGYGDITPNSTPAKLFSVLFVLVGFGFIDILLSAMVSYVLDLQESYLLRTAKVGKEHENDGRSYLIDFKKGRMRIRMKVGLALGVVVLCTGLGMAVMHFVERLGWVDSFYLSVMSVTTVGYGDRAFTTMAGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRRMAKWVLGQDLTVSQFLAADIDNNGFVR >KZN05666 pep chromosome:ASM162521v1:2:26153873:26156794:1 gene:DCAR_006503 transcript:KZN05666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAQLHRSLIPSHYHQFKSNTSMDRVYNNLAFPSTPTSSNLFISPLPKVQLTLNFLTTKFQFPCATVAGGGSINDGIGGSSEGGGGDGNNNGDGSSSPNPDDSSSLDGFGPLGAFLSGWRSRVAADPQFPFKVLMEEIVGVSACVLGDMATRPNFGLNELDFVFSTLVVGSILNFTLMYLLAPTLASSGAALPAFFASCPPSHMFEPGPFSVLDRFGTFVYKGMVFAGVGLAAGLVGTALSNGLIMMRKKMDPTFETPNKAPPTLLNALTWAAHMGISSNFRYQTLNGVEYVLAKGLPSFVFKTSVVVLRVMNNILGGMSFVVLARLTGSQSSGAKEVKLVTGEEVEALATSADEKEKLLQDCDSGESVPNSADINPIGTAPPTAELEPIRSDYSQISCVPTLFPGPFQLNYRKELSYHLHVLTCQIIVCCFYPRGGRLTPSQVADKVKYFFKYYSINRHKMTVMTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDEMVKEIDGHKVTLIKATEKDHQDVTSVGGMGVIAAGSGDPRAGV >KZN06689 pep chromosome:ASM162521v1:2:35041412:35042155:1 gene:DCAR_007526 transcript:KZN06689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKKDHEQKSLKRTSYNNRKECIKKKTMELATLCGIDACTVMFGVDGEIESWPEDPKEAKAIIKKFKDLTSNPHKRTTVKDESRRKVVDVPGGEVVSCQENSTEVKGSFENFSTKKVFAEKAEIVGLGRMVGSLCSRPSLLTEYQECLKQVDDKLEALLKRIEFLRTDQECGCMVNKQLDYSEMVNKQLGYSEKKKRLYYPENSSPKRRKVSEEPVFLFDLNFPPPDQLEASSLSSEDCSVLPNR >KZN04824 pep chromosome:ASM162521v1:2:16835795:16837390:-1 gene:DCAR_005661 transcript:KZN04824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVSVTLSCVIILVLALAATSNPIQDSFYQCLGLNSKASPIPFSSCFYTPNITSFSSVLQSTAQNLRCLVPTVPKPELIFTPVHETHVQAAVVCVKKLGIQLRIRSGGHDYEGQSYTSEMEPPFVVVDLSKLRGISVDIENGTAWVQAGATIGEVYYRIAEKSKVHAFPAGLCTSLGVGGHITGGAYGPMMRKFGLGADNVVDAKIIDANGTILDRKSMGEDYFWAIRGGGGGSFGVILSWKIKLVQIPSSVTVFTVPKTLEENATKILYKWQQVAADKLDEDLFLRVFIQPANSTKKGERTIQTTYQGLFLGATDRLVQVMDETFPELGLQAKDCKEMSWIESVLYVAQYPSTVPTKALLDGKSTFKNYFKAKSDFVKEPIPESGLEGIWTRFYEEDSPLMIWNPYGGKMANISESEIPFPHRKGVLFKIQYVTSWYESAKDSVTKHEDWMQKFYNYMAAYVSKSPRTAYVNYRDLDLGMNKYGNASITEASAWGNRYFKDNFDRLVQVKSKVDPENFFSHEQSIPVQ >KZN06370 pep chromosome:ASM162521v1:2:32278077:32279478:-1 gene:DCAR_007207 transcript:KZN06370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCTSFSQNMDDEYEKLIRRMNPPRVVIDNDSCKGATVIQVDSANEHGILLEVVQILTDLNLTIRKAYISSDGGWFMDVFNVTDHDGNKITDVEVLDYIHKALGPDSDFAYSVRRSVGVKSTMDHTAIELIGCDRPGLLSEVSAVLTHLKCNVVSAEVWTHXTNTKF >KZN05507 pep chromosome:ASM162521v1:2:24475173:24480037:1 gene:DCAR_006344 transcript:KZN05507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSVLVDVTTGALCPGDTTCSPAIYLNGLQQTIVGIFKMVMLPVLGQLSDEYGRKPLLLLTVSTTIFPFALLVISKSKEFVYAYYVLRTISYMISQGSIFCIAVAYVADVVDNSKRAAVFSWITGLFSASHVVGNLLARFLPESYIFEACYWLNFEILQELKQGEKMEKSSSFLYGALEVVQARYKSMRYAATIVVTSPTLKSISIVSFFYELGMSGISGVLLYYLKAAFGFDKNQFSEILSVVGIGSIASQLVGLPLLNPCLKEKGILCAAILASIAYALLYGLAWAPWVPYLSAAFGVIFVLIKPATYAVISKGSNSTNQGKAQGFVAGVQSIASLLSPLAMSPLTSWFLSSDAPFNCKGFSIILASLCMASLSFFHTHEILCLYI >KZN05394 pep chromosome:ASM162521v1:2:23363220:23363822:1 gene:DCAR_006231 transcript:KZN05394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVVLSSINLPQVPVIDMEVLLNGDLMDIELSKLHQACKEWGFFQLINHGVSHSLLDKLKAGVEDFFKMPLQDKRKFGKLEGDMEGFDQVFVGSNKQKPDADMFYMITLPEYLRKPHLLPQLPQPFK >KZN05616 pep chromosome:ASM162521v1:2:25657146:25657313:-1 gene:DCAR_006453 transcript:KZN05616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRELLGKSRWGGASSAEKFDFFSKNCELARAQQSLTRTRDKLKTDDVSFYLGLR >KZN07585 pep chromosome:ASM162521v1:2:42013616:42016903:1 gene:DCAR_008422 transcript:KZN07585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELVSMASAIGVSVPVLRFLLCFIATIPVSYFHQLIPGGAQVKHLYAATSGIVLSYLSFGFSSNLHFLVPMFIGYASMPLFRRRCGLITFFFALGYLIACHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGMLKEENLREAQKKNRLLKLPSIVEYVGYCLCCGSHFAGPVFEMKDYLEWTERKGIWTPSAKGKSPSPYWPTLKALFQAVLCMGLYMYFVTKFPLSRFTDPVYQEWGFMKRVGFQYMSALTARCKYYFIWSISEASIIISGLGFSGWTESSPPKPRWDRAKNVDILGFELATSAVEVPLTWNIQVSTWLRHYVYERLLQKGKKPGLFHLLATQTVTAVWHGLYPGYFIFFIHSALLIAGSRVIYRWQQMVPPKMAIFRKLLMAMNFTYTLLVLNCASVGFLVLTLSETLSAYKSVYFIATATPILLVLLGKIIKPAKPARTKPRKDE >KZN05991 pep chromosome:ASM162521v1:2:28958008:28962259:-1 gene:DCAR_006828 transcript:KZN05991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIEPTELKFQFELKKQISSSLQLSNKTDNHVAFKVKTTNPKKYCVRPNTGVVSPRSTCDIMVTMQAQKEAPPDMQCKDKFLLQSVIAPDGATAKDITAEMFSKEAGHVVEECKLKVVYVAPPQPPSPVAEGSDEGSSPRASHMDNGISNSSEVSRSFVDSHDKSSEVRALISKLTEEKAAALQQGNKLRQELEFLRRSSGGKSQGGVSMAFVAIVGLIGIVLGYLLKRG >KZN05447 pep chromosome:ASM162521v1:2:23961958:23976098:1 gene:DCAR_006284 transcript:KZN05447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQFTDEARLLINQGRLSSFFRKYGVWVARNPAIVLCSSLAVVLVLCFGLLRFEVETQPEKLWVGRGSRAAEEKKYFDNHLAPFYRIEQLILATIPDSRHGKKSPRILTENNFQLLFDIQEKVDEVLANYSGNSVAYKDICLKPLDQDCATQSVLQYFKMDPGNYDDYGGVEHVEYCLQHYASADTCMSAFKAPLDPSVVLGGFSGTNYSEASAFVVTYPVNNAVNEAGNENEKAVAWEKAFIQLLKDEILPIVQSNNLSLSFSSESSIEEELKRESTADVITILISYLVMFAYISVTLGDVNHLSTIFLSTKVSLALSGVMVVVLSVLGSAGFFSLVGVKSTLIILEVIPFLVLAVGVDNMCILVHAVKRQKEELNLEVRISNALGDVGPSITLASLCEVLAFAVGGFISMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFSRAEAKRVDCFPCIKVFSKDEGTIQRNPGLLTRYMEEVHAQILSIRAVKAVVITVFVALSLASIALCTRIQPGLEQQVALPRDSYLQGYFNDLSEYLRVGPPLYFVVKDYNYSSKSEHTNQLCSISQCDSNSLLNEISRASLTPKTSYIAKPAASWLDDFLVWMSPEAFGCCRKFVNNSYCPPDDQPPCCSPDEGPCGFGGVCKDCTTCFLHSDLYNDRPSTVQFREKLPWFLSALPSADCAKGGHGAYTSSVDLTGYESGTILASEFRTYHTPLNKQDDFVNSMRAARDFCSKVSSSLKINVYPYSVFYIFFEQYLDIWETALINIGIALGAVFMVCLVMTSSVWSSAIIILVLAMIVLNLMGLMAVLKIQLNAVSVVNLLMSIGIAVEFCVHILHAFSVSTGDREKRTREALQTMGSSILSGITITKLVGVIVLSFAKSEIFVVYYFQMYLGLVLIGFLHGLVFLPVLLSVCGPPSTVVTLQEIERVSFTDRYEENDEL >KZN06985 pep chromosome:ASM162521v1:2:37360634:37362223:1 gene:DCAR_007822 transcript:KZN06985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSFMMIFTIVSTLLLLPSALATDETFVQCLTSHSLPSQPISSSIYTPNNASFPSVLQTYIRNLRFNESTTPKPILILTALHVSHIQAAIICGQQHDLVMKTRSGGHDYEGLSYVYDKPFFILDLFNLRSINVNIDEETAWVQVGATLGEVYYRIYEKSKIHGFPAGVCPTVGVGGHFSGGGYGNMMRKYGLTVDNIIDAEIIDVHGRVLNRSTMGEDLFWAITGGGGVSFGVVLSYKINLVRVPSTVTVFRVIRTSEQNLIDIVHKWQQVADKLDEDIFIRMTLDVINSTQTGERINRATFNTLFLGDANRLMKLMNESFPELGLQRSDCKEMSWVDSVVWYTSFPDGTPPSALLSRVPQVLTHLKRKSDYLKKPIPKSGLEFIFKKMIELVTPALTFNPYGGRMAEIAASAKPFPHRAGNLAKIQYATNWAEDGVEAADRYLKLTRQLFRYMTPFVSKNPREAFLNYRDLDIGVNHNGKNSYIEGAVYGVKYFKGNYERLVKVKTAVDPHNFFRNEQSIPVYPSW >KZN07535 pep chromosome:ASM162521v1:2:41659011:41660959:1 gene:DCAR_008372 transcript:KZN07535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYTCRIEADKLLYPVLLSNGNLIDQGDLEGGRHYALWEDPFKKPCYLFALVAGQLESRDDIFTTRSGRKVSLRIWTPAQDVSKTEHAMYSLKAAMKWDEDVFGLEYDLDLFNVVAVPDFNILVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRAVKRIADVSKLRTYQFPQDAGPMAHPVRPHSYIKMDNFYTGKFYLVEDICFILHFKLIMLNFYVYCATIIWF >KZN05186 pep chromosome:ASM162521v1:2:20923290:20933478:-1 gene:DCAR_006023 transcript:KZN05186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENDRKVNFMLEKWLMIIEEEGDLEMVILGKTFDGNYTLETTLNDDVKNEESKKAVDQSVAVQQDTNYKVDRDVRMDAIKATSFTFKELQYATENFKPDNFLGEGGFGKVFKGILKDTAQVVAIKQLDRNGGQGIREFVVEVMTLGPRRRPIDWNTRMKIAAGAACGLEYLHDKMNPPVIYRDLKSSNILLGEDYYPKLSDFGLAKVGPTGDDTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVLLLEIITGRKAIDFSKRSRDQNLVAWARPLVRDLKKFYQMVDPVLQGQYPVKGLYQALSVAAMCVQEEPSLRPLVADVVKALDYLASQTYDPQTQRVQNSGRPTPSHRPDVNDNEQNVS >KZN05602 pep chromosome:ASM162521v1:2:25527175:25529157:1 gene:DCAR_006439 transcript:KZN05602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDVEVAEQGSFSGKDYVEPPPVPLVDPHELTKWSFYRALIAEFVATLLFLYITVLTVIGYKSQTDLDAGGDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRALMYMVAQCLGAICGVGLVKAFQQPYYKRYGGGANELADGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQDKAWDDHWLFWVGPFIGAAIAAFYHQFILRAGAVKALGSFRSNA >KZN07706 pep chromosome:ASM162521v1:2:42935114:42937362:-1 gene:DCAR_008543 transcript:KZN07706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAAFSSPDHQYHLSPSSEKLCYVHCDFCDTVLAVSVPCSSLYMTVTVRCGHCTNLLSVNMRALQLPSSTNQLHQLGHSNNFFSPQINLMVCLELEEIRSSPSNMFLMNQSNPNESPMPVRGAGELPRLPATNKAPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGRLPDQSVKKPNVCQQDGSDDAAKNDGFRAAASVGISPYN >KZN06272 pep chromosome:ASM162521v1:2:31572567:31578182:-1 gene:DCAR_007109 transcript:KZN06272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSSMSRVTITLGRSGQVVKRAGAVLDSAYTDPVPAVGAKRSVHDRLGTQPSNKRHRGNDNVLNSANGVDAEGVPLRKDDLRYKIMQKSGKKGTEGDSPLNGVDLREILSRQARPSTSVGMQPLMPEEKNGRPNSGEGRQLISEPRGARPHMREDPDIRQRLPDTREVRQRMPELREVRQRLPELREVRERVPEMRDVRERVPEMRDVRERVPEMREVRERLPEMREIRARIPDRREVRERIPNRNDVREHIPERREGRERMLEVREVRQRIPETRDDRPHMPEPTGASIMRRVPSSRVIDATPHIDSFRNSYSPMTMDRLRRRSPDGVLVNSRGTSPPRSLDELRRRELIRSYDDGRSAAYMRKDSFELPRPMGTTSYRTNSALAAGPEKRVGPTLAVPSPAGVIMHRSSYAVNEPHTVDGFLRALGLEKYAINFKAEEVDMHALRQMGDNDLRDLGVPMGPRKKILLALLPRTRRQM >KZN06900 pep chromosome:ASM162521v1:2:36751352:36758686:1 gene:DCAR_007737 transcript:KZN06900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIYVSEPPTKGKVSLKTTYGPLDIELWPKEAPKAVRNFVQLCLEGYYDDTIFHRIIKSFMVQGGDPTGTGKGGESIYGGTFSDEFHSRLRFNHRGLVACANAGSPNSNGSQFFITLDRCDWLDRKHTIFGKVTGDSLYNLLNFSDVETDADDRPVDSPPKLISVEVIWNPFDDIVPKAAPAKVLVSSNDSSNRDTKRKASKKLNLLSFGEEAEEEEKELAAVKVKIRSSHDVLDDPRLLKEDGSTGKLSESEAKAVKDVQLSVREALSSKKDESWKETHTKFSETLADSDDDEANFDNRMRLQILKKRKELGDHSTKQKSHNESSTPRNRERSYSPPRSNAKNSDDQPKVEKLSLKKGIGSEARAERLANADVDLQLLGEAERDRQLQKQKRRRRHGHEDDVLAKLEKFKATMSSKSVGADGESGGHKDEDLSDWTKVKLKFEPQSGKMKIKGLWGDNLDTYHQLCDKVVIHGIRKRVVTVDIVNPFEEKESFSKGKTIDWM >KZN06507 pep chromosome:ASM162521v1:2:33500599:33507359:-1 gene:DCAR_007344 transcript:KZN06507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQAAKVKRSAIAACMTCPLCDKLLEDATTISLCLHTFCRKCIHKKLSDEELDCCPICEIDLGVLPLEKLRLQIQIFVLRPDHNLQDIRSKIFPNKKRKVDAPEAASSLALPALPARRKERSLSSLAVSTPRVSSQMTGRRTRGTPRKTSRASSVSGEKEVNREEDSESSSSRETLSKFTQNIKQNSTTAEPSTHPIPDKDTQNGIDAKDGEEKIDLWKPLNCLVEVANRSKSLKFTLQGSASRSEANSTLPKTEATSRKTKQRSKVRDDACDLDSSESLIPKKTQKMRQKKTSFDESGVSPQAVLDATSTRSERIKSAIWCSLVASEDQEGCKALPQISASFLRIKKRSNSVIAWNSSLPAYSLFFQAEASEFSYMKICLLFTILLSFAILSSHPYPDPEPIDKDGTLPVSFLQKYLMKKLELPSEDEVEITCMGQPVVCTLQLHSLVDLWLQTVSTAERVSVTVGSSAKEFVMVLNYARKVSSHRDEVLPS >KZN05716 pep chromosome:ASM162521v1:2:26607922:26610859:-1 gene:DCAR_006553 transcript:KZN05716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKDKTLSVLMLTGNFVNEFVSFIVFSILDVLDFLLCYTYKVVDFIVEAEWKPCYCSSARKAITSSGNILVSEQGESKKIVCLTSSKLQLEEISDTLYTRSSLVSEVSKTTVSRLKVETKNVIQDMNKFKKGRSAFTINSTIIEMLQGKIGGQKSHTYPRWSDCDCQTCNAWSSSTSDTLFLKAEGPLGKVEEDVLFIHGFISSSEFWTETLFPNFSKSTKSKYRLLAFDLLGFGKSPKPHDSLYTIREHLEMIERSVLEPNNVKSFHIVAHSLGCILALALAVKYPGSVKSLTLIAPPYFPAPKGEQATQYVMRKVAPRRVWPAIAFGASLACWYEHISRTICLLICKNHRIWEFLTKLLTRNRMKTYLIDGFCCHTHNAAWHTLHNIICGTAGRMGDYLDFVQKNVTCTVNIFHGRDDELIPVECSYNVQSRVPRANVKIVEKKDHITIVVGRQQAFARELEKIWNSSSG >KZN05188 pep chromosome:ASM162521v1:2:20947912:20948151:1 gene:DCAR_006025 transcript:KZN05188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTAYKSKSSPHHRIPPKRGEVQRKVLSKLLKSAVTVVSLASRGSGGVSRRSGRSLSSSSSASDGTLSGYGSDDHLDA >KZN05987 pep chromosome:ASM162521v1:2:28927483:28929600:1 gene:DCAR_006824 transcript:KZN05987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIGYNLQAIVDNNKGSSFEASCKKEALLSGIHEPLFALDTKRSPSPSTSTSTLSSSFGGGGGNTSSGGGGHNNSANLVLISEDTMNPKWQVSVPENERESSGRKDEWATELQPISGAGSPQRFGLGNGMEDWESLFSDSASHDQLRWISGEVDETPLSLKQLLQGGNNDEIEDSSAIQPWGNAATNFNSNMGVSNSGFTSALAPNSRALNYNPNPQNPISPLPANHLLMNQNMNNLDMSSVLLQANINNSQKDQHQYEPQPKRHNLGIPNCTTGVAKTPFADEFLPSIKQPQLGFGNQFNLLPPHQLLQKPFITPKQELTGGIREQISAASHLHQHQQQQQVIYDQLYKATELILSGNFSHAQAILARLNHQLSLNIKPFQRAAFYFKEALQTSLLEISDPVSPITSRIPTPVDGMFKIGAYKVLSEVSPLVQFMNFTSNQALLEALGNADSIHIIDFNIGFGAQWSSFLQELPRTDRSPPSLKITAFASPATHHHIELGLMHENLTQFATKMGVSFELEVVNFDSFDPNSYSVSLENEAVAVNFPLWSASNHLPALPSLLSFIKRLSPKIMVSMDRGCERIDLPYPQHLLHTLQYYEILFDSLDAANVTSDTVSKIERFLFQPKIRSMVSGRLHFPEQMLPWKSVFASMGFSPVAFSNFAETQAECLAKRTQVRGFHVEKHQTSLVLCWKNRELMSLSAWRC >KZN06361 pep chromosome:ASM162521v1:2:32179496:32185230:1 gene:DCAR_007198 transcript:KZN06361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIAAASSMVDNTPLQKRQKILQQRKSLPIATVEKRLLEEVRKNDTLIIVGETGSGKTTQLPQFLYNGGFCRDGGLIGITQPRRVAAVTVAKRVAEECGSQVGQRVGYAIRFEDVTSNMTKIKYMTDGLLLREALLDPYLSRYAVIIIDEAHERTVHTDVLLGLLKRVQKTRSQYANKQSNVDLMKANFNTLIKREENGPGVGNLQQCQRRNSNPLKLIIMSASLDARGFSEFFDNAKAVHVQGRQFPVDIYYTFQPQTDCVDAALLTIFQIHLEEGPGDILVFLTGQEEIESVERLVRNRIRQLPEGSQNLSTFPIFSSLPSEKQLKVFAPAPPGFRKVILATNIAETSVTIPGIKYVIDPGLVKVRSYSSESGIDSLITVETSKSQAHQRSGRAGREGPGKCFRLYQESLFDKLPDSTVPEIKRLDLSNVILQLKALGIDDIFGFDFMEKPDEKAVIRSLETLFLLGALTDEAKLSNPVGQQMARLPLDPMDSRAILLASQFNCLKEMLIAVAMLSVESIFYAPPDKLEQSRAAMRCFSSPEGDHLTLINVYCTADEFFEKSLASNSKEKAEKNLRNWCKENFINSRSLKHARDIHSQILDNVTRMGLRVTSCGDDMLQFRRCLAASFFLNAALKQPDGIYRVYRSGQMAQIHPSSVLFRSKPECIIFKELVQTTQSYVRNVTRIDPLWLSELAPQYFGVQE >KZN07739 pep chromosome:ASM162521v1:2:43191145:43194822:-1 gene:DCAR_008576 transcript:KZN07739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGQRRGGRALNPGRVQPGPPYNSRPSKPFSHQPIDREKTCPLLLRVFTKVKEVAPEANKKGATLSFAFVYPCKTGRLLVRQVGRTFSNPDPRRPDNGNMSLRDYDFEHPPASGHLLGRTLKFYMAVLDFHGHVLIVDSAPNNDSDN >KZN06315 pep chromosome:ASM162521v1:2:31901283:31904951:-1 gene:DCAR_007152 transcript:KZN06315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLDVDRLVKPEIYSGLDERRSLPPEILAVAKSSNASSSTVGALDGRMDGAYDEEAPLITIAECRICQDEDDISNLESPCACSGSLKYAHRKCVQHWCNEKGDINCEICHQSYQPDYTAPPRPRLEEATIDIGGGWHISGTPLDLHDPRILAITEAERQILEAEYDEYTSTNASGASFFRSAALILMALLLLRHAVTVTDADEDGDPSNFFTLFLLRATGFLLPCYIMAWAISILQRRRQRQEAAALAATHFAFVLQSRTPRGLHITLASAPTVTTQQQARHT >KZN06629 pep chromosome:ASM162521v1:2:34554760:34556386:1 gene:DCAR_007466 transcript:KZN06629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRPFGKIYAFGDSLTDTGNTQSGTGSNLPYGQTFFHHPTNRYSDGRLVIDFVAELLSLPYLPPYRNTTADKSSGVNFAVAGSTAIEHEFFVQNNITRDYTPESLETQLSWFTKFLESQGCIDIKATSQKCKAVFDEALIWVGEIGANDYAYIVGSSVSDRTIQELAIKRTTDFLEILLYKGAKYVVVQGLPPTGCLTLAMYLSREDDRDDIGCVGSVNKRSYSHNTIYQAKLQVFRKQFPDAIIVYADYWNAYHTVIKNAHNYGFNELYKVCCGSSGGQYNFDSSGPCGSPVSTTCANPSEYINWDGVHLTEGMNKVVSDLFLHGALTSPPFQYLLSIKKNSG >KZN04139 pep chromosome:ASM162521v1:2:2541539:2546213:1 gene:DCAR_004976 transcript:KZN04139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSIVVGRLTDLLIEKAHILHEVRDEIEVAVAGLMRMKTFLPDADSRIDVERIRILLRDVRELAYDAEHAVESFVIKASSTKKSFQWMNRGMFSRKIKNIQKKMSIFFDLFSDYNIRPTSESSTSSNRESGKLKRFHSFTTPEPEIFVGYHKDVERLVRRLVNEADDSYPLISICGMGGLGKTTLAQKIYNHSAIKTHFAGLAWVTISQKWQTDRVLQRILICLVPENKESILNMDTDKLVEYMLQIQERKKCLIVLDDIWSPHAWDALKAAFPAGKSMSKLMLTSRNAEVAEHVNLNGFIHKPKILSPEQSWELLKLKALHTGNYLDITRDVKRMEELGREMVEHCAGLPLAIVILGGILVTKPSLIEWEKVYRDSKSSLKAGKGLGEAYQRGIVSVLVWSYNDLPPQLKPCFMYLSKFGEDKWIESQTLYQLWIAEGMVLSSDKREGETMIQVAESYMGELVHRSMVQVRFNNVESSLTKFEDFSLHDLMRDMSLIQAKAEDFIEDIHFQSGNELHLKSTADSRSASTRLVIHLDEEYSSKKANYYFSKKGNEKCYRSMLFFGDFGPRSLPRALMGSQVANFRFLKVLSVENYTNFSGVFYHINFGRALGSLVYLRYLSVRGSNLLVLPSVQRLVLLQTLKLNTHEGIYVLPWLSRDVLVKLDCLRHLFLPKFKVDVLRRKSKFRLNGLCKLETLENFDTAWCEVNDLRELINLRKLRLTVAGSCDILEEVMKNLGDIASSPSSCLRYLGVCIAYCDIELNNGLTILKQLVYGENLNLRELKIYGRIQEVGLIFPLHVSTSICITRLDLSRSNLEEDPMPILEMLPMLGFLYMFTNTFMGKEMVCSATGFPKLTDLYLDSFPNLEKWRVEKGSMPILSWLLIQRCNNLEELPQGLVFIKSLQLLQIIKMPQDFNDRLIRDDGEEGPDFHKISHVRRLNIDVYVLGWKSKFRFYGLSKLETLENFETTWCEAKDLRELINLRKLTLTVRDSFDILEVVMKNLADLASSPSSCLRYLGVTIINCGIMLNNGLTILKQLVHAENLNLRDLKIYGRIPELGLIFPLQYVSTIGITSLTLASSCLEEDPMPILEMLPMLGVLHMFGRNPYVGKEMVCSATGFPKLTVLYFVGLPNLEKWRVEKGSMPMLSYLCIGKCKKLEELPQGLMFLSSLVGIFLQQMPQDFNYRITREDGGEGPDFHKISHVPRVSINNQNYDSMFSVFM >KZN07289 pep chromosome:ASM162521v1:2:39750690:39752379:1 gene:DCAR_008126 transcript:KZN07289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVPLGQYLHRIDVDAENGFAWVQPGATVSEVYYRIAQKNRTIGFPGSTWPSVGMGGFLSGGGYGSLMRKYGLGADNVVDIQFMNKNAKTDRNLYIRAKITPVNLTPEVRNKTVLVSFQSLFLGRANRLLKIMQKGFPELGLTKEDCHEMSWIKSTLWFAGDVGFPKGESLNLLMNRDLAPKLYFKAKTDYVEEPISVKGLEGMWKRLMQQEEGAAELLMIPYGGKMDKFTESAIPFPHRAGNLYMLYQGVYWNENTTVSIQKKSLKWLRSLSKYLTPHVSKNPRRSYVNFNDLDLGVSNKSYEEASSWGTRYFKNNFKRLVQVKNDIDPQDIFHHEQSIPLFPSIS >KZN05330 pep chromosome:ASM162521v1:2:22668459:22675003:-1 gene:DCAR_006167 transcript:KZN05330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVIQSSPTSSSSSSLHNFESHSFQEEETDEGSSVSSRVLEEQERKERDKNSRDQLSLLAVLVTLFRKSFWVTCTSVDRAKLAGMDIGWPTNVQHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASTTVFGVSTESMQLSYDHRGNSVPTILLLMQQRLYAQEGLQAEGIFRINADNGQEEFVRNQLNAGVVPVGIDVHCLAGLIKAWFRELPAGILDSLSPEQVMQCQTEEDCSALAMLLPATEAALLNWAVNLMADVVQQEHLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKALVTKTLKERKSSVVEVSPCTRVNPSDENGHQGPLQLCLEETAEENELKEHSFTTADPHSETASDFNYVSKICDEEHLSFTTEETDGGKDGETPNRISSVDNYISNISDEHLSYTTEESDGGGYIDTPRRISSADNTSESRSPSAAKFLVEDTAQVTTVGQSSESNYSSKISEKISGREIVIHALGSIDSKGISSLSRINSRSERIAAWR >KZN05904 pep chromosome:ASM162521v1:2:28201034:28206199:-1 gene:DCAR_006741 transcript:KZN05904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFEKICVVDGSPNTVLLCPRQFYKVEREKTNKPHDALSPKQGFSDIRFRRYSRASCKRSQSKSTVPEGNKVLKRGSVYQSSQEVTMKDLGDVGDRRKIEFPQGSVNALSIGVVNSLCDSDEDSSSVEHRSSAMSLNSESSTSVTSTSVTSKPCTSKEFFSSSRQPILKREVSLNSFLKISLKSEDGKNQSAKYAERKEVEASMCNNDPTFSLPRDDSGLKKRDTGSVMQKSLSARPVLPYTHPRLESNCSTPGTLFSGLSPTRETSEPLPELKSQRSPLGSVDEHIPIAKVSIKRNKRLHRSLLPDFSKTSWNTCESQIVKEYSKPPLPCSPAHLHGHLKFKNRHGMPYFEFLVKSPEDKIIAKTWKVGNALNWLYTFHTSQNKSRAISPNWGIKESNKESAMVGQMQVSCLLRSDLNDAGALENSTVTEFVLYDIKQARKDIAAKKDSFSSLEAAKPLTSVKTNLAGGTCKLHETSDLRKYSDQPKNVFNGGHSPWEPADLHPNNEIAAIVIQVPLEKRESLKEKRRNQKSNQSYINLHDFEGLDQRTNCLSQTKVNVVTSSGNHGLPTAECPGPSRLLDRWKSGGGCDCGGWDMGCPLVTFDNSNRCPEDHSPINNQQPWKLYIQGGKEKSPAFRMTGTKEGEYEVDFHAQLSTLQAFSICVSILHSTEATDAAKQSRDDKFQQCDSLSGLLDEDIKYIFENVQDEKKKAPASFVLNPPFSPIARGFKKVDPDRWEFANEWFLRGQTQILKNIARKRHGKSGLNKDDDDEEDEDLVTEIARLKLEQKELDLEVEGMTKRLEATERRPQQMMAFLYKVVEDPEIISRMMLDKDRTRRIGEKKRRLMTVSSSSNSSHSSLEMMATTSFKSEEEVTVGSLSSEGNFDGHCSSSETKVPAWLMSQTGRVMETQAVVAQGGYDGGGYSVVCPHVDGGGGGDDMSFFAGNSSPLPYPFSLLGGEF >KZN04033 pep chromosome:ASM162521v1:2:891519:899867:1 gene:DCAR_004870 transcript:KZN04033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDELNCKFCCSAPIDTRNAERDHDRRPSSFVPESGTNATGNARSRDRKRCGDVYRRMRNEEEEERRWKLFKVQARILQENEEKVVVEDSFQPKSSPEVDGSGSDREPPDGSSSSGIERWVIKLEQSINILLTDSVIKILDTLYHDRDYARFFVLETIARVPYFAFMSVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNAWWFDRFLSQHIAVFYYFMAAFMYLLSPRMAYHFSECVEHHAFETYDKFINAKGEDLKKLPASKVAIKYYTEGDMYLFGEFCLRYLHFYIQMKVWVNKKLAFLLDEFQTSRAPNTRRPVIDNLYDVFVNIRDDEAEHCKTMKACQTPGNLRSPHSGFDNAFEDDAGCILPDTSCEGIVDCIKKSVTRDTSSVN >KZN07040 pep chromosome:ASM162521v1:2:37866368:37870898:1 gene:DCAR_007877 transcript:KZN07040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSNHSANGGSGRTKVGRYELGRTLGEGSFAKVKFARNPETGENVAIKILDKEKVLMHKMIGQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFDKIASKGRLKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDANGVLKVSDFGLSALPQQLREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILYVLMAGYLPFEESNLMALYKKIFKADFSCPPWFSTSAKKLIKRILDPSPTTRITIQEVIENEWFKKGYQQPRFVQEDVSLADVDAIFNETGESLIVERREERPAAPVPMNAFELISKSQGLNLSSLFEKQMGLVKRETRFTSKHPASEIISKIEETATPLGFDIKKNNYKLKLQGEKSGRKGHLSVATEIFEVAPSLYMVEVRKAGGDTLEFHKASNKL >KZN06275 pep chromosome:ASM162521v1:2:31599457:31601075:1 gene:DCAR_007112 transcript:KZN06275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNTAFSRRRWSSESVQELGELLPSESHDVHVLAVDDSLIDRKVIERLLKITSCKVTAVDSGRRALQFLGLDEEKASVGVNGLKVDMIITDYCMPGMTGYELLKKIKGSSTFREVPVVIMSSENVLARIDRCLEEGAEDFIVKPVKLSDVKRLKDYMFGEDKVVTQDRPNNKRKLQDMSCFDPSLSPPPLSISSASPESPLLIPSLDSLSTSSPKSLTSVPSLDPSSTSSPESLSPQLSAPSSPQSSPQFPEPSSPLPSPQLSAPSLPLSSPSPLNT >KZN07039 pep chromosome:ASM162521v1:2:37863599:37863856:1 gene:DCAR_007876 transcript:KZN07039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRVARFVTEAAPPQIVSVMRNRTSKILDTINEDDRELSVNDPRTVIPARSSPGSSSAAASPFKKIPSCFTKKFQRAFSLFGN >KZN04830 pep chromosome:ASM162521v1:2:16926702:16929204:-1 gene:DCAR_005667 transcript:KZN04830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPSYLDEYEKLVIRMTTPRVIVDNAGCSNATRVMIDSARKHGILLEAVQVLTDFNLSIKKAYVSSDGRWFMDVFHVTDLDGKKLTDEGVISHIEKSLGTVHQLQTPSKCVDGLTALELTGNDRVGLLSEVFAVLSDLQVNVVESKVWTHNGRIASLIYVKDCESGYPIEDKQKIDRIVTRLRNVLEGDNDIRSAKTVVSLAVTHTERRLHQMMFADRDYERRPIIRTNGDSPIVSVQNCSERGYSGINIHCKDRAKLLFDVVCTLTDMEYVVFHATVKTAFDRANMEFFIRHIDGNPISSEAEKQRVILCLRAAIERRASEGLQLELLADDKAGLLADVMRTFRENSLNVTRAEIATTLDTAQNVFYVTDAVGNPVDAKTIEAVRREIGFDKLRVKELPLTYNEKGERDDEAAAGVGGAVLESLGSLVKRNLYNLGLIGSYS >KZN06041 pep chromosome:ASM162521v1:2:29534692:29535444:1 gene:DCAR_006878 transcript:KZN06041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFCPQEMSLMGPETGRCRRTDGKTWRCLKDVVPDQKYCERHMHRGRSTKRVEAFGVNLQSNSHTITCSNTDATTPTCSTKTSQKIKSHNLAVTSAKLSTPISGNCQNKNPSPCRSNDLTKSATSITTLANGKSKPTNRLVKKDKSSRLVTINACNHNISCVGTIIYMSIHLRLQELNFKKMTPFIISSRENTDCLDGVDAGSNQLKDTNKGYSTNGDKHGASVTPELGNSTESVVYGDTSKAIFCYKNL >KZN05071 pep chromosome:ASM162521v1:2:19619536:19627842:1 gene:DCAR_005908 transcript:KZN05071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEQLGNIQRVRPRCGRGPSISSVLKKAISGKQTCTSSCISSELGASEIGASSCTSSEIGASGAKNLLGEFNKADAEVPPGYTGDEETRPGFQPDLWKGYQSPGAPSDSCTKCNAL >KZN07610 pep chromosome:ASM162521v1:2:42178996:42180321:-1 gene:DCAR_008447 transcript:KZN07610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKTLFLIITLNFFCSCIEAKSFGLSVDLIHRDSQFSPYFNPSNTHYENLRNSIRHAKARSTYLYTPKLSSSKQTIQSPLTPVPSTYLMKISVGTPPLDLLAVADTSSALVWTQCKPCIRCYKQDSPLFDPSNSSTYETQSCQSKACESYPQTSCVQSKCGYEASYGDKSFSRGDLSSESFTFESTSGNSVTLPNITFGCGHTNGGTFGKFTTGIVGLGNGKLSLVNQLSDTITTKFSYCLVPLGANVTSKVSFGSNAVVSGPGVVSTPFYTKDPDTFYYLNLQSISVGNAYIKYESNIYSNKLNADEGNIIIDSGTTLSFLPSDFYQKIEDEFKASISSPPLKPPQGDLSDFSLCYKKDQGFEKEVPTVTLHFSGADVELDASNTILEVAEGVGCLSLLPARTARGPVFGNLLQMNHLVGFDLVSKTVSFKKSDCTVQ >KZN07295 pep chromosome:ASM162521v1:2:39813647:39814384:-1 gene:DCAR_008132 transcript:KZN07295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIKKRARRDIVAFSGVPGQYSSDSETDERQSLADRAREKMSEREVASSGVVSEFQKVSKAEGKQVIMTPEGMWMDTKSYFITKAPLADEREFYRGIASFYKFKHPNGPLGAYDKKKFDEEFKTYAVARAPYPVRSSFRELPWKVQDRLIRVSFQLPDTVEWRWPEPEERIYHRPADGFVPVWMEHLRSGWNPRWHLFFRHLCKYEYKCSPMQITPNAIKWMTWFLCACNKMGYQPTLXYAFPI >KZN06255 pep chromosome:ASM162521v1:2:31403334:31420022:-1 gene:DCAR_007092 transcript:KZN06255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESNKKRKKLCDIELNNLIDRHDEEPPSLVVEASGDDLKLMSDFYLEDSIQRCSKTYESVGSKLPDGGRKLKEKLTSLEQEKESRDRHRLMQGNGAIDAFGNKLNTLGRCDSRKTRSDGLISSVEKCKSGMSSRQTAFRSPRFLSDEVEKLNVSNGDINSSDMEEDPSRFPSRKNSPIVKPSYNLRSRNREQTVILVDEEENQHAALIDEEDNMDASMKEIKIYFPTRCHPESIELGYADMECLAPETYLSSTIMNFYIRYLEQATFTSDSDRCRYHFFTTYFYGKLKEAVQDKTNDMKDVFNKFRRWWKGVNIFEKAYIFLPIHENHHWSLVIICIPDNEDDSVPILLHYDSLGLHSSRPIFENIKSFLKKEWTYLNEKETSSNCPIDDSIWKNLHRKIEKKVITVPQQKDEYDCGLFVLFFMQRFIKEAPQRLKKKDLAMFGKQWFTPEEASDLRPIIRALIKKLFKENPEGGSRGQFVIEC >KZN07555 pep chromosome:ASM162521v1:2:41800930:41801478:-1 gene:DCAR_008392 transcript:KZN07555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSKPAVSIEAAASHNALIPKDSLSAAKGKKRVVKEGKANKPVAKERHSKVNGRARRVRMPAVCAARVFQLTRELGHKTDGQTIEWLLRQAEPEIINATGTGTKPAQLSVSSPAKPASEASVAAPLSGSVMNPENFVQGGNGLGVAPEVEYQTGGFMSLLMDPMIGEEELQQHEEYLLQM >KZN06472 pep chromosome:ASM162521v1:2:33177847:33180164:-1 gene:DCAR_007309 transcript:KZN06472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMMGLLRIRVRRGMELVARDTTGSDPFVVVTMADQKLKTLVVKNHCSPEWNDDLTLSIKDLTTPIKLTVYDKDTFTVDDALGEADIDIKPYIECVKAGTEKLPDGSKIRSVSPNEENCLDTESAVVWNKGKITQDMVLRLKNVKSGKVEIQIEWIDVPGSKGLR >KZN06236 pep chromosome:ASM162521v1:2:31244150:31248038:-1 gene:DCAR_007073 transcript:KZN06236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVDTNTLSYWLNWRFFLCALGVFTSMVAATMVIWKYESSATSKTHRQGNEQQTVGHLYRDEAWRTCSKSIDPAWLLAIRMVAFIVLLTLLSFDIFIDGGGIFFYYTSWTFALVTLYFGIGSLFSIYGCFYCCNEVDSDRVDIANSDMERSTYMTPTFAENADLSDITKNLVNRDELSVGKSADIWGFIFQVTFQICAGAVMLTDIIYWFVLYPFFTPKDHELNFLEVSMHSLNFVFLIADIILNRLRFPFFRIAYFVQFTCIYVIFQWTIHACVSMKWPYQYLDLSSPYAPIWYLATGLVQLPGYSIIFLIVQTKHVCLSRLSFC >KZN07308 pep chromosome:ASM162521v1:2:39896058:39897254:-1 gene:DCAR_008145 transcript:KZN07308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISIIPDDIIRFEILTRLPVKILMQFQCVCKSWQFLISRDPIFIKAHVARSVMDPSNDRVIIRCHPTLRHKHISEDNIFNFPLFVCSKVGPVNEFDYPLLSSGMVLAGSVNGLVCLYSYNDKSKSIPCIGIWNPATHRYKDVRPPLNAYNIKAGDFSFAFVFDSIKKDYKVIYIIKTRNKNKANKPGQVVADVYSCNARSWAHINVSSSFELENGELFKYAITVGNRVYWNYSMAYGRKHDVISFDIGHEVFRLFHAPDLLPNQKMATGNLNNLLVCLVHEYCWDKTTSVDVYALNETNGETWNKMYTVGPISLTRRMHIVQCFRNGDLFFSDYKEYRCLRFDPKTHALLRSNSHAFDVDCLAVAYGIGYTQTLVSVMGMKHIEKNDCERLLFIQS >KZN05173 pep chromosome:ASM162521v1:2:20816831:20822389:1 gene:DCAR_006010 transcript:KZN05173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPPSLSAGRDRILLKGAFAVTGIMSTLVIYGLLQEKIMRVPYGPDKEYFMYSLFLVFCNRIVTSAVSAGTLLASKKTLDPVAPVYKYCVVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMLYVTTCAFDIGYAYPRLRSRALMRLTSLMAVMPHLIYKSIVLQLALGKEPQFSVNCAYMATFTIIFTDQIGNASTWSYILELMALHRGGESTVWGVSLMVGYLGLDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSFIGLILQNHLLMAVDFIYRHNDCLLDIVFLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCLWFGHPLSWEQFIGAVIVFSTLYARAFFREKPRTPLPLKYEESAESVPLKVNS >KZN06487 pep chromosome:ASM162521v1:2:33291390:33293465:-1 gene:DCAR_007324 transcript:KZN06487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVYILVFILSTSLFPAGFSDITTDGAALLRFRDAVRGRTLLWNTSESTPCSWRGITCDPGNNNVIQLRLPAAGLSGEIPVNTVGNLSNLRVLSLRKNTLSGALPEDLGSCTELRSLNLEENTFSDSIPVSLFSLNKLVRLSLSNNNLSGDFSSEFNKLNQLKTLLLGNNQLTGSLPELNDLSGLSNFSVAFNNLTGSIPSFFRRFSSDAFIGNSLCGSPLNSCEDGSELSNGAIGGIVVGSVTALLVVLVLSFLLLRKYRISPQSATPSPEILPEIRSRSSRQATENRGVDDGVSRSVNSEDSSGNKGLVFFKEKMNVFGFEDLLRASAEVMGKDSKLGSTYKAYLDGGIVVVVKRLKNVGVSIEEFTENVEKLGDLDHENLVSPRGYFCGREEKLLVYEYMPMGSLSAVLHGTREAAKQELNWEVRTRIAFQVALAIKHLHSNDIIHGNIKSSNILLKDREYNACVSEFGITQLHSANSPLSSAGYIAPEVTKYNEVSQQADVYSFGVLLIELLLGRAPMNTITRAKLNLPKIGSSMAEGKLELDVFDPELIKNSSIKEKIFQFLFVAISCTTQDPEGRPSMDKVTGLLKSIHLFSRQES >KZN05211 pep chromosome:ASM162521v1:2:21218065:21218466:-1 gene:DCAR_006048 transcript:KZN05211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSQCPQREDNLPTFIRRNNLYLSSKTTLLISGSVSDVLDVYMNKYISSGADAIEDEATEKRRIRMTEKRVRVFPPVLSSLNRNGRPRFGLETVRKEGRLQIWVVPNRFSEVVRTLNCGDRVSMELLETGVH >KZN07164 pep chromosome:ASM162521v1:2:38772867:38774833:-1 gene:DCAR_008001 transcript:KZN07164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRLVVHLILVFVIRGVVAAAAVDECRPMRCSKYGPEIRFPFQLKGRQPEHCGLPGFQVSCRRGKVLMEFQYLKNTSLSGIQLLTSQEVELLFIDYTRQSTSYGINYSKLRLVSTLDPLSSAITPPPMDIYVLYEDTTCVTCSLRTGSEIYPPTKMVTSLSGEEIPVKCFWDSYMNISEPSMTSCTILFRSTIPPDYTDARPPIGIVTNWEAPDCKKCEAKGKACKLLKNVSNSTRPTDYTTTCFSRVQHHDSIKPVQVEIPGATLVMIGLIVLLYYSIRSHRQKKYDELKIELFLANYRAMKPTRYSYADIKKITSNFSHELGQGGFGSVYKGQITSDIIVAVKVLNSDPKANGEDFINEVGTIGRIYHVNVVRLVGYCADGCNRALVYEFQPNNSLEKFKYSGKNHSNNFLGWEKMQGIALGIAKGIEYLHHGCAQQILHFDIKPNNILLDCDFNPKISDFGLAKLCSRDQSLVSMTMARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGARNNNTGENITDTYFPEWIYHHLEDGGEIAIEIEKEEDSNIAKKLTIVGLWCIGWHPVDRPSMKRVINMLESQECPAMPPNPFGTSSVRSFATDLEAISESE >KZN04006 pep chromosome:ASM162521v1:2:512459:513747:-1 gene:DCAR_004804 transcript:KZN04006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVMTSLPQFNGLKANSPPVSPVKSLVAVQPMKRKGNGALGARCDFIGSSTNLIMVTSTSLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNMGAI >KZN06030 pep chromosome:ASM162521v1:2:29420206:29424326:-1 gene:DCAR_006867 transcript:KZN06030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSGLKAQGIGEPSCETPVVAFTEGNKDHQDDMLASEEGFTPYVIDVYEGQDVMSKIIDFCKQVPDQIVCVMSAFGTLSEITFKIPFVDKITYEGQFDILRLSGSFEPVNLGEFGRKGGLSIIFSRKDGKVEGGRVIGQLKVANFARINVGIFKKHKRGEKEEPVFVEEEIEFHA >KZN07368 pep chromosome:ASM162521v1:2:40372618:40376787:1 gene:DCAR_008205 transcript:KZN07368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLSNSHPELSDWSNTLADLYQRKLWHQLTLKLDQFVALAVFQAGDTLIQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLDGIIEKLRATREMRIEEPVLYIKMQIALFNLEKGDQKECKKLLEDGKSTLDSMTDIDPSVYASYYWISSQYHKSRQEFAEFYRSSLLYLAYTTVDTLSEAFKLDLAFDLSLSALLGENIYNFGELLAHPIIKSLLGTKVEWLYYILESFNSGDLVRYQELCRVHNASLSAQPALVENEKKLLEKINILCLMEIIFSRPSEERTIPLSIIADRTKLTVEDVEYLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRILGIPQIKSLRDRLDSWMGKVNTALLSVEAETPDLVAP >KZN06313 pep chromosome:ASM162521v1:2:31889295:31890767:1 gene:DCAR_007150 transcript:KZN06313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNHSDAVDKVDEFMLPGFRFHPTDEELVGFYLKRKIQKRPLSIELIKQLDIYKYDPWDLPKFATAGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRAIYSSEGSKCIGLKKSLVFYTGRAAKGMKTDWMMHEFRLPSLTNSVPSKDKNIIPLNDAWAICRIFKKTNSNAHKAPLHTWIPPDLHESITSSEMLSFPHFNSAQFSSETKTRSSHIQDPSNMTSFSPSFDFSSDYKPYIQMTSGYPYMSPQTIDNATICTIDTANLPFNLSSSIFKEFDNVGASECPDYSTIQDRYVNKEALISLPPQVQENTGEGGEASSMSLMEEPTSNVSFLDDEWGSLIRSSIGFPYTLPMNMQESWEFNLLCDTSLDPTDQISCAYSTNK >KZN04385 pep chromosome:ASM162521v1:2:9941606:9943679:-1 gene:DCAR_005222 transcript:KZN04385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMNYNFMLVLLAFLVVVLQYSVVAQPSATFNVQSFGAKPDGRTDSTKAFLSAWGAACGSMRPSTIYVPPGRYLLRDARFTGQCKNKGVTFRIDGTLVAPADYWVIGNIGNWLHFEYVSNVNIQGGILDGQGTALWDCKRAGKRCPSGATTLRFSNSNNIMIRGVSSVNSQMFHIVINGCNNVKMSGVNVQASGNSPNTDGIHVQMSSSVTILNSKISTGDDCVSIGAGTSNLWIENVLCGPGHGISIGSLGKDLHEPGVQNVTVKTVTFTGTQNGARIKSWGRPSSGFVRNVLYQHITMVDVHNPIVIDQNYCPGHKNCPGQDSGVKISDISYEDIHGSSATKVAVQFDCSKNYPCSRIKLDNVKLTYGDQPSTASCDNAGGTASGVIVPASCL >KZN06034 pep chromosome:ASM162521v1:2:29457615:29461618:-1 gene:DCAR_006871 transcript:KZN06034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASSSSSCVPAPKYINSRTQFPQLCLNFFLKKPTNFRFSELHFEAKAVKNAEATEKSEAREENKPKSKWVRIGSEMSEAQKQDIAKLSPKMSNRCKALMKQIICFDSEESDLSVLLKEWVKSTVPRRADWLIVLKELCAMNHPMYLQVAELALLEESFETNVRDYTKIIHAYAMQNQVQEAETILLAMKRRGFMSDQVTLTALIHMYSKSGNLKMAEESFEEMKLLSVPLDRRSYGSIIMAYVRAGLFDRGENLLWEMDGQQNYAGKEVYKALLRAYSMTGDYAGAQRIFDATQLAGIIPDVKLCALLINAYVVAGQSHEACIAFENMIKAGLKPNDKCVALILSAYQKENKINKAMEFLIDLERNGILLEKEASAILAGWFRSLGVVEEIELLLRDYESCEANSEATST >KZN07181 pep chromosome:ASM162521v1:2:38900822:38900986:1 gene:DCAR_008018 transcript:KZN07181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATGKPLLIRNPRVRDQSNAAKAAKASKDTATATQASQTNTTRCTPSQSQSQI >KZN04977 pep chromosome:ASM162521v1:2:18565965:18569855:1 gene:DCAR_005814 transcript:KZN04977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTSNATVPVTVPTEYTCLKLSFDNLEEDAKRCLLLASLFPEDSEVSVTALMRLATGSQLLECKSVRTMIGILKSSSMLLQGKDDQHFKMHDVIRDEARSIAINDYAYSFTRCGSLLPDNSALYETLSVLHLEVDKNDFRFPDDLVCPNVHTLWLRSTACDDELIASPLGWMPKVAWAGPENTFQAWDLSRMFVNLKFLVLVKCNWEPPFSLKALDTLRTLILDRCDIGQTDATFFPENLETLCIWNCNLPLLLDLPNLQHLLTLEIQHWPNSPLLVASNVISSLIRLEELHILNVFCIIDKTALSNLAEYEGSLDDLSDDDFTISVLDEICKLTRLASLQISLHSSEPFQRTNILFRNLLEFNIWVGKTGNLTYTDDHPASSVSKIIELCNYQSEGLDSVVESAEEVTMHSSHVDMSSILSANKEAFADLRSLSIEKCNKMEHLARISRHEIQHSRQPLTCFSKLVSLKITNCSAMEYLFCSSVAKCLLQLQELRLKDCPGMEAIVMDEGTSDADIINFPKLKLLKLHDVPRLKSFCRGKTPRMDNSGNKWVQSQPLFDGMVKFPCLEKLKLMGLKYITDIWGKHCYNDNISCFSQIKTISIGFCNQLNVGIPHAMLNRLQNLEDLKIFSCESLVSEVGTCGSSTVICPLLALRTLTLYSLPYLTITGLNSREISGATTLYPNLEYLRIEACGLTRVFLPSIARDLIHLKQMSVAESDSVRGIITGRADEEELSDNIIFPELIKLRLNELENLKSFWCNPSGEANTYKVEFPKLVDYELRIQDPDHSEPKELGMMIPPVN >KZN05153 pep chromosome:ASM162521v1:2:20636634:20636945:-1 gene:DCAR_005990 transcript:KZN05153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KZN05190 pep chromosome:ASM162521v1:2:20961023:20964532:1 gene:DCAR_006027 transcript:KZN05190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTEQKEGSDAERNGEGDKITRNWSLLKSSPELVAGQTRILRKGHTYLAYAYKVAKLGQHCARCFDSSLYAPQR >KZN07690 pep chromosome:ASM162521v1:2:42763133:42769583:-1 gene:DCAR_008527 transcript:KZN07690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNVPSFSSVKPLRISKFSCINPSSKLLNHNSSRYLRICRNNMHDGKFGHFLCKVPDHLHITESTVTNSSSHNATKLIHDMNGCASDNIASEMNSWRESDPFLDTSLNSFLKSALLCGIFILQATGQAAAASDFDSGLQSNSFFGDVSDITTGFTSAFLLIFFSELGDKTFFIAALLAARNSSVIVFLGTFGALGVMTVISVVLGRTFHYVDEILPFRFGDSDLPLDDIAAVLLLVYFGVSTLLDAASGDGMKADEEQKEAELAVSDFSGDGAGILAAAGTIVSTFVLVFVAEWGDKSFFSTIALAAASSPLGVIGGALAGHGSATLLAVLGGSLLGTFLSEKVIAYVGGSLFLVFAAVTLIEIVHP >KZN04315 pep chromosome:ASM162521v1:2:7698587:7699728:-1 gene:DCAR_005152 transcript:KZN04315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDAIEAYKKENESAIALMTRDDPDIIEIQLVLNEMIKTLPSTTHVSNSKGAPEDAGMQPQNTSNKGENVNDVDNFGDKFDYDNVIDHNIIDHVEILEYLYSSQGRRDTEGSDDEFFIPIFSLGIHDQGDPVLEVCKDINKDHGDQPNTDGDSHPSMFQTPLPQDKMSKRKTKLAAIYLSPYVQRNVDLNAKYSTEEYSTWRWIIQQGKDPLEKYKAPESPFRIFFDTAFSINSIPYRNVPLNKEKDDNTSLK >KZN03994 pep chromosome:ASM162521v1:2:290876:291283:-1 gene:DCAR_004856 transcript:KZN03994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLESSTEQNADNTNQASSQSKIITNEVLIDPVVQSLDQEMDETKLANQVVEPMSRDNEKETETLKEDAVKTKTAGVSEDVRNLTRDYSGLTGTYEGLCFICCVWCGYLLCFPCAQCYRFCESKFRSDNRSESA >KZN06073 pep chromosome:ASM162521v1:2:29909946:29914590:1 gene:DCAR_006910 transcript:KZN06073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGAITQPHQQLVTHQSLAKSMFSSPGLSLALQTNMEGQGEVMRLGEENTSNVGGRIRMRDEEHESRSGSDNMEGASGDDQEGDETRPPRKKRYHRHTPQQIQELEQQENDKLRAENMSIREAMRNPMCTSCGGPAMVGEISLEEQHLRMENARLKDELDKVCALTGKFLGRPVSSLGTPTSLELGVGGMGFGSLSSVSTAVPLGQHDFGSGIPSSLAVVPQTRSNSGPSGIERSMYLELALASMDELVKMAQTEEPLWVRNLEGGREMLNYDEYLRIFNNSCTGPRPNGFVTEASRENGMVIINSLALVETLMDSNKWADMFPSLVARTCTTDVISNGMGGTRNGALQLMHAELQVLSPLVPIREVHFLRFCKQHAEGVWAVVDVSIDSIRNSSGAPPFFSCRRQPSGCVVQDMPNGCSKNLCGHCPFSFGFVPSAITTSGRKSMLKLAQRMTNNFCAGVCASTVHKWNKLTAGNVDEDVRVMTRNSVDDPGEPPGIVLSAATSVWLPVSPQRLFNFLRDERLRSEWDILSNGGPMQEMAHVAKGQDHGNCVSLLRASAMNSNQSSMLILQETCIDAAGSLVVYAPVDIPAMNVVMNGGDSAYVALLPSGFAILPDGPDPRGPSGNGPLSNGGSGHRAGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAAVRSEN >KZN06007 pep chromosome:ASM162521v1:2:29096024:29096503:1 gene:DCAR_006844 transcript:KZN06007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNTAMASIGITISVLLDALQIKYQTHSASPFHTHPKAMAIALSTLLIYCFGCDLEDYFSCICHFSSRQTLVYITVLRSLGFISVASFASVVFSTSLMSSVILYLIFAIFFAARLVVSWTQYKTLLENRGDYTYSNMHTHVNVPSRYVFCNGRDNLPV >KZN04735 pep chromosome:ASM162521v1:2:15217306:15219810:-1 gene:DCAR_005572 transcript:KZN04735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MTS-1 description:4-(cytidine 5-phospho)-2-C-methyl-D-erithritol kinase MAANSLIFAATSSILAKPMIKIQSFATPSLGFSSVLAPRVCSHKFPVLTVAAAASSAVQVEAESPALKPAKVLPFRVGHGFDLHRLEPGYPLIIGGIDIPFEKGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDNDPKWKGAPSSVFIKEAVRLMHEAGYELGNLDATLILQRPKLSPHKETIRTNLSLLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >KZN05668 pep chromosome:ASM162521v1:2:26165904:26167518:1 gene:DCAR_006505 transcript:KZN05668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKGGRKLKVLCLHGYGYSGAYLKMRLQVWPANVLEAMDFVFIDAPFPTNNKPFPAFSWFNSSPEDVGEYDRTFGESMTLIEETMVKFGPFDGVLGFSQGAYIAAALPGMQAQGVALTEVEAIKFVVVISGGKLGGSKVPAPTLAKNAFSSPIEIPSLHCFGENDSFAKLPATELLGSFVDPFVIFHSGGHEVPKLDENGLKVMKSFLHKIETIPPGRGIWSRL >KZN04084 pep chromosome:ASM162521v1:2:1743358:1745477:1 gene:DCAR_004921 transcript:KZN04084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSNSSLLSPNEHLPPQSSEKLCYVHCNICDTVLAVSVPCSSLFKTVTVRCGHCTNLLPVNMRALLLPSPNQPHHLGHHSLFPPSHHSLMEEIPNSSPNYMINQANMINDFSLSSRAGGFDEIPRPPAINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPADQTTAKKTTTTVCQQDSAEDILMKDGFFASANIGVSPY >KZN04202 pep chromosome:ASM162521v1:2:3670732:3671634:-1 gene:DCAR_005039 transcript:KZN04202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRMQETSIPDSQLGNNNIGTSLSNIILASNTNALDSIFSHCSSSMSPVVDQLGSSVYHTQRDLLQKFCEENTSQNQISVPDNFRSVQNSLYNPSYLVPPKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETPEAAAYAYDRAAYKLRGDYARLNFPNLSDPSKLGFGDGSRLNALKNAVDAKISAICQKVRREKAKKKAKKNSEACPDGNKIDTNEKLAKVDSNSSLSLAGNDSNLSNDIVSSSVSEDGLWMDVNSPYSVLGACPLVPQELEFEGCSLARMPSFDPELIWEFLAG >KZN04657 pep chromosome:ASM162521v1:2:14295429:14296547:-1 gene:DCAR_005494 transcript:KZN04657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVATDIQFHVLAVDDSILDRKLIERLLKTSSYHVTAVDSGIKALEFLGLQGDDEHLNKDLPPFTLNHYHQVEVNLIITDYFMPGMTGYDLLRKIKESTLLKDIPVVIMSSENEPSRINRCLEQGAEEFFLKPVQLSDVNKLKPHLLRNKIEQVQLSDHKRKSDEVRVSLHRRTKYEAPELLSKDEQQISGYKDRGFFTSSINQFN >KZN06108 pep chromosome:ASM162521v1:2:30171787:30179668:1 gene:DCAR_006945 transcript:KZN06108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEFENQSLQENVSEARDGSQKVNKVSYTREFLLSLSQLEICKNLPTGFDRSILGEFEDTTQQRVSGPGPLLGSRRGDYGSSPPTRGESNHPRGIYGKWGSRSSGQSDKDSDSQSDRESESSRLQGNPSRRPWQSADHDGLLGSGSFPRPSGYAAGMIASKVQGNDHFQLKKSNEPYHPPRPYKAVPHTRREISDSINDETFGSADCTSEDRAEEERKRRASFELMRKEQQKVLQEKQKMHVDKQKDDFFTADAASLEQTTVGRALKQDSESDGSGSQLLSNTGSGNNPVSSHTSAPRPLVPPGFASTISEKISGPKFTLSTQETSKQEIEENILLAKAKHVQKGIPGKQEEILSIHELVINEKQPKDDNVEALFATKADPMVNPLSGLEVTNHVHGNTSILKTGKVLNDGKMVHSNTKKLIMNTTASDSNEDKSRSILDKLFGSSLTVNTSANLKELNDGKSDVKQSPNMAGSSKFSHWFSEEENKPPENHTSVGPDNLLSLIAGGGKAGVPASDVESTQVIPPELIQKSSEFGNRLPYNSMSSATTGIFEQSCNYKNTDTIPAVLTCEDLEGKILSEYSERSSILQPPVYVNSAIDTPEMQAKPSVDNHASVHILSLLQKGANLKDLTPSPVAEIGLSGQLLNSEVHNTVTEIDKSRAADAEPLQDTRKNNTLEALFGTAFMKELQSVEAPVSVHRSLAGAVKSDYIEPHGLSFHVGNDGSGPANEIESNRSNVENRRLTPNPDKTMPVEIESWLGYTDPQINLESLKMQNEGRAKHGLHGVRQSQLPEEQNLLVGDPLNPSKSRYIPDGGMKISEVLSSTSSDIAKKLAALNAGHRDERSLRAQEGPNFNRGPNDQYQNLYAKASPPQFHSPQMNYGRPLFNPTDYHSAHMSSQMKYMAPESINHDGRINNHFPVNMIRPPVHHHNTAPTEFDLPVHLQMLQQMQVPQNFPPPSVPQEYPRGGQLPPHLSNQQNMFLQERNLMQGISYGQRQPSISGLGMPPPAPDVSVGSNRLDALQRLVGIENGANLKQMQNYGINRQGMYNHELDMSSPYR >KZN04432 pep chromosome:ASM162521v1:2:10738746:10739958:1 gene:DCAR_005269 transcript:KZN04432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYAALSLFLYSILVAHSTTLNKRVSAASDTCDFPAIFNFGDANSDTGAFATMFTSRPPTFGQSFFGGNAGRPSDGRLIIDFLASSLGLPFLHPYLDSFGANFSHGANFANILSTIALPTTNIIPAARRPRGTNPVSLDIQIAQFAQFVTRSQTQGKKFKKLMPKKEYFAKALYTLDIGQVDLSDQIFDHKTDDEIKAILPDLISTLSSNIKSLYSLGGRTFWIHNTGPLGCLPILLTVAPVPDDQLDSAGCAKRYNDLAQYFNNLLKKGVDQLRKDLPLAAFTYVDVYSAKYSLYQEPKKYGKYTDQAP >KZN07410 pep chromosome:ASM162521v1:2:40667236:40668333:1 gene:DCAR_008247 transcript:KZN07410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEKRYGVGYALAKKKENSFIQASLLNLAKERGIDLIKIDTERPLIDQGPFDCVLHKLYGDDWKKQLDDYSRKYPNVLIIDSPEAIQRLHNRISMLEAVSEIEFENESDSFGIPKQVLIYDVKKLLDCEEWGEVLNFPVIAKPLVADGSAKSHKMSLVYNNEGLNKLKPPIVLQQFVNHGGVIFKVYVVGKYVRCVKRKSLPDVSEEKLPSLQGSLSFSQVSNLTQSERSDDTYYKAMNLEDAEMPPQSLISDIACGLRRAMKLNLFNFDVIRDTRVGNRYLVIDINYFPGYAKMPGYEKVLTDFFCDVFNKKQAGSLDGQLGMNCEKEVRILVGNNGLVEDEGGLPVSTLKMEEENGNQIHV >KZN06941 pep chromosome:ASM162521v1:2:37016869:37018368:1 gene:DCAR_007778 transcript:KZN06941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIHGGTLKASDDFPKDGYLIDLSASSKLEYIYEFVTLRDLLLDSNYRGGAIQVVDSLRTSIDNCYITHFTTTGINVQGGHETYIRNCYLGQHITAGADPGERDFSGTAIILNGNDNAVTDVVIFSAATGIVIEGQANTLSGVHCYNKATGFGGVGVYLKVPGLTQTRIVNCYLDYTGIVAEDPVQLHISSSFFLGDAFILLRSVNGIANGINIVDNMFSGSDKDIDIVQLDEKSCKFKNVKQVIVDRNNAKGMNMKSTIARGTVEGNNSSWMVDLSRDLLFPNLIRSVQYSLQPTGDQFPNHALRSVTDNRVVITTDVVVPASVTVTVDQ >KZN06617 pep chromosome:ASM162521v1:2:34435662:34437424:1 gene:DCAR_007454 transcript:KZN06617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSNLFTALFIIAMPLLILLIIFITNNKHQQNRLPPGPKKLPLIGNLHQLGRLPPHRSFHHLSKKYGSLMFLQLGSVPTLVVSSAAMAREIFKKHDSVFSSRPVLCVPEKIAYKSSTISFAPYGEYWRQIRKIALAELLSAKRVQSFKGVRGQEVASMIKTVADSSPNQINLSELMLLLANNIVLRVVFSMKGNGYGEENAKSEFDEILHETQHLLGMVNIADYFPWMGWLNKFNGVEARLNKNFRDLDRFYDRAIQEHRECPHRPGPEEHEDLVDVLLRIQADPNQDIGLTDDQMKAILTDMFVAGTDTSSATLVWIMTQLIKNPSVMSKAQEEVRRVVQGKGTVEESDLPKLDYLKMIIKETFRLHLPVPLLIPRETTETRTVGGYEIPAKTRVFINATAISMDLQVWEDPEEFKPERFLNSSIDFRGQHFELLPFGAGRRGCPGTNFGVLIIELALANLLFSFNWRLPDGMKAEDIDMEEAIGITVHKKTPLCLVASPYVYIVN >KZN04230 pep chromosome:ASM162521v1:2:4701135:4705590:-1 gene:DCAR_005128 transcript:KZN04230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFVCIIGDDGYWYSLAAVIVLFLAVEDGVRGDAMTRHNFGALVVVRPGEQNAVAGTITERANLDDKLIEIREQFVASAEESRHMPEFDKAVTCTMKNFEVLIPRVSITDLMTELTSKDNFFPKAADANYRWCGSAAYTRDVSVPLLSISSLDDLVCTAEAILWHECRLRCFQFCD >KZN05457 pep chromosome:ASM162521v1:2:24047843:24048073:1 gene:DCAR_006294 transcript:KZN05457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGCGNTTSITHCPTTPRLFFQQTFKPPTCVPFFLNFSQDALDIRGGCRWLVITLTVAEPVDRLTRDSKNLTTFD >KZN04713 pep chromosome:ASM162521v1:2:14988326:14990292:1 gene:DCAR_005550 transcript:KZN04713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLAQSSADPNFIPRFIQRLLEEEEVMRSNGSVSWSTSTMLPFLKPKLMQLKGFREIESPFLRKMYEMVDDPDTNEIISWSVYGTSFFIRDKLNFKGLLLQYFGHDTIKNFTNCLSAYGFKRVDSFLNEYKQEKFQRGQKHMLKHIKKRPSKSDTMRNLLEDMVAEVKRLRDHQDIEIKNEIFNLKQQEEKRKNCLSSLKECLGHAKCKLIQIKESSDSRNRKEARPWLDPFKNIDKLKMAMERMEVDIMDLESNHEATAHYLTGLKGYFLTTESRQQKMEVHMEKTLRIFGFDDEVRKKPRAMKPRHQKLTRRRRPVSAASSVQSTCSQNTVLTYQPETSTPLNSNDIAWARELELDMNIESGEHGLAIHHINEQNETKPSETCLENSNDMNMWARDLEDELASEAEIHETKIHVWTEHPNAKFESYSTGNCEHENKSATTLHNDMIKNSSTQAPDYQVQEQIKKENFGASERNCDDIDLWVSIVEGDVVFE >KZN06744 pep chromosome:ASM162521v1:2:35514514:35515056:1 gene:DCAR_007581 transcript:KZN06744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGAMAAKRVWSMVRALYFMFRKDKSHKKIMLDIKMMLKRGKLARKAFQSLMFNQHDKMTIADSQQQLSVLPGEYIFSCSDTPLFRLHSRRNGSTSHRFFSCAHATPTLEDGDEMSVNVAVRKALEMLQSDHEVVRSPVGRIPDSLYRIGEVHEEESCVDEAAEEFIRKFYKDLKKQT >KZN07444 pep chromosome:ASM162521v1:2:40900145:40902306:1 gene:DCAR_008281 transcript:KZN07444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVEKLRKLVERAKSFVILQGHNIWKLGKDDPRRVIHSIKPLFKQSGVLKDIADNVLWALMTVVVVLQFTAGATFCKGFNRGLGTVSAGLLAFLMEFIATDCGTVSRAIIIGLAVFVVGATATYIRFLPYLKNNYDYGVVTFVLTFNLIAVSSYRVDSALKIARDRFYAIAIGCAVCLIMSALVFPYWSGEDLHNSIVNRLEGLAEAVEACVSGYFNNEAPDINEDDPEEDPVCMGYKAILDSKSTDETMAGHASWEPRHSRQNRFPGKQYVKLGGVIRQFSYTVVALHGCIKTEIQTPRHVRALFKDPCTRVADEVSNALNELANSIRNRCQCSPEILYDHLHEALTGLNTAFKAQPRLFIGPATDQTSDMLLAKAAAVAASDADKYLSSVKTDSAALLSEWRLSKRASNGVKSADKKTLKPTLSRITITSLEFSEALPFAAFSSLLIEIVAKLEIVIEEVEELGKKAKFKESNQGDNVTVTVQTPQTEDQTTTPDS >KZN06776 pep chromosome:ASM162521v1:2:35732207:35733302:-1 gene:DCAR_007613 transcript:KZN06776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGQRVATGSYSNLFRVFGSIDGSTEATTLEASKNPMRRQVQTPARPTRSLSSSLTRVVRRGAESPGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >KZN07680 pep chromosome:ASM162521v1:2:42699316:42701069:1 gene:DCAR_008517 transcript:KZN07680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIHQREDHLGLSLSLSSPAETHRPSSSSSPLQLNLAPSMTSPPFNLFHKKETSDGFVLDACRVETRSFLKGIDVNRLPATTVDMEEEAGVSSPNSTISSVSGKRSLERSENGHGDDLLDCSRGLINSDEEDGDNSRKKLRLSKDQSAILEDSFKEHNTLNPKQKLALAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTDENRRLMKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVSAPPSSSTGPSSTPVEAPRPHHSGSSHHRVPFNPWAIAPAGHRSFDAVRH >KZN04965 pep chromosome:ASM162521v1:2:18444482:18459144:1 gene:DCAR_005802 transcript:KZN04965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRGSSSSKRPLPSQSSSPVPNSKRSKAVDESSSNNEAKTLDPEKNLGDQEAKSVDKGKRKADEEQPDNSTDAVVVGEPVVSPMSLGRSLYKARTGGYVLNRGKKRQVKPEVGVAWGKLISQYSKNPHIVIHQPFFTVGQGHHCDLSVGDPSISKTLCNLRHVDAKTFLTITGAKGYVKLNGKVCPRQTCLPLNGGDEVIFSPTGHAYIFQQLTDNNSVSDGTPPSVRVLESHSGSFKGLQLEDGVRDPSAVAGASVLATLSNIPKEFSLLPPPSPPELPPLLSPCKVSDNGNVDADMDAVDHCGGADASLPEKTSVLSMTTNNINHDTGLEASVDADTRQVPAVTNRPTQGSPMLAGSATPEFDLTGSISKILDFQLEAGESKDISPILLLRRLQLYKNGLQQRILKSEDIDVSFESFPYYLSESTKNVLVASTFIHLKCNRFSKIAANLPTVCPRILLSGPAGSEIYQETLVKGLAKHFGATLILADSLLLPGGPATKELDPLKECSRPERASVFAKRAQATALHLKRPASSREADVTGDRVKYVGPLQSELSPLRGPQSGFKGKVVLAFEENGSSKIGVRFDRSIVEGNDLGGLCEKDHGFFCAAGSLRPESGSSGEAERLAINELFRVAIEESKRCAVILFVKDVEKSLLGNTEAYGSFKIKIESLPGNVVVIASHTQVDDQKEKYNPGSLLFTKFGSNQTSLFDAFRGTLGRMHEKSKETPKTVKQITRLFPNKVSIEMPQDESLLSEWKQKLDRDIETLRSQSNLVTMRSVLNRTAIDCPDLEALSVKDETLNSESAEKVIGWALSHHLMHSSEASIKDAKVLLSIESIRYGLKILEGIQNEDKNLKNSLQDVVTDNEFEKRLLGEVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMMNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDATNREKILRVILAKEELGPNVRLEEIASMTDGYSGSDLKNLCVTAAHCPIREILEKEKKEKALALAKNEPLPALFSSADIRPLSMDDFRYAQEQVCASVSSETTNMNELLQWNDLYGEGGSRKKSSLSYFM >KZN05311 pep chromosome:ASM162521v1:2:22496276:22502474:1 gene:DCAR_006148 transcript:KZN05311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIHTRITNLGLFTTSPKPSSGARRPVRCEVSVAEKDRQVELKNGNDSLQICRVLNGMWQTSGGWGRIDRDDAVESMLKYADAGLGTFDMADHFVVRRQVRCEIGMAEKDRQVILKNGNDSLQICRVLNGMWQTSGGWGRIDRDDAVESMLKYADAGLGTFDMSNHYGPAEDLYGIFINRVRRERPPELLENIRGLTKWVPPPVKMTSKFVRDSIDVSRKRMDVASLDMLQFHWWDYSNSGYLDALKHLTDLKEEGKIKTVALTNFDTERLQIILENEIPVVSNQLFICRYGTVMGGLLSEKFLDTNLNIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQVLLQTLNKVASKHGVSIPTVAVRYILDQQAVAGSMIGVRLGLAEHVNDTNAVFSLVLDEDDVNSIQEVTKKGKDLLRIIGDCGDEYRRA >KZN05459 pep chromosome:ASM162521v1:2:24052527:24054123:-1 gene:DCAR_006296 transcript:KZN05459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCDEEHAGQPPCDNNIVDGSAAVWNALGIDQDLGRVDVNCFSPKSNQQAIKIISEERASGNTKDGNSEVDIEILEALGALPLNRYNEFRPKTGPLYVNLEEEGGNADEGRRDTLGYVPRVLDVRSEWCNAGANQALQISDEDDDFLTN >KZN06893 pep chromosome:ASM162521v1:2:36704453:36710959:-1 gene:DCAR_007730 transcript:KZN06893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVSSLSGGPRRFQSSYIGRLARRVRDTDGSGDAAYLGELLRRNDPDEVIRLFESQPSLHTSQSALAEYVKALVRVDRLDESELLKMLQRGASGSSRMREESIGGLSAFGSVGKRTKDSGLGTPSAPIHTVATEGGSFKQQLWRTVRTLGLAFLIISGVGALIEDRGITKGLGLQEEVQPTMESKTKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAGKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVVAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHISKVLKGDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGSKSVSMADLEYAKDKILMGSERKSAVISDESRKLTAFHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVSQLPDKDETSISRKQMLARLNVCMGGRVAEELIFGENEVTSGASSDLKQATNLARAMVTKFGMSKQVGVVTHNYDDNGKSMSTETRLLIEEEVRELLASAYNNAKTILTTHEKELHALANALLERETLSGSQIKALLAQFNSDQQEQKVVAAQSNIKCTPVPPSGPTAADSAAAAEAAAAAASAAASAAAAAAAKGKGVAPVGS >KZN05018 pep chromosome:ASM162521v1:2:18982049:18982267:1 gene:DCAR_005855 transcript:KZN05018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQTSRQTFVELLANASPGNAGATTVVNSQNQQHILMKEGRENILQGHGRVQAVPKDNGQSGWAGAGFGGF >KZN06749 pep chromosome:ASM162521v1:2:35567581:35570250:-1 gene:DCAR_007586 transcript:KZN06749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLDDYGGWFLVCGLFCSSAEEGVYYALDLGGTNFRVLRVQLGGTNGGVVDQEFAELSIPPSLMVGTSQELFDYIAAELAKFVAQEGDRFQLPDGRKRELGFTFSFPVMQNSIVSGTLMRWTKGFSIDDAVGKDVVAELSSAMERQGVEMHVSALVNDTVGTLAGGRYTNKDVVMAVIMGTGTNAAYVERSQAIPKWHGPQPESGEMVINMEWGNFRSSHLPLTEYDNELDAESLNPGEQIFEKLTSGMYLGEIVRRVLCRMAEESALFGDTVPPKLRTPFILRTPDMSAMHHDTSYDLKVVGNKLKDILEISNTTLKVRKVVVELCDIVARRGARLAAAGILGILKKTGRDSFKDGDSQKTVIAMDGGLYEHYTAYRECLEATLKELLDDEVAEHIVCEHSNDGSGIGAALLAASHSWYLS >KZN07196 pep chromosome:ASM162521v1:2:39021508:39021819:1 gene:DCAR_008033 transcript:KZN07196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKVYMILLFTLFITANASSSMGWNKKTSFGFELYSKPGSLYNCDGKIGDCIAEEEEMMLDSEASKQILALEHKFTGSKFIDKEHVPCSQPGQSYYTCKRRL >KZN05693 pep chromosome:ASM162521v1:2:26373772:26382539:1 gene:DCAR_006530 transcript:KZN05693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVADKVAYFQAITGVEDTDLVAEILSAHNWDLELAISSFTSPDQRSGLNLDDNINDTNNQSLLPRHNDFDEFVTDQLVRDEFVTTNQTNPRSGLVVNEQTQPPPGLVWKLVTLPFSIVSASLGLVSGAIGLGFWAAGGVLSYTFGLVGGRGGSGSGEAPVSAAVSEAAAFVAVFEREYGVIRPGFVVEGFMDALQRSRREYKLLFVYLHSPEHLDTQGFCERTLCDEAFAAFVNENFVAWGGSIRMSEGFKMSNSLKASRFPFCAVVMAATNNRVALLQQIEGPKSPEEMLAVLQRVLEESSPVLVSARLEAEERRSNIRLREEQDAAYRAALEADQARERQRKEEQERLANEAAEAERKLKEEEEARERAAHEAAEKKAALDRLREEKALALGAEPEKGPDVTQILVRFPSGERKGRRFLRTTKLQSLYDYVDSLGCLEAEDYTLFSNFPRVVYGQDKLSLSLEEAGLHPQASLFVEINS >KZN06278 pep chromosome:ASM162521v1:2:31614687:31625918:1 gene:DCAR_007115 transcript:KZN06278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPFSLLSPQPLPLSLVSLPLSSLYTHRIYQTLPFRKLRAQTPLSIRTFYKFPKPLFALDSQLSDADDDDDEAAEEYDDISGEVSEGIEEEDDDSEDETEDSADGDGDVIAPELKSTYEEFKWQRVERLCNEVKLFGEEIINIEELKSIYDFRIDKFQRLSIEAFLKGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFREFRETFGDGNVGLLTGDSAINKDARVLIMTTEILRNMLYQSVGMVSSQGGLFQVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVMSSRRPVPLNWHFSTKTALSPLLDDKGTTMNRKLSVNYLQRNSAREKSYDDEGSRRRKSRKQRENDMSPPSRNDINTFRRSQVPQVIDTLWQLETRDMLPAVWFIFSRKGCDAAVQYIEDCLLDEYEKAEVELALKRLRAKYPDAVRESSVKGLLRGVAAHHAGCLPLWKSFVEELFQRGLVKVVFATETLAAGINMPARTAVVSSLSKRIESGRTQLTANELFQMAGRAGRRGIDKRGHVVLVQTVFEGAEECCEVLFSGLKPLVSQFKASYGMVLNLLAGAKITRGLSEADELSISRAGRTMEEARKLVEQSFGNYVGSNVMIAAKEELKNIESEIEMLHLEISDEAIDRKSKKALTTMAHKEITDLQHELKAEKCVRTELRRKMELERVSALRPLLKELEGGTLPFMCLQYSDSGGVQHLVAAVLLGSTDILDGIKLKKMVHVLDLDGENILINSTEGQNSEPYYYVALGSDNSWYLFTEKWIKSVYKTGFPNVALAQGDALPRDIMMTLLEKEDTQWQKLVESDLGDLWCGEGSLETWSWSLNVPVLSSLSEKDEATYHDAVECYKEQRNKVSRLKKKITRTEGFREYKKILDMTKFTMEKIRRLKSRSKRLINRIEQIEPSGWKEFQQVSSVIHEIRALDINTHVIFPLGETAAAIRGENELWLAVVLRNKIMLNLKPAQLAAVCGSLVSEGIKVRPWKNNSYIYEPSTAVLKVVTVLEEQRSSIVQLQEKHGVKIPCCLDSQFTGMVEAWASGLTWREIMMDCAMDEGDLARLLRRTIDLLVQIPKLPDVDPLLQRNAVAASSVMDRPPMSELAG >KZN07800 pep chromosome:ASM162521v1:2:43662860:43664789:-1 gene:DCAR_008637 transcript:KZN07800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSDDGNNNLLSKIAISDQHAENSPYFDGWKAYDSNPYHSLKNPDGVIQMGLAENQLSFDLIEEWIKNNPTASICTSQGVGQFKDIAIFQDYHGLPQFRKAIAMFMERVRGHRVSFDPDRVVMAGGATGANELIMFCLANPGDAFLVPSPYYPAFDRDLSWRTGVQLIPVHCHSSNDFKITKQALEEAYENAIQSGINVKGLLLANPSNPLGTNLETQHLKDLVNFINERQIHLVCDEIYSATVFSQPDFVSVAEMIQEMEFVNRDLIHIVYSLSKDMGLPGFRVGIVYSYNDVVVSCGRKMSSFGLVSSQTQLFLANLLSDQVFIDKFLAESSKRLGARHKIFTEGLEEIGIKCLKSNAGLFFWMDLRPLLKESSFEAEMALWRVIVHDVKLNVSPGSSFHCSEAGWFRVCFANMDEETVQVALKRIRKFVGGKQVEVPKKSKLRWQKSLRLSFSSRLLEEGSMSPHSPLVRART >KZN04140 pep chromosome:ASM162521v1:2:2557232:2557426:-1 gene:DCAR_004977 transcript:KZN04140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKILSRTEIQELSFTRLSYSSSLITFVVVAVFAAIACSHSFSRCHLKSFSCVSANITALGSAS >KZN07045 pep chromosome:ASM162521v1:2:37914428:37919246:-1 gene:DCAR_007882 transcript:KZN07045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKGKRYHSRSERNYEGDNRNPKRRTHDRDERVSNDELIVYRILCPDRVIGSVIGKSGKVINAIRQDSRAKVKVVDPFPGAKDRVLTIYCYVREKVDVEVDNEFDDTEPLCAAQEALLMVHTVISNAVASLSDPYKKDSDKEECQILVPASQSANIIGKSGSTIKKLRGKTRTNIRVTSKDASDPTHSCAMHFDNFIQITGESEAVKKALFAISAIMYKFGPKEDISLDTTVPDIHPGIIIPSDVPVYPAAGLYPGLDSIVNSRSLPSILSATPVPELSHYADTAQTWPVYSSSVPVVSGYGGASRSEELIIKVLCSSSKIGRVIGKLGASIKSVRQTSGARVDVDDKKTDNNDCTITVTSTEKLDDMKSMAVEAVLLLQEKINDEEDDTISIRLLIPSKVIGCIIGKSGSIINEIRKRTKADVRISKGDKHKRADDSSEVVEVVGEVSSVRDALVQIVLRLRDDVLRDREGNRNPDGAGHGSLYPGSTSLSMPQVLPSIPPVGHAGYEQQRGDGGSGLSMLSSDSFYGYGSLPMGDSGYGSLSSPYSSKLYGSGLPPPTDLEMVVPAHAVGKVMGKGGANIDNIRKLSGADVDISHPKSSRGDRVALISGTPEQKRSAENMIQAFIMST >KZN04409 pep chromosome:ASM162521v1:2:10397468:10409218:1 gene:DCAR_005246 transcript:KZN04409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASGKSTTSIGVVIDEDSRVGKEQKIAMKIAVQKLHYSTNHKLTIQFRNISSGNPLQAATAADELIKVEKVQVLVGSPAWQEAAIVADVGNRAQVAVISLAASSVSPKLAHVRWPFLVQMAGNSLKEMESVAAIVHSYSWRKVIVIYEDDAYGDPGALALLSKALVDKGSDIEYRLVLPSYTSLSDPQGFIGDQVAKLSSRKSRVFIILQSSLIIAKHLLTEARKIGLMGMDSVWIVTDSITSVMDYNDYSFVASMEGAIGTKSYYSEETSIFMELKAQFHEFIKSDYPEEDNLVPGVHALRAYDSIMAIYHAVTKLASDESTTKETLLEGILSSNFLGLSGKISFHDGSLLDSSNVRIINVVEQSYKDLGFWSSKGFLKNVNQVKGGGHSMKTLGTVVSWPGNLTRDPKGWAMPSDVKKMKIGVPGRTVYPNFVEAVLADNNSDSVYNFSGFCIDVFAEVVNILKDNYSLPYEFFPFDGTYDDLVFSVSNETYDAVVGDVTILEHRAKFVEFTQPFVESGLSMMVQYKPEPTRAWLFLKPFSRSMWLATLGILFYTMFIVWFFERKSNPDFKGAFRDQLGTTMWFTFSTLFFAQKEKVYSNFTKMVVVVWLFVVLVLTSSYTASLSSMLTVERLRPKIEDIDWLRKTNATVGCDRGSFVKGYLTNVLKLENIEYIGSQDEYSSKFDKGNIAASFIELPYQKFFLKDKCNHYTTVGPTYRFGGFGFAFQKGSPIARDVSEAILTITENGILKGLEEKWFILTTNCSASRNTGSLTIESFWGIYLLSGATSTLCFLIFIAKLLVLRRIKNQNQRNQVTRDIPSEEGNLRKSIAIRKQYSIKGIIIQEWRNQDSEELSLSWALRRLGDVHFAGYQKCDLFTGHWIRDMEQPLYTSKSCPIMGDLRNCFLNGRVDTDFLKWRWQPDTCEISRFDPKLFLEMVRGKSMAFIGDSVARNHMESLLCLLYQGEIPENIYWAEEGRILKYHFRNHDFTLMVLWTPFLVEENEIVSHGIKTDNYTLHLDKVHEVWLKQLPSSLDYAIISTGHWFFNRRFYLYQDGALIGCVSCSEPDIPKYDFKFAVRMSIRTALEYTERFKGITIALRTFSPGHFENGGWNAGGSCTRTSPLHELSDAYKIISTYNVTLELRGVQLEELERAKRRGGADRRLLPLDVTRAMLMRPDGHPDIHFGNVWKRGSHDCVHWCLPAHELIEAEKVLVLIGSHAWRLVVNVGNRAQVAVISLGASAISPTLAHGFNAGIGDITILANRSNLVEFTQPYAESGLSMKVQYKHERGKACLFLKPFSGSMWLATVCILFYTILIVWFFEHKSNPDFKETVKHQLGMALWFTFSTLFFSHSARDVSEAILDISENGVLKILEMKWLMPTTHWSANSMNTDSLTIERFWGIYLISGVTSTICLVISLPNYYYLG >KZN06209 pep chromosome:ASM162521v1:2:30997987:30999915:1 gene:DCAR_007046 transcript:KZN06209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHKLPTHFFTLVLVFLLPNSFLCRNHCDFPAIFNFGDSNSDTGGLSAAFGQAPPPHGETFFHAPAGRYSDGRLVIDFIAENLTLPYLSAYLDSIGSNFSHGANFATAGSTIRPQNTTKSQSGFSPISLDVQSVQFSDFYSRSQIARQKGAVFQSLLPEKGDFSRALYTFDIGQNDLTAGYKLNLSTEQVKAYVPDVLAQFTNVIKNIYAQGARSFWIHNTGPVGCLPYVMDRFPITAAQVDKFGCASPFNNVAQFFNHKLKEAVHLLREELPLAAITYVDIYTVKYYLISHAKKLGFKNPFSACCGHGGKYNYNMFIKCGSKHIVNGKETVLAKSCKDPYHRISWDGTHFTEAANKWIFNKIVDGSYSDPPIPLKLACKRTGH >KZN07028 pep chromosome:ASM162521v1:2:37773291:37774799:1 gene:DCAR_007865 transcript:KZN07028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSINNLTEKNTSEPSNTLDPEEFRRQGHLMIDFLADYYHNIENFPVRSQVPPGYLRDILPDAAPYNPEPIETILKDVQTNIIPGITHWQSPNFFAYFPSSGSTAGFLGEMLSTGFNIVGFNWISSPSATELENIVMDWLGKLLQLPKSFLFSGGGGGVLQGTTCEAMLCTLVAARDRTLRQHGRENIGRLVVYCSDQTHCALQKAAKIAGISPKNIRSIGTSSSTNFQLCPTLLDKTILSDVKNGLIPFYLCVTIGTTSSTAVDPLAPLSEVGKKYALWVHVDAAYAGSACICPEFRHFLDGVENVDSFSLNAHKWFLTTLDCCCLWVKNPSALIQSLSTDPEYLKNAASESNKVVDYKDWQIMLSRRFRALKLWFVLRSYGVDQLREFIRGHVEMAKYFEGLVTMNKRFEVVVPRYFSMVCFRVSVAAVISAGLQSEDINEVNRKLLESVNESGRVYMTHALLGGVYVIRFAIGATLTDYRHVKAAWRVVQEHADVVLGR >KZN05639 pep chromosome:ASM162521v1:2:25882084:25889563:1 gene:DCAR_006476 transcript:KZN05639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYEEEKRCPLCAEEMDWTDQQLKPCKCGYQVCVWCWHQIMDMAEKNETEGRCPACRAPYDKDKIVGMEAKFEKVTANNAKKQKPPKAKAKAKATEVKKDLTNIRVIQRRMAYVIGLPLSLADENLLQKKEFFGQYGKVTKVSLSRMAGGAIQQFIHDTCSVYITFSKEEEAIRCIQSVHGFVLEGNFLRASFGTAKYCHAWLRNMPCNNPSCLYLHTMGADEDSFGKDEAAAVHTRSRVQQIVGATHNMHRSSGNALPPPIDESYNCNNLSSRSVSKGLSLDIATSGVHATYLPLCKDEDGEARAASKITTFVDIVGRSCSNGIEKDGNVADDRGVENSRCDLSSVAYQKDNHFGTAHTSEMLPNKFSSSLLSDELPMNREFKEPFRDTSNLWGGGRSDAIPCEEFIEEQSSLTLDSDKQMLHDPSSVVRDDSLSLDSIRLKDCGSLGLSAPSLSPSSASTTSEDPRIYTWRHGESLNLSEYNVGNVVNNNMDAASITSARSMSDLYNERKFQNSAKSDRIYRSSNSFSNEEIVEHLRRLDDHDRPVEAQNPACKAVEDSIISNILSLDLDAGDDSSNSRPGVPGFFGQTDGQHSSSWSLYNNDQSMLSFTKQHTFVNQLCDVDSAFSDIGHDLKKCSAPKDSGENKHYSSISRHHASMAQGPTPPGFSLPSREPPPGFPACERTEQGFFSNSGTHMVKTSSFSKTHYRTPSTGNSSNNSEADIVDPAIMAVGRGKPPNGYTNSCFETRSTPASQSGAALDDNARYRLLMQQSNQEVKYPQTYMQQVPASNQGMRYSGQAGDVYSSWSDMYGISSSYMDQRQTYNPSSFTPFSQQKFTNGSLSNGYQPGLDNTHVRNDTSIAELQRNEKLGLNNCYTGYGDYMLQPSSGDLYTRVFGM >KZN05550 pep chromosome:ASM162521v1:2:24970196:24971842:-1 gene:DCAR_006387 transcript:KZN05550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVILSIFLLVSYVANGIEDGTCNLYDRRGLVETPAAGDAAGGAAAPAGGAAGPAGAAGGDGVFDISKCPNAKGDGSTDVTQSVQKSWDDACHSTANAKILIPQGEWLTGELNFAGPCTAPQPISIEIIGTLKAKPDCGAFPSGMWINIFQTGVKIFGGGTLDGQGCEAWKTKSPGGKALPDSLCITQCNASSAENINIVNSKGFNVKLVESHDFIADHLNISCAFDSPNTDGIHLGEITNATIQNTVIGTGDDCISIGDNSVDILVNNVTCGPGHGISIGSLGRYPDEKDVKNIMVQNCKLMNTTNGARIKTMHESPALTASNITFQDLTIENAFNPIIIDQHYFADKPGPSKVKITGVTFKNIKGTSLSQEVVTLNCSDAVPCEGITVTDVDFKYTGNCTNTTLVSVCANAKATFGGVMNPPGCPPA >KZN06190 pep chromosome:ASM162521v1:2:30866459:30871288:1 gene:DCAR_007027 transcript:KZN06190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGCAKGGCSSSCAEVGGCPSDYVALSVSVISLILLLARAASPFYIHKVRRPKGSSFWLPAIQLLASFDLLLSLVMSLRIFKYTSGHWWRSCYIWAVWFEGPLGFGLLLSSRITQAFQLYHIFLRRRLPPIRSYIFLPLLLLPWVALSAFIHLRRPLNGRCHMGVNYIIPSMSLHGLYIAALVAFTGAIHHIEFRFHELKDLWRGILVSATSIAIWIVSYVLNEILEETEWLQVTSRFMLLLSAGVLVLAFFSISSSQPLVAVMSLKNKENQDFSTMGWALGIPDTEQYREPAKILDPNEPLEKLLLDKRFLRSFMAFADSCMAGESVHFYDEVQQHDKIPVSDTVRRIYMARHIIQKYITAGASMEVNISHRSRQDILTTADLAHPDLFRNALNEIIQLMKMNLARDYWSSMFFMKFREEVNMKTVDHESEQLHGWNFSPRLSSVHCTDDPFHQHSGNIELQ >KZN06064 pep chromosome:ASM162521v1:2:29855727:29857516:-1 gene:DCAR_006901 transcript:KZN06064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNSSDDGATSTNATTPLLRSVVVTNGADNSIQDEDEERRRPTKPWQGEFAKSIIYAGLDAIVTSFSLISSISAGRLSSVDVLVLGFANLVADGISMGFGDFVSTSTEKDVAAKERSVIEWEVTNHRTPQKQDLLRQYQALGMAEEDATLVVNLLAKYKNILVDEKMSTEKRILPPDESEKPWKNGLVTFVAFLVFGSAPLLGFIILMPFTDNDTHKFIGACIMSAIALVLLGIAKAKIAGQSYLPSAILTLLNGAIAGGVAYLIGWTLKNVAGLED >KZN04037 pep chromosome:ASM162521v1:2:953139:954977:-1 gene:DCAR_004874 transcript:KZN04037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLIIALLIAFPLALYLSLNHIKKKDDLPSPPGPPGLPLIGNMHQLYKAPSNHELFWKLSKKYGSLVTLHLGSVPALVVSSSKMAKQVLKTQDAIYSSKPAMTGQQKMSYNGLEVGFSPYSEHWRDVRKFCTLELFTPKRAQMSVRPVREQEVYRMIGGLTEAASASKMVDVHRCFSDYASSIITRVAFGKRYGEGAKFHRLLSEIEALFSNFFVSDYFPMFGWIDKLTGMMARLDRTFVEMDMFYQELIDEHLKPDRSASTTDDVIDVMLNNKNSASFALTMNHVKAILLDIIVAGTGTTATVLTWAMTALMRNPGVMKKVQEEVRRVMGKKGKIDEDDIQNLPYLRAVVKETMRLYPPGPLLLPRKTMGSSVIGEDEDHMYKIKPKTVVFVSMWAIGRDPENWKEPLEFMPERFLERPEIDYKGQHFEYFPFGAGRRQCPGINLGVMNVELALANVLYTFDWELPDGMRIEDIDEETVNGLTLQRKNALCLRPKIYVCP >KZN07005 pep chromosome:ASM162521v1:2:37537955:37538929:-1 gene:DCAR_007842 transcript:KZN07005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPIHQANENSPYGTLTRQEFHVKHRVQNHQSFMLNNRNMKIFTQSWRPEVDESTRLRGFVGMIHGYTAESSWLFELSAVAIAKSGFLVSALDLQGHGFSEGYPGHIPNIQHVVEDCIQFFDSVRAENPGIPAFLYGESLGGAIAILLSLRQKKEWSGLILHGAMCGVSKSIKPIWPIEMLLPVAACIAPKWKIVITRPPESMSYKEAWKQKLAAKSPNRGRLASGKPLAATALEFLRVCEYIEKNCHEIEVPLMVVHGGEDRVCEAKSAQQFYEMVSSKDKSLEIVKGMWHMLIGESNETVELVFGNIISWICNRAAKYNQH >KZN07317 pep chromosome:ASM162521v1:2:39962762:39972989:1 gene:DCAR_008154 transcript:KZN07317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSLQLTRPVWCSNVCSDTPNLKLKPRLGFTRTTPHLSQSSSIIGYRGAGLLYQISAAADFSRKRNGKASNPRPRGSTSKRFSRKPQTRTSNQTKSQKGDDKREGSGTPLSNEYARHNVKAIKLNVDSDEETTVEITQATTAEEGPGMDDIVETVKTISHVGKLTKSTENGRISTVDEGSNESANEKQTAKSGVSAAAVDIGGRESKKLGVEIDGLEHTECANIDDDVKRNAVKLESAEGKKDLGSAGGKEDDYSLQAKLEMEVKSREQALQRLSGVNFSLGNKMFCYPEEVKPGQTIEVFLNKSLSTLNSESDLIIMGAFNDWRWKSFTLNLNKTRLNGDWWSCQVHVPKEAYKMDFVFFNGKDVYDNNDKKDYCIPIIGGIDVLEFEEFLLEEKRRELEKHAKEQAEKERHANELKRIEAEKEAREADRAQAKGEAERRREMLQGVIKKAVTSVHNLWFIEPREFSGGDIIKLYYNRSSGPLANAKQIWIHGGYNNWKDGLSIVARLDSSEIKDGDWWHTNVVVPDRALVLDWVFADGPPQHALIYDNNHRHDFHAIVPARGPEDKYWIEEEHEIYKKLQEERMLKEEAVREKARITARVKSETKERTMKTFLLSQKDIVYTEPLDVHAGSTVSLFYNPANTVLNGKPEIWLRCSFNRWTHRMGTLQPQKMLPAENGSHVKARVKVPLDAYMMDFVFSEREDGGVFDNKLGMDYHIPVFGGIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIIFPKYDCLNLSNVEEFKFHRSYFWGGTEIKVWFGKVEGLSVYFLEPQNGLFWAGCIYGCKNDGDRFGFFCHAALEYLLQSGSNPDIIHCHDWSSAPVAWLFKDHYVHYGLSKARVVFTIHNLEFGAHLIGKAMAYADKATTVSPTYSKEVSGNPAIAPHLYKFHGILNGIDPDIWDPYNDKVLPVCYTSENVVEGKRAAKEALQQKLGLKRADLPLVGIITRLTHQKGIHLIKHAIWRTLDRGGQVVLLGSAPDPRIQNDFVNMASHLHSSHNDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDIDHDKERAQARGLEPNGFNFEGADPAGVDYAVNRAMSAWYEGRDWFNSLCKLVMEQDWSWNRPALDYLELYHAARKL >KZN04101 pep chromosome:ASM162521v1:2:1925378:1925788:1 gene:DCAR_004938 transcript:KZN04101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTNTASVALFFALNILFFTLVSSCDTCSGPKPKPKPTLPTPYPANPSAGKCPRDALKLGVCADVLNLVHNVVIGSPPTLPCCSLLEGLVNLEAAVCLCTAIKANILGKNLNLPIALSLVLNNCGKQVPNGFKCT >KZN06340 pep chromosome:ASM162521v1:2:32048719:32051078:-1 gene:DCAR_007177 transcript:KZN06340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNEESTSIRRPMLNLPPRSSVENLFTGASTVSPGPMTLVSSFFSENDPDSDCRSFSQLLAGAVEAPVAADDSGGDLRFKMNRPSGLAVTQPSMFTIPPGLSPACLLDSPGLFSANQGAFGTSHQQALAQVTVQALQSHSQPQNQLEYPSLAAPAVSFPQFTASVSTTSAYQQMLTSVPDRSLVKQSSQLSQSDMRSQPSSLTVDKPADDGYNWRKYGQKHVKGSEYPRSYYKCTHSNCPVKKIVERALDGQVTEIVYKGQHNHQRPQSNKRGKDAGNESLSFHHDTRLVSEHQTGNLNDERSGYPLSVKDQELSLATHEQLSGSSDSEEVADSKTRVFERNEDEPEAKRRPTDVRVIESAASHRTVTEPRIVVQTTSEVDLLDDGFRWRKYGQKVVKGNPYPRFGYIINASYSLILPGIVRDFKLNLVM >KZN04125 pep chromosome:ASM162521v1:2:2343746:2347613:-1 gene:DCAR_004962 transcript:KZN04125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCRACAAIGCSTKVEVCSVFFDSTVKQVDGTAACKSLEDTIMEDSAEVASLLDAVESAEDKQASAALACPSKSSAECVNNDEDTSANSVRENPDPPSAISFAKNSQSENELNWELARRQLEVSSNLRKHLVPVERLSMLHCTFNTTVLAKREVILLRTINTVPESYCGTNSEAVASGDAYKTASGTAFENGMVEGCLVEVVVLIKKHGIRLRF >KZN06076 pep chromosome:ASM162521v1:2:29930472:29933805:-1 gene:DCAR_006913 transcript:KZN06076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQHIAIFTTASLPWMTGTSVNPLFRAVYLSKDEERIVTLVIPWLSKGDQEHLYPNNITFDLPSQQELYVRQWLEERIGFKSTFRILFYAGKFSKEKRSILAVGDITEIIPDEEADIAVLEEPEHLTWYHHGKRWKTKFSLVVGVVHTNYLEYVRREKNGRLQAFLLKYMNSWVVNIYCHKVIRLSAATQNLPRSVICNVHGVNPKFLEIGMKKKEQQEQLGDKVFNKGAYYIGKMVWNKGYKELLKLLHSHQKELKGLKIDLYGSGEDSDQVKEAAKKLDLQIQVYPGRDHADPVFHDYKVFLNPSTTDVVCTTTAEALAMGKIIVCANHPSNEFFKQFPNCRMYEDGNGFVEATCRSLDEEPTPLSDAERYTLSWEAATERFLKSAELSTAPKRNLPRTPKPFLSTSFSLSRNLEDASALMHYMGTALVSSEPDEEQRKELGMATPSTQKVFFME >KZN07560 pep chromosome:ASM162521v1:2:41823800:41824521:-1 gene:DCAR_008397 transcript:KZN07560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSETHTKGPTTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPNQKSLENKSSCDAGNEVVKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHISVKVLRFGMMGSAVGSVMGCLFLMLSMVNVIQIRLGMLSCGSRQTVQSVAALIVLVNSALAVYISTAVYAFLH >KZN03970 pep chromosome:ASM162521v1:2:82154:82390:1 gene:DCAR_004832 transcript:KZN03970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPTQSWFNLYVNSLITAILQNHNLTLQNIATSNLEEAIVQIKLIPPIVINSLNIGTVQKATSYSIQKVPVLSMPGS >KZN06885 pep chromosome:ASM162521v1:2:36602448:36602639:-1 gene:DCAR_007722 transcript:KZN06885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTHASFYVSGVKDEYVLRVNDNFVVFSGFHISTHKPDSDKQNTVHLREKEAARFIRPARVI >KZN04133 pep chromosome:ASM162521v1:2:2502921:2506744:1 gene:DCAR_004970 transcript:KZN04133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSIVMGRLADLLIEKPQILNEVSDEIQLAVTELMRIKTFLPDADSRIDVERICILVREVRELAYDAEHAVESFVIKASSTKKPFQWMNRVKFSGKMKDIQKKMSLLFNLFSDYNIRPISESSTSSNRGPGKLKRFYSFTTPEPEIFVGFHEDVECLVRHLVNEADDSYPLISICGMGGLGKTTLAQKIYNHSAIKTRFAGLAWVSISRKWQTDRVLQRILICLVPENKDSILNMDTDKLVEYMLQIQERKKCLIVLDDIWSTDAWDALKGAFPAGKSISKLMLTSRNAEVAEHVNLNGLIHQPECLSPEQSWELLKLKALHTGNCLDITRDVNRMEELGREMVEYCAGLPLAIVILGGILVTKPSLIEWEKVYRDSKSSLKAGKGLGEAYQREILSFLVWSYNDLPPQLKPCFLYLSKFGEDKCIKVRTLYQLWIAEGMVLSSDKRKGETMTQVAESYMGELVQRSMVQVTFNNVESSLTKFKDCSLHDLMRDMSLIQAKAEDFFEVIHFQSGNEFHLKSADSRSAYTRLVIHLDEEYSSKKANYYFSKKGNQKIYRSMLFIGDFGRRSLPRALGSHVGNFRFLKVFSVENYTKFSGASSHINFGRALGGLVYLRYLSVRGSNLLVFPSLQKLVLLQTLKFDMLNKIYVLPWLSRDVLLKLDCLRHLYLPKFQVDVMGRKSKFRFNGLSKLETLENFHTSWCEVKDLRELIHLRKLMLTVRGSFDILEEMMKNLVYIASSPSSCLRYLGVCIVDCDIELNNGLTILKQLVYAENLNLRELIIYGSIPEVGLIFPLRYVGDNNAHVSTVHIISLNLWESYLEEDPMPILEMLPMLGALRIFTHAYVGKEMVCSATGFPKLVTLILDSFPNLEKWRVEKGSMPILSWLRIERCNKLEELPEGLVFLESLEVLKIFRMSQDFNVRLIRDDGEQGPDFHKISHVPTIIIDDQEYN >KZN07619 pep chromosome:ASM162521v1:2:42233371:42234105:-1 gene:DCAR_008456 transcript:KZN07619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVWSPETASKAYIDTVKSCEIFQESSVAEFISAMAAGWNAKLIVETWSQGEATTSSIGLAIASYHTNGRHVCFVPDNLSRTEYLKAMEISGHSPEVIVGDPEEALQNLIGIDFLVLNCENDDFTRMLRLVRLSREGAVLVGKGAGSSRGSSQESRWRDVVEGGLELVRTVILPVGEGLDIAHVGGVKRSARNIKSRWISRINRQSGEEFVFRI >KZN05299 pep chromosome:ASM162521v1:2:22323381:22323833:-1 gene:DCAR_006136 transcript:KZN05299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFLRSYIRYFTKVGEYSCVFGYIKVLVSQAHHVRAVEKLVKKKELFMDTYRELRAVHLHPSGYSSARRYLMMNSVTLFYCSQLVDRCRTLDLNWREAINDEDFAEEFWRNGASHLLDKEYLVFNQVRAAAVNCFERDLYKFKHFIQDL >KZN04092 pep chromosome:ASM162521v1:2:1833951:1840367:1 gene:DCAR_004929 transcript:KZN04092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACHSDDPRIHAIKSKIRVVPNFPKPGIMFQDVTTLLLDPKAFKDTMDLFVERYKNKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPRKLPERAGAEVVECACLIELPDLKLAKLLLLGKNCLPQSRLMKYALLDQAVQQLVFSSRI >KZN05182 pep chromosome:ASM162521v1:2:20894586:20895067:-1 gene:DCAR_006019 transcript:KZN05182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQHHRSQSPLSNESSIIECMNAKACKCSPQEKKDKIEKYRSKRNLRNFNKKIKYVCRKTLADSRPRIRGRFAKNSDENDKGVHLIDEWNHIAADNEEDDENWISFVDAFSANVIP >KZN07420 pep chromosome:ASM162521v1:2:40727887:40732370:1 gene:DCAR_008257 transcript:KZN07420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFDMKVVLSLVLMFSYVANGAISFGRGHYDRRALMGAPLPTGTASAGGVFDLSKCAGAKGDGVTDMTTQLNQAWKDACSSKTPAKILIPLGNWLVGELKFLGPCASPVTIEVQGTLKAKPDLASFPSGMWINIFQASGVHITGGGTLHGQGEATWKTKKPGDKAFPDSVVFSQCPNSGIENVHIVNSKGFNLKAVQSDGFKVNSVTISCGLDGSNTDGIHIAKIKDVSITNTVIGVGDDCISVGDDSVGVTIDKVTCGPGHGISIGSLGRYPDEKDVRNVAVTNSIFKNTDNGARIKTLHESPSLVASNISFIGITMDNVTNPIIIDQNYFANKPGASKVKIAGVTFKNFKGTTNSIKAVTLKCSTAVPCQGVSLTDIDLTYCGKDPAAKLTSICENVKPTVGGKMNPPESMMNRFDLRVVLGTTLVISYVANGAINFHLRYYDRRVLMDKPLPTRARSAGVPDVSGATVFDISKSAGAIGNGQADMTVGTLKAKPDIGSFPSGMWISIFQASVHIIGGGLLHGQGEAVWKTKKPGDKAFPDSVVFSLLILSSTGASNVKITGVTYKNFTGSTNSIRAILLKCSSAVPCEAISFTDINFTYCGKNIVNNKLKSLCENVKPTFAGKMIPPGC >KZN05705 pep chromosome:ASM162521v1:2:26481681:26484191:1 gene:DCAR_006542 transcript:KZN05705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEREEIERESKQLQDGILIVDYKLTFPSGMATAVLINGFHTMGDESAKKQVAGFLRYFSVSFVWGFFQWFFTGKEECGFAHFPTFGLEAYKNTFYFDFSLTYVGTGMICPHIVNLSLLFGAVISWGIMWPLIEKHKGNWYPADLPESSMRGLNGYKAFIAIALILGDGLYMFTKILFITCASMHGRMKNKNKHIAEVDDQNKTPNDLKQNELFLRETIPFWVAAVGYVVFGILTVIGVPFIFPELKWYYVIVAYIFAPSLAFCNAYGAGLTDFNMAYNYGKVALFLLAALVGKEHGVVAGLAGCGLIKSVVSVACILMQDLKTGHLTLTSPRAMLLSQSIGTAIGCVVAPLSFMLYYKAFDVGNPTGEFKAPYAVIYRSMAILGVEGFSALPDHCLQLCYGFFSFAICINLVKDMLPKKIGKWMPLPMAMGVPFLVGSYFAISMCIGTAIVFTWEKLKPRKAELMVPAVASGLICGEGLWILPSSILALAKIKPPICMTFLAS >KZN07364 pep chromosome:ASM162521v1:2:40342529:40344815:-1 gene:DCAR_008201 transcript:KZN07364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCTAYNVKSLNSSYSVSTPAKSHLGFHQNQVFFYSSGSKKTNYRRSGSSVITCADSGTIVIGLAADSGCGKSTFMRRLTSVFGGAAEPPRGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPKANDFDLMYEQVKAIKEGIAVQKPIYNHVSGLLDPPELIKPPKILVIEGLHPMYDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVEFFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFAYGPDSYFGNEVSVLEMDGQFDRLDELIYVESHLSNISTKFYGEVTQQMLKHSDFPGSNNGTGLFQTIVGLKIRDLYEQLTATKAGAPLQATKA >KZN05302 pep chromosome:ASM162521v1:2:22367242:22371984:1 gene:DCAR_006139 transcript:KZN05302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLFKNMTFAAHTSAAVGSIGLSVALTYPIDTLKTLIQVGSDSSKQLTPAQVLHRVRTLSGNAGLYNGFGWLALGRMPGLAARFGTYEILTAFYKDGREDNYVYASEALMAGVATGAVVSVINTPFELLKIRSQVTSASLVPSTTSAVATNNVSPSIARLLRGHCMDMKSLNKSVGLLSTLNTKYPNMISALKDYPWMMTGSGRPPAVYNVRNPRDIVTLEGWGALWRGLRSGIFRDSIFCGVFFSSWQVLHQAMLDWKAVSMDPPPRYDEEIGPLSPLSVSFAAGISGSVAAAASHCFDTAKSRSQCIVVPKLLFSALRGGPAPNLTLSSLE >KZN04195 pep chromosome:ASM162521v1:2:3589952:3598312:1 gene:DCAR_005032 transcript:KZN04195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGSKRDLPKTISPPPSPKKAKAEEEEDEECCFSGDPVPADEALRRWPQRSETEVLQAKCHYKQAIVDGIVYNLNDDVYIQAEDGKPNYIGKIVEFFETIKNEFYFTAQWFFRPEDTMIKNKSDLIHKKRVFFSEAKDDNLLDSIIQKVKIAQLSSDFEPAVKEKLISSSDLYCDMGYSEQFFTFKRINAGVSKVSVKASSPVSREIDVVSKVHEKPIKVQEASMKVLDLYSGCGAMSTGLCLGAQLSGQRLVNRWAVDINIHACESLRKNHPETKVRNEAAEDFLCLLKEWKKLCIEFGVLGSKQNEDESSEVISSECDEDESNCNKSSVSRGEFEVQRLLNICYGDPNKVQKPGLYFQVRWKGYGPSDDTWEPIDGLGNCKERIKEFVSSGYKSMILPLPGDVDLICGGPPCQGVSGFNRFRNRVDPLEDEKNKQLIVYMDIVEYLRPRHILMENVVDLVKLAGGALACYAIARLVSSNYQARLGIMAAGSYGVPQCRMRIFLWGADIGEASLSEVLPQFPLPTHKVDGEGITPNEFKEIIVGRDNELPCNLERSNFLGDAISDLPEVTNYEDRDAREYGTVPCTDYQKLIRLGKQDLFCFENAVKNCSQKKMLYDHIPLKLNDDDYTRVCLIPKKKGANFRDMPGVLVGKNKKVEWDPSVPREYLPSGNPVVPDYAMTFKGGRSKKPFARLAMDEIVSTVVARAQPHNRAMLHPKQDRVLTIRENARLQGFPDCYKLYGPVKERYTQVGNAVAFSVSIAMGYTLGKAIEGVDSSEPLKLPFKFPDCLGQLSTLNKKKLERSI >KZN05665 pep chromosome:ASM162521v1:2:26140162:26151796:1 gene:DCAR_006502 transcript:KZN05665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLINVASCNLNQWAMDFECNLKNIKESIATAKKAGAIIRLGPELEITGYGCEDHFLELDTITHAWECLKEILVGDWTDGILCSFGMPIIKGSERYNCQVLCLNRKIIMIRPKMWLANDGNYRELRWFTTWKHHEELVEFRLPAEIAEAISQDSVPFGYGYMQFIDTAVAAEVCEELFSPMPPHTELALNGVEVFLNASGSHHQLRKLDLRLRAFISATHTRGGVYMYSNHQGCDGGRLYYDGCACIVVNGDVVAQGSQFSLKDVEVVVAQIDLDAVASLRGSISSFQEQASSKPKVSSVPVHYKLCQSFKLQMSLSSPRKIKYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIENGDSQVKADAIRIGNYTDGQFPSDSKEFAKRIFYTVYMGSENSSETTKTRAKVLADEIGSWHLDVSIDGVVSALLTLFQTLTGKRPRYKLDGGSNIENLGLQNIQARIRMVLAFMLASLLPWVHNKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRIFLRWAAVNLGYPSLADVEAAPPTAELEPIRSDYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFQNLCYKWGGRLTPSQVADKVKYFFKYYSINSHKMTVMTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDEMVKEIDGDKVTLLKATEKDHQDVTSVGGMGVIAAGSGDPRAGV >KZN04865 pep chromosome:ASM162521v1:2:17404093:17406045:1 gene:DCAR_005702 transcript:KZN04865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNIGNQPGVPRPPQNTLQSPFGDAFYGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYIILAGFSLGLHGKFSPEALNWLFAKGLVGWFLQVSLLKMSLFSLGSGEAPLLDIVAYAGYTFAGICLAVLGKMFWSYSYYFLMPWTCLCMGTFLVKTMKRVLFAEVRTYDSSRHHYLLLFIAVAQFPLFIWLGNFWNYSFSASGAVPRLTRICNHGTFVLEM >KZN04317 pep chromosome:ASM162521v1:2:7704279:7709908:1 gene:DCAR_005154 transcript:KZN04317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGAVEVDLMVIEIMEVDMEILVMEGARAMNMEVVIMEVTTAMELKEVELEAVKEVGLVKMKLLEKGGHRGGGYGGEGGNRRVVVVMTKVMTEAALKEVEVEAKVGLVKMEVEMGKKEECTSHRESMGTEQGNPMQCGSRATHGSNSAVQAGSLDMRAEWQMGKVSHGTDLTDDDDDFVIPVEHFGKTKPRRMQSKGGKETKLYADAERAKGSRRKVVNKGMGKKGRSTAGVNRKCSPSNIKDILRNLTEEQANWVRSTGCGELLNFDMVCYAHMLGYNLGQAFDVENCAPVLKCCTIEINDRLVNNVLGIPMGELVLTASETEPNVAVWCGQFDGKAGCEISPLTVRNKLLEREVADKIFKLNLLVMLYNFFIEGHQIRYLNRDVIKSDLDLDACAQYNWCRLLIDKLQSSYAYWVAEKKRSFTGSLPFLIDGIEEYIAPQMEENSMNDLMKDIANQCLSTIRAGNEHSKQGEVGILGKIVVVLGIANKIHIPPICAQNKSLSMTETVTAKVGDCEK >KZN04932 pep chromosome:ASM162521v1:2:18080386:18080973:1 gene:DCAR_005769 transcript:KZN04932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQITSHHHSPPSTGKVILSDGTVHDYESPLTVAELMLEHPQQVVVEYKPTSHAKRPSPLPADEKLDPHKIYVMLPVKKGKPASLASLESRQLIFTANNLLKSSKFFSKTSGVLPFFVKICPAPGNWVAEKNAVVVKKGGSEGNELPEKEDYFGKMLEDTPELLSRQVSCKGWKPSLDTIVEKGINPRVRHWLY >KZN05265 pep chromosome:ASM162521v1:2:21886218:21886780:-1 gene:DCAR_006102 transcript:KZN05265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEKWTAPAGTWFLLPEVSAFLSKSVDWAFLHHHWKLLDRHEEGGQFKCLLKTISNVSVELPPEHASELALNLLKRVREFNMHLTEVYFYRNLRPMLTEGTTLKRIIVQDRRAIGCGKPMEDSLVQQLLLQPATIQELRYLKLLNS >KZN04739 pep chromosome:ASM162521v1:2:15249367:15250035:1 gene:DCAR_005576 transcript:KZN04739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEEQDYSRSAESHRIVIPNEVATPRSSSRKQSLQRRCWLCCAFTSTLIFITGIVLLILFLTVFKVKKPKVSFTSLSIAGLQGVNPLNLGDSANLTVVVDVSVKNPNVASYKFKNGTTEMYYKKVQVADAHIPAGNAKARRTMHMNVTADFMLSNIASVDGLVSDLMNGSLPLQTSTSLKGKVNIINIVKKKVAVKVNCSLTFLIANQTLQDLKCKSDVDL >KZN06422 pep chromosome:ASM162521v1:2:32812208:32816010:1 gene:DCAR_007259 transcript:KZN06422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGDRRSFRDIFCDAAAGASAGAIAATVVCPLDVIKTRLQVHGLPNASHSNRKGSVITTILQNIIRSEGLKGLYRGLSPTLAALLPNWTVYFAVYGSLKSLLRLHGDDNGQLTIGANMVAASGAGAVTAIATNPLWVVKTRLQTQAMRQGIVPYKSIFSALRRIAHEEGIRGFYSGLLPSLVGISHVAIQFPAYERIKSYLAKRDNTTTTELSTEKVAIASSLSKISASVMTYPHEVIRSRLQEQGQVRNSKQQYKGVIDCVKHVLQKEARSTRKRTSKLMARSDLTHLAKDYIRSSNGTGEQGGTRGHDDSITPFVGRGHGLDDDSNFCASVTVIQ >KZN04427 pep chromosome:ASM162521v1:2:10582489:10585608:1 gene:DCAR_005264 transcript:KZN04427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSHWNEHRDNMWGSAEVLTVATPPQSEDLRYLKSSALNIWLFGYEFPDTIMVFMKKQIHFLCSQKKASLLGVVKGAAKEAVGAEVVVHVKAKGDDGGALMDGIFRAVKAQSGLDGDAPVVGHLAKEAPEGNLLEKWAEKLQNERYMVADISNGFSDLFAVKDIAEITNVKKAAYLTSAVMKLFVVPKLEQVIDEEKKVSHSSLMDDTEKVITEPAKIKVKLKAENVDICYPPIFQSGGEFDLRPSATSNDHNLFYESTSVILCAIGSRYSSYCSNVARTFLIDANPLQSKAYGVLLKAQEAVINALKPGRTAAGAYQAAVSIVEKEAPELAANLTRTAGTGIGLEFRESGLNLNGKSDRILKAGMVFNVSLGFQNLQTGTEHPKTQKVSMMLADTVVIGEKGPEVLTSMSSKAAKDVLYSLGDEEDEEEEKERPKVKSEANGADPNSFKTSLRSLNQESTKEELRRQHQAELARQKNEETARRLTGGGPGTVDNRGSVKSSGDMVAYKNVNDLPPSRGLVIQIDQKNEAILLPIYGSLVPFHVATVKSVTSQQDTSRTCYIRIIFNVPGTPFNPHDSNTLKYQGSIYVKELSFRSRDPRHSSEVVQLIKTLRRQVASRESERAERATLVSQERLQLAGAKFKPIRLSDLWIRPVFGGRARKLSGSLEAHTNGFRYSTSRADERVDVMYANIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYCEVMDVAQTIGGGKRSAYDPDEIEEEQRERARKNKINLDFQNFVNRVNDLWGQPQFKSLVLEFDQPLRELGFHGVPNKSSAFIVPTSSCLVELIETPFVVISLSEIEIVNLERVGLGQKNFDMAIVFKDFKRDVFRIDSIPSSSLDGIKEWLDTTDIKYYESRLNMNWKTILKTITDDPQQFIDEGGWEFLNLEVSDSDSEKSQESDQGYEPSDVQSDSESEDDDDESASLVESEEDEEEESEELSEEEEGKTWDELEKEATNADRERGAESDSEEERNRRKTKALGKSRAPERRPSGGTSFSKRARFR >KZN05840 pep chromosome:ASM162521v1:2:27674313:27675566:-1 gene:DCAR_006677 transcript:KZN05840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTTSSTAILRRHPLQQHLRSFVDARIKWVRDPYLDNAVEKEKNLKQLISLKNIILSHHSKTLPVAAVSGHLKLPTTASKFIDKYPSVFNHFLSLKPLSHPQVRVTKQALSLHKLETLICNSAKQKLDSAERLVKLLMLTKRNKLPLFVIDKLKFDLGLPFNYVLDLLPDFPDYFQIVSMENFGFGLELVSWRKDLAFSVMELRMREKGRIPIRFSMNFPRGFDLQKKVRDWVAEWQNLPYISPYEDASYLGPNTDQAEKWTVAVLHELLHLCVSKKTEIDNVCCLGDYLGFGNRFKKAVMHHPGIFYMSNKIRTQTVVLREAYRKAALIDVHPLMEMRYRYIDLMNKRVKRNPLQVRPDGRKNLSVFSAREGKENYSIIREQDVESKLDCSSVSEVQSSDNEVYMMKSKKDRIVD >KZN04260 pep chromosome:ASM162521v1:2:5450667:5452462:-1 gene:DCAR_005098 transcript:KZN04260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIYKAIDDEYSTDIVKLLSETYEAGINPDHKGVLTLILAIKRRDKDSVLGLLKWRKHLVTFAEVDGWTPLHYAAYHEFVSILGPLIEAQKDVGYQFVYGDTVSTPFHVAVEHGYTSTVIQLVKLWPSTSSAYTAVNKNSRNILHLAAAKNNKHMVQGILKYCPQKYKDQLLQQQDVNGDTPLHLLISNGCFVPELIEHKGLNTMVKNKKSWTPRDMLYFKDDIIAEQVKIKIALDDVQSNSSRKFWRKSMKKDTDILESSVLPSKREKKDFIFDKYTKILIDEKNAQMKKDLERYKKRTNTQIVVSALITTVTFTVGFTMPGGLHQSGEVDEGLVILSKKTAFNAFMVSDALALLLSTCSLFLYFLESMYEDPHQVSKLNAASTGLNIVSVMAMMLTFITGTYVVLSHSPAIAITVCLIGSFFFLFIIVLLIKMIYDRHVKRNAD >KZN05650 pep chromosome:ASM162521v1:2:25983827:25984156:1 gene:DCAR_006487 transcript:KZN05650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMDTYSVFFQEKHETEKDRSEDSGEFVKESRGFEEATTKVRIKVVLSKEELEWLVLELENGKNEKSLEDILEEIESSRESYRGKIVSWKPSLESIKESPEVVEMER >KZN05971 pep chromosome:ASM162521v1:2:28809954:28811205:1 gene:DCAR_006808 transcript:KZN05971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFIVVVLVVAVMLNFQVEGQGIPPCVTNLVGCVDYLNTTTTPPATCCVPLKEAITDQLPCLCNVYNDPAFLKSLGINVTQAIELPARCGIAFSISECSGSPAPSPFLISPMPQMQPGGSTTPGNPTTPSAPSPSSAGQSAAATFSCLFIMAAAVLYLA >KZN07414 pep chromosome:ASM162521v1:2:40692218:40693706:-1 gene:DCAR_008251 transcript:KZN07414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLIRTGSSGSLPVHSSFVPTSPRVSVPSRYSGEKTKCSPTISLHFHANQTPIRRVSSESDLKLSGTFAKLTRERSQSFPAIIPEEDYFSDVGGGGALTLTENGFNHENYTAVDDFAFSGDGIGKGRVSGGSRGGNGFGSGGGSSDRKDVGAYYQEMLKSDPMNSLLLRNYGKFLHEVEGDSVKAEECYGRAILASPGDGEVLSLYGKLIWDTQRDGERAKSYFDQAVNASPDDSLVMGSYAQFMWEAEDDEEDAGEEIEMSRAAMVEAY >KZN04559 pep chromosome:ASM162521v1:2:12792304:12794079:-1 gene:DCAR_005396 transcript:KZN04559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESMWTEEDKDMAVAVLGNCAFDYLISSSVSTDCSFMAVGNDENLQNKLSDLVECPDLSSFCWNYAIFWQVLRDKSGEVVLGWGDGSCRELREGEESEVDGILNLRCEDESLQRMRKRVHQKLHSLFGGSDDECHSIGLDRVTDIEMFFLASMYFSFPHGEGAPGKCFRSRKHVWISDALKSPSDYCFRSFLAKNAGIQTLVLIPTDVGVVELGSVRTIPENIELVQSIISAFSSSPSVCKSNIPTVAPLMREKGDGKTQFLDGGVVERPRITKIFGQDINSNRSELRPKLAVRKPDERPWDVYANGMKPQFMTTRNDNHGLHGTQFTNGKQGNTVEIYSHPQTPTSNIFKRGAHEEFGFKKFKTQIPAQVNIDFSGDKTRPSQPVIIESEYSDVDAAYKEEQAALADDKRPRKRGRKPANGREEPLNHVEAERKRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITELQKKVKDLESIGSTSRDASSSDANPNGIPTPEFHNQVSNIEIQAADDIVNVRVTCPLESHPASRVIEAFREAKVSVVESKLAAAKDTVFHTFVLKTEGTEQLTKEKLLAAFSREANSL >KZN05140 pep chromosome:ASM162521v1:2:20538163:20540514:1 gene:DCAR_005977 transcript:KZN05140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGEGAAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSAVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAERYKAEDEEHKKKVEAKNSLENYAYNMRNTIKDEKIGSKLSASDKKTIEDSIDQAITWLDNNQLAEVEEFEDKMKELENICNPIIAKMYGAGGDAGGPVGDDAPSGGASTGAGPKIEEVD >KZN05073 pep chromosome:ASM162521v1:2:19647800:19649883:1 gene:DCAR_005910 transcript:KZN05073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPARNNASRVCSIMDHSNSKGLWFGDNPLKFYVPSLLLHLSLSINILTKCFHFFLKPLGQPTIISQTLTDVFAIKKMDNVGSIQLLACASFVGKVLGTILPPLCCRMPIRDALSLALVMNTKSIAELGFMIQMKHMNQLTAEPYTIMVISVVVITGVISPIVKFLYDPSRRYLAYRRRTILHLRRNEELRVLTCLHSPENVQASNPTKESPINLVVLHLVKLIGRASSLLVPYRQREKPSSKRSESEQIFSAFRKYEQLNYGSWIYGETVETSHAFRNLNKKVLDKAPCSVGVLLDRVKQKNPRYVLSEQLLQKGPDDREALSYGQRMSSNSTIELHLVRFITSNSQNIMGGKERSKMLDDNILSDFKHNTMSSKRVSYQEEVVSSGKDVVSSTRSVGVSHDLVLVGRRHGESLLMYQLKTWRDRGELGEVGETLAYPEYNCEASVLVMQHQTKLWGLHDPEESTHLRKCDF >KZN04934 pep chromosome:ASM162521v1:2:18125632:18131868:-1 gene:DCAR_005771 transcript:KZN04934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENSVPERSLQVFSDVRFVLLGFDLLNKTRVLSLLVSGGGVDAGQYGPDCTHVIVDNLLYDDPPCVAARNDGKALVNGLWVAHSFELGMAVGTDSVLYKPPRDQNGIPGAQYLVICLTGYQRQDRDDIMTLVSLMGANFMKPLISSTVTHLICYKFEAFPIDPYMFANPAREPVDAVTTLHSILLHDDLQDFMGDKYKLAKKIKKIKIVNHLWLEDCLKAWKILPELDYDKSGYEMEVLEAVAKDSEEADVSLKNQQIPNSLRNHLDTSVSSDRSNFINANNMVSIAGRERLSGRDLDSTDVPATVGNDFGSIFGSGRSPLSDSRKSSLRLNSSEATQTLDSGKGTGFLSTGAIIKTGRRDVNDEFSFPFVPAGSQEMSRRELLDSASLISGQNNTSDKVLYDKDAHDLIPASASSPIYRTTSPRLSSRISLGAEWKSAAFETPISKATNLETSQDATLEETVQINYVHSEMGSLHGKPKNRDCLDGQEHSCDEVPNNSSSRSQMKETERCNGPSDASMSAHCKPPVNKIYNRKTWSKGSTTNLKSYLNNSLPEDNPALSYIGVEETANYKKIEILPSAANCIESRAAEVDVLQSRNEVQRKLTSVDDETEALVEKENNVVKAVGDKNETSTGESDKSEELFTNGNIGVKFRRHGTKTSGNEKDGDKQEKVACGKRKLGKSADMQILSKEIASRRKNRSSNEQKNTVIDMDPENEFTEAVFVKNNGEAEVEISGKQLGVRSKKTINKNEDASKLNKAATGGKRKLTQSVHLEKTVKEKMSKGKRCRQNKWNETTAPLETRKNNKEYEFKEICEENAGEVEPETRGDLGPEDKPEVCSSKNDSYALAVPSNTTAAGGKVNDSAEKNKIFCRKRELGEPMNMKSLNDSRSTGKKNLSHKIKKGKCISVTKLAGSPKAEDEKNEAASVNKLTKSMENDRKSVLNHVGDQSARHGINQSMKLDSYELSRNLDSTKSNSRMTVKMDSKPEPIWFITSGDKSQKKEFQQAIRKLKGNLCKDSHKWSYQATHFIVPDPLRRTEKFFAAAASGRWILKRDYISDSNKAGKFLSEEPYEWYKSCLSEDGAINLEAPRKWRLQRERTGHGAFYGLRIVIYGECIAPSLDTLKRVVKAGDGTILATSPPYTRFLESGIDFAVVSPSTPQTDMWAQEFLRHKIPCVVADYLVEFVCKPGYSLKEHVQFNTDDWAEKSLNKLVNQSETNEWQKDPANDGDSPVLPSPGATMPSNCHGDSDLLCQVCGSPGRREEMLICGHVNKSVGCGSGMHIDCCDSSLPNVPNRDWLCPKCSKSKSRKRTPKSAKKRTSVSKRK >KZN04423 pep chromosome:ASM162521v1:2:10547815:10556422:-1 gene:DCAR_005260 transcript:KZN04423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDGSNEKESLRTGSDVGRSLRSNFGHLSSSFRNIITLSSLDDNGDDQKSQECAEFSKLSSSKCSRSSSFDEDNKNTPDAKMKQGVDVTKLDALERHMLIEKLIKDAKNDNLQLLQKIRKRMDKVGVKLPTVEVKYTNLHVEAECEVVHGKPLPTLWNSLQSMVSDFAKLPRLKPKAAKIDIIDDISGIIKPGRITLLLGPPGCGKTSFLKALSGNLDKSLKISIASGIQPVVTGLAIRQEIMNEISRKEKEAGVFPDPDIDVFMKAISVEGQKETLQTDYILKILGLDTCADVLVGNAMKRGISGGEKKRLTTGEMIVGPSKASFMDEISNGLDSSTTYQITSCLQQLAHITDATILVSLLQPAPETFDIFDDIILMAEGKIVYHGPCSRILEFFEGCGFRCPERKGVADFLQEVISRKDQAQYWHQSAHSHSYVSVRTFSEKFKQSPFGKKLDKDILEKNVMSENHDNSISFRLFSLSKWTLFKACMSREFLLMRRNSFVYIFKSAQLIIIASVTMTVFIRSRIKINALDADKYLGALFYSLVIILVDGFPEVSMTVARLAVFYKQRELCFYPAWGYAISAAILKVPLSLLEAFIWVCLTYYVIGYSPEPERFFRHLILLFAVHWTSISMFRFIASLLRTVVASTTTASLSILVVLLFGGFIIPKSSMPFWLEWAFWLSPLSYGEIGLAINEFHAPRWQKLGSTNTTIGITTLENRSLNFDGHFFWISISVLFGFILFFNVGFLLALSFLNPPGSRAIVSSVKLSQIQRSEESTNTDYHDEEKCSNRGKAVLPFEPTSLVFQDVQYYVDAPTEMRERGFSQKKLQILHDITGALRPGVLTALMGVTGAGKTTLLDVLAGRKTCGTIKGEIKVGGYPKIQETFARISGYCEQTDIHSPQVTVEESIIFSAWMRLHPQINAQTKYNFVKDVLQTVELDGLKDALVGIPSVSGLSTEQRKRLTIAVELVANPSILFMDEPTTGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELILLKSGGRVIYSGSLGQNSSNRIPGVASINKNYNPATWMLEVTSKSAEAELGLDFAQVYQSSALHERNKEMVKSLSIPPSGSVDLLFPTRFSQNSWGQFKSCLWKQHLSYWRSPSYNLMRLMFMIFSSFLFGLVFWDQGKKINNQQSLFNMLGLIFSATIFCGINNSSSVIPYITTERNVLYRERFAGMYAPWAYGLAQVTIEVPYIFVLSFVYVIITYPMIGYYWSAYKVLWYLYSIFCTLMYFTYMGMMLVSLTPSYPLAAIISSSSYTMLNLFSGFIIPQPQIPKWWLWLYYLMPTSWTLNGMLSSQYGDLEDEIIVFGETKTVAAFLEDYFRFHRHRLPLVGAMLILYPIVFASIFAYAIGRFNFQKR >KZN06561 pep chromosome:ASM162521v1:2:34023070:34024804:1 gene:DCAR_007398 transcript:KZN06561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSENLKRVEFTIEDIFKGTGNFSTANKIGEGGFGTVYKAKLKDGSFVAVKRTKKKLKIAFCHAGICGKGLEIAERLDIAIDVAHAVTYLHNYTDPPIIHRDIKASNILITDKLRAKVADFGVARLAPHDLEATHISTEVRGTAGYLDPEYLMTYQLTEKSDVYSFGVVLIELITGRQPIEQKRPVNERLTIKWLVPLNRKVSDISLSVNAVKL >KZN04667 pep chromosome:ASM162521v1:2:14402872:14414291:-1 gene:DCAR_005504 transcript:KZN04667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQSKTENSNSDIEGAPDLGSDDVYGVWKIDDTDHSFSSQPFRIRYARQDVLLSLMVSFNLPHDISKIRNQGVSTTAVILKFELMYAAVLGNRSTLQASLDVPAAVHEFRIPPKALLGLHSYCPVHFDSFHSVLVDISLHITLLKGGVHNSSTKPPRCSTLISNMSGVSFLKQPSPPHTLLRGAGYVVLVKALFGARDLFLEDLHKLSKGISKTINFTNLTSKFNEKNLPPKVGLGTSDAEVRKLASSISLNLVEKDNGNIDFRSDFIQSLSRDELFAAFHSLGDQLLYLWNVFLQFHRANKIKILEILRDTWASDRKAEWSIWMVYSKVEMPNQYISSDIDGASQQALRRKALALRKLNEDPAQAAATRAELHRRSIAQMRINNRSIQDMHIFGDPSRVPIIIVERVANAPRRTASGDSYFSYLDQKHTFGMQSETGSKSVKKLSGTAHKSSRNLKIAIFVHGFQGHHLDLRLVRNQWLLMDPKIEFLMSEANEEKTSGDFREMGRRLAQEVVSFVKKKMDKASRSGVLNSIKLSFVGHSIGNVILRTALAEDIMEPYLRYLYTYVSISGPHLGYLYSSNSLFNSGLWLLKKLKGTQVIHQLTFSDDVDLRNTFFYKLSKQKTLDNFKNIILMSSPQDGYVPYHSARMEMCQASSGDYSKKGKVFLEMLNNCLDQIRAPSSEQRVFMRCDVNFDISLQGRNLNTFIGRAAHIEFLETDSFARFIMWSFPELF >KZN04951 pep chromosome:ASM162521v1:2:18297574:18297855:-1 gene:DCAR_005788 transcript:KZN04951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASLCNVKLISAFVVEQVCVASKRGYALTSGSVMRSGGAMMSKKSGEEVAQVNRSWGPDPVTGYYRPEGVPSQVDAAEMRDVLLKQKARRN >KZN05185 pep chromosome:ASM162521v1:2:20913858:20920372:-1 gene:DCAR_006022 transcript:KZN05185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKPNQAPTSRDSRGSLEVFNPSTYSNPATRASRPIPHPLSIPATPELPPPQSVAKFDDQSVSTSWMALPTPPAKQSLATIINDGKKSPAVGNAGGEVGAAAQRAAEWGLVLKTDEETGKLQGVKVRNSGGDTGSVGAAGTSRRTSGNSMRDSDDLSDDGGNRGNIPRVSEDLKNALSTFQQTFVVSDATKPDFPILYASAGFFKMTGYTAKEVIGRNCRFMQGADTNAEDVGKIREALQEGKSYCGRLLNYKKDGTPFWNLLTISPIKDEDGNTLKFIGMQVEVSKHTEGAKENSLRPNGLPESLIRYDARQKEMATSSVTELLQAVKRPRALSESTNIRPVITRKSAPGSDQERLDALGKRTTENMAPIHPSRRNSHTGVRTTMQQINEVPDKKIKKTTRRSFMGIIKKNRASTDEFGTGGFEEDSDDDDYRPESLDNKARKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIRDAIDNHKDVTVQLINYTKTGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSKHVEPLQNSIPEATVKENINLVKETAENVDEAVRELPDANSTPEDLWKNHSKVVQPKPHRKDSASWQAIQKILNSGEQLGLKHFKPVKPLGSGDTGSVHLVELCDSGEYFAMKAMDKGIMLNRNKVHRACAEREILDMLDHPFLPALYASFQTSTHICLITDYCPGGELFVLLDRQPTKVISEDAVRFFAAEVVVALEYLHCQGIIYRDLKPENILIQSSGHVALTDFDLSCLTSCKPQLLIPDINNKSKHKKGPIFMAEPMRASNSFVGTEEYIAPEIISGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDLKFPGSKAVSLSAKQLIYRLLHRDPKNRLGSREGANEIKQHPFFRGINWALVRCENPPKLDAPLFGTEDENEVTEVDPGLQDLQTNVF >KZN06488 pep chromosome:ASM162521v1:2:33303289:33305187:-1 gene:DCAR_007325 transcript:KZN06488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHAIFLSMLCILLGLAKPSSSATDTFVFGGCSQIKYAPGSPYEYNVNSILSSLVNSASSTSYNNFKISLPGSTKADIVYGLYQCRGDLSNSDCRDCVAHAVSRLGVVCPGSSGGALQFDGCFIKYDNMTFFGVQDKGVVMKKCGPSIGFDSDGMTSRDSLLGYLTAGGQYFRVGGSGNVQGVAQCVQDLSMSQCQDCLAEATLQLKNQCGSAAWGDMFLGKCYARYSSRGDHSRDHDSHDDNDNDDEMQKTLAILIGLIAGVALVIVFLSCLAKLCDRKGFFHISSKEDPHSLKAEIQEDRYIEARERK >KZN04652 pep chromosome:ASM162521v1:2:14244950:14259151:-1 gene:DCAR_005489 transcript:KZN04652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIRISLAVVPKVKEKRQQQLMSLTVTLRNSRGHVLRCSHYVPSIFPDNALLPCVIYCHGNSGCRADANEAALILLPFNITVFTLDFSGSGLSDGEYVSLGWHEKDDLKVAVSYLRSNNQISCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKVAVQYMRRVIQKRAKFDIMDLKCVQAAPKTFIPALFGHANDDKFIKPSHSDIIFKTYAGDKNIIKFDGDHNSSRPQFYYDSVSIFFYNVLHPPRPTAAYSNKVEKYYDLGDLKFGAGMDESLLYEIISGIRTMGTDAASSSSAPPSVSAAKTVGDSLFDIGQIASKESICNENVLLTGNHTSQLQDKSNEECSSYTSSNRESWGRCSSLGSIDEPAADCTTSDDNHQMTLKVLATPLRNIQPTLFEPDIDKTKQKQKKVLTAKRSRHEKLEALGQRLRLGILRRVGHRRNRSS >KZN05531 pep chromosome:ASM162521v1:2:24779445:24780815:-1 gene:DCAR_006368 transcript:KZN05531 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MATRNTSNLSVLMLPWLAHGHISPCLDLAKKLSTRNFNIFLCSTPINLESVKNKVTGKWSEPIQLVELNLPPSPDLPPHYHTTNGLPPHLMGSLKTAFADSSANFLTIFESVKPDMLIYDYNQSWAADIALSNNIPAVQFLLSSAIFSSLRRQMLYSDSSVTYPFPISIHKYFTEKMKARLKSSPDDAKYIDRARGASKKSRVILLRISREIEGKYVDYISNLSQQKTIPVGALVQESLQETGDDCTETIQFLDKKDKSSVVFVSFGSEYFLKRKIQEVAYGLELSRLNFIWVIRFPFGENIKIEEALPIGFLDRVGDRGLVVEGWAPHARILHHSSTGGFISHCGWSSMMESMMFGVPVIAMPMHIDQPFNTVVVKEVGVGQEVERDEDGRFKREEIAKVIRNVVIEKSGEIVRRKAKEMREMIREKGEKEIDEVVGELVNLCKEKKDKVTSSTM >KZN07201 pep chromosome:ASM162521v1:2:39043720:39045274:-1 gene:DCAR_008038 transcript:KZN07201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVMARVPMSHRIFTSYNNRENVFQGELALSMSDTVFGFLHEEGEGSPENITCHENEGQLDEDDDVENSGRMVEDNKKFWEDQHNLLQATLYRTSSVESKIRNCTKEALSDAKVSGNLCNCQNPVIGGCRSCLMKQVSGCLQNAGFDSAICKSKWKNLPDMPSGEHTFVDVLDTDQKKGQVRVIVELNFRGEFEMAKASEEYNKLVSKLPEVFVGKVERLMSLTTILCSAAKKCMRDRKMHLGPWRKTRYMQAKWLRVTERRTTVPRLMSTTVLASRPQRPKASMLTVDLLEKLPSVQCAAVKVV >KZN06864 pep chromosome:ASM162521v1:2:36464228:36467329:-1 gene:DCAR_007701 transcript:KZN06864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLEMLEKKEKVLLKKATAEIEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRSGAAAMKAMQKATNIDDVDKTMDEINEQTEAMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAASVSVPAGRQQSRPAPQKNTAEEDELAALQAEMAL >KZN07105 pep chromosome:ASM162521v1:2:38408772:38419250:-1 gene:DCAR_007942 transcript:KZN07105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCNLYGIQLYCSFVLILVLETHGHCLPNSEVLALLGFRDSVKSDPHGVFSNWDPNDCNPCKWSGVRCVNNLVQMLRNQDLLLLNLFISEYIMLIRKACILGGKEFHAFILSQNQFYGTIPREFGGLAMLEVLDLRNNTLNGTIPVELKGIHSLKHLLLYNNNFKESTFLQHGKVDLLSKSQYGNRVSKASVGIGCINRKIGPCISHGGLESLKKSESFLPTTRTLKYHLNMLLPMFKFEEGSSCSNADDGCDTPSGSSEQQILNLNDVVRRRLAEQSSNLAAAPARDASPAVQIIALPSSRSSGSFPAVPKEKRKHSLPPAPSPAEPPINEFHMNKTNESGKTSKETSGLSGNTWIFIIGILSAVLVLVVALALFFICRTHAVAGTSDSWKMGLTGQLQKAFVTGVPKLNRAELVTACEDFSNIIETHNYFTVYKGTLSSGVEVAVFSTTINSLKDWSAHSEQVYRKKIEVLSRVNHKNFVNLIGHCEEDEPFTRMMVLEYAPNGSLSEHLHIMELDHLDWTTRIRIIMGTAYCLQYMHELNPPLPHSNLNANAVLLTEDYAAKIVEVDFWKDILYKSEVSVDNKSEHSKLGPLADTEENVYCFGVLLLEIISGKFPYSEEHGPLVDWAASYLKDKRSYLVDPTLKTFENDQLDIICEVIQDCIQQDARKRPTIKEIVSKLRQVINVSPEAAVPRQSPLWWAELEISSAETS >KZN05391 pep chromosome:ASM162521v1:2:23348461:23349063:1 gene:DCAR_006228 transcript:KZN05391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVVLSSINLPQVPVIDMNVLLHGDLMDIELSKLHQACKEWGFFQLINHGVSHSLLDKLKAEVEEFFKMPLQDKRKFGKLEGDMEGFDQVFVGSNKQKPDADMFYMITLPEDLRKPHLLPQLPQPFK >KZN04852 pep chromosome:ASM162521v1:2:17277259:17282512:1 gene:DCAR_005689 transcript:KZN04852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLVRDFLLTKQPLIRQLIAPQVSIPRRRLRLQTSDSYVGFMGVRQLSFFDKFKEEVNKNPELDQSVKELKKKAEEFKGVTENLKVRTKQTTEQLYKHVDDVLREAEATTKKVSSNLKEKVSAATEEVKESFGMGKQESSEAKADSSSGVNDGSKSAGGEDGQQKSGPTDASQTLYNKFKSGVAYVSPTLLSAFQKVKDTKLVDIAKKGCDIVKEELKGTPNKKRRLEYTAPAVDPFANIEKSSRTDIVILPSKQSRWSKKWESIKEKLKRNPVFKRFGGLSEPVVTKTQELAEDVREMWEVSDNPVVHKIQDINESVFGETAAGISFKEIRRRDPSFSLPEFRDEVQEVVRPILNAYYKGDIKFLKKYCIPEIIERCSAEHKAFASQNIVVDNKILHVSDVDVRETKMLGETPVIIVQFQTQEVYCIRDKVGSVAEGGQVMPTVNIFGRYVCLTDTILTVQYGWAMQRMDDEETEGGAPFPIWKLREMQKSGFQALI >KZN07752 pep chromosome:ASM162521v1:2:43342737:43344434:1 gene:DCAR_008589 transcript:KZN07752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEIRNDDLEGRNDAVMEQSAEEWLAHAQENVPIALGKAREVKGFSGRWKVIISKLELIPSRLSDLSSHPCFSKNTLSTEQLQAVAKTLDEAIELAEICVKEKYEGKLRMQSDLDSLSGKLDLNLRDCGLLIKTGVLGDVTFPSTASNPTDSETATHFSIKELLARLQIGHLEAKNKAIDSLVEILQEDEKNVLAVLGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCESWLVSEGVLPPLIRLVESGSAVSKEKSSVSLQRLSVSTETSRSIVGHGGVAPLIDICRSGDSVTQAAAACTLKNISAVPEVRQTLAEEGIVKVMINLLDHGILLGSREYAAECLQNLTSTNDSLRRCVVAEGGIQSLLIYLDGPLPQESAVGALRNLVSSVSEEILISHGLLPVLVHVLKSGSLGAQQAATSAICQICSSIEMKKMIGEAGFIPLLVKMLEAKSNSNREVAARALSSLMNLSHNCREVRRDEKSVPNLVQLLDPSPLNTAKKYAVTCLALLYSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLLERLERGKLRSLFSRK >KZN06846 pep chromosome:ASM162521v1:2:36310776:36312711:-1 gene:DCAR_007683 transcript:KZN06846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLNFPVMTLRQPPEFTGNVNRQKPITLHPRRRHLTLPLASNSPQPAEQKPIIELQFIGPKAGGDGKYPVDEVTEVSGEKVLRKIMEDNKLELYATYGKLMNCGGGGSCGTCIVEVIEGKDLLSERTNAELKYLKKACHISSPPLKHSYIVITPS >KZN06442 pep chromosome:ASM162521v1:2:32932820:32941719:-1 gene:DCAR_007279 transcript:KZN06442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALTMFLFLLLLSFQASSSSFPLSFRSRSLLRALNAKTNITSAKTGDHDYAVDLNITDFNDVLGKTKAPFAIVEFFAHWCPACRNYKPHYEKVAKLFNGANAVHPGMILVTRVDCAEKCSYESSRLKPNGNPYLATQNSRDSLTFLLFTSFFLGIESEAFYYETIISPPFSTCLAAQINTNLCDKFSVDRFPMLFWGPPSHFASFSKKGNTTILFIDDRKTADRVLIWINKRIGSSYSVDDLRDVDEDVRADISDRKQIARALYNDTEEATYMAFDLIFEHKMIKFKTRAFFIEFLQLIAAHHPSRRCRKGSADILVNFDDLCPLDILSGKEEECMTSSGKSTIGNSQICGSEIPRGYWSFCRGSKNDTRGFSCGLWVLLHSLSVRIENRESQMAFTATCEFIHNFFICEECREHFYDMCSSVSSPFNRTRDYALWLWTAHNKVNERLMRDEASLGTGDPKFPKMFWPPKELCPSCYFVQSHKSGAYSQIRWNHDEVFNFLANYYGKRLLTEYKDEKLPGDAGRNKAVVKESGTSTHARVPWGAALAIVVAACAFGALACYWRLKQKNWKYLHHLHSLKNI >KZN06945 pep chromosome:ASM162521v1:2:37028877:37031846:-1 gene:DCAR_007782 transcript:KZN06945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEMEDLKTGTVVVEKVMGKSTATRCFSKYPLKFILPRKVGSSQTDAVWIYALTYGGGIVSGDSISCEFTIGDGCTAVLTTQASTKVYKCVGSRCSVQIYEARIGIDALLVVIPDPVTCFSTAKYSQKQVFRIMSNSSLLIVDWITSGRHESGEKWDFTHYKSTNHIYLEGDEPLFLDTVLLEQGSTVTIAERVQDYQVLAMVIILGPKLKHVQTKVQEDVKNMMSEQLQLPATSLRRYSAPSTSHSLIKPSFLASCSPFGPQGSGVVIRIAAMTTESVYRFLQQQLASLEPLVGVLPYC >KZN06495 pep chromosome:ASM162521v1:2:33363851:33367841:1 gene:DCAR_007332 transcript:KZN06495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLSGDEASLSVSPEFYSGHNNGNARNSPPLTASASFREGRSTTRRRAPMRPPSLDADEFFNLMHGSDPVKIELNRLENEVRDKDRELGEAQAEIKALRLSERLREKAVEELSEELEKVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIANLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVREYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFMQGEMQQLRDKLTITERAARSEAQLKEKYQLRLKVLEETLRSPNTSSRNIPDGRSLSRRQSFGGADSISKLTSNGLLPRRSPSFQLRSSGTSTMLKHAKGNSKSFDGGTRSLDGGKSLSNGSGPKYNDGQLCEETRDTETHDNSWKTHIDDKPTDFTAAEKEDTVSVVLYDLLQKEVVSLRKATHEKDQSLKDKDDSIEMLAKKVDTLSKAMEVEAKKMRREVAAKEKEVASMRVDKEHESRTQRLGNVKAPGSNSQLLPGRYAVFLATFELL >KZN05206 pep chromosome:ASM162521v1:2:21181653:21182760:-1 gene:DCAR_006043 transcript:KZN05206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCSRFTSSSAPPHLLRVGDKLRETRVFSDKDVAEYSRVSGDSNPLHFDSESAKAAGFQDRLVHGLLVASLFPTIISSQFPRAIYVSQNIHFRLPVYVGEEIVGDVTAISIREVKNRFITKFSTKCFKDNGELLVIDGEATAVLPTLSYSTS >KZN07816 pep chromosome:ASM162521v1:2:43750943:43755959:1 gene:DCAR_008653 transcript:KZN07816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEAAQVRLVRCPKCENLLTELPDYSVYQCGACGTILRAREKDAEVDTLSENLQEERAGGGGLEKFSDSIENSEYVEKPVINSSNDVSSSGTSTNTFERKEIIVDRAEKYRNSSMTNRDKKVVEEDEETNPWIGKASDFRGSGHLSDWRACGETERFHSNQRADAAGLRYSTSKYSEKITANHQFGSNIDYDVIAKRMKNQIDVDELKKVEHLEQDRTELLRKLDELRDQLSRTKIVENPKEKVPLSGRAVRQDPYTRSDNWFPDGSFGQKKTSLQYSIPDKNVAGPSYISHYAEPSPFTNSREMAMQSFYTPMHTPSQLPGFEDPFRSQMLRALTNQAPHNLQQPAHQYFPGRYISNDMAELDMIEPYTHNIDPHPSSCFCLHCYNKYPHVLQPNPPSISCHRKFADVPNNLMFYHPEHSGAGFGPPDFNSRFASHDFHNPHQNARLANDPNFNGGINRQRRPRVVLASEGSRCRPVAGGAPFIACCNCLEVLQLPKTIFKTRKSQKKLKCAVCFKVILLVVSDNKLVFTHGDGVKRVPGKVDNRSNMVAKEDSLNYQQMKWGSMDMSSDDYESTGNDFHSMDLKLTSSTVGQDLSSDHSVDMRSLQSTVSCTSVDEDSSNALIGIQEEAKSDEHVLNTENPRPPSGSPLQVHFDYSGTCNMVNQVGNENQSGRSEQDKIIVKKVTSRQNSMQDVSVATELDISSREYGNTGVSTDSGNAGREGNQLREKKGSSSFFAGIIKKSFKDKSRSSQNVEQERIGVAVNGHPIPNQLVKKAEKVAGPIQPGQYWYDSRAGFWGLIGGPCLGIIPPNIEEFSYPIPEDCAGGNTGVYVNGRELHQKDLILLGNRGLPTERDRSYIIEMSGRVLDEDSGEELDSLGKLAPTVEKLKHGFGMKPPRTTA >KZN05955 pep chromosome:ASM162521v1:2:28670597:28674561:-1 gene:DCAR_006792 transcript:KZN05955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVVGVAHSSSRIEDPKGDYVNLREAQAQNADDDDANNCCDDGVGDTGLVITRSRCWLVWWWARLIILLVFAGLLIAVSFKWVVPFLMNKEIIPLLNWERKTFSKPVLAAIIFASVAVFPVILIPSTPSMWVAGMTFGYSLGFLIIITAVPIGVSLPYFIGTLFHRRMEDWLGRDPKKASVIRLAGEGNWYHQFKAVTLIRISPFPYIIFNYCAVATNVKYVPYLLGTLVGMVPEIFVAIYTGIMIKTLADASNDNHALSATQIIFNVLGFALSITTTVIVTMYAKRRLKGMQKEEELLV >KZN07462 pep chromosome:ASM162521v1:2:41042347:41043897:-1 gene:DCAR_008299 transcript:KZN07462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQHEGHAEHTLTLVQRVALFTCDACGEEDKDLSYICNVCQFWIHRRCASSPLHISVLAYHHHPLTLIYAIPDMHRYFSRYCTICDEKIHVNMWMYYCHRCTYFVHMKCAASSETESMLNEINSGGIEAKLADLVRFPRRSEESVFELILTQCEKFRVEVQGKGKNSITVSTIPSDPHTIEEHWSHKIHPLELLRFTISEDDDIDDSQTLICDGCIQPITVFHPYYYACNQCNFFLHSFCAIKLPMELPAGASLCHPQHLLLLRKRDIFYELVECGVCAYFTNGFYYECETCDFKVEIRCAFAPLRINHTSHRHYSLIKRPFSGSRCNLCRFNISEGIEYACETCDKFHIHWQCALYPSQMKHKYDPHSVTLEYPPFFYEGVFHCEVCENQVNNQLMLYHCRACDHSFHFNCLRSRNSIKFGGTVELKIDNKLHTLAFVVKRSTGKTDPDHYCRSCGTGYEYYWFFECDGCGYLLCHQCAFEKLNK >KZN04034 pep chromosome:ASM162521v1:2:936741:938286:1 gene:DCAR_004871 transcript:KZN04034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSCFNPFGLRKSRSRPLSLHSSSRLQLNTDMENMERRRFDSLESWSMILDSENVETWEVSKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHKEDTRVFLEQQFKSEVDLLSRLYHQNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHAQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQSQESKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTSLLPFQGMTPVQAAFAVAEKNERPPIPASCQPALAHLIKRCWAVNPAKRPDFSYIVSALEKYDECVKEGLPLALHSGLVSRNATLERLIGCVFLSSSSSSVPVHS >KZN07695 pep chromosome:ASM162521v1:2:42815644:42829270:-1 gene:DCAR_008532 transcript:KZN07695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSERQWNFQTRLIGVQVRSTLSAAIYQKQLRLSTAAKAIHSGGQILNYVTVDAYRIGEFPCFFHRIWATSLQLCLALLVIYYTVGKATIAALLAVILIIVVNTPMVKLQHRYLTKLMVAQDIRLKAITEAVTNMKVLKLYGWETHFRHVVEGLRKEEERWLSAILTQRGYYLALFWSYPLVITVVTFWACYLMGIPLDTSNVFTFLATVRIVQEHIRLIPDVVGSFIEAKVALTRIQKFLEESELQKRSAEGHCKVIAESILIKEAGLSWDTNSSKLTLENIDLNIQSGQKVAICGEVGSGKSTLLAAILGEDLEILPCGDETVIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTATSLFNEYVMGALSEKTVLLVTHQVDFLPVFDLILLMSEGKIQQADKYQQLLVHSPDFRNLLIVKCDATNQEGRMSYSSPKSPPVSNQEIQEIEVEEELNERLGDQLIEKEQKETGDRGLKPYKQYLKQNKGFLYLSLSSIFHMLFLVGQLGQGVWLAAELQNPAISIVILNVVYTGIGCVMSLCLLIRSYVVVELSTKASESIFSKAVVSIFRAPMSYFDSTPVGRILSRLSSDMSIIDLEVAIKFATSIGSALNTCLSFGILAVLTWPILFLIIPTVYITIVLQKYYLASANELMRINGTTKSSVASQLAESIAGAVTIRAFKEEDRFILENFRVTDANSVPYFHSFSANEWLILRLEGLCALIISFSALGMTLMPLGASKSEQCMHIPSEAPEKVEDSRPPLNWPVAGRVEINNLKLSSDMSIIDLEVAIKFATSIGSALNTCLSFGILAVLTWPILFLIIPTVYITIVLQKYYLASANELMRINGTTKSSVASQLAESIAGAVTIRAFKEEDRFILENFRVTDANSVPYFHSFSANEWLILRLEGLCALIISFSALGMTLMPLGASKSEPTDGKIIIDDIDISEIGLHDLRTQLSIIPQDPTLFSGSVRYNIDPLFEHTDSEIWQIYLIFMYKDHFNSKECSPLPADDIDFGIKSIVEVAQGKEAFKYEGFALAAILFLVKCLESLSERQWNFQTRGIGLQVSSMLSAAIYQKQLRLSNAAKAFHSPGQIINYVIVDASRIGEFPYYFHQMWATSLQLCLALLIIYYSVGKATIAAVLVVILMVVGNSPLARLQHKYLTNFMVARDLRLKAITEAVTNMKILKLYGWETHFRKVIKGLRKEEARWLSTILNQSGYYLALYWSSPIIITTVTFWACYLMKIPLDTSTVFTFLATARIVQEPIRFLPDVAGIFIEAMVALTRIVKFLEEPELHKRSSKGQCKDIEASILIKEANSTKQLTLWQLMSEGKILQADTYKQLLVHSPEFQNLLVAQNGSTNRENKTSYSLPKRTAISNEEIQMTDVEEEFKKEPGDQLIEKELKEIGDSGLKPYVQYLKQNQGFLYLSLAVIFYMLFMVGQLVQGVWLAAELQNPAISILILNGIYTGIGCAMSLCLILRSYVIVILSTKASESIFSKALVSIFRAPMSYFDSTPVGRILSRLSSDLSIIDLELAIRFSSSIGSIMSTCLGFGILVVLTWPILFLIIPTVYITIVLQKFYLASADELMRIDGTTKSSVASQLAESIAGAVTIRAFNKEDRFISENFHLIDANSIPRFHSFSANEWLILRLEGLCAVVVSVSALGMTLIPLEASKSGYIGMALSYSLSINVILVYAINIHCKLSNYIISVERLEQCMHIPSEAPEKIEENQPPPNWPSAGRVEINNLKVLEKCHLRDAIQEKEEGLDSLGKKTTACTVKEFFNLYEKIPVLV >KZN04421 pep chromosome:ASM162521v1:2:10498243:10498575:1 gene:DCAR_005258 transcript:KZN04421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLDENTGRLLVRAKPMFLKRVVDNLSDVQRQWVVETGFEKVLVFNINEYPQPLSFLIAKSYKSTDSSISIGENIINFSENDVQIILGLPKVELMFEDSYNSEYKDV >KZN04044 pep chromosome:ASM162521v1:2:1158136:1164522:-1 gene:DCAR_004881 transcript:KZN04044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKDSSSSLPATPTSRLRRRRGSTEISPDVSKSNGDQLLVSDKNKYRSMLVRAYSTVWMIGGFVLTIYMGHLYIWAMVVIIQIFMAKELFSLLRKTHEDSHLPGFRQLNWHFFFTAMLFVYGRILSQRLVNTVTSDKFLYQLVGRLVKYHMLANVLGKSQWLTCPRKDLLTGWLHCDPGPLFKPEYFALPAWFPDWFPWKEIEVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGMTDRMDCQMVMAVFAYIYHQSFITPQSVSVEMILDQILMNLSFEEQRALYTKLAQIIQESQFGRS >KZN04193 pep chromosome:ASM162521v1:2:3566074:3575707:-1 gene:DCAR_005030 transcript:KZN04193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVVGKTGETSVAEEARIAREGVKAPREFDWLTITKSLIAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFYSYEEASKGILWLYRRQTGKEDAELTPLLRLGAGACAGIIAMSATYPMDLVRGRITVQSGNSACQYTGIAHALATVLREEGPRALYKG >KZN07019 pep chromosome:ASM162521v1:2:37698564:37717237:-1 gene:DCAR_007856 transcript:KZN07019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGPLVWYCRPASDGVWAKAVQNAFGAYTPCADSMVVFISYVVLLGLCLYRIWRIMTDLTVQRFQLKSKYYNYMLGLWAAYCTAEPLFRLIMGISVVNLDGETTLAPYEVVSLIVKALAWCSMLVMICVEMKVYIHEFRWFVRFGVIYVLVGDVVMLNLMLSMSDFYTRSTLYLYISEVVIQGLFGLLLLVYIPSLDPYLGYSPIQTESADNDEYEELPAAENICPERHVNIFSNIIFSWMNPLMQLGYKRPLTEKDIWKLDTWDQTETLNEKFQKCWAEETRKPKPWLLRALNKSLGGRFWWGGFWKIGNDLSQFVGPMLLNKLLESMQNREPAWIGYIYAFLIFVGVVCGVLVEAQYFQNVMRVGYRLRATLQICQSLHTLWSAPFRIVIAMVLLYQQLGVASLLGALLLVLMFPIQTYVISKMQKLTKEGLQRTDRRIGLMNEILAAMDTVKCYAWESSFQSKVQDVRNEELAWFRKAQLLGACNSFILNSIPVLVIVVSFGLFSLLGGVLTPAKAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLISEERMLLPNPPVEPGLPAVSIKNGFFSWDSKSEKPTLSNVNLDIPCGSLVAIVGSTGEGKTSLISAMLGELPAVADTSVVIRGTVAYVPQVSWIFNATVRQNILFGSVFEPSRYSRAIDVTALRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVARQVFEKCIKEELKGRTRVLVTNQLHFLSQVDRILLVHDGMVKEEGTYEELSNNGILFQKLMENAGKMEEYVEEEEEGVDKESQTLKPVANGVTNDVPKDGSQAKKSKEGKSILIKQEERETGVVSLKVLARYKNALGGLWVVMILFTCYVSTEVLRVLSSTWLSIWTDESTPKNHGPGFYNLIYSLLSFCQVLVTLANSFWLILSSLYAARRLHQAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLLSTFVLIGILSTMSLWAILPLLLVFYAAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLSTIRAYKAYDRMANINGNSMDNNVRFTLVNMSGNRWLAIRLETLGGVMIWLTATFAVMQNGRAVNQEAFASSMGLLLSYALNITSLLTAVLRLASLAENSLNAVERVGTYIELPSEGPSVIDSNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHGLSFKIPPSDKVGIVGRTGAGKSSMLNALFRIVELESGRIIIDDYDVSKFGLTDLRKVLGIIPQAPVLFSGSVRFNLDPFSEHNDADLWESLERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDAGQVLEYDTPEELLKNERSAFSKMVQSTGAANAEYLRSLVLGGDGENRSQREETKRLDGQRKWLASSRWAAAAQFALGVSLTSSTNDLVQLELLDDENNILNRTKDAVITLQGVLEGKHHKIIEETLEQYNVPGDRWWSSLYKMVEGLAIMSRLGRSRLNSEGGFEDKAIDWDGIDM >KZN04838 pep chromosome:ASM162521v1:2:17046489:17051320:-1 gene:DCAR_005675 transcript:KZN04838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIQEWQGYYIDYKLMKKKVKQYGRQIEVGSIDRKNVLKDFSRMLDSQIEKTVLFILEQQGHFASRISALGKEQESLLAQSYLSKITELREAYRGVGKDLLQLLYFVEINAIGLRKILKKFDKRFGYKFTNYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLSDLQDCQGSYLSIYDQPTLSLQDPVVDLMKAAADRLNHSTNFLEYLGQHALIMQEELPTPEEEIVDDERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAPTVCGIVIGAMAVAQIFSSVYFSAWSNKSYFRPLVFSSICLFVGNIMYALAYDVNSLLLLISGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQINFKLHKITVNQDTLPGWVMAVAWLFYLLWLCLSFKEPAREIEETRIAQEASGSVENEEMEKGLAKPFLSSSDGYKQDEDDEQECDASEEAPKVSHGAAKSMRSAYKLLTPSVKVQLLIYFMLKYVVEILLSESSVITAYYFHWSTGKVSLFLASIGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFVGILISFNVVLPYSVPQYVIAGLVLFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADATITLGGFLGETRFLNFTLVPSLLICISSIFATFYTYNSLY >KZN04498 pep chromosome:ASM162521v1:2:11922963:11925312:1 gene:DCAR_005335 transcript:KZN04498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACSYSSFKKSKNDPLQDRRMIDRIRLSAKGGDGGNGCYSIRRSRHDRRGKADGANGGRGGDVILECSPGIWDFSSLKHHIVNAQRGGNGTSKNMIGSRGPDKVVLVPVGTVIHLVDGEIPVVVEKISSPDLEPWDIPSTVDVNPKTTEQSSNNVSSQLMQTKYNNSLVNSANTKKASESPSNDSISKVPDISNKTWDEDSESNIKNLGSGTEPNIMGDNISETELGEDTEKEEQVRYDVAELTVPGQQIIIARGGTGGLGNLCYPKAFKNPKLLKLGEQKNKGVDDIEPEANEHSSLSLGMPGSHAVILLELKSIADVGLVGMPNAGKSTLLGSLSRARPTVGHYAFTTLRPNLGNVNYVDFSVVVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAAALDGKKGVHPWEQLKDLILELEFHRVGLSSRPSIIVANKIDEPGADKVYEELKRRVPGVPIFPVCAVLDEGVEELRSSLRKLVIGEESHRLQLDNIELN >KZN07594 pep chromosome:ASM162521v1:2:42090799:42095701:-1 gene:DCAR_008431 transcript:KZN07594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDLSLCYSNVLLLKNILNLSPDVAEISQKRLLLLVAERLIDSNSHVDNKDAGYVEKQQQNIAGAIDLLPRLTTGIDVNINFKGITEFEFTRESAIFDLLDIPLYHGWIVDPQDRDTYNAIGSKSYNAIMGDLVALETETVGDEYKTKPEEDFVDFVAATTATLGMPSPSLSRGLSFNDSTLSVSKHNKARKGDLEEEAELLRAMKISEAEALASTKAVSPDSSDEQNVSTNLNESTHSTRSESVGPVETTEGDVGVEVQTSCQQEPSMSSCSKDLENINYDQMIPERHNCLTSEYQQEIPNSKLTCEDTGECSEKKDVVVKTSSDFLDLQEAAVSDSRKPDPLSTSASSVEYSEGTNQAQDQSVLKLNMKEEDEESAPETSHVAFSAIGAELETSGDSTRYRDVLEAVTPSGEAIEPIYAGEECILEPGTTYEDREPVYEGEVVLAEQVDKNRKDASDVTYKTEISPQQGELIKDFLKANASQLTVYGLICLREELKERELCVFFRNNHFNTMFKLGGQLYLLATDQGYINQPDLVWEKLDEVNGNTVFMTGSFKEFKAEEDHVISTWNELNARASTADYLASIDDPVPDEYLPDEYCYNSDMELARALQEQEFEQQPQHNPQKQTVSGGSELITGPQVGSSDMELARALQEQEFEQQPQHNQQKQTISGGSELITGPQVGL >KZN04436 pep chromosome:ASM162521v1:2:10771750:10776356:-1 gene:DCAR_005273 transcript:KZN04436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPPAVTIHVTGFKKFHGVSENPTETIVNNLPEYVKIKGLPKGVTLGSCSILETAGEGAITPLYQALQSALTRKDSDSSNSGKIIWVNGAISRTRKTSLPVEEITYSLAKMGFEVMASEDAGRFVCNYVYYHSLRFAEQNGILSLFAHVPSFSTIDEETQMQFAASLLEVLATLN >KZN05015 pep chromosome:ASM162521v1:2:18959275:18960372:-1 gene:DCAR_005852 transcript:KZN05015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLVAGKTTQAAAANKEKDTSRRPISRSSRAGLQFPVGRIHRHLKTRTSAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >KZN06094 pep chromosome:ASM162521v1:2:30057353:30061513:-1 gene:DCAR_006931 transcript:KZN06094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKMNKACDLSSISVLPPPQARRTNAVANNGAESSVFGRSQAASQLRSQQQSQQSFSQGVSSQPNMFSQLTQNQNSPDDIAINDQRFGSQEREITTKRLSSLPPISHTREESQNQISRSSTNFLRKWHAPDQRNQINEELEYRIGMMETSLSRFGMILDSIQSDIMQVNKGRKELALEMESIRQKLNASDNTLQLMNKGQDDVKVNLDRAVNTICDQLGQYGYQESSHDMISLLTALPEKIELIWIIVFPHQKGHQTRSAAAHAILIEHSTCVICLVTQTTSFTIPLSRLPESKTVLSSSVQASQVKVQKDSAAVKIEMGTWKSVKSKQATFTDRNSVKAQKQRGTSPTEVERVSRINIESDEEMSRDFFYFLEDKETGTGNFSMQDAREESERILRKARRRKRKHSNTIIIN >KZN04050 pep chromosome:ASM162521v1:2:1203028:1211910:-1 gene:DCAR_004887 transcript:KZN04050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVNNFAMVWIAVLASLCYCHTVAKFIPKGAARFLAILPISIIFTLLPLNLTTMHLGATSSFFIAWLANFKILLFAFDKGPLYSNTPIPLSLFVPLACLPVKIYDHSGLELLLAMFGALARMIMQVELEPQFNEPYLATSLQDFWGKRWNLMVSKILKPTVFEPTRSISTSLVGRRWATFVGVLATFFVSGLMHELVFYTYGRQKTRGEVMCFFLIHGVTVCIEIGLKKAVNGRFRLPEMKIFEGAGMEGEMNNFAMVWITVLASLYYCYTIAKFIPKGTTRFLAILPISILFLLLPLNLTTVHLGAISSFLISWLTNSKILLFAFGKGPLSTTPPIPLSHFIPLACLPIKIHDHSNDQELKETPSQHTRQKQIKSVLNYSIKICLSVLLKKVYDYRQNFNHNFMLFLYCLHLYLALELLLAMLAALARILMKVELESQFDEPYLATSLQDFWGRRWNVMVSDILKPSVFQPTREIFSGLVGRKWATFLGAQATFFVSGLMHELFFYTYSRQKTRWEVTCFFLLHGVSLSVEMVLKKTSKGKFRLPGMVSRPLTIMYVVITGCWLFFPPFLRGNAEAKVCKEYRAFVEFVRNGLGCYSDRSGCRGCIAKRLKSGCPPCQQIMRCMAQCLWGGTSQSKCIKKCDCNGGYPKLVILKGEKFLHHSILVIGQRVSTVQALVTCLSVELMFAMLAALARILMRVKLEPQFDEPYLATSLQDFWGRRWNLMVSDILKPSVFQPTREIFSGLVGRKWATFVGVIATFLVSGLMHELFFYTYGRQKTRWEVTRFFLLHGVSLSIEVVVKKMVKGKFRLPAMVSRPLTIMYVVITSCWLFFPAFLRGNAEAKVCNEYRAFLEFVQNGRVISPNVLSCT >KZN05250 pep chromosome:ASM162521v1:2:21655913:21656353:1 gene:DCAR_006087 transcript:KZN05250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPQKNILHGQNQNEAIVVGFEAPKSPDASYNNLYPEDNYAKGPPTVPNHLDDTLLNSSASSDLPGSLPLPHNAVLNHVYCENRENEGPVVALGLTHRFREKFVTVVLYKPAPRCGSTSN >KZN07097 pep chromosome:ASM162521v1:2:38330529:38331296:-1 gene:DCAR_007934 transcript:KZN07097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAFISNDATRKATYKKRKKGLMKKVEELTTLCGIDACAIIYSPYDEQPDVWPDIAGVERVVEKFKSMPEMQQSRKMLNQESFTRQRIAKTNEQLKKQLKENREKEMTEVMSQCLTGQLGLHNLMLPDLNDLGFLVDQKLQEINKKMNEMALQEATQQQQVVTPEEAPQEQQVVPTTVSDNVGVLAGGVVEEQRLDAGGNTDVDAYGLVPQWFNNDAVVSDNVTADYQDLGFMTPFGDENNPVAWANFF >KZN06402 pep chromosome:ASM162521v1:2:32580170:32584814:-1 gene:DCAR_007239 transcript:KZN06402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRSIFNKANQQFRENILFSSAMSDDEAFEDTGTGTPLSKIACSFEELSEIVKSSLDSDYDLRLKPFCEACTLVSILFGCLGIAFKFAEMEYTAKVRGLTEAASTYDTLNRILDQDMQNETVKSPGSLSRNLRRVRQGLDLIRALFLNFLSSGDRSLKEAATTAYGDVCAPYHTWAVRTAVYAGMCALPTRQQLLLKLNETEETAEKEMKSSDVMDVTRLCCFDLAFKFMNSRGAGNSF >KZN04827 pep chromosome:ASM162521v1:2:16891306:16893648:-1 gene:DCAR_005664 transcript:KZN04827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANNTEEAAAKGVQKRYEGLVMVRTKAIKGKGAWYWSHLEPILVHNSDTNLPKAVKLKCGLCDSVFSASNPSRTASEHLKRGTCPNFNSFVSKPVSSISPSGSTPSPVVQSPAPLSLASPQHRSGKKRNSSFSGRTNSSSHQVQPLAVVDASRFSVEVAYSPVALGGGGGGVYGQHNQFSQSQQQVQQQQHLILSGGKEDLGALAMFEDSVKKLKSPKASTPNLSLNKIQVDSALDCLADWVYDCCGLVSFSSLEHPKFKAFLNQVGLPCVSKRELAGERLDDKYDKAKAESEAKIQDAMFFQIASDGWKSKSFGHIGEENLVKVGLNLPNGTSVFRRAVFTSGYVPSKYAEEVLWEAVLDLCGNNLQQCVGIVADRFKSKALKNLENQHHWMVNLTCQFQGFNRLIKDLMKELPLFKNVTENCSKLANFVNGKSQIRDIFHKFQLQEYGHAGLLRVPSRGNRLSFGPVYTMVEDILSSARALQLVLLDDSYKVVSIEEPLAQEIEEMLRNPHFWNELEAVHSLVKLIKGMAQEIEVERPRVGQCLPLWEDLRVKVRDWCSKFHVIEAPVERVIDKRFRKNYHPAWAAAFILDPLYLIRDSTGKYLPPFKFLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYAQAVQIKQRDPLTGKMKIANPQSSRLVWETYLTEFKALGKVAVRLIFLHATSFGFKCNVSFLRWISANSHSRAGIDRAQKLIFIAAHSKLERRDFSHDDDNDAEFLSVANGEDDALNESFVDASSV >KZN04118 pep chromosome:ASM162521v1:2:2221522:2223720:-1 gene:DCAR_004955 transcript:KZN04118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKKLAEDIAIIGGLIAVQFVFAGYNLVLSSLMSSGFNPASLIIISSFATFVVLSPLAFFIERDKWPRRFQLKLLLQLVIISFGGVAVFQSLLLKGITLTSPTMATAMPNLAPGLIFVIAWIFRLEKVDLSCMYSRVKILGTLMCVIGAVTMSISQSAASPYLEEDTDISSPSPSTTNIFDKEKVAGCIYLMAAVLVLSSMVVLQATTLSDFPAPLSFCAVTSLIGVLLTVAGELLKADQILEEWPLLNLRSTDLIGYSLLAGLISGASVSFNAWAMNKRGPVMVSMFSPISAIISVIHSVIVGDPVTFGIGSIIGMSLMITGLYFVLWAKGEENVVTLCSPTTATEPLLS >KZN04540 pep chromosome:ASM162521v1:2:12371830:12372729:1 gene:DCAR_005377 transcript:KZN04540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLNLPPPTQLRNPNPFSDQHRLIAFTTPENYATRLSTIIHQKGWTPLWCPTVIIEPTPEPIKHFLSFQSKTPLDQFSAIAFTSRTGISSFSQALSQTQSHPLSPNGDTFIISALGKDSELLDSSFISKLCENPDRVRILIPPVASPRMMVESLREGTGRKILCPVPSVIGLEEPPVVPEFLKDLAEKGWVVVRVNAYETRWAGPHCAEDVVKWGDENRLDAIVFTSSGEVEGFLKGLKEMGLDWGRLRRRWRRLVVAAHGPVTAAGAERLGVGVDVVSTRFDSFDGVVDALASKWLM >KZN07679 pep chromosome:ASM162521v1:2:42683936:42684479:-1 gene:DCAR_008516 transcript:KZN07679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSKSLHNSAVPALQWAHSSSSSSDHPVKPSSPLVSDKLIKEETAPQQAEVDLRAQPRNLRPRKPRMQGGDAGGPRRSLRNVKEPKLPKLRISLTEEEIKEDLYSMTGGIPTRKPNKRSQSVKADLDKLFPGSKLDGIGADNYCAERGFKI >KZN07311 pep chromosome:ASM162521v1:2:39918384:39919421:-1 gene:DCAR_008148 transcript:KZN07311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSNDRVIIRCHPTLRHKHISEDNIFNFPLFVCSEVGPVNKFDYPLLASGMVLAGSVNGLVCLYSYNDKSKSIPCIGIWNPATHRYKDVRPPLNAYNIKAGDFSFAFVFDSIKKDYKVIYIIKTRNKNKANKPGQVVADVYSCNARSWAHINVSSSFELENGELFKYAITVGNRVYWNYSMAYGRKHDVISFDIGHEVFRLFHAPDLLPRQKMATGNLNNLLVCLVHEYCRDKTTTSVDVYALNETNGETWNKMYTVGPISLTRRMHIVQCFRNGDLFFSDYKEYRCLRFDPKTHALLRSNSHAFDVDCLAVAYGIGYTQTLVSVMGMKHIEKNDCERLLFIQS >KZN06354 pep chromosome:ASM162521v1:2:32133102:32134772:1 gene:DCAR_007191 transcript:KZN06354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLLASLLLLAMAAHSNGASYCLCKDGLSDSVLQKNINYACGAGADCSAINQGGSCFNPNTVKDHCNYAVNSYFQKKGEASGSCDFAGTAAPSPTPPAITNGCVYPSSGSGGTPTTPGTGTPSTGTPGTGTPSTGTPGTGTPSTSTPGMGTPSTGTPGTGTPSTGMPGTGTPGTGIPSTSTPPGTGTSPFLGLGPTGGISNTNPDSSGTPSLHQGTNMFCSIALALLCSSFFYFGALKQE >KZN06412 pep chromosome:ASM162521v1:2:32712248:32714408:-1 gene:DCAR_007249 transcript:KZN06412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVQALISASPVRITSGISSCSYSKISMIRPLWRPAIRLQAVTQPRPLRCQPQHVDGVAVAVAALDRVKDQDNSGMSWFYEIKMKVRDYELDQYGVVHHSIYACYCQHGEYLGTHFPARHELLEAMGVNADEVARSGLGSCTLSEVSLKFLAPLRSGDRYVVKVRVSKYTAVRVFFEHFVYKITDQGELQPIAEQMTTGVWLDTKNRPIRIPAEAKSKFVRFISEGPRGN >KZN06801 pep chromosome:ASM162521v1:2:35934660:35936841:-1 gene:DCAR_007638 transcript:KZN06801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGIQKHKWSKEEEEALKAGVEKHGPGKWKTILTDPDFALALSNRSNIDLKDKWRNLGIASIPQAPKEDDLVLTITCGSLPTTQNADNALALVPVNGATATNTPRTSQDGGIIINRSMVFDAISSIKDSNGADFNAIASFIEQRHKVPYNFRRYLSSMVRRLTLQGRLEKVEQCYKIKNSGSSSKIPTPKARDVNPGTCKNFDVSNPDTPEAAARIAAFWLVEADNQACLTAQAVEEAERISTMAEESEIMFEAVKEFCKQYNL >KZN04976 pep chromosome:ASM162521v1:2:18554186:18554977:1 gene:DCAR_005813 transcript:KZN04976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYEEREVRKKGYSLEEARSKMTECRKELRNRDSEGDSNEVDTTFSESMRYDVKLYYGGHFVQVPTYSYTSSQFKLYKNIDLENITVNDLKVFLGEIVGEFDSLYFGIDNGRLELLNNASKFEVIEYSRTCNNLATLYVYHVPLPECDNDDDYFNDQDCSDEEFVQIKKKGKEDEQRLDELQNEKCNNEEGSDSEEPEI >KZN05517 pep chromosome:ASM162521v1:2:24613308:24618997:1 gene:DCAR_006354 transcript:KZN05517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESVLPKWGSEPCIMGIDEAGLGPVLGPMVYGCLYCPLSYENTLASLDFADSKTLKEEKREELFENLKADESIGWAVDVIDPRELSAKMLKKNKINLNEISHNSAIGLVRRALNTGVLLTEVYVDTVGDPEKYRTKLSDIFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDESTENMQRNFGSGYPGDPQTKVWLEHHKHTVFGFPTLVRFSWGTCNSYFKDGIEVLWEADATDEDDSSVKTRKKQLKLSSVGFTGMKRSSEEIESSGKGRCKFFQARKLEQLSKF >KZN05474 pep chromosome:ASM162521v1:2:24218583:24219326:1 gene:DCAR_006311 transcript:KZN05474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDPPICFILVFEEFEEHAEKAKTLPESTTNENKLILYGLYKQATVGTVNTSRPGIFNMRDRAKWDAWKAVEGKSKDEAMSDYITKVKQLLEEAT >KZN07609 pep chromosome:ASM162521v1:2:42175075:42177142:1 gene:DCAR_008446 transcript:KZN07609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYLDEYVMRMTTPRVRVDNAGCANATRVMIDSARKHETLLEAIQVLTDLDLLIKKAYISADGKWFMDVFHVTDLDGNKISDESVINYIEKSLGKIHCVDSKSIEGLTALELTGTDRVGLLSEVFAVLSDLQVNVVESKVWTHNGRIASLIYVKDCDSGFTIEDEFKIDGVEARLRNVLKGDTDIRSVKTLVSLEVTHTERRLHQMMFADRDYECKPIMRTNGDSPIVIIQNLERGYSGINVYCEDRPKLLFDVVCTLTDMDIVVFHATLNTTGDRANMEFFVRHTNGTLISSEVERRFILCLRAAIERRASEGVRLELSADDRPGLLADVMRTFREGGLNVTRAEISTTCETAQNVFYVTDAIGNAADTKIIESVRQKIGHSRLKVKELPLIYHCKAEMDDEPPSTSFSGALLVSLGSLVRRNLHYLGLLIRSYS >KZN04777 pep chromosome:ASM162521v1:2:15649177:15651415:-1 gene:DCAR_005614 transcript:KZN04777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGSVLGSTSGVKTVPGSSLMDIDEEVRFVCPNFVSDYIFGVLKLPEEFCVGKGQDLPERLLLHVPPGVVWNGIYRKGRQSIEGVEKMMSFYCIKPYHLIVFDYIGGPSFNLKIFNPYGVEINYNFFKNSDTPTGLEGCILNPSEIEVDKLGGTLSYNVYHSGRSICEVVLGNKHIRKTEVYKVLKRSDWESLGIVESMESVRLSFRNITWLVKLVWRNGKLYFDRGWYKFAKAGKFGIGDAVVFHKTDWPQKFIATVFENEVLSKCNVSGVGQRDGVMEWFKMASPTFICTGEMEIPRVFTNLSQFKLDETVKLILRDGETISVKFCSESNFLFGMRNLVRLYSIESTDVMVFTLVRQSTFVLSIFKFYGMESEYNAVEVCKTEAMKKVCLEDIIILSDSDNSEEGMAVEQEQDAEEEGEGIGEEADEMPEANVSFRVTLKPSHVDKRQHGVYFPSSLYSTYKRWTNMTNIRLICGAKVCFVSVLRTGKVCRFGRGWSEFTTANELSEGDILQLNYIDHLTFQVEIV >KZN07514 pep chromosome:ASM162521v1:2:41463809:41473291:1 gene:DCAR_008351 transcript:KZN07514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKSIACIWSDCPPPHNVTAAAVLDSPATLYTGGSDGTIVCWNISTTVSNTGVIPIAMLCGHAAPIVDLDICFPASIPGEEKTGDLSNVAQNSKSVNYGALFSACSDGVLCVWSRGSSHCRRRRKLPPWMGSPSMVQALPENRRYVCIACSFTDSGHLSEQHLHESAEVGEALIDKGMQSGKPPKCSIVIVDSYSLTIVQTVFHGNLSIGSLKFMSVILPVGDIETQSVMMIDSEGKTEFLSLLKDSDLNGENPTILQRNSLGTELGDLVNESNEGGLVISFATVRQVVALVYKTYCVFRLVNGTIVGKVSFLDSQLCVGGSGNLSHVIGCMFLEHDEAGAKAYSEDSENIFVETFAVWNNRGCAILYDVSYLSNIFKFEPVSLIPAVSHQADMTLKLSYLQLHSYLLRIESVCCQMEEPLPWTPHVTVWLLPQKRDKRGNCCECEMIGRGSFLESWVISSSSSHRTVGLKNDSGMNGIGQETILTSLNTCNPCPITADVVPLSDGSYCHYPEKSLVSSSIVISENCHAPYAIVYGFYNGEIEVLQFDEFFGGLDAYGSPRNKSYLHGSKQYLSGHTGAILCLAAHRMTSISDRLNYSHVLMSGSMDCTVHIWDLDSSNLIIVMHQHVAPVCQIILPPPLTDRPWIDCFLSIGEDSCVALASLETLRVERMFPGNQPCPSNVLWDTMKGYVACLCLSHRRPADGIDSLYVWDMKTGARERVLRGTAALSMFDHFCSSTDVNSLSFAAINSCTPASSSHYPLAAVAPFSQSHLKNSRKGVPSSQFSPANMKMDEPRTSQIHASKRDVANIYSSRVLTDQSKKTAVKCSCPFPGIATLGFDLGMLMSLSHISESSEAASDISEKRRVENIGADMPNDVDKRGEYILKKQEAEVQSTQDLAASDDFGIEVTPPFTLGNNSWESTFEKYLLGFSLSFLHLWDVDCELDKLLVTEMKLKRPDGFLVGSGLLGDRGSLTLTFPAHNATLELWKSSSEFCAVRSVTMVSLAQHMVSLSPSCSTASSVLAAFYTRNFAEKIPDIKPPLLQLLVSLWQHKSEHVRMAARSLFHCSASRGIPLPLCREKSNTFATLVRLENDKVNSGTKYSTTDERSTHNLGFDGQPGEEVSPAKESEILAWIETFEEQDWISCVEGTSQDAMTSHIIVAAALACWYPSLVKPNLAMLAVHPLMKLVMAMNEKYSSTAAEILAEGMENTWKACIASEIPCLIGDIYDQIEYLSGTSSNSRPHNPSPSLNIRETLIGVLLPSLAMADVQGFLQVVERQIWSTASDSPIHVVSLVVLTRIVRGSPRNLAQYLDKVINFVLMTMDPANSAMRKICLQTSLVTLKEVVRVYPMVTLNDLSTRLAVGDAFGELNKASIRVYDMNSMAAIKILDASGPPGLPSLLGGDSELSVATAISALSFSPDGEGLVAFSENGLMIRWWSLGSVWWEKLSRNLAPVQYTKLIFVPPWEGFSPNSTRSSIMASVLGNGRHAGSQMRHLRSLEARPKANGMQ >KZN04980 pep chromosome:ASM162521v1:2:18589836:18590176:1 gene:DCAR_005817 transcript:KZN04980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGHSGNGSQTDQWAAPGQETGLEESMMRLGLLGGELYPERGGVPNCAYYMRTGSCGYGNKCRYNHPRDRNSVS >KZN04510 pep chromosome:ASM162521v1:2:12010640:12012860:1 gene:DCAR_005347 transcript:KZN04510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRGGYIVQCRRSSRLRAQSLSKFTNTQDNPVDLESEDQLNMNTNSIREKTPLVKVYRRLDNKIIKSTAGRNIKSLVGNKIVDEVQEDEQNSDNLVDEQDAEQDNEQKSDEDMQETEEDSAQQETEQEDSAQVDDMDQEDSAEEEDNAQEDDEQDDVPNESEEENDDEQEEDETANQDQVNNAQPKIKITKYKRKKEAAFETQIPRKRIAGTLYPTLKFMNKDFQKTEGAKHITKAKEEVKIRISPRHFSKMVSELTKEQRDWVTRAGFGLLLDFELDILPTKIAYNVLQIFDHHSISLKLKDADINITSEDVYDVFGLPNGGHPLILASPRKYSE >KZN06734 pep chromosome:ASM162521v1:2:35439450:35440308:1 gene:DCAR_007571 transcript:KZN06734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVEGIEEVPVTGQPSQYPPNGTYPSPGPSQYQPANGTYPSPAPSQHQPQNVNQYPAPIGEPWSTGLFDCQQDQTNGKSIMTVAFPCLTFGQIAEVLDQGEMSCATGSFIYLLLTPALFSNWIFGSKYRTKLRKKYNLVEAPYEDVISHIFCPCCSLSQEYRELKIRGLDPALGWNGILAQQSRMHNPPQYQTMSM >KZN03972 pep chromosome:ASM162521v1:2:94447:94857:1 gene:DCAR_004834 transcript:KZN03972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLKTFSIVGHMLEVSGLSGLLFLRLKNKSANQHAWIDVAQHHLLLQFNDHGPLVFLL >KZN06417 pep chromosome:ASM162521v1:2:32766733:32774927:-1 gene:DCAR_007254 transcript:KZN06417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATSQLELSNKRSCSKSSASPIRIFLFFHKAIRSELDALHRAAMAFASDVSTDIKPLLERYHFLRSIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESFLFDQLFTLLDPNMHNEESCRRELASCTGALETSISQHMSKEEEQVFPLLTEKFSFEEQASLVWQFLCSIPVNMMAEFLPWLSSSISSDERQDMRKWFRRVIPKEELLQQIIFTWMDGEKINKKRKSCIDHSELKSSSDFEASTSICLVDKGQCPCESSNTGKREILLPDSCPANSTLDRPVDEILHWHKAIKSELNDIAEAARTIQLSGDFSDLSTFNKRLQFIAEVCIFHSIAEDKVIFPAVDAELSFAQEHAEEESEFEKFRCLIESIESAGANSSSAEFYSKLCSHADHIMGTIEKHFHNEEVQVLPLARQHFSPRRQRELLYQSLCVMPLRLIECVLPWLVGSLSEEEARSFLYNMHMAAPASDIALVTLFSGWACKGRPSNVCLSSTATGCCPAKLLTGCKEGSAKPCACTSFMPVQGISLGQKENQESQVKSGNSLQRDEKDASDHPGSTNISKVSFSSQSCCVPGLGVNSNNSLATAKSLRSLAFSPSAPSLNSSLFNWETDVSLTTIGHVTRPIDNIFKFHKAISKDLEFLDVESGKLNDCSEAVLREFNGRFRLLWGLYRAHSNAEDDIVFPALESRETLHNVSHSYTLDHKQEEELFENISSTLAELSELHRNIMTVRSNRHISVSSDHNDNLQRYNELATRVQGMCKSIKVTLDQHILREELELWPLFDRHVSLEEQDKLVGRIIGTTGAEVLQSMLPWVTSALTQEEQNKMMDTWKQATKNTMFSEWLDEWWEGNPSASSQASSSNDANLEGTDIHEAPDQSDNTFKPGWKDIFRMNQNELESEIRKVSRDITLDPRRKDYLIQNLMTSRWIAAQQKLPQARTSEASEGEDLVGCSPSYRDPEREIFGCEHYKRNCKVRAACCGKLYTCRFCHDKVSDHSMDRKATTEMMCMKCLEIQPVGPVCATPSCNGFSMAKYYCSYCKFFDDERTVYHCPFCNLCRLGRGLGVDFFHCMTCNCCLGIKLLDHKCREKSLETNCPICCDFMFTSSESVRALPCGHYMHSACFQAYACTHYICPICSKSMGDMSVYFGMLDALMASEVLPEEYRGRCQDILCNDCDKKGNAAFHWLYHKCGFCGSYNTRVIKVDRNPDCVN >KZN04960 pep chromosome:ASM162521v1:2:18361929:18366036:-1 gene:DCAR_005797 transcript:KZN04960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCNAPFITHEYRPYVDFSVRGGELVLTGCSVHSLINGEVTKSDKLYNPVKDMDNWTYVVGYCNGLVCLACFDGSVFLWNPSTTESTRLPKYGIPHTVFGFGYDERKDDYKVFTIIGDRENKVSVYSSNSGCWRVIGEFPFGKLSFETCRGQFAFGALHWLVNQGDRRKDIIVALDMNTETHREVLQPDFGEDVYDLTLGTLGNSLSVVCQYKSGADIWVMKEHGVAESWTKLFTFWIPVDLPWKPRIRPICMLVNGDVIMLSDLTMILFNPGTEEIQMLFDTDCIDSAFNIKGAMTGPNCPKIEVGSWLYADGSLLIDWIFGEDLYEYHLSDDVSENK >KZN05511 pep chromosome:ASM162521v1:2:24509068:24510898:-1 gene:DCAR_006348 transcript:KZN05511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVVLKVGMSCQGCVGAVKRVLGKMEGVESFDIDIDQQKVTVKGNVQPDAVFQTVAKTGKKTEYWDSAAGAAESKPTETVAVA >KZN04395 pep chromosome:ASM162521v1:2:10199664:10201496:1 gene:DCAR_005232 transcript:KZN04395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHSQQPMMNWSSSGICHQGSGNALRTSRIWTQETNSSHILPTSYCCLYCSGNCTHAFATLSFIAELFIFLYVGMDALDIENLRYISDSPGTSFAVSSILLGLILVRRATFVFPLSFISKLVKKSSSDKIELKQQVTIWWAGLMRNAVSMALDYNLASILLLKK >KZN06658 pep chromosome:ASM162521v1:2:34767004:34772569:-1 gene:DCAR_007495 transcript:KZN06658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESGLKLREFLDNRWLVFVASMWVQSCSGIGYLFGSLSPIIKKTMGYNQRQIAILGIAKDLGDAIGYVAGTLSEILPIWAVLAIGVVQNFVGYGLVGLIVSQILPTAPLWVLCILIFVGTNGETYFNTGSLVTCIQNFPEYRGPIVGILKGFAGLSGAIMTQIYIMINLPNEAALIFVVAVGPSMVITALMFILKPVGGQRQVRPSDNANFAFVYSVCLVLAAYLLGVLLLQDLVNLNENIITVMSVGLIFIILLPIVIPVLLVFTSKPISSAEESLLPAPESQPSISSEQSQTKDMGASEIDSLLEVERQKRIIHSAGAVSVHQKKAPSIGEDFTLTQAFKTADFWLVFISLVLASGSGMTVIDNLGQMSQSLGYENTHVFVSMISIWNFLGRVAGGYFSEIVVRKYAYPRPVAMAVVQVLMAIALCFYSLGLPGAIYVVSVLMGLGYGAHWAIVPAAASELFGLKSFGALYNFLTLSSPAGSLFFSGVIAAGIYDSEAKKQASIIPSQNLEHMLRMPLAKACEFYIQQLTIKSWLRAEHCGRRNLGKMNQRNPFNAMAQQQKYLLDRYWNYQMQQIMGATEAEVRKPAIPLARIKKIMRADADVKMISAEVPLIFSKACEFFVQELTIKSWPRAEYHGRRTLRKEDIAEALGSEPMCYEFLFDSVGIPREGGDENEMNDGDGGLGDEMDVDDGAAN >KZN04883 pep chromosome:ASM162521v1:2:17551660:17553358:-1 gene:DCAR_005720 transcript:KZN04883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVSRSSEGLVAPSEPTPIEVLDLSVIDKLHVLRCNARTLHVFRHGPNAAGIIRQALSRALVPYYPLAGRLKDDDLQGQLQVSCTGEGVWFVEATASCELDDVAYFDDVMCIPYDKLLPDSPPEEQKSDPLILMQVTQFKCGGYVIGLVFCHSICDGLGAARFLNAVGEMARGLKHLSVEPIWSRDFAPPPSSQSTQVTLPMPNLPPPMPNYQLEHANIDITLDHINQLKQKYNKSTGQTCSAFEIIAAMTWMHRTRAIDLQEDTEMKLVFFANCRDLITPPLPQGFYGNCFFPVTITASSEMIKSESLFNVVKLIQQAKTQLPNEFGRWIRGELRDENGPVDPFAPPLVYTTLFISEWGKLGFNQVDYGHGLPVNVVPIQGSSIIPVGIIGSLPGPKKGIRLMTWCVEKAHIQPFIQYMTSLI >KZN07600 pep chromosome:ASM162521v1:2:42120767:42121418:1 gene:DCAR_008437 transcript:KZN07600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINIFVTLALISAIIAPALATDFVIGDEAGWKTNFDYKTWAAGKEFHVGDKLIFKYSAGVHNVHRADLASFQSCKPSATSVALTTGNDVITLASEGKKWYLCSIASHCASGNMKLAITVLPQGESPAPAPQVMGHREESAAVGFGTQLLWIGAALGPVMMMI >KZN06912 pep chromosome:ASM162521v1:2:36829285:36831927:1 gene:DCAR_007749 transcript:KZN06912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASNRKISAASARAHTRKSHNTPSTFQLSSGLLKKSLLVLIVGFLAWGYQATKPPPPKICGSPDGPAVTASRIQLKDGRHLAYNEYGVSKDIAKYKIVYVHGFDSCRYDAVSAITLTQDVIEDLGIYIVSFDRPGYGESDPNPNQTVKSVSLDIEELADQLGLGLKFYVIGFSMGGELVWSCLKYIPHRLAGATLIAPAINYWWPGFPSNLSSEAFSQQFPEDQWSLRVAHHVPWLTYWWNTQKWFPSLTLIAQSPAVLSRQDLELVPVFSTPKRKEYEAQVRQQGEYESLHRDLIIGFGTWEFDPMELKNPFPSKEVAVHLWHGDEDMVVPVKLQRYIAQRLPWIHYHEVAGSGHMFPFLSGMGNAIIKALLIGENTIS >KZN06006 pep chromosome:ASM162521v1:2:29091183:29093435:-1 gene:DCAR_006843 transcript:KZN06006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQTLISTHHHPPIDPPPPSDENTSAPPDSTHSHPSHPPLNPPPQSADKIGVPTESNPAELPPSQDQKPNKSQQVSDNGSANDNGNSGEANGRRRRRSRWEPLPADSNGETKKRKSRWADDEPTPVFQLPDFMKDFAQGIEIDPEIQLLNARLLEISKMLQTGVQLDDRPEGARSPSPEPVYDNFGVRVNTREFRARERLNKERQDIITQIIKRNPAFKPPADYRPPKLYKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSIKEGRFGQKRDLKFDPSENEDLHVLVEADNQESLDAAAGMVEKLLQPVDEVLNEHKRQQLKELAALNGTIKDEEFCRLCGEAGHRQYACPSRLSTFKSDVLCKNCGDGGHPTIDCPMKGAAGKKMDDEYKNFLAELGGTMPESFTKESTPLAIMGSNNSGSNPPWSSNNSSASIGSSLHPGLGSNVTKVGKEIDDTNLYIGYLPSTVEDDELIRLFQPFGDIVTAKVIKDKISGLHKGYGFVKYSDVSQANQAIASMNGHHIDGRAIAVRVAGKPPQPVVPPGPPAPPVRAYLGPSQGYNGYPPQLMQSGGPPVIATPGSYTGAPVPWGPPPPYASYIPPPPGSNMYTSYQPPPIPPYGIQYPPTQVATSGALAQTFISTELQQSYSTGMQSQEDTLASNNIYGNSSVGMPPNSQPPYLASSLGHPSYCTLNPPPPPVNAENPPMPPPAPPAEQNTQSTCGAESEYDKFMAEMK >KZN07812 pep chromosome:ASM162521v1:2:43734002:43734813:1 gene:DCAR_008649 transcript:KZN07812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDETQARSLVDKISDEIENGDIKHCSEHGWFKEEKQRLIAIKDSLKEEKQRLIAIKDSLKEEKQRLIAIKDSLKEEKQRLIAIKDSLKDVDNMLVLLQRLQSRQRQQCQEAFIKLENSRMHLMANIKHFQQHELDVIGELNALKVEGGSCWPLFINCVRGLFNPWNWRTTAIKIALISVSVSSTYKLCQSGQDDKCVSSLDSVIPRNFNLLANGPLDVSYGKG >KZN07318 pep chromosome:ASM162521v1:2:39976769:39977242:1 gene:DCAR_008155 transcript:KZN07318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQEQEFQEEEMWGVVLKQKERKQGFTTRKMKSFSSSSCLSAAWQSPMHTAQEKMVSKAKNVTSEALQQKPSAPLKIPGCYNMQDSNNGDDNVAENEEDDDDEETMVPPHEYMAKKMARTRSASHSMCEGIGRTLKGRDLCKLRNAILTQTGFLEK >KZN05464 pep chromosome:ASM162521v1:2:24119532:24124128:-1 gene:DCAR_006301 transcript:KZN05464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPLYSTTKNTNRFYKFSLSRCCVSETGRDNCLPTGVGEAVKQVSAEKILQVVLVSPQIPGNTGCIARTCAASAVGLHLVEPLGFQVDDTKLKRAGLDYWPYVVVKVHSSWEEFREYFRQQKGEKRLLAFTKRGTNIHSDFSYRRGDWLVFGAETFGLPAEALLDCKNEPLGGGTIRIPMVETYVRCLNLSVSVGISLYEACRQLDYEQLQNPTEISNDKQKSFRH >KZN06618 pep chromosome:ASM162521v1:2:34443527:34445188:1 gene:DCAR_007455 transcript:KZN06618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKTLDTDETKHAHTMIFSNLLIAFLIIAMPLLIILITNKKHQHNRLPPGPKKLPLIGNLHQLGGLAPHRSLRLLSREHGPLMFLQLGSVPTLVVSSAAMAREIFKTHDSVFSGRPALYAAKKMGYKCSTISFAPYGDYWRQVRKIALVELLSAKRVQSFKALREQEVASMIKSVADSSPNPINLSELTLLQATNFVLRVVFRMKGSDYGENNAKSEFDEIFHETQHLLGMVNIADYFPWMGWFNKFNGVDARLDKNLRDLDRFYDRAIQKHRECPHRSGPDEHEDLVDVLLRIQADPKQDTGLTDDQIKAVLTVTFQHLLKLFMFSSLNKLSFKVFINDLPLDSPIQDMFVAGTDTSSATLVWIMTLLIKNPTVMSKAQEEVRRLVQGKGMVEESDLPKLDYLKMIIKETFRLQQPVPLLIPRETTETCTVGGYEIPAKTRVFFNATAISMDPQLWEDPEEFKPERFLNSSIDFRGQHFELIPFGAGRRSCPGTNFAVLIIELVLANLLFSFNWRLPDGMNAEDIDMEETIGIAVHKKTPLCLVASPYVHI >KZN06829 pep chromosome:ASM162521v1:2:36145975:36147484:-1 gene:DCAR_007666 transcript:KZN06829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNDLNKVWEIKTLKKVRENEAREILEKVAKQVEPIMRKRRWKVNVLYEFCPANPGLLGLNVGGGAEVKIRLRSPHNELEFLPYNQILDTMLHELCHNVHGPHNSDFYNLLDEIRKECEQGFELPGRRLGGYSRQPPLSSLRQSALAAAENRAKHGSLLPSGPRRIGGDSNIRAVLSPIQAAAMAAERRMHDDLWCASKSSESDGVPETIEPPIEVKEKSTETSVAPTSSQTNSQESKDAAPMWQCGVCTLSNQSLALLCEACGTAKHESRATKKQNLWSCKFCTLNNSTQVEKCLACGEWRYSHGAPAFTPGPYVGT >KZN07247 pep chromosome:ASM162521v1:2:39386538:39386717:-1 gene:DCAR_008084 transcript:KZN07247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHKARSNDRWYDDDRNRMFITSLIQTFNFRRLKILTVKMDNNMIENGRYMIEKSSVL >KZN07242 pep chromosome:ASM162521v1:2:39359381:39359793:-1 gene:DCAR_008079 transcript:KZN07242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSNNNSALLILTLALLLIFAISESRSVLPRRLAKASVPTCDMVTGVSSGDTCFSIAQSFALSADEFNSINPNVNCATLFVGQWLCVVGSA >KZN07658 pep chromosome:ASM162521v1:2:42487705:42488985:-1 gene:DCAR_008495 transcript:KZN07658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTGDNKQPAAILHYCRICHRGFSCGGALGGHMRAHNIAEVINRIQEQQHQQQEGLVSGDKAEGNKHSYFLRTTSNRFSNLQSPVRGEYSCKRARLDDDRLLSPISSSVMEVEDGGARKGYIWKFVGVKGNEKGCFSREEEDLANVLVTLSNNSTDSSICKNKTKEACMAPLAKGLFQCKACKKVFNSHQALGGHRASHKKVKGCYAAKLDDLTDDEIQEDDYIGHDETPMSQEPPPDPPCNPTSQNTSHHDHDSTRAEKVHECSICHRVFSSGQALGGHKRFHWLQTDSTFITPFHDQFQFHYYGAENSQKSTTSCSKDSELLDLNLPPDQTVDEKSTPTYLSPGMNSIIKLNSDEENKGSENYNKIENCGRNNIGVNKLHESDHNYNGEEGRPCKLAKHCNVRDLKLEGMSSPWLQVGIASNK >KZN06213 pep chromosome:ASM162521v1:2:31013446:31013718:-1 gene:DCAR_007050 transcript:KZN06213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFITSYFDHNGSGHQKLLETPHKLVDAPPYSSIYMTSRGFEAPTRRNLLLKYECANRGNAHPGVTVENNIDAEAEDFIKLKHKNFLRGA >KZN06768 pep chromosome:ASM162521v1:2:35686313:35687095:1 gene:DCAR_007605 transcript:KZN06768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGKDQIVNRKKIRFVAGPWGGSGGASWDDGVYSGVREIILVYDTCIDSIRVIYDKHGKIVKGEKHGGVGGSKMAEIKLQLPDEYLVGVSGHYGPVINSGTPVITSISFTSNKRTFGPYGVESGTPFVFSSDGAEKIVGFKGRSGWFLDEIGLYLAPAKRPSGLYYRVQQTLKNLVH >KZN07305 pep chromosome:ASM162521v1:2:39877327:39880927:1 gene:DCAR_008142 transcript:KZN07305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLANGISHFPHTESPCFTKGFLPDLIKIKSSKPRTHNSRIFKIRADVDYKPQTLDSDALKGNSDTDQLHKFLKRDYKWGFSEEIDSFSIPKGLSEETIRLISSRKNEPDWMLEFRLKSYQKFCKMTEPSWSDNTYPKIDFQDLCYYSEPKKKPTLNSLDEADPELVKYFEKLGVPLNEQNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIKEYPDLVRKYLGKVVPPEDNFYAALNSAVFSDGSFVYIPKGVRSPMQISTYFRINAMETGQFERTLIVAEDRGFVEYLEGCTAPSYDTNQLHAAVVELYCHEDAEIKYSTVQNWYAGDEDGKGGIFNFVTKRGICAGNGSKISWTQVETGSAITWKYPSVVLEGDNSVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISAGHSRNCYRGLVQVQSKADNARNSSQCDSMLIGNNAAANTYPYIQGKNPTARIEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCKDVFNELPDEFGAEVNQLMSLKLEGSVG >KZN07058 pep chromosome:ASM162521v1:2:38047110:38047847:1 gene:DCAR_007895 transcript:KZN07058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGMERYSSFVEAPGFFGDLHLYLERDMGLGLPEVLLDNVGFFGNGEVDDPPTPPSPPPKKARLSVLPSPGSSKRPSASRGSNWGPPASLKVSFKFAPVLSPPSHNIAGASSSKGKAKILSGFSFNNDGLLSKEAIQIIDSGELSSVLGLKAKSVLNFDMKTDEGKSVKDVLEELISKKLKNLNIAEDQLLYADAENPAYGYMGLQVSVSFSSPKLELMDVEEVLLAFDKLDEGSSNAKLSSS >KZN06295 pep chromosome:ASM162521v1:2:31767242:31774234:1 gene:DCAR_007132 transcript:KZN06295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSEKSVFALEQEGVEQQTKSWEGYVDWKNKAAIRGRHGGMRAASFVLVVEVLENLAFLANASNLVRYLMDHMNMLPSNSSNSVTNFMGTAFLLALLGGFLSDAFFTTYYIYLTSAAIEFLGLVILTVQARSASLKPPECQVHDSGCQVVDGGKAAMLFLGLYLVALGVGGIKGSLPTHGAEQFDEHAPEGRKQRSTFFNYYVFCLASGGLIAVTLVVWIEDNKGWEWGFGISTLTILLSIPIFLSGTLFYRNKIPNGSPLTTIFKVLMASALNTCVSVTRSPSNAIASMSVSPSHQTLFNTEAEQATGKSGEPGDPNEGPSESLKFLNRAVTRTPAYRSLQCSTNEVEDVKIVFKILPIFACTIMLSCCLAQLSTYSVQQAATMNTKLGSLTIPPASLPFFPVVFIMILAPVYDHVIIPFMRRATKTETGITHLQRIGFGLVLSVVAMGVAALVEIKRKRVATNSGLDTKAPLPISFFWIAFQYLFLGSADLFTIAGLMEFFFTEAPSSMRSLATSLTWASLAMGYYLSSVIVSVVNSATGTSHNTPWLSGKNLNHFHLERFYWLLCVLSGLNFLHYLFWASRYKYRSVN >KZN05726 pep chromosome:ASM162521v1:2:26669896:26670606:-1 gene:DCAR_006563 transcript:KZN05726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLFGGLGDDENEVIKVITSSGGVMEFYEPTTAESITDEFPGHVIFRSHDLFWNPIPHNEMLSTGNSYYLLPLPPLNKKFNPNKANAAGLDYAQQHQLQIGHVRSKSRIPSAHCPSTTAPYRMSFDHCSRKVFKKTSPLRRQAGSGGLRLSAESNRSNSDKCMSRCGIWKVKLVISPEQLAEILSQEARTGELIESVRTVAKCGKNNNNCPSSSSESAFSDLWGLAVSTSDSRN >KZN07112 pep chromosome:ASM162521v1:2:38466231:38466776:-1 gene:DCAR_007949 transcript:KZN07112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHEIKPKDFAEGSSTRSEHNQQLVPAPAPLSRYESQKRRDWNTFGQYLKNQRPPVSLSQCTCNHVLEFLRYLDQFGKTKVHLLGCIFYGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSQDKNPFGNGSIRVYLREVKDCQAKARGIPYKKKKKRKLPSKANEQEVKFSKQSA >KZN05927 pep chromosome:ASM162521v1:2:28412313:28414076:1 gene:DCAR_006764 transcript:KZN05927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTKRLGAFDSNPESNDSFKQSKEAPSLDMRRAELSRQHVRALNTQFASWVQTQLQNHPDELWEDGIQDYINHASSIMVKFSDVVDWLKAKSAPDTQTAPNKLLKDNNKNSFFGIPPASTTASFAMSWSTPSQKNIVSESKDNNEIKKIPEKPGFASSGASAGFPVSWGNPVQNKLFPEIKDTTQRESSENTGSSPAAVSSAFGITWGAPVSNKPASEDINGNGVKPFLEKPGIIASSGTTPSFGTSWSSAPIFGSQTSFSFGMSCLVNFLIQFSYH >KZN07602 pep chromosome:ASM162521v1:2:42125086:42133206:1 gene:DCAR_008439 transcript:KZN07602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGKAENLMKTLEISSSEEEYEDDEEVVWLGLIKKIKLDRFPSPFKPLAGGFPAWLDPLNLPSGTSINCGICGDPLQFLVQLYAPLCEMESAFHRALFIFMCPSMKCLLQDQHEQRKHPSDNPSRRSVKVFRCQLARSNQFYTYEVSHHYENVKARLCSWCRTWKGCNVCGNCGSAHYCSEKHQVAQSECRELAVDPQHIRSKPVSSYTIWPQFKIGIGVEPKENLMFENGGYATRLASACNEDEEFDPALFSIKADADQKSWATFEERVLRAPLQVLRYCRDAGAKPLWPMSGGRPSETDIPTCCYCGGPRCFEVQILLIGQPWSFIHVKPLVMVAPLVMEAWLTKRNLLGYCRDAGAKPLWPMSGGRPSETDIPTCCYCGGPRCFEVQIMPQLLYHFGVKNDADSLDWSTVVIYTCEASCDGSASCDGSVAYKEEFAWVQPPELATLR >KZN07582 pep chromosome:ASM162521v1:2:42002782:42004719:1 gene:DCAR_008419 transcript:KZN07582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQRLELPCSFTRKETSRSQSIRPVVNLSIEKPAEARTSSCSLRKSISLPPLTSTGPVVFHDSKLEKKDEFRDKSKNRLKRFVDENFFDKDDSCISRAKKIRGSRKYDDSLEGGEKLCLDQLWFRQSFEVPTSVSQFSGLNPQQSPFSLLCSEEEETCFAPSKVTATTSPLPNNPWANSVVTEFPKLGERNVEPSQAIAKEASESSTSSGSDNNLENRLHEDANEHEIGNGSSPNNPNGSTGFVAGSSDDNGDHDGFELVSLLIACINAIDLKNIEGVNHFIAKLGELASPRGSSLSRLTAYFTEALALRVARVWPHIFYINTLCELNSAEEESGTALRLLNQISPIPQFIHFTANEVLLRGFEGKDRVHIIDFDIKQGLQWPSFFQSLAYRNNPPSHVRITGIGESKQDLIETGERLAGFARALNLQFEFHPVVDRLEDVRLWMLHVKEGECVAVNCIFQLHKMLYDGSGGILMDFMGLLRSTNPTVVVMAEQEADHNCHRLESRFYNSLKYYGAIFDSLDLCLPQDSLARIKIEEMFAREIKNIISCEGRDRLERHENFGKWRKLMETGGFRNIGITDREFLQSRMLLKMYSFDNFRVEKQQGHLDGCSALTLNWSDQPLYTVSAWAPLDIAGSSASHSQPS >KZN07592 pep chromosome:ASM162521v1:2:42074071:42075855:1 gene:DCAR_008429 transcript:KZN07592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSDKLDCASSNHEFKDSTSSSLYPFTEFTNHESLPSLAELFSSFTRAYPQYLKTCQADQIREQEYYHLVNHVCLDYIGNGLFSYEQQQSHYSASASTSAPLYSNSSSAPFFHISNKPANLYSVLQYGGQESELDSIIRKRITSFMNISEDEYSMVFTANQSSAFRLLADNYPFKSNRDLLTVYDYVNEAVEILTDCSKKKGARVMSAEFSWPKMGIHSKNLSKMVTSKCKNKTRGLFVFPLQSRTTGARYSYQWLRKAQENGWHVLLDSTASEAKEMETLGLSFFRPDFLYCSFYKIFGENPSGFGCLFVKKSSAAVFKSSTGTSIGIVSLFPATKHGQYLDKSSSFDVDPEHQPSPSEPKKANLAHSSSSCSSGSIQQEIVSEITELDNSLNITDLGNRDATSSGASDIEFRGLDHADSLGLILIRSRSRYLVNWLINAMMSLQHPHSEFGLPLVKIYGPKVKFDRGPALAFNIFDWKGGKVDPQLVQKLADRNNISLGLGALKNIWFSDKHDEESTLLHTRTPEHRRLLRNKKDKLNFSMSVSTASFAMLTNFQDTYRLWAFTSKFLDADFVEKERWRYTALNQRAIEV >KZN07773 pep chromosome:ASM162521v1:2:43484359:43484893:1 gene:DCAR_008610 transcript:KZN07773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLFAPALKLEKSRAHVKFYISNSDRQIDGLDYPVTYSGLRDLVKRMRDSPAFWESRMFFGFMSNLIANAVVESDEKDPFTIIYLGRRVFKETPENEMQRQWWLANSEFREDDLDLIVRMADLFYPLDTFKLDDGYRVHTHLYFANSDD >KZN04192 pep chromosome:ASM162521v1:2:3564847:3565425:-1 gene:DCAR_005029 transcript:KZN04192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWKDAASVITGDGKNKAQLEYNGMIDTFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVTYEIVKDVLKVEMRISH >KZN05203 pep chromosome:ASM162521v1:2:21094921:21098768:1 gene:DCAR_006040 transcript:KZN05203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADDDLQMLLSEQRRELATAMSSETDNDLAFKLQMEEALNASLASQPSSSDAKRQRLESSDILDDGEFGSGVAHLLAEEIDKFVRERSDREYVDGEMRRVREELGQMIHDRAFARDVLAVPEAEWKNSGDYYHKPYEGVSVSEKISSEDFRLYCKGLVSEETVGSEKKVLAGIGVAICDSSGEIVIFKMRKPLVLEEMSVEIAEVKALIEGLNAAVTLGLKRITVFCDDPMTYQYMVYPEFRTIWNKKGTICFTFYGTISVMKVTGKWVSKLGNMATLADELALLQKKFTRCFPHLIEKKNVQFAVGLARDAITAQITWPGKSSIKTIETCKICLEDRAVGQMLVVDGCKHRYCSSCLKQHAQAKLSEGMLPSCPHEGCKTELKFEYCRKFLPGEVLDIMSQRIKEATVPVTDKVYCPYPRCSILMSKTEVCAINNAGGSRCMNCHGLFCINCKVPWHSNLSCSHYKSLHPHPSSEEVKLKTLATRKQWRQCEKCNNMIELKEGCYHISCRCGHQFCYSCGAEWKNKKATCNCALWDERNIVHPARNRR >KZN07173 pep chromosome:ASM162521v1:2:38812832:38815049:-1 gene:DCAR_008010 transcript:KZN07173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNTNQQQEGAASVPAKRKPVFVKVNDLKPGTKGHNLTVKVVSSTTVLDKKSIRNSGNTRIAECLIGDDTASILFTARNDQVDLMKPGTTVIIRNSKIDMFKGSMRLAVDKWGRVEVTEPAKFEVKEDNNLSLVEYELVNVEV >KZN05528 pep chromosome:ASM162521v1:2:24730860:24731384:-1 gene:DCAR_006365 transcript:KZN05528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSGNSSSLLRDLSQGSSKKGPSKPRGRPRGSKNRPKQEPKRENMAIKPVTLEVPAGVDIINWVANFAKSNQVCITVTAGFGVVSLAVFANVLSQTPHKEYKEYLSVDNFSGTYVFSPLAQTTQSFFNAALSRVNGQLIGGEAFRMVTMGKVVLSAYVSKNSHVFAAEAAEFH >KZN06739 pep chromosome:ASM162521v1:2:35484691:35485689:1 gene:DCAR_007576 transcript:KZN06739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIAFGRFDDSFSLATLKAYVAEFISTLLFVFAGVGSAIAYAKVTSDAALDPSGLVAIAICHGLALFVAVAIGANISGGHVNPAVTFGLAVGGQITILTGVFYWIAQLAGSVVACYLLKVVTGGLAVPIHGVAAGVGAIQGVVFEIIITFALVYTVYATAADPKKGALGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFSGHWIYWVGPLVGGGLAGLIYPNVFMQQEHIPLTNQY >KZN07338 pep chromosome:ASM162521v1:2:40127303:40128406:-1 gene:DCAR_008175 transcript:KZN07338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFICPYKTDKTDSISMKLVDRDDTRMADIPNDILKHMLKLLATNIGASDFVRATSSCKEWKEFAEDTEILKTVQFGRTYRLNESFWSEKGFLVKCANAGNKSAIDILVRKRKLDTLRLFMQRMKARHSRPDLRATGRFTFCLVTSVDIICILTFSKWLIQNL >KZN04967 pep chromosome:ASM162521v1:2:18486708:18487203:-1 gene:DCAR_005804 transcript:KZN04967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQNVELWTTRHTSTTRFERRFFVSKGCAVSFYLPLVPIDRIAKMFKASENGHGLSNGDADVVMSQ >KZN05854 pep chromosome:ASM162521v1:2:27753582:27754258:1 gene:DCAR_006691 transcript:KZN05854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFWCSYSTVPLNVIITQMGSRCKKALIAENVRDSLHSWCKRVKERSKRDAVNSIATRSTCSLGSTIDEGDEIITVASPTISPCSSNASLNQLCIASSDPPTNGPDQFEHEHSFRASEYATGDVNNGNVEDRKDEKTVTLFELLRNT >KZN06878 pep chromosome:ASM162521v1:2:36552707:36558335:1 gene:DCAR_007715 transcript:KZN06878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLNSATNINTLEVVAVKILAPVITNSGSAAGGAEVGRIILIHLPIDYLASMPVDAYNESRKTKHPQLLYEAKVYNILRGGAGIANIRWCGIDGENNALVLDLLGPSLEDLFVYCGRKFSLKSVLMLADQMLTRIEYVHAKGYLHRDIKPDNFLMGLGRKATQVYLIDFGLAKRYRDTGSHRHIPYRENKNLTGTARYASCNTHSGVEQSRRDDLESLGYVLLYFLKGSLPWQGLKAPTKKQKYDKIREKKLATPIDVLCKSHPIEFASYFHYCHSLKFDMRPDYGFLKRLFRDLFTCEGYESDNVFDWTILKYQQSSKTNLMDSSLQPVSGMTSTRVSPGDKHHRGYANEHEGSSNLMCPDDHMNFSSGLAHNLIADKSLDKNTISNAHMPSTNITLGAPSKQNFPKTEDLAAAAIDQELDDKAVASNNWMQ >KZN05784 pep chromosome:ASM162521v1:2:27208428:27209916:-1 gene:DCAR_006621 transcript:KZN05784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSYVVLLLVLLAASATANLSPDGSPNFGDICKEVSCGKGTCEANLGSQFGFKCKCEAGWSRNRPNQEDFDFLPCIIPNCSLDYSCMPAAPPAPSVPANESVFDPCYYAYCGEGECKKNLTYGQTCNCKPGYSNLLNITSFPCFNECALGSDCERLGINVSRSTSDDNNNNNDENQATSFLPGNFHWIGIMLITALLAVRN >KZN07404 pep chromosome:ASM162521v1:2:40639040:40642513:1 gene:DCAR_008241 transcript:KZN07404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRSVGFRRGGVANGKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHHAEVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYSPFVPQTVPPSSYAESERDERSEKHPEQRALLVESRRQEVLLLDNEISFNEAIIDERDQGIQEIQNQIGEVNEIFKDLAVLVHEQGAMIDDIGSHVENAHAATAQGKSQLVKASKTQKSNSSLTCLLLVIFAIVLLIVIILLAA >KZN07819 pep chromosome:ASM162521v1:2:43782867:43786755:-1 gene:DCAR_008656 transcript:KZN07819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNAVGLSSISSVLSRKPKKTTTTISSSLKSPYVVNSTTQNGLVFLSSVLSTGYARALTYQEALQQSTSNSPSDSDVGGILDGLTSFLVENPAVIAGGAAILAVPLILSQFFGDSKPWGIETAKNAYAKLAEDDNAQLVDIRALADLRLVGTPDIKSLSKKSVPIVYNGDDKPGFLKRLAFKFKEPASTTLFILDKFDGSSELVAELVTANGFKAAYAIKDGAEGPKGWMSSGLPWIQPKKGFSFDFSSLTDAIGEGSDAVSVALGVAAATGLSLLAYTEVETLLQVLGSAAIVQFLSKKLLFAEDRKQTFLQLDEFLTKQVAPKEVVDDIKQIGKAFLPSISISTSLPAPAETSATITESSVAKTEVAPEDDSVPTPIADSNSAPLKAEADAVSSPEVDTVPAPEVAAAAAVPNPSRPLSPFPYYPDLKPPTSPRPSQP >KZN05235 pep chromosome:ASM162521v1:2:21521470:21526603:-1 gene:DCAR_006072 transcript:KZN05235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDPTCINSLDMVNYVSRNESLVSADNVAVANLSHPISSNLNLSAAKQGDPQNCSNWKSLGSHQSGDWNFNNYNRSNNNPTSISESSSSPLFTGEGRGSLKVNNISSPSFYWKQPSSYGYNHDVVLQSSLVASPAPYNYQNTLQEVVTSAGAGPQGQDMVRYGGKDSNELLLLPSYNEYQVNQGRSCDGDLWVNRPMEVFRNQNGEDLANKSIRALTGGEGSNTQGLSLSLSSVSQTNNRREGQFGERNGLSDRPIDSKPFKSDYICSSSRPSVGNKVFGINRQNPGITAIPHRDAAGPLGPFTGYATILKNSRYLNPTLQLMDELCRVSGSKQIETHEVYSGKNSDEVVVSAVDFAFNVAHDSMVGSKGGNSGASSSTFYSSNRIGGEAGVPSNANESYHPEYHQKKTKLLCMQEELCRRYRQYHQQMQMVVTSFESVAGLSAAAPYISVALRTVTRHFRCLKQVISEQLGHIGRTLGEDLSSPTGGTTSSTKCDVSTSGLKLIEHQKQKSCGSSMIFFEPQQPVWRPQRGLPERAVAVLRAWLFDHFLHPYPTDTDKHMLATQTGLTRNQVSNWFINARVRVWKPMVEEIHVLETKGSTESSSQMGKPDGNTASESTNRYDTQTMNKLQGIDSSRSREMTSADVWNQEKRSRHECQIPAGMDAGSLMSLLPYQRSELEIGSGLGAVSLTLGLRQSAESGQQQQDQYQQHFGGHMIHDFVG >KZN06491 pep chromosome:ASM162521v1:2:33320514:33332548:-1 gene:DCAR_007328 transcript:KZN06491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSAIPASVLRNLADKLYEKRKNAALEVEGIVKQLTAAGDHDRISAVINLLTNEYTYSPQANHRKGGLIGLAAATVGLTSEASQHLEQIVPPVINSFSDQDSRVRYYACEALYNIAKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPCLHLKSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGEQLVPYYADILGAILPCIADKEEKICVVARETNVELRSMEADPAEGFDVGAILSIARSQLSSEWEATRIEALHWMSALLNRHRTEVLTFLNDVFDTLLKALSDPSDAVVLLVLEVHAGIASEPMQFRQLVVFLVHSFRIDNSLLEKRGALIIRRLCVLLDAERVYREVSTILEGESDLDFASIMVQALNLILLTSSELSHLRDLLKKSSVNEAGKDLYLALYNSWCHSPMAIISLCLLSQARAKNFELSISALCGYVCYCGDQLLHVRCTDAPCNCIYLQTYEHASTVIQSLAEEDINVKCLVQLDKLINLLETPIFAYLRLQLLEPGKHIWLLKALYGLLMLLPQQSAAFKVLRTRLKTVPSYSFSGEHIHQSSSGNPYTNRYNMPSGSYESGDGEIDEDLQNAHSGMNFPSRLQQFAQMQQQHRMYYESEAQSRYSPMLASKDVQSPEEAPRPSIVPEINKSLSRLKISS >KZN05578 pep chromosome:ASM162521v1:2:25237391:25252565:-1 gene:DCAR_006415 transcript:KZN05578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKKGGHRDEAEEDLARDPLQAILLADSFAQKFRPITLERPKVISLSLSLPLPPSRSTYVLLPIVNVPMIDYTLAWLESAGVEEVFVFCCAHVRQVTDYLETTKWLTQPNFKVSTIESHNCVSAGDALRVIYEKNVIRGDFILISGDTVSNMSLTEVLQEHKERRRKDSNAVMTMVIKKSKPSAITHQSRLGTDELIMAIDPDTKQLLYYEDKVEHLKGITLDKAMLSENASVSLYNDKQDCYIDICSPEVLILFNDNFDYQHIRRHFLKGLLQDDIMGYKIFTYEIRSSYAARIDNYRSYDAISKDIIQRWTYPLVPDVQFFKNSATKLERQGMYRASEVGQSRSAQIGPSTVIGNGTTIGNKTAISNSVVGEGCCIGSNVTIEGCYIWHNVTIEDGCKLKHAIICDGVILKAGAVLEPGVVLSFKVVIGEQFVVPAYSKVSLLRQPINQDSDEELEYADDSSGVAEHSPIANGAIRNDSSEMDACTTSERCLKVGTNGVGFIWSLNEAALEEEWRHSVAPIPADKLAAIMLAANGDPDLLTHDENILPPSGELEPESVTNDSDTDVNDEATYFEKEVEATFLRAVEEDVKNDHIILEVNSLRLSYNMTALDCASALFYSVMKLALDMQHNTAILLQNTVEPPLPNPLLQARSPPDNDTTVMVAPTSAKLNLPPSPPSPPLSPPPPPPPESTT >KZN05712 pep chromosome:ASM162521v1:2:26556990:26557205:1 gene:DCAR_006549 transcript:KZN05712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNRNLAHHPLLNLKQDFQNYDKHSFHGQSCLKLNNLPKVFHVQKIRTPGGTFHFTGSSWKLSSTTSMIR >KZN04775 pep chromosome:ASM162521v1:2:15642607:15643779:1 gene:DCAR_005612 transcript:KZN04775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPYQMISNLRPQTTIQWKLKVRVTRMWRRMDRYGQTSGLNMIFVDELGGRIHAWIPAQNMNMVENMITEGQSYHIRNFVVRQYVPMQTERCFRNDIYIQLYNMTEIVVTGAVEFIPQHVFQFTALSEIINAALEDNYLIDVVGKVVQVHPIRNFTNKYNQQKSSITFTINDITGLAEVTFHDELAHSFQQGLNNANQHPIIVIISSCKSNFIEGEPKLTNRSATRFFINHDHEAVEDLRNAIRLANWHYQ >KZN05889 pep chromosome:ASM162521v1:2:28100935:28101324:-1 gene:DCAR_006726 transcript:KZN05889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGRSMSFTGMERCEEVRGEDEMSDDGSQSLGEKKRRLNLEQVKALEKSFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLERDYDILKRQFDALKADNDSLKSENKKLHGEVTWIYN >KZN04963 pep chromosome:ASM162521v1:2:18405434:18407602:1 gene:DCAR_005800 transcript:KZN04963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSKQSQVIVLSGIVIFLTLLWRILSSRYSRSHKKDYALPEPPGAWPIIGHLHLLGANKLFHRLLGDMADELGPIFSLRLGSRKALVVSSWGVARECFTVHDKAFANRPTTVAVKIMGYKDSMIGYLPNGAHWLNLRKLAVVELLSNRRLDKLKHIPESEVNLFVRGLYDLWKGKGEGSMLVVELTEKFKDLTMNIMVRMLAGKSYFGNGECKNEESKEIQKGLEDYLNMAGLFMVSDAAPLFGRRACPGSTFAIRVLHLTLAQLLHGFELGTVSDLPIDMTEGSGITMPKLTPLEVTFKPRLAPSLYV >KZN05815 pep chromosome:ASM162521v1:2:27462297:27466466:1 gene:DCAR_006652 transcript:KZN05815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVFSVEDISDQFWSPPAREESSKLMMNRSDSEWAFQSFLQQASALESEPPSQPVAVAGDVKNPVEIPANVPVDSEDYQAYLKSRLDLACAAVALTRASSLKPQDSAALLENGSQASNTSQLVSQAPPKGSGHDLSKEEDKDAPAVTPLLPALQKKSAIQVKSTTSGSSRDHSDDDDELEGETETTRNGDPSDAKRVRRMLSNRESARRSRRRKQAHMTELETQVSQLRVENSSLLKRLTDISQRYNDAAVDNRVLKADIETMRAKVKMAEETVKRVTGLNPMFQAMSSEISTIGMPSYSGSPSDTSADTIQDVQKQHFYQPAPMSHLPAQDQRAQNGLLQVPPIDNSQQHSASGPVEGNKMERTSSMQRVASLEHLQKRIRGGVSSSEAQASGKQ >KZN07375 pep chromosome:ASM162521v1:2:40424668:40425171:1 gene:DCAR_008212 transcript:KZN07375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYNSAKLVIVVSTLVLLFGSLPQGHAEVQPQAIPAGLLNIVQFQFTSFLACTPTGNPPSGGGVPGVAGALLSGTCNGASGSLATAFTNATGFAQGILTLAEGIVIDPSRGMPCFLTVRLPLTGTTCTVFPPTGILEAAFQLLSVVNNPLGGLVAIVTTVPWVYRP >KZN06014 pep chromosome:ASM162521v1:2:29153785:29157412:-1 gene:DCAR_006851 transcript:KZN06014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSAVPYSDDQSPPQSHPSAAAPPPSTATNTIHIHPTSTSPPAPRPSPPLSGIGRVLGRPMEDVRDTYIFGHELGRGQFGITYLVTHKSTRKQFACKSIATRKLLNKEDADDVRREVQIMHHLTGHRNIVELKGAYEDRHSVNLVMELCAGGELFDRIIAKGHYSERAAAVLCRQMVTVVHNCHSMGVMHRDLKPENFLLLDATENSPLKATDFGLSMFYKPGDVFKDLVGSAYYVAPEVLRRNYGVEADVWSAGVILYILLSGVPPFWGENEKSIFDSILRGHLDFSSDPWPSISSSAKDLVKKMLHFDPKERLSAVNVLNHPWMREDGDASDKPIDIAVLSRMKQFRAMNKLKKVALTVIAENLSEEEIIGLKEMFKSMDTDNSGTITYEELKAGLPKLGTKLSESEVMQLMEAADVDGNGTIDYIEFITATMHLNRMEREDHLYKAFEYFDEDKSGFITMEELEHALKKYNMGDEKTIKEIIAEVDTDNDGRINYDEFVDMMRKGNPELVTNRRRK >KZN06086 pep chromosome:ASM162521v1:2:30008077:30009123:-1 gene:DCAR_006923 transcript:KZN06086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKFLKFKLRQKRVHDAVSDENTHDNILDDFVARRFSWDEIQVITMNCSSSRMIGYGGFSSVYLAKFLDSSLGAVKIQCSSERLDQVYKQELNILLTVSHPNIVRLRGYCDERDQGVLVFDYMCNGTLDEKLRGRGHDSSYLSWKTRMVIAFQLALAINYLHDECSLQIVHGDIKASNVLLDENFNCKLCDFGSAKMGFTSLVKPPSSSSMSRNRMIVGSQGYLDPHYLKTGLASKKQDIYSFGVILLELISGKEAFCAKKRQNLTTIADPMMRDPLKVRDMVDPRFDSDHTFQLEEAKIMASVSGKCLGQSPSTRLSANEIIKIMKDQVPSISYLFQKSATIAKR >KZN07163 pep chromosome:ASM162521v1:2:38767996:38770028:1 gene:DCAR_008000 transcript:KZN07163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVSNQSSLSNVSSRISDIHDAMVYGFDLSWRYFYCLKCGVGDYCDTTALDHHLWNCESYRQCDLSNPSSYHFSISCLRENWHGIVEYFERADIQRRISKIAGIIFAARFSLGLPFLLALVAYSARRRHLSMYDTIEDFLQAQNNLMPIRYTYSDIKRITNNFKEKLGEGGFGTVYKGKLRSGLLVAVKILGNYKATGKEFINEVAASGRIHHVNVVELVGFCFEGPKRALIYEFMPNGSLEKYLFCKEGTEEEMVSLSWETKYEISYKVATGIDYLHRGCDMQILHFDIKPHNILLDKNFNPAISDFGLAKLFATNDSIVTLTAARGTMGYMAPEMFYKNIGGISYKADVYSFGMLLMEMTGQRKNLNPSMDHVSQSHFLSWVYDQFSEGKELEMEDVTEDERKLVKKMIIVALWCIQMKPSERPSMNKVIEMLEGDSENLTVPPKPFLYPLQEDQAESNNSTHFS >KZN04512 pep chromosome:ASM162521v1:2:12106940:12108542:1 gene:DCAR_005349 transcript:KZN04512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKTVKDVSPHEFVKAYAAHLKRSGKMELPHWTDIVKTGTFKELAPYDEDWYYIRAASMARKIYLRGGLGVGAFRRIYGGRKRNGSAPPHFCKSSGGIARHILQQLQTMNIVDFDSKGGRKITSSGQRDLDQVAGTIAVAP >KZN07190 pep chromosome:ASM162521v1:2:38973301:38974018:-1 gene:DCAR_008027 transcript:KZN07190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKITQSSLASLSKAISQAPSLYSRFNVSKLGFISASNYSQLQDVHGDFTDADDELGALTQKSKENVEDLMDTGHEMKEEIKNEAYDMKDTAKEAAGTAKDKAEEAAEKMKEKAGMGGADDESSEQTLGEKARQTVEEMWVVAKDTKHKIKESVVGKSGKDDHFVGDDVPERKVYEDFLESARKVGNLDHEKKE >KZN05851 pep chromosome:ASM162521v1:2:27739534:27740655:-1 gene:DCAR_006688 transcript:KZN05851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRARSYNVSSQGQDGWTIRKTLTSSDVDITHPFLTLPRQPVENHILVHLTQLERNQLNNREQVTINARDEDTGENHVMKLKWRGSYYNLIGKWGKLVRAKGLDAGREIKLRWLNGCLHFSVPTLQVMTAIPIQMVAPPIHREQWPIKKVLTLSDVDTNHPFLPLARRSVEDHILIHWTQQQRELLRNEEQVNVNALDVDSGEMYVMKLRWRGNYYNLIGKWGKIIRRKGLGVGKEIKICWGNGCLNFSVPYERNVVVLPGQEQWPIKKALTLSDVDTNHPFLTLPGKAVEDHILYYLTLQAREQLRIERQLNINARDDDTGDLYIMKLKWRGSYYNLIGKWGQIIRGKRLQVGREIRVRWDNGCLIFSVPES >KZN04872 pep chromosome:ASM162521v1:2:17441385:17442203:-1 gene:DCAR_005709 transcript:KZN04872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATTRDLLPVPQPPDFHPTITVAPPSHDGLHFWQFMVAGSVAGMIEHMAMFPVDTVKTQMQALGSCPIKSASLSQALTTILKSQGTAGLYRGIAAMGLGAGPAHAVYFSVYENFKRRFTVEDGGGGNALGHAGAGVAATVASDAVFTPMDMVKQRLQLSSSPYKGVVDCVRRVVKEEGYRAFYASYRTTVLMNAPFTAVHFSTYEAMKKGLSEVSPESAEDERLVVHATAGAVAGALAAAVTTPLDVVKTQLQCQVMCCLQLVVLRFVYL >KZN06953 pep chromosome:ASM162521v1:2:37094930:37095638:-1 gene:DCAR_007790 transcript:KZN06953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMHSLVLSCALYFSLTVALAHLLFPSEAKLAMDIANAEAASQYAGVPLSKSSNDIFDEVDLDTTPIAKDERILANMVALKRTVETGRRYFPNCSEVLDKFMSDDLNDESYFLDKGTAEEQEIKKQRFMELKEDLQNAFTKDKAELSRVGLSSTTSSSNVGLSSTTSSSKVKRKRKSPS >KZN06460 pep chromosome:ASM162521v1:2:33084822:33095904:-1 gene:DCAR_007297 transcript:KZN06460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNSFLFSKVSKLCSRSKHKFAESLLKEVGSYERASITDRSKLLNKVSILMGYSDLNELFENESVPTISGLNQEAAAGDFSFARKRFPSIILGQSPKVKLYDENTLHERIDHAAGQICKDFSPSAVNAMWVNPDSFYEAWPSMASIHKLESLSLRGEDNFNLPSSLDSQTVDNNSVSGSSGTGEIWLSQAASLRVEKSSSSKEETSYTSPLRANSQTSMAEPKLVPEPLVDDAPSGTTLEIQQTALSVNLFLDKPISFIPKLSKKHSSQLENCGLHTLRKLLHHFPRTYADLHDAQIGIEDGQYFSFVGKVLSSRGVRASFNLSFLEVVVGCEIVEINSTSEQFTDEIDYKKKKTVYLHLMKFFRGTRFTFQPFLKSLQAKHKEGEIVCVSGKVRSMHAKDHYEMREYNMDVIPDGNASNASGTERPYPIYPSKGGVNPNILKSIISRVLQVLPGEIDPIPRNITEEFGLVPLRDAYLGIHQPENIDAADLARRRLIFDDFFYLQLGRLFQMLEGLRTQIEKDKLLDKYRKPELNVVFAEDWCNLTKHFLGALPYSLTRSQLNAASEIIWDLKRQIPMYRLLQGDVGCGKTVVAFLACMEVIASGFQAAFMVPTELLAVQHYEHLLNLLENMGNEHDKPSVALLTGSTPSKQSRLIREGLRAGNISLVIGTHSLIAEKVEFLALRIAVVDEQHRFGVIQRGRFNSKLYFNSLSSTLAGNDSSASPKGEYMAPHILAMSATPIPRTLALALYGDMSLTQITDLPPGRIPIETCIIEGSESGFSKVYQVMLDELEAGGKIYLVYPVIELSEQLPQLRAASADFETISSKFRDYNCGLLHGKMKSDEKDEALRRFRSGETHILLATQVIEIGVDVPDASMMVVMNAERFGMAQLHQLRGRVGRGVRKSKCILVASSVSSLSRLKVLESSQDGFHLASMDLILRGPGDLLGKKQSGHLPDFPIARLEIDGNILQDAHAAALETLGMSHDLEKFPELKAELSMRQPLCILGD >KZN06674 pep chromosome:ASM162521v1:2:34922022:34924856:1 gene:DCAR_007511 transcript:KZN06674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVEVPQKSPDAGGSGQLRLYQTWKGSNVFLFRGRLIFGPDVRSVLWTVILIVAPVPCFCVFIARKLMDEYSKNIGISVMVVAVLFTIWVLVLLLLTAGTDPGIVPRNAHPPLPEGFDGSSLYEPHTVQYATIVLSDVITIALILDNVLDWISNRETASIRKAMAKYPASIALIIYTIILSVMAGALTGYHLYLISKNMSTIDHHKHRYPSQNSNPFNKGVTGNFMEVFFTSIPPSKNNFRAKVQIEPEIQIRVVGSSSSGSNMEELTGDLEAGLKLVSEDSGTGLHEIEVDISTNDRVNKHDECDEESPDLNRIQSLPDVDAMASEAGHFNRESTGDNGSCLSSAMKNVQ >KZN04313 pep chromosome:ASM162521v1:2:7662107:7662838:-1 gene:DCAR_005150 transcript:KZN04313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCLLFGHKIWMEEDSAWTLMRERKRWKEKKHDLSGFANHSTIFQVAVSCSDWEYMACCMIFTQGPSITGSATATTYMSRVDASYSNFILVHSNPDIRMTGFTVKLSIS >KZN04019 pep chromosome:ASM162521v1:2:674736:679820:-1 gene:DCAR_004817 transcript:KZN04019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWRMPMGMSSANPSIPRRKSTEQHASCKPSSGEPMPLDPEDFDDVFGGPPLTVLSRQFSRGDMQSLSYEHIFRKPEKFVSPTGTGRNLPEFRIPAPGGGRRGEEFYVDMFGNETEEGRRCRSRSKSKTTNSKSNSSSVLSSEELSPFQAVMSDEDASFPVFASKLRPINVPSRWKTSTAMHKVVQQKQGMPNYSCCRPSVAENEHIDGFKSIDFGFSRRVSSPQTSNLGPNSYSSFKVSVDDLEVNSPSSVVSSLLQEQQYRTNKIQEEEEGDDDEVMSSYIIEINGGNKEQTNKVGGVAEAVEWAKGKFQSQSSLEEWSSRQPEKPVFAEMPVQKEMALLDEEIRLWSSGKKRNIQLLISTLHEILWPTSGWCPVPLGILSESSNLNKAYQKARLCLHRAKLQQRSATPPQKYIAEKALSILQDAWATYTSQDIKTTRG >KZN05076 pep chromosome:ASM162521v1:2:19664644:19664889:1 gene:DCAR_005913 transcript:KZN05076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFPPDGLNLNILMCMQGIATVSHSKKMAHGCVGELARVLVIDQSFEGLIPGGVGSYTALKEWLPMWSCHLLSMARVSRK >KZN06720 pep chromosome:ASM162521v1:2:35310956:35314640:1 gene:DCAR_007557 transcript:KZN06720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNSKRVEHYGGTETTTNITIFSSEAEYLDAMSQPPPPPPEVHKVCLPPSRTTFQKLVQRLSEIFFPDAPLDRFKNQTWFRKLVLGLQFFFPIFVWAPNYNLKLLQSDVVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSVLGSSRHLAVGPVSIASLVMGTMLSEEVSYTDQPILYLQLAFTATFFAGVFQAALGLLRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGXCSNHRLLGIVHFTTKMQIVPVLTSVFERTDEWSWQTIAMGASFLLILLTARKVSMRNKKLFWVSAAAPLTSVILSTLIVFLLKSKLPMVKTIGHLPKGLNPPSSNMLYFHGSYLGLAMKTGIVTGILSLTVDGNQEMMAIGFMNMAGSCSSCYVTTGSFSRSAVNYNAGAQTVVSNVIMSTTVLITLLFLMPLFHYTPNVILGAIIITAVIGLIDYEAALKLWKVDKLDFITCLCSFFGVLFISVPLGLAIATALMLRWIREEQEWISANNESTLKCVILDMTAVTAIDTSGVDTICEVGKMLQKQSLQFVLANPAGNVMEKLHNSNVLDSFGVNGMYLSVSEAVDDISSTWKSQP >KZN05243 pep chromosome:ASM162521v1:2:21616971:21619055:1 gene:DCAR_006080 transcript:KZN05243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGILMTTSGMYKIHQEQQHQQQQMRDKNTVVPPGFAPILPRFGENPYEYFYGQPQSSIHPAYLEHVSVNHTLNDMYKNYQQQQPRDKNTIVPPGFAPILFGENPYENFHGQLQSSIHPTYLEHVSVNHTLNQGFGVENHQMMNNTPLYPQGFLYEYSMQQHAMNNSSATQQTNAPQVERSSHENDLEVEVESPNALEVEVESPNSPKSKPRLRWTPELHERFTRAAEELGGYFEARPKAILQKMNVRGITREQLKSHLQKVRKSIIHTSSSSGGNLNGQARGRLDFESDVGGHGRLQMSCKCLNNYCEYRIASVNEHLANNVKFDSKDFCSWKSSRTSTYHQIFRNATYDSDAHDMKFKDVVFGWIIYIF >KZN04425 pep chromosome:ASM162521v1:2:10568247:10576854:-1 gene:DCAR_005262 transcript:KZN04425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDGSNEKESLRTGSDVGRSLRSNFGHLSSSFRNIITLSSLDDNGDDQKSQECAEFSKLSSSKCSRSSSFDEDNKNTPDAKMKQGVDVTKLDALERHMLIEKLIKDAKNDNLQLLQKIRKRMDKVGVKLPTVEVKYTNLHVEAECEVVHGKPLPTLWNSLQSMVSDFAKLPRLKPKAAKIDIIDDISGIIKPGRITLLLGPPGCGKTSFLKALSGNLDKSLKMTGNISYNGYNLKDIVPQKTSAYVSQNDLHIPEMTVRETLDFSACCQGTGNRAEIMNEISRKEKEAGVFPDPDIDVFMKAISVEGQKETLQTDYILKILGLDTCADVLVGNAMKRGISGGEKKRLTTGEMIVGPSKASFMDEISNGLDSSTTYQITSCLQQLAHITDATILVSLLQPAPETFDIFDDIILMAEGKIVYHGPCSRILEFFEGCGFRCPERKGVADFLQEVISRKDQAQYWHQSAHSHSYVSVRTFSEKFKQSPFGKKLDKDILEKNVMSENHDNSISFRLFSLSKWTLFKACMSREFLLMRRNSFVYIFKSAQLIIIASVTMTVFIRSRIKINALDADKYLGALFYSLVIILVDGFPEVSMTVARLAVFYKQRELCFYPAWGYAISAAILKVPLSLLEAFIWVCLTYYVIGYSPEPERFFRHLILLFAVHWTSISMFRFIASLLRTVVASTTTASLSILVVLLFGGFIIPKSSMPFWLEWAFWLSPLSYGEIGLAINEFHAPRWQKLGSTNTTIGITTLENRSLNFDGHFFWISISVLFGFILFFNVGFLLALSFLNPPGSRAIVSSVKLSQIQRSEESTNTDYHDEEKCSNRGKAVLPFEPTSLVFQDVQYYVDAPTEMRERGFSQKKLQILHDITGALRPGVLTALMGVTGAGKTTLLDVLAGRKTCGTIKGEIKVGGYPKIQETFARISGYCEQTDIHSPQVTVEESIIFSAWMRLHPQINAQTKYNFVKDVLQTVELDGLKDALVGIPSVSGLSTEQRKRLTIAVELVANPSILFMDEPTTGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELILLKSGGRVIYSGSLGQNSSNRIPGVASINKNYNPATWMLEVTSKSAEAELGLDFAQVYQSSALHERNKEMVKSLSIPPSGSVDLLFPTRFSQNSWGQFKSCLWKQHLSYWRSPSYNLMRLMFMIFSSFLFGLVFWDQGKKINNQQSLFNMLGLIFSATIFCGINNSSSVIPYITTERNVLYRERFAGMYAPWAYGLAQVTIEVPYIFVLSFVYVIITYPMIGYYWSAYKVLWYLYSIFCTLMYFTYMGMMLVSLTPSYPLAAIISSSSYTMLNLFSGFIIPQPQIPKWWLWLYYLMPTSWTLNGMLSSQYGDLEDEIIVFGETKTVAAFLEDYFRFHRHRLPLVGAMLILYPIVFASIFAYAIGRFNFQKR >KZN05052 pep chromosome:ASM162521v1:2:19359797:19363135:-1 gene:DCAR_005889 transcript:KZN05052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSVCICFTRRFRVTEAAAPPDVVEIFEKYSEGGTHLTPEQLWRFLVDMQGDTGATIYDAENIVQQVVHRRHHITKYTRHSLNLDDFYHYLFSTDLNPPMSSQVSQDMTEPLSHYFIYTGHNSYLTGNQLNSASCDVPIIKALKRGVRVIELDIWPDSNSGKDAICVKHGWTLTTPVDFLTCLRSIKEHAFATSPYPVVITLEDHLTSDLQAKAAQMLTETFGEVLYCSDIGMMKKLPSPEKLKHRVIISTKPPKEYLEDKAANIQKYPSIRKDYDDGPWDDEPIYDTDDHEDDDKSFDIEMPIQSAVNSDELEQKIITPPEYKHLIGIYAGKPKGGLEEALKVEDDKVRRLSLSEQGLEKAAKSHGVDVVRFTQKNILRIYPKGIRFNSSNYNPLIGWMHGAQMVAFNMQGYGKHLWLMHGMFRANGGCGYVKKPEFLLKSSPDNHVFDPKVKLPVKKTMKVKIYIGDGWHLDFKKTHFDAYSPPDFYTKVRIAGVPADIKKHKTVVKYDKWTPFWNEEFEFPMSAPELAILCIEVHDADNGPEKDDFGGQNCIPVSQIRPGIRAVSLYDRKGVKYTSVKLLMRFKFV >KZN06333 pep chromosome:ASM162521v1:2:32011146:32012759:-1 gene:DCAR_007170 transcript:KZN06333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEDEKMQFLRSKATDLLLREEWKESIQVYSQFISLCQNHISDARNQLDTDRLLKLRKSMCLAYSNQAEARFRLRDFNQGLKDCDEALDIENAHFKTLLCKGKILLNLDRYNLALQCFKIAVLDPQASVNSDALNGFLEKCKKMESLSRTGGFDFSDWILSGFRGQLPELAEYTGPVEIKKSEISGRGLFATKNLDSGTLLLVTKAIAVERGILPQSDIDNAGQLVMWKNLVDKVVDSAGKCNRTYHILCKLSTGDEEESLEVPDIDLFRPDADESLFSNENVVKSRLLSILDVNSLTEDMISTKVLGKSSTDYYGVGLWVLSSFINHSCYPNAKRFHIGDYVIVHVSRDVKAGEELTFGYFDVFSPLCNRKKMAASWGFDCNCKRCKYEEGLCSKQEMKEMEIGIERGLDLGGVVYKLEECMRRWMVRGKAKGHLRASFWVAYSEVFGSDKLMRKWGRRIPAMEALVESIVDGVGGDGRILKVFMEGMKRNGAAYGGGGGVVEMEKAMKLGRGAYGKVMKKQAMKSILELITQS >KZN07596 pep chromosome:ASM162521v1:2:42106922:42108115:1 gene:DCAR_008433 transcript:KZN07596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSTMDCLPEDLLMQILVKIPCNKSILRCTSVSKSWYSCIKSPVFITLHLRRKNNDQYLLCDFKSPSGRDRYVSINNDTESLAERNRLLFPGEQSYGMVVHGCCNGLVCYTKTYNGPIWLWNPAIRRVKELPRYKDHGRSCFGLWFDKKVNDHMVAKISTGQGLSVDVYSLRTNSWKTITERVPAKSVSADRDLAYVDGKLHWPVGRKGSSGSWMICSLDLKTGILRETLISWNKKLSDLYLTPLGEHSLAVFGSTDASDSEGWAARRCCMAQAYDQNMNKLYAIDLERATSYNLHRVVGLRNNGEALFLELDSDDSGLVCCNTTTKVSKRFVSRLQYYKLQRVRPFIETLILLDDEDAEESITPNLSRLWLCLLPYFLFCSAPVFLVLSVVFNFY >KZN05905 pep chromosome:ASM162521v1:2:28239881:28241883:-1 gene:DCAR_006742 transcript:KZN05905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFMRLTFCLLVVCLVTQLYIAVATFGPAPKVTTAHLIRLGCAKTPAPPLCAATLKMIASNPFMNPCDVTTFAVQAAMKTAKATFDIINMSLNMKSQIIADPAIKQSLTTCANEYKTAIEVMTKATTCLQQKVNTPQVPDFLNQAVGCVAKCDGSGIKVTNKVLQVAAKNIDCSKLIQNALGIYHCFSTFIINPSLDYSKELAGNVSASISGGGSFSGGIGGALGGGAHGGGSASGGADASANGGGSLDGSADASASGGGSLGGGAGASSSGGGSASGGLSGAGSIQGAGGASGGASASGGGGASGGASASGGGGASGGGSSSGGGGASGGGSGSASGSIKGGASASGGGSASGGVKGDASISGGGSAKGGASASGGGSAKGDASASGGGSASGDAKGDASVGGGGSASGDAKGDASGGGGGGAKGSASIGGGGGAKGSASAGGGGGASGGASGGASGSASGGASGGASGGASGGSGSASGGAGGSVAASGGASGGASGGASGGASGGASGGGSGGASGGASGGASGGASGGASGGASGGASGGASGGGSGGASGGASGGGSGGASGSWGASGGASGNWGAKGGASGSASGGGNGGASGDASAKGGGGGNLFGSGSLRGSFGGNFGGQFSGSGSGSGSVHAASNP >KZN04097 pep chromosome:ASM162521v1:2:1908357:1908728:1 gene:DCAR_004934 transcript:KZN04097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILFFSLVSSCDMSPSPEPEPAPAPAPLALRTRPLVGKCPKDALKLGVCADILKLINGVVVGAPPTLPCCSLLKGLVNLEAAVCLCTAIRANLLGIKLNIPIALSLVLNNCGKQVPNGYECT >KZN07542 pep chromosome:ASM162521v1:2:41735046:41736996:-1 gene:DCAR_008379 transcript:KZN07542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGLRGKSGFSSNNTAEDVTEGVDGSALTAIITGSTNGIGLETARVLALRGVHVIMAVRNVKAGEKVKEDLLKKMKDAKIDVMALDLNSQASIRSFAEEFISKSLPLNILINNAGINAPPFTLSEDGYEQQFAVNHLGPFLLTNLLLDTMKKTASESGIEGRIVSVGSAMHAYGYKEGIRFDKINDEASYHPPNAYAQSKLCTMLHTLELAKRLKEGEINVTANVLHPGIVGTNIFKNRGALNKFMTVAGNIVFKNIQQGASTTCYVALNPKVKGISGEYFVGNNISKSATAMAKDPEMAKKLWELSEEMTKPKS >KZN05132 pep chromosome:ASM162521v1:2:20407999:20409569:-1 gene:DCAR_005969 transcript:KZN05132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCGLQAQKFSHKLTHMDTLSDEMMIPRSFCSKYAHDLHEDMELKLRNGYVLPVKFDHSRGVFKGLLCFFKHFKLNGGELLVFEYFGRYNINVYILGSNLSEIKYPDFKFNMPESPPRLVTLGDGGWRFVWFNSGRQTTINEIKLPFDFQQKYANMLPQEFGLNLRTGYRLPVHFDKITGIMMGISTFYSDFGFKGGEVLVFEYYGQSDMNVYVLGLHSCEIDYPMITHLSQCGNPLKPKIRDGGWKFVHFINNLDQLQNEISVPPKFVENCGGNISKFLHFILSNGKSFEGRFCVKSNKLSGLIGMCKLLGLDSLNSFHVLLFTYDGHFSFNIAAFDEKYVEVIFTGTPVSSVGYCVVWNIKLLI >KZN05390 pep chromosome:ASM162521v1:2:23341026:23342953:-1 gene:DCAR_006227 transcript:KZN05390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLYENDGFAVAGSENGETSNRVNTSFGDEINQTTENRKRKEPEGSSSGEQPDDGDQDDSHVQKRTRVIWTSEMHRKFVEAIAQLGEDRAFPKKILEEMNEPGLTKENVASHLQKYRLSLKKSLVESDFNADTGSTKTPYIYNSSLGNCQRHYMGTSQIGSRNYLPVSTSDHSSLYRINYTGIGSSGVRLAPPSYSPLNGSFGDHGGEKGDKLLSILNKRRCSNTSDHHATRSSTSHCAFMGLQFADDGKSLLVGGPKRSEGVPIENYSTNSDFYYQESAAPAFPSFSVEKYAQDSPLPPLPECEDYLEETTLPLPEFYVENSFPPLEDTVTSQPIITNSPWNSNMNMASDALINHEVSLPPLPSEITWDSYPACTTINSIPQTMTQHSVLPSESQWNANNEEQELLLPWSPSEIPWNLDLDPVP >KZN06729 pep chromosome:ASM162521v1:2:35402345:35407432:-1 gene:DCAR_007566 transcript:KZN06729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTPKPTSRFIFFALILTLLLSFSITVLSSVDEDADDLEGLDELLAIDEEEQDHVQSSDTDVLSRAQRVVLELNGDNSKKVIDGNEYVLVLGYAPWCAKSAELMPHFAEAAMSLKELGSQILMAKIDAERYPKAASVLGIKGFPTLLLFVNGTSQPFSGGLTSEEIVTWARKKTGVPVVRVSSEIEASEFVKKHTLYAVGFFEKFEGPDYEEFVKAAISDNEIQFAETSSIEVARILFPDIKASNHFLGLVKSEPEKFTSFENTFKVDNILQFLDDNKFPLVTLLTEINSARVYSSSNKLQVYVFSDVDELKNLIEPLQNIARKFKTQIMFIHVNIQVENLAKPFLTLFGLEDSEDTVVTAFDYKNGSKYLLEDPTPTKTEDFCLGILDGTVSPFYKSQPIPDNNESTILTIVGKTFDSLVLESNKNVFLEVHTPWCFSCETTSKQVEKLTKHFKGLETLTFARIDASANEHPKLQVNDYPTLLFYPAEDKTNPITLPTKSNLKDLAKLVNRYSKSHAKDEL >KZN05123 pep chromosome:ASM162521v1:2:20333171:20335033:1 gene:DCAR_005960 transcript:KZN05123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENEYQQYSNSQYECLLFDVDDTLYPYSSGLSAQCTKNITEYMIEKLKIEDSKVPDMCVQLYKDYGTTMSGLRAIGYDFDYDDYHSYVHGRLPYEEKLRPDPVLRNLLHSLPIRKVIFSNANDAHVATVLKILGIEDCFERIVCFESLNPTHNSNISDGQESEELKVPDVSSGMDSDTSADSPLPKSPVICKPFENAFEEAFKIADINPKKTLFFDDSLRNLQTAKCMNLHTVLIGSSHRSTGVDYALESIHNIREALPELWEELKKAEGVPYSGKAGIETTVRA >KZN06246 pep chromosome:ASM162521v1:2:31335514:31336864:1 gene:DCAR_007083 transcript:KZN06246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGVPVDTLTCSRKSPTQKAGDKKRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAMLPDVPQEHRELLRSQGCIIQEIEPIYPPENQIEFAMAYYVINYSKLRIWNFDYNKMVYLDADIQVFENIDHLFDTPDGYFYAVMDCFCEKTWSHSPQYSVGYCQQCPEKVKWPAEMGCPPPLYFNAGMFVFEPSHLVYESLLQTLVITAPTPFAEQDFLNMFFNHMYKPIPLAYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVAKWWAIYNDETLDFKAENSAQEGETCSRLSVMASVQDHAISYAPAHLTA >KZN06300 pep chromosome:ASM162521v1:2:31800449:31802383:1 gene:DCAR_007137 transcript:KZN06300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLEASKVVLKNPSYDAYFDKVQNRKKLPMSLQQTLTDAFASIPVSAFPEVPRGKAANTLGEDFYKVILEEEPFASTTVRSIVTSYRWAPFIPVASDSSMLSVLLLLSKYRLRNVPVIESGKASIKNFITQSAVVKGLEHCQGRDWFDCIASQLITEVGLPFMSTDEVICVQSSELVLEAFKLMKENQIGGLPVVEGPERNIVGSLSIREIKFLLLNRELFSNFRNLTVKDFMNTIASEAPDGGEIMIPITCSLSSTLGDVIHILSSKMVHRVYVVAASGKEVAGVITLRDVISCFIFEPPNYFENYLASSRQEVNS >KZN03968 pep chromosome:ASM162521v1:2:58662:61556:1 gene:DCAR_004830 transcript:KZN03968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFAVERLGELLISEAKHLLGVEKEIKYIQDELVPMKGLLKEADKKQNRDETVRKCVLKMRELAFKIEDVVETFALEVRAKQQISGFMATLLRIACILDEWVRRHNIATEINDIKDEIHHLFEILQKCGVIESLKGENSRSLVDLKSRRTYSHDVERDFVGMENEIEQLISHLKNKDNGCEVVSICGMGGLGKTTLAKKLYNHVEIKAHFEAFAWVCITQQFEKEKVFKGLLKQLLPATDVSKMDDAKVVKELYKVQQGKNCLIVIDDIWSVKSWVDISAAFPVQDTTGNSKILLTTRNEIVAKKSEGSIYKIQGLTEEQGWQLLSKKAGISRDPSAKGEMEEIGRNMVKRCRGLPLAISTLGGLLKGELLSEWERINRNISSYLSRGESVADEYGSVKWVLGMSYDSLLPHLRHCFLCFANYKEDEIIDTMGLYMHWIAQGLIRVEDKREGEMMLDVAERYLDELVYRSLVQIEPITIEEEGEFWLKYNKCRVHDLILDLCRSKAQEENFTNLITDLRDPPDPKLKPSITRRLCIHSDGDDVDLSMLANHDEHIMSLVRYLLFFNHYKDCWPLPKILGLETLKLLRVLTAGGYKFSKQDMTSISELIYLKYLCLCNCYVEEIPTSFGNLTNLETLDLRVNACVIIPNFLCKLEHLKRLYLPQCCLVAEKLRLEGLDDLELLYNYNSEYCDSKDLIQLRRLKVFDAELSNGRVVEENIIHFIKHREWRYSSITIMGGVLCLVYLLECRFIDFMRIQTRICKLPKEYDHTHFSRRLRFLNLAFCEMEEDPMIVLEKLPNLHSLEILLKAYLGEEMVCSATGFPELMSLYLGQMDCLKKLSLHRGTMPKIRKLHIYNCRKLEMIPEELTHLTTLEHTKIW >KZN05797 pep chromosome:ASM162521v1:2:27319122:27321707:-1 gene:DCAR_006634 transcript:KZN05797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNGPARLMIVSDLDQTMVDHNDRENVSLLRFNALWEAEYRQDSLLVFSSGRSFASYEQLCAKKPMLTPDITVMSVGTEIAYGESMVPDNEWKQSLDKNWNRDIVVEETSKFPELTPQWEQSQRPHKVSFFLEKDKALKVINALTERLEVRGLDAKTIYSGGEALDVLPKGAGKGQALSYLLKKFEVNGNRPNNTLVCGDSGNDAELFSVPEVYGVMVSNAMEELLQWREENAKNNPKILLATERGAAGIIQAIGNFGLGSSISPRDRVDLSEFGSKTLCPDHEIVMFYLFYERWRRAEVENTEQSLHKLRSICHSMAIFVHPSGVKRPLQHSIDEMVKIHGDKKGKRFSVWVDQVYSSEIALNTWLVKFYKWELCGEEKEKYCCLTTVLLSSKTEESDGLMWLHLHQTWLEGQGTEESSTWLL >KZN05642 pep chromosome:ASM162521v1:2:25913056:25918024:-1 gene:DCAR_006479 transcript:KZN05642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQRVDPHSYTESTHPFTTHISLSLYFDFPTSTILSSAHLTLNSPHSGPLSLDTRSLSISTVLDNLATKSPIPFTLSPTVDPIRGQQLTVQLANQSEFVVVFATSACSSALQWLAPPQTYNKKLPFVYTQCQAIHARSIFPCQDTPAARIRFSARLNIPIELSAVMAARHVERRLPLTSDTAMACEDTKWCAEGRIVEEFVMEQPIPPYLFAFAVGEMSFREVGPRTRVYAESAPALLDTAAKEFAGSEDMIRVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKNNDHFWLNEGFTTYAERRIVEAVQGKNIAALNTGIGWRGLKEEMDRFKDNMEFTKLKTNQEAVDPDAVYSSVPYEKGFQFLWRIEREIGRPAFDEFLKKYIATFKFQSIDTDMFLEFLKTNVPGIENKIDLHLWTEGTGIPSDAMEPDSEIYSKIVSLAKEFNLGRMPREDEVADWRGQEWELYLENLPKSVEASQPSTLLSFKVTTNSPPPYALVLSLDSSYRLSESKDYEIKVAFLQMAISASCKKYYGEVEKTLKEVGRMKYLRPLYTALVKGPGLEEEKIFAKRVFSEARLTYHPIAQGVVESIFSKYL >KZN07213 pep chromosome:ASM162521v1:2:39149146:39150483:1 gene:DCAR_008050 transcript:KZN07213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKSIFSSIQFHHFLSLNQFQKLKRSIYALLSLFLLLLLACHVTSIFLLNLPLTAKTPSGTSPENAAGKSRKWEYSNSVKLTSFMVYAIKEENPVSKLQKEPPLLHKSRNLVSLSENHTEAVSRTQGGNVWNVVKILSARKNPKRFSNKVKKFFGERSYTDIVVLKSFKKLRNVIGAQTIDVETRNWSRLNNAVMIFDKGHPLLYKFIEEFALTFDGNKWGHNGPYLVSRVVSRVTGRPGYNFTVLPPIAFYPVDWSRIGEFFQRRRYDSNSTWLDAKVRHIHKQSYAMHLWNKQSRGLKVEEGSIIKQIMSENCVFCNDIVRVE >KZN04420 pep chromosome:ASM162521v1:2:10497129:10497461:-1 gene:DCAR_005257 transcript:KZN04420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLDENTGRLLVRAKPMFLKRVVDNLSDVQRQWVVETGFEKVLVFNINEYPQPLSFLIAKSYKSTDSSISIGENIINFSENDVQIILGLPKGELMFEDSYNSEYKDV >KZN06048 pep chromosome:ASM162521v1:2:29618485:29620509:1 gene:DCAR_006885 transcript:KZN06048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPYPLLPVTFPAPEPPLHHHHHSPVIPSVAAALTSLGLLILCAIIYRKLSSKKTAPADLKTPHPFTYATLKRATSSFSLSNRLGQGGFGSVYKGVLPSGLQVAVKQMDASGSLQGEREFHNELSLASRIDTTCCPHVVSILGFSSEQQRIRSRSQKSRSQKRKLLLVYEYMQNGSLQDALLYRKCCELMNWNVRFRIIVDIAKGIEYLHSCCDPPIVHGDIKPSNVLLDCDFNAKIADFGLAKVLGQDEIVETFIECGEVEEGLDKGKSRENGSGFGEDNGSIVEETESVMTEEVVVNVDQSPESFVRVLDVEASPSEGLEKGSVSESCLDRISVDSGNRRAIGRKKSGSGRDWWWKQDNSGCGSESGRVKDYVMEWIGSEIKKERPKKDWIATPSSVEDDSSKLEQKKNGKKLEWWGSLDEERIKRDRKNRKPREWWKEEFCEELSKKKKKMKKKKGLSSGCGGESWWQKDEEFVPEKKKRKSRGSRGSMDWWLDGFSMEFRNGRRNSQDFASGDIPKSGGISSTPSMRGTVCYIAPEYGGGGQLSEKCDVYSFGVLLLVLVSGRRPLQVTASPMSEFERANLISWARQLARNGKLLDLVDVNIKSLDAEQALLCITIALLCLQRSANKRPTMKEIVGMLIGESEAPHLPFEFSPSPPSNFPFKSRKKAR >KZN04380 pep chromosome:ASM162521v1:2:9879629:9880051:-1 gene:DCAR_005217 transcript:KZN04380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTYHKVVRGFAARLSPEEAKAIEKMQGVLSIRQQNVFYLHTTHSPNFLGLRQNLGFWEGSNYGKGVIIGVLDTGIAAGDHPSFSDEGVPPRPAKWKGVCEFNGTTCNNKLIGAIYFVVGQSGDLITLATLLNHVIQKS >KZN06499 pep chromosome:ASM162521v1:2:33395496:33395999:-1 gene:DCAR_007336 transcript:KZN06499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNHHEWMNSGDQEVDQQELDYSDGVARSYQCVFCKRGFTTAQALGGHMNIHRKDRAKTRPVANSTNFNNKKEESYSGPRFYQPISSYGPCYAAGQRGQTIHYRTFFPASTSSTHDLRSVPFGEESEYRMSLSNLQYGASKYADDLEKMRGGNENDGLDLELRLGH >KZN07623 pep chromosome:ASM162521v1:2:42263874:42268973:1 gene:DCAR_008460 transcript:KZN07623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATSCIGRSGVDFRLVTNSTNRTITNLTNSRVVLSRKALFGLRFNSVAMKSSNSLLSTSVKSRLVRAQAAGDSEDVAPTKVQEKSSSSVLPYVGVACLGAILFGYHLGVVNGALEYLSKDLGIAENAVLQGWVVSTLLAGATVGSFTGGALADKFGRTKTFQLDAIPLAVGAFLCATAQSVQTMIVGRLLAGIGIGISSAIVPLYISEISPTEIRGTLGSVNQLFICVGILAALVAGLPLASNPLWWRTMFGIAGIPSVLLAVGMAVSPESPRWLYQQGRIAEAEVAIKRLFGKEKVREVMDDLDASSRGTTEPEAGWFDLFSSRYWKVVNVGAALFLFQQLAGINAVVYYSTSVFRSAGIVSDVAASALVGASNVFGTTVASSLMDKQGRKSLLLISFTGMAASMLLLSLSFSWNVLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFVIGLYFLSVVTKFGISKVYLGFASVCLLAVLYISGNVVETKGRSLEEIERELNPAV >KZN07621 pep chromosome:ASM162521v1:2:42239480:42239923:-1 gene:DCAR_008458 transcript:KZN07621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFAEKVQASPKLLANTSISVTLKEAKSTFMTMKNMSKYKGLSVGERAALRDCVEVTDDSVYELQRSMDQMDHMDDGTTHFNFEISNVQTWVSAALTDYTTCMDGFYNVNEGYVKAKARKYAMNVSQLTSISLAFINRYANSGYKP >KZN07021 pep chromosome:ASM162521v1:2:37727359:37728667:1 gene:DCAR_007858 transcript:KZN07021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIRTRTQSFKDLRGSSPSSEQQYDDSALEGVAANVKLLLKLIEDHKDACKKGQKDSRRMLRVAGMMTVLDNVRDRIKRCQSFGNKSSQAELKRCNSDLKANYPPLDKRIPADDDKEKLRKDLNASLAARKSLEIMCSSIGKEKDIIAAELARKNYELSELEEHANDLREQNRTLLVKVRELAAENESKKFGNENSRAQGNAADLQVRNKALSEQLLRSLDGYRSMKRRLRETQEEAIVMRETMEEMWEKVGAGLEHVRAMKQQLETKSDNEKLVVFEEGTEEVEHVFECLEMLVSKHGQRKH >KZN07195 pep chromosome:ASM162521v1:2:39018163:39020535:-1 gene:DCAR_008032 transcript:KZN07195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNPSAKEEVSAATAVLLGALAPGVNGQTWNTLKVAFLMLGVCLAAMLALAFSASDSALIIHVTLLVLITGTLYFLLFSFLEQTGLVTVENQMKEMGLAPKVDADRSQ >KZN06742 pep chromosome:ASM162521v1:2:35496842:35497742:-1 gene:DCAR_007579 transcript:KZN06742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPGCHFGFDIYCLFVTILVIVAARAIITPASAVEEFKVGDVDGWRQPDANHTEIYSLWTAMKRFHVGDSLRFEYKNDSVLVVNKWAYYHCNITNASSVFTDGNTTINLDNAGPLYFISGDVEHCENGQRLAVVVLPLHPHIHKSPPPLVAVDEDSPTASPVSTSRADTDHVYVPKTLPYSVLVTATLVSLLWHST >KZN04840 pep chromosome:ASM162521v1:2:17068928:17072953:-1 gene:DCAR_005677 transcript:KZN04840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWICLLEALEASFCNKNRIDVYSDEEGMRSKNPIKERGKQKGNKRLGNLMACLQSPKKNKLVDMIYSSKSPAKDYSRSAHSSRSDEIEHQLDVGNIEEAESSLRESGSLNYEEARALLGRYEYQKGNIEAALHVFEGIDIATITPKVRITLGSKGDTRKQRSRSFATLPMSVRTVSLILEAILLKAKSLQVLGRYKEAAQSCAVVLDIVESSLPTGLPEDFAADCKMHETLNNSVELLPELWKLVDSPREVIFSYRRALLHKWNLDTETTAKIQKEFAVYLLYSGGDAFPPNLRFQMDRSYVPKNNMEEAILLLMILLRKISLKKIEWDPTILDHLSYALSICGGLSALATELVQLLPGVIKRNDRYHSLALCHYGEGDELGALNLWRKVLKSGDDSDNIQALLMASKICGQNSNYVEGTEYALRALENVKGTCDARAGVASSLLGISLSAHSKSNVTDSERAKIQSESLLSLETAGKMTKLSDPGIIYQLSLENAEQRKLDAALYYAKLLLKLEGGSNIVTWILVARILSAKKRFYDAETIINAALDQTGKWDQGELLRTKAKLQIAQGQLKSTIKTYTELLAVLQVRSKSFGSENNLLEDAVNWNKSLEMDAWHNLAFVYISLLQWRDAEICLSKSKAIKQHSASRWHATGVLFEAKGFNTEALRAFEIALDEDPKHVPSLVSMAVVLKQVDGPSLPVVRSFLTEALRLDRMNSSAWYNLGLLYKDQGITLAREAADCFEAATMLEETAPVEPFR >KZN05540 pep chromosome:ASM162521v1:2:24876427:24886671:-1 gene:DCAR_006377 transcript:KZN05540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLRHPVISRAPSLFKSRYLSAISPNLSRPTARSGYNIISETPPRMKTTSSKCILPVNAEPPSHLVIEMPALSPTMTQGNIAKWHKKEGDKIEVGDVICEIETDKATLEFECLEEGFLAKILVPEGSKDIPVGKPIAITVEDADDIQNVPSNITNDSDVKEKQPAQQTDDRVQEPSSLNIDAAKLPPHAVLEMPALSPTMNQGNIVKWLKKEGDKIEVGDVICEIETDKATLEHECLEEGFLAKILAPEGSKDIAVGQPIAITVEDSNDIEAVKTSVTGKLPVKEEKSTPHEAKTEVNKSKSGFTRISPSAKLLITEHGLDASSLLASGPRGTLLKGDVLDAIKSGKGSAGASSTVKKSPPQAQPRSSSSASESVGTRKQQSDSFEDQPNSQIRKVIAKRLLESKQNTPHLYLSSDVILDPLLSFRKELKEKYDVKVSVNDIVIKAVAIALKNVPEANAFWSDEKGEIILCESVDISIAVATEKGLMTPIVRNADQKSISAISLEVKELAEKARTGKLLPNEFQGGTFSISNLGMFPVDRFCAIINPPQAGILAVGRGNQIVEPIVGADGIEKPGVITKMNLTLSADHRVFDGKVGGDFVKALSSNFSDIRRMLL >KZN06892 pep chromosome:ASM162521v1:2:36698586:36702901:-1 gene:DCAR_007729 transcript:KZN06892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDPVQLQRIIVGNNHGEKLVGILHETGSKELVIICHGFRSSKERIPMVNLAAAFANNGISAFRFDFTGNGESEGSFQYGNYRREADELRNVVEFFHAKKRLIAAVIGHSKGGNVVLLYASKYRDVSTVVNISGRFNLERGIGGRLGKDFLKRIKQSGFVDVKDRKGKIEYRVTEASLMDRLTTDMRAASLAIQRNCRVLTVHGSKDEMIPVEDAMEFGKYIANHRLFVIELADHEYTSHQDELASVVLEFVSQNHKDESLPDQLSCRKAGRIVRPRI >KZN05364 pep chromosome:ASM162521v1:2:23064976:23070203:1 gene:DCAR_006201 transcript:KZN05364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNTFFTLVITFSVALITYNIIISANAPLKQDFPGPLHKNSRHGLLLPQDPIIKMPVDRKSGPEIKKKRLFHTAVTASDGIYNTWQTRVMYYWFKKFKDEEGSDMGGFTRILHSGKADSLMDEIPTFVAQPLKDGMDQGYIVLNRPWAFVQWLQQANIEEDYILMSEPDHIIVKPIPNLSSDGLGAAFPFFYIEPTKQETILRKFFPEEKGPITNIDPIGNSPVIVGKEALKKIAPTWMNVSLAMKKDPDADKAFGWVLEMYAYAVSSALHGVGNILYKDFMLQPPWDTEIGNKYIIHYTYGCDYDLKGKLTYGKIGEWRFDKRSYTTSWPPRNLPMPPPGVPESVVTLVKMVNEATANIPNWGYVHMKVSTVVFSVLTTMTRNM >KZN04637 pep chromosome:ASM162521v1:2:13844574:13854281:-1 gene:DCAR_005474 transcript:KZN04637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLLIGDGIALFLNSDDLIPHDLVDRILAILSDDQVSGMWAKKNAVRKEEVAKLVDDTYRKMQVSGATTDLASKGQGVSDSSNIKFKEEPEPEISHKTEKVRCLCGSSLQADSMIKCEDYRCNVWQHIGCVLIPDKVTEGFIPAPPDVFYCELCRLNRADPFLVTIAHPLYPVKLSIANRPTDGTNPVQSIEKTFQLTRTDKDLLAKPEYDIQAWCMLLNDKVSFRLQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPVITPCTRDGMNKISLTGCDARVFCLGVRIIKRRTVQQILNLIPKESDGESFEDALFRVRRCVGGGAATENADSDSDLEVVADSIPVSLRCPMSGSRMKVAGRFKPCVHMGCFDLNWQCPICLKNYSLENVIIDPYFNRITSKMRSCGEDVTDIEVKPDGSWRVKAENDRRGLGDITQWHFPDGTVCVRVDGEAKLKADTIKQVKEECFSEGHTGLKLGIKKNRNGIWEFSKPDNYSGNRPCENENRNPNGIPINSSATGSGRDGDDPSVNQDGGVNIDSVSPIIETVYNLPDPILSAQVGGDAEVIILSDSDEDNDLLIASGPAYRSNESNAGGVSYEVPLPENPTSYPEDPSTGAGGSSCLGLFGANGEEFGPPLWSLASSSQGGPGFQFFGSDTDPLVDMQHGSLNCPPLINGYTLTGETSMGPTALGPNSSGHHSTTDINDGFANNPIAFDGDDPSLKLFLPTGPSDAATEANMRNQSDVSNNICLEDWTSLTLGGCGSGGREEPGGVNELNPRQQLPFEESALDSLADTASLLLGMNDNRSNNFPVNGNGSSKTNRERSQSPFLFPRKRRSVRPRLYLSIDSDSESEMQKGVPVESAQRRLGGNWKSPILALLTLSLNANCM >KZN05938 pep chromosome:ASM162521v1:2:28555488:28558583:1 gene:DCAR_006775 transcript:KZN05938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRSAATTTTAAAAVAALSFTSLSSPSSSSSSSSFSLPQALKFQSFSINKPIDHLGLSKNLTKPPSALHMDAPSSDYKPSSPQNGAVLPDLLTEFMVDMKCDGCVNAVKNKLNTLTGVKNVEVDLSNQVVRVLGSSPVKSMSEALEQTGRKARLIGQGVPEDFLVSAAVSEFKGPNIFGVVRLAQVNMELARVEANFSGLPTGKHGWSINEYGDLTKGVASTGKVFNPTTEKKPLGDLGTLEADEDGKAFFSGTKDKLRVSDLIGRSIAVYSTEDKSDKGLTAAVIARSAGVGENYKKLCTCDGTTIWEASDADFVTSKV >KZN04005 pep chromosome:ASM162521v1:2:508378:511078:1 gene:DCAR_004803 transcript:KZN04005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHEASTSGTKDEIEMTQFPRTYFENDSDSDEDFDRYSYVYEIDENAEGQIISSPQVMLIAQPSMIISSSKYTPHTVPYDYIDTSQGSGWDQFLRNADEERQQQRTSAEADTGIM >KZN06138 pep chromosome:ASM162521v1:2:30452861:30461488:-1 gene:DCAR_006975 transcript:KZN06138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLIALVNRLQKACTELGDYGEESALPTLWDALPSIAVVGGQVSPPPSLSLSLSLSLPPTPSLSLLYLVIAASSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLYHKEGREYAKFAHTSQTFTDFSAVRKEIADETDRETGRSKAISTKPIYLSIYSPNVVNLTLIDLPGLTKVAVDGQSDSIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKISREVDPRGERTFGVLTKIDLMDKGTDAVEILEGKSYRLQFPWIGVVNRSQADINKSVDMIAARRKEREYFSNSPEYSHLAKRMGSEHLGKVLSKHLESVIKSRIPGLQSMINKTIIDLETELSRIGRPIATDAGGKLYMIMEICRSFDQIFKEHLDGIRPGGEKVYQVFDNQLPAALKRLQFDKQLAMENVRKLITEADGYQPHLIAPEQGYRRLIESTLVTIKGPAEAAVDAVHAILKDLVHKSVTETAELKQYPSLRVEVSSAAVESLDRMKAESRKATLQLVEMECSYLTVEFFRKLPQDIEKGGNPTHSIFDRYNDAYLRRVGSNVLAYVHMVVGSLRNSIPKSVVYCQVREAKRSLLDHFFTDLGKKEGKQLGKLLDEDPAIMQRRLNLAKRLELYRTAQTEIEMVAWEK >KZN07539 pep chromosome:ASM162521v1:2:41700547:41705524:1 gene:DCAR_008376 transcript:KZN07539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWWAKSSSKDANTKSNKGSFIDKLHRKFRTVSEGKCKSGSGASGKQHCDTVLEHASPSPATSSSTQVSRCQSFAERNQAQPLPLPGMQNTNVRCEGTRKKSRAKSGASKGSKQFLFAPLPKQGGLPYGPDPIDAEAAVGTTSVSSDISTDSDYPSDSHLPSPHASDYEIRSRTAIDSPSSLKKTQLPITTQNNSRGKLRPASTVLNKQIPTSPKQGRTGSHVPNIQIPHYGAFCSAPDSIMSSPSRSPMRVPGPELVRNTGFWAGRPFADLPSLGSGHCSSPGSGHNSGQNSVGGDMAGQLFWQHSRCSPECSPIPSPRMTSPGPSSRIHSGAVTPLHPLVGGATESPAKWPDDRKQQGHRLPLPPITISNAYPFSPSYSTGTTPAPRSPSRTENPTSPGSCWKKGQLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESAQQLGQVDDRLYIYLEYVSGGSIYKILQDYGQLGEAAIRSYTKQILSGLAYLHAKNTLHRDIKGANILVDPNGRVKLADFGMAKHIAGPSCPLSLKGSPYWMAPEIIKNSNACNLAVDIWSLGCTVLEMATTKPPWSQFEGVAAMFKIGHSKELPEIPDHLSDEGKDFVRLCLQRNPSDRPSAAQLLEHAFVKNAAPLERRMPCSVPSEATPSVDGFGHAKNLSCLDPGVVMNHFRGPKISLGSSDAHVLRNISCPVSPIASPFLHSRSPQGMSPRPCSSPISSPHVISGSSTPLSGGGGAIPFHLSKQSLPYSHEGMGMTQRSQNSFYPKSGLPYKELKPELFRGAPKASHIFQEAIQSEKGIFRHHYGRSAQDVGTYNGQLSANLVSPPPLKDQLKSNPPVDLCRKN >KZN04435 pep chromosome:ASM162521v1:2:10768035:10769927:1 gene:DCAR_005272 transcript:KZN04435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYRILFLALNIFLVFSVTSVHCRFLEFFTKDPSVRKLSEISLPPAPAPQQATAYLDSTSIPRALFDVVSFGAVGDGVTDDTEAFKKAWDAACEIESATLLVPKHYTFMIQSTIFTGPCKSALTFQIDGTVIPPDGPDSWPKANSRRQWLVFYRINDLSLQGGGVIDGRGEKWWDLPCKPHRGVNGTTLPGPCDSPVALRFFMSSNLTVKGLKIKNSPQFHFRFDKCQDVHVDSLYIKSPASSPNTDGIHIENSFNVKIYNSVISNGDDCVSIGAGSHYVDIRNITCGPSHGISIGSLGIRNSRACVSNIAVTDSVIKYSTNGVRIKTWQGGSGSVSKVSFKNIHMDTVRNPIIIDQYYCETKGCANQTSAVYISDISYTSIKGTYDVRSPPMHLACSNGIPCTNLTLSDIKLVPSQGLKMLEPFCWNAYGDLDSLIVPPIYCLLEGNPLTLLENSVNLC >KZN06106 pep chromosome:ASM162521v1:2:30156910:30159844:-1 gene:DCAR_006943 transcript:KZN06106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVYDASLVNNELSKQTSIFGMKLGVVIGIFVGAIIVLILFLLSLCITSRRRRKTPAGKLETPVESKEVVHEDHHQRQSVPEIQIDIGKQEHRVTVFSSDRQSSGESRATSGNDTASFGGSGSLPEVSHLGWGRWYTLRELEAATNGLSDENVIGEGGYGIVYSGILTDNTRIAVKNLLNNRGQAEREFKVEVEVIGRVRHKNLVRLLGYCVEGAYRMLVYDFVDNGNLDQWLHGDVGEVSPLTWDIRMNIILGTAKGYVAPEYACTGMLNEKSDIYSFGILIMEIITGRNPVDYSRPKGEVNLVDWLKSMVGSRKSEEVVDPKIPEMPASKALKRILLVALRCVDPAAQKRPKMGHVIHMLEADDLLSRDERRGGESSRSHHDYKEENRSALKVADKQLHEGASDTSEAGDSSRYYEQTTRR >KZN04516 pep chromosome:ASM162521v1:2:12172074:12174428:1 gene:DCAR_005353 transcript:KZN04516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDSEVSEIDNLAILNGMLFMVLGSMIGYPVALASVGALKRLWKNDLVALKGSCPNCGEEVFAFVRSNQSNNAPHRADCHVCNCSLEFRTKVEQSITSPGRQWVYGRIYLKGQRNRSRLQV >KZN04798 pep chromosome:ASM162521v1:2:16052777:16056607:1 gene:DCAR_005635 transcript:KZN04798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHLIVSGEEQNPPPDSLSSQQTLDGYLIKVPPPPTETLVNVDTPFPVPDESVNIEENGKEEEALLCPSPINTYSGYLFDDTKPLSSCFSTKKSRSCSPVFFDDKAPMVGAGLTNLGNTCFLNAIVQCFTHTVRLIEGLRSFDHVMPCDRNVDGFCLLCSFRAHVEYSLASVERVISPWKFVDNLSYVLILDLAPLDFSSDFQKYQQEDAHEFLQCFLDRLESSCSDLKSKETTLCANNDNFVKQVFGGRLVSKLKCCNCDHISDTYEPSIDLSLEIKDVTTLQDALESFTKLEKIEDPDTKFMCENCKEEVSIEKQLILEEAPSVASFHLKRFENDGSYVEKIDKHVKFPLELDLQPYTSSQNSDAELKYELYAIVVHIGFSATSGHYYSFIRSAPDTWYKFDDSRVVRVREEFVLSQSAYILFYAKQGTPYFSSYLETIKPFLDPHGYETSPKSVLDDVDIHMSSPNIATTCNDKVNESSNTAHENEYASVSKDDQGVGVTYKNEVHEMSGVNYAIDESSDKDDRLGVDTTLQPGTSIPMKDNGNGMKVFSPSVLQEYDATSNADNPPQTPPRSSSPDIYKDESPEFKLYIQPSHRKLVNQVSNKKQLKKEVESAESLQARRLLKTMPGGRGKSLLAAMAGQFSEDAQRNKKRKRMQVTPNKYDSPSTTQRKDGLRSLARPLAAAYSR >KZN07310 pep chromosome:ASM162521v1:2:39914520:39917498:1 gene:DCAR_008147 transcript:KZN07310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDITQALLSSFMSVDSTVRSEAMGALKKFEEDNPEYYLLSLVVELSNDTKPDASRKLAGIMLLDLIPNFAVRPAFEFFEDDESEDDEEGDVEHVDEDGEEESDDQIKVEYLDDQIKVEYPESDDEEEDDDDVEDVDDEEDDVDVEDVDDDQVEMQEGEEEAMERERELVEEEAYKREFELKRNKIMKFSVYWRKMDVVIKSEITQRLLDTLGAVHLVNGRRHHASETASQLIARIARIWPKLKWFGLFDKILKMNLKPNKSETLKHATLEVFQVFFSDMHFVVGIFGNENSDKVKSVIKAIIQVMNGDQICSQILLAATKTLKNIFEGMYQHRRHVAARKYWWKPDLSAKVICKAVLAEMCDVRRCALTCLRFFKKIVPLPEIFMQVIFELTTNSFLLTTEAEAKLDVQRKFERVPKFRKLYPNLDVWSPLIQMYLNLLKHDEDQNIFIASKACVCLLARIVKDDVLEPVINFIEGNFSNSDWQSRKAVAHAYCLILEGPSIEKLLGVVKPTLYILLLLMKDEIDHVKYTTACTLSRMFELLHSPGYSLIPLKNFGFSKRVVVILLESLECAPCISKEICRSICAIARTSAFLLSSDLPNIVKSLVQTAGRRDGDSELRVVAYETLRVVIGYSAEKDFCEIKDLPAVMFELLETAVLKTGSSDDREIQEHLQASDLQASFFGVLQVIIRRMGDVDNMEPVILQVGDKVISLFFGSQCSGRKVQKEEMLVIGALACAAKTEFVKYMPDLYPYVLMGLQNVEEYQACSTSVGVVGQICVALRKEFLPYCSCIMIRLKDLTSSEVKKSVTPIVFLCYGNIAKSIGEHFKNYYQDVVKIMQDASDLHVYSDNSDDMVEYGDRLRQSIFEAFTCILIGLGDSNADLLLPYVPYMLQLIQKHKPRDKGRMRTAVKLLKELAVCSKIKGSLKVHSDILLTELQQSDNEELRQTAAWTQQELGGTH >KZN07595 pep chromosome:ASM162521v1:2:42101659:42103197:1 gene:DCAR_008432 transcript:KZN07595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTASMILSPKLKPGFEQQGSLYFYTRSRKSKKNQSLVPVARLFGPAIFEASKLKVLFLGVDEKKHPGNLPRTYTLTHSDITSKITLAISQTINNSQIQGWYNKLQRDEVVAEWKKVQGKMSLHVHCHISGGHFLLDMFARLRFFIFSKELPVVLNAFVHGDVHLFSHYPELEESLVWVYFHSNLPEFNRVECWGPLREAAAPSSGAPSADQKQEEEEKQTQETPSKINWKIPQPCIEDCDCCFPTMSSIPWLQHTFTQTSDETTQSLPEHQ >KZN07121 pep chromosome:ASM162521v1:2:38519584:38520351:1 gene:DCAR_007958 transcript:KZN07121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSKPRTYKLLKTPNLSSLSSSNTTPSRDKIVDFGKYKGRLLGSLPSTYLKWVSKNLRAGDYEEWARFSDEVLEDPVYKDRIEWEFAEKILNGDVLRSKYEDGNTVDELLELSERFGWDNEDKLGWSKIDFGLLGTSKGGRIPRLGVESKGRIMEKLDGGNKNSTNGKKNYDGDEGVERRRARRERLKSRTNKTENDGNGGGTLGISNEKVIDSAEKISAMTVNASIGNSSSPFPGRQSLLKKAVSHKKKIVR >KZN05599 pep chromosome:ASM162521v1:2:25496956:25504676:-1 gene:DCAR_006436 transcript:KZN05599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIKKDKVLNNTFRDRSATLKRKVEELATLCGVKVVVIIYGPKQGTSSPIVPEVWPQDRDQVVELIDKYRGQSAEDRANRTTLVSDLFKERNKKAEKDLKEARKKRIQAKYPTALDPVFDGFREDEIRNSVVFLQSKIDNAKAKLEQMKANNSIRRACHVQQQGVMLSKKRSLDLDAGNQANKYMKRHPHEAIAEPMRVPVAPMPLQIQHQRFPVPDYDQNRVMLRFVDGYVGGASNMMHNAPLRQVVYGYPRMEGTLDSINYANNLAMVPQNYYGEGIQPIAQQVLEYHPMPQESSSHQMAAASHQYYQDVNFLVTVFWNNGSRRKKINVRIGQNLSDILRFYDKSTCVRITKFEELLDVGCRLLVGFQQALVFLRKPSIAKSSNLVESIIKANATRRVSSYVEAGCVNTHNRVQNMNKSKDTVNELEALVEDITVELSAENLAHLQLEDTTHLPGTTSDEELILSSEPRKVEITDYATMMAVIYSMVKQDYFMQEKIVSALNLNSSFEELESYSLMWSLRPYIDDEVMHQAWRLIH >KZN05828 pep chromosome:ASM162521v1:2:27582102:27584948:1 gene:DCAR_006665 transcript:KZN05828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACISYNVGAPTNHLVHHYRRSTQSFAAAQLSFGKYSSLFQMGSLKFQSLIGNPRDCRPFESSRFFNTVFNCLASDNGVSERITVLVIGGGGREHALCHALKRSPSCDAVFCAPGNAGISNSGDATCISDLNITDSAAVLSFCRNWGVGLVVVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKKFMKNLCDKYGIPTAKYQTFTDATAAKLYIAEQGAPIVVKADGLAAGKGVIVAMTLEEAYEAVDLMLVKSEFGSAGYRIVVEEFLEGEEASFFALVDGVNAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSLVMKSIILPTVKGMSEEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMVRLESDLAQVLLAASKGELEGVSLDWSPGSAMVVVMASNGYPGSYEKGTVIQKLEEAEHVAPSVKVFHAGTAVDADGNFIATGGRVLGVTAKGKDLEEARDRAYQAVDEINWPGGFYRRDIGWRALAVKQLS >KZN07662 pep chromosome:ASM162521v1:2:42531110:42534707:-1 gene:DCAR_008499 transcript:KZN07662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFKRLSSNLVQETLGSRTSRFVTKRCFVPFNLSDSSVNEVPVLDPSSQSHGIHVFKCPDDVGIVAKLSDCIASRGGNILAAEIFVPHKKHTFYSRSEFVFDPAKWTRAQIDEDFLNLSTRFKAVRSIVRVPDLDPKHKIAILASKQDHCLVDMLLGWQDGRLPVDIKCVISNHERGPNTHVRRFLERHGIPYHHVDTTKEDKSEEGILDLVQDTDFLVLARYMQVLSGNFLEAYGKDVINIHHGLLPSFKGGNPSKQAFEAGVKLIGATAHFVTKELDEGPIIEQMVDTVSHRDNLPSFVQKSQNLEKQCLAKAIESYCQLRVLPYEQNRTVVF >KZN04877 pep chromosome:ASM162521v1:2:17490778:17492355:1 gene:DCAR_005714 transcript:KZN04877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFICLLLSLLSFNLLPVISSASASYGNHQDFVGCLVSNSTSMKEVIYTRSNSSYASVLEFSLHNLRFATPETPKPLVIVTPMQESQVQTVVYCSKKHELEMRIRGGGHDFEGISYVSQVPFVLLDMINLRSVDVDPVAATAWVQSGATMGEVYYAIAQKSKTLGFPGGTYASVGVTGLIGGGGYGVLRRKYGLAADNVIDARMVDVNGRIINRGSMGEDLFWAIRGGGPSSFGIILSWKLNLVSVPERVTIFSVTRTIQQNATDIVWRWQTLAPSLPKDAEIRIQANTVKKDSLADDTAIVVRILGSYLGGVDKLLSLMQEIFPELNLVHKDCVQVSYIQSVLYFATNSVEQPLETLLDRTTFKVPCKVKTDYVTRPISKEGLNGIWEMMLKSDPDTTSLFLTSYGGKMDEISESAIPFPHRAGTLYMTSIRVLRDTKKALDWIRSFYSYLTPYVSSPRTAYVNFVDLDLGVNNENGVTNYTEASKWGKMYYKNNFDRLVHIKSKVDPTNFFGHQQSIPPGSS >KZN05086 pep chromosome:ASM162521v1:2:20093592:20097176:-1 gene:DCAR_005923 transcript:KZN05086 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MENQRLGRLVLVIYPLQGHATPVLQLGDVLHSKGFAITIAHTRFNPPNPSNHPHFSFLLLPDNLPYSDTSQTPSVDDFEAINRDCHAPLQELLELKEQRVDCQVVGIIFDSLMYFAATVADHIKIPRLVFMTSNAAFYQAFAAIPRLMAEGFFPFQDSMLHEIVPQQYPLRFKDLPVLRENMDMMQKLIDLASNFQKFSAIILNTVDFLEQSSLLQLQQQYQVPVFQVGPLSKLAPAISTSFLKEDSNCIAWLDNQTANSVLYVSKGSLSMSDSKELTETFWGLANSGQSFLWVVRPGSVSGSEWTEVLPKDFKEIIGERGLIVKWAPQKEVLTHSAVGGFWSHCGWNSTMESLSEGVPMICSPQFFDQKVIARYITHEWKVGLELDGELERGGIERCIRTLMVGKNGAEMRERATELKQMTSVSIHEGELKLVINKSQDCSQVENFHLLLNNKKKVVIQIFTRSGPSFVHSNTVFILSTSEQLSTHSCQKNRLEEQNIIQHSPNESNLTDSIWIKPTQEAKWNLSTCPLSDVTFLDNGRQFVWLFPSFGGLITVVALLFFRTSTFFLALSGHFSTWLLSIF >KZN05933 pep chromosome:ASM162521v1:2:28504568:28509095:-1 gene:DCAR_006770 transcript:KZN05933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDQNMNMMGSSSGSHDYSGEQNHRMKAEIATHPLYEQLVAAHVACLRVATPIDQLPLIDAQLANSHNILRTYASQHHHLHPHQRQELDNFMGQYLMVLCSFKEQLQQHVRVHAVEAVMACREIEQNLQSLTGVTLGEGTEATMSDDDEELQMDFSLDQSGSDAHDMMGFGPLLPTESERSLMERVRQELKIELKQGFRSKIEDVREEILRKRRAGKLPGDTTSVLKEWWQQHSKWPYPTEDDKAKLVEDTGLQLKQINNWFINQRKRNWHSNSSSMTSLKSKRKR >KZN04853 pep chromosome:ASM162521v1:2:17287604:17289205:-1 gene:DCAR_005690 transcript:KZN04853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNNLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGSGKPGSLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGLTLMLMVLCSIASGLSFGDEPKAVMTTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGVFAIILSSAFDAKFKASAYEMDPVGSTVPQADYVWRIILMVGALPALLTYYWRMKMPETARYTALVAKNANQAASDMSKVLQVDIEAEQKKVQEIGEKEANSFGLFSKEFLTRHGLHLLGTTTTWFLLDIAYYSQNLFQKDIFSAVGWIPAAKTMNAIHEVYTIARAQTLIALFSTVPGYWFTVALIDRMGRFAIQLLGFSMMTIFMFALAIPYDHWTHSGNHIGFVVLYALTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQNKDKAKADAGYPAGIGVKNSLLLLAFVNLLGTLCTFMVPEAKGKSLEEMSGENEEDEAEAQSVSRVPI >KZN06779 pep chromosome:ASM162521v1:2:35757710:35759940:-1 gene:DCAR_007616 transcript:KZN06779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYGTIPTSSSPGGTNIEFISRAKTQIKAGLATRRPWKEMARSFDLPSGLINTTQRIKININYFLMNYAIIMLIILLLSLLYHPISLMVFFALMAVWLFLYFLRDEPLVIFHRLIDDRTVLIGLSIITFVLLLFTGAVGNIFLAVLFGAVVVAVHAALRRTDDLSLDEEAAETADQTDIMIYDQSPRKMQNQGTIPVTSSGGTKEELKTGLAICRPWKEMARSFDFPSGFHSTFERIETNLNYFMMNYVIIVILVLFFSLINQPVSLMMFVALLFAFSSFLYFLRDKPLAVLHHSIHHNTVMFILSIVTVILLWFTGAVLSVISALLIAALIVEIHALFRKTEDLFLDEEAAEKAGLITSSPA >KZN04867 pep chromosome:ASM162521v1:2:17413451:17417694:-1 gene:DCAR_005704 transcript:KZN04867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITAYACLILLFSFGGDAAAPDRSEDTLYSFEEQLDSHPDATQPQPLMVPITLVSSALASSKGAVCLDGTLPAYHLDRGFGSGADSWLIQLEGGGWCNTVRSCVFRKTTRRGSSKYMEKMLPFTGILSNKPEENPDFFNWNRVKLRYCDGASFSGNSENKDAQLFFRGQQIWLAAMEELMSMGMNQAKEALLSGCSAGGLASILHCDEFEDMLPHTTKVKCLSDAGMFLDAVDVSGGRTLRNMYDGVVNLQVSSSLAPSSADPQGYWRGCKSNHALCNTSQIQYFQDFRNQMLQDIKEFASSNQNGLFINSCFAHCQTERQDTWCANDAPMVKNKGIAKSVGDWYFDRTGVKLIDCPYPCDKTCHNLMFN >KZN06915 pep chromosome:ASM162521v1:2:36852043:36852516:1 gene:DCAR_007752 transcript:KZN06915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGSCPNVENKYQVRKLEISDKNKGFLELLQQLTVCDSVSDQEFQNRFEELKSHGDDRVVCVIEDDSSNKIIATGSVFIERKFIRSCGKAGHIEDVVVDSNARGLQLGKKIVGFLTDHARSLGCYKVVLYCGDRNRGFYEKCGFKQGAIQMAKYFV >KZN07069 pep chromosome:ASM162521v1:2:38107568:38111460:1 gene:DCAR_007906 transcript:KZN07069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACPSVKNILLLDSEGKRVAIKYYSDDWPTNSSKEAFEKSVFSKTQKTNARTEAEIAMFENNIVVYKFVQDLHFFVTGGEDENELILATVLQGFFDAVGLLLRGNVEKREALENLDLILLCLDEIVDGGIVLETDANVIAGKVATHSVDSGAPLSEQVLLYKYFVPYNRQ >KZN05433 pep chromosome:ASM162521v1:2:23807144:23808295:-1 gene:DCAR_006270 transcript:KZN05433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKLNTHYNHTHFTQSQSQSLINHNYCYSIRHRVAIKLNVAAHSTEDPKSVATIVGEPKPVYSSVKTFAPATVANLGVGFDFLGCAVDGLGDFVTLSLDSSVRPGFVSISDITGSTRKLTLNPLNNCAGIAAISVMKMLNVRSVGVSLVLHKGLPLGSGLGSSAASAAAAAVAVNEIFGGRLSVSELVLAGLESEKKVSGYHADNVAPAIMGGFVLIRGYEPLDLIHLKFPVEKELFFVLVTPEFEAPTKKMRAALAKEVSMADHVWNSSQAGALVAAVLQGDLRGMGSALSSDKIVEPKRAPLIPGMEDVKKAALKAGAFGCTISGAGPTVVAVTDDEEKGRLIGDCMVRSFMEFGNLKAAAVVKQLDRVGARLISTTPL >KZN05232 pep chromosome:ASM162521v1:2:21469943:21474533:-1 gene:DCAR_006069 transcript:KZN05232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFLQSVAGSGRDTMGLGDILDDFLRERFGGDTFERIDRGVTSIKLKQKALNNFNEGNGRFMFLLENRACLTSIKLVSVDTIVIFDSDWNPANDVKALNKISITSQFKQIKIFRLYSAFTVEEKVLILAKQGHILESNLDSINRATSNTLLMWGASYLLDRLDEFHSTAEMNVSSEHEISSKVMNEISALLSQNGECDGMDNFSICKIQQRGGIYYSNLKLLGERQIQLSDNEHPQNFWSNLLNRRILKWKFLSGKIQRHRRKGQYLDDIPRSAECGAVEVGKKRKNGETNSSYPIILNSGLERDKTGGAHGIQGDYDLCCSKRPGASATNLLHPSTAPTIVSEKSMLPDAQTNFDLVKPDFLKLCEILKYSLQTVKPELEEMRETLLTDEISQDNERYGLDIENNFELIQRKCKKRIEKVKQKHEEEIRKMNNDWEFKRKEIESWRKVRSVLLAEVFKQPMPIRTDKLKNLDKKYRMELEVHKCQKEISLEQSKAKHLDALSNERSKVEQWLKSASIATKVAGQDELALQQCDVQNELGYSQASQHVSPNVSESHVTPSRYPDDFPSELTTGKMLAVSGCTTDPNQDGRDGLDVLRENPNCKNDAAENMAKSLNPSGLGSQANRLVNVSENDLTPESIIEEVTGQIVEVNPLDDDRVRHLAENQPEIQQQIVEHAEQLPSQVQHLESNVELHPPTDVIETPLQQNKSDLLFTSTLDHQPPKSNTSPLNSEAVPRTELPRQAVISEVDMSVVQGFQDLPLPAEHQVPSQILKPTLFSDPLLEELERISKETEQTIKLHEDAVSSHLEVFVEVLSCDNYSFFLVTYNLLFLEQKMRLKSEFEELITQIHKKYEDNCKDLDVVFQQKKKELDNNHNKVLMNGLLADAFSYVDSCYYVSVPEGDLT >KZN05717 pep chromosome:ASM162521v1:2:26620408:26620638:1 gene:DCAR_006554 transcript:KZN05717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLRVRLASFFAGAAVASVAGLYTLNNDYTAAHQSISHKDSEVIRNSAGS >KZN06863 pep chromosome:ASM162521v1:2:36454622:36459875:1 gene:DCAR_007700 transcript:KZN06863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKRVAPVHVPVTFDPQPACGSLRFVPCLTWPSSCCGLTSFVNVLRKKVEKLEVKGLKIHKLIPEKLSGLEELLQDVYLILRPKPSDYHDRLDLVRVFNDIAKELYGNSNRPVVKEFGSFVMDLFSSTSDLDLSVNFSDDAAEYPRDQKIKTLRKFLRKFYALKSQGHVSGVKPIFTARVPILKVVDAGTGVECDISVENKDGILKSQIVHMISLIDYRFQKLCFLMKTWAKVHNINSSKDGTLNSLSIILLVTFHLQTRNPPILPPLSALFKDGTDPASVMKCVKKYSNYGKGNKESLASLFLTLLIKLSSVSTLWCKGLCASAYQGAWISKSWNSEVGCISVEDFTDRSQNVARAVKEAEIPNINKAIELSIIYIFDFMNGLIEESKLRRLLFGRDATIPMSVHTSTASGEENAKLNHLPLELIDYKPSMQPPTTVWGGRLATHCESAYKNYPVMASDVTATKKMRTTGGWEEKPAEDWVGTSNGNWGRISGGYSRNNQYPIIVNPNMIPLVGYQSKDGVRMQPTVTAEHVTAEHIWPEEMPGTREWGNSLPANVHYNKNSQVSGYSVSHPTSSNQNLQTFVTRDRNPVHGRPFSPRGSW >KZN05432 pep chromosome:ASM162521v1:2:23799464:23805697:1 gene:DCAR_006269 transcript:KZN05432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAVLVAIAATIGNLLQGWDNATIAGLIVSMSLISATVITACSGAIADWLGRRPLLVIAAFLYFVSGLVMLWSPNVYVLLLARLLDGFGMGLAVTMVPIYISEIAPPEIRGTLNTLPQFTGTAGMFLSYCMIFGMSLTSSPSWRVMLAVLSIPSLLYFLLAILFLPETPRWLVSNGQMLEAKKVLQRLRGRDDVSGELALLVEGLGVGGQTSMEKYMICPADELINEQDAGTDDESVRLFGTEGLSWVARPVTEQNSFGPSSRQESFVSLTMSLVDPVVTLFDGVHEKLPDTGDIGSMLFPNFGSMFNIAGNQQKNDDWDEESLRGEGEEYASDAGINDYDDNLHSPLISRQTTSLEKDMVQPASNSNPVENTNDEPLGSVGIGGGWQLAWKWTEKEGQDGTKEGCYKRIYMLQDSVAPSCRGSLTSTPAPGMEMPEGAEFVQADALVADALVSHPAPNSKEIMDQPTVGPAVIHPSEAAASGSSWSNLFEPGVKHALVVGVGIQMLQQFSGISGVVYYTPQILEHAGICRLVSQIGISATSSCLLITAVITLMTLPCIAVAMRLMDVAGRRGLLLATIPVLIISLVILVIASFVNLGEVVNALIPTVSVLVYFCCFFMAFGPIPNLLCAEIFPTRVRGLCIAICALAAWICDIIITYSLPLMLPSIGLSGIFGIYAVVCIVSWVFVFLKVPETKGMPLEVITEFFSVGAKHSTAT >KZN05499 pep chromosome:ASM162521v1:2:24381368:24386611:-1 gene:DCAR_006336 transcript:KZN05499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYCFDTLLAHYNNQPVPPPAFDEGNFPLFVTWKKIVNGGEPRLRGCIGTLEARHIIDGFKDYALTSALRDRRFPPIQLKELPNLECTVSLLTNYEHGLHYLDWEVGKHGIIIEFTDPDYNTRRSATYLPEVAAHEGWTTLEAIDSLIRKAGYNGAITESLRKQIRLTRYQSTLFTMHYSEYTNYVKTTRGATPSLAGAKPSN >KZN04212 pep chromosome:ASM162521v1:2:3931292:3933712:1 gene:DCAR_005055 transcript:KZN04212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFPVWMLFIMCFICSSFQFNPVDNYLIDCGSSKNTSVGTRVFMADNSSPITLMSPQTIFVNTTSKPNTATTDSAVYPSAVVFGGTSNYTFPIKKQGGHWIRLYFYPLNHESRNLSTARFSVSAQSFTLLKDFRPPSVPVVKEYVLNITSNSLVLNFIPYKDSFAFVNALEVISIPDELIPNSAKTFSPSGEQDNLWKHALEKVARVNMGNRVVNPENDSLWRHWDSDFSFLKHGNLVKFVENVRAVNYTGFSTNDIAPPSVYGTATQLDTESDPNTRTNMTWLFEVDPGFNYFVRFHFCDIVSPQPNQMFFNVYINSLSVVRDFDLSKQTSNILRSPCYIDFIARSSNSRNLNITIGPSEAYNAYPNGILNGLEIMKISDSKGSLDFGDTIVQSSTSKLKSWTIIGSIVVVIFVALVLALVLFIIWRRRKLAHANHAVQDQFGNKGRKSTDGIATISSSKIGYSFPLAEIKPESLKTFGEIAEKCLAERGVDRPTMGEILWKLECALQLEGICVRPRLNTQPSNSHFEDSVLSTQYSVGSDIDGVSMSKVFSNMVRTDMR >KZN06081 pep chromosome:ASM162521v1:2:29986953:29988258:-1 gene:DCAR_006918 transcript:KZN06081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKPCCEKEGLKRGPWTSDEDEALVQFIDKNGPGNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGPFTPEDEKLVIQLHGMLGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLISKGIDPQTHEPCTSVNGLQPRPSASPSTRHMAQWETARLEAESRLSKETTLLIQLPDGKTENDYFLRMWNSEVGESFRNLNKSGAEISACQSPFSQASSSTKNGSVSGTTAEVCHSAAEYTLVKMENTKPNTDYFTARSYSSSTDELEDPASTLQLLWDYPGSDDMSFLEGHTYNYDIYPGFPSGDISLSNSDY >KZN07012 pep chromosome:ASM162521v1:2:37633976:37634617:1 gene:DCAR_007849 transcript:KZN07012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISIIVSRLLFSAVTAACLASSICSARDKVLLMPPEKIEEKFTFYPILPFDTAQATLKRYTRFINNVREEIVSGDTVHGIPRLYNPVKLEESDRYLQVALFNSDEQRISLAIDKSDVYIVGYRTEYEACFFSDTDGADTSSLFPGITRHQLPFKNGYSGMEEIAGSRRDISLGMSELDECIKHLHDLTDNSSLARCMLITIQMVAEAVRYRY >KZN03976 pep chromosome:ASM162521v1:2:133005:136044:-1 gene:DCAR_004838 transcript:KZN03976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASGQASVFEEPRIVVKKLLARAQREGDGAVVRRSIGRAVAIFPRTHRVTMSSASGQASVFEEPRIVVKKLLARAQREGDGAVVRRSIGRHELKYLDPFLMLDEFTVTPPAGFPDHPHRGFETVTYMLQGAFTHQDFAGHKGTIGAGDVQWMTAGRGIVHSEMPVGEGLQTGLQLWINLSSKDKMVEPNYQELLSDDIKRVETDGVEVKIIAGESMGVESPVYTRTPTMFLDFTLQSKAQVNQKIPESWNSFVYVIEGEGVFGSLDSSPVPAHHVLVLSPGDGLSVWNKSSKALRFALIGGEPLNEPVARYGPFVMNTHEEIDQTIQDYQHCKNGFEMAKYWKSQ >KZN04828 pep chromosome:ASM162521v1:2:16910576:16910818:-1 gene:DCAR_005665 transcript:KZN04828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRPEGTFNHDLAEFLDWKAPANTIPVNGVYSFEEVLPIRRLVVYQRRSHACSMVSDAASFVVDQKKRKETKKFCMMIL >KZN06229 pep chromosome:ASM162521v1:2:31140933:31150555:-1 gene:DCAR_007066 transcript:KZN06229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILITQQRDLIEEAKDAGELGLAAILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTGLLQNVYKNHPEYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQSKNDCKGGMMEQWHQKLHNNTSPDDVVICQALLDYIKGDFDVSIYWRTLNENGITKERLLSYDRAIRSEPNFRRDQKDGLLHDLGSYMKTLKAVHSGADLESAISNCMGYTSEGQGFMVGVQINPVSGLPSGIPELFQFILAHVEDKNVEALLEGLLEVREELRPLISNPNDRLKDLLFLDIALDSTVRTAIERGYEELNSAKPEKVMHFITLLLENLALSSDDNENLIYSLKGWNQAISMLSAGDDHWALYAKSVLDRTRLALTSKAELYHRQLQPSAEYLGSRLGVDECAVDIFTEEIIRAGSAASLSVLLNRLDPSLRKIANLGSWQVISPVEAVGVVVVVDELLAVQNKTYEQPTILVARSVKGEEEIPGGTVAVLTPDMPDVLSHVSVRARNSKVCFATCYDPNIFSDLQAKEGNLLHLIPTSADIVYSEAKDIDVTGSSNLDKGSPGGSITLTQKNFGGRHAISSEDFTNELVGAKSRNIGYLKGKVPSWVGIPTSVALPFGVFEKVLSDDLNKGVAEKVHILQNKLEEGEFNVLQEIRRTVLALAAPPQLVQELETKMRNSGMPWPGDEGEQRWDQAWMAIKKVWASKWNERAYFSTKKVKLDHSILCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYTEVVKGLGESLVGAYPGRALSFVCKKDDLNSPKVLGYPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDRVVVDYSSDPLIIDSDFQKSILSSIARAGSAIEELYGSPQDIEGVVRDGKIYVVQTRPQM >KZN06027 pep chromosome:ASM162521v1:2:29401374:29404068:1 gene:DCAR_006864 transcript:KZN06027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKSSLDPSNKILFSWSVNATDPCNGSFEGIACDPSGQVVNISLQGKGLNGQIPPEIGLLKSLSGLFLHFNELNGVVPKEIANLTELSDLYLNVNNLSGEIPSELGNMSNLQVLQLCYNKFTGSIPIQLRYLRKLTVLALQYNQLTGAIPASLGDLMLLRRLDLSFNSLFGSIPAKVADMPVLEVLDIRNNTLSGRVPLALKRLNEGFQYANNLGLCGVEFSSLNVCKHSDSLHPKKPEPFGAAAKGLASKDLPESADFKPGCSQNNCSNHSKHSRVAALCGVILFVSFIVGGLFTFTWYRRRKQKIGSAFEASDSRLSTDQIKEVYRRSASPLISLEYSNGWDPLAKGPSGSGFSQEMFDSFMVNVDEIESATQYFSEVNLLGKSSFSTIYKGMMRDGSIVAIKCIAKTSCKSDEAEFLKGLKILTLLKHECLLGLRGICCSKGRGECFLVYDFAPNGDLSQYLDVKDNKRKVLEWSTRVSIIKGIAKGIGYLHVSKGSKPAIIHQNLSAEKVLIDLQYNPLLSDSGIQKLLADDIFFSTLKASAAKGYLAPEYTTTGRFTDKSDVYAYGMIILQILSGKRMISQSIRQGAELGRLEDFMDANLEGKYIESEVMKLAKIALLCTHESPIHRPDIETVLQDLTGVAS >KZN05857 pep chromosome:ASM162521v1:2:27801735:27805683:1 gene:DCAR_006694 transcript:KZN05857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPSISLVASHDMNMNPNFPNDREMLSERGFASHDMSMSPNFANEGSSDSVSDFHAEQVNRDTNDAPPLDDVCPICFDVFTVPCKTNCGHWFCGSCIMQFWHYKATVKRCKCPICSRLISVLTPEACLLGRQEKEVVDVLKNVHRYNCLFQGGFGGLLQNVLAIPFLIKRIFQGLMDPDRFRINYYTARLFGLMLGVLYNISPFNFIPTGGYAAHRVFELCAILLVVVLCFIGLCCRAISRRRWRRLVAAHNWNDL >KZN05908 pep chromosome:ASM162521v1:2:28277605:28279440:-1 gene:DCAR_006745 transcript:KZN05908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSSNPQSIHDFTVKDAKGNDVELSKYKGKVLIIVNVASQCGLTNSNYTELAQLYEKYKNQGLEILAFPCNQFGAQEPGTNEEIVEFACTRFKAEYPIFDKVDVNGSNAAPVYKYLKSSKGGLFGDGIKWNFSKFLVDKDGKVVDRYAPTTSPLSIEKDVKKLLGIA >KZN06252 pep chromosome:ASM162521v1:2:31380778:31382666:1 gene:DCAR_007089 transcript:KZN06252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKQPVFSKVAELRPGNYGLNLTVKVVSTKVVAQRGQGRQMRLVECLVGDETGMIIFTARNDQVDLVKEGATIILRNAKIDMFKGSMRLAVDKWGRVEGTEDATFAVKEESNLSLIEFEIVNVVEE >KZN04011 pep chromosome:ASM162521v1:2:559733:559915:-1 gene:DCAR_004809 transcript:KZN04011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDYSSKQGYGNSESESESYSEEGAVVPPGRNPRLREVTFKKNKSNKKNTNPDPGSLTI >KZN05307 pep chromosome:ASM162521v1:2:22440267:22448639:1 gene:DCAR_006144 transcript:KZN05307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEVVRVERRGRKRKRRDGENAVDGGMRETRSKALVGSYLNKEFEGDIYLGKVVNYQRGLYRVEYEDGDSEDLDSKELRPCLIVNEDSDEGLVVRKKALDDMILRKYEDMEREKKEREARNMMIVDAVGNGNNGVLASRDCSTDSGEDTIDGDLGIEVEASVVPPPELPPSTGNIGVPDECVADLLSVYSYLRSFSCALFLSPFGLDDFVGSLNCSFQNTLLDAIHVALMRVIHRHFEALSSDGSELASKCLRSMDWSLIDTLTWPVFTVQYMMMMGYTEGLEWKSFYIDALQRDYYSLSVRKKLMILQILCDDALDSSELRAEIDMVEEAEFKDEVDHDRVVNDTPQIGPIRVHPRYSKTSAFKGLEATAVIEGSIATKASINTSSLGYMNTRLNTGVADVDQDANSDECRLCGMEGTLLCCDGCPSAYHSRCIGVMKMFIPEGDWYCPECTINKTSPTITRETSLKGAVFFGVDPYEQVFMGTCDHLLVIRGSRNTEPCVRYYHKEDISVVLKVLGSAAQHHDMYSGLCEKIMRYWDIAVVEGGTSSARIDDGAFSTEELSLFDNNGYVVSKLPVSRNTESCVAVSSSENRAGLLLEKTTKNVAEACFYTGASFKPQSYLNHYAHGDFAAAAATNLSILSSVENHVAVSNTTTNHRKLMSANISLQTKAFSSATIRFFWPDIEKKLMEVPRERCGWGLSCQATCHSKRGCLLNAXKRGCLLNAAASNAIKGTMKILAAIRPVKHGEGSLHSIATYLLFMEESLRGLMVGPFKTSAHRLKWCKQAEQASTCSDLKSLLLELEENIPHVALFGDWIKIADGSPVEPSANHSTTAAGSSQKRGPGRRGRKPSAMPEFVADDDKDISDAFVWWCGGMLSKAVFQKGILPHIVVKNSARQGGSKKISGIYYADGAEVPKRSRRAIWRAAVEMSRNPSQLAAQIRYLDIHVRWGDLVRPELSSLDGKGGDTDANVFRNASICDKQVLESKISYAVAFGNQKHLPSRIMKKVSAVEKIEGGEEKYWFLETRVPLYMIKEYEEKMVKLSPSPPRKPTNVLSTLQRQQLKVSRKNIFSYLVRRRDNLDCCRCAACQLDVLLRTAVKCSTCEGYCHFQCTAALPVDGKGEAEFAITCRSCNKTEATLHGEKYNVAPANPMISQGQKPLSTPTAVTCEKQNGCNQPLVLNGGVRRSVERKPRPALASELQEYEQEVKSASKSKPKNKSKACSLGLIWKWYHADAVELEESKLFKLIGFKCCKCRRIKSPLCPYTSAERRIELEGKKPNKRTRKQARQGTSLLPETSSEQLARGETASHVLPITKELVSIKGDNPLTFSLSRAEHDTHSTSGVALEQKPTLAGSAPQKLPVRRHMKREDESCEAVNNVSVDSFTPIGGNTFLPKDESPPCLEWDLPKNIENDYVFSTEGLNYEEIEYEPQTYFSFNELLANDDGAQLEGVDPSGNLIETIDQLDGVDPSGNIIENIDDDMLPAEGSLERYGMMVDQQETINSFESSYQVVPCHICSYTDPIPDCCCHTCGVWMHRYCTGWVEDIGAWRCCNCHGWQ >KZN04218 pep chromosome:ASM162521v1:2:4062037:4064135:1 gene:DCAR_005050 transcript:KZN04218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSVRIKAETPFHAGATSKHASVPPATRSQEEILESPNLKSFSFNDIKLATRNFRPDSVLGEGGFGCVFEGWIDEDTLAAAKPGTGLVIAAKRLRQESFQGHKEWLAEINYLGHLDHPNLVKLIGYCLEGEHRLLVYEFMPKGSLENHLFRRSTYFQPLSWNLRMRVALGAAKGLAYLHGPEAKVIYRDFKCSNILIDSKYNAKLSDFGLAKDGPVDGKSHVSTRIMGTYGYAAPEYMVTGHLTAKSDIYSFGVVLLEILTGRKVVDKNRSTGEQNLVPWAKPYLSSKHKVTHIMDGRIGGQYTVGAAFKAATLANQCLSTDPKLRPEMNQVVKVLQQLQDLRKAEKVSNQLSLHKHHSR >KZN04869 pep chromosome:ASM162521v1:2:17425968:17429796:1 gene:DCAR_005706 transcript:KZN04869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSIPFLYLAINVIGITFGQPPDFIYYSCGSDAGDSTYMTNLNNVLDSISSNFVTSRFYNFSSGQNLKIAHGIGLCEGNLESDTCRSCLANSAMKLRQLCSNRKEAVGWYEKCMLRYSNNSIIHIPENKPDYCLRSAVANSGDHSQFNSAVEDHMAILREQAARSSSKFANDSMTFADNVKIYGLVQCTPDLTVQQCNECLDNVLGVVSRCLQGNFSGKAATPSCNIRYETAVASPHNSGINIIKIIAVTAASLAGASLVGGFCCVYCRRRKLREGREAKEMRENYQDIQLLDLTGETLADEQDVPFIPLAVIRAATQEFAQENKLGQGGFGPVYKGTLLDGKEIAVKRLSRNSGQGIKEFKTEVSLIAKLQHKNLVRLLGCCMEGKEMLLVYDYMPNGSLEATLFDSVSEARLDWKMRHRIIKGIARGLLYLHEDSRLKIIHRDLKCSNVLLDNELNPKISDFGMARMFNTNQSEAITRRVAWKLWSNNHELELMDPLLEETYVENDVLRCIHIALLCVQEDPAYRPTMSEVVFMLENDIVQLPEATEPAFFLGRRTTQPAPLRIVPKDVGSSINEITFSALSPR >KZN06659 pep chromosome:ASM162521v1:2:34775046:34776455:-1 gene:DCAR_007496 transcript:KZN06659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSTQLLSPFLKTSKLNSSSSVITSTSTSTRHPVVNFLPSLLSPNPKTQLVWTSNIISIALAITTFTSPLPSLAIPSFNSPPPSTPPTTPFSQAKNLPTGLDNGTIRACPSTNPSCISTNPKSSSSAFPWLISQKTSEDNPAQQLFEAILETQKNVKIDNVEHTPTGDYLQAEVDGNLGRDVLEFLVKGDVVAYRAMATKVTYLYPFTTALGDSKGQEERLKKIVDQLGWYAPTLESME >KZN06023 pep chromosome:ASM162521v1:2:29212234:29220472:1 gene:DCAR_006860 transcript:KZN06023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRGSSNRLSSSSSSTFRSPISTLMLSMLATMASFYVAGRLWQDAENRVYVIKELDRRVGKGNSAVSVDDTLKIIECREKQKKLDALELELDRAKDKGFIANQLSVKSGTNKKKRLLAVIGIITRFGRKKNRDAIRRAWMSTGAALKKLEDEKGIIIRFVIGRSPNKGDRLEKEIEEENKQTNDIIILKDYVETPEEIPNKPKMFFIHAAEHWDAEFYVKVNDDIYVNIDPLGTVLANQLDKPRVYIGCMKSGEVFAEQTNRWYEPDWWKFGDGKSYFRHASGEMFAISRALAHFILINRSILRSYAHDDVTVGSWFIGLDVKHANEKKFCCSQRSSGEATLVDSDKKALLNFLSNVSHSRFINWNEQSPVCKNWTGVTCSEDGARVIAVRLPGVGFYGPIPVNTLSHLSALQILSLRSNAISGPFPFDLLSLKNLTNLYLQLNSFSGPLPSNFSVWKNLVIVDLSNNGFNGSIPSSVSNLHNLQLLNLSNNKLTGSLPKPLQRFPKSWFVGNDISLPYSPIHGFSSSIPPHPKPKSAGKLSEKVVIGIVVGACVLGLIGFISMLLVCFLKKKDADVFVSKLEKGHMTPERKISRNEDANNKLVFFEGCNYVFDLEDLLRASAVVLGKGTFGMAYKAILEDGRSVVVKRLKEVRVGKREFEQQMELVGSIKHDNVIELRAYYYSKDEKLVVCDYYCHGSVATMLHGTREEDRIPLSWKTRLRIAVGAARGIAQIHRENGGKLVHGNIKSSNILINSQQYGCVSDTGLSAITSPLALPIARNAGYRAPEIIDTRKATQASDVYSFGVFLLELLTAKSPIHNAGGDEVISLVRWVTSVVKEEWTGEVFDVELMKYPNIEEELVELLQIAMSCVVRFPEQRPKMLEVVEMIENIRPGATGNPGSFEAKSETSTPTPLLAATNGLK >KZN05593 pep chromosome:ASM162521v1:2:25400039:25407337:-1 gene:DCAR_006430 transcript:KZN05593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTTKQIYLLYAIVVFLSCFQLSQCQSGAGDGDISGQIDNPAVLPYLTQVIYARLSNATSSVLTSSEINSKFKFCIKDPEADWDRAFNFSSNLDFLTSCIQKTQGEVTKRLCTAADLKFYFNHFFESGNVDYLKPNNNCNLTNWGAGCEPGWAASVGPDRDVDLRDSRDMPTRTSDPQPCCEGFFCPRGMTCMIPCPLGSYCPLATLNKDNGVCQPYNYQLPPAQTNHTCGGANIWADIRTTVGWDLNQRSAALSTLLLIMYNCSDQVLTTRERRLAKSRERAARSVHEKVKARERWKSAKEAAKKHTSGLQAQISRKFSRIKSVKHPEHSRIEVDDDQSLVQNYTTASASQQQDAIFDGKKMNPSDIVKMIHAIDDDPNSFSLESGYSDMKKKVPKEKQVQTHSQIFNYAYSQLEKEKAIQQQNKNMTFSGLINMAANTETKKRPKIEISFRDLTLTLKGTSKQILRCVTGKIMPGRITAFMGPSGAGKTTYLSALAGKAVGCTITGSIHINGKSASIHSYKKITGFVPQDDVVHGNLTVEENIWFSASCRLSADLPKPDKVLIVERVIESLGLQEVRNSVVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPSYTLFNMFDDLVLLAKGGLTVYHGPVRKVEEYFSKIGIVVPDRVNPPDYFIDVLEGIVKPKSGVSYKELPVRWMLHNDYPVPPDMQQTSVELAMSTPGSDLGHQIDLAHNITIEHSFAGEMWQDVKYNVELQRDILRHNLLRSKDLSNRKTPGILLQYKYFLGRVGKQRLREARIQAIDYLILLLAGACLGSVTKFSDETFGAPGYTYTIIAVSLLCKIAALRSFALDKLQYSRESAAGISSLAHFLSKDTIDLFNIVIKPVVYLSMYYFFSNPRSSFADNYVVLLCLVYCVTGMAYILAIFLEPGPSQLLSVLLPVVLTLISTQNRAGEFVKKVANVCYTKWALEAFVIANAERYDGVWLITRCGALQQTGYNLNHWGLRIFLLILAGVISRIIAFIGLVTFRKK >KZN04817 pep chromosome:ASM162521v1:2:16759696:16765690:1 gene:DCAR_005654 transcript:KZN04817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFIELVETFCLPTLTEESYYDKISGLLDRYPKRGRAFRSVLPENDPKTADDYVSMKFCTIGRVPVYGIYNKSDLQLSAVCVQGTLFAIDDCDLDPNLVPDLQFHRVILDIRHSSLAVSTLSLGYMPEKKNEWTSAIVLLSGMTTQAVRFYRMKGHVGQGLTKKARVPNIPHYRDLRLQNRWHDICKAVRREEYPYQYNLCNYDNKFTTDLIELCWIRYTVALINFGDGVKGENFSRKYTKERGQSKGSHEKHVHEQLLRLTNCRWLPKLLNARVLAPECLVGPMIRYKKSHVPAPTDAARLQGRGKVYKFDASGSFLWFKRHNATSPASYGTSAGCVRTLFTATIFSMQRSRCEVDKIKSNPFFRSLDSELGGVTNPVMVSTSGKYLSGFDQFEISKEEKNLKRDKGNQVARMIVGNSRNRITVRQKEVKGERNGVLCSHNSNEDPLGNGSPGAATVSSMLKSTDLSKINGKLLNLPSLPNVSDFHRFKNELKTFLPSVHFLPSFSDIGNGDDSIVFGEKWIAFDNEQEKVVERHVSLRLIKFVIMYIALQPDSETQLLTILLEWNGVMKSVSSTLVGLSPEFELALYRLCFCMGNENNYVELGPYLVNIKCHCLGKKILDQLSLLLETGLYMNCSDASIFHH >KZN05584 pep chromosome:ASM162521v1:2:25325308:25327562:-1 gene:DCAR_006421 transcript:KZN05584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYTRARTFLTRLHPSRYTLHKLHFSTLTSPLNYAAGEFSDKTQINVNETKILNELSNLLPIRHKNNHIQAEFTANTEVTVNCRSVDDFLLPEEKLRGVFLQKLKGKAAIEQALDNVDVELSSELVAKVVNRGCLSGEAMVRFFEWARNRDEIGERIDVYNVIVKALGRRKCFDHVMEMLSEMRLRGVIPNYETLFVVMDSFVKGKQVSRAIKMFLCLDEFGWKRDTEALNVVLGCLCKRSYLCSACLLVKKMKGKVEFSVVTYNIVMSGWARCGNVGEFEKWLEAMVGDGFEPDSCTHSYLIECLGRAGRMDEAVEIFENLEKDGCVADICVYNAMMFNFLQIGDCDGCLRYYERMLRVKCDPNMDTYVRIIYGFLKARRVSDAIEMFDEMIGRGIIPNTGTVTSFIELLCSYGPPHAMLMIYKKARKAGCIISLSAYKLLLMRLARFGKCGMMLNIWDEMQESRYYSDVEVYQHIIIGLCNNGQLENAVLVMEECLRKVFCSGLNVAQVDRSIWNEMQESGYSSYVKAHQHLIIGLCNNGQLSNAILVMEESLCKGFCPRRLVCRRLNYKMLTSNKVEAAYKLSLRVAQQNENARKQWHE >KZN07817 pep chromosome:ASM162521v1:2:43775899:43777305:1 gene:DCAR_008654 transcript:KZN07817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLNAVGLSSISSVLSRKPKKTISSSLKSPNVVNYNKNITKNTVNRLLCKHSSSHQTPLLTHPIFHHLKSASLPLSAITLPFFLESKDALAAGGEFGLLEGRSFALIHPIVMGVLFAYTLYTGYLGWQWRRVRTIQNEIGELKKEVKPVPVAPDGTPPQPLIPSPIESKIQQLTEERKELIKGSYRDKHFNAGSILLAFGVFESIGGGLNTWLRTGKLFPGPHLFAGAEQRLQCCGQLPRLLYLLCKKEMRLPEVFTLH >KZN06877 pep chromosome:ASM162521v1:2:36547704:36550954:-1 gene:DCAR_007714 transcript:KZN06877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNSDEMEEMEEPLLGSKQDSTKGGFRTLPSIIGNEASERLASCGLTANMIVYMRDEYKMDMVTGNNILNIWNAASNFLPVVGAFISDSFVGRYPMIALGSIFSLLGMIMLWSTTMIPQARPSACIQSSSSCSSSTAFQVFYLCCSFGIMSIGSGGIRASSMAFGTDQLKVRDSSKSSVPLERYFGWYYATTSIAVLFAMSCIVYMQDHLGWKIGFGILVVLMFVTVLSFFLASPFYIKVKSEANFLISFAQVAVATYRNRNTSLLSESTSMAYHHQKGSALIVPSDYLRFLNKACTIRYRGQYSSADEIDLDSWNVCTVDQVEDLKAVIRVIPLWSTGAIMCVNLNQPSFPVIQARSMDRHITSWFEIPAGSFGTFITLAFILWVVAYDRLIIPMASWIIRKPVRLSPKQRMGIGIFLSIFAQATMAIIEFFRREMAIEEGLSGDTDAVVKMSAMWLLLPNCLNGIAEALNIVAQNEFFFSEFPRSMSCVALTLRGVGMSAGSLLAAFILSFVDKISTSGGNMSWVPSNINQGRYDYYYWVLAGISAVNLMYFGMCSWTYGPEAEESKVNVIEDHQLASTHSS >KZN06938 pep chromosome:ASM162521v1:2:36976946:36979917:-1 gene:DCAR_007775 transcript:KZN06938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVVLTFQATPTLQPSFIHHYNHTPTITINHHLLPSKTLNPSSLRFSRSLHFHKLSAYYSSSSTSASSSHTDYKKDVDSLYRRISPVGDWSESVVPILNHWIQEGRTVNKVQLQRIIRELRRYKRYKHALEVSEWMSDSMYLRLSLGDMAIRMDLIYKVHGIDQAVNYFNNIPEQKKDVEVYGALLYCYAEERSLEKAEDLMQEIRALGLLMETVSYNVMLNLYCKTGNFEKSKSLIHEMEEKGIKMDKVTYSILLTACSSSCDTKEIDSILQKIESDPELVLDWNIYITAAHTYIKVGCIDKASEMLEKSEGFILKCNKKNTGFDFLLTQYTNLGNKAEVWRVWELYKSIEKINNSGYIRMLPSLLKFDDVKGAEDVFRDWESSQLTYDFRIPNFLIGYYCRKGLMEKAEVLLDRAKNNGKPQPQTWYYFATGYVGCGQLPKAVEAMKRAISECRRGWKLPSTDILIACLEYIKNNCDVEEVKGFIRSLVAKEIISTDTEKEMLNYSGISKEDHDSKTSTEGDLIVLGEVCKT >KZN06273 pep chromosome:ASM162521v1:2:31591925:31593115:-1 gene:DCAR_007110 transcript:KZN06273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHTSPWPYQTHEQDSNDSSMSSSISSQPSLPSVQSLNKLSQEIFTIHHCISTLKGHSSYVFSLALSGKHLYSGSSNSELRLWSQTLSDHHNFSSNLVSSCKSAVKCIVISGDKLFSSHQDHKIRVWKIEHNTSQNYKCISTLPTLNDRVTGLFSGKNYVETGKHKKSTWLHHDTVSALALSKDGSILYSASWDRTFKIWRTSDYKCLESVSNAHDDAINAIVSSSDGYVYTGSGDRKIKVWGKNGDNKHFMIDALEEHKSAVNALALSSDGDVLYSGACDRSIIVWEKRDGTKTKHMAVTGALRGHAKAILCLAVVSDLMCSGSADKTVRIWRRESDRSYSCLAVLEGHTGPVKCVTAGADYSGGADSNGTPYLIYSGSLDCDVKVWQIRVPFV >KZN04451 pep chromosome:ASM162521v1:2:11028664:11034734:-1 gene:DCAR_005288 transcript:KZN04451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLSLLNNDTDHNSWMIEENTMDAEDSMTSNSSIRNSSCNSSNAGAVDRSRHFELVTQQLFPVNDNTTCTTVTAQNSWMNSCSSWTPVEPQTHHAGISFNQAEFQPRVEKKKTRRGPRSRSSQYRGITFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDQAAIKFRGVDADINFNISDYVESMKQMENLSKEEFVLILRRHGTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFKSEVEAARAYDKAAIRSNGREAVTNFDPSAYENEMISETQGGANGSNLNLNLSMSIPSFVNGLPENENIHQ >KZN05723 pep chromosome:ASM162521v1:2:26646753:26647688:1 gene:DCAR_006560 transcript:KZN05723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSTNLLNENDELNPIGIGHHIVSLTSTTYGLLNLDPTTTPPPRITLSPVLSKKDDPPSSPEVINSWELMAGLIENEVTTTSSSSRFRISPLPPPQPSKRVPLRDLENSNSNNVVRTTPDSLGGHNCYEKLCPPNGGSRVVVYTTTLRGVRKTFEECNAVRAAFEGHGVSICERDISMDRGFKEELRDLMKGKEDSLPPKVFIKGRYVGGAQEVLRILEEGKFAELVQGLPRLNNRLCGYVCDMCGGVRFLPCFRCNGSCKMVKQVNQENDEAKVVGLGFKKQLNNNVVVVRCSDCNENGLVQCPICT >KZN05728 pep chromosome:ASM162521v1:2:26685203:26687377:-1 gene:DCAR_006565 transcript:KZN05728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNLLLFILLISGVFSFQASSLGINYGQIANNLPTPENVVPLLKSIGATRVKLYDADPKVLKAFANTGVEFIVGLGNEYLSKMRDPQKALDWVTTNVKCHLPATKITAIAVGNEVLTFNDTSLSGCLLPAMQSIHTALVTLNLDKQVCVTTAHSLGVLETSYPPSSGAFRQDLSSCVAQILSFLVKTGSPFLINAYPFFAYKGSPKQVSLDYVLFQANPGTVDPATNLHYDNMLFAQIDAVYSALSALGYKKLPVQISETGWPSKGDEDEVGASPENAKKYNANLIKLIAQKKGTPLRPNSDLNIYVFALFNENLKPGPTSERNYGLFKPDGTPAYSLGLSTNIGNTTSNSGATPAKNNTGFIMPAPPTSSNGYLSISSATGRIASSGSIQLFLWFLVGSVLLGIVVA >KZN06648 pep chromosome:ASM162521v1:2:34695966:34697704:-1 gene:DCAR_007485 transcript:KZN06648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSKEPDNPTKSCKSRGSGLRVHFKNTRETAHAIRKLPLIKAKRYLEDVLAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDSLYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEPDTQLASRKPRGNQVLRSGASS >KZN07465 pep chromosome:ASM162521v1:2:41056668:41058276:1 gene:DCAR_008302 transcript:KZN07465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSIDSTSLSSLVPLEAVLFDIDGTLCDSDPLHFYAFQEMFAKVGFNDGVPITEEFFVKNIAGKHNQDIGNFLFPDDHAKGVKFMEDKEEVFRRMAKEQIKPINGLDKLTKWIKDRGLRRAAVTNAPRENAEMMISLLRLSDFFEYVIIGSDCERAKPFPEPYLKALELLKVSKDHTFICEDSVSGITAGVAAGMPVVGLTTRNPEHLLKTAKPALLIKDYADPQLWAALEEIDRKTGASTGSA >KZN04182 pep chromosome:ASM162521v1:2:3357784:3362716:-1 gene:DCAR_005019 transcript:KZN04182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNLNYLGNNGDALGRTGWAMTEFGWDVKYAGVQTLVAKGSRNVQKTPGGLIFKQRWNNLQFVTSASFLMTVYSDYLTSARKTLRCPSGNVQPSQLLSFAKSQVDYILGDNPRATSYMVGYGNNYPQQVHHRGSSIVSIKGSRNVQKTPGGLIFKQRWNNLQFVTSASFLMTVYSDYLTSARKTLRCPSGNVQPSQLLSFAKSQVDYILGDNPRATSYMEAMPHGSVGRQAIPISWLVPLLEDLMPYGYDNFADERDNYEQTEPAKYNNAPLFGLLVMLHAGHSGYNQLLPVNLPKPFAVRLKPAPRPRVSPAPEFLSQSYTVLSGVLLSRATPMVLPAWNKSLGPGKSIEFVYIHSASAASVSVTSYTLA >KZN05920 pep chromosome:ASM162521v1:2:28344003:28346627:-1 gene:DCAR_006757 transcript:KZN05920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVAFTITAFLAMSIVRWIYKWRNPVCNRELPPGSMGLPLLGETLQFFAPSSSSDIHPFVKERMKRYGPTFRTSLVGRSLIVTTDPDLSHYVFQQEGELFQSWYPDTFTQIFGKQNVGSLHGFLYKYLKGMVLNLFGPESLKKMLPEVECAAKRHLKLWSEQDSVELKDRSASMIFDLTAKKLISHDPEKSSENLRENFVDFIKGLISFPVNIPGTAYHKCLQAMKMLKQMLEERQVTPNKLQKDFYDYVLEELQRKNTVLTEAIALDLMFVLLFASFETTSLAITYAIKILVDHPHILKELVDEHDAILCKRQNLDEELTWKEYKSMKFTFQFINETARLANIVPGVFRKALKDIKFKGHTIPAGWAVMVCPPAVHLNPSVYKDPLVFNPWRWEDMEQKGASKNFMAFGGGMRFCVGTDFTKVQMAIFLHCLVTKYRFILVKGGDTVRTPGLQFPNGLHIKVSDR >KZN04291 pep chromosome:ASM162521v1:2:6756232:6761230:-1 gene:DCAR_005067 transcript:KZN04291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEWVALIASVIGVMAGRRAVNARGGSRGGRGNVGLEGRGAGSGRGSGGQVGPECLEGRGTSDTRRGHGGQGDTDGTGGGGSGTGDQGIGGQRDKNGADGRGSGSGVRGSGGEGDTECSGTRGSGSGDRGDTDRSVIGEHITGASDEAECTGARDKTSKRRKRRVRDRDGENSECVHWDDEGRRIVPLGKEADPRSHRTRGYSPGGFGTYPAKPTVVRIKGNQIDCSKALRNILAITRVFWPDGCVGTRDIDKKSPEFWHTCTEEFLRYYTWDPKVSTEQEARASICGHMRNNLRHTVADDKERADEWISTHGGTYANYRPPYVKPGVWSRLCEYWVSDEFKKRSDAGKAARKKVKAPHTSGARSFDRRARDYMKKHDGKLDKTEVYKDCHTYKNKEKKGKWITKEAKNIIERYKEICLKNGIDPKNTHLQIWVKAVGGVKKNRIPGHPRLRASDIYGPEEGVPPPRKGEGNSSLNRLQDDLFLRVVDETFTQARANPEEYSLTPEQIRVLAHNMVEGYTSLPADHPNVKETRHAIIRVAVDVLNNLYKNDQPEADKDKTDQPGADKGKAIDIGDGADVDQNGRDDESTDSEDRDMNHPYIVVPRGGPVIKG >KZN04638 pep chromosome:ASM162521v1:2:13887120:13890831:1 gene:DCAR_005475 transcript:KZN04638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQTTTNTEGVDVLENKPFEDDVFGKGRYTSKIYRLQSKAPTWLAALAPLDALVLQEEAWNAYPKCKTVIKCPYFPKFSLTIETIHKADNGNSENVHSLSKEQLASRQVENIDIAVSATDYWSYIVGSNSIDMTKFQSERTGRGPLLDGWQESCKPVMTAYKLVTVDAPYWGFGSQLEQAFIAGERALFHGSHRNIFAWIDEWFGATIEVIRKLEKQCISPFE >KZN05387 pep chromosome:ASM162521v1:2:23314659:23324810:1 gene:DCAR_006224 transcript:KZN05387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLLDRIPWMRFRGGIAEVAPPYFRPSVSRSFVHCRKNASNLCGFRVSSNRCLSIVSASGSSVDQEDNVVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTKESEEAVLQDLSWLGLQWDEGPDVGGDYGPYRQSERNTLYKQYAEELLNSGHAYRCFCSSEELEKMKEIAKLKQLPPVYSGKWASASDEEVQEELEKGTPFTYRFRVPKEGSLKINDLIRGEVSWNFDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFQMPYFAHVSLILAPDRSKLSKRHGATSVGQFQEFGYLPQAMVNYLALLGWGDGTENEFFTLEQLVEKFSIERVNKSGAIFDATKFRWMNGQHLRLLPQEELTKLLGHHWKSTGILKESEGLFVEEAVQLLKDAVDLITDSEKALSDFLSYPLNETLSSSEAKPILEDSISEFADSLLAAYDSGELFDALDQGKSGWQKWVKSFGKLVKRKGKSLFMPLRVLLTGKLHGPDIGGSVVLLRTFGTGDIVGSQVGFLTLEERFKLLREVDWDSFNNKQTVLESMTVSH >KZN04064 pep chromosome:ASM162521v1:2:1344877:1345714:1 gene:DCAR_004901 transcript:KZN04064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHAGAFWGGQDYGVLSWDNKLAGAEPLQYLVNAAFLATLFSDYMDAADTPGRSCGPNFYLTDILRSFAQTQRHANSLLLV >KZN06267 pep chromosome:ASM162521v1:2:31539162:31543412:1 gene:DCAR_007104 transcript:KZN06267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSTSSAPILMKSSISLLNLVKLTSFSSSAPKFILPIKQISTISSFSTSSSSSLVLTLSGSTRRRVRVFSTATAAAEDGTFFAEKNVSWVSLGISDRLSQALCSIGLDRPSLVQAACIPFIKSKNDVVVAAETGSGKTHGYLVPLIDKLCTALENSNEDTPVEGQLTQLHSHSLVLCPNVMLCEQVVRMANCICKDSGEPLLRAAAVCGRQGWPAEEPDIVVSTPAALLNYLYAIDPDRRRRSNFMRGVKYVVFDEADMLLCGSFQNQVIRLINMFRFDEKLLSREKSSEAERLLDSNSDFITQFEEEESENLNPDSILKEEEAEIVQVVQNKGEENEVGVVKRRDWRRVRKTYERSKQYIFVAATLPENGKRTAGGVLKKMFPDASWNWVEVTVDTQVDILIEAVNQGSNTGNEVSRTMVFANTVEAVEAVANILSGAGIHCFRYHRDGSLEERAQNLVDFQQKGGVFVCTDAAARGLDVQNVSHVIQAEFASSAVDFIHRVGRTARAGQPGLVTSLYTESNKDLVAAVRQAGKLGQPVEKAFSRKRSFRNKIKKRGEAVNFHFPAKIVRHHLAVKEFKYDTSSIDEVGINQAYKHG >KZN07243 pep chromosome:ASM162521v1:2:39360792:39362002:-1 gene:DCAR_008080 transcript:KZN07243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPGAILAPGESLIATVFKFVENPENNEKPMDQKSRVKFKIMSLKVKGPMDYVPELFDEQKDQVAVEQILRVVFLDVERPTPALEKLKRQLAEAEAAVESRKKPPEETGPKIIGEGLVIDEWKERRERYLARQQVEGVDSV >KZN06156 pep chromosome:ASM162521v1:2:30649598:30654676:-1 gene:DCAR_006993 transcript:KZN06156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLVSLLSSSQGAWILKPRNSTPRAIVHFVGGIFVGAAPQLTYRLFLEKLSEKGIFVIATPYASGFDHFLIADEVQFKFDRCLRFLQEPVQDLPTFGIGHSLGSLVHLLIGSRYAVQRNGNVLMAFNNKEASMAIPLFSPVIVPMAQNFGPFLSQIASSSTFRLGAEMTLKQLQNLSPPIVKQALPLFEQLPSLYMELIKSYYGISRNLLIRFKDDTIDETPALAQVLGSGSISSMLDMSIRLLPGDHVLPLQQALPDVPPTMVDAVNRGGEFLANLTAGTPWEPATKDVANALGVESTILRAGISKDLDMLVDVIISWINFNMGKKQLSD >KZN06626 pep chromosome:ASM162521v1:2:34520622:34522140:-1 gene:DCAR_007463 transcript:KZN06626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATNILFLFSLFFIPTIISSSPHQDPDLVVHEVHRNINASRRNLGFLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAVGGKDGKIYVVTDSGDDDPVTPKPGTLRHAVIQDEPLWIIFERDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGINIHDCKQGGNAMVRSSPRHFGWRTISDGDGVSIFGGSHVWVDHCSLSNCEDGLIDAIMGSTAITISNNYMTHHDKVMLLGHSDSYSQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFLAPDRRFSKEVTKHEDAPESEWRHWNWRSEGDLMLNGAFFKPSGAGASSSYSRASSLGARPSSLVGQLTTASGSLNCKKGSRC >KZN04480 pep chromosome:ASM162521v1:2:11555304:11556104:1 gene:DCAR_005317 transcript:KZN04480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSHVGIGLSFLFGCLLLGLIAELYYVIYWKKRYTSMEIQDSSHPTNGTTELSYLFCWKSPISSRKQELPTSVKSGHDQDLQMLRIYNGEEGVESELMRLHNLSGPPRFLFTIKEETKEDLESEDEKSRGGRNISRKGSRNNSLAELLACVETPYLSPLASPVLKKTQSLEGYNHQGFNPFFESSTDAEINKLRLSPPPKLKFLKDAEMKLMNKLMEDAVRKCHEKDATFLTASESNSTASSQVLPLATSPPAFNIVAKKSNVQ >KZN07281 pep chromosome:ASM162521v1:2:39666690:39678334:1 gene:DCAR_008118 transcript:KZN07281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPMASSSAASIIFFTIFAGIISLSQSSLVDHACGTNGNYTTNSLYDRNLGTALAKLYSNANTSNSGFYNASVGKDSDKVNALVLCRGDVQPGICRSCVRDAVDKIRKLCPNQKEAVEWYDECMLRYSNDSVINNPVNEPTREMFNAKNATDAVQFSKDRKALLDEVREEAIVQKFATRNMSTGTDGKSTIYGLMQCTPDLDIDGCHDCLDDALEEIADGSGTIGGQVLKPSCRLRFEITPFYNETTIVFAPSPQPQPSPPPPSSSSHGKDNITRIVIITVVVIVGFAVLLLILVCIFKKKPKQRTDTATFQTDNVEEIRSSDSLQYDFNTIEVATKHFSPSNKLGQGGFGAVFKAWKNWQQESASDIIDPTLKNSSESAREIIRCIHIGLLCVQENVAERPTMASVVLMLNTFSLALALPSQPAFLSRSGSGSRVTKSSFQTNNTMITMASHVAILIIFSLYIGIIPVSQSRFVDKFCGTNGNYTSNSVYSKNLDSALANLYSASNTSNSGFYNASVGRNFDTANAVVLCRGDVQHDICRSCVKDSIVKLRELCPNQKEAVEWYDECMLRYSDNPVLNNLVIDPISSWWNGSNTSHANQYDQDLRALLDDLKDKTLRQKFATGKKSGPDFLTIYALMQCTPDLDSTQCSDCLDEIIRAISSRCFGIGCQVATASCRLRYEANRFYNETITFAAPPPESSVPPPENNDNTKRTVIIIVVVIVGVGLIIFLFISVCINRRKQKHRTPTEPSQQYSTNRSLLDWKRRYKIIGGVARGLLYLHEDSRLRIVHRDLKASNVLLDADMNPKIADFGMAKLFEIDETQGNTSRIVGTYDASVFNTTKGSYPDMVHGMFICRDHVSAKTCEDCVRAAIKEISANCPLKNDASIWFDKCFVHYSNTSTFSSLEKDPSFISTVEKDPYVCMSNQVHSSDQPSWFKEQLSAMLAILTYKATSDPSNRVYAEDVIETGLAKFNGIVQCKSDLPSKDCFNCVSAAANRVSYCLAGKNEGIILSPNCSTRYELNSSTQVNSTQSPAPTKGTERGSKITISASVSASLVLLALLGICLYYYYSKRSKRTENIIPSHQETEMSNTEFQLLGFDDSLVSENQVDILDFPVIDLDIIHKATQQFSEANKLGEGGFGPVYKAWKLWSEDKELELIDPLLTKSLVVNEVLKCIHIGLLCVQDDPAERPTMSSVIVMLGSNPTTFTEPSQPLFFIGRIAPSAQAQSNEIGSSVNGVTISNFPPR >KZN07053 pep chromosome:ASM162521v1:2:37980888:37981938:1 gene:DCAR_007890 transcript:KZN07053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYAQVDSVLFAMLRLGFNGIEVRVSETGWPSKGDQDEVGASAQNAATYNRNLLRRQYGNEGTPLRPKMRLEVYLFALFNENMKPGPSSERNYGLYQPDLSMAYNVGLLGSSSITSSTPTSTSTSTSTSTSTASISLTSSASRRHKVPKMGYQNLVYWTLLVYLSAFHFSMRR >KZN06103 pep chromosome:ASM162521v1:2:30113333:30117099:1 gene:DCAR_006940 transcript:KZN06103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSLCINAQAKLVGGRSTASQTPDRASNASSSAYSSSSSQRSNSRRNPVKVAARSVKGVFVACFTPPESENTRDFSVYDTPSVISDASGAGSEKKHRNSSSLYGTSNDSTRLRDPGITKFTIAEILKATKNFSPNLKIGQGGFGTVYKGQLQDGTYVAVKRAKKSVYDKHSGVEFQSEINTLTEVGHLNLVKFYGYLVHEDEKLVVVEYVPNGTLREHLECMHGAVLDFAARLDVAIDVAHAVTYLHMYSVTGRRPIEAKRELKERITAKWAMKKFSEGDAIIVLDPRLERSPANNFALEKILELALQCLAPHRRNRPRMRRCAEILWNIRKDYTELLGLNTPSLSSNSQRSHSISEE >KZN05735 pep chromosome:ASM162521v1:2:26724508:26725842:1 gene:DCAR_006572 transcript:KZN05735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIDNNGGENDVDEVIIEGRRRDGHVIVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDRSGKQWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDVVSFQRGMGEIGRDRLFIDWRRRPDAPSSSASSHYHHLLGTGLVPSASSLALQPQYYSSFQRASNAPWSPSLFLQQQQQQPLSSTPQYYPNYPHNYRDQYSSHLLLPPPPNVTGHHNPHSHFYHPTNYMSATRSTAHHHPLVSPAGPCSGSVIYYNRSAPFSQEQEQQHEEDVEMAQAQRAGWGEFEPNVVFESVPVVHGKTAPKRLRLFGVNMECPINTSGSSNSDDNIIVECDNNMTILSSSSTASAMNIPNIRTSQGPYPYNFCSSAPPQPLPPLMSNPYDTSSSNIPYVQLSPQTATTSTTSTLHDLMNKGKMSMSFDRNEGHS >KZN05212 pep chromosome:ASM162521v1:2:21241413:21244216:1 gene:DCAR_006049 transcript:KZN05212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFAMRSHLTNILIIVVISLIFISNSKAEVLELTPETFTDKVNEKDTAWFVKFCVPWCKHCKSLGTLWEDLGKEMEGADEIEIGQVDCGTAKTVCSKVDIHSYPTFKLFYNGEEVIRYQGPRDVEALKTFVLEETEKAAAKAHLEEDKEL >KZN06923 pep chromosome:ASM162521v1:2:36888743:36893595:-1 gene:DCAR_007760 transcript:KZN06923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAKPENILISEGGGGEDGYDVVVVGSGYGGSVAACRLSMAGLKVCLVEKGRRWEAQDFPTSSLKILSTFRFESSKLGISFGPRDALFQVYEQEDCLAAMACGLGGGSLVNAGVMIPAPVRAKRNVKWPKEWENDWELCEASASSMLRAQSVPTKFQNSKVMEDIIKEEFEVSIESPLKLSINFDENKQPLNSKNPAEMGSCLACGNCLSGCPYNAKSSTDKNYIFSAIQEGCTIRTDCEVQYVVKNLDKTSKEKHSSTKRRRWLVFFNEIDHVKCDFVVLSAGVFGTNKILFQSQQRGLKLSSMLGSGISCNGNNVAYLARSSAPLNASGLDRKNFSELPFQERPGPAISSSYTNSLGFTIQSAVLPTPYIYMLFKGFTSYSWPGGYGIIHEVLDKLMVSFGMKKSQGMVLNVMGYDESDGKITFERDTNKIYFQAPKDTLLPRKIKALQKLSEKLGGLLYMSKYRSTSVHLLGGCNAASDPSHGVCNSNGQVFDTNFHDSVHTGLYVCDASLIPCSVGINPSLTIATVSEHVSRHLVKDVLNYENMYGARFNRTAIDQKLSSMISKNLGGSRTSSIAFTEIMRGHVGGMPISAHLRVKLNMETCKDFDNMNMMSGKSHPLLRGKVGGYVVCPAVESDKIHVIDGEVDLCEVDIRTPYTQYMHYKLTLAASSGSRYILEGRKVLNPFLLGFYMIKESTSMNVTFKKVTANNTKEQEAIVLKGELHISVLEIIKCLISMKGRCKGKFICLLLESFLRTYILRLPRGINKDFTTPHVLEKPYPSSDLLKIETEDGFIISCKRWKCSQSFSRLGHKILYPVLLINGYSTESYWLPTEPNDLVRTLLEQGHETWILQPRLHPSNSSNCFTIKDIGRYDIPKVIDKIIELNGRFVKMSMVILGKNKTLPLFGTLKTTSSQRLIRAIARLMPRYERCSCDECEIFSGIFGNTFWHNNITSSMHYWMNKKSLPALPMAAFPHLRIICNTGFIVDENGKNTYLIHPERMALPTLYISGGRTLLVTPQTSFLANKYMKLHQPDHRHERVVVDGFGHSDLLIGEKSYKKVFPHILSHIGLAEEEKTSSAVKKKYYSKEALAWGNEQQYEEGKAVFWCCIFTILLAVVLLFTVFS >KZN04836 pep chromosome:ASM162521v1:2:17024651:17025415:-1 gene:DCAR_005673 transcript:KZN04836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQEDEGGASDISTSDGENEPGRPVFKEEDRESGRRGAGVLSLMKMGVEDMSQWLLEHAEDEIIRATGTGTVPAIAVSVGGALRIPTEGGGRADVDGSGDNNKGKKRRLNDDLNVAAGGGGGSQSVGLAPVGPMPFWVPSNGFWMMPATVANVGGPQGQYQVVWPVPATEMTPAGVSSVDDKYRDVLYGQKELELMGKKIM >KZN06663 pep chromosome:ASM162521v1:2:34787729:34789271:-1 gene:DCAR_007500 transcript:KZN06663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVCGYKIKMFDVCGFFSIMKTLERYQKINYGPPDVNARSIQEELERSSYQEYMKLKHRYDSLKQLERNFNGDDLGSLNRKDLDSLEKQIDFSLREIRSDRTQRMLDELDKLQQQEYLLHESNIDLHGRLEEGKQQAEGLQWDPSTNGVVYFRQVAPQTSDIFYHQLFCETTSQIGCQAEKMSAVPSGPSANNQMQIW >KZN06303 pep chromosome:ASM162521v1:2:31811460:31812047:-1 gene:DCAR_007140 transcript:KZN06303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSATSILSTSPSLSRHKTPLHLFSSSLQLNPSFPTSNLPKLITKLHVTSPANNSTAAPTKPTEETIFFDGGAHYGDLVANLVLGFTLLWLPLTLAAVFRAFFLRYRFTNLRVTVISGLSGDDRSDFSYKVIKDVQVVPRFIGEWGDIIITLKDGTKVDLRSVPKFREIAKYCLSMAQESGAVSTENGPKGF >KZN06913 pep chromosome:ASM162521v1:2:36841201:36843464:1 gene:DCAR_007750 transcript:KZN06913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKMRTTCIWTERPYEASLFPGIGFASCKYSNKKKLRTIQVSTFTTNLDLPLHFNFRASKPPPPKICGSPDGPPVTASRIELKDGRHLAYKEYGVPKDTAKHKIVYVHGFDSCRHYTFSATSLTPDVIVDLGIYMVSFDRPGYGESDPDPNRTVKSVSLDIEELADQLGLGLKFYVIGYSMGGQLIWSCLKYIPHRLAGATLIAPVVNYWWPGIPSNLSSEAYYQQFPQDQWSLRVAHYIPWLTYWWNTQKWFPYITLIAQSPGILSRQDTELLTVFSTAERKQYEAQVRQQGEYESLHRDLIIGFGTWEFDPLEVKNPFPGNKVQVHLWQGDEDMIVPVTLQRYIVQKLAWIHYHEVAGAGHLFPFSDGMGNAIIKALIMGGDTVP >KZN07240 pep chromosome:ASM162521v1:2:39347223:39347604:-1 gene:DCAR_008077 transcript:KZN07240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLNNSRVTLLVLTLSLFLIITIAESRAIFPAVSVKAKAAPSCDTVFGVRKGDTCFDIAQNFKLSTAAFDAINPNINCAAIFVGQWVCVDGTA >KZN07411 pep chromosome:ASM162521v1:2:40672817:40673287:1 gene:DCAR_008248 transcript:KZN07411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHGSLSAETETLSYVLRLLEAFRAFDSDNDGGITVAELGGVMGSLGYNPSDQEVRAMMQQGDKNRDGLLSIQEFLELNTKELELGGLANSLKTAFENMDLEGDEVVTGEELFEVLGNSNELGLEECEAIIASIDGDGDGAISYEDFNLIVSCLI >KZN04378 pep chromosome:ASM162521v1:2:9785469:9785951:1 gene:DCAR_005215 transcript:KZN04378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTIRCCISCILPCGALDVIRVVHSNGHVEEISGTIRAAEIMKLNPRHMLKKPSSPSDDHTCPKMVVLPPDAELQRGKIYFLIPLPKAHEKSRSRSSSKKKRRVSESNNITVTNLLINSDQYLSEILSEKVSVQRDRRGGRTGVWRPHLESISEAASDT >KZN06990 pep chromosome:ASM162521v1:2:37402337:37404019:1 gene:DCAR_007827 transcript:KZN06990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELANSLSFTFPILAILLTVTGLWMSALGVIAASTPVQVSFHQCLSRNSDSPIPFSKTFYTPNTSSFTSLLESTAQNLRFTLPSVPKPQLIFVPSNISHIQAAVICSKQLGIQLRVRSGGHDYEGLSYASDTNQPFLLLDLSKFKSIIVDIEDNSAWAEAGATIGQVYYRIAQKSKIHGFPAGLCTSVGIGGHITGGGYGPLMRKYGLAADNVVDAQLVDANGLILDRKSMGEDLFWAIRGGAGGSFGIIISWKIKLVTVPSIVTVFDFAKTMDQDTTKLLYKYQQIAHEIDEDLFIRVNFRLVDAARKGEKTVQTYYNALFLGGIDRLLKVMNKSFPELGLKKEDCTEMSWLESVLFISASYRYNTSLEALIEGRSPARSSFKAKSDYVQKAISKTGLEGLLNRFVQDDIPSMIWTPYGGTMSQISESEIPFPHRKGNRFMIQYITAWVADDKDVEAKHLGWIRNLYNYMERYVSRSPRAAYVNYRDLDLGINKDRSTSFLEASSWGTKYFNNNFLRLASIKSRIDPDNFFYHEQSIPKYESKIKRGDSHLNLDHLASH >KZN06169 pep chromosome:ASM162521v1:2:30733775:30735735:-1 gene:DCAR_007006 transcript:KZN06169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPAELERLILYERTRLNAEAHYIKNPNDADNLTRWGGALVEISQFGTLNDSKRMLSDAVSKFEEALVINPSKHDTLWCLGNAFTNQGILTPDIRDAEIYFKKARDCFEKALTESPGNELYRKSLEATDKAPELHMQIQKQMNTGGPPNAKDSEKLNSDLIYDICGWVILVVGIVSWVAMAKSNVPPPHPR >KZN06281 pep chromosome:ASM162521v1:2:31666251:31667408:1 gene:DCAR_007118 transcript:KZN06281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPGFVKEPTVVVGEREKAAVLKEYDNNLPDLGLRDQESDQDFVSICKKTLRNNPPVVASVVSKGIDLSYYIMGL >KZN04938 pep chromosome:ASM162521v1:2:18162186:18162953:1 gene:DCAR_005775 transcript:KZN04938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKTSITDITVGEDKTSIIPSTTVGPSCFREDGKQLVRKKSWIPLFRGSKAKQHSSSNETTKQNKNSHKSRDGKNNNDSDPPQHIANANSTPNSPARSSSLPCGTMRKHASANNLQELANMDMSPSLSNDNLEEAGGSISNSVSPYNLSDRPTMRHKHSVSTGNINDLGTKGRMHYSKSTSNLQDLKFGMRRYASALNLLDLDMNEEVDADEEGDDQVLENCDADVAIDDKADQFIAQFYQQMKSQHKTKPTHD >KZN04547 pep chromosome:ASM162521v1:2:12463354:12469887:-1 gene:DCAR_005384 transcript:KZN04547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKINNINSSANNNLMDKGRTLPVDPNLPRWVCQNCRHNLVIVGVDSYADKFLNDSSFRSGIQGSSVHGASSVLNSTRMDHSFVVLPKQKTQVPGVPPRPRGGSNLSDASQSGKTMEESFVVLPPPAASVYKCESTSDASGIHAPSHEGEPTGAPSHPNNSGFHSTITVLKRAFEIATTQTQVDQPLCLECMRVLSDKLDKEVEDVNRDIKAYEACLQRLEGEPRNALTEADFLKEKLKIEEEERKLEAAMEDTEKQCAEVTAELKELNLKSSRFNELEDRYWHEFNNFQFQLISHQEERDAILSKIEVSQAHLELLKRTNVLNDAFPIWYDGDFGTINNFRLGRLPKIPVEWDEINAAWGQACFLLHTMAQYFRPKFQYRIKIIPMGSYPRIMDASNNSYELFGPVNLFWSTRYDKAMTLFLTCLKEFAEFANSKDKENNIPADKRFKLPYKIENDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFVGNTNFQPLSGTVSSRAEVPPASSMYKSRTSNSKSELQSSSNS >KZN05647 pep chromosome:ASM162521v1:2:25945636:25949027:-1 gene:DCAR_006484 transcript:KZN05647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSLAICVFRNGGELSTRDLQKMVQALPQYSEQIDKLSLHVDIAAKINRIIVELDLKDLGKLEQDLVFGDAATKDVINFLRTKPNVTRENKLRLLMIYAALHPEKLEGDKIKKLMELARIPQDDMNAVYNMRFLEGSSNTKKSSSVTFGLKFDVHKKKNGFRKDRTGEEMPFQLSRFYPMIEELIEKLNTGELPKNDFPCMNDPSPTFHGTSQGATARVGQPPAPHSMRSRRTATWAKPRASDDGYSSDSILRHASSDFKKMGKRIFVFIVGGATRSELRVCHKLTTKLKREVVLGSTSLDDPPQFITKLKLMTANELSLDDLQI >KZN06809 pep chromosome:ASM162521v1:2:36020098:36020721:1 gene:DCAR_007646 transcript:KZN06809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIIFLFSLLFLSEAAVQDFCVGDLTGPESPAGYSCKKAATVTVDDFVYSGLKMAGNTTNIISAAVTPAFSTQFPGVNGLGISMARLDLAPNGVIPMHTHPGASEVLVVVQGAILAGFISSANDVYVKKLNKGDIMVFPQGLLHFQINARGIPSIAFVSFSSPVPGLQILDFALFANNLPSALVEKTTFLDDATVKALKGVLGGTG >KZN04685 pep chromosome:ASM162521v1:2:14706927:14709735:-1 gene:DCAR_005522 transcript:KZN04685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNSKTTRKRSSRLLLLLHLYVIILYQATSTAEASSSGGLNYTKYRQVGSLRLARIQKHLDGINKPPVLTIQSPDGDIIDCVPKRKQPALDHPLLKNHKIQRVRPEMPKSSSANFTEERMRLKGAWQAWHEKRERCPRGSVPIRRSHVHDVLRANSLYDFGKKRRAFAPMTARHKEAPDVVSGNGHEHAIAYTGASQEVYGARATINVWDPSIEVVNEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYQATGCYNLLCSGFVQTNSRIAIGAAISPVSSPSSNQFDISILIWKDPKLGNWWMGFSDNTLVGYWPAELFTHLADRATMVEWGGEIVNSRANGKHTSTQMGSGHFAEDGFGKASYFRNLEVVDSDNSLTSVHEISTLAENTNCYNIKSSYNTEWGTHFYYGGPGNNPRCP >KZN04956 pep chromosome:ASM162521v1:2:18338001:18341135:1 gene:DCAR_005793 transcript:KZN04956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEYIRSFSLFLVFKFRAQSSEALHKISTLNKEYLGHQDHLWSGDYKTEDVCSYDKLMTVCSRPMDEDVPAKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTELPKAGKGKKKSLPVNKDRFISKMFLRGDSVIIVLRNPK >KZN07198 pep chromosome:ASM162521v1:2:39027878:39029118:-1 gene:DCAR_008035 transcript:KZN07198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILCAKPLGFSLLCLVIVFGVTHGYETASIAQPPCTALPPASAPVSIAQPPENTVFPPASAPTSIPEPPTTAIPPGIAQPPCTSGLPPASAPISIPEPPTTAIPPVSIAQPPENTVFPPASAPTGIPEPPTTAIPPGIAQPPCSSGLPPASAPISISEPPVTAIPPSIAQQPCSSVFPPASAPTSIAEPPSSTVLPPANAPLSTSEPPTAAIPTSIAQPPCSTVLPPASAPVQEAPSDMLIPPPKKLVAVQGMVYCKPCDNRGVEKLSGAAPLEGAVVKLQCKNTKYPLVVQTKTDKNGKFFIKAPNTVTTYGYHKCRVFLVDSPANNCNTPSNLNNGLQGAMLMRSSKPPGSAQAPVDFELFSVGPFAFGHKLPCPSWVH >KZN07246 pep chromosome:ASM162521v1:2:39378411:39384216:1 gene:DCAR_008083 transcript:KZN07246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGDISIDDYKLSYYVMSIYVAEDTKVNKVWTELEGYIKKLVHAEVYVESKEEGNVEGASAMNRTSFLGLTGAKNGLKKGPWTTEEDQKLVDYIQKNGYGNWRTLPKNAGLERCGKSCRLRWTNYLRPDIKRGRFSLEEEEAIIQLHRVLGNKWSTIASRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHNPRLDLLDLSSILSQPLYNPSQMNISRLLGVQTLLNPELLRLATSLFSSSQRHQNPNFLQQNVQQNNPISSNSDQLVTNNQLMPPSMQPSQAQEFQTFVPFTNDAQAQFMEPQFTELLNDWGQCNELANGNYPDFQNYGYYGLDQFVPSMPSETSDFQSNGSNNMTSFTNISSTPASSPTHINSTSTIAAEEETENYSISSMLKFEIPDSFDLDCFM >KZN05286 pep chromosome:ASM162521v1:2:22165660:22166887:-1 gene:DCAR_006123 transcript:KZN05286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIHEIAVGRYDEVRHPGAIKAAAAEFFSTLIFVFAGQGSGMAFSKLTAGGATTPAGLVAAAVAHAFGLFVAVAVGANISGGHVNPAVTFGAFVGGNITLVKGILYVIAQCLGSTVACLLLSFTTGGMPTSAFALSGVSIWSAFVFEIVMTFGLVYTVYAVAVDPKKGDLGIIAPIAIGFIVGANILAGGAFTGASMNPAVSFGPALVSWDWTNHWVYWAGPLVGGGLAGIIYELIFIGGSHEPLPTTDY >KZN05727 pep chromosome:ASM162521v1:2:26678705:26682800:1 gene:DCAR_006564 transcript:KZN05727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENQSFGNGFLHPTETNGKVITCKAAVAWGPGLPLVVEEICVEPPHEMEVRIKILFTSICHTDLSAWKGENEAQRVYPRILGHEASGVVESVGEGVEDLKEGDHVIPIFNGECKECIYCKSPKTNNMCDKYRVKPLKSVMENDGKTRFFSKKEGKPIYHFLNTSTFSEYTVVDSACVVKIDPQACLKSTTLLSCCLSTGLGAVWNTANVEAGSSIAVFGLGAVGLAVVEGARTRGVSKIIGVDINPEKFLKGKAMGMTDSIDPRTLKMPVHEKIREISGGGVDYSFECAGNLDVLREAFLSTHDGWGMTVVLGIHPTPKLLPLHPMELFDGRSITGSVFGGFKGKSQLPHIVQKIMSGGIKVDGFITHELPFSKINDAFQLLIDGKSLRCLLHL >KZN05577 pep chromosome:ASM162521v1:2:25228004:25228210:-1 gene:DCAR_006414 transcript:KZN05577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGHEDYFFFFNHDRASLNAKGSRINNTNPITSISSHKLPLSSRKKHPKKLFPSHSKTAASMLHENR >KZN06426 pep chromosome:ASM162521v1:2:32832108:32833136:1 gene:DCAR_007263 transcript:KZN06426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYTSDSDDQPATTTRLFGRERPMHAILGGGKVADILLWKNKNLSAALLIVFTLIWFLFEVVEYNFVSLLCHIFIILMITLFVWYTGAGFANWDRPNVRDFTIPESSVRWLFTKFNKFLLRFYDISTGQDFTKFFLVIALLWVLSVIGNYFSSINLLYLGFLSLETLPFLYEKYEREVDYLASKGNRDAKKLYKKFDAKVLNKIPRGPVKEKKFR >KZN04108 pep chromosome:ASM162521v1:2:2086484:2090932:1 gene:DCAR_004945 transcript:KZN04108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETALTELERLQTRILQRISDLELRAGLPLPYTPQNDNVSANETRLSEILLNNGVKYFAFKKVGFDFYDLSLELRRDALCAASVHHLCKSIVMYTARCNMKNVKNFLYSLNDGKIPRMKFNLLLAPEEVSEMLTGYVRNGVTCIGMKTDIPIILDEAIVKLDPDFFWMGGGDIDLKLGIRTSEFINYLNPFIVNCSSS >KZN04648 pep chromosome:ASM162521v1:2:14171700:14176211:1 gene:DCAR_005485 transcript:KZN04648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNLAVVKPIWMKQAEEAKLKSEAEKTAAAKAAFDATFKNVDKSTEAPGDLSDSDAEEAEDLANKPIGPVDPAKCTAAGTGIAGGTACAPSSFVIVTKDTDGRKVPTGGAQITVKVKPGQGVGATEQEGIVKDAGDGTYTITYVVPKRGNYMVEVECNGKSIMGSPFPVFFSAGNTTGGLLGLAPQSNFPNLVNQTMPNMPNYSGGAILPGVGATLGEVCREYLNGRCAKSDCRFNHPPHSLLMTALAAATTMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQAQAQSNHRKDTSGSMDKDGKADSLKKTVQVSNLSPLLTVDQLKQLFAFCGTVVECSITDSKHFAYIEYSKPDEATAALSLNNLDVGGRPLNVEMAKSLPPKPAVLNAPHGSSSLPMVMQQAVAMQQMQFQQALVMQQNMTAQQAANRAATMKSATDLAAARAAEISRSLKVDGPVDEDKETQRKSRSPSATRARSRSRTKSPVMKRRRSRSFSPLSRRGRNHRSRSPFRSRHYSSYDNDRRSYRDLRDDSARSRRRDFVRSYDRHSRTSRRNRSRSVSPRSRKSFRDDSYSPKRRRESPSHKGKKSSHASSRSPGHYKGSKSSPRSDDENKLSRRRSRSKSMEVKHRSSDKLDESREGKLKRRDRRRSRSASLEGKHQKQSKTDDAKFKQRRHSRSKSPEDKHHSSDKYGKSRDERSKHRSRRRSRSTSVEDDFQKSSRVSAKRSNEHKSSHRRRSRSKSVDYKHREIDNVKEKGTDEKGNHNDKGLVGSDEFGGEAHHNARKSTDFKDDGASVLIIETNGKSSLVMEDGDLDENDVDHNDFKEDATNYERHDKRSPALAR >KZN06443 pep chromosome:ASM162521v1:2:32946002:32946787:-1 gene:DCAR_007280 transcript:KZN06443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAHPNFIGSEVDSSVKVSSESPINLTQDELKKIAAYKAVEFVESGMVLGLGTGSTACHAVAKIGELLQQGKLKNIVGIPTSKKTHEQAISVGIPLSDLDSYPVIDLAIDGADEVDPFLNLVKGRGGSLLREKMVEGACKKFVVIVDETKLVNYLGESKLAMPVEIVPFCWKFTARRLELMFEEAGCVAKLRKVSEDGEIYVTDNGNYIIDLYLKKDIGDLKVASDEILRLAGVVEHGMFLDMATTLIVAGKLGVTVRNK >KZN05634 pep chromosome:ASM162521v1:2:25783893:25785066:-1 gene:DCAR_006471 transcript:KZN05634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTLLRFIYPPPESVFLTAMSMVSIVSLVIAGLMEISGSSMMHYSKIFNTSKKNVKSKMIEFSGRNGMLLAYFPAFVAAVAALALMQNQGLRFTLLTSAVAVHFFKRLFEVLFIHKYSGSMPLDTVIIISGSYFLFAVSVIYSQHLAAEFKEPIIDLKHAGTATFLVGITGNFYHHYLLSKLRKEGEKQYKIPQGGLFHLVICPHYLFEITGFIGISCISQTVYALSFTFGSSAYLTGRSYATRKWYLSKFKDFPKDIKALIPFVF >KZN05809 pep chromosome:ASM162521v1:2:27408255:27413485:1 gene:DCAR_006646 transcript:KZN05809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTIQPLKLTKPSPSPFHSIRTAIFCEKKAPFRRRKYNSSRRSVLKKTFIQEQVKFTAPVSDDPVVAIIGGGMSGLLCALFLEKRGVRSTVFDTGVHGLGGRMGTRIIDPSPLVFDHGAQFFTASDPVFAELVHDWSLKGLVQEWMGTIGELELGGQFTPLSSSSSRYVGVNGMRPLADSILSQTHMVDVVRPSWISKLEPFNGMWHLSENGKPRGQFDAIVIAHNGKCANRLLSASGLPLIARQMKRLELSSIWALLAAFDDPLPVPVDSSGSPFEGAFVKGIDSISWMGDNTKKISKAKDGGPYCWTFFSTAAFGKRNKVPQENIPTVTAERVKETMLEGVEAALGLSKSSLQRPCYTRLQLWGAALPTNTPGIPCIFDSEGRAGICGDWLLGSSLEAAALSGIALANQAGPLILSYIADYFTSGGSSPEEFSVGLHNEFQPLEGHDIGQFPGLESKEKIIEPQPV >KZN05181 pep chromosome:ASM162521v1:2:20879891:20887510:1 gene:DCAR_006018 transcript:KZN05181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGQDTGTNGESDKVVFAAWAKDVRECEEALKVSRERGLSSEEVEKRRQIYGWNELEKHEGQSIFSLILDQFNDTLVRILLVAAVVSFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAFVGVWQESNAEKALEALKEIQSEHACVIRDGQKISSLPAKELVPGDIVELRVGDKVPADMRVLSLISSTLRVEQGSLTGESEAVSKTVKPVVEDTDIQGKKCMVFAGTTIVNGNSISMVTQIGMSTEIGKVHSQIHEASQSEEDTPLKKKLNEFGEVLTLLIGLICALVWLINVKYFLSWEYVNGWPANFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKFVAMGSNINSARSFDVQGTSYDPFDGKIQDWTRGQMDINIQTIAKIAALCNDSSIEQTGNHYVASGLPTEAALKVLVEKMGLPDGLDSSSSSDHGDAQRCCRTWTGSVSRIATLEFDRDRKSMGVIVNSKSGRRSLLVKGAVENLLERSSYVQLLDGSVVELDQNAKKVILQSLHEMSSKALRVLGFAYKEDPPEFATYTGDEDHPAHELLLNPTNYSFIESKLVFAGLAGLRDPPRKEVRQAIEDCRAAGIQVMVITGDNKGTAEAICREIGVFGPNEDIRSKSLTGREFMDHRDQKAHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKYIMKKPPRRSDDSLISAWILFRYLVIGLYVGIATVGVFIIWYTQSSFLGIDLSKDGHSLVTYSQLANWGQCSSWGNFSASPFTAGSQVYNFDANPCEYFHTGKVKAMTLSLSVLVAIEMFNSLNALSEDESLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQIFGIVPLSLNEWLLVVAVAFPVILIDEVLKLVGRFRSGIGSSDDRKTAKAKAD >KZN06707 pep chromosome:ASM162521v1:2:35162141:35180228:1 gene:DCAR_007544 transcript:KZN06707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEISTGLDSSTTFQIVKVLQHTVHILQGTALISLLQPAPETFELFDDIILLSDGYIVYQGSREHVIDFFESMGFKCPKRKGVADFLQEVTSKKDQKQYWLHRDQPYRFITSMEFAEAFQSFHVGKQVGNDLATSFDKSKSHPAALTTDKYGVSKSELFKAVTAREILLMKRNSFVYLFKLFQLTVMAMILMTLFLRTELNHNTPTEAHQMASALFRMIGALTRTLILANTFGGIAMLLLFSLGGFVMARALGRPQAVVTEESDAAGQAAELAENNQNKKKGMEMKERGVAENKLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITVSGYPKKQETFTRIAGYCEQIDIHSPHVTVYESLIYSAWLRLPSEVDTDKRKMFVNEVLELVELDILKQALVGLPGVDGLSTEQRKRLTIAVELVSNPSIIFMDEPTTGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEPAPETFELFDDIILLCDGYIVYQGSREHVLDFFESIGFKCPERKGVADFLQELTVMALALMTLFLRTELNQNTTTEGGLYTGALFFGVVMIMFNGSADLAMTIVRLPVFYKQRDLLLFPTWTYTLLTWITKIPVTFVEVGLWVSLTYYVIGFDPNIGRLAKQYLIFVLINQMASALFRMVGALGRTMILAYTFGGFAMLVLFSLGGFVLARGDVADYWIWGYYISPMMYGMNAIAVNEFLGHQWSKLTSNGSETIGVAVLKSRGFFPYSYWYWIGTAALVGFILIFNFGYTMALIYLNPLGRPQAVIPEESDGAEQAAELIKNNQNRRKGMVLPFEPHSITFDDIKYSVDMPQEMKEQGVVENRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGHIEGNITVSGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDKDKRKMFVNEVLELVELDTLKEGLVGLPGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQELYVGPVGHHSCELIKYFEAIPGINKIKDGYNPATWMLEVTASSQELILGVDFTALYQNSDLYKRNKALIRDLGTPRPGSSDISFLSQYSQSFVVQCVACLWKQRCSYWRNTSYTALTPVWWRWYIWLCPVAYTLYGLLASQFGDIDDKTLSDTDQTVQNFIEDYFGFHHDKVWAVALAVVGFAVLFAFTFAFSIKAFNFQRR >KZN05915 pep chromosome:ASM162521v1:2:28309784:28311072:-1 gene:DCAR_006752 transcript:KZN05915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWLSERETNELLEKIEKAIDFRASRRFFKHFRVLKVEQLEGLRVPEIFMPKHLATLFSVGYDSNSALSEGQSSNNSSREEWSQVFDRDGRFRFYHIISLFPPDDLSNGMKMHYRQTLCWAHFAVTSYNENHGADYELVAPLVSCPIYLWESVHGWHAYVNFFAKPKYSDSSPDLFFAELVACGDSANEVIRCCILKPRPSPVAKAFDLVEFWLPPGEEYHSPTLFCDSDSE >KZN04973 pep chromosome:ASM162521v1:2:18530040:18539595:-1 gene:DCAR_005810 transcript:KZN04973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICVGRSGGTAVLGLGLGFGGGRQMEVMELEEGEACLNKKDLDSTFDPDVAFSYIDEKLENVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPVWSQPKVQNENASKSPNSLPLEGARGHSVSSSASLSARHGSTALGVATLPVSRASPVDNCVTQAATMPLTSAKNKSNSHSDQKNYKVRIRRLSDNWSTKKKSEIYSGLGLDVSPTSSFEDSPTDGELAREPQNLPEFSPTSILEIMKSFPLHDSLRLSPLCGDLICLNDKEMRFQRSGAVTIAHMGDQEGSDSGRCDRNFVREKKTKSSEQKAFTAESKTTNVHSTQNGVDVLMKRERERDVENSFCDEIVSNTFRLPLLSNSNCSVADSAKDTARNADVSTRVVNKREMEENFHDLAKDEPQGPTSVQGNAFIGKANAKAVSRTNKTNSYDNKSGYLAKGGNIKGERIDIPLRVDPHMSKEMQGFIPELKSVPSVDDDMKVSSGLKDTYKEFFGEELEDDNCSAGEEGYGEFKSVTEDQSSSKKFDQLSRSEAHTRVSSSLFHPTGNKPIPDVAAPQVPFVNEDWVCCDKCQKWRLLPAGKNPESLPKIWLCSMLNWLDGMNRCSISEEETTKAVLALQQTFPSAPVHGGQNSQNRYSGVVSSGVADAWHVDQCIQDVGGRKNHGIKDVYNAFSHNGSSPYSDSKKKIFQAPVETQSLNGENHSPSFNKDEVSGQSSGLVGQKCRHRKEKIPLANTVEGDFKSLKMRSSGEKNEETFKAPKKLKAGGVQIDEDWKSDNGAAALKVGCSSNSFPINKSQELQHKHDGYPKDSKSLGNSEDWTQFSSDASLLHTENFTDRDVKKRKISEYQGSQLYATSRSNEGHHLEHHKDYMEETNESNHRKEKKARVPRSEGKESFMSKGSGRIDNKEICLKDQQAGADPEDGHFRRSLDVPIKKDTGSSQPAIAATSSSSKISGSCKIKSNLQEVKDSPVESVSSSPSRNSKQDKFMSTGRDLRGKGGSEALILATSSPRKCSNGDDGSRIDQSSMVQKNVTITNIKHGSLESSMHEFQDRNQSHISRRKDLPEARKSLVSEECPNDESGRRYQYHSNGSDRKTGKESSPRSKDKFRGTKSESEKGYLREASSNGYAGHGSHIENSKARSKVQEKSALNSDKVEKNLFGTNELAANPSFESGKREAQSDKASFDNTAIRQNISNHHSEPNQPMDSGSERFSKRFPTDKKDRVDVLGKTKSNPLPPSGRGQNEMERRPQPVNENQKDNGIKLSTVSTGGSDEALKCSKQINRSENHSGNINQPSNSRNPTNGHKDRDIDVASSIRRDSTTQAANNAVKEAKDLKHLADRLKNSGSILESMGLYFQAALKFLYGASLLESSNNENSKHGEAIQSIQMYSSTAKLFEFCAHEYEKLNDMSSAALAYKCVEVAYFRVIYFSHSNASKDRHELLTALQIVPPGESPSSSASDVDNMNNIATMDKVSLTKGVNSPQVAGNHVIPARSRPNFTRLLNFVQEANFAMEASRKSRSAFLTASREETKYRDGLPSVKKALDFSFKDMDGFLRLVRLAMEAISR >KZN05261 pep chromosome:ASM162521v1:2:21821641:21821841:1 gene:DCAR_006098 transcript:KZN05261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILVLTLGQDLPDGNLGALQKKGDVSKDKFSKVC >KZN06843 pep chromosome:ASM162521v1:2:36287356:36298061:1 gene:DCAR_007680 transcript:KZN06843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGQLHYGFHEEKLQVRITHMWDTFSFNTYYEAMTCLIMIDVKNDQYWAITEITQRQKLLKFICPGLMYHISNFRVVAAPTAWKPIDTDKLLIFGKQAEIHDCLDDNSIPRYKFNLCTWSTVMSRVGNKDNLTDIAGVIMFVGGMETERNINRVNITLLDGRVVAAPTAWKPIDTDKLLIFGKQAEIHDCLDDNSIPRYKFNLCTWSTVMSRVGNKDNLTDIAGVIMFVGGMETERNINRVNITLLDGRYRVKCGSLAGTHKIFQRQRGMTTPTQSEGDLYSTANSSESLVDPIHYSLSQSLPLEERYKLDRDWKRNEAEHSYSEAKKNYELHMGLQVHNKTIRKHVADLKAGNTSLSSQAQETKSLVDNLTAYKGHLEEDRLRELLMLQWRLNGSAAELEEGKSFLNDLIDTENGGRS >KZN07425 pep chromosome:ASM162521v1:2:40755892:40757269:-1 gene:DCAR_008262 transcript:KZN07425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEVDEKSWPEWAKALLAEKFFDQCELHSDSNKNECNLFCLDCYSAPPICSLCVTDHEDHPVIQIRRSSYHDVIRVSEIERYLDTAYIQTYVINGAKVVFLNKRPQLRPSKGVSNTCVACDRGLLDNFRFCSLGCKITSTSGDGGDDSSGSSSNVQGFSPSTPPTALLTFKSGNRRKGKPRRAPTGGLIMEK >KZN04352 pep chromosome:ASM162521v1:2:9047169:9049369:-1 gene:DCAR_005189 transcript:KZN04352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLLAGDTCKRARMQPSFFIGAQGPPNAGVEEDSGVMPYKEATLTEGCTPGDIVGLTDTSNKIKSGTKGDVSALRPTLMPAVPAILDRIRDGGRVRFMLSGGAPLSGNTQRFTNICLGVPVVQGYGLTETCAAATFSEHDDTSIGRVGPPLSCAIIKLIDWPEGGYLANDSPIPRGEIVVGGPHVSVGYFKNDEKTKEVYKRWFYTGDIGRFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALVISPYVEIIVLHADPYRNHCVALVVVCRPALEDWALSQGIKYVSFSDLCFKEDAVKEVLGSLRKVYTT >KZN06651 pep chromosome:ASM162521v1:2:34722881:34724872:-1 gene:DCAR_007488 transcript:KZN06651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTVEGEDRVLAAAQQIVKSLNTSKASTDDMLLIFSSFDNRLSNITSLISDPRGSNSRVDDRFEEAEKVILRWDSGGERKGDGDQNRWEDNLTEYFDAVDEVIQLTEELAIGENGNDSEIADRAESALQLAMSKLEDELRHILIQNTVTPDSEKLHGSIRRGSMSLSSMSFADGGGVGGGGGDADGESVGFGSFGEEDRGSEYGHERGASLGDLSFDVIEPECVELLKEIADRMIRSGYEKECCQVYSSVRRDVLDEYMSVLGVERLSIEEVQRIDWNSLDEKMKKWVQAIKIMTRVLLAGEKRLCDEVFSESELIREVCFIETAKGCVMQLLNFGEAVVIARRTSEKLFRILDMYDALAEVAPELEVLFGDESGELVCMEVKGVLTGLGEAAIGTFAEFENAVKGETSKKPIQGGEIHPMCRYVMNYVKLLVDYSDSLNGILENFEGESGHSNSDDGNSESMTPIAQRLLSLLTTLESNLEEKSKLYEDNAMQYIFLMNNILYIVQKVKDSEIRTILGDQWVRKRRGQIRQYATSYLRAAWSKVLSCLKDEGIGNSASNASKMALKERFKNFNACFEDIYRIQTAWKVPDAQLREELRISISEKVLPAYRSFMGRFGSQLESGRHAGKYIKYNPEDLENYLLDLFEGTPAILNHMRRKGT >KZN04646 pep chromosome:ASM162521v1:2:14138068:14144211:1 gene:DCAR_005483 transcript:KZN04646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELFLHQAPPQFENDNLKMFGRKWFDPLDACALRERIKPVIVEELKRSGFEADISVPQEKKAVGKHSERKRKEPEGRETTMDKKLRSNIKTLGGHVRKREEIDYARICPDQPKNVVYGENLVHRRIKVWWPDDEKYYNGVIGSFNAQNKKYQGILRIQQFDKFLKLSVHCSQEHSGLMSLNMSYCAPLMVNPLDTESLSDAQADQYIRKHSVLVILCNPNYKLRGGVERPTMLLLDSLKGSDSTTIEEFLRGLLSSFYKYAKMDCSDLKYCDNIPFLIPEVPKQVDNESCGFFVLFYTTLFVKMSPDRYSLMEHYPGFFSQKWFTRGQFEDFKTALIKVFRDEHEYQMEASEHSTEDERRSDSCIIELIKQICVNSGNLISSVVGSKDSMNVVRDITTTTDYAGKKVLDECPLSVEIPNVKKKKKYNDSIRGPGISAKSNADEYDWDTIKKNTFAGKEWNTTGVLQNQGKEWNTDQNQGKISDHATKNQHVLSGEENTIKNDMIKDKYSLLESLLDSYHSIQSTLMSHIISAEKESNKDEKIEDIKFAFVELNNEANHFILNSGTTND >KZN05779 pep chromosome:ASM162521v1:2:27154311:27160527:-1 gene:DCAR_006616 transcript:KZN05779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ZDS-1 description:zeta-carotene desaturase MAAAASSLYFPATFTADSPAFSFSARRPFKPKRMMMLVRSDLDQNVSDMSSNAPKGLFPPEPQLYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRPFIGGKVGSFTDKRGNHIEMGLHVFFGCYNNLFRLLKKVGADKNLLVKEHTHTFVNKGGEIGELDFRFPVGAPLHGINAFLTTNQLKTYDKARNAVALALSPVVRALVDPDGAMKDIRNLDNISFSEWFLSKGGTRKSIQRMWDPVAYALGFIDCDNMSARCMLTIFSLFATKTEASLLRMLKGSPDTYLSGPIRDYITQKGGRFHLRWGCREILYEKSSDGQTYISGIAMSKATQKKIVKADAYVAACDVPGIKRLLPPQWRESEFFDNIYKLVGVPVVTVQLRYNGWVTEMQDLEKSRQLRQAAGLDNLLYTPDADFSCFADLALASPEDYYLEGQGSLLQCVLTPGDPYMPLPNDQIIERVTKQVLTLFPSSQGLEVTWSSVVKIAQSLYREGPGKDPFRPDQKTPVGNFFLAGSYTKQDYIDSMEGATLSGRQASAYICDAGEELATLRKTIASIDSNTPTEAELTLV >KZN05514 pep chromosome:ASM162521v1:2:24529369:24535525:1 gene:DCAR_006351 transcript:KZN05514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRHHSFRNQSPVGDLRLSSRRGEFGNDAFIKRSLSPVSRRGSDGIERRDYGWDLRGCGGLSSRSPRKGGNYGNRSRSPPGEQMRKMMNYGNRSRSPPVDQARKMPSYGNRSRSPQVDQMRNIMSYGRGSRSPQMERMRKMPNYRNRSRSPPVDQMRNMPSYGNRSRSPQIEQMRKMPNYRYRSRSPGVEHMRKMPNYDNRSRSPPIDQSRKIPNYGISSRSPLIDQARKLPNYGVEVLGKDLSLAGMQTMHVPYEFMDVRPDAKAELKNTSRVVREGFDGMLGRETIELEDGTNRGLYRMPADMRRPSENIESSRDISSTSMKMGLDRFNDERIQYPKPLLSEKFIGEKGEKMRFRISDAPCNMPPQSIDITGASQFKDFGNSSSRNTTIDFPTSHWDGLPLTKDSPLTKNEYLRGSAKLPEHMAIGDYRGRRVLDSGRGPEGEHKELSINKKELFSPSRGDHSEYLYDRTSLGDIDVFDSGRDPKTGTDAFSTSRTIPPSYLYPRRGIEGTNDHPSFEMYGRSDRIDYDSRLNMLDSVTQLHDATEYSRRKITNNSLLEYPSPQKLSKGNHIGMNKSSISSQKYMDSVIAQKRKVAREEEILYYDDMNDHDRLLPMSSNYHHSRDADTVYYKERMKSPGFHSETDLHRHPEERIRSLDYHHDREMNRHVRILRNEGEDDHTFDQPHRFIKRRHDTMDEEISWSDYEEIPRNWNSSSRRQYQDDRDEEWTRQHTRSSHSSKRVAYDRDIDRSQRFSDRTDTRRFSKYDDRSLSHEREEHMLERSIKGQRPNDKYYKGYMKPGSVSHYDSYQYSRKNMFPKSQHVWMRSKDGNKVDVQYEDSHSENRETFTRSEPSEDTEEFKQLVINYYLSFTKKLNDNFAVRRRYKEQGRAGSLFCLVCGRSESKEFTDTRRLATHAFMSHKVGLRTQHLGLHKAICVLLGWKSEVAPNVVTWSPEAISSAETLAQKEDLIIWPPVVVIHDSSTSDHDTTIEALESFIKGKGVSSGKVKIAKRNVIVVKFLSTSSGVQDAEKLHKYFVENKRGRVDFEKLTSSRHKINKVAGKRPEDELDETELYGYMGIAEDLDQVDFDTKKKCSIRSKKEIQDIVDAPVKPE >KZN04614 pep chromosome:ASM162521v1:2:13522444:13523049:-1 gene:DCAR_005451 transcript:KZN04614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSLISSTTVAVAAAVPISHKINAAPPPPPLPTLSYHKIVASHSDGPQHCRPVQRRAATLGLAGLVLGFIQVGSDRRANAAGKRAPPPPPEEKKDPSINAVTAKVLASKKRKEAMKESIAKLKEKGKLIDQPSK >KZN07763 pep chromosome:ASM162521v1:2:43415011:43418539:1 gene:DCAR_008600 transcript:KZN07763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRESGDGNSRTFFVAVHVGAGYHAPSSEKPLRLAIKRACLAAASVLQKDTNGCFDAVVAAIQSLEDDPSTNAGRGSNLTEDGCVECDASIMDGRSGAFGAVGSVPGVRNPIQIAALLAREQMSGSLLLGRIPPMFLVGEGARKWAQSRDIDLEGSSEERDKYLVTEKALTQWKKYKAMLHNAKAALNVSKSAEVKAYDCSKLNQVSEQSSVLSTLEEDCIMDTVGVICVDCEGNIASGSSSGGVALKVSGRVGVAATYGSGCWASSKGPFGSPYIVGSCVSGAGEYLMKGFAARECCISSSLSQSGPISACTKVLQSVDEMSSRNDIDQSSGVLLVQADTQIMAPGNSSMLRAVEIAAVYTSLSFGIGYFGGSMKRPKATILRSAKQQIGCRVNQFAARIDLTDGKPS >KZN04761 pep chromosome:ASM162521v1:2:15451312:15455909:-1 gene:DCAR_005598 transcript:KZN04761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFDKGLMAIGNILFVSGVMLTIGLKSSLQFFMKRSNFKGTISFGFGFFFVIIGWPIIGMILEAYGFVVLFSGFWPTLAVFVQKIPIIGWLFQQPFIRSFFDSYKGKRMPV >KZN05038 pep chromosome:ASM162521v1:2:19218281:19220063:1 gene:DCAR_005875 transcript:KZN05038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKLKYLNLSNNYLIGSLPSFLSNLTRLKVLDVSYNQLTGNLPSSLGGLTQLRVLDVSYNELTSNLTRLQVLDVFYNELTGNLPSSLGNITQLQLLDVSYNGLTGNLLSNLVDLDLSSNLFNGSIPVFKNCSGLNHLDLSNNSLSGHIPEELGHCASLEFVNFRHNNLGGSIPYKFLCSSHLADLYLSHNNLYRPTPSTNISIRNERDKYYDGERTFLCESGGLTSSKRKSHLLVVCIVLSLFIGLSTLILAFVLCCQHTPVEDPSRINVRNGDFCSIWNFDGHIAYEDIMIATNNFDIRYCIGTGGYGSVYEARLPSGKTVALKKLHRLEAEEPTFDRSFRNEAHVLSNIRHKNIVKLFGFCLHNRSMFLVYEFMEKGSLFCALRDDAHAVELDWSKRVNIVKGISHALSYMHHDCAPPIVHRDISSNNILLNSEMEAFVADFGASRLLEPDSSNQTIVAGTCGYIAPGYLFSLFQKFSVPHFYVVTTYYP >KZN06498 pep chromosome:ASM162521v1:2:33380168:33390814:1 gene:DCAR_007335 transcript:KZN06498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVSKTEVNLRRLLAAAPRQENQAKLVHYVATLRELLEQLTEEKTPEGLPRISKAKVNEYSEKIQAVATKLATPVSDAEIAEEPILETSSHESSPRSVGNHIPESQGLRRRSSIVKERYNDNVVTDQSMPVKLDNAAQTHIAKHRKLQEDLTDEMVVLARQLKESSQMMSQSLKNTENILDSTEKAVEQSLASTGHANTRAMEIAPMHVHTTIVLILTSHIGCLELPMSKAQSCYNSFRLKICKCREHRGNRAALFDDVEEGGIRAEASYSSHEIDEQENDRAIEGLSDRVLMLKRLTGDIHEEVDSHNRMLDRMGNDMDSSRGILSGTMDKFKMVFETKSSRKMLTLVASFVVLFLIVYYLTR >KZN05502 pep chromosome:ASM162521v1:2:24420486:24434639:-1 gene:DCAR_006339 transcript:KZN05502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDGGMYGTSLKPRLLRHLIKNKLPDEKNPFRDTSELSHISSTVRTHRLLSEASSEPIDQKLMEEWKSAVDSWINRLIQLVAMNMPDKCWVGICLLGVTCEECSSERFLASYSVWFSKLLSHIQSQGESPFLMVASCASLSDLLARLSGFSNLKKDGTSHALKVIQPVLKLLNEDNSDMIKDAAICLIYTIITSFPASAHRHYESVEAAIVSKMMSGRCSDGLLKKLGFCLALLPKSKGDEESWLLMMRKILILINVQLKDAFQGLEEESKSDEALRVLVPPGNDPPPPLGGLTMPITSYGSTKRPELLLMCNSSTLMNCCSTMLSCSYPVQVSVPIQSLVMLIRRVLMLDGSVSQKMYPLMTTMKQELICSELPGLHLRSLELLAGIVKGVRSQLLPHVADIAVLIAEYFRKCALPELRIMVYSIIRILLRSMGVGMSLYLTQEVISNTFVDLDYSSSASGNLNLNSNVFNEPVQQPPQKKRKHASTTGSNDEQSDRMGTEMTAPKTKTPISVKIAALHTLEALLTVGGAIRSDSWRPDVDRLLVATALDACKGGWAKEEKNIFLQTGRTDPWAEFQLAALKAFLASLISPSRFRPPSLSQGLELFRKGAQETGTKLSEFCSHALMVLEVLIHPRALSLIDSASDANVVGSMPRTSGRLYSGKQGVNTSYSGGTFGKGDDDPESDEDDLYRSWLENAGEVAIADTLTENNTTSTVGPFSSKDPSAENQILMDKAFVAEVPQISERREVPLDVSKGIQEATAVVAGIRERDDDEIMTGIQDIEGTIQHSVEAFTFEGQTYSNAPAGSVDQQSGTIVLENSTASALDNSNTLVQNVPPTIPPNFAGTTMYMLQQNDDSLEEFIPDIVDTDPDSD >KZN06435 pep chromosome:ASM162521v1:2:32895470:32895985:-1 gene:DCAR_007272 transcript:KZN06435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQNELTNVSSESLPILVVILIANCVSYLRSLSSAILHSFSPPHFHSSHEVVHDNNNALNPIGSRLAGLIVLAEQLNINRLFSYTYSTNTKDEGVSGSDCIVCLNRFSEGDHVRKLACCHVFHKECFDGWLDHLNFNCPLCRAPLKVSDERVGMKERRVTEDLLNWFALT >KZN06430 pep chromosome:ASM162521v1:2:32856200:32859729:-1 gene:DCAR_007267 transcript:KZN06430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTQPQQQPLAPPQLAATDSVNSSPRSHTTDSYGNDSFQLTSKLRLMCSFGGHIVPRPHDKSLCYIGGDTRIVVVDRQSSLSDLIHRLSKTLLNNTSNFTLKYQIPNEDLDSLISVTTDEDLENMIDEYDRLSRNSGAGKSGRLRLFLFPAKPESVTSIGSLLDNSAKSEDWFMNALNGTSTGQMSDSNSVNCLLGLDEAVEANNNNNGNNNENLIKLTGNVVSKSHQDVHSVPDSPMLDTTSSFGSASSTPSLANLPPIRVHVVEDHRKVAGIEEQFVQPVVAVVPPVVVGDYSNRIVSDDERSERSERSDQGGVSMAYRKQLLQQQAQSVISSKQAVAAAAASGGCFDLASPDSVSRLDIDDRLSVAAVLNIKCPKRMWLFSDITTGESTACNWIVLGGGFSCIFVGAVNCRCILPLRLSEPLPPVPFSFYPKIAVNLLSPYFTQSHHPAMDHQYPVYYVPAGQPQAYNMPIQQQGFSEASITTQSSGPQTPPATAAYNATRNAPVPKTEVAAGVYRTETTSTPFVQVPASQHQQQYAGFPQLNHPSQSNAPALATPANYAYQFADPAQAHMYYTQAMAPKLAAQYQNATSNAPESAASLPSDSNKQQARTS >KZN05325 pep chromosome:ASM162521v1:2:22643310:22645515:-1 gene:DCAR_006162 transcript:KZN05325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVFKALILLLQLFSFSDLFIGIHGIGVGVNYGQIADNLPPPSRVSSLLKSLNISRVKLYDADPKVLSAFSNSNVEFIIGLGNQDLQAMGDPVKAQNWIQENVQPHLSQTTISCIFVGNEVLSGEDNQLKSYLAPAMQSVYKALGNLGLNKKVLVTTAHSFQILGNSFPPSSGTFREDLAQYMQPILAFHSQTNSPFLINAYPFFAYKDDPDHISLDYVLFQPNDGMKDPGTNLKYDNMLYAQIDAVYAAMKAMGHTDIPVQISETGWPSKGDADEVGASVENAGIYNRNLMQRIAERQGTPARPSVPIDVYVFALFNENLKPGPVSERNYGLYYPNVSPVYDIGLQSNVGNDPGSGYPRIDYSASHKNAQLSLITFLLASVALLLWLEC >KZN07165 pep chromosome:ASM162521v1:2:38775251:38777986:1 gene:DCAR_008002 transcript:KZN07165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKSLPSLSPISTKHSSVFIFIFTFLCREAAAASPSSCGNIHNISCPFKLRGDHQKCKAFTQELLCLNNQTVLYLPPANKLWYYVESINYDYRSIRIVDPGLEKNNLSSSPLHSLAEEDLQQYAYRGVSRNIEDRSLYTETLFHLSGLNQPIAMIECPFPVNSTQYINVTSPNSSYSLSVFGKKYIYSYAYKVVGFLAIPEVKVNCRISNVAWVSLRSPFRRIFLSNKSLVHDAMVYGFEIPWSYFYCLKCDATYSGKEACDALNPDLRRWACKDFNSHCNVLHPSSYNLTASCIRKNIHDILTSRKNQKTVGIYFGVRYFLGLLLLVAVLAYRARRRHLSMYNTIEDFLQAQNNLMPIRYAYSDIKKITNNFSEKLGEGGFGTVYKGKLRSGLFVAVKMLGKSTATGREFINEVATSGRIHHVNVVELLGFCFEGPKRALVYEFMPNGSLEKYIFCDCKEGTEEEIASLSWIKMYDISCKVASGIDYLHRGCDMQILHFDIKPHNILLDKNFNPIISDFGLAKSYAADNSIVTLTAARGTMGYMAPEMFYKNIGGISYKADVYSFGMLLMEMAGRRKNSNPFVVHVNQVYFPSWVYNQFSEGKELEMEDVTEEELKLVKKMIIVALWCIQMKPSERPPMNKVIEMLEGHVEHLVMPPKPFLYTKEDPAEINSSSTQSFLNSLQSEE >KZN05641 pep chromosome:ASM162521v1:2:25906137:25909004:1 gene:DCAR_006478 transcript:KZN05641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARNAILKHLRINVLSARTLNPRLSFTDLIRRHFSEEVRGSFLDKSEVADRVVSVVKNFQKIDPSKVTVNAHFQNDLGLDSLDTVEIVMALEEEFGFEIPDNEADKISSVNLAVDFIASHPQAK >KZN04232 pep chromosome:ASM162521v1:2:4770601:4775171:1 gene:DCAR_005126 transcript:KZN04232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFISYMDAVKKAKKKDSTAIEKSNVWKWIKKQKIFACRYVFVPICQSNHWNLLILCNLGEDLEMNEKSPCMLLLDSLQEAEPKNLEPCIKQFVYQMYKNESVQGTDDVFQFNLSIPMVPQQDDENFNINEHGGFMNSSWFTEEEMNNFFNNLSSEKLHTDQMQASEDIIVVKEKTETIATHEKDGKEKLAAAAPSVRKSPRLKPIIEDEEDFSIHIVDPEGGANAEKGPAEKAQRKVRATKNEGVNKRKAVEEVQHNKEKKLKKKVEAENEDEEVEEEEEKDDDEKPKKILIRAYPSTFSKTISRLSEAQRQWVKSAGFGALLHFTLARTSHPDVRVKKTWPAFVGWKNSCIDDRAKREGLDNNFGHGDIVPEFETPDESKQNDVNSEQYKGGNSNFTTPKETLKGVGPSRLFSPQDNVDASILSIARDVEQNHNSTEVLTEDEISSRLQHHLSQMEKLKKEFGETLDKGKQLFPESDKMKEYEQRFEEMTTGRIEIFLWNNVTCLKHHIQSLQIGKEVLFHVVDAYTSILNEDEKFRAAESPYRFFCNTMVTVS >KZN07649 pep chromosome:ASM162521v1:2:42434318:42439235:-1 gene:DCAR_008486 transcript:KZN07649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLSSYCQRLMKIQIYLASSETVRTVICVAHHNPFVLLQTAFSTGPRDGCISVCADTAQGPHSPSSTNQKLAPVERGFPVHSVSTIHDSGISNNENSNPNIISTPSTGRDDIHIFHMSSDFCDAQTILNLLPSANRGNAVRRTPTTGSVYYISVINAGECISEMLVFTLSAIVYGQPENITSVEDFELKAMNLYELTKEGFSRSIHILSHRGWEQVVDTGSCCRGTVLGGKDLIFSGTSTTMKQVIHCKVVSQHHASAVSYYRIKLCYILTARTKLPVSTPSDLQYNKPGSVGRASVFERLNESYLSDHDYQHITQSKYTPMTRENQNQDGMSMKVRKLTIRTACQ >KZN07481 pep chromosome:ASM162521v1:2:41195626:41197638:-1 gene:DCAR_008318 transcript:KZN07481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRKGKVEKLDNGHVKMSVRVGESDVVGKSGKKGKRRKKIEKGGVDEVRDGLDVEEGGIVKSKKGKRGKMDVVEEVTDHMDVEEDGIGKSRKKGKKSKKKEMVGVGEVMDCLDVEVDGIENSRKKGKKIKNKDMDGVGEVTDRVDVEEDGIGKSRKKGKKSKEETDGVEEMNDQTNVENEQNLETRVENKKKKKGKEMVAGGESMTDTQDKEYVVEKRKKKKKKTKTRKFETFENQDALNEKTETEAKESNSIAGNVEKKKKKRKRTVEEDDDDNDTGKKKGCYREDVGNSLPDDNGMVAIEKVKMKKKKKKRQRKNEEITEMDGLSSKAKDNETTNVPGKEKKTKSVMKDPENPKSKEKKKVRFSNDLEEVRFSDDLEVVPSDSLVQGKRFTPEEDETLRAAVEEYIQSHCLGEKGVEMVMKCISHRKVRNCWNEIRKALPYRPKSAIYSRAHTLFERGETHEWTEEEKEMLLEQYKKHGNNWSLMAKDFKRHRLQVKDTYRRIKMKRNKGHWSQEEYQTLFDHVNIDLRAKLDEEKKSKHGMLRDNICWTAISDKLSTRADSLCCMKWYNQLTSPMVSQGIWADSDDYRLLDALFNLDACCIEDVDWDNLIEHRSGDVCRKRWDQMVLHIGLHGVKSFAEQVEVLANRYRPELTEAREAWDSKPLVP >KZN04578 pep chromosome:ASM162521v1:2:12987985:12991352:-1 gene:DCAR_005415 transcript:KZN04578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRICLGLAILGLCGHCKKLAPEFEKLATSFKKAKSVLIGKVDCDDHKETCNKFGVSGYPTIKWFPKGSLEPKSYEGARTAEALVEFVNSEGGTNVKIAAVPSSVVVLNSDNFDEIVLDTTKDVLVEFYASWCGHCKSLAPIYEKVAAAFNLEKDVVVANIDADIHKDIGEKYGVSGYPTLKFFPKGNKAGEEYEGGRDLDDFVNFINEKCGTSRDGKGQLTSKAGVVAALETLVKEFVSAGDVEKKAAYNRLQEEVAKLEGPSSRYGEIYLKSAQKCMDKGADYAKNEIQRLDRILAKSINAVKADQFTLKKNILSAFA >KZN05402 pep chromosome:ASM162521v1:2:23484600:23485014:-1 gene:DCAR_006239 transcript:KZN05402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKRSTVGYEISYKLIPCYLGAPCTPLNSYFIGFEWGLLHNRFYLLSCQFSVHEQCNEIRKMNSNIVVIGKTTVDNDKEDLDNDPDDDDADNVEESEGEEFEQETG >KZN06639 pep chromosome:ASM162521v1:2:34609190:34610023:-1 gene:DCAR_007476 transcript:KZN06639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRGGCCIARYGGGGGYNDMSKVDQIMLRYRPIAPKPVAAGGSKPESAELYVKNARPKRRYVRDQSKKRIGTGKRKVSPEKSSSAGSSSGGETAVTLPLLSEVPERKESPGRASKNAPIWLSFDRKEIVSGEGHVAFPVAEPARVLVKSCVRVECVMDTWVDRNGLGKTDGEKVRNLERDTCPGFVSDGYNGVRWTNKAYREMVGEADGEMMVWVVMKDLVLLPLMSEAFTCRVRVSCGKDGGFMSAMTLPCDVWRMSNGGLAWRLDIKAALCLGR >KZN04074 pep chromosome:ASM162521v1:2:1421580:1422074:-1 gene:DCAR_004911 transcript:KZN04074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAVALLVVMAVMTAEGQDIPSCASGLVPCADYLNATSKPPASCCDPIKEAVTKQLPCLCNLYNTPGLLKSFGINVTQAVRLPTLCGVPGDLCQGNSSLSPSLYLSLPPLSISLSPSVSLSPSLSLPLPPLSQLGILIKSLLSLSLPLSLSFSLSLPPLSPN >KZN04792 pep chromosome:ASM162521v1:2:16023456:16025740:-1 gene:DCAR_005629 transcript:KZN04792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLEMSNLKSPAPQLERSTLKSTPRQLEAANRDYTVNGEVQDRNGRWLYTSTAPKPHNIDLTKKLNFDAYRFSISWSRIFPSITPYANLNHYHLPQALQEKYNGWLGHELVKDFADYEDFCFKTFGDRVKNWMTFKKYVPEHTEEEDWNSLGFCMICKLSYGFKTDESSLKTKQLEKDYDILKESYDKLEVDYDSLSKDNEK >KZN05911 pep chromosome:ASM162521v1:2:28290203:28295406:-1 gene:DCAR_006748 transcript:KZN05911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKAARWRSDKNKIKAVFRLQFHATQVSLTGGDSLMISVIPADVGKPTAKLEKVKVNNAGCYWEKPIYETVKFIHDPKTGKINERLYHFIIATDSSKFGILGEVSFDFANYAGAKKLTSLSLPLKTAKFEAVLHVSIERIQDTVDHREVGEGENFKQGSQDESLKAHLSSSDIDVNIKTELSQDGSLDKVVTHVAELKGNRRSSVASDVTISSSDSVSGLDTFQEYGTKENNINEDHTNLISSQGHVSLLQKPTPDASTAVHEEQQRLQSEWSGGSAPDVSTDDSSNSPSETLPRERSDTSAILIDKLKTELAVLARQSEVSELELQTLRKNIVKESKRGQDLSRELASVKEERDAFRCECEKLKDLQKRAEAAKVRNKLQVDGGDPRAFLEELRQELNHEKELNANLRIQLQKTQESNSELLLAVRDLDEMLEAKNSEIVDLSNKSAKSETAYSSHVSNPKCDADIDEDQIALEELVRDHSNVKDAVELEEKITDLYGELESCRRDRDDLEVQMEQLSLDYEILKQENHDISYKLEQSQVQEQLKMQYECSTSYATLNDLEAQIESLENELKKQSEEFSGSLITISELENHINILHEELDKQAQGFEADLEVLISAKVEQEKRAIKAEETLRKAQRQNVNTAERLQKEFRGIYLKISSTLDVNDKLATKALTEANEFRLEKNYLEEMLHQAKEEVESVKGLYEAKLLELLNQIHSKTKQMEQVQSESEYKSTKAETQRKLAEETHRLLSKEILILQSEIERLTRENSVFSEQERQNDNLRAELNQLKLSTNDLSEQIISLQSQIERLRQENSAFSEQQEQIETLRAELDHMKESIEETEIQVLRGIAEGDELELTGALLKVELEKLQKELITMRSVKNETELMAENLQSEVKTLKTKYNELKHYVLEDEFEKQVLRKQVIQLTDDIKSREDMLSIVEKKIIDEYSQAPVFKVDEARRAESLPACDSMEYANLVENIRLLEDQIKLKEISLETSSTAFLAKEKDLQIKIEELEKSLDMLHQNAESFHLNKIQNEAGNAEGFTLNIGVAEESVIAAGDISSTESTTVNGCLTSFKDSNNDTSLEDGLEDSASHSRDHGNLSESSHEMTLLKARNRSMEGELKDMQERYSAISLKFAEVEGERQKLVMKLRSIKNSKKSSS >KZN04555 pep chromosome:ASM162521v1:2:12571180:12577167:-1 gene:DCAR_005392 transcript:KZN04555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILCSTIPSTSHIFPKLSFSCFKSTQINKTHLKFSSRVSRNVKLSPTLALSNSKRFKISARFGGPTNRRNSLREKLTQHKQVRDDSLILDSGSDAQKQVLDFNAENLDSSLDSDRVENEGSAQSSRFKKESFEIYDDSVLSSKLRRWVDQYEADTEFWGIGSGRIFTVFRDSDGKVKRVDVNEEEILRRSGFDSALYKASELEDLSEVNSKISHAKSLATEMENGNNVLLEYSSVAKFVDVSVELGSVSSIRGFNPPPGLFFKMSRVGIVALCGLCVFWAVKRLFKGDADKTEKEMLRRKMKAGMEKDELVKGNVEVIQASKKVEFPSIEKPRLDRQVVMDNITKATALNNAVGLQTSSSVEAVKSSDFDDKIQKIKAMARHARELEKNDQVGLDGTDGEDDSIVNNELSSGKKVIQEKREKNFSSSNRPNYGYVGNSKDVRKTIITTPLDDPQSNGSTIRVKTSVENTEAIKPSISEIDVPNATENRVNKDKVDDLKSFDTTETNSASHSSNTYFNEPDKISVKAKPKVIRSVKEAREYLSRNRDKKETSEDILSEALPPKDSLSSKSRSDGETSQQLNRNHNLHGSSILNSSDLMTEKFASLEQSCESFPLKNVDPEAPEELLEAVDHQIPRVSSSPENSMEKGQSGINVSIDRDLERQRSQDPRKDMSVHTSDLDAKSDFTPSQTACEADLNAEKTIPTENTAQEIVKEIQHQIPDSNDVAAPAATNGNWMENNFHDFEPVAKKIAVGFKDNYDIARQKKINQELNHDSENRVPEYDEGDGELDWMTDDRLREIVFQVRENELMGRDPFHLMDAEDKKAFFGGLEKKVEKVNEKLQNLHQWVHSNVENLDYGADGISIHDPPEKVIPRWKGPPVDTTPQSLDDYQKQRQALLNENLRGQFIEKREVQSPLQASPESSPHESNVTSSVINNQNKINQDELVKPPKIIIEGSDGSVRAGKKAGEEYWEHTKKWSRGFLDSYNAETDPEIKATMKDIGKDLKRWITEKEIQESADLMDKLPKRGQKFIQEKLSKVKREMELYGPQAVMNKYSEYSEEKEEDYLWWLDLPYVLCIELYTNQDGDQNIGFYSLEMASDLELDPKQYHMIAFEDAGDCKNMCYIIQSHLEMLGNGNAFVIAQQPKDAYREARANGFNVTVVRKGELKLNVDQNLEEVEEQIIEIGSKMYHDKIMKGRSMDISSLTKSVFGIKKPKKRMAIGPGSLQHHQYQAQTIPHRLPPRSYCLFLQVANWPCTSQGWGSGVSELFLISRTSYILSGSIIGLADTRQSDQLSKFANLYT >KZN04381 pep chromosome:ASM162521v1:2:9907068:9907343:-1 gene:DCAR_005218 transcript:KZN04381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSVWKQSSFIAELDLLFMALSKRSLPTFRGAVSKQDRPQQKTEVICLIHYGDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAV >KZN05103 pep chromosome:ASM162521v1:2:20189452:20191144:-1 gene:DCAR_005940 transcript:KZN05103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKSIPANNSTAIRQKTSALLRKLEGKVCKLLVTIVLFLLIIYTFLSSHPYSKSSKHSVNIHNKIQHSYEHTSSSPTNIGHIVFGIAGTSKGWTIRKHYVKSWWQPSITRGFLFFDEAPIEHLPWPPVSIRVRLYQNTSTYKDYDKHALPFAIKMVLMIADMFKAENNENVRWYFMADDDTVLFTSNLVDVLSRYDHRKYYYIGTNSESILANVDNSFEAAFGGAGFALSYPLAKALSKHVDGCVKRYPTLLGRDHILQSCVADLGVSLTQEKGLHQLLDVNNSINLCDHEQIDLQHDISGLLSAHPQTPLLSLHHLELVEPIFPSMSRYDSVNHLMKVAKIDQPRLLQQSVCYLKENNWTFSISWGYSSQIYEKIITPSVLQRPLQTFSHRVWKGPKVPFMFNTRGVPGDPCEAPHVFFLDSVEKSDWDNVVVTSYIRKSPRLLQPCSSNNHSADSVSKIRVLSPMNKFEVIGSRRECCDVIEMREANATTVKLRTCMKDEIMG >KZN05194 pep chromosome:ASM162521v1:2:21029575:21032816:1 gene:DCAR_006031 transcript:KZN05194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASVVSGDGEAQVIQSSTAKRGGWITFPFIIATMGGLSLACGGWTMNLMVYLIEEFNVKSIDAAQIFNIVNGCTALFPILGAIVADSFLGCFSVIWISSLFSLLGVVLITVTSTHDSLRPPHCETGSSLCKSPSPFQLSILYSGLALGCLGVGGTRYTLGTMGANQFDTSKNQGSFFNWYFFTLYVSTMVSVTALVYIEDSVSWVLGFGLSVLANLLGLIIFVLGNRFYRHVEANGSPFTGLARVIVAAIRKRKAFLSTKPEDYYYQDEQLGGVEKAAAALVPTKSLKFLNTAALKSEGDIKSDGSLAKPWRLCTVEQVEDLKALIKIMPLWSTGIFVATPIAIQGSLVVLQALTMDRHIGPHFQIPAGSMVVFIFIFTAASLTLIDRFLYPTWKKLSGHPPTPLQRIAVGHVLNVLSMAVSAMVESKRLNTAKSHQKDSIVPISVIWLMPPLAIVGVGEAFHFPGQVSLYYQEFPASLKTTATAMVSLFIGIAYYLSTAIVDSARRMTSWLPDDINHGRLDNVYWVIVVLGILNFTYFLVCCYFYEYQNPHIEVEDSSKSEK >KZN04609 pep chromosome:ASM162521v1:2:13456635:13459159:1 gene:DCAR_005446 transcript:KZN04609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDILISLSEEQVGWVKRTGFGGILDMRMEKYPHRLGYNVVSAFNVEECMLSLKAGNIKITKDIVHNIIGLPREKDSRFTGGSKTFKLNFLVLMHNFFIEGHQNRHLMRDIVSFSDDIDNCANYNWCGLLIEKLKRKHAFWNEERSRDFTGSLPFLIYLYVNQVNNGKTSTVSLQTPAYKVRSNMLLLERQKFECKNDSFGVGHIGGKETTTVNEMNQRVAQQDKELQEPQQVGDKEDDIVANSVSGSLVQQDDINTVIDDVCRTNNGEILVESGTQARFGAEIEKHFEEKLLLLQACIERFVNSLTELHKAYKKCIAYCVSTFALFPESESLAKLKAEYPMFFNLFAETSPMTKNMCLRSIGVGRNVEVMDDDDSFVPNYSLGISQISPKNLEKNIEGLSCIKEKANASAVEKATLFPNNSIGQSDISSKDLKTSANAGCSDKEYIEKNREHLRRMGKVVFDKDGYVRQMRGLAPSRICRSPFGTRVTDVNAHRITIEERDLWD >KZN06676 pep chromosome:ASM162521v1:2:34932295:34936160:1 gene:DCAR_007513 transcript:KZN06676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSANTADEVAVSLSTFVQTPSRFGGGSSCDMSGMCVGSFGNRMPGDLICGTSDDPDGPNAPKDSMTTASRLKTNSVEKSCIFTHKGERNVLNPVSRIVGFESKSLNPPAFDVEDNPCDELRSSSMVTIAQTATTNQSLVKKRLLSPLCGPLMSDQFRKEPLNIGGTIYNHESRVSSVRCSDTLLQEQKEVQTRSPDYFSNLIWSTSSFQERINCHNEHRLTNFSSLTDGPLLDDKDVTRLGLSSSFHSGPIPISPEKVASSSLCLSPLRPKFSGRLKLSGGCMDVSEELDCSYLTFKDLEQSLDRTVLETFSSHRNGDVRVASKSFAEVEHLQHKTGQFTPECMNAVKENWLQDSTPISPCTKFGRSLSGLSIRRSLVGSFEESLLSGRIASGIVNQKIDGFLAVLNITGGSFWPHPQKLPFSVTSVDGDNCLLYYSSVDLAGNLASDKVKGPKMKKSLNSNNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTFFCNYDLSDMPVGTKTFMRQKINLDSAPGNGGFRDPHMKKVGNPSPDLHSSQSLLQSKTLSTDLSRVNDVHRQKSTEHGPNKLHNGLPQDLSGLVSPCGEVGHRKKMNSSVDDITTISSSITKSKSVSGSPKANENTSGSGVLRYALHLRFMCPFPKKNSRTIQKCKSDPFSELSGDNKKLEVERRFYLYNDMRVVFPQRHSDADEGKLTVEYHYPSDPKYFDISC >KZN06298 pep chromosome:ASM162521v1:2:31788800:31791060:1 gene:DCAR_007135 transcript:KZN06298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHTLSQPSSTSGFSSILNPNHLHTKLSSHLHYSFSLNPKKSKTPFPNKLSVNRSPHFEHFHHQNNNFTATKSSLSPPATGSASPRFFVGHSIYKGKAALTVEPRAPEFAPLDSGSYKLSREGCVLLQFAPAASVRQYDWSRKQVFLLSITEIGTLMSLGAKDSCEFFHDPFKGKRLCLLPPELNFYSSQNLNQPNAGVQNKLANMDESIYIPVTRAELTVLVSAFNFLVPYLLGWHAYADSIKPDDLTRGNNANPRAGADFEWSR >KZN05594 pep chromosome:ASM162521v1:2:25415872:25423434:1 gene:DCAR_006431 transcript:KZN05594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASAKHGVYILLFSLVTLSRLSHLAASSDLQIVDAERRIDVISHIVRVYLTLKVENTGKSSASEVLLAFSPEQAKHISILQAAVVVGKKRKKSYLSLKVNPTDLPDSPNGTKYYTAYLLNPLKTGESVTIEVMYALTHSLQPFPVEISQSEPQLVYYHDSALILSHYYIKRQKTMIRTPSSKVESFTRVEPADRKGAELKYGPYEDRSPYSYSPVIIHFENNNPFAVVEELVREVEISHWGNLQITEHYKLAHGGARHKGVFSRVEYQGRPSGSGASSFKHLLAKLPPRVHSVYYRDNIGNISSSHLRSDFKKSELEIEPRYPLFGGWKATFTIGYGLPLQDFLFESDDGRRYLNFSFGCPITETVVDSLTVKVVLPEGSKNPSALLSFHAEQNLETSYSYLDVVGRPVVVLKKKNVVPDHNIPFQVYYEFHPLFMLAEPLMLTSVFFLLFVTSLAYLHMDISIRKS >KZN07583 pep chromosome:ASM162521v1:2:42005611:42008420:-1 gene:DCAR_008420 transcript:KZN07583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPEFAKPTSSKIKFESDSDEDDKKNQSLSSSEDEDDIKRELADVTFEDLQKARCDGSHTVYQKPNSQKSTSRANKNRPMEVTSKKPVARFREVIQVPKKAVRDPRFETLCGELDVDGFKKRYNFLYERELPAEKEELKKLMKKTKDSNAMDELKNRMTWVDKQLKSASTKNTDKKILSEHKKKEREAAKQGKQPFYLKKSEIRKQKLIEKYKELKQSGKLESFIEKRRKKNAAKDHRFMPYRRSNDSEQQT >KZN07125 pep chromosome:ASM162521v1:2:38533533:38535609:-1 gene:DCAR_007962 transcript:KZN07125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMSMATLGICSYVGPSASLSGSCGSRPRSSVSFKMAPSSLPTTSFLTSSFSSLSISSSSSFSGLSLGLNFNTGIKTEKSRGLVVRAGKAALCQTKRSRSRKSLARTHGFRKRMSTTSGRAIIKRRRAKGRWKLCTKTNHNSGKQYR >KZN04741 pep chromosome:ASM162521v1:2:15261845:15263431:1 gene:DCAR_005578 transcript:KZN04741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGLTLPIQNMNIISTRSSRIVNNVSCSSVKTISTTKVTRKHLSSLEKLLQIEPELSPQEANVEDVRVVKKKSSEENRGRGLLEGLNLASIWPESRAAEEVSPRQLVRLKRMLSKTGEFSPRNNLGSKWKEYHGSNNWSGLLDPLDENLRREMVRYGEFIQAAYHCFHSNPSTNDAPLDRHVAVPDKAYKVTKNLYATSSVGLPKWLDNVAPDLAWMTQRSSCVGYVAVCEDQREIARMGRRDIVIALRGTSTCLEWAENMRDMLVEIPGQEGIESEANGVKSVSKVECGFLSLYKTAGPQMPSLSESVVTEIRRLVEMYKDESISITITGHSLGAALALLVADEISSSATNMPPIAVFSFGGPRVGNRGFANRLDSKNVKVLRIVNSQDVITRVPGMFVSEELDKKLRDSGTATKVLDMLDNTMPWAYSHVGTELRVDTKMSPFLRPDADVACCHDLEAYLHLVDGFLASNSPYRSNAKRSLVKLLDEQNANVKKLYTNKANALTLNLNPQREILHMSSCLPSPSS >KZN04781 pep chromosome:ASM162521v1:2:15699943:15700473:-1 gene:DCAR_005618 transcript:KZN04781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMNNTSSRAWIYSCPRCNQTMALVGPILHAPIEAWGAEMRWAETHHENPFSVIYGGSYSYAAMPLLPSATLASPAPMALAPVTPVPTYPLPAPIPQYHDVSTSLAPPPPASLNPPPKISLEAPPSIKTGTRFFGVQDFFGPSIAHGEGSSRPIVLDNYNKDEEENPLDLDLKL >KZN06853 pep chromosome:ASM162521v1:2:36355913:36384593:-1 gene:DCAR_007690 transcript:KZN06853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEADDVSNLEFSWGKKRGVGGKKKEVQFYESFTYDGVDYNLYDSVYMLKEGEPEHYIGKLIKIWENGDKTKKVKVQWFFQPSEILGWLGDMRVLENEIFLATGEGVGLANVNPLEAIAGKCNVVCTSKDSRNRQPSEEELKLADYIFYRTFDVGLCTILDKMDEKVGGTEVRFVFNKEESEAACAVPGHIPDENKEKKCAVVCNGTQQIPKETAPVESKSLITNGDNDQSMAINDVKDVTVKGEYSTDLKSVVTAVESNCLSTTIEEPASLLGKEVSGSKAGAVKDEFEMATDTKVKAEKRPQPANFSSDTEEKPAKKAKKDDVIKSSVDKSRNDTQKLISRGNDVKAMSTAADAPAENAKSGHDVLIRQEKDKPSKQGKVDCSSKEKTINKTEKGPFNKEKLGNKTKGLSGGKLPRRPASDIECKERETAGKVINVTGRPDVKDAVSTSHCSQPNTIEYDMAMEWCLLQARSDSWWKATRRGVKKTEGDFEIKMSINCLPFSCSNPCPKSTETKSDIAEKSEVICVLYLLKTGGGNKSSFYISQSYIETQTYMKQNGKCRGGRRRKKMKLKKKNKVSFGSDIAGVNENETQSEIEMVSTVSGDERASIYSMSNGILPALGAESTGKLKLNNLIISPFNPVYRTWDRFLILLVFYTAWVSPFEFGGFLENPNYILSIMDNVVNAIFFFDVILTFFVAYIDKANYVLVDDPRMIAWKYIKSWFLFDLISTIPSEFARSALPDPFAQYGYFNILRLWRLRRVSAMFSRLEKDRSLSYFWIRCLKMICVTLFAIHAAACIMYLIAIHHKPVSSTWLGLLYGDKINKESTMQYYVTAMYWSITTLSTTGYGDLHATNTWEMVFTTIYMVFNLGLSSYIIGNMTNLVVHGTSRTRKFRDTIHAASSFAQRNKIPLRLQDQMIAHLCLKHRTDSEGLQQQEILEVLPKAIRSSISHFLFYKLVDNKVYIFNGVSNDLLFQLVAEMKAEYFPPREDVILQNEAPTDMYILVTGSVDLITHRNGVETIVRELKSGDVFGETGVLCYRPQLFTARTTRLSQLLRLSRTVFLNLIQANVGDGTIIMNNCLQHLSERNDPVMTSILVEVQHMLTEGKSDLPLSLFFAAMRGDNVLMHKLLKQGKDPNELDSTGRTPLHIAASKGSLECVVVLLDYGANPNSKDSEGAVPLWESILGGHEAVTKVLVDNGATISSGDVGQFASYAVKQNNLDLIKQIIQYGGNVTLLSSTGTTALHTAISDENTEAVKFLLEQGADIDKADQHGWTARALAEYQGHEEIKGLFQTEGQGRNKSVNAHSGMEDVPNVTMYQGLPTLPPIEDGASPITGDRGRRRNNDFDNSLFGIISAAQNLGKVGKQHMLSSLEFERLQALSNENARVTIHCPEKYQTAAVLVFLPESLEELLEIGSQKFGFSPTKVLTKDGALIDNIKLIRDGDHLMLSGD >KZN07222 pep chromosome:ASM162521v1:2:39234946:39235137:-1 gene:DCAR_008059 transcript:KZN07222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAMKKAAVKSGLRRQQLSFQYDPSSYALNFDDGCCRGGDIDRELLQRESFTCVYVIKVVES >KZN04349 pep chromosome:ASM162521v1:2:8882597:8886671:1 gene:DCAR_005186 transcript:KZN04349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPRKLKGHKSSTTYCIASTHRPGLIATSGEDGCVCWFDLRCKDVLFVMNVGDDPVSSLCFKQDNEDIIYVSSGNGVKSFDVHQMGSSKPLQSYNYNQEEINQIVCSSKSSFVAAADDGGDVKIIDIRQHRPYKTLRNSHESICSTVQFLPWRTWEVITGGLDSKLIMWDFSKGRPLKILDFGKPDVNARGSAEQCFNPAFVHSIAVPQVDMLDRIGKVCVVGRGDGIVSVIDIESELDDPKLKNSSKHKKNSQLRSKGSVSSSNSESQDQTRKKNINLDYTIGGHTAAVSCVTLSTFGEKGKYIISGANDKSVKAWNWSAYADPCQPSNNDSLLHLNINLNRKVNWLCTTPSDSENLIVCDTSKLVKVYTIA >KZN07458 pep chromosome:ASM162521v1:2:40993019:40996294:-1 gene:DCAR_008295 transcript:KZN07458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARRGKRSEAFWPSIVMKKWLNIKPKVHEFSEDEVDTETESEDDACSFKDMPRHVAQDLPRARQGNFSESRCQTSGTPLKSSYKKHRRGNSLTLRAQYIVTKDLRVTIGTWNVAGRPPTDDLDIDEWICMEEPSDIYILGFQEVVPLSAGNVLGAETRRPILQWESVIRRTLNKSFEPDTRHKSYSAPPSPVLRTSSAADLLAEVDGTSALALIAEESLSIGKASDSESQKLQGIIGLGKKFNLKRTHSIDRYTRLDWPERPLDGTSQVLSSSSKLRRVFSSSARVGSDWTESAHIFSSQNVLGHAGLRRVHHSSGNLGLMWMEQDEQSEVCDSVDDVLDQCPKEEENSFDVSELEYENALLEDGVNSRLKYVRIVSKQMVGIYISVWVRRRLRRHINNLEVAPVGVGLMGYMGNKGSVSVSMSLFQTRLCFVCSHLTSGHKEGYEQRRNSDVYEIIRRTQFSSAFEANKPLTIPSHDQVFWFGDLNYRINMPDSDVRKLVGKKKWDELLESDQLTNELRDGVFDGWKEGVISFPPTYKYGINSDVYVGENPKEGEKKRSPAWCDRILWLGKGIKQLSYNRAEMWLSDHRPVSSTFLITVEVFDQRKLQKALNVTSAVVHPEIFEEEDWE >KZN07794 pep chromosome:ASM162521v1:2:43620312:43626774:1 gene:DCAR_008631 transcript:KZN07794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEFEEPSLQDSTSESRDVTQNEFEDTTQQRNCGTGSSPLLGFRRGDYSSSPPTRGDSSNYSRGIYGKWGSRSSGQSDKDSDSQSDRDSESGRRQGNPLRRSWQSNDHDGLLGSGSFPRPSGFAAGMMASKGRGDDHFQLKKSNEPYHPPRPYKAVPHTRKENNDSINDETFGSSDCTSEDRAEEERKRRASFELMRKEQQKVLQEKQKLHVDKEKDAFFKGDPALLKQAIEGRALEQGRELDISGSQPPSTIDSVPNPFPPHTSAPRPLVPPGFASTILEKNSGQKIGKQELEEKILHAKAMPMENGIPDKLDERKSVHDQQHKDDSIHGSFATRGKMAVNPLSGLEVTNQIYGSYSVVKATEALDDGEIIQLDTKVTMNDTISDSNQDKSVSILDKLFGSSLTANTSANLEEHYDGQPDVKRSPNMVQSSKFSHWFLEDEKQSPEHLTSVGPDNLLSLIVGGEKGGIQASDIKSLQLIPPEPTLQSSECNKRLASSSISSGGTGISEQSYKYKVVEAIPAVLTCEDLEEKILSEYSENSSSLQPPVYEDSAADGEKAQSKASIDSHASLHLLSLLHKGTNPKVLTPSSNVEIGPADQTLIPEIRNTGNALDKSTEPEGPLSNSGKNITLEALFGTAFMKELQSVEAPVSVHRTVAGSARADYSEPHGLAYHGGHDSSLPAMGDENKSNQLNFENGFLASNSEQQNNTVNMENWLGFADPQINIESLKLRNEGRVKHGAHRLVQNQLPEEESSLLVGDPLNPSKSGHVPAGNLKNEEVSSNTSFDIAEKLAALNAGYIDERSLRAQGGIHLNRGPYELTESERQFHNFYAKASPPQFHSPQMSHGRPLFHPIDSHSAHMTSQMKFRAPEGINHDGRINNQFPVNMIRPPFHHPNTPPSGFDLPVHHQMIQHMQAPANFPPPNVLHEYPRGGPLPPHPSNQQNAFMQEPNLLQGFPFGQRQPNISGLGMQLPAPDASGGGNHPEALQRLISMEHGANPKPMQTFGISSQGIYNHELDMSSRYR >KZN07429 pep chromosome:ASM162521v1:2:40778539:40782058:-1 gene:DCAR_008266 transcript:KZN07429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHLENSEDDHSTSPDSVDYEHSSSDDSPETPDDDDDFDDSFSVPEVYIDANDLGDPSDDSLWGDTKSCEDGDDDGNLICPSPINSYSVYSYRPLSYRWGQPWSAFDYRPWNSFSPVWFDEKPSLVGAAVQGAGLANLGNTCFLNAIVQCFTHTVRLVEGVRTYDHVTPCDRHINGICLICAFRGHVEISLSSTGSVVSPWKLVDNLSCILSYVFRYQQEDAHEFLQCFLDRLESSSSDSMFKEMIPGEKKDNFVKQAFGGRLVSKLRCCNCGHVSDTYEPSIDLSLEIKDVTTLEAALESFTKLERIEDPETKFTCENCKEEVSIEKQLLLDETPSIAAFHLKRFKNDGSYIEKIETFVGFPLALDLQPYTNSSQNSDAELRYELYAIVVHNGFSATSGHYYCFIRSAPDTWYKFDDSTVVRVQENFVLVQDAYILFYAKQGTPWFSTYLENIKPFLNPHCSNTSPKSVLDNVDNRTSYPNHATNCHYDVNGTSDGAPDNFLESPSGSKDDPVNGINYKDDSQQMSTLLPLGATSPTDTSVDAIKDRTVTPEVTTTSPSGIIISLKDNCDGMRAISPSVLQQHSSNPDDIKSNDDNPQTPPRSSTADINRDESADVKLYENTDHQQLVNQVSAKKQSQNELESSEAQRLLRTCPDARRKSLSAAIAGSLSEDSLMNKRRKVMEVSPNKDDSPSTANRKASLRSLARPLAAGLFR >KZN05441 pep chromosome:ASM162521v1:2:23874738:23885947:-1 gene:DCAR_006278 transcript:KZN05441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGFVPLKPSAKPKKSQRQLGNKSDQSTIYSRSSACMSYHLSNVQVPKPNRSTERR >KZN04165 pep chromosome:ASM162521v1:2:2858781:2861373:1 gene:DCAR_005002 transcript:KZN04165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSSSSREQKQNGGSNRAPLYLNVYDLTPINNYLYWVGLGIFHSGIEVHGLEYGFGAHEYSSSGVFEVEPKSCPGFIFRRSVLLGTTDMSHSEFRSFMENLSGKFHGDTYHLIAKNCNHFTNEVCLRLTGKGIPGWVNRLAKLGSCCNCLLPESIQVTAVPVRHLPDHQVYSDTDDASDSGGSFLSVETVEEDSDHHLFTTPNSDMAFLKDKPVRVAKELH >KZN04711 pep chromosome:ASM162521v1:2:14970382:14971928:1 gene:DCAR_005548 transcript:KZN04711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNNLVRVSSTNRNVSSFKNVLVENEKDNFDAESMNQESPPWGNVEIKGISELMCDGGSVALIKPRGEVVKMTNTLCFLEETDEEVLSNRILKLSRSNKVVSALSLYRSMEFSGLRANLHACNSLLSCLVRNQVLDEALKIFEILKESEMTTGHTYSLILKAIANAWGCNAALNMYEDLSGNSYIKKKFDAIAYNTMLSICGKVNDWVQAERIWRNLNDNGRTGTALTYRLLVCIFVRCSQNELALDAYREMIQNSLEPTDDMMQAIIGACSKEGEWDMGLSVFHRMLNQGMKPNAVACNALINSLGKANKANLALKVYGIMKSLGHIPDAYTWNAILGALYKAEEHADVLRLFQNLQAAKKSKISLHMYNTALMSCKRLGAWDRALQLLWHMESSGLPISTVSYNLVIGTCEVARRPKIALQVYERMLHQHHTPDLFTLLSLIRSCIWGSLWHEMEQVLKFACHSKIKR >KZN06419 pep chromosome:ASM162521v1:2:32794763:32796223:1 gene:DCAR_007256 transcript:KZN06419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDMTDEELLWRASMVPKRNEFPGAEPAPKVAFMFLIKEKVSFAPLWEKFFKGNEGLYSIYVHSDPSFNQSKTESSMFRDRRIPSKVVEWGNANMIEAERRLLANALLDFSNQRFVLLSESCIPLYNFSTIYSYLMNSSEYFVEAYDLQGPVGRGRYSSLMYPPIKLDQWRKGSQWFQIDREIAIGVISDTTYFPVFQKYCKGMCYSDEHYLPTMVSTKYWERNSNRTLTWVDWSRGGPHPSKYSGSDATKDFLVKMRTGKQCSYNGHKTNVCYMFARKFLPSALDSLLRLAPQVMHIDS >KZN06155 pep chromosome:ASM162521v1:2:30647580:30648170:1 gene:DCAR_006992 transcript:KZN06155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLQKAGTTFLPSSLATRNQLPVSTNGNKKISSAAVGAGPDGTNDSIDQGPKGIRRRLSAISLNKLQDSISSSIPGGSFNTMKSRSSKGLEHAGSSIRKWWDLSWSWILSRKPAFAQDLEMNDEETSFLGSHNKGSWLHLFYKLRSEFDKILSLRSSSNLTLPQTYKYDSISSGGKNKKSSSFSSLPYPSGGGR >KZN07644 pep chromosome:ASM162521v1:2:42401880:42402296:-1 gene:DCAR_008481 transcript:KZN07644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTLASTAFVLALNLLLFSLVSSTYCPPPPKPKCPPPPAPKPKCPPSVPEATCPRDTLKLGACANVLGGLVGLVVGAAPKKPCCSLLEGLVDLQAAVCLCTAIKANVLGINLNVPVDLSLILNYCGKKVPTGFQCP >KZN05658 pep chromosome:ASM162521v1:2:26059791:26061074:1 gene:DCAR_006495 transcript:KZN05658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASVILGDKSSSDIVLQLKNKEGRPEHFHSHSFVLKSKSEYFADRLSNGSAATCIEIKCSDSNYQHHVELLRLFYLPADLLLDSLRSFQSAIGILQLAASFGCEEITKSCIQYLEAVPWEDKEEEEILKVVSKLGPMAMPILNRIQPVDLTATRNVFISAVRFATCRGGTCPPFRDDLKISAQEQVEYMLGDDEDVPLITADDEVKLEMVTGLSKVFSLFEEELSLMLVNSELTCEVAEDKIMHNLSDLEWMCNILTKMDLLKDFVTCWTETSNKLLRVIQDSKYCLNMWGPKVKIIEITAKVLEAVGYGNVIIQSPFRVQLLKTWLPYIRTTKPLLDAMGNKDMSFTYKMDEDLCHCIEAAMVSLVLALPSDDQADILTDWMNGEQIKYPDLSEAFEVWCYRTKSAKRRLVEGLEKAGEAALSQ >KZN04371 pep chromosome:ASM162521v1:2:9640825:9641487:1 gene:DCAR_005208 transcript:KZN04371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKELKEDTFGPYVENHDFDDILLMWHIATELCFNDSEDPEADKDQRDTAKQLSGYMLYLLVMKPDMMSAVSSIGETKFLDACNAICKVFDKELGGTKKQKFCQFFRGESKGEKEAVQREAKTILSVNREVHPVQMDNEICFHAFMLAKELKLLPSKEKWLLISKLWVELLSYAATHIRSSAHAEQLSKGGELITVVWFLMAHFGLGELYEINQGKTRS >KZN07678 pep chromosome:ASM162521v1:2:42679715:42680227:-1 gene:DCAR_008515 transcript:KZN07678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKSLHNSAVKPSSPPVSDEPKQPPTPQQAEGVNVGEDEAADLKAQPINLGPKKPCMPGGDVGGPSRSVRRLREKKEKLRVSLTEEEIEEDLYSMMGGIATRKTNKRPQSVQKDIDKLFPGSELEGISADIYRTRRGL >KZN04448 pep chromosome:ASM162521v1:2:10956707:10959436:-1 gene:DCAR_005285 transcript:KZN04448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPPETESFLRETVDFSLGLPVSTTTLETKLRVSQESHRRIYHQYLSLRANLNAKDQQIQCLRAESSMNAQAVKKFVEENQKLAMECSNLLSQCKKWERECALYDRDREALMDFGNEADDRAKQAESRVHDLEEELKKLSQELIRLSEDLRFYKHQFEMPVVDTSVGATAVENKLVESLLTSLIGKDDVASKSLAFLEANSELEVCQRLLKMRNSLRPSTQNVLALLSEIKSLHTDKEHLRINLHRAEEEVKVLSEENDILDTENKRLIRKVLKEGQNSDSAGKHTSSGSVKGNKRKSSPKMGSLVTKKIDLSDFDSTRQPLYPLQTENVTCPSPEFT >KZN04855 pep chromosome:ASM162521v1:2:17323969:17325569:-1 gene:DCAR_005692 transcript:KZN04855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGIYGSSWYNVQEVPSNYAFPEEDRPGQLPPVCHTLPIIDFGKAIDADLVNQIIKASQEYGFFQVINHGVSDDIIRDTADIFKEFFELPVKTSRNSRGRSNWAYASSTSFNRDGIHLWRENIKHPCHPLKEEVVSTYLTEVRKFGNTILNLICKGIGLEVGYFDRFSEIGLLNVNSYPPCPDPSLTLGILRHHDPSLITILYQGNVPGLQVMKDEKWIAVGAMPNAFVVNIGNQLEIFSNGLLKSAEHRAVTNSSEARLSIAALISPSPDCIVEPAQALVTELNPARYKPTMYKEFVHCSRAFGDDTEAMQRA >KZN07480 pep chromosome:ASM162521v1:2:41185783:41190339:-1 gene:DCAR_008317 transcript:KZN07480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTPSNLSHSQNPHLPNTIISPKSTSLLSSCCSFSFLEPLLATKTKALRISFLLFLPSLYFFSSNQIISIIVFSVVLVITLNLSAPKFPSIRLFLARSLPIKFSTLPSRTPPKVNSPVIWSIGSKPKLEKRPNTGSWVQVHKNGDVYEGEFYRGKCSGSGVYYYYMSGRYEGDWIDEKYDGHGVETWAKGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWCNGQSHGCGAHTCEDGSRYVGEFKWGVKHGLGSYHFRVNISTNKNPPNISDILIYHGSPFQLFASSSHYAPGFNLLIFHIHIYQVVSESGETAGTYVMNINVYLRKNGDVYAGEYFADKMHGFGVYSFAHGHRYEGAWHEGRRQGLGLYTFRNGETQSGHWENGVLNVSSTPGSPPGSPFAVSASRVLNAVKEARLAAERAATASKVEDKVNKAVAAANRAATAARVAAEARLAAERAATASKVEDKVNKAVAAANRAATAARVAAVRAVQNQIHQQNSDENIPLRIIQEDGDSRRQKYAV >KZN06973 pep chromosome:ASM162521v1:2:37257109:37264734:-1 gene:DCAR_007810 transcript:KZN06973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKKVESLASFHTPQFNFSSGFLISKAPSFSFGVKKTKKAEQKNIGVFLGYGNCGKISVVMKGGSGDGGVIEKELEFKPSFDEYLKAMENVQSVRDKRKQAKSKTRKGGEDNGLVVLEKSDKKMRVEDGEVGGSGRKDLGSVGSGGGDDIRRSEVVSLERRKIESGIVKGGARKMGFKDNSSVGNIGGLRRSRGDSDVEGTEVGMLKQENKSGLVKEGARRVGIEEKSNKRNAIGLVRDEPTFRSSGDDTKVERNLGESRNGRWTNNQNGGVRETQQNDIYKGERYRGSSSYQTSRRDHTEGGKNYAQSAQQNVRRVRVGKHGYEDSGGTDRAAFRALEEYNYIDDKPPVSRVDMEERIQKLAKSLNGADIDMPEWMFSKIMRSAKIRFADHSMLRVIQILGKFGNWRRVLQVIEWIQARERFKSHKLRFIYTAALDALGKARRPVEALNLFYTMQKQMSTYPDIVAYHCIAVTLGQAGHLKELFDVIDSMQSSPKKKFETGVIGKWDPRLKPDIVVFNAVLNACVQRKKWEGAFWVLQQLKEEGQQPTTTTYGLIMEVMLACGKYNLVHEFFKKIHKSANALTYKVLVNTHWKEGNIDLAVRVVKEMEGRGIIGTSGLYYDLARGLCSAGRCQEALMQIDKICKVASKPLVVTYTGLIQACLDSGNIQDGVYIFKHMHKFCSPNLVTCNIMLKAYLDHEMFEEAIGLFQKLLESGNHISSKSDYKDRVIPDNYTFNLMLDACTIQQRWDDLEFIYRRMLQHEYHFNAKRHLRIILDACRAGKMELLETTWKHLGEADRLPPPVLVKQMFCLKLEQKDYSAAFACVTNHPSSDLPEFSQKSWLLFFKENSHRLQKENLVGLVHEISNVYTRSDSPNIILENLSFACREFLRKHMKVDDFDQSLEARMTCV >KZN05125 pep chromosome:ASM162521v1:2:20343355:20345699:-1 gene:DCAR_005962 transcript:KZN05125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDPGFSDVSEVLKGFGFDNELVSPDCDQSPDIANGFKFEDETLGLSLSDIPFESPGHVPRSCKPTSGLSLLDIPTNPPDPDLKNATPSTGSSETNSSDDGLFSDGVLKFLNQILMEDKIEEKPCMFHDPLALQAAEKSFYDVIGKEYPASSQQPVNVNCCLESPDGNFMGSSNVSSSGGNYIGTQWVGDSTYHSTSPNSQSYPQESNMQWSLSSVESFNNSVNDPANLAASTDLIQSIFNDSESILQFNRGMEEASKFLPNSTQLVIDLDNYGLPSDKKEIQQEVVKEIEQEVVKVEKDEDSTPTSKGRKHYQRQDSVVYERNKKHSEVYVEEETELLAMFDRVLLCGPPEKKEASEIGPILHENEQPGPKGGKSRSKKKGNTAKEVDLRTLLISCAQSVAAGDRRTANEQLKQIRQHSSATGDATQRLADLFANGLEARMAGTGTQIYAGLANKKITVAQKLKAYEVVTGYEIESRSPKL >KZN06523 pep chromosome:ASM162521v1:2:33711347:33712433:-1 gene:DCAR_007360 transcript:KZN06523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNRSSGEESMRIAFLKKEFEASLLRIHSLENENQELKQEVARLKAQVHTLKAHDSERKSVLWKKLQNSLDVKVPEKSQQKPSFSVEVPERSQVFQKFSPKDDLADAAVKKEIPAIRVAIPPPPRPVTSSLKQLHGNIGQLSPPPPPPPPSKALVGSKAVRRVPEVMEFYRSLMRRDSHVDNKSSPIGFLQVVNSRNMIGEIENKSTYLSAIKSDVEMQGGLINNLTGEVETASFNKISDVEAFVKWLDEELSCLVDERAVLKHFPQWPEKKADALREAAFSYGDLKNLHTEVLSFKSNPKQPLTQSLKKIQALQDRRASCNNEFALLA >KZN07080 pep chromosome:ASM162521v1:2:38183455:38185302:-1 gene:DCAR_007917 transcript:KZN07080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGLFSTYSLCSDAAGVAGNILAIVLFVSPIPTFRRIIKNRSTEQFSGLPYIYSLLNCLICLWYGLPVVTPGIILVATVNSVGAIFQLAYIGIFITCAEKARKVKMLGLLLGVFALFIVIALVSIKLFDPPSRQLFVGYLSVASLISMFASPLFIINLVIKTKSVEYMPFYLSLSTFLMSLSFLAYGMFKHDPFISVPNGVGSVLGVVQLALYTYYSRFSNEESTEPLLVSYA >KZN07148 pep chromosome:ASM162521v1:2:38670654:38671624:1 gene:DCAR_007985 transcript:KZN07148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPATRKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEATCGICQESFSTTVTALTEPIDIYSEWIDECERVNTYEEDGAE >KZN05420 pep chromosome:ASM162521v1:2:23674608:23676160:1 gene:DCAR_006257 transcript:KZN05420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQLVKLYSWKPCRRRCVIAEALVEKNFDLAFQVIYEFTLPAVDIYAGVAASLAERKKGGQLTEFFRNIKGTIEDDEWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >KZN07093 pep chromosome:ASM162521v1:2:38307042:38307596:-1 gene:DCAR_007930 transcript:KZN07093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEMQQSKKMLNQESFTRQRIAKTNEQLKKQLRDNREKEMTEVMSQCLTGNLGLHNLMLPDLNDLGFLVDQKLQEINKRMNEMSLQEATQQEQVVTPEEAPQQQQQVVPTTVSDNVGVVAGGVLEEQRFNDAGGNNDVDNIGFVPRPQWLNDAVVSDNVMANYQNLGFMNMFGDANNTTWANFF >KZN04974 pep chromosome:ASM162521v1:2:18546043:18546435:-1 gene:DCAR_005811 transcript:KZN04974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDEVTKFESKETVLAAMKHRLPVYVWVNTGALNETSGTTSDVMTKSRTLALKQKGIIYEGASEENVAIICARAI >KZN06127 pep chromosome:ASM162521v1:2:30367544:30369094:-1 gene:DCAR_006964 transcript:KZN06127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAYRISIFALIFAIVLLPCALAQSSAPAPAPTSDGTSVDQGVAYLLMLLALVLTYLIHPLDASYNFL >KZN05798 pep chromosome:ASM162521v1:2:27324785:27326895:-1 gene:DCAR_006635 transcript:KZN05798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALVVLEWLLMFMLFFDASFSYLITKFARLCGLPIPCLLCSRLDHVIGKEKKGFYWDLICHNHKLEISSRVYCHVHDKLVDVQELCENCLFSCATVNKSNAETYRVLVGKLGTNPPTGIKEILVDSNCRYNFSKTKICSCCQKQWISGGITQNKLHTAPFSPGANASEHNEPLLVNTEHHMDEMKKTIDESAMSCRTSCLKNISCDPLSHVEYSKAEITSDGASEIPHYDDRALIHETDSLIVSETVCCVDNDLSARHLVSPSKPSDLDSDALLVNHGLKEFKPQDVNHKFDLPIPSDLITFDEVPPSNVAKLHKTASDELISVHEVSSSNYTSAPVKVSGEPLMIPEADEHDQENAPESEVNAKVESEPLAETEARLGEISTLTNTGSIMPSNLDLGDAYKLAVGNTRRQLSGKLLEQISMKDSTKLSEDLKMLLSQMSAARSLELPLYDISPRVSGNFDDIRSTDSSLGTGMHLLQKRISLERNESGLSIDGSIVGDIEGESVVDRLKRQVEHDRKLLGALYKELEEERNASAVATNQAMAMITRLQEEKSALHMEALQCLRMMEEQAEYDVEALQKANDLIADKEKEIKDLEAAVELYREKLGNESLVHFVTEPYSDIKTEDLGVENTQLA >KZN06469 pep chromosome:ASM162521v1:2:33160448:33163052:1 gene:DCAR_007306 transcript:KZN06469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGGNLSSDQLSFFNSQGYLVMESFSSPEEIESMRKRMEQLLNEFDPSSSASVFSTKNQQRTSDDYFYESAEKVSFFFEEKAFDDDGNLKQPKQLSINKVGHALHENEPVFKKVSCSNKMSSLLHSFGYKRPVIIQSMYIFKQPGIGGEVVPHQDNSFLITEPATCTGLWLALEDATITNGCLWAIPGSHKDGLVRKFIRDENGVHFDRPSPSYDQKDFIPIEVKAGTLVVIHGNLIHQSFENQSSTSRHAYSLHVVDTDGCKWAAENWIRRNVDPEPLYVS >KZN04978 pep chromosome:ASM162521v1:2:18571549:18575102:-1 gene:DCAR_005815 transcript:KZN04978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIVGGGAAAAFVGSGARAEKIRTYNYKDNRVTDHRLKMNFELTSFLDGDIETAVQSCATMEQKELLEELAESAGAPAR >KZN07194 pep chromosome:ASM162521v1:2:39014343:39014922:-1 gene:DCAR_008031 transcript:KZN07194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCWGEAKALTNMTPSLDSLKSALSKSYTRLNALQTRISQRRDDASRVGKEISAERSD >KZN06628 pep chromosome:ASM162521v1:2:34542738:34544510:1 gene:DCAR_007465 transcript:KZN06628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNKSLVGYDWKVFLVLAVSLNIVLISKVVYQRDGGQENKIGMLCVDAESGKYGDVHVETPSPTTISSNDVHNDVGDVGDGADHSDAHVIIDLDHGNPTMYEEYWRQMGDKTTIVIPGWQFISYFSDKNNICWFMEPDFAKAAIRLHNLVGNAATQDRYMVVGTGSSQLYQAVLYALTATDNSKPMSVVSAAPFYSAYPVLTDLLKSGLHKWAGDAWALVKDPEIAKKMTRYIEISTIGVSKDSQLRAAKILQTLSDQYNTTNNAEGKERFFDYSYNVMLKRWKELRAAVDNNEKFSLPKFSPDNCTFRGQSFEPQPAFAWLKCEDESVDDCANFLRGHNILGRSGKYFGSSPKYVRISMTDSNYKFKILTKRLAGLKSLDI >KZN06710 pep chromosome:ASM162521v1:2:35209757:35213789:1 gene:DCAR_007547 transcript:KZN06710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIITMTLFLRTELHQNSVTDGGIYMGALFFGVVMLMFNGLAELAMTIAKLPVFYKQRDLLFYPTWSYSLPVWIIKIPITFIEVAVWVVLTYYVIGFDPNVGRFVKQYIVLLLINQMASALFRLMAALGRNMILAFTFGGFALLVLFALGGFVLARDDVAKWWLWGYYSSPMMYGMNAIAVNEFLGHQWHKLVEDGSETIGVAILKSRGFFPYAYWYWIGVGALIGFVLLLNFAVAIALTYLDPLGKPQAVIPDKDSAEAIELASRGGTSAEANENKKKGMILPFEPHSITFDEVMYSVDMPPEMKEQGVVEDKLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGFPKKQETFARIAGYCEQNDIHSPHVTVYESLIYSAWLRLPSEVDSTTRKMFVDEVLELVELNTMKAGLVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPVGRHSCELIKYFEGINGVNKIKDGYNPATWMLEVTSSAQEMVLGVDFTDVYHNSDLYRQGRNKALIQENSSPRPGAKDLYFPSQYSQSFITQCMACLWKQRCSYWRNTSYTAVRFLFATAIAVMLGSMFWDLGSKLRSRQDLFNAMASQFGDRDDKTLSDVKVTVKKFVEDYFGFEHHNVWVAGAAVAGFTVLFAYTFAYSIKSFNFQRR >KZN04275 pep chromosome:ASM162521v1:2:6117759:6119612:-1 gene:DCAR_005083 transcript:KZN04275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQPRDLVYYHDPFHDQQRFFSPNSSTNMAADPSSYMSFTDCVHGSSDYGSLASAFDLSPFQQPLSTDQKPKLESADPPVTPNSSVVLSSSTEAGDDEANNSKKSDKQAKGAEEDVGESSKKVSKAKKKGEKKEKEPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSFQDPSTVITTYEGTHNHHLPSTLRGNVAGMFQHSMLNPGHMAGPGFPNQDFLVQMPPMNHIYNYGSGSNAPNSSIFQQLQQQQNNLNLNQHQQLQLSDYGLLQDIVPSIIKNHE >KZN05775 pep chromosome:ASM162521v1:2:27127180:27129768:-1 gene:DCAR_006612 transcript:KZN05775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSEENHSEFVEVDPSGRYGRYDELLGKGASKSVYRAFDQYEGIEVAWNQVKLFNFLNSSNELERLYSEINLLKSLKHQNIMKLFASWVDTRKRNINFVTEMFTSGTLRQYRHKHKKVNMRAVKNWCRQILRGLLYLHSQNPPVIHRDLKCDNIFVNGNQGQIKIGDLGLAAVLQKSEEAHCVGTPEFMAPEVYQEEYNELADIYSFGMCILEMVTFEYPYSECTHSAQIYKKVISGKKPDALNKVKDPEVRQFIEKCLADVSSRLSARELLRDPFLQTEACETNFVAIECHTELNYADLFISNPQPGHKGSSFSNCSFNEYSIPSSVQNGSSYELHDSEQNDIHLFEHNDDELEEHLSQLDISIKGKKKSDGNIFLRLRILDKEARIRNIYFPFDVESDTALSVATEMVGELDLKDQDVTKIAEMIDGEMVTLVPQWKTGPGFEETHHFDSSNICHNCASTHTSIGSYIQMKCEKGCGAKHGRFEEYTFNADNHFANPVLSIQSNDFSREYYWEQHGSVECSEVGSGDGHFVGEDGNLVARQSNLQDESKRFSKLLSGAALSSSSCDEVSDDYECEITKKGDASEQHNQ >KZN04300 pep chromosome:ASM162521v1:2:7237140:7239090:1 gene:DCAR_005137 transcript:KZN04300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALGCFTKMVISHMETKLKYSNWRSNSGSSTGRRISCGLNRLGVSGSPNSPNVVPGSSSGRSLSFGLKRLGETGSPNSPNVVPGSINGRRLSSAVNGDEKNVSPNQINVTPAYSGSTSTRGRRNYASSTPCVPNSDVYSRLNKNRGPTNLSPSCSPVSQSPTQRQALSEISNTFLNTPKSSVSKKKVISTDHSKISTILEDAEDFFNEDGNTPH >KZN05707 pep chromosome:ASM162521v1:2:26493058:26498176:1 gene:DCAR_006544 transcript:KZN05707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALAAHSTSLFTSAAAPTNHFRRRSLRLYRVHVIKPPKMSYHHPSVEVIGDLLVPQLHTLKRPYNPYPLIGWNCHVETIFAAWFRTLPEVRFKRQCLRSQDGGSLALDWVAGDVSKLPADSPILILLPGLTGGSEDSYVRHMLLRARSMGWRVVVFNSRGCGDSPVTTSQFYSASYTEDIRQVVRHVGCRYPEAKLYAAGWSIGANILVHYLGQESDTCLLSGAVSLCNPFDLVIADEDFHKGFNNVYDKALASSLRKIFKKHAPLFEDMGGEYNIPLAASAKTVREFDDGITRVSFGFKSVDDYYSYSSSSKVIKNVRIPLLCIQAENDPIAPSRGIPYEDIKGNPNCLLIVTPKGGHLGWVAGDEAPRGAPWTDPLVMDFLQNLETNAGSHTSSSNSSRPNENVHQSTDQMDPLKV >KZN04274 pep chromosome:ASM162521v1:2:6044691:6047147:-1 gene:DCAR_005084 transcript:KZN04274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGILKYCSQEFKKEFVNKQDNNGDTPLHILIKRGCFIPELLRYEGLDITVENKKRWTPLDMLYFDEQVIEDQVQIKIVLDGLHPSKDNFSNSVLPRGEIDVIEMMVNTAKRWSNAQNASVADFEELFSRKDMSERTVLQLAVERNDVNVVALILQEDPAYQPGGEMKRNDLMCLICKAIDSEYSDDIVTSLSETYKAGIIDHDPNEVLALILAIHKL >KZN05158 pep chromosome:ASM162521v1:2:20671420:20671731:-1 gene:DCAR_005995 transcript:KZN05158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KZN05036 pep chromosome:ASM162521v1:2:19174360:19179598:1 gene:DCAR_005873 transcript:KZN05036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQDQVSKICPLLYDPPFSSCCLLVCDKFRNDILNLKSTDQGNDIDVNEPPSLVYKDENVSASSSDKEVKSEEVKSEERRYSGGIVTLSEKIGTMYEAEENSQQDKTKSSFSSPEEKKVPNPRGDMFQQIRELRTPSGRVPVDRKVKEIKDQVIRAKAYLNFAPPNSNSHLVKELKMRIKELEHAISDSTRDSNLSKRQRSSLMEVTLSKANRVYPDCNAMVKKLRAMTYNAEELVRARKKETTFLVQLAGRTTPKGLHCLSMRLTAEYFALQPEKRKLPRQNDLHDANSFHFALFSDNVLACSAVVQSTIATAREPERIIFHIVTDSLNLPAISMWFLLYDPGKATIEIQSIDQFKWLSNNIDITKQKEDSPDMRYTSALNHLRFYLPDMFPKLDKILLLDHDVIVQRDLTELWNIEMMGKTNGAVQTCQVEEPSFRSMDMFINFSDPLLASKFDVEACTWAFGMNIFDLQEWRRRKLTRNYEEYLLLGKKRPLWKAGSLPLGWITFYNNTVPLDSKWHVLGLGYQSGVKQPDIDQAAVIHYDGMMKPWLDVGFEKYKPYWKRHINYSRPFLQQCNIQ >KZN05813 pep chromosome:ASM162521v1:2:27451177:27451749:1 gene:DCAR_006650 transcript:KZN05813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNPTTAYTSIPTTHPNLISRAHSMISTRRPWRQFFDPSALTLPHNYTEAMNGLRRNVNYFRVNYTLVMLIILFLSLIYHPLSMIVFLVVFVAWLFLFFLRDDSIMLMGRSLDDRVVLAGLSLVTVVALVFTDVGTNVLVSLCVGVVVVGLHGVFRGTDDLFLSQEEAAQGGLVSVVSGEGLPSTYPIR >KZN06565 pep chromosome:ASM162521v1:2:34058335:34058505:-1 gene:DCAR_007402 transcript:KZN06565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNELSVTHYIGNPQPVHLLVNPKMNWLQPVKWALGRSSSLVKGGKPINLKDSNLF >KZN05917 pep chromosome:ASM162521v1:2:28319463:28320375:-1 gene:DCAR_006754 transcript:KZN05917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYVIITCRTQEKKSSVASGMGTEPEWNETFVFNVSGDVTELSIKILDSDMGNADDFVGQAKIPLEAVFEERKIPPTAYNVVKDEKFYGHIRVGLTFTPEAINDRGVEEESYGGWKQSTY >KZN04971 pep chromosome:ASM162521v1:2:18511305:18515750:-1 gene:DCAR_005808 transcript:KZN04971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDHHATVIDGKAIAQTIRSEIASEVSILSEKYSKVPGLAVVIVGCRKDSQSYVSMKRKACAEVGIKSFDIDLPEDVPEAELIAKVDELNANPQVHGILVQLPLPKHINEEKILSQISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCLELLSRSGISIKGKKAVVVGRSNIVGLPVSLLLLKADATVTIKGSWIKPGAAVIDVGTNAVNDPSRKSGYRLVGDVDFQEACKVAGWITPVPGGVGPLTVAMLLQNTLEGAKRVIGQ >KZN07030 pep chromosome:ASM162521v1:2:37781311:37786067:-1 gene:DCAR_007867 transcript:KZN07030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSFLTSLATSFIIYVILMLLFAWLSGKPGNSVVYYPNRILKGMDPGSKTRNPFTWISEAFASTEKDILDLSGVDTAVYFVFIATALGILTLAGLVLLPILLPVAATDHGKNDKTTSNGTFSDLDKLSMGNIREKSPRLWAFVFGVYWVSFVTYYLLWKAYNHVSELRAAALMSPEAKAKAEQFAILVRDIPTPPEGQTMKEHVDSYFKAIYPDTFYRSMVVTDNKKVNKVWTELEGYRKKLAHAEAVYAESKEKGNVEGVRPMNRTGFLGLIGAKVDTIDYCNEKINELIPKLEAEQKVTLKEKQLASALVFFSSRETAASAGQNLHSRMVDTWTVVNAPEPRQLIWTNLPKKFYQRQMRQYVVYMIVFLTIVFYMIPIGLVSAFTTLANLKKLLPFIKPVVNQDAIRTVLEAYLPQLALIIFLALLPKFLLFLSKAEGIPSESHAVRAASGKYFYFSVLNVFIGVTLGGTLFSTLKTIQKSTDSIIPLLASSLPGNATFFLTFVALRFFVGYGLQLSRIVPFIIYHLKRKYVCKTEAELKEAWTPGDLNYGTRFPGDMLILTIVFCYSVIAPIIIPFGMIYFGLGWLVLRNQALNVLVPSYESNGQMWPHIHTRIVAALLLFQVTMFGYFGVNKFYYTPIIIPLPICSLIFAFVCSKKFYRFFHDTALEVAMEELKEVPNMEVVFKSYIPPCLGGDKSNSNDEQPEDATSQVSRIGTNV >KZN04236 pep chromosome:ASM162521v1:2:4930539:4938432:1 gene:DCAR_005122 transcript:KZN04236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKFTLTTNCTNRLLQIQENKEKVDELGLKEIAHGLNLVTGKTVSNEKKEDDLHLDKEYVLEDDGLSEEEEEAENVKPKKDTKKKELKRKKGDKPPTNPARPRTRSTAPLTKTQDAPPATEDAPQPLINKIVSPVQTEILPPPPPLPKNFPPHLLSTVSTNNEGIGTMKAYIEMRRRQKAEEVENNQAQDVGQPSNDETPETDEGEPTNKKRRGPTLMNDVYTRQEKKVIKLNEHLKAIADDDKVLSEFTNFLGTLARQSVPLTYNVENNIAAEKAFPKDEVDLVTRKRKPGRKYKIPVGKEDDDGANNSDANNGDAEANNGLFVICLHIYRNCVFDDLIDFHISSDDLIMLLLCYSKSEGNSSTSWVGKDGKVYHSHDGLAPHSHEPIYSPGYFTRRAPLLVNRDFNERAFTIGIGGPVGTGKTALMLALCKTLRDTYSLAAVTNDIFTKEDGEFLVKNGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADMLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAAAVGADLGVMERDALRMRDGGPFVFAQVKHGLGVEEIVNHIIQSWEAATGKKRH >KZN07126 pep chromosome:ASM162521v1:2:38540419:38543394:-1 gene:DCAR_007963 transcript:KZN07126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGKLMGLERSSVNPKPFPPRKQPSNCQTSAKLPKGPNSRSFGVYFPRGSAQVQPRPPEVPELLHLLDEMRQRESVLKTEVLELKKFKESSSVVSVLEKRIVEKVNELKRSETMVACLGDENERLRQEVEMLHCKLFEQSRDSGERIKALEGRVLELSRMTKVETRKKNQEGSKLQRFQGLIDVSCKSNVKTNVNILEKFDYLEQSICKEKEIIESEIILPNCSGDSVEFAMSVESRMPRIPRPPPRPSVPCSDSSGCVLTLVSAQPILKVAPQPPPPPPKGLMTGEGKVRRVPEVVEFYHSLMRRDSRRDTGGGGATDGMPGTTNARNMIGEIENRSAYLLAKLVSEASSFRDDPQLSFIPSLKKMQVLFEKLENGAYNLSRTRELAGQRYEGFHIPIDWMLVSGFTSQIKLASVKLAMNYMKRVLAELEMVDGGSEEEELIVGGVRFAFRVHQFAGGFDAETMRAFQHLRDKARLCRIQCQNQQDCRSTAC >KZN04620 pep chromosome:ASM162521v1:2:13609741:13612393:1 gene:DCAR_005457 transcript:KZN04620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLNALSLQCPKGNSFTRVAPPQVGRVVRSNVPMASTLHASPLVLDTLKAPRPHVDEIFTSLEGWARDNILVHLKSVENSWQPQDYLPDPTSDGFEEQVKEIRERAKEIPDDYFVVLVGDMITEEALPTYMSMLNRCDGIKDETGAAPDAWATWTRAWTAEENRHGDLLNKYLYLSGRVDMRMIEKTIQYLIGSGMDTKTENCPYMGFIYTSFQERATFISHANTAKLARHYGDKSLAQVCGNIASDEKRHATAYTKIVEKLAEIDPDTTVIAFADMMRKKIQMPAHAMYDGSDTLLFKHFTAVAQQIGVYSAWDYCEIIDFLVEKWNVQKMTGLSDQGRKAQEYVCGLAAKIRRVEEKVQGKEKKAVQLPVSFSWIFNRQIMI >KZN07702 pep chromosome:ASM162521v1:2:42908525:42911435:1 gene:DCAR_008539 transcript:KZN07702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFRQIGEVLGRLQALMVLKDDISVNQKQCCLLFDVYTLAFETISEEIRKNLRLEDKNTKWKDLEYPFKELQRRFKEGENYIKYCLDLKDWWGKAISLYQNRDCVEFHIHNLLCCFPVVIEAIETAAELSAVDQDEMQKRRLMITRKYDSELNDPVSFHWLFGKQYLVPREICKRLEVAVREDKWLLIDTVKGRKSSDSDVMTKHEHKLGDFLISQLWDSETLEVLPSWILTGATDYHVKRRLGTTKSRIKEIHWLGENFALRNFHGEIEPLYAEISFVLSLSHPNIMQYHCAFYDKEKKEGSLIMDLMQKNLAVYIKENYGQRKKVPLSIPFAVDIMFQIARGMEYLHSRKIYHGDLNPSNILLKASNSSSENAQVKVTGFGVTSIKSSMHRTKSGNRDEADPVVWYAPEVLAEQEQGNNSSLKYTDKADVYSFAMLCFELLTGKVPFEDNDYLHGEKVIRNIRLGIRPLFPHPSPKYLANLLRKCWQATPSNRPSFSSICRILRYIKKVLVMNPDHCQPDAPPPLVDYCDIETGYLYKFPEMEISYLDSVSRIPFQMFAYKIIEKDRTSISSKEKGWDLANEALLPGRSGSVLEEDADDDIFLQENDHRSVQSEVLQRKISSISDGDLRSVYSENPRPKHLSLADVDYSPPHPPAPETKVLPTSDIPERDLTTNDPDTTCLKNQEKTAQSTVRLDKIFPPENSEIKNTQRVETQSSSSATIPDKKIVRIVRSTQRTRHLPRFKISEKKVLMPKKIIDPKSSTSPSIPKSHSQLSLPSQTLPSPRSSQAKTYKDTPRAFKESPPPQPQPPRVPKNTSPTFASPERAFKKKDQHQ >KZN05075 pep chromosome:ASM162521v1:2:19661006:19661200:1 gene:DCAR_005912 transcript:KZN05075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSFANQVISQLELWNEKSSGKYEKKDHVLPNHLDEKVAALHLENLGAKLTKLSKDQADYISV >KZN04413 pep chromosome:ASM162521v1:2:10439054:10440502:-1 gene:DCAR_005250 transcript:KZN04413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMALSDQTPPKPDFVLLPFLTTSHMMPMIHLGCQLAAHGGTVTIITTPANAAVFQSLIDDSIASNHQISVATLEFPSEEAGLPPGIENYSAVKSPEMVLKVSHALSLLKNPMEDLITKLSPRCIVSGMFFPWTVDTAQHLKIPRLVFYPSNFFCHCVPESLKAYGPHERVESDSELFLIPGLPDKIEMKRSQLPDHDKFKTRYGEVLERIKEAETRSYGIIFDTFYDLEAPYSNHFKKIKNMKIWTVGPISHYPNSNPKRTTSTSAHYSLNWLDTQKANSVVYICFGSMTMFPEPQLIEIALALENMKFPFIWVIKNRVEDDWMPDGFKKMVVESERGLVIKEWAPQVDILNHPAIGGYMTHCGWNSVIESVMAGVPMITWPLFAEQFYNEKLITQVLGIGVAVGNEVWNSEFFNIKSPVLKKERIEVAVQCVLMNGEEMRKKAKEIGKMCARAVEDGGSSLKDLIALIEEVKAFGSENV >KZN04506 pep chromosome:ASM162521v1:2:11973934:11975121:1 gene:DCAR_005343 transcript:KZN04506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGCCDNNEISKAFEVFEMVENFGFCANVVIYSSLIDGCCKNGQIRRARELFIKMRELGLVANQYTYTILINGFFKNDLKRDGFELFEKMKSDGVLPDLYTYNAVMNVFCKDCNVQMAFRLFGDMRERGVACNVVTYNVLLGGLFKEGRVVEVERLLDRMRGDGLNPTVITYNILIDGFCNIGRFDKALSFFNQMKSSGLSPSFVTYNVLVAGFSKDGNPAKVAELVREMEERGIPPTKVTYTILIDSFIRSNNMERALEVHSCMEKAGLVADICTYGVLVHGWCSQGNMKEASRVFRSIRATGLQPNDVIYNMMIYGYCKENNSYRALRLIKEMGENGMIPNMASYIMTIEVLCKDGKLEEAQKLLNEMIKLGLEPSVSTVNMISKAQVSVSK >KZN07160 pep chromosome:ASM162521v1:2:38758135:38759568:-1 gene:DCAR_007997 transcript:KZN07160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKTMIKWPKKISRSLVEQLIRAEKDPQKALVIFDAAAAEYANGYKHDHNTFGLMISRLLSANQFRPAEDLLNRMKDEKCKITEDTFLSICRAYGRVHKPGEVIRIFQKMKEYDCEVTPKSYVTVFSILVDENQLKVALKFYKYMRQMGIPPSVVSLNVLIKALCKNSGTMDAALQIFHEMPSHGCSPDSYTYGTLINGFCRLKRISEAKELFAEMGIKGCLPSVVTYTSLIHGLCLSNDLDEAMDLFEEMKEKGIVANLYTYSSLMDGLCKGGRCSQAMELLDIMTTEHLQPNTVTYSSLINGLCKEERISEAVELFDRMKLRGLNPDAVLYGKIITGFCDAQKFHEAANFLDEMVFGRISPNRLTWSLHVRINNTVVRGLCKTEANRAFQLYLSMRTRGISVEAETFDLLLNCFCKKGDLHKAARIVEEMVLDGCIPSETTWSTILRGFSDRKKVWETAELELVELVDKFTESH >KZN07027 pep chromosome:ASM162521v1:2:37766182:37767504:1 gene:DCAR_007864 transcript:KZN07027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKPKSSPASHINWQSPNFFAYFPSSGSTAGFLGEMLSTGFNVVGFHWMASPAATELENVVTDWFGKMLQLPKSFLFSGGGGGVLQGTTCEAMLCTLVAARDKNLRQHGMENIGKLVVYCSDQTHSAMQKAAKIAGIDPKNFRTVETSRASNFQLCPRRLESAILTDIQNGLIPLYLCATVGTTSSTAVDPLPALTEVAKKYDLWVHVDAAYAGSACICPELRQYLNGVENADSFSLNAHKWFLTTLDCCCLWVKNPSALIKSLSTYPEFLRNNASETNKVVDYKDWQIMLSRRFRALKLWFVLRSYGVGQLREFIRGHVDMAKYFEGLVGKDKRFEVVVPRLFSMVCIRVRPSAMTGKSCGNDVNELNRKLLESLNESGRIYVSHTVLDGIYIIRFAIGATLTDINHVSAAWKVVQDHATALLDDTNFLAKKVADIILS >KZN04779 pep chromosome:ASM162521v1:2:15656433:15660725:1 gene:DCAR_005616 transcript:KZN04779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGTIYVLANFKVKDYVGDETSRPVRNNKHIYFTTHTKCEKDVGVGLRIEQHAFDLFYFGEMLKLAQDNRFLIDVVGQVRNVRGNIKSTKTDSEKILTKFELFDGRQTLDVTFFDAFGVEFEQKMRLAKQQEVVVVICAAKISLYEGVPNLTNYPATRIHYNPSHYCMKTLEKRLQEPASEKVMSPVAEDVNYPTMTVTQITTLAKDSSECKVKCKVKVTKVEEGASWFYAVCTKCPKEILKEQGIFRCTDCNRIIPYPDKRFRICTLCSDNTGSIAIIFHDDEVCRILEKTVFDIEAEAIQAKMEEKYPALLKSFENKVFIITLQISCNNLKKGSRVYDAYEIADKIESGANFDPEEQMPSDLPSASTVNLKEDGGNTPNTGVSSTKTRGRVNLEAVAFDAVGEMPPKHQRYYIVLQVCINFVLPNLFRKDLNAYLKLKSSTEAHYDINAIMAQRYAALSTLKPETNECRLKIKARITRLWRGISKTGEEFTCFNILLQDDKNNQMHAFIPAVCAHDLERKIIVGGVYVISVFTVQAYPSTDKFRCVRSPNQLLFSKNTKIIKIEETGSKIAAEFFDFYDHSELKPLANQTTYMIDVVGIIRDHKILLNDITNRLGQHQQQAKFAITDGRSNINVTFWDNFAEQFVNAIWEQKETPVIIIIAACRVQIWNNEPKIGNVSGTKYYLNYNHHSVNQLRRMLADPEFLKKVMNSTKSRTAELYTVEAIKSLDKEFVEEQVLAHVNIVQIDTSQKWFIRVCTSCDSETEPFEGMECCTICQRIVPYPELRFRMVVLASDATGSLQIILRDREIRSLIGKRARDIVPQDQSSAHLPQCFKNLAGRQFTFKLEITTANIGNHSALYWATNVCNGFQMEVTQPEQQQTTTQDTQATTSNFQQPSDLNPGSSTITKN >KZN04846 pep chromosome:ASM162521v1:2:17162822:17164300:-1 gene:DCAR_005683 transcript:KZN04846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGAFEVVHGALSVIQPHEHWDYALSVGANSHQFLKPKTSGVPEKNELSEWVENVTRQLIEDLPESNSHTESSIVVYHDDAALLGELRPKKMAKRSHGNNNLNFHDPNINIDGQTKQMSLSKLDESGLGLISLLLECAVAISVDNLEEAHRMLLELTQMASPYGQSCAERIVAYFAKAMSSRVMNSWLGICSPLINYKNVHSAFQVFNNISPFIKFAHFTSNQAILEAFHRRDRVHIIDLDIMQGLQWPALFHILATRMEGPPHVRMTGMGSSMELLVDTGKQLSNFAKRLGMSFEFHPVAKKFGDLSDVSVLQIRRGETLAVHWLQHSLYDATGPDWKTMRLLQDLNPRVITLVEQDISHGGSFLDRFVGSLHFYSTIFDSLGAFMPCDDSNRHRVEHELLHREINNIMAIGGPARSGEEKFKHWRSELARNCFFQVPMSGNSMAQAQLILNMFPPTHGYSLVQGDGTLRLGWKDTSLFTASAWTSHGSC >KZN06545 pep chromosome:ASM162521v1:2:33877526:33879553:1 gene:DCAR_007382 transcript:KZN06545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGEFQEQERVADGLSGLLELAAADDLIGFKTAVEEGGLDVDGFGLWYGRRVGSKKIGLEERTPLMIASMFGSTRVLVYILETGCVDINRACGSDGATALHCAVFGGSAVVVDVVKLLLDASADVNCVDVEGNRPSDLIVPFVSSAYHLKRKTLELLLNGYTDSDEACVLGDNIAKKMEGQFVEEVSSLQDPKDGPERKDYPVDLSLPDIKNGIYGSDEFRMYTFKIKPCSRAYSHDWTECPFAHPGENARRRDPRKFHYSCVPCPEFRKGACRQGDACEYAHGIFECWLHPAQYRTRLCKDEIGCNRKVCFFAHKPEELRPLYASSGSAVPSSLDFLTMSPLALGSPSLMMSPSSTPPMTPSGPSSPMAGSLWSNQSNFAPPTLNLSGSRLRTTLSARDMDMEADFLGVENHRRRQQQLFDELSGLSSPTAWNNSFSSSAAFAASQGDWNGEPNRFLGVKPTNLDDVFGSHDPAVLSHLQGLSLDAGTTQLQSPTGTPLRHSMNQQLRSSYPSNLSSSPARTSQSYGIDQAQAAAAASFVTARSSAFAKRSQSFIDRSAVTHHSGISSSSAAGMSDWGSPNGKLEWGIQKEELNKLRKSASFGLRSSGGSYGAPAASIPSPTKEHDSWPRVKDVPAEKSGQSHTEERNLTNGGLEMLPQWVEQFYMDQEQLVA >KZN05855 pep chromosome:ASM162521v1:2:27773905:27779695:-1 gene:DCAR_006692 transcript:KZN05855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFQLSGVLNLKAVHETNVYAVTTGCSKQRLPHVLTVAGSDSGAGAGIQADLKACAARGVYCSTVVTAVTAQNTVGVQGVNIVPERFVSEQLQSVLSDMNVDVVKTGMLPTVGIVKALYDRLKQYPVQALVVDPVMVSTSGDVLAGPSVLDTFRDQLLPMADIATPNLKEASALLGGIRLQTVSDMRSAAKSIHNNMGPRNVLIKGGDLPASSDAVDIFFDGVEFYELRSSRIQTRNSHGTGCTLASCIAAELAKGSQMLPAVRVAKHYVETALDYSKDILIGNGLQGPFDHLCKLKRNIRNSSKPQRFDPDDLLLYAVTDSRMNNKWGRSITDSVRAAIEGGATIVQLREKDIETSNFLEAAKKSLEVCRSHGVPLLINDRIDIALACDADGVHVGQSDMPVHVARSLLGPEKIIGVSCKTPEQAHQAWVNGADYIGSGGVYSTNTKANNITIGLDGLKTVCLASKLPVVAIGGIGPSNVRAVMELGLENLKGVAVVSALFDRECIASEAQNLHTLVSETMKATSVFHD >KZN04783 pep chromosome:ASM162521v1:2:15941090:15943636:1 gene:DCAR_005620 transcript:KZN04783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIANKKDSSPAYTEAVDEIMRIYRSLPPRPSIEELEAAISLVKTVDSEEKLKLDELSSQLPPQDVPSEIFSVLQQVKKTMVLFQSHEQSKEALELLEVDKFFQKFDQLIQTASGLISGGTQIESGDDLGYHVEKIDQVVSDESVIMIKEGDESKSSALPLVKKSSVTKVIDLSSGGKDAEKMSLMKVAALFESKQKSEERDQLVLDLGGKLMDNIEWLPVSLGKLSHIVELNLSNNKLMVLPTTIGGLTALRKLDVHANQLMNLPDTFGELSNLSDLDLHANKLKTLPVSFELRLDFNQLRGLPEAIGKLEMLEIFTFHYNRVGKLPTTMGNLTKLRELDASFNELEGIPESFCFAVNLEKLNVGKNFSDLRALPRSIGNLEKLEVLDISDDQIRILPDSFRFLSKLRVFHAYETPLEVPPKQIVTLGAQAVVQYMDEFVAKRDVRVQQPEKRKGCWSRLCCFSFSGSEEKH >KZN07771 pep chromosome:ASM162521v1:2:43465989:43476840:-1 gene:DCAR_008608 transcript:KZN07771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATSSTSAVNNNAIGGRSAKDSSRSEASPVTSNFPLNSRRQIQVTPYKLRCEKESLNSRLGPPDFNPPTLNCPEETLTREYVQSGYRETVEGLEESREISLSQAQAFSRPLVLKCKESIRKYHRAINEARARKRKEGQVYGVPLSGNLLTKPGTFVEQKPCGEDFRKKWMEGLSQPRKQLRSLADHIPHGYKKTTLFEVLIRNNVPILRATWFIKVTYLNQIRPGSSSTPEKTQYTRSEQWTKDVIDYLQHLLDEFVSKSKSLPIVNVRDRASQISSGSMHHKNGKISSTVDSEDPPFQFKWWYVVRILQWHYSEKLLVPSLIIDWILKQLQERESLGILQLLMPILYSVLETVVLSQSYVRKLTGLALRFIREPSPRGSDLVDNSQRAYTVSALVEMLRYLLLAVPDTFVALDCFPLPSCVVSHVVTDGGILTKLSEESRRERDGPMEISCVGRDRGLEVQGQPLSIDCVVSSIQKRATNLARAARPSHPGHNVAKAVQALDRALIKGDVTVAYRFLFEKICDGAVDTRWMTEVSPCLLSSLKWIGTINLSFLCSVFFICEWATCDFRDVRTGPIKGLKFTGGRDFSQIYIALRLLKLTKQSIQSSVRGRSDYISEIDDPVGGTGHLNNFFERRSSRNLSELKRKLKSLEGKRKDLSEVFQSPGPLHDIIVCWVDQHETQNGEGFKRLQLLVIELTRSGIFYPQAYVRQLIVSGIMDDPAADLGRRMRHYKIIKQLPGPYVCDALEEAQAVETELMAEAMHVYSNERRFVLSGLIGNRRSNNGKNNSSRKRKKYLNYGIDNSLLLAADQHTKDSSSSYSSGKNVNSVAHLEDLKVSISMLLQFPSFSSTSVDAGSVDMQGTNKKSVGSVIPMNDSGEGTPGCEECKRVKRQKLNDEKYLPVNSPNQFDDEDMWWVKEGSKSSESNRTDLPVKATKQASRGRQKVVRKTQSLAQLASARIEGSLGASISHLCDSRISCPHHRSGNDVDASKLAGIGLSAGNIVSIGKALKQLRFVEKRVISVWLMATAKKLVEEAEKDVVKVGQYSRQVPAADDRVSSRWKLGEEELSALIYLMDISYDLVPGVRFLLMLLPKVSHIASLHGGRNSFMLPRNVENNVCAIGETFLLSILRRYENMLAATDLIPETLSALINRATVVLASNGRVAGSPSLVYACYLLKKYRNVKSVSEWEKNLKATGDKRLISELESGRSSDGEYGFPLGVPAGVEDVDDFLRQKITGIRASRLGLSMKDIVQRHVDEAYHHYGRESKLNSGGTFKDHPSLEKVDDGHQIAQQTVMGLMECIRQTGGAAQEGDPTLVSSAVSAIVNNIGQVIAKMPELTAGGNSLSSSPSLCFARRTLRIHINCLALLKEALGERQSRVFEIALATEASSAIAQLFAPGKNPRGQFHMSPEAQDFNPNSSNDAINNTKPVLGRAAKSTAAVTALVVGSILQGIATLERMVTVFRLKEGLDVVNYVRSMRSNSNGNSRVGALKVENMIEVSVHWFRVLVGNCRTVSDGFLVELLGEASVLALSRMQRMLPLSLVFQPAYSIFAFIVWRPFILKFNTIAREDPPQLHNSLTLAINDVIRHLPFRDVCLRDTPGFYDIVSADSTDSEFAAMLELSGLHVHSKALAFVPLRSRLFLNTMIDCKLPHVFAQDDRSRVPGHGESKLHYAENEAKILDKLVHVLDTLQPAKFHWQWVELRLLLNEQALVEKLESDTPLAEAIHSLYPDPDKVAASENENNFIEIILTRLLVRPDAAPLYSEVVHLFGRSLEESMLLATKWFLNGPDVLFGRKSIRQRLINIAESRGLSTKAQFWRPWGWCSSNFNLPKSKSEKGKFDVFSFEEGEVVEEGIDSNRNIKVPSKILDVKTNFVYQQHETERALTELVVPCIDQSSDDSRNTFASDLIKQMNTIDQQISAVTRGSSKQPGTVTSGVEASSVKGNTRKGARGGSPGIARRSAVPVETVLPSSSALRASMSLRLQFLLRLLPLVCADRAPSGRNMRYMLAAVILHLLGSRVVYEDLDQSNLTLEMSSKREVELMVDAYSAASVDLSGENLFDRLLSVLHVLLSSCQPSWLKLKSNSKSAIESVRSCTVFDRDVAESMQNDLNNMTLPDIIRWRIQTAMPVLFSSFRCSISCQPPSVSASALAALQPSTLVSGLHPGNINQSQRNLGASARGTTQGAGKNKPSALQQDLGMEMDPWTLLEEGTESGPSSSNTAIMGGSDQVKASSWLKGAVRVRRMDLAYIGSVDEDS >KZN04325 pep chromosome:ASM162521v1:2:8118446:8128594:1 gene:DCAR_005162 transcript:KZN04325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVRDRILKDANGDSSDHLRNHIHLTNCIHLKNHMHKQSPILSDRSITRDLVVLQRSRSLRDPSMSPPSWNSPSAIDLLSRRGDNGAFVGNGRRSVGIEWQSSDREFLRSLPPSTNLPMTDVACGESGVREHGSSRREVSAGRNLANDLLRGKDESPVDGIDLFHDFYSENAGLRSTKLNQKGRHKQDDHPRDLPEKLQEVQDDSDVAYSNNHCHDPLKEAEASIHSHFNGLIKRGKRRKFRGARKNRTATATRDTMTQNDKSIPSNPLAHGAAAARSKYKMEGRGKEFGDPNVTRAPRNGCGIPWNWSRIHHRGKSFLDSAGRSLSCGLSDSKSRKGGILTKEGEFSDMPVTSDHSSLSSKFNKEELPLLIDDQDSADNAAWVHDYSGELGIFADNLLKQEVDSDLASEARSGQQQKLQQHQTGGHQNLIQKYMPRTFRDLVGQNLVSQALSNAVVKRKIGPLYVFYGPQGTGKTSCARIFAKALNCQSEDHPKPCGVCVSCTAYDKGRSQYIREVGPVSTFDFQSIMDILNSTLVHRHPLQHRIFIFDDCDTLSTDCWSAISKVMDRSPRRVVFVLVSSSIDVLPHMIISRCQKFFFPKLKDAEIIYTLQWIATKEDLDIDKDALKLIASRSDGSLRDAEMTLDQLSLLGQKISILLVQELVGLVSDEKLVDLLDLAFSADTVNTVKNLREIMESGVEPLALMSQLATVITDILAGSYDIMKGRLRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDRLTWLTAALLQLAPDQQYNLPSSSADTSFNQSPLALNYANGNVSNMPRRSNAEHTDVPNNAAGMATNSRMDNLQAGYYGDTYNNATMKGRTSLDIKGHSGLGMIPQQTFGVSGHNNIVKSRQFPAKFNKEIEEMWLEVLDKIHSNSIREFLYQEGRLVGVSFGAVSKEDMEKLRQALKTLSEAEKQLRMSNDRLTWLTAALLQLAPDQQYNLPSSSADTSFNQSPLALNYANGNVSNMPRRSNAEHTDVPNNAAGMATNSRMDNLQAGYYGDTYNNATMKGRTSLDIKGHSGLGMIPQQTFGVSGHNNIVKSRQFPAKFNKEIEEMWLEVLDKIHSNSIREFLYQEGRLVGVSFGAGSTVHLTFSSHLMQSKAEKFMAHVLKAFESVLGAPVKIEIKCESRIDRRTGSNVPHVKASQNGSPQAYAKQGVLSIERMPLTSYDDTSGRTLRNRDDLTEAEALHFDSTRMGRSEIVEIEASPRQPKDDLHLGNMQSARRELQGTWNEQLASSHQNSIMASLAEQKQLSERDGSKSMVRSKVSLAHIIMQAERGSQQSGRSKSKAVSIAEKLEQENLRLEPRSRSYLSWKASKLTHKRVCVTNL >KZN05231 pep chromosome:ASM162521v1:2:21467368:21468420:-1 gene:DCAR_006068 transcript:KZN05231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEFGVHHGLVQPLNQVPPSVASTSAAITVKSSAGQPAGCQRNTVLTSQPSSMVHLDNVTTTTCQPAVSQQNTVSLSQHGARLLPVASSSGSQLAVKQHCSTLPMQPGPGNNVISDSFSAGQPAANPQNITPLLHPSLRISPLAVSPSGVQSAAHQRSTPPLQIVQQSAALFSSTPTTPLQNNPISPLTGNLRVGSENRARAPAPHLPPFRFASGAYACDAKSSDQK >KZN07342 pep chromosome:ASM162521v1:2:40160141:40164417:-1 gene:DCAR_008179 transcript:KZN07342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCSRKDNNISDLPPNIIEKILTKIRIRDAVKTSILSTKWRYQWTAMTLLVFDEIPDGSLDDQKAAEIRLANFVTQFLLLHDGPFHKFKVTTSYLRMSTDIDQWLRVISRKDIEELILGENWNCINSIPTPSHLFSCQGLTRLKLCKFVVKPPLKFQGFPCLKYLNLVHCTAALEFIENLLSSCPLLEKFKLITADKLALTVRAPNLKHLFAAGYLRDLYLEHTPVLGFYKMGKCLRKDNNISDLPQNIIEKILTKIPIRDAVKTSILSPKWRYQWTAMTLLVFDEIPDGSLDDQKAAEIRLANFVTQFLLLHDGPIHKFKVTTSKLRMFTDIDQWLRAISRKDIEELSLGGNWICINSIPTPSHLFSCQGLTRLKLCKFVVKPPLKFQGFPCLKYLNLIHCTVSREVIENLISGCPLLEEFKFQNMDELVLSVRAPNMKHLIVDGNFRDVYLEYIPLLVSLSIIFFKTWEDGILVKVPLTYDSVKFIELGGINFEDMNAVLYALNLILKSPNIEELQISEASKNVHRKAAGLDFWEKQCPSDFTFKHLKVVKMTEVSREHEIEFLKFVLGRSPVLQMMNVSPPDSCRGRMSMVNKVLSLGKAFPNVEIKFLDP >KZN05126 pep chromosome:ASM162521v1:2:20351839:20356156:-1 gene:DCAR_005963 transcript:KZN05126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKRLNHGLYGYEVPPIPRAARSARRRVPYRKKSDDDQMCAFDLLATVAGKLLEGENSPSAAELLNGVDKSAVGADSLKKEKVVKDNPEKLIPCIEEKRGRNFFVSEIISQAPKHTIKEVSHAQNDACSAFASVITTSDGSDMFGTAAKLVDDQSKNGRRNFSKLDMERCGSTISFSRLDDENKNCIKIEPAMTGKEPCNARADTCCIKDLTVQDLEPHALGNADNRCKFPVKPSVLDEGLKKGICRDQGPRGSFPTCKDNVKLVVRDGDENSSWCTTPRKLNKAFRPPARMGDRRIKRLLASRYWKAPPKLSNEDCFNIGLETKPVYQNKANGYKRQRSLRDYPIKKRRLYGHSFETNAYEMVNNNDTSFSPGKGYSENASGSVPTMHEAKGVSTFATSQQNCFQPRDSHVKLKIKSFRVPELFFEIPETATVGSLKRTVMEAVNSVLGGGLRVGVLFQGKKIRDDNKTLVQTGIYDNNKNDSLGFTLEPLCSQVSPTQYPEDHALTPARQITRCPHTGLIVKQGNSDVPPDLPSTNFSNIIESDHDSAPSPPDVSIDKCLADSKALVATPEMNVEPLSAISMRKSKRLDVGQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKMRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAFWSQQQAKQQLKQHSEACLLF >KZN05888 pep chromosome:ASM162521v1:2:28099474:28100590:-1 gene:DCAR_006725 transcript:KZN05888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGRSMSFTGMERCEEVRGEDEMSDDGSQSLGEKKRRLNLEQVKALEKSFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLERDYDILKRQFDALKADNDSLKSENKKLHGELMALQGRESGTENRTINLNKETHEGSWSNGSENSCDHVHTTTGPTQVFLQSSSSTPDLLHSHQRLNPTVPDETFCTMFNGIDDHPGFWPWPEQHQNFH >KZN06203 pep chromosome:ASM162521v1:2:30955458:30959925:1 gene:DCAR_007040 transcript:KZN06203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTGDDAVLSDVDDENPVPIEATFTSPQDDKFQQLLAELDRERQSRQALEASKSDLQTSFNRLKSLAHDAIKKRDESNRLKDEALKSNDQLKIQLQELVKHRDEVFKEFNEKCDEACKAKETLKSEVETAAQMMLNGIEAISEKVSGFKEFRVGGLPRSQKYTGLPAVAYGVMKRTNEIVEEMIRQVQVIGKERDEARELIEQRNFEIAIEVSELESRIDGLKEEVSKKSSVVEDLEKLLGEKDKKLCDVERGMSMKLGLAEKELEGLRMCFSESEDKLKNLETKMELQRPLFVDQLNYVAKIHDQIDNVIKLVDADKVDHSELAESLFLPKETDMEENIRASLAGMVSIHELGRIVVEKIKQLVEERSIEVNRLNQTVSRLMKEKEYTGTLLRSALSKRVSSDLSSETNELFKVAEKGLKAAGIKYKFSNHLADGNVLALNDEAGTVVAEDDEIYTLAGALENIIKQSQLEIIELKHTIDEQRQETNLLRQQYDAQAQELIQRKQDVEELEEKEKTAKENVEGLMMDIAVAEEEITRWKVAAQQEADAGKAIEHDFVAQLLVVRQELKEANQAVIELENKLKFKEETANAAMAARDAAEKSLTLADLRSSRLRDKVEELTCQLETHENPRSVLSRPRYVCWPWEWLGLNFVGFPLLDTRQQSSNEMELSEPLV >KZN04406 pep chromosome:ASM162521v1:2:10351140:10353568:-1 gene:DCAR_005243 transcript:KZN04406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRMFDHIQSLDKSRNNWKIKARLARFWATFSPETSAIKGFNLILLDDDNSHIHAYVYPDNWRTIDKEVVEGNVYVIENFQVRETIGKLKPVSTRLCIRLLSNTVIEPVEDDVMIPKHKFEFMDMGDLLFECQRLTENQNPEFAYDVIGVVEHFKKVTLWGDLASSVSERFKPDLEKPVIGILTSAKLSTFREEHQIGALPSTKIFFNPAIDSVVEFRERLIEEGYKPPEDADKGTSEPPVSLVIEQTSFRDLIENSITYRDMRTVIIKFVISKIEDEENWWFNSCVSCQAEVEKVDKKFKCPECKRSFGYSEKRFRIVVLADDSTLVTNIILLDRFVKRVAGTTVANILNEIKKDSSVTVLSTLFKTIIGKEVTVLIKLTDANVDGDSNLYNIVDLCDSATEEVAIVQASPSNTAPSFSMDGVVPGIELFQTPGSSQSVTKKIKMEGSKD >KZN04847 pep chromosome:ASM162521v1:2:17189820:17192002:1 gene:DCAR_005684 transcript:KZN04847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIGAELPPGFRFYPSDEELVCHYLHKKITNEEVLKGTLVEIDLHTCEPWQLPEVAKLNSTEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVADPNTGAIVGMRKTLVFYKNRAPNGIKTGWIMHEFRLESPHLPPKRKID >KZN05469 pep chromosome:ASM162521v1:2:24173989:24174713:1 gene:DCAR_006306 transcript:KZN05469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDASDDEDSDGIDYDGSSVERHTEMGVDVPKSQPDGVQNDMMVVDEPLIGKTAEAEDGWTVVPSRRSKGKRN >KZN04544 pep chromosome:ASM162521v1:2:12438866:12442470:1 gene:DCAR_005381 transcript:KZN04544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTMTSPGTQLVTSPRGMLRENGKIRRTFSGNDLCNQARMRRSFSDNHLCYSVNRIHASKKDPKLNNSQSFGGFKFLPETFIPKSLRAFLYEPETSKETPAVECNETDEDIDEMVVEEHTVTNKRVNWVERIMEIQKSWVQKQQKDEDDNGSIDEQGCDEDLGGCVVDYDEDEAEGKKEMDRETFSRLLKKVSWSDTKHFSQLAFLCNIAYAISEMQAEDLKRYYGLNFVTSSLERKAESDTFKATNDKLDEDSTSPVNSATKFVSESGNSKKRLNKGPAAAYEIAASAASYVHSRAKDLLFHDSDTQQDEVDMILQSSGSFLHEALYKSEVGSEVAAYVTASTMTAVVAAGQKEKEKAATALQSPQSSPCEWFVCDDPNIYTRCFVIQGSDSLASWQANLFFEPTKFEGTDVLVHRGIYEAAKGIYEQFKPIILEHLEKYGERARIRFTGHSLGGSLSLLVHLMLLTRKVLKPSNLRPVVTFGSPFVFCGGQKILDELGVDEDDIHCVIMHRDIVPRAFSCNYPNHIAQLLKRLQGTFRSHPCLNRNKLLYSPMGKVFILQPDEASSPPHPFLPRGNALYEMENTQSASGKKGLIAFLNSPHPLLTLSNPIAYGSDGTILRDHDSSNYLKAVNGVIRQNTKAALRPSLRTEEDHIWTILTSRSPHAWGLRSTTDPRVLLSKEELATGV >KZN07670 pep chromosome:ASM162521v1:2:42576839:42577207:1 gene:DCAR_008507 transcript:KZN07670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVNPLLNTVKDCSEWKMLKKRDDLRRKKSMKKSVKKVEAGLFTEQLKSVANNDIKIVDGKKERIRCHYVNDLLNPVENIFQWNMFKKNRIEFEMTIDENISNTLEGEDGEFEGRSNRSP >KZN04051 pep chromosome:ASM162521v1:2:1212757:1215223:-1 gene:DCAR_004888 transcript:KZN04051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVLNPNLRPPVQTPTLLVSSSAPVWSPPNRVKAPTRYPDSSRNKWALLQLSLKCTSRFSCFFSQNGSKQDQARKALESALGGKKTEFEKWNKEIKKRESAGGGGSSGGGGWFRWFGGSDDDHYWHEAQQISLTLFGIVAMYMIIAKGDVMLAVFFNALLTAIRATKNSFTFATTKILKTVSPSTLAKLESLPKEEVSAPVSAKESVLRKWASN >KZN04747 pep chromosome:ASM162521v1:2:15309398:15309670:-1 gene:DCAR_005584 transcript:KZN04747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDIGLGFHDPRYQEVQMNGDEMELFDQALDEGWGAPNGPGQAAQFMNTAGLHGVQAGDVQGEMEIHDLIYEDELDEEDDGDDFVVMAA >KZN06150 pep chromosome:ASM162521v1:2:30572121:30573551:1 gene:DCAR_006987 transcript:KZN06150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHQNLLVSSSSSSSMLELDVENGHYYYPTFSSTPSSSHHLELKHLLETHPCWCYVIFWRSLNTVPPSSSSELVLTWADGHFRSSSSAADDKKVVQGKRSDSRELYALFDMESSDVDGNDPEWFYLNSISRSLPAGGAAAKAFISGNYVWLDGYQELGRFECERAREALIHGIRTMICFPTAHGVVEMGSSVRINHDLVLVEKIKSLIGSSSSSISTGELERSARLGSVQEQQDKGLFRDMCKNVKEFDDYDVEKFPKFEIVNFANTNDRNDTAKIQKVGKKRGRKPSVGKDRPINHVEAERQRREKLNSKFYALRAVVPHVSKMDKASLLGDAVSYINNLRTKVEELESQVLMSPKNHPPPPPPPQHLNASSTSTGTVSDFEEATAISTDDDEVEVRLVGTDAMIRVRCKNTNHPGAKLMDALRQLELQVNHASMSSFDHLMLIDVVIKVPSRGCLQTEDALRRAILSSFSTST >KZN04083 pep chromosome:ASM162521v1:2:1729794:1730240:-1 gene:DCAR_004920 transcript:KZN04083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASVGCVMRNSRGEWIRGAAGMIGLVVPLGAELWSMFYGLKLAWEKGDTKHVVVECESQEALNAVSDPDPEFWLADLVDLIKKLESEAWESCRIEHVSVAGNKASTTLANSQLDGEGGIMELADAPTFMASVLAADLESMHSLKIVS >KZN07197 pep chromosome:ASM162521v1:2:39022183:39024808:-1 gene:DCAR_008034 transcript:KZN07197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFKNRYFVMEVFLDPNKDLGTDDPIIITHLNVSKAIKESVLANFGECGLGSSIGSFQVKYVNPITKVCIIRTSKEEHQKVWCAMTMVRDIGNCPVVFNLLDLSGSIRACKDAALKCEEQKFEIYKKEAGPRVTAEINQMMQNFLEKIKTLEL >KZN07709 pep chromosome:ASM162521v1:2:42947719:42949789:-1 gene:DCAR_008546 transcript:KZN07709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDPDFLKVSIKLALDRAMHANLNTKSLGTKCRNKLEKAAWDDCLELYDSTIRKLNKTISPCSQEDAQTFLSSALTNLETCRAGFLELGVSDNLLPLMQNNVSKLISNTLAMNKGVYKKASYKGKFPTWVTPGDRKLLQTSTPKANVVVAQDGSGNYKTVAAAVAAAKSSSGRFVIYVKAGVYNEKIEIKAKNIMIVGDGIGKTILTGSKSVGGGSTTFASATLAVVGDGFIGRGFTVRNTAGGKNHQAVALRSGSDLSVFYQCSFEGYQDTLYVHSDRQFYRECDIYGTVDFIFGNAAVVIQNCNIRPRKPPAGTNTITAQGRTDPNQNTGIIIHNCRVTPADELKPVLSSTKNYLGRPWKAYSRTIFMKTFLDGFIKPEGWMEWSGNFALKTLYYGEYANTGAGAATGGRVKWGGYHVLTTAASVSDFTVGNFIAGSSWLPKTNVPFTSGL >KZN05703 pep chromosome:ASM162521v1:2:26451078:26460474:-1 gene:DCAR_006540 transcript:KZN05703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRSYSATPNDYKLLEEIGYGASATVYRAIYVPTNETIAVKCLDLDRCNSNLDDIRREAQTMSLINHPNVITAHCSFVVDRNLWVVMPFMDEGSCLHLMKIAYPDGFEESAIASFLKETLKALVYLHHHGHIHRDVKAGNILLDSHGKVKLADFGVSACMFDQGDRQRSRNTFVGTPCWMAPEVLQPGSGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPEISIRKLFADLPPLWNRVKSLQQKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDIEDLKVQASLVQDDDETLENRLGDENMNSYMHRKDAGPSSSLQKSISIGDFICREQESGNEVPMTASLNKTGKNLESEALMPANARMTDIIKDSSKPDLQPSASEINAAQAKTKALSGTNRQTHSGPLVAGAISERMRILERSETENQLPAEKAYREVRRAPSFSGPLMLPNRASANSLSAPIKPSAGYRDDDKSKTNFVQIRGRFSVTSENVDLVKGSPIKKSASVGDWMFDSKQMPVGQSPKETSNKNLPASLLMPHLQNLSQQTSIQQDLIMNLLNSLQMAEAVDGSPNGKLPPLPRTSENNGSIESAASERERLLLFKISELQTRMVSLTNELTAEKLKYIQLQQQLYATNGREEDRQRDM >KZN04416 pep chromosome:ASM162521v1:2:10465921:10467811:-1 gene:DCAR_005253 transcript:KZN04416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAMASIVISVIVLVILRYTIKLANTYWFRPKRIEKRLRKLGFRGSQYRIIFGDAKDIGKMRAASTSKPMEPSDKIASRILPYYHNMVQKHGKTFFFWFGTKARLNISDPVLVKDILSRTDEFRKPNNDQMARVLVGGLFSSEGKTWAQHKKILNPAFHIDKTKNMVPSIVENCSQMMNKWNISGASNKSVEVEMRPEIDALIYEIMCKALVAGPISEEAKKIYQQRMILNQQAAKLTTQEINSRNLSTQEVKTMKAAHKETERLVKKLVTRRLEEMKQGASNHGDILSLLLEAFQDQTSGFSLDDVLEECRTFHFLGVESTARSLIWVLYVLANHPEWQERAREEVLQVFGDQKPNAEGINQLKIVTTIVYETLRFYPTNGIIHRSISKDTKLGDMVLPAWIQVTIPIALMNHDPDIWGEDVNEFKPERFAQGIFNSKMQSIFLAFFSGPRRCTGQTMGMVLLTSVVATLLQRFSLELAPSYSHAPKYSFLLKPEHGLQLVLRQHI >KZN06616 pep chromosome:ASM162521v1:2:34424379:34427363:-1 gene:DCAR_007453 transcript:KZN06616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METERMIDFPHTNINMDRRPRKRQRLGWDVAPLPPPPPSMAELEFFCAQEVANFTSLSSGAPTENTNSLSSKGAARNGSPPWREDDKDGHYVFAVGDNLTSRYKIHSKMGEGTFGQVLECWDKEEKEMVAIKIVRGVEKYREAAMIEVDVLQQLGKNDKGSNCCVQLRNWFDYRNHVCIVFEKLGPSLYDFLRKNNYQSFPIDIVREIGRQLLDSVAFMHELRLIHTDLKPENILLTSSEYSRIPYYRGSSRSPKDSSYSKRIPKSSAIKVIDFGSTTYDRQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGDALFQTHENLEHLAMMERVLGPIPHHMLKRVDRHAEKYIRRGRLDWPEGAASRDSIKAVHKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPSERLTAHEALKHSFFTKDSVRRS >KZN07531 pep chromosome:ASM162521v1:2:41621472:41623174:1 gene:DCAR_008368 transcript:KZN07531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPVMCSSRVFSPLREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDEDFDFDSSSSTDIGERENDYPTGMEFSKVTKKVNLSKLGTSTLWKYWSTYNLGSTNCNPSKEQLLDAVQQHFASQHVDEVQGIVEFIHAAKRLKSAGGRRRK >KZN05900 pep chromosome:ASM162521v1:2:28166418:28167020:-1 gene:DCAR_006737 transcript:KZN05900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRGCSSSTTSAAIEDLKKFMDDQLELFKFETEEMLEQMIKQVKELAAEDSAKKRIKKAARMPKTAGQAQNERSTQSGPQHETDSSSRNCIMPVNDVRAGSAIVVVDNDLEAERQENGSICSKNEGENEKEMEDAGIEDLSSVKGRRMSRRTIKEPAWMKDFMTV >KZN05771 pep chromosome:ASM162521v1:2:27106125:27107720:1 gene:DCAR_006608 transcript:KZN05771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPTFSLAFFFVSTFSWSALASSEPNYIKLDFVSCLRASITVVYTPTDSNYTSALLYSINNLRFAQPQTPKPIAIAIPGSEPEIVAAIFCCKSHGLEMRVRSGGHSFEGLSYVANVTFMVLDLRSFNNVTADLTTATAWVDSGVTNGELYYWIFRASSAYGFPSGLWSNVGVGGIISGGGYGMMRRKFGLAADQVIDARLIDANGVIHTRLTMGEELFWAIRGGGGGSFGIVVSWRVNLIPVPEIVTVFRVTRTLEQNMTSIFYRWQSVAVNFPYEMDIRCTGQAVLSEASPRADKMTMAMLFESLYLGGAEEMLLVMRDEFPELGLVREDCFEVSWIQAMQFFSNFPLEAPPEILLDKTLLPRPAFKGRSDFTQVPIPVQGLEGVWDFMFQLPAQAATLQFTPFGGRMNEISDSAIPFPYRAGYLYMINFFALTNVDEAGRMEWVRNLDTYFTPYVTSNPRSAYVNYVNLWMGTNNPTGTTSYAQALQWGERYFKNNFRRLVGIKTLVDPGNFFRHEQSIPPFSLFNDV >KZN07807 pep chromosome:ASM162521v1:2:43706612:43717156:-1 gene:DCAR_008644 transcript:KZN07807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGCRFLDFHPRQMEQMSYAPHHCPGLGYVKMDTARPPVAFPCYACPYPLPYYGCYHNHNQVPEYPAHHPHYAPPPPVYCHGNYPPLPGNYPFQYLPTQHYSVVDPRYEYDKKGPVDHHCCGCPNYLCQQKENKNVKIEEQTFDNDKDRVVSWVPPEVKDQSYPLLWIPPGYKRSEQGEQTIKPESNSETSLDKEAKECLKPRGQEPGVWSGWLPIDLNNLKSLGQVKGDEGTEPQQNEECKSSYPVGFMPCNPKQDKVEHKDGDQKSIWDPSQYGIFPLKFIENQDKKTMPEGDTEHPEKFRSEDGPRPGDKNVVKKIIPVKQMDQSEEKGFLKDGQTEENRAPKVEKNHSEMHLKHTDKKGEKKHLENNSNGQSSHSKTSKLPPVCLRVDPLPSRRTKSGSSRSPSSPANQKNPDMLSRDNKSSSSSTVQANNQQDVQSSADSDLGRLQNEKKVKNIEVLDSTESNIKKEACKEDLSQSFADASASDLQEKVSSGSEEGKGENSDQENYVGVKGVKDVDNLSEDLKKDEELTVEGQSGDVKGETGATGGPLDTRESELGSTNGAPCQKFSDAEAAAIIQSAYRGFGVRRWEPLKKLKEIARIKGELIKVRQDVQNLVSSGSGNVDKQRILIGEAIMNLLLQLDTMQGLHPSIRNIRKAVAKELVSLQEKLDSITFQHSDELKESDKSEHVEEISERTGEEDLVKPGEDRHEQETPSPSYVKSGAIELCQDKPHTVMDMNISSVNTGKSELLLGTDEMGRALEERVQDSSGYVDLKDRQLEPLVEVREPSEAHDVGAKELPPLIAVEEKINPPVQLHDPSSLVDSNWSSKEDEEDTKVYTEVSSRVSQQNSEAQNFDEGLQIDGGRCIVKPGELKDHDSFQVESKELPPSIIVEEKPNHVVELQNASPLFDSGWSSKEDEITQVYGEVPLPVRCRLELVEESGLISLDNEAKETSAEGEPHKKLLAESPNLSDLEGNIATAVCSEGSPKPNNNLSAVGDLERGKELSGDDMLGADFLDSIALKEKHVSNREAVENVCVVEGDEKSVNNTLTATGMVDTWEISDVKEVANACQFGREGDDKPVHDRQTADNVRDEAKEMPGIKEAVNVCEVEGNDKPVDDVQAADIVGIEHWGTGEDNEFEKDVGSVKNMELIGTCGTEDAVSGEVVHPEETGICNIKQEMEEDENQDMWEDKFDNKEICQKHSDGNIFEIPRDEQLLVKELDTEKVNKQPHRECAAGEEDLAGEELVAELPQKEVPASLPILGQISSVTETESDKKLAIENEELKEAVEKLIAAGKEQLTAISRGKRGGSTPTTSWKIGLAHSDGSLVHVHNSNTLSARTLGANLNKTHTHIKAARMNKADSGIAHCNDEEEECFQLCSHVADPPDDTEEQPTSAGSLRRHIAATLFRHHRFVGINGHALQPVSLASPSSSPEAAPYYSAVTPASPLDLTDQGEFSYNVKTSAELLKVLNLIWSLEEQHAANLSLMKTLQRELEHSQEQIEKLLQEKRRGRDINKIMEHNSLDTPLRRAKEQVPTRNAVLSVRDELESERKLRKQSESLYLKLAQELSQVKSSFSNSLEELKRERKARILLENLCDEFAKGITDYEQKARFLKHRPDEGLFSRERPDQLILHISESWLDERMQMKLAATPCGTTDKSTIVEKLSMEIESFLQSKQPVVSRYVDGLSLKKVKKNSSHRYSLESFHLNDVASAPRDGDCEEDSTGSVLNSLAFNKHSSGTRMNGASKLIIDRGKDLINSDPSRNKIQTRDVFKCNEYHSSSQNQSHKHSFTTVSCDVNNVRVDKYKKSQSTEASKERKQIRAESHDFHPDDVLHSITRNHSVSRNDGKLHSEKPCTEDSLDHSASSNHPMTITTSKPEISESSSQGLQIMKKHSLKARLLEAKLESRHSLMKNSKAPIY >KZN05849 pep chromosome:ASM162521v1:2:27731296:27734331:-1 gene:DCAR_006686 transcript:KZN05849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGPSSYKNVLYNGKHSLLPPKSPFPSISPSYLDYVQTSTIGVKGLPKPREGYQHHQRTSSESTLIEDQPSWLDELLNEPETPVRRGHRRSSSDSFAYMEVANANLNYATQDEYRSRNMSPVPSRTSQDFDYYKDVRCDSFYPDVNPLGKSKSRVWDPPSNSLAHPVGPLSVKDNSAIQNSVSSFSTQETDGFASNTTEKQNPIESSPYDAKASSEKKDSSYAKASASETDTKRSKQQFAQRSRVRKLQYIAELERNVQALQAKGSEVSAELEFLNQQNLILSMENKALKQRLENVSQEQVIKYLEHDVLEREIGRLRALYQQQQQPPQQLPSSGHRRANSRDTNSKDLDSQFANLSLKHKDSSSGRDAVSGPLHI >KZN07336 pep chromosome:ASM162521v1:2:40111108:40113797:-1 gene:DCAR_008173 transcript:KZN07336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNISTTKASNSLTLNLSTSCFLVYFSSVSFSSRGTRRTRRDGATETLIFSSLVGPHSSHLSPPLTHHLTDSAGSSPSGNNPRVVIGELDLFSSKKTNDNYDHSVHYPVMDSSIDVAMKHEKSRSEAQLDVNTRLHLLTANPGSDQSMVDDGVSSSLVDEEAKNKLLRIQSELERMNTENERLRGMVSHVTNNYAALQMHIVTVMQQQNARAETTPEQQIGEEKTQDRNDGSGDHQGHIVPRQFLDLGPSRGDNQSHSSSEQRTQSGSDINNVELNNGNRIHAAGKRIDREDSPHPESWNSNKAPKIIASSNSTSNAVDQVTEATMRKARVSVRARSDAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAGGCPVRKQVQRCAEDRTILITTYEGAHNHPLPPAAMAMASTTSAAANMLLSGSMSSGEGIMNPNLLARAILPMSSSSMATISASAPFPTVTLDLTQSPNPLQYQRPSSQFQGPFQAAPPQQNFVGLSPSPQVFGHPVYNQSKFSGLHVSSQDMAGSNHLQAQAQAHHPPPQFHQSQPQTSFEDTLSAATAAITTDPNFTAALAAAISSMMGGSTGHHNNSN >KZN07343 pep chromosome:ASM162521v1:2:40177240:40178759:-1 gene:DCAR_008180 transcript:KZN07343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQDIDDLDVISFAMRRWGFSENRDIISDLPQSLIGDILTKLPIRDAVRTSILSSRWRYQWTNMTELVFNSKCVNRPYTLDKLVNCMMPCLLLHDGHIHKFKLCIPPMGNSPGIDQCLLFLSRRGIKELDLRVDIEVLGPPAPGERQLVHTAIFSCQQLTRLTLCGLVVQPPPNCRGFPCLKHLSLDLCTVTLEVIENLISGSPFLESFEIDYNDDQLALTVNAPNLKHLTMAGTFRDVYLEHTPLLVVVSVNFISQAWEGDVFMKLPTTYNDLKFIKAMGVNFQEMNEASLFHHLILHAPNLEKLQISPSYCIPF >KZN04173 pep chromosome:ASM162521v1:2:2958553:2958804:1 gene:DCAR_005010 transcript:KZN04173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMFNGKIIGTVNGTAAGWGNMGGGATQLIMPLLYDLIQHFGATPFTAWRIAFFIPGWLHVIMGILVLILGQEFVKGQSIIP >KZN06440 pep chromosome:ASM162521v1:2:32920944:32927455:-1 gene:DCAR_007277 transcript:KZN06440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSTNDSAESIMDQAAKAADELYLLRDTYFPLDPNHKIAALQSQSHLALNLLDSIPSDHRRLPATRATHEYLKGKIMDVFPDYKKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLPSAKNCLTLALSKGSNKKILCADNQEEIVQESIKHAKEAIALDVKDGNSWYNLGNACLTCFFVTGAWDHSKLLQSLKAYQNAEKDEGMKSNPDLYFNCATVNKYLENYERALSGFEAAASKDPGLNATEEADKLISLLDKLDSFLRGQTKAKRLASLTSSLAAINLNSSYRRGTIDRLSEGLNRTIAILGKVMFFIKHESTTPLYFLVCDSDQVCFILTVYGMRNDAIKEGDQVTLLAPCYHFVNFSWKEKYYQFKSIRVDFLDQMLVNGNAISPQYAIRNAIVAQNKA >KZN07786 pep chromosome:ASM162521v1:2:43567826:43574972:-1 gene:DCAR_008623 transcript:KZN07786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYVLHLAMAALIGALFVAVSVYYMDRKTLTQLLEFAKTMNRDRDRNLRDADFEDDSLNKYSDKRRNRGDGNGSIFVDDIPPGLPKLHTIPEGKSAGSTLRVGHVMRPMSPRSPTASVFESMEGSDEEDNMTDSCIVDSPYLYTNEDTNLDSSLYENLPEHANVNGARAVAADILRKEPEQATFVRLKISPSETPSADEAEVFRTIQACLEMRESYMFRESIAPWERENIYDSSTLKPNQNPFDYTPEEKTEHYFQMEDGVVQVYANKDATEKLYPVADATTFFTDLHYILKVIAAGNIRTLCHHRLVLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLKKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTQQVFSDLDTSKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSKNVVWLIQLPRLYNIYKEMGIVTSFQNILDNFFLPLFEVTVDPKSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNIFNPAFSYYVYYFYANLYTLNKLRESKGMTTIRFRPHSGEAGDIDHLAATFLTCHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFVDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPEGNDIHRTNVPHIRLEFRDSIWREEMQQVYLGKAIFPRHIET >KZN04814 pep chromosome:ASM162521v1:2:16719470:16721755:1 gene:DCAR_005651 transcript:KZN04814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRRGHWDPRFETGAPFAGFDGPRGRQIPVPGVFGAHDPFDHPFFTDPFGLPGRGPLMNTPLPGVHMGRSPVMPAPMPHFPIDESPFNHAHLPNFHFGGHPFMNTGPTNFPIGNNPFSSSPLPFGFGTEISAMNVQAPEFIDVKSSQMNRSKGPIIEELNSDDEKEMDDQEEKNRSKGPIIEELSSDDEKEIDDHEEKNDNPGKHDRQRKEAYAEDQEYQTDGMNKRQMLFCNKSNSVNNAQLSGSHSSQSFTFHSSTVMHGGSNETYYTKSRTLRRGTDGLMIDESKEADFTTGRAAHRLSRAINDKGHTVARNLQSDGNVDTMQILHNLEKDDLASFEQTWNQRSSKSSPGWSEGLYMQNGSESSREGVLQRRAIQALPSTENSHILGGTQPGISSRHGNSGFHNPGRMDVNNGGGGNSFGGGF >KZN06453 pep chromosome:ASM162521v1:2:33034900:33035157:1 gene:DCAR_007290 transcript:KZN06453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQSAFVLRSIRAVLIIGMILLGGMATKGDSMKVKSMEFFSSIQSELPRGPVPPSDPSPCHNRVKLTHEGSQYSYGHAHFIMCP >KZN06916 pep chromosome:ASM162521v1:2:36857321:36859598:1 gene:DCAR_007753 transcript:KZN06916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSGYQEWESDPLFSAAEVVQDSADRMESVYRILLHEQSLVQETHHPDQRLLASLQSHRRQLATSLETVKWQLEDFEREVALAGATSDKSQRKQIAISRHKQFIGAIAGQISQVSQSLEDTSLVRNEWADLDEQDRDGFAMFLSGGNSVQDVAHHNIEDRSMMTMFLDPSSSTSFNNEIVEQKPGEYVSLDMSAFEQSENVVLRNVASQYNVQIGSEVSEHNEKEDWVQEAKKADSKNNSHGNKLGVFYNKIKTLGSFRNVLSAYGTRGYRKFTKKWEDAEEQGHSWNDIFHATQGMQRQSISLRFAIAWSSVQRLWLELFAAVMYLFWRIRDWWRKYQRPRIT >KZN05704 pep chromosome:ASM162521v1:2:26471410:26472201:-1 gene:DCAR_006541 transcript:KZN05704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGFQQSESERFLKMKAFNLAFTLAHKSCSVTTFPDSLTLHYLPRINGTPLEVNHARIKPDSPAFLTLHRVTSPPGQVCFASREKVKARQGARFEVFFGDVRVLKGFFRKDWDDTWKMECGCGLEGTSAGRILQEIVKRAEVSVAAEGGVVMNETVEIVAKRRRRRLLKGGALEEIPEETEYCNEFGDEESCSSSSCSCCEEVEESESESECCGDEEVAAEETEGEGSWAVDVGIWVMCLGVGLLVSRASSKRLLRRTAAFL >KZN07011 pep chromosome:ASM162521v1:2:37596506:37597588:-1 gene:DCAR_007848 transcript:KZN07011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIIIAGLTKADTSNYETFMPFGIHGVLRASAMLFFAYVGFDGVSTLAEEVKNPGRDIPIGLIGAMLVVITTYCLVAATLCLMQPYSQVDVDAPYTIAFEAAGLNWAKYLVAFGALKGMTTVLLSNIIGQARYFTHIARTHLAPPFLAVINEKTRTPMNATIIMTVANSLVALFTGLDILANLLSISTLFIFSQVSIALLVRRYYVSGETSICDRNKLIAFLTLILASAIGLAIFWVHGTSFVGYTAMAAIWFLSTLGLKLSVKEARKPRLWGVPFIPWLPSASVAINIFIMGSIDRQSFIRFSTWTGLLLVYYFFIGLHVTYDAAREAKKDPNAAQAANVEAGISSSSAPTAEVTDRA >KZN06226 pep chromosome:ASM162521v1:2:31119168:31122201:-1 gene:DCAR_007063 transcript:KZN06226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLSIAALQLSEVFVSSLVHMLYGFYIISTALAGDFSQALNEWIYKPYSNVREVTIDDSKILTSAVDLPPIVLVHGIFGFGKGKLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRVYGKGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGHESTSENWVLSITSLSGAFNGTTRSYLDGMQPEDGRSLKPLSLLQGCRVGVIIYDWIDIPWLKSYYNFGFDHYNISWKKIGIRGLVDCLLGNSGPFASGDWILPDLTLQGSLRMNIHLSTFPDTYYFSYATKRTTKVMGVTIPSGILGIHPLLFIRVLQMSQWRHPPDVSPPFKGYRDEDWWENDGALNTISMTHPRYPVEHPSSLVINDSECQPLQPGIWYYKIVEGDHILFIVNRERAGVQFDVIYDSIFERCRKHAFRRTPTLPNHAQH >KZN05171 pep chromosome:ASM162521v1:2:20810271:20810450:1 gene:DCAR_006008 transcript:KZN05171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCLRLVGADFTTWAEIPSEYWAGVVDPGAEIPTIPEPKMILGSETPDYGGNPSLSAI >KZN04910 pep chromosome:ASM162521v1:2:17866936:17868869:1 gene:DCAR_005747 transcript:KZN04910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVELYKDGVPDVVNEFIDACQMGQLKGMQFHRVIKNFVIQGGDFDNEITEEWTSKGKHYDQLDTSVKHEAFMICTSKGKRDKEGFELFITTAPIPDLNKKLHVFGRVIKGEDVVQEIEEVDTDEHFRPKSWIGITDVTLERKI >KZN04418 pep chromosome:ASM162521v1:2:10479083:10480121:-1 gene:DCAR_005255 transcript:KZN04418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFNNFTEEQALMAGIQSACIYAQEHDLQVTHIETSHLDVFELIRLQEHVPIPEEQLEAFHLFNTVHANHYVEGETDRRISWIPEHMNEVRRQWETYSSLLDRDMGMIIANPEVELLPNLGMDEVVDGPPPPANHPKRRISSSSFVDDETGMENAFLDIGFLHGKEDSLLSWAFKTPSCEQKPPVFKVSPFKSAAVMFGDRDKGKAKMYEDYAFYDDGHLSKRAIEMLDSGALLHYSDAFGEKVLDLETHVANGFFAQDILHYAVLDTLGMFESMLEDKHRLVANIMSSKKMKLMPVDSVLTLMGLDEDAPQPSNKRTRRASSV >KZN05349 pep chromosome:ASM162521v1:2:22957528:22958580:-1 gene:DCAR_006186 transcript:KZN05349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTPTPLIPTLTLFISITIIFFLFNPSLLPTTHRSSPPIPLSDELSDLSLFSRATRRAAAKSRLGTTNQNPKIAFLFLTNTDLYFAPLWQIFFDNYSALYNIYIHVDPTVKITPPGGVFQGRVIKDLHRTYRGTATLISAARRLLATAILDDSSNFYFALVSQQCVPLHSFMFVYNALFRQNVDSVSLDRLSFIEILSNESILPDRYNARGKGVMVPEVPFDKFRVGSQFFVLTRRHSLMVLRDRKLWRKFKIPCINVHSCYPEEHYFSTMLSMLDPKGCSFYTLTRVNWTDSVNGHPHTYYPPEVSAELIYSLRESNSSYSYLFARKFSPDSLNTLLDLSEKVIFKD >KZN07614 pep chromosome:ASM162521v1:2:42201199:42201510:1 gene:DCAR_008451 transcript:KZN07614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGIEITSDLRWHATLLELTRFLTKEKKMHNSWSAMMTPAASALTSNKDRFSKEFVSFLGFCFADFKKQPTPMQALDHPFLNDTITEDEMITCLVDDGHIDT >KZN04769 pep chromosome:ASM162521v1:2:15525196:15526923:-1 gene:DCAR_005606 transcript:KZN04769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGAPAAETTASSREGSAKSMVSDQISQAVQSTSNLLQLMLQSSPSQAPSLSLSLSLVRAHTYSRIFWLAHLISLPRNLLAKTSAIKNTEQVMEQLPRVISTLDAYMDNGLQSVPHLKTVTQLLENMESSQLKPLYRHSLQDPELTDHPS >KZN06840 pep chromosome:ASM162521v1:2:36234357:36256502:1 gene:DCAR_007677 transcript:KZN06840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRVRSDRKPIYNLDDSEDDSDVAQGKSKNAKTHEKLVRADVKVDLCQSCDGEGDLLVCESCTYAYHPKCLIPPLKAPFPSGWRCPECVSPLNDIDKILDCEMRPAAAADSDAADLGSKQILVKQYLVKWKGLSYLHCTWVPEKDFLKAFKANPRLRVKLNNFQRQMSSTSSEDEFVAIRPEWTTVDRIVARRGADDDKEYLVKWKELSYDECSWEFQSDISSFQSEIDKFNKIRSRYGKETLKKTKSSRDTTLRGKQKEFQQYEKSPEFLSGGSLHPYQLEGLNFLRFSYYKQTHVILADEMGLGKTIQSIAFLASLFKENISPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARSVIREYEFYFPKKLIKNKKKKPSQSSAESKQARIKFDVLLTSYEMINLDSASLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYSTRHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMKDLPPKRELILRVDLSSKQKEYYKAILTRNYQLLTRRGGAQISLINVVMELRKLCCHAFMLEGVEPQESSEFNKQLLECSGKLHLMDKMMVKLREQGHRVLIYTQFQHMLDLLEDYCSYKNWQYERIDGSVAGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIFRLITRGTIEERMMQLTKKKMVLEHLVVGKLKTKNINQEELDDIIKYGSKELFADENDEAGKSRQIHYDDAAIDRLLDRDQVVDEEDNMDEEEDGFLKAFKVANFEYIDEAEAKVKEEAQILDADIKATVNNSERENYWEELLKDRFEEHKVEEFNAMGKGKRSRKQMVSVDDDDLAGLEDISSNGEDDNYEAEHTDDGTDSEMVEAEARPSKKKKSRVDSGPPPLMEGEGKSFRVLGFSAAQRAAFVQILMRFGAGEYDWAEFTPRLKQKTFEEVQAYGRLFLAHIAEDINNSPTFSDGVPKEGLRIQDVLVRIAILSLMKDKIKRSTGLHGASLFSEDIVRQCPGLRCGRFWKEEHDLLLLRAVLKHGYGRWQAIVDDKEVRVQELICHELNIPYVSLPVFGAQAQVPEVRAQEQGVSIEGSQAQGTNISTLETMAYDSKMQVGGNGLGTNATKETITNRTQVFQDSSLLSNFREMQRKNVEFIKKRVQLLERALSAEYQKEVYADENTSEVPAGDMETESVVADITDPNVKEDMADANVKEDIADPNVKENNSDLYECLPRLDVISPEEIAAAACDDNKQRMDMAQLYNKMCSVLDHSVHDCVKAHLTSKPVGPELRQNLLPLESIYQSICQNLRSGQQSISGAESATLERDNLSSVEGHISSSPQANEHDHRAGIETEAKVTAEVQPITESVEEKTSPVCDIQTTDFCSLERKPASTSGTGGNVEMEEAEHTVDSGNAASGSSEKKGPPVIYLDD >KZN04335 pep chromosome:ASM162521v1:2:8419145:8420048:1 gene:DCAR_005172 transcript:KZN04335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKENRSPRKSKVVLGSDFTEEYFKKMGTIDFGSMKENMFPSRLTSLSSSKSKPCDNTLSMRRSVLSPICPNTLLSGSSRTPLHFQSELKNKGKGLKLSGKKRTVEQSPNCEQSLLF >KZN05407 pep chromosome:ASM162521v1:2:23541469:23541951:1 gene:DCAR_006244 transcript:KZN05407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVNKHILHINVLTGQFSRSLTGIRYLYSNSEDMEELPSTWSNSFCLFLLYDSIKIQNTLKSPPSESKTMKRATILSRNLHSSKKDTKMATRKLFPSRNIHILEKDTKMEQKMDFSTDLEWSLPNYFDPCSC >KZN05968 pep chromosome:ASM162521v1:2:28791327:28794677:1 gene:DCAR_006805 transcript:KZN05968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRLLRFLFLIFTSIFLFFLTFLNLPYSPSTAGCDSSGNHPSPWYCTNRFLLKSPTRHLHKSTRHHSSDVPHHPLDPLTLQEFKKVQSIIKSHALFRNSAYALHSVVLEEPAKQTVLTWQKGDPLPTRKASVVARVNGEAHVLSIDIGAQEVTRRETGHLSGYPMMTIEDMTTATWAPLGNADFNRSILARGVKLADLACLPISSGWYGTSELEEKMRLIKIQCYSMEGTANFYMRPIEGLTVLLDLDTKRVVEIVDKGKSIPIPKSANTDYRFSAQNKQPNLVNPISIEQPKGPSFTVDDHIVKWASWEFHLKPDPRAGVIISRVKVRDPGTGKMRNVMYQGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGIFAAGDGTPYIRENMVCIFESYGGDIGWRHSESPITGMEIREVRPKVTLVVRMAASVANYDYIMDWEFQTDGLIRIKVGLSGILMVKGTSYVNMNEVNQEENLYGTLLSENVIGVIHDHYITYRLDMDVDGSENSFVKVDIKRQETSPGESPRKSYIKAVRNVAKTEKDAQIKLKLYQPSEFHLINPAKMTRVGNPVGYKVVPGGTAASLLDVDDPPQKRGAFTNNQIWVTPYNETEYWAGGLFVYQNKGEDTLAVWDRPIENKDLVMWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILGAPPNDEKDLPICKASAAA >KZN06771 pep chromosome:ASM162521v1:2:35694518:35702491:-1 gene:DCAR_007608 transcript:KZN06771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAAGKSGRGGRGGTGKRKLPSKFRSASRNLPPSGRPSVDASRNNRTTTATTAVASTAPAEETYDLVTGNPLNFAMIIRLTPDLVDEIKRAESQAGSARIKFHANPNYPSGNVIDVGGKEFRFTWSREMGDLCDIYEECQSGEYGNGQLVESGCAWRKLNVQRVLDESTKNHVKMMSEEAERKSKSRKVTILDHGSSSMKTQIKALAAAEATPWKMPFKQKKEPPYKKGTTEPPSGPPKSANKHVLSSTPSKGRISSSPLPSAREQPLVSASPSRTRSLSRAYASIDDNMSIQASSKEKPSSSEKGMPNRVITNAVPDKQAGKGNLGVKPTDLRSMLIVLLRENPRGMSLKALEKSVGQDFPNSGAQIKLIIKKVATYQPPGRYILKSGAEPESFQKPVSQSGSSPENKKQEASRRSDKCDDIHDPVNKASMKTHTQNDEPANLNSEPGEVLTDAEKHKISLQSPDLHAEKEVLDNSGRMVATSSNSQSGSDSSDSECDSGSHSRSRSKSRSLLGSGSGSSSDSETDTSSKSKEGSDEEVDIMTSDDDNVLKDRLQASEPELSASPVLQRPEGLLLQNATNEKKDIHAAEVIEIRENSHGCAQVAKFDAYFLGSVSSDKEGEQHSQVIKPLSDYHAVPQETQVPRGDLYREKDGFRLEQSDGYQRKSEGKSKKRSAEDLFDDTDVCSKKLKGSATRAPIQSEDGYKNEKRPSKNPRDGAVDKKMAPADSHHRKKAELPGKTVEVGSVLNSSLVYPLKDSNISDVGRSAIIDILNLRRESSVLELGELRDPLPEMTPGIKQSDKIGSINQTVRQLDYWNPDISKERPAGKTGVDSVEPSSANLNAGVIGNYKGLYKSGSQGHDEDLSAVQCNPPQLRRVNQAEVVSQLTNLSDVDSNCKQNKALSSQVVGREGYGDAQRKVPGAMAQLLDDVQGRPLSTIESERRKTDSSANLSDIYNDPPILDSRAGGKENRGTFFNTNDLSYYKYEKDKPELKGPIKDQSQYMEYVKEFQEKYDSYFSISKILESERNEFLKFGRDLEAAKENDMIKYCSILEKLRESYRRCGTRHKRLKKVYVVLHRELETLKEMIRDYANRCPKD >KZN05216 pep chromosome:ASM162521v1:2:21316960:21320415:-1 gene:DCAR_006053 transcript:KZN05216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNQVVDEVGRAADCNGDCNKSDGAVSPTYVELWHACAGPLITLPKKGNVVVYFPQGHLETSPFPHTQMPNFDLRPQIFCRVMDVLLLANKESDEVYTQLSLLPVPELLGMNLEGKQNDDLWVDKEDEEGAGLMPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFAPLDYREQRPSQELVAKDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVSQKNLISGDAVLFLRGESGELRLGIRRAARPKNGLPDQVTGNQSSSYNILSSVANAVSTKSVFHLFYSPRATHAEFVVPQQKYMKSIKSQILVGTRFKMKCDVDDAPERRFSGLVTGIGDLDPYKWPDSKWRCLKIMIFDISSNSK >KZN06089 pep chromosome:ASM162521v1:2:30022194:30024085:1 gene:DCAR_006926 transcript:KZN06089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGTQEAQIESSEDEERELENLESDVTEMATKIAECRDTLPNQLTSTLASLLTAQRPVLSSSFDFGSDPGPSGHSASGDTSRHAELGASSVQAEEEKEYAEKIQLLKQKLSNNASAMPNVLKRMEDCISRIDKLDSYNGDIHPAFKRKRSR >KZN06600 pep chromosome:ASM162521v1:2:34263028:34264657:-1 gene:DCAR_007437 transcript:KZN06600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEMLFWRIMTRHLHTPMPLPPLHPDFTCVVTGCTNGIGHKIARQLAEAGTCVVMAVQNTTRANVLTEKWQFDWSKRNLPLNIELNLLSLDSVARFAEAWNALSVLLHVLINNAGIFSSGGPPSRIVYVSSTILGFVGPEDMNVSTGKKKYTNLVGYSGSKLLQVMFSSIPNNKLPSETGINIVYASPEVVQTNFVSFLNIYCFYL >KZN07424 pep chromosome:ASM162521v1:2:40750034:40753695:1 gene:DCAR_008261 transcript:KZN07424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLYSKGIFVTFVNTDYNHKRFLKSGGAQALDGLPGFNFESIPDGLHSSDSDVTQDITALCHSIFEKEMLLPFKNLLTQLNTGTNQVTSILSDGFMPFAADAAHSHEVPIILFWTVAACAFMGFLQFKNALERGLVPFKDDSYLTNGCLDTIIDWIPGMGEIRFGDLPSHIRIKDSDDVVFKFVIESTQSGTNATGHVLHTFDDLELKVLNAISSMFKGNCLVIREKVKVRGMDSLTRAAGKQAHVVCIPLPFQSHIKGMLKMAKLLYSKGIFITFVNTEFNHRRLLKSEGAHSLDGLPGFKFETFPDGLDASESDNTQDLRELCALVINNKMAAPLENLLRRLNAGIHQVTSVLSDGFMSWAADVAHSLGIPVVKLWTVAGFGFMGIYHFKSALERGLIPLKGFLMFRN >KZN05836 pep chromosome:ASM162521v1:2:27629726:27633392:-1 gene:DCAR_006673 transcript:KZN05836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRDVEADGWERSDFPIICESCLGDNPYLRMTKADYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDSIPKSDVNREYFAEEHDRRARAGLDYESSYGKVRPNDTILKLQRTTPYYKRNRAHVCSFYIRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGINDPVAMKLLNKAGEMPSLEPPDDESIRTLYVGGLDQRINEQDLRDNFYAHGEIETIKMVLSRACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAAKPEFEVSDEARQAVAHSGLLPRAVISQQQNQVLQPPGFPDQPPAPMHYFNIPPQQPTQPQGRQLYPSMDPQRMGAVIPSQDGASSGSNENRSGSEKQQVQHYPPYSGMPPPQGQFYQPFYPQYGYPQPPYQTNAPQTYQQYPQPPYQPHAPPQAPGGHQAGSHYQPNAPLRPASGHQAGPQQPNAHQAGAQQPNAQPAGPQQPNPPSQTAGDQQAPPSGPAQE >KZN06285 pep chromosome:ASM162521v1:2:31698358:31699306:1 gene:DCAR_007122 transcript:KZN06285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKLLGFWASPFVNRVQIALNLKSISYEYIEQNLRSKSQLLLESNPVHKKVPVLIHGDKNISESVVIVQYIDEAWNDGPSILPSHPYDRANARFWAAYVTDKWFPLVSEYRTTEGAEAKAAVREKILEGLVILEEAFVKFSEGRGYFGGDNIGYIDIVLGSLLGWLRVREVTQGVTFLDKTIAPELAAWADRFTLHPAVADVLPTTEKLIELINGFTKSK >KZN07200 pep chromosome:ASM162521v1:2:39035659:39038387:-1 gene:DCAR_008037 transcript:KZN07200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEESSWIRRTNFSHTIYHRLDSGKFAIDSSSLASHSDRASGLQTRPANGSGGNQIQLNHRTNKPRAASPLPETKLSDTFKEARSDRKRFSTPHPVRRGSGKATPKDSLAKRAPDTNHSPKSLKHFSSMKIYDKLKSKKESAWTKFFDHGGGKVTSVESADEWMVDLSKLFIGLRFAHGAHSQLYHGCYKDESVAVKMIRVPDDIDDGSLGARLEKQFTREVTLLSRLHHQNVIKFKGACRKPPVFCIITEYLSEGSLRAYLHKLEEILPLRKVVSMALDIARGMAFIHSQGVIHRDLKPENILINQDFQLKIADFGIACRDTSCDPLADDSGTYRWMAPEMIKRKSYSRKVDVYSFGLILWEMVAGNIPYEDMTPIQAAFAVVNKNFRPAIPGDCPAAMKALIEQCWSLQPDRRPDFCQVVKVLEQFEASLASDGNLNKLQNLPCQDHKKGILHLIQKIGPVHHNPSPKPKPKFA >KZN07337 pep chromosome:ASM162521v1:2:40119488:40120976:-1 gene:DCAR_008174 transcript:KZN07337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKNPVNYKPEVPEFNMMNVIDSCWRSNPNWASNREALADCAVGFGKSALGGKNGKLYVVVDSSDDAVDPKPGTLRYGVIQPDPLWIIFENDMVIKLENELIVNSYKTIDGRGARVEIAGGPCITLDGVNNVIIHGISIHDCKPGKPGLVRSTTEHLGHRLGCDGDGISIFASANVWVDHCSLARCSDGLIDVTHGSSYVTLSNNYLTEHDKVMLLGHRDDFTADKDMKVTVAFNRFGPDLVQRMPRVRNGYAHVANNRYDQWIMYAIGGSANPTILSEGNYFLAPSDPTNKEVTKRETQEGPDYWKNWKWRSSKDVFMNGAYFSPSGWGSCAPAYSRPQSFTVAPGTMVPLLTADAGVLDCSTSKACK >KZN07341 pep chromosome:ASM162521v1:2:40145543:40146960:-1 gene:DCAR_008178 transcript:KZN07341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFSRKDYISHLPQSIIETILAKVPTRDAVRTSILSKKWRYQWATMTQLVLDEEYMGFSCYRNVSRNKILRFIVRYFLLHDGPIHKFKISTLYSISSTEMDTWLLFLSRKDIKELLLEVWQSRNYWNFQTRNYWIFRTPSCIFSCQKLTRLKLYRVKVEPPLGFQGFPCLKYLNLFGGNVTIEVIENLISGCPLLEKFKFNNEDILALTVCSPNLKHLTVRGIFKYIYLEHTPLLVHLILDFTSLQWEDNVPVRVPVTHNCLKVISLGEINFEEMKQVSYVRQLLLQSPNLQELHIVAGDVKCLNPNAAGMDFWEREFPTDFTFKHLKIVNMDYSCSRIDIAFLIFVLGRSPVLEKMCIKLESFDDISDAELSRLQQASANIQLHLFD >KZN07275 pep chromosome:ASM162521v1:2:39607124:39608488:1 gene:DCAR_008112 transcript:KZN07275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKQSESIAIKSTMVLRPRKLIADREEKVRGKGNKTVGSGMTKKTIRSPKPEKNINATGSTMVLRPRKQTEEKIEVKGKKTVGSGMTRIVDSGIGKIGRKRKVMAYESNSKTAENVVKRVKAMNKNIKETSPTVRRPKPEKTIHTTGRTMVLRPRTPKAKVEMPVKKNEKTKGKMSVEVNKKVGGKKKTVATGKIKIGNAVKIGTYAKRKLAADKGDIKVGRSITHKNNQLVKRKKTDNVVTKSIFPAEIIMEILSWLPVKFFGTPMIVCKQWYALIQDRHFIEKQMSRNFSYTESRVRQGYKKVCSCQGLRLERNTSTKRYCIRNPNTKQFLELPDPPTGKFDIIFRYVPPTCNYKIVLIYDKNNIRCCDLSVGNDELSWRLLKMPTRDYLKRNIKIFSTNLFREVVHCVRVFASGDDMFEEVVSLDLGTEQHKVARRSIQKLGKCLDYKL >KZN07441 pep chromosome:ASM162521v1:2:40878360:40880459:1 gene:DCAR_008278 transcript:KZN07441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLKKIAGLLGLSKDESHESKDEQVNDAHRVVLDEHQTQHLPRKGFSVAVQVPVERAQAGPVLLPTNGRDGGVQGFQWYARRLRIDDEGDVADEFLDEVLPEVKTVSMTEDNKHRSVPTFEVKSSTVPAKVRSQVLTEGRIQHRVEHQGRLMWV >KZN04907 pep chromosome:ASM162521v1:2:17841016:17841399:-1 gene:DCAR_005744 transcript:KZN04907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSAQPILPSLNNLYTRKKSTRKQGVSYASWIRRRHIKISKRSRRTPMKRIRRFSGLEGSRRPGNGVESKVKTLKKLVPGCNDAIGLDGVFRETADYILGLEMRVRVMQVMVKVLSTGVDDENKKY >KZN06240 pep chromosome:ASM162521v1:2:31279104:31283138:-1 gene:DCAR_007077 transcript:KZN06240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTNNKSLPSKTVVEIISSDDESETSLDWSSDDEIEIFSNSIAPFSGHVPKFTTPICESSSSWTKLSNQYLKMGFPSSMAFQAQHVPYLGEDRKHEDPVFNSILTYMALQKLPGDFNQTSYVPHASESARANHVDLFNVNSAPKYEAVNFSHHMGFQYDEAFNTKERCCNLKTPIEDMVDSIQGLDEAGVERQQKKRKVAGPIRSKTKTTRRKPPVIGFGLPRVSSAGISLTVQRDIPPRARGPPYFYFENVMRAPKGTWETISSCLYKIQPEFVDSIHFTAAARKRGYLHNLPINGRFEILPVPPSTIQEALPWTKYWWPEWDKRTKFNCIVMNNGWPNDMDGIARDLNNSGPNPPEHIRKDVLRRCKRYNYVWVQKNKVTNLKPREIEYIMGYPNDHTSLLRNDAKRYECLANAFQVNTVAYHLSVLKNLFPGGIKVLSLFSGIGGAEVALHRLNIPMKLVVSVEISENCQRILQSWWEKSKQQGQLIHISDVKHLTYSELKKLMQKCGGFDLVIGGSPCNNLSGNNRTTRDGLDGEHSSCFFDYCRILELVRWISLNP >KZN06898 pep chromosome:ASM162521v1:2:36742002:36743333:1 gene:DCAR_007735 transcript:KZN06898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSNILLDLEADNPASSSIESKLLFCVNDDLSRQPKVAKSIQPEKKPAIRPLPKSQVLDKLKDFLPVMSEANKKLQLAAMDNTKVFDIESIEDDDSPHIEMDLMLGVADLNTPEAIAAAESAINGNQPASSSVATSESSDSSDEDEVDDNHSESTSDDEHKEQRGSNKHDQGKNDRSLKCPPVNIKRAKSIGTKLYEKMRKKQPNKRPKIVEL >KZN04155 pep chromosome:ASM162521v1:2:2671590:2674304:-1 gene:DCAR_004992 transcript:KZN04155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCNNNGHNARTCPTRGVKLFGVRLTDGSSIRKSASMGNLTHFSGSNPAENFGDTPDHAAGDGYASEDFVPGSGSGRARKKGNPWTEEEHRMFLLGLQKLGKGDWRGISRNYVITRTPTQVASHAQKYFIRQSNMSRRKRRSSLFDIVADESVETPMVSQEFLSADPTQAETQVDTFQEECESMESANTSDTVPTPKPENLQYCYPVVYPAYVPYPVPFWMGSNPGPITTDTHEVLKPTAVHSKAPINLDQLVGMSKLSIGGSIGKGGPSSLSVKLREGSSRQSAFHAKSSPASSGMNSRKSPIHAI >KZN05221 pep chromosome:ASM162521v1:2:21380132:21383508:1 gene:DCAR_006058 transcript:KZN05221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKCGAIAGPLGPGLVRPATEEFTKEAVTALRAGKVIAVPTDTLYGFACDACSLGAVNRIYEIKGRQHTSPLAICVGDVQDIGKFAVTDHLPSRLLDNLLPGPVTVVLRRGDSSILEKSLNPGLNSIGVRVPDSNFIRLIARGSGSALALTSANLSGKPSSVDIKDFQNLWEHCAYVYDGGVLPSGRAGSTVVDLTSIGKYKILRPGSAAVETIEVLQRHSLVEDTIAT >KZN05644 pep chromosome:ASM162521v1:2:25931143:25937765:1 gene:DCAR_006481 transcript:KZN05644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFLCPGISKKKPKGQQATKNHQDQISSSSEKAKVNALVDGNKDALKEGGSGHIAAHTFTFRELAAATRNFRPDCLIGEGGFGRVYKGRLESTNQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHSNLVNLIGYCADGDQRLLVYEYMTLGSLEDHLHDLPPDRRQLDWSTRMKIAAGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKAGGEHNLVAWARPLFKDRRKFSQMADPVLQGQYPVRGLYQALAVAAMCVQEQPTLRPLIADVVTALSYLASQKFDPECQAVRNSRSGSSTPRNRSLLDLALQVYSSKEWISWPCNHDLELSVCMPVLTILHIVR >KZN06821 pep chromosome:ASM162521v1:2:36092969:36103197:1 gene:DCAR_007658 transcript:KZN06821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASDRFFCDIVGLCKSWITWGSEPTNVSRDFWMPDHICRVCYDCDNQFTLFNRRHHCRLCGRVFCGGCTANWVPSSSSESKTSIEEWDKIRVCNYCFKQWEQGLAATANNEIQVTSLDLSSSPSATSFISTKSNRTVDSSNTTLISVQQSVDSYILNTWPSEISMQKSAEMEKTAEAEGVISPRRTNKCATDGIESSPKPLEHCMNRSDDDDHEEFGVHSRRSKTGDYPTVGEYYSQIQFDDVGRNYISNKVHPDGEDTKTKTLSRSSLSNSFDYRDSEGAQEVKKGEHDNVDGCEAPSSLYAAESVEYEPVDFENNGILWLPPEPEDEEDEKEAFLYDDDDGGGDATGEWGYFRSSSSFGSGEFRNRQKSNEEHKKVMKNVVDGHFRALVAQLLQVENLPMGEVDEKENWLEIISSLSWEAASILKPDMSISAGMDPGGYVKIKCLASGYRNESMVVKGVVCKKNVANRRMTSKIEKPRLLILGGALEYQRVPNLLSSFETLLQQEKDHLKMAVAKIDAHKPDVLLVEKSVTRYAQEYLLEKNISVVLNVKRPLLERIARCTGGQIVSSIDHLSSLKLGYCDNFHVDKFLEEHGTAEHSAKKLVKTLMYFEGCPKPFGCTILLRGASVDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLNTPLNVALPDKSSSIDRSISTIPGFSAVSNEKTEGPQSCDAPQRSNSVPNSSPVPQSTMPTVSNDQSSQYTSLTSNCATSAASLSTISCAPVITNSIACDPCLSHASAENTIMDLKDSSEGKSSVANNDSLIVGDCHPDNSFPLQEVVKHGFEVNDSPSESTVDENQNSFPFQRDVKNVLEEQNSLKEEFPASPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDNLFDQSYRCGSCEMPSEAHVHCYTHRQGTLTISVKKLPEYPLPGEKEGKIWMWHRCLRCPRTNGFPPATPRIVMSDAAWGLSFGKFLELSFSNHAAASRVANCGHSLHRDCLRFYGYANMVACFRYASIDVHSVYLPPSKLDFNFDNQYWIQRELDEVIDRAELLFSEVLNSLRQILERKPGTGSLNSSMKNHELRRCLVDLEGMVHKEKSEFEEIVQKFSDKNSQKRETAIDIFEINRLRRQLLFQSYMWDHRLVYAASLDAKSFQNDMCDSTTEDKEGFMFANEKLVDMNKPVISHKDLVDCESNLLDSKLDQIRNQEDRCLSPQETETDKQGTDKCLDLSREKESEAKLSSINICDDSSSAVSGDVLYGQVSIIPSLSDTLDAAWTGNNHPGLGTPTNHLDISGTRSVAEKSNFKEQAEDRSGSRISRMLPLASSKNSDNLEDSVSWLGLPFVNFYRSLSKNILGSAQKLGTLNEYNPVYVSSFRESEIQNGARLLMPVGANDTVIPVYDDEPTSIISYALLSSDYAAQLSDGPRQRDGAESTHMQSFDSSSFLSFYSADETLESYRSLGSADEGILSLSGSRSSLVLDPLSYTKAFHARVSFGDDGPLGKVKYRVTCYYAKRFEGLRKLCCPSEIDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIQFGPEYFKYLSESIVTRSPTCLAKVTSKHLRGGKEIKMDVLVMENLLFGRSLARLYDLKGSTRSRYNPDCSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKTTGILGGPKNASPTVISPKQYKKRFRKAMTMYFLMVPDQWSPPTIIPSRSQSDLGEETTQGATYAE >KZN07391 pep chromosome:ASM162521v1:2:40529886:40534494:-1 gene:DCAR_008228 transcript:KZN07391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVRNMMTRSGGDALRVSSSCGMGSQNDAFARLGDVSSRHNFFTKQKVDRFHTADLEWIDKIKECPIYYPSKEEFDDPLIYLQKIAPEASKFGICKIVSPVNASVPAGVVLMKENIGFKFTTKVQPLRLAEWDTDDRVTFFQSGRNYTFREFERMANKVFARRYCSAGYLPSTYMEREFWNEIACGKTESVEYACDVDGSAFSSSPSDQLGKSKWNLKNLSRLPKSILRLLETIIPGVTEPMLYIGMLFSMFAWHVEDHYLYSMNYHHCGAAKTWYGVPGHAALNFEKVVRENVYARDILSDKGEDAAFDVLLGKTTMFPPNVLLEQGVPVYKAVQKPGEYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFSLGSIASRRYALLNRMPLLPHEELLCKEAMFLYKSSEIEDADYSSADLISNRTIKASFVTLMRFQHRARWCLMESRACGAVSSNPYGTILCSICKRDCFLAYLSCNCDHPHSVCLRHNVKSLDIPCSGNQTLFVREDISDMEAAAKKFEQEEIVILEAQRHCRKGDDMYLLFKLFPRAEEKGYTPYCKLKFDFFVEYAGADDYSPDPVAISSQLSTSCRAVQDRRKVLNISLSRASSAASTLCTLKDPTKSFSVAQYVQGNATYTLGKLVCSSASSHEVPDYIHDPSVSLLTNESSVSRQQSNHVFEVKPTDNQDDDDSDSEIFRVKRRSSAKMANIDLNVAASHFERGLKRLKKHQPNGKCGQATSFSCSTTDDSDHNSCSSTIRSKEAHNSTSRSRSSDGTPIPISIRYKKLSHDEAVSKHIGNQKDDRFLPEMIKRARNPPPLEMGPKRLKIKGPSFMGLDERLR >KZN07669 pep chromosome:ASM162521v1:2:42573888:42576287:-1 gene:DCAR_008506 transcript:KZN07669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQTLISQSPLDPPPTQSNRSESPPIYDHQLKQEQNPQPTESNSSEFPPSHGQYPVQVKQEHATESNSSELPPSHGEIPIKVKQEQTTESNSSELPASHEQKPIEVKQEQGVSENNGSGENNSNRRRRRSRWDPQPEDGSGETKKRKSRWADDEPKPIIQLPDFMKDFAQGIDTDPEIQLLNARLLEISKILQAGVQLDDRPEGARSPSPEPVYDNLGIRINTREFRARERLNKERQEIIAQIIKRNPAFKPPADYRPPKLHKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSIKEGRFGQKRDLKFDPAENEDLHVLVEADNEKSLEEAAGMVEKLLRPVDEGLNEHKRQQLRELAALNGTIKDEEFCRLCGEAGHRQYACPSRLTTFKSEVLCKNCGDGGHPTIDCPMKGAAGKKMDDEYKNFLAELGGTMPESSTTTKESTPLAIMGSSNTGSNPPWASSNGSGNVGASLHPGLGSTVAKIGKEIDDTNLYIGYLPPTMDDDELIRLFQPYGDIVMAKVIKDRVSGLSKGYGFVKYSDVAQANQATASMNGQRVDGRVIAVRVAGKPPPPVVPPGPPAPPVSAYPGQNQGYNGYPPPQMQSGAPPGTGPPGTYIVAPVPWGPPPPPAYAAYPPPPPGSNVYSSYPPPPMPPYGMQYPPVTQVAVSGAPAQTFSPAESQQSYSAGMQYPSSAPASNVIYGNSPVGMPPNSQPPYPASSVGYPSYYTGIPPPPDATQSLVEQQSLASAPWAANPPPPPPPPAMPSTEPTPPDSEYEKFMAEMK >KZN04190 pep chromosome:ASM162521v1:2:3549450:3553758:1 gene:DCAR_005027 transcript:KZN04190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHQWIKSIFSSHIDPETDEELKGTKIETEGKFQKILKLVKDENEDSNKEPLVELIEDFHKQYQLLIKSSKNGRKQSNIEKLTGGIRHELEIANLEIVDLKSKLGAVSEESEALNSKYQIASQKVQESEEEIKRLVAEGEKLKHENADILTDNKDLIVKLQCASEMEAQLNHQLEELKNTISILTSEKETAVSAIEEERKVSDDLRAVTAQVRDEKEVLQLELDAAKGEVYVTKDNLQSAQQEIENLNQIQKVINEEKNSLSSEVVTLSKEIEQADHKIQDLVTESSQLQEKLNSRETELLTLTEMHEAHLSETDSRTRDLQLNLETLLSDKTKLENQKDDELSVLLLKLEENERDFLSQIQHLTAEKSALLEEMKQLQNKIHEIVTESSLMREKMDGRETELLTHKETHEAYVHEADARIRDLEQKLEALHLQITEMEKEKDDQLSALLVKLEDKERDLLSQTEHLTTEKSMLSEEIKQLQDKIQELVTESSKLKEIMDNKERDFLTLKGIHESSEDEATNRIRNLELELETLHSQKNEMEKQKDHELSLLLTRLEDKEKDALVQLELLKEDINQLQLRVETLQTQKSELEELLVQKSNEASRQFDELMIQINEKQCELESLQSEIHESDAQLGKKAIETSEYLILMESLKEELSRKTAEQTSEIDQLTKENEDLKDKISGLEKTLSEREDQSSSLEKKLEEASIEASALIDASAIEMNKLQQELESLHAENLKLQVENEGRVKLGKDLELQVDMVQTKIKELEDQLLEQTNEIDQLRKENDDLKDKISGLEKTSSEREDQYSALEKKLEEASIEASALLDASAIEINKLQQDLESLHAEKSQLELLAEKDKQESAKSLTEVEDQNIELIRKIKEQECMLKEQAVAFNELMEVRKQLEVSLIESKENLQVSERKIEEMTKEFHSSMELNARKFNELEEILKEVERELEIKRDEMEESIEDLKRELEMKGDEVTTLTENVRNIEVKLRLSNQKLRITEQVLSEKENEHTTKEEKYQKDNKVLHERIIVLSGVLSAYKEDQFKMKAVFTEQLNQTFTGLDSLTRKLEEDSGHIETCVFEILNELQVAKSWVMDKNNNEENQKKKITNLIFELNSMRQQESLLREQIENLVKTVKQLEVKMENSNTEKDEKIGAMEKRLNEKDAWISGLGEEKKEAIRQLCIWNDYHRERCVYLEKYITNRIKGRRQDQQFWWLILLVIQETMEQYVYHHTYLVCIGN >KZN06609 pep chromosome:ASM162521v1:2:34351639:34353727:1 gene:DCAR_007446 transcript:KZN06609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDKTPQTTRRTTRLSASSPSLTNAVSTTSHSLTLRDLVFGGEILNADDLFSSLPGRRGVILELIRLLGPLNSKMIPLFLYGGISTGKTTTVLQIFRHLKRPFVYVSCRTCYSPRILFETILNQLLLHRKNEGNGYSSAKRCEKPADFVNHLRDALVNVINGLKEKTERSSSKKSVKWVKGNMIYLIIDNLEFVRDWDKSLSILPFLYKLYDLLKMPELGLIFISSASPDTYYSDTGYEEPIPLYFSDYTEEDLRQIFLRNQLNPKLYASFLDVVLKPFSRVTRRVDEISTAFSQLFKIYCEPLQDQTVVPNEEMKRRLFSHLQPHIAPSLNETFRVPSLCSENTANKDTFKRKVSGNKLGVPDISEEIDFHMSVCAKYLLISAFLASRNPATLDASLFDSTGGAATRKRKRKASEKSMEQKDIADQELLMKGPGTFPLERLLAIFQCITSVAEYSLEEEELGNGGSGGGAGDVGLMSDVLLQLSSLCSANFISKGSSCPLEGSTRYRCTVDENMVLKVARSMKFPLSKYLYRN >KZN05180 pep chromosome:ASM162521v1:2:20876935:20877384:-1 gene:DCAR_006017 transcript:KZN05180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGLSLIVLEERSTDHFMLDIYSLEGDEGCGAWIKMRSMGPIDFQKRQSSVSVLQVFKYGGEIVFSVYGLISCYDGNKTNGVPYYHTNTAAVKQLEGTGFSYDGYISCFRYMPTLVFIQGMKSVHSTTQSRTVRGYWTPRRLISSLRN >KZN06098 pep chromosome:ASM162521v1:2:30089149:30089958:-1 gene:DCAR_006935 transcript:KZN06098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEDHSISATSRYSHRIVSARTYQAVLSFKKSQAQSCSESTSSDTRSDLEDSLAGLWLKKYSRRRIPVGIYFQAELPEWTEKASDSDLKRVGTRIWPQKKGVNRNCLIERDPTGYGRRDLCGCEIKGSFECIRFHVSEKRIRLERELGVAFYQWHVDKIGEKVALSWNKAEESNFEAIIRSNPPSQGKCFWEEISKFFCYKKRVQLVSYYFNVFLLRRRGLQNRSIPIEISSDDDDLDFETTTNCSGQTVVRSPKPSYCSPKKTHLNFR >KZN05300 pep chromosome:ASM162521v1:2:22348334:22355287:-1 gene:DCAR_006137 transcript:KZN05300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEASFCHVFLSFKGETRNKFTCFVYEALKAEGFIAFMDKSDICVGDELNSTIKEGIRSSMSAIIIFSQYYAESTWCLDELVLILERYKVSRYFILPIFYEVEIHDIKHQLGNYSIALEKHRARHNHKVDKWREALVEVGNIFGEHVEGLQSTFIQNTVKLFRERLAARFPECRQPVPKGQVLQGTSSSPSLSHESSAAKIILYTTTRANNGESVRKILESANVVYEVRNCSDEPMYVSELKELLGKKKVRFPTVIVNGKDLCGEEEEEGFHNIEIRQKLKKRLINGAMAAGTVDGSEQWGYVEVRPKAHMFWWYYKSPQRTEDPNNPWPIILWLQGGPGASGVGIGNFQEVGPLDTFLKPRNSTWLRKADLLFGDNPVGTGYSFVEDDASFAKTDEEAAADLITLLISLFNSDEVLQKSPLYVVAESYGGKWAVLLGLSALKAIEAETLKLNLGGIVLGDTWLSPEDFVFSWAPLLKDVSRLDNKGVEKANNLTQNIKQQIDQGEYEAATNSYFELEEVIDAYSNSVDFYNFLLDSAFDPLSTTTVELSQKVKSKRNSRYLASLRSVPGGEADLNTLMNGVLKEKLKIIPEDVQWGGQAGSVFMALAEEFMKPRINEVDELLAKGVNVTIYSGQVDLICSTKGTEAWVAKLKWEGIQTFLSMNRSPLYCGGEKGTKGFTKSLRNLHFYWILNAGHYVPLDQPCVSLDMLDNIMHY >KZN06234 pep chromosome:ASM162521v1:2:31223365:31227462:-1 gene:DCAR_007071 transcript:KZN06234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFVFLCGVGLLVLILLQGSSATLSPSGVNYEVVALMAIKSDINDPHNVLDNWDMTSVDPCSWRMVTCSDGSVSALGLPSKSLSGTLSSGIGNLTNLQSLLMQNNAISGSIPASVGKLEKLQTLDLSSNKLHGEIPSSFGDLGNLNYLRLNNNSLGGTIPESLSRVGGLTLVDLSFNNFSGSLPKLSARTFKINGNPLLCAENSIINCSVIYPEPVSLQPDDKTESGKKDHRHIAIALGTSIGSTFLIIIIIGSLVWWRYRHNQQIFFDVNDQYDPDVCLGHMRSYAFKELRTATNHFSSKNILGSGGFGIVYKGCLNDGTMIAVKRLKEYNTLGGEKQFQTEVELISLALHRNLLRLLGFCTTENERLLVYPYMANGSVASRLRDHARGTPVLDWSRRKRIALGTARGLFYLHEHCDPRIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGQKALDFGGAANQKVVMLDWVKKLRQEGKLELIIDKDLKKNYDKIELEEIVQVALLCTQFNPSNRPKMSEVLRMLEGDGLAEKWEASQRDETPRYRNSETPKIYENPERYSDFIEESSLVVEAMELSGPR >KZN06153 pep chromosome:ASM162521v1:2:30588942:30589992:1 gene:DCAR_006990 transcript:KZN06153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQSYCFSPPCYPLILNKQPPSIFTISSNRYLAPRAVHYYKPNGIYSPNLHHHNKSSSKATESPLALALVMDAQRFQHDTESETESDTEFEAEVEHKQQSRQPVPCQLYVCNLPSAFDISNLHQLFNPFGTVQSVEVTRDPQTGLSRGCGYVTMSSIHEAKYAISTLDGSDVGGRELRVMFAVDVETGRRNVDALNSTPKTDMVFESPYKLYAGNLTWSVKPEELRNLFCQFGTVVSARVMRDRKSGKSRVYGFVSFSSPDELEAAMALNKTVQ >KZN07205 pep chromosome:ASM162521v1:2:39093844:39094925:1 gene:DCAR_008042 transcript:KZN07205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVSFAIYVGLLSLGYVVATLFFAVVEISGNTIILSFFCQDTEKHQEKARYKTPKGVTETLYSTQRSSCHSAWLH >KZN05143 pep chromosome:ASM162521v1:2:20551751:20552209:-1 gene:DCAR_005980 transcript:KZN05143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFGLYNFRSLFV >KZN05353 pep chromosome:ASM162521v1:2:22992937:22996396:-1 gene:DCAR_006190 transcript:KZN05353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEITISSERVCYLHCTFCNTVLAHQRRDNVFLSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLTRDSNKQAKLDHAMTSGEAQRSRPSFY >KZN06817 pep chromosome:ASM162521v1:2:36067015:36069005:1 gene:DCAR_007654 transcript:KZN06817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYVFKRRNDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWILARMVLQMRGVINQGPKWEVMVDLFFYREPEEAKEEEEEAALADYADYSAPALGGTDQWSSQIPDAQWNNEGVQAAIPAVPGATGWTADAVPAADGWDVAPEPTMAAAPGLDITQPGVVAPTWE >KZN04800 pep chromosome:ASM162521v1:2:16059349:16061499:-1 gene:DCAR_005637 transcript:KZN04800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHKVLGVVYCILLCVALCSAARALLSVEEGYGGVVGGDHDAFGYGSGGGSGSGGAGYGTEHGPGFGGGGGGGGNGGGGGGGGGAHGGGYGSGGGGGSGGGSGGYGVGEHGPGYGGGGGGGGSGGGGGYGGGGVHGGGYGSGAGGGNGGGNGYGGGVEHGPGYGGGGGGGGNGEGGGYGGGGAGGGGGHGGGNGGGGGEHGGGGYGGGGGNGGGGGYGTGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGAGGEHGGAYGGGGGNGGGGGYGTGGEHGGGYGGGGGAGGGSGGGGYGPGGHGGGGGGGSGGGGGGGYVPGGGYGGGAGSGSGGGYGTGGEHGGGGGHGGGGGGGYGPGGGHGSGGGEGGGAGGGGYAGGGGGGSGGGHGGYYPWP >KZN06175 pep chromosome:ASM162521v1:2:30775660:30779553:1 gene:DCAR_007012 transcript:KZN06175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKQVYPVADYGAQVSQRLVDAAHSNHPKVAFDCLNDPFVDVNFIGTVVLRSRKTEIVLHDHSALEVCFEFEEFKTEVTALFLAANAGNAAFLRKLLSVGACANQKLFRGYALTAAVREGYIGIVEILLKGGASQSACEEALLEASYLGRARAAKLLMEASMIRPHVAVHALVTASCRGFVDFVETLIKCGVDASATARVLLQSSKPSLYANVDCNALVAAIVSRQTAVVELLLKQEQECGSDKPLSICVFFTGVRLQQYDSDGYHFDIGQQVGGAGIDTKVRLGAWSWDTSTGEEFRVGAGLAEPYNALWCAVEYFESSGAILRMLLQHISPDVPHLGRTVIHHGILCGNARAVEVLLSCSANAEFPVKTAERTEFFPLHLAARLGSTNILQHLINAGCYLNSKSEAGETAMMICARYKHVECLKLLALADADFGLLSSAGECVGSIAESVQWTTEFQQVVLEVIQAEKVPYSSNIDVFFPLRYVIQADNTAALRKLLDKSFTDLDQQDKNGFSAAMVAAAGGHVEAFQLLVYAGADLLLLNKNGETAVSLSEANWNRDEFGKIMLEHALGNKKKCSGGFYALHRAARQGDIDSVGMLMTRGYDLNIPDSDGYTPLMLAAKEGHGNICELLITFGARLDFENARHETALILARKKGSGNQAEGILLDELARNLVLGGASVKKYRKKGKRSPHGKSLRMIDTVGVLSWGKSSKRNVICRGAEVGPSSGFRWNRRKKYDADNPGIFRVITTKNKEFHFLCDGGTSMAELWVRGISIVTREAIFGGKQECAQ >KZN07215 pep chromosome:ASM162521v1:2:39169586:39170581:1 gene:DCAR_008052 transcript:KZN07215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAFHFTPASSFIFSRLDNGGRLYPIPGFPENLELVYKKDCLQLFGLRYKTNQLCCKWRVGFSYAASSFNYSVSDYEDCGRMESVGLKMAVSDDASYASTGSFGHGNSDSRGGGANSGNGSGGLDESADWEGVRWFCWRIFQAWMDYSNSTNLSSSEVALSRVGSILVIIEECLKVMAEPNFGKRLFTLLEPVLLPLCVVLALPCFLLSVAVCAGLLAEVLLLGCVVAALILFWWF >KZN06397 pep chromosome:ASM162521v1:2:32541868:32548339:-1 gene:DCAR_007234 transcript:KZN06397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDADQKPSRTTKHHRNSADESERTSKRHKHRHHHHRRHKHHHRSKKHDDIEIKDVADVENASAPVPPLAVVSNGNWQPDYDMEEGEIVDDDRDDTDKKLDCSIQLTEAGGVALDGDGFNVEDQNKKGGSDVNVMGEVDSGAVFKDESMIVGKGGSSLETELCDSVDLQRSSSVEHHVNGGIVKDKNNKYLSSSRCEVLEIRMENRIDENESIGVRKSSQPETPIERRKAFEYSPSQGRYNEKYRRTSRSTSREGDRERSRSQSIIHDVPLLHTHHPDAAYDTERKRTLGSDEETISKHQRDGCVRSRDLSRDKRRERSLSYGSRHGVRVDVHIQETSDCNRDINSDDREVVEEKDRNRERKREDKRERERSREMEKNRERVRSREMEKNRERERSREKNRERETERYRERERKRDRSKERERERRDRSNERERERERIRERARDRRGREGERRDKERNRDREKEDDQDISYRKYYDADNIGNQERRNDHRRQRSDDKEYRDRVRKYENEQIQSYETDHLERSTQKLHRDDVEEEDYKETIFMQPAEQEEEDLSRIKEESRRRRQAILEKYKTQQSQQKHELKPDETVLESAKKPSKSAEETHSGQNESTDIFLNDASFSLGKSPEQMVVPGLKISGTGTLGEGTPKSERSEDMFCDDIFGDSPAGVRKQGKENGLKIERSGLHDNWDDAEGYYSYRFGEVLDGRYEVIAAHGKGVYSNVVRARDLKAGSGDPEEVAIKIIRSNDTMYKAGLEELVILKKLVGSDPEDRRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRTYAKQLFIALKHLKNCGVLHSDIKPDNMLVNEAKNVLKLSDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPMDIWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMIRKGAFTDQHFDQDMNFLATEEDPVTKKAIKRLILNIKPKDISTIIVGSAGEDPKMLANFKDILEKIFVLDPDKRITVSQALSHPFITGK >KZN07158 pep chromosome:ASM162521v1:2:38738790:38739576:-1 gene:DCAR_007995 transcript:KZN07158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIARELLLSLLLLLILSFAQANGLVMENGTMVEMVPLIEPGKTGEMMMMMNDSRRRLGSFQICAPCTCCGGAKNYCVPSPCCYAINCNIPNRPFGFCSFTPKSCNCFGCHL >KZN04148 pep chromosome:ASM162521v1:2:2611021:2613553:1 gene:DCAR_004985 transcript:KZN04148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLGKTTLAQKIYNHSAIKTHFAGLAWVSISRKWQTDRVLQRILICLVPENKNSILNMDSDKLVEYMLQIQGRKKCLIVLDDIWSTDTWDALKAAFPAGKSMSKLMLTSRNAEVAEHVNLDGFIHKPECLSPEQSWELLKLKALHTGNCLDITRDVKRMEELGREMVEHCAGLPLAIVVLGGILVTKPSLIEWEKVYRDSKSSLKAGKGLGEAYQREILSFLVWSYNDLPPQLKPCFLYLSKFGEDKWIKLETLYQLWIAEGMILSSDKREGETMIQVAESYMGELVHRNMVQVRFNDVESSLTKFESCSLHDLMRDMSLIQAKAEDFFEVIHFQSGNEFHLKSTTESRSASTRVVIRLDEEYSSKEANYYFTKKRNEECYRSILLLGKFGARSLPQALGSHVANFRFLKVFSVEHYTNFSGAFSHINFGRAVGSLVYLRYLSLRDTNLLIFPSLQKLVLLQTLKLDTDKIYVLPWLSRDVLVKMDCLRHLYLPKFKVDVLGRKSKLRFNGLSKLETLENFDTTWCEVKDLRDLINLRKLTLTVRGSFDILEEMMKNLDDVASSPSSCLRKSYLEEDPMPILEMLPVLSVLQMREDTYVGKEMVCSATGFPKLTHLILYKFTNLEKWRVEKGSMPILSHLRIQRCNKLEELPEGLVFLKSLQIYQMPQDFNDRLKRQDGEEGPDFHKISHVLRLIIDGQDYI >KZN05467 pep chromosome:ASM162521v1:2:24140160:24141148:-1 gene:DCAR_006304 transcript:KZN05467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKVLEATISSFNGVFDKFKSEAPKNKANFILFLADIDPATSQSWCPDCVRAEPVIYKKLEACSDDVALLKAYVGDRPTWRNPQHPLRNDSQFKLKGVPTLILWEDDVIKGRLEDHEAHVERKIDALLAKN >KZN06643 pep chromosome:ASM162521v1:2:34641165:34643112:1 gene:DCAR_007480 transcript:KZN06643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHISDIKLIRTDTTLDLSQKAEKDYNCGDCANASPPVTNYDVGSTTWVDLRRAPLLSAMEVTNLGHEPSRSPIDPIQVNYNCGDCANASPPVTNYDVGSTTWVDLRRAPLLSAMEVTNLGHEPSRSPIDPIQVSMLQGWQHLHLNTPGQVFVTLSHRCGTFLTIAKAKRIL >KZN05958 pep chromosome:ASM162521v1:2:28718505:28720549:1 gene:DCAR_006795 transcript:KZN05958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWPAHLDEYEKLVIRMNTPRVMVDNAGCANATRVMIDSARKHGILLEAIQILTDLDMSIKKAYISSDGRWFMDVFHVTDLNGNKLTDESVIDYMEQSLGKIQFAGSKSIEGLTALELTGTDRVGLLSEVFAVLSDLQVNVVESKVWTHNGRIASLIYVKDCDSGFPIEDELRIDRIEARLRNVLKGDNDIRSAKTLVSLEVTHTERRLHQMMFADRDYEQKPIIRTNEDSPIVTIQNCFERGYSGVNVHCKDRAKLLFDVVCTLTDMDYVVFHATLNTTGDRAYMEFFIRHADGTPISSDAEKQRVILCLCAAIERRASEGVRLELCADDRPGLLAEVMRTFRENGLNVTRAEISTTCDTARNFFHVTDANGYPADPKIIESVRQKIGFSNLKVKELPLIYHQKPEREDHPPPAGVGGAVLVSIGSLVKRNLYNLGLIRSYS >KZN06357 pep chromosome:ASM162521v1:2:32155987:32158969:1 gene:DCAR_007194 transcript:KZN06357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQSMSSRKRALDCSPIKPTLKKRSTSEVPEDRTPKRVSFSVQTFTQPSKRHHDGIEVSIRRESNDSVDERHNGTAVHSLVLEASISITNNTDNREKSSSLSFIKALPSYSRGVKSQTEFNHDSTESTEGVKSQREFNHDRTKSAEGVKSRTQFNHDGYKSTKGRLQSVLPTLCHQNGPVKDIIWRGIVEVIDCGKIRMSEEMIAHLSAKVSRKAFEFSKKIKSKLQFKLFPRSDLYPKIFQDSCPDRDDIALYFYPTKDERSRKKYSQLVKDMELKDLMMRSQVDGRELLVYPSTILTTDSQIFYFLGSKCFYTLDNIHKSMTELKDSYFMWGVFGREMYEVDFL >KZN05118 pep chromosome:ASM162521v1:2:20281739:20283709:-1 gene:DCAR_005955 transcript:KZN05118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSLLQLVIALSTFISSTRTCDAFSIQEASVSDFQIAFKKNLLTSRELVEFYLGEIRELNPNLKGVIEVNPDALLEAEKADKERQANSSASFPHLHGIPVLLKDLIATKDKLNTTAGSFALLGSVVPRDAAVVLKLRQAGAVILGKASLSEWANFRSLSAPSGWSSRGGQGKNPYVLSADPCGSSSGSAISVAANMVAISLGTETDGSILCPASFNAVVGIKPTVGLTSRSGVIPISPRQDTVGPICRSVADAVYVLETIAGYDSNDANATKVASKYIPSGGYTQFLRINGLVGKRLGIVRNPFFSFINDSEVSLHFEQHFKTLRQRGAILVDDLEVPNISVILNSTLSGEATALLAEFKLSINAYLEDLVISPVRSLADIIAFNQNFSDLEMLDELGQVIFLAAEATNGIGMDETKAILSLEKLSRDGLEKLMRDHKLDAVVTPSPFCAPVLAIGGYPGITVPAAYDSNGVPRGICFGGLKGSEPKLIEIAYGFEQATKIRKPPTFLL >KZN05734 pep chromosome:ASM162521v1:2:26716632:26716787:1 gene:DCAR_006571 transcript:KZN05734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQCIVNCYYSSPCRFYLKLVACDVLSSALGGKQSSADDTSGFHITINTP >KZN06174 pep chromosome:ASM162521v1:2:30769280:30771509:-1 gene:DCAR_007011 transcript:KZN06174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPIKSILSLHRVALSRLHNEHWEIGTRLFSTQSATTASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAIKRGDWHRTKDLVLKGTDWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYKAGYLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFSSFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLIPKNVCEDVLMDFDALKAAQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERADRELQQAAAA >KZN06741 pep chromosome:ASM162521v1:2:35493784:35495007:-1 gene:DCAR_007578 transcript:KZN06741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRCLLYRTHKFKFLSLPENPVFDQHFLNPKPSFLCVFTSYFSTSFLITKTPKKFKKRKKKDSPRTNPIQKDYPRIPHFENLILRDAHFRFLTKTKAYLFKQPEHVLQLDEAGKLHKELGFPRGRKVMRSIQQHPLLFETYRHTDGKMWFGYSDLMESLVDEELCIWDKMERDRVNVVRKLLMMSANKRIPLSKIYHCRLLFGIPEDFRDRVLRYPNYFKIEVENDGKRILELVNWDPSLAVSVLEKEFLVDEDRVKRAFKFPVKHGKLLDLEMDDERRLNLLNTLPLVSPYSDGASLDLWTLEAEKYRVGVIHEFLNLTLEKRASIHHIVEFKEELSLTKHTYQMLLKQPRTFYLAGTEMNWVVFLKECFGEDGKLINQDPQLDFNEKLYKYAEMQEKESGIDHI >KZN07713 pep chromosome:ASM162521v1:2:42985382:42985621:1 gene:DCAR_008550 transcript:KZN07713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRVSSTPELQYSGALREDEGNDGMMNLMVLMQQQLGEKLGVLGYGNRGCSGVYKVGVWKILVEKISHGREPGEGLQE >KZN07832 pep chromosome:ASM162521v1:2:43891075:43895530:-1 gene:DCAR_008669 transcript:KZN07832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQNTSLKLESELGDEKLPLKLIKSEAIPPCPRRSESSIDWLPDFSGYSWVAYASSSLLVISHLPINRPGPAPGPIFRQVFELGGVVSSVCWSPVIPSDGLLAVSLDSSIRLFSFTGSFSWSQTTSLIQSTTVQAIQWTASGDGIISVGIQVVLWRRNNNSSTSWEVAWKFTPDLPQNLVTATWSVEGYFATAPLCSSHHAQPSSSPLINASKLVMVYHSDPISKFVKADLPHPLPVLMIQWRPLPFTQEAGKQHQQRRLILLTCCMDGTVRLWSEIDDARVRKVSKDINDQRTRRLLFCVCSVIEINQAMNGILGSDIHIRWATELNGIFDTSNESDTSQCLPSDYYQSDGVGKCEWVVGFGPQRVVTLWAIHCLDDVAPMRFPRVTLWKRRELDGPEVRSSSLLLSKVVISRIQAFGPPTSCNIVQLLSCNSLAWFQINFQTVISSEEPSISSQTENVLSSCAYGKLNMDGHCGKILQVVMHSHRYELKYGASLDMNGLLLLWSISDISNCIMGLPTLNPTWKLSGKVDLHECSPSYTTLGWLQAVIDEDLILLAAHSGGIDFFIVESSKKEEENLFSHKLCSIPFPSCSCTDGPTSVHAVPLPSTCDENFDSGTTILLAVWKNTFKALSWKIGIHHCEFSGIHGCTFDTGNISENNARIFEGNISGKRYCIIVDPWSSILPEPHNQSQVTTYDVVSRTTTVMSQKQKEYSANELRGSYAAYHLATGCSDGRVRLWRSKSSIRDSHWELVGVLDTHQSPIMAASATECGRKIATITPTSQSNSLTTLHIWEAVYLSFAGRFILEDSVVLDGKVVALSWLTLDNGQFLLGVCLQNKFMVYGQRLFGGHNLLKSERSSNRKIWFCVASSHTHPEIQDFSWGPNASAVVVHNEYFSLFSPWLLLVYDKLHANRLPRGSKHDPQNCIAADKYLLTSVSTDSTCDLEESTEDKQNHYWLRSSYMRNLPNEFLSSIYAENYDSNIKIGFVNILDVAEKFGGSLPLYHPESILANICSGNWKRAQVVVQNLVDYCTSQSVSSQICCLEKSGHIFPLVQLSDYLNGSLSSSSKNNVFQWRGDAASITQSLQLQHDLTHSATSWESNVFDASLVSPSTKSNLVGSPEPIDRLYDLGFLSNIEMMQMHAVIDLLQEVSNSSSAYRSLDKYGRRYSMHILNDFFAP >KZN07360 pep chromosome:ASM162521v1:2:40322138:40325218:1 gene:DCAR_008197 transcript:KZN07360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDARSQETKTTETFSNIRNPNAHPSWYSPQTGVYKSVYKPVSLPNEPVLDVVSFIFSKKHNGVSALVDSSSGLSISYRELLPLVKSVACGLQKMGVKQGDVVMILLPNSIYFPVILLGVMCMGAVVSTMNPLSSFSELKRQTAACHAKVVFSLVDNVENLSALGVSAVAVPERFDYESVPREFSRFYELISSDASLFSRPVIRQEDTAAVMHSSGTTGTSKGVVLTHKNFIAMVELFVRFEASQYDLVSWEMVYLAVLPMFHIYGLSLFVMGLLSLGSKIVVMRKYNADEMPNVIQKYGVTHFPVVPPLLAALTRAAHTDERVKLKGLKQVSCGAASLSAKNIEDFANALPHVDFIQGYGMTESTAVATRGFNTDSRKNYYSVGLLSPNMEAKIVDSLAGVFKGPGSMGELWLRGPSIMKGYLNNLEETMSTMDEEGWLHTGDICYFDKNGYLYLIDRLKEIIKYNGFQIAPAELEAILITHPEVADVAVTAAKDEVTGEIPVAFVVKRHGSGSRISEAELIDYTAKQVAPYKKVRKVVFTNSIPRSAAGKILRRELRNFLPSKI >KZN05117 pep chromosome:ASM162521v1:2:20276708:20278422:1 gene:DCAR_005954 transcript:KZN05117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWATASYWCYRCTRFVRVSSSNDHVICPYCDGGFVEAVDAAPAPESQRRREVYGRRRRNGGDRSPFNPMSHTHKLGKFLENGFLIVKQLGSKCFV >KZN05217 pep chromosome:ASM162521v1:2:21327797:21328976:-1 gene:DCAR_006054 transcript:KZN05217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRSCPLPAPVVADIELYNYNPWDLPNKSLFGEDEWYFFTPRDRKYPNGARPNRTAASGFWKATGTDKPILSASGSRRIGVKKALVFYTGRPPKGVKTDWIMTEYRLPDTTTRPSRSKGSMRLDDWVLCRIRQKGNNSKNSWTVEDDYNSSPPSRFVHTNYMPKIEELPSAYIATNNTPLDSYPFKDCQILKASMLSSQGLPDPVQTMTYNVNNSKFITTSFFDDFLKPKFVNEIGSLSGSNKTVTDVMSENDMGNMMSTNTISFYNQNQAQGNYFYNPTPSDAIMSLLLSHEGY >KZN04095 pep chromosome:ASM162521v1:2:1889513:1890009:-1 gene:DCAR_004932 transcript:KZN04095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKAPTLFSPSSSPQAKKALQTSLAARAVYKRYLNTFKDLEREHNGCALKLQAANNMIESHQTSLENV >KZN05899 pep chromosome:ASM162521v1:2:28164291:28164896:-1 gene:DCAR_006736 transcript:KZN05899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRGCSSSTSAAIEDMKKFMDDQLELFKSETEKMLEQMINQVIELAAEDSAKLKRLKKKAERKPKTAGQAQNERSSQSGPQNVIDSSSRNCSPPLNDVRAGSAIVAVDNDLEAERQKDGSNYSKNEGENEKEIEDAGIEDLSSVKGRRKSRRTIKEPAWMKDFMTV >KZN06324 pep chromosome:ASM162521v1:2:31958838:31960414:1 gene:DCAR_007161 transcript:KZN06324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDLLCTLLYYVQFVISFAACNLFLRSSVMCRSMDCIGLVADKFCILLTREDTVANKLNVPIKFCSKYSNLLHDEMELQLRNGYILPVQIDLVSSEMMGLLCFFKHFDLKGGEYMIFEYFGRFKFNVYIIGSDGSEIRYPEMNNCLPAIVTIGDGGWRFVKFRVEGDGVIDEIDPPVGFIERCGFALPDRIIFVLSNGKKFAGSYNRESGCFSGLSSMFRILGIENLKGVSKFLFTYDGTEVVSICAFDSENYEIVFPGTPLDFDADGYDPIIGKFFRVTAESKHMFDDSFRVDISNEFDDLCLEWPACHCINVYSGSACWRLLIRRREDSHCSTIVDGWQKFRDDLGLKIGHVCVFQCPYKSYDQFRIRVWMNNEDY >KZN04475 pep chromosome:ASM162521v1:2:11477653:11482684:1 gene:DCAR_005312 transcript:KZN04475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNNASNGSPHTTKPAPTPSPLRASKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLRKWIGHPRFELIRHDVTETLLVEVDQIYHLACPASPVFYKHNPVKTIKTNVMGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQAPGTQTRSFCYVSDMVDGLMRLMEGKHTGPINIGNPGEFTMLELAETVKEIVNPKVEIKMVENTPDDPRQRKPIISQANESLGWEPKVKLRDGLPHMVEDFRQRLGLPKN >KZN06888 pep chromosome:ASM162521v1:2:36622763:36626309:-1 gene:DCAR_007725 transcript:KZN06888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDIFGVPADTVYQNPVAGSGNVLSSTLFSFLQSHPHDLTNQNQIMAGFPALSVMQVETPDELHDVHQLTSHAGFDTSNALVLKGPQPGRNVTGNTSICPPGSPSNKEADVRFMAGMHNSTTSLATLLAGRYGNHRNLNDIERLGYSGHHREVPEILGSNEYADLVQSSYGTSMDYNNDVMLGNVSCKWGSHKFAAPQSAGTVPQRIGLEAYQNMGNMDHNGWTSSENNIGSDNTSDSSKYSNGLSLSLLTSQPATLGGTTIVEHCSGMSASGLSCQSLHGKQLGIEQTSFNSKNMSSTFGKNPVQLSDFLSGGRFLSEMQEILAGIARYSLGNSGRSSCPTSAPVPEENFSFSFNLSTGRQKTVTGTIGNDLMTCEQEAAAKKKHLINLLEMVDNRYNQSLDEIHKVISAFHAVTELDPQIHARLALQTISLLYQSLRERISNYILSLGVHVNEGGSEEEERSFETSFIQKQWALQQLRQKDHQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDADKHLLAVKSGLTRSQVSNWFINARVRLWKPMIDEMYSEMNRRKICQNGDEINSQHKNRFNIDSQRFRMI >KZN07549 pep chromosome:ASM162521v1:2:41768891:41769613:-1 gene:DCAR_008386 transcript:KZN07549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSAELQDPRVQYYRCLYWGIVFLILVPPLIWITMSAVDQSSIKFSLEDYYIAGVESNDGSNLNQSIIYFKHNLANDGEETGIYYDNLNLTFSYYTGEGIIVPVGNYTIPGFRQGVKAETDRKDFVVTAQGWQEISNMSVSSDVVVFRVDLATAVRFRHYITGIKSKRLRTMAWCKVEVDRITGKKKSNKAIQLKHMIERHPNCGWIIFAFIIFLLSVFVVPCLVFICFGVVFDYCMDN >KZN05672 pep chromosome:ASM162521v1:2:26198785:26199027:1 gene:DCAR_006509 transcript:KZN05672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSCLQHHKARLHSVSMPSHIIIHVPVVKSFLTSASLQLFSSIMWPDLQDKGRRNLIVLDGCNTDILKVKYDCQPLTST >KZN05852 pep chromosome:ASM162521v1:2:27743393:27746663:-1 gene:DCAR_006689 transcript:KZN05852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAQPTSSLKRRDSMPTRESDQLIITPLGAGSEVGRSCVYMTFKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLFDEQDILRSMDKIEICNFVVWNFTSHVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDFSREEDRHLRAAELPQFSPDICIIESTYGVQLQQSRSVREKRFTDVIHSTVAQGGRVLIPAFALGRAQELLLILDEYWANHPELHNIPIYYASPLAKRCMAVYQTYINSMNERIRNQFATSNPFDFKHISPLKSIDNFDDVGPSVVMASPGGLQSGLSRQLFDKWCGDKKNSCLIPGYVVEGTLAKTIINEPKEVQLMNGLNAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLISLFADRNTKIISPKNCQSVEMYFNSEKMAKTIGKLAEKTPEVGEAVNGLLVKKGFSYQIMASEDLHVFSQLSTANVMQRISIPYTGAFGVIKHRLKQIYESVESSTDDESGVPTLRVHDRVTVKQESEKHVSLHWTADPISDMVSDSVVALVLNASREMPKVVVESEPIKDDVEDEKKAEKIINAILVSLFGDVKLGENGKLVITVDGNVANLDKQSGEVESENAGLKERVRIAYQRIQTAVKPIPLSAS >KZN04780 pep chromosome:ASM162521v1:2:15663887:15664417:-1 gene:DCAR_005617 transcript:KZN04780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMNNTSSRAWIYSCPRCNQTMALVGPILHAPIEPWGAEMRWAETHHENPFSVICGGSYSYAAMPLLPSATPASPAPMALAPVMPVPTYPLPAPIPQYHDVSTSLAPPPPASLNPPPKISLEAPPSIKTGTRFFGVQDFFGPSIAHGEGSSRPIVLDNYNKDEEENALDLDLKL >KZN06838 pep chromosome:ASM162521v1:2:36224548:36227263:1 gene:DCAR_007675 transcript:KZN06838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKLEWEVTSPESRIAGESLIYTAGVVLFILICRFITALRKLYFSNPRIAPGHPIRRSSSNNTASPSSQQLGNSSIISDSDLRTLINNLDGRLTDGTEKWENVIEKSNSRLSYSAKCCKPKDSPLKYMSVTVFEDCSAQLLKDFYMDNSYREQWDNTLIEQNLLQAGDRDGVEIGRLVKKFPLLTAREYVLAWKLWEKEEDTYYCISKVFAES >KZN06235 pep chromosome:ASM162521v1:2:31236584:31237708:-1 gene:DCAR_007072 transcript:KZN06235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKHVEKEEEAPIHGDILEVVLSHVPLTDLVLASHVSHSWNGAVSSSLRYYNHPKPWLLYHTQTSRPPYATTTHAYDPRSDVWIRFEMPSIKYVNVLRSSYSDLLYMLSPWSFAFSVDSLHLTWQHAPPPSVWRTDPIVAVVGRHVVVSGGACGLEDDLHAVEIYDIDSGAWTACDFMPSLLRDSAASTWLSVAVDNHKLFVSEKHSGTTYAFDPVTTNWFGPFEIRPDPKMFYSVIGYSNNRLIVTGLLGQPENVVGLKIYEVNTQSFNCELIAEMPACLIEKMKREVFEITSIGVCTAGNYMYIYNPGEVGEVFVCEVVDGGCRWRSKRNAAANDRRKLYRNVYTCGQVSVDDLNRAMRLENWRFLEADSD >KZN06795 pep chromosome:ASM162521v1:2:35900705:35902492:1 gene:DCAR_007632 transcript:KZN06795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPYSKSSKQLGFHFQEQDLSPTQSSGQSYPEVASAVESNPYVPEMIATKSSYKGMHEKREELHSKATLSMQAHDYVYPPSVNFSKHFSGVPHLYPESYCPGLLATVPQSMIYHPHMMTAASTRVPLPLDFSQDEPIYVNAKQYSAILRRRQYRAKLEAQKKLIKNRKPYLHESRHLHALKRARGSGGRFLNKKLEDSNPNVAHTEENAPESELHPSPQNYRQKPSPASWSSKNVNISDNDKMFLQQETMFSAYASHMGDSRKGGGVDVCGGNYLTVFR >KZN04888 pep chromosome:ASM162521v1:2:17594821:17597053:-1 gene:DCAR_005725 transcript:KZN04888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFWAVDNPSPANYLLISGDRDFSNALHQLRMRRYNILLAQPEQASAALVAAAKVLWPWTSLLSGGLPLSSGDVFLFVSLSNRSNPAVLQGSVHNHLPKVHSVKSKPENDDTNNKPKFGSEGSNQLTSGFLSGGLPLGSGELSKSDSAAFQGVVHSSLLKVQPLNSKPVNSDANSNPKFVSKGLNQPTTRTSSMPVWVPKNKVKIKSASAKDFYAAPHEFFRKSEPPNPTNYFSANVKFSNSEQSENCDNSSNIQKRSSQCTRPQTSFAAPAKFSMPNSSNNASPPGVQEPDASIFSYQPPKNVPDIGTLSISGNNSNTSNPSIPDINCGGNLIPKSLGTPLLAMSHLPPHGAGNFDTSQPISCNMLSDRNPHVHEVPAMSFSKINPTTGIDGVQCYQLSSEFIQGAIGVILIALDSLKKDKIVPTAENIKDCIRYGNLEFQNTDVDRALGFALDQEMIMKTRIGVVELYVGRNEKVWNCLNPLGGNPSKYSDATWDEIKQFLTSSAGLSAITVSKCRYEAALILKSKCLKNYVLGEVLQILNMMITVKRWIIPCQSGWQPLVVTIAESSSDKSTDTSIYPALDEYHRPLKKKVYGLCKHEKEVIKRRLSQSVYPGSLTYSIFLYFYSADWKTLFPLQYHTPGGIAYEEDLN >KZN04719 pep chromosome:ASM162521v1:2:15024539:15031761:1 gene:DCAR_005556 transcript:KZN04719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQNYFFACRVDAKKRIDGSKCYTLDGIRSSLIRQEDSVIFSLVERAQYCYNSDTYDPNAFSEDGCQGSLVEFMLRETEKLHAQAGRYKSPDEHPFFAEHLPEPILPPLQYPQVLHPVSESININSKIWDMYFKKLVPRLVKEGNDGNCGSTAVCDTICLQVLSKRIHYGKFVAEAKFRASPDSYEAAIRGQDKAQLMELLTYPDVEEVIIRRVEMKTRTYAQEVTEDEAEPVYKIKPSLVADLYGTWIMPLTKEVQVEYLLRRLD >KZN04937 pep chromosome:ASM162521v1:2:18157324:18157824:1 gene:DCAR_005774 transcript:KZN04937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVSKCNDNSRSDGKWKRLVGSMRPLHMQDKRSTPPQSPGPKKALFSVSTVSERSEDEFFASSPSLSTTSWSTSSYASTSSLQDQAGIGTIKQSASSNSLRKMSDNMSRYASSLNLHDFDMDEEIEEDIEDDDDNVLEKCEADNMIDTKADEFIAKFYQQMRSQQ >KZN04553 pep chromosome:ASM162521v1:2:12566935:12569218:1 gene:DCAR_005390 transcript:KZN04553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGVLAIPIREDSGLLSPVFSRELNESIHVYVAMSGSVVPMRVLKSETIESVKLRIKSYKGFVAKDQKLVCKGRELSRSNSLVRDYGVEDGNVLHLVIRLSDLQSINVKTSCGEEFAFEVQRNCDVGYIKRRIADKNKKLADFDEHEVLCDGEELEDQRLINDISKHNDAVIHLFVRKSAKIRATPREKTYELSVVASQSNDTRKYDEEIHQQTPEKDVLLEPIILNQKVELSLAIWDMIKSTAEGLDNANTPIRSSEGTGGAYLMQDATGNKHVSVFKPIDEEPMAVNNPRGLPLSENGEGLKKGTSVGEGAFREVAAYVLDHPKAGRRSFSGEDIGFAGVPPTIMAKCLHMGFNHQDSINVKIGSLQMFMQNRGSCEDMGPSSFPVEEVHKISVFDIRMANADRHAGNILVSKDKDGKTVLIPIDHGYCLPKSFEDCTFDWLYWPQARKPYSPDTVEYIKSLDADEDIALLKFHGWDLPQDCARTLRISTMLLKKGVERGLTPFDIGNIMCRETLNEESLIEEIVQEAEDSVLPCTSEGSFLETVSQIMDRRLREIAK >KZN04933 pep chromosome:ASM162521v1:2:18116187:18118807:1 gene:DCAR_005770 transcript:KZN04933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLCTSTMPSSPSSSLTCTDKKPWYLTNKKIVDKYVKDARYLLATQEPSELASAVNLLDAALTLSPKSESALELKARSLLYLRRYKDVADMLQDYIPSLKMSSSDDYSSSSLSSDNSSQHSSKERVKLLSGDSPSHDEPSFKCFSVSDLKKKVMAGLTKNCDKQGQWRYLVLGQACCYLGLMEDALVLLQTGKRMASAAFRRESVCWSDDSFSFSKFPIYGDVMINNQPPMQPKTELENITQLISHIKLLLRRKTSAMAALDAGLYTEAVRHFSKIVDGRRGAPQGFLAECYMNRASAYRLAGRIAESLADCNRALALNPSCIDALSTRAALFESIRCLPDSLHDLEHLKLLYNTILRDRKLPGPAWKRQYVHYREIPGRLCTLATKIQALKQRVASGETGNVDYYALIGLRRGCSRSELERAHLLLTLRHKPDKSITFIDRCQFSNEQDVDSIRDRAKMSSLLLYRLIQKGYTSVMSTILDDESTEKQRKKTCATLQATAAIQQQVHQVQQDQAELINAASMNMANTKNTSSAAASAASSVFQGVFCRDLAAVGNLLSQTGFNLPIAVKYEALSC >KZN06115 pep chromosome:ASM162521v1:2:30255204:30265235:-1 gene:DCAR_006952 transcript:KZN06115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSSEPLATESFSYSWLVQQTPQFHGLEEYLTSTSSPRDTNFNFDTSISRSSSFNFVHADEIFSNGHIIPFYLDRTKSNVEAFNTLSSLPDPIPISSSDRMKFYYFFKNLRTSSKRLIRGWLRMLRPLCHKVGCSRKSIRVDDIDRKKWEIRSLENLNISIEAAPSMSKKSEYRRLKKARSWSSKAQESVPTSPCWFDNESSIYEAVLHCKRSIGCWGSGEHSRLEGIILGQLWYLAESFWVVISSGTALVDCGADVIVTQLFYDTDISLKSVNDCRQIGITIPIVTSNAGIMPINNYKGFLRMTGFCKTKNKAYSGVFDKYECDCAAAKLRGRGPGVNNMGNSQQPVLAHHKDPLVAPRRRGRRPGVEKRLAQRFGEESGNTIKNQTSAEDAKKCGNNDKSRVKGMSVANSGSIGDRKFFTLICSFFLTTCMPWQFSQYLAIAAAACQILIWIKRQPLRTLDINARVLERPVSVHKFIDEEIENHPSVTENSASGGAGSDSMLVDQAKQKKDIQVNVTMWSVDNILGDKVKEYEFSGSKLHPEELTIMEQRIEEREKCEASAEQIDLCRKGVHVRA >KZN04842 pep chromosome:ASM162521v1:2:17092421:17093458:-1 gene:DCAR_005679 transcript:KZN04842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIQRAPRMKGVLKGLKKITQIFDEEKEKEMQIGLPTDVKHVAHIGLDGPSAESPSWMNKYKGPEKAASAPLDSKGEAVQQRARSAPLDINGNSIGSIDSPSQEPNRDMPNIPKASRRRYSADNLTIETKDLATKSKGSRRHRRKNSSEGSVGKSGRRRSQRKNSGSSDREGSSNSSCSIGYELASPIPSPSSPTPRNLPDIPKSSRRRKSRKSRASSGNESTQNSSSRNPPLVVEEAERE >KZN05632 pep chromosome:ASM162521v1:2:25772184:25776097:-1 gene:DCAR_006469 transcript:KZN05632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSTLLRFIYPPPASWFINAMTVITGAILVNGGLMEVKGKSMQYSKFYNTSKKNAEASKITELSGRKGMLVVYAPAFVASVIFLAFMRDEGLRFTLVTSALTVHFFKRLFEVSFIHNYSGSMPIDIVINFSASYFLYTVTVTYSQHLVAELPEPVIDLKYAGTASFLVGITGNFYHHYLLSKLRKEGEKQYKIPQGAVCLMGRSYATRKWYLSKFEDFPKDVKALIPAMVLSTLLRFIYPPPASWFITAMTVINGASLVNAGLMEIKGKSMQYSKFFNTNKKNVEASGAKIIELSGRNGMLVAYGPAFVASVTSLAFMPNEGLRFTLVSSALAVHFFKRLFEVLFIHKYSGSMPIDSAIVISTSYFLSSLCTIYSQHLCGQFPEPVVDLKYAGITIFLVGIVGNFYHHYLLSKLRKEGDKEYKIPQGGLFDLVICPHYLFEILGFIGISCMSQTVYALSFTAGTIGYLTGRSYATRRWYLSKFEDFPKDVKALIPYIF >KZN04401 pep chromosome:ASM162521v1:2:10280924:10284088:-1 gene:DCAR_005238 transcript:KZN04401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMPSSSLSKPIISSKTCLVGSSRLAALAQQLRLYKHPPTFDDEEEERLEGSADKVVSQVGFVESATQVKSERFKPKRAAVLICLFEGEGGDLRVILTKRSSGLSTHSGEVALPGGKADEGDADDSQTATREAHEEIGLDPSLVNVVTVLEPFLSKHLLRVIPVIGILSDIKAFKPTLCVAEVEAVFDAPLEMFLKDENRRSEEREWMEDKYLIHYFDYEVGDKKYVIWGLTAGILIRAASVVYQRPPDFVEQNPKFKLPKAVNKDATMP >KZN07430 pep chromosome:ASM162521v1:2:40784181:40787258:-1 gene:DCAR_008267 transcript:KZN07430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESFVDKNAVFKKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSATHRSLGVHISFVRSTNLDSWTSDQLKMMAFGGNNRAQVFFKQHGWTDGGKTEAKYTSRAAELYKQLLLKEVAKSSKEGTSLPTSPVGPQSVQAVNGFPDTKVQESLNGNSSENKETPDVTSSPRARAPHSVLTSSVKKPLGAKKTGKTGGLGARKLSSKPSESLYDQKPEAAPVQVSTSISSTPAVKTSLTSRFEYVDNTQVAAHKGPGGNQVVSHVTVPASSSFFSDYGMENGIHKKPSSSYKVEVKESDEARKKFSNAKSISSSQFFGDQNKGFDHQVSLKKFSGSTAISSADLFGKNGNDLGIDISASDLINRLSFQAHQDLSSLKSIAGETGKKLTSIVSSIYYDEYSG >KZN06271 pep chromosome:ASM162521v1:2:31555654:31558454:-1 gene:DCAR_007108 transcript:KZN06271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSDKKSKQEAEKSVRKDPYEVLGLSRSATDQEIKSAYRKLALKYHPDKNANDPEAANMFTEITFSYDILSDPDKRRLFDTDGFEAVESGNQEVELDLSSLGTVNTMFAAIFSKLGVPIKTTVSANVLEEALNGMVPIRPLLLGQPVSGKVDKQSAHFYSVSVTEYEATAGLVCRVQSADKSNFKEDCVKTGKVTSAGMYFLGFPTYRLDQTVNSMAAARDPDLAFFKKLDGFQPCEINELKAGNHVFAVYGDNFFKSVNYTIEVLCAASLKEEKDNLKTVEAQILSKRVDISKFESEYREVLAQFTEMTSRYAQEMQTIDELLKQRTAIQASYTAVPAIKRSGSRSKNKGACKDSREDGQVRDKKASMRERLKKKKWYHNISVKVDKTKPC >KZN05989 pep chromosome:ASM162521v1:2:28943729:28946154:1 gene:DCAR_006826 transcript:KZN05989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVTENCISLILSFTTPLDTCRASCVSTEFRSAADSDELWNKFSPSDLSQILSRSLSTLTYNTKRQLYFLLCDSPVLLDDGNVSFSLDKTSGKKCFMLAARRLLISWGDTPSYWSWASNYKSRFSEVAVLQYVWWLCIRGKMKTGMLSPHTTYEAYLIFKLYENAYGLESAKTSIRFVSEREDVPSDEAITVYPYTRSSASNSEQRNGEVSWRRKDGWMEIKTGEFETGTRDDDEVETRFMSIEGQVKGGLIVQGIEFRPKQPVAVK >KZN07185 pep chromosome:ASM162521v1:2:38934293:38936269:-1 gene:DCAR_008022 transcript:KZN07185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPKYDRLISTLYPDVEKYEEEELAFHEEERTRYKQIQDSIAQTQRRQSEALGKKRSSARATAAAIMRRSQVHQTSRGRRNQRATGQAGSDEENYANGRSRGNDSSSVDELVIEVKPKQYKRWGGFRYSLTSLVPGSADRGDENDAEVNRDSLGAFAGLAINSEMLAWGRGGMRSNTRHSNQSAGNIKLARSNRMPKLLESCQDTVERDALDIQFMLVPFDEQSLPSLERRHMRCEPTVTVRKLIEYVAKNTAAKAEEVEILLVQNGDVLCKLEGQETVAELQAMSSVTQHDMRLAYRLKSQVR >KZN05415 pep chromosome:ASM162521v1:2:23600595:23604762:1 gene:DCAR_006252 transcript:KZN05415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADEGTMSYPPIEPSTFDLIIVGTGLHESIIAASASAAGKSVLHLDPNAFYGSHFASLSLPDLTAFLTSPSPSPPSLSHSSLPNLSQVPLQTRNLYSVIEISKTETIEEAKRFCVDLAGPRVLFCADLAVDLLLKSSANQYMEFKNVEECLVWEGSKGLRNVPDSRSAIFKDKGLDWREKNQLMKFFKLVQKHLDVEESGERISEEDLESPFAEFLSKMSLPPNIKSIILYAIAMADCDQDLAEIKTGILKTKEGIKRLALYNSSIGRFPNAFGALIYPIYGQGELPQAFCRRAAVKGCIYVLRMPVIALLMDEGNKSYRGVKLSSGQELFSEKLILDPSFIVPPGIANSSVDTHEDSSHICGSGNINQKVARGICIAKTPLKPDVSSCLVFFPPRSLYPEQASTLRVLQLASNIAVCPSGMFVFHISAVCGDAIEGKKLLNAAVNALFPVPSIIPINSFQEENGNMEELQPTLLWHAFYIQELCTGSVGSISSMPMPDMNLNYNDAIEASAKIFQMMYPNEEFFPETVTSDIPEDNDDIATDTESR >KZN05473 pep chromosome:ASM162521v1:2:24209264:24210959:1 gene:DCAR_006310 transcript:KZN05473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLKDVHRAQDERECVQREDSIFKQSSCIIANAHDYILPAKQHKNSADVSPSINDLGVSNEVNNQRKILSEISYVNGTSTEMNNSGVHSLALQSPEENSTEGGGALWDIFRRVDVPKLEEYLIKHSKEFRHTYCCPVDQVYHPIHDQSFYLTLEHKRKLKNEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCTKVAMDFVSPENVHECLRITNEFRKLPKGHKVKEDKLEIKKMVLHAINHAVKDFEDLISSLNR >KZN04481 pep chromosome:ASM162521v1:2:11560392:11562820:-1 gene:DCAR_005318 transcript:KZN04481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGAAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFETFLGTVVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVLEAEKYKAEDEEHKKKVEAKNSLENYAYNMRNTIKDEKIGSKLSPADKKTIEDSIDQAITWLDNNQLAEVEEFEDKMKELEGICNPIIAKMYSGGGDAGAAGADDAPPGGASSGAGPKIEEVD >KZN05396 pep chromosome:ASM162521v1:2:23387060:23388166:-1 gene:DCAR_006233 transcript:KZN05396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKLTHLLKDLDLVDGKLVNINDNVAVFDENLLGRMHDFKSLVRTYIGYSATQLSLKKDLMSTELESEPQLLFSKASERQPMIVNSLTKVCNFLDISAQRRKEVRLSIVPQVTQHQIWAGAIEETLKALKSDMDVLNPRVVSKADNIGQQIVVTCLKFLDAATSYDPEATSWMRPAPAKVVSPPASQKWEDILEMFNDLITCLRNEKELGFHLVKLEVMKEGLSQIKDVLIDRNIGYRETRYQESLVSKKLSKTLGHSSPCLFTLLLYYLYGSVKDIEVELCGGINCNEGGNKFCLYMGKILILDERKMVSSGVKQLDRVLGLFKLVWETSGMKESLEVQGHLWCVGAETRSLTYKGNMYFVHGIGL >KZN05078 pep chromosome:ASM162521v1:2:19732358:19732949:-1 gene:DCAR_005915 transcript:KZN05078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQIIVTSSRKTLAYLILIFSVLSTWRSTVQALIIVPTRELGMRVTKVAHTLAAKSGKPGTEEKPCTVMALLDGGILRRHKS >KZN07322 pep chromosome:ASM162521v1:2:40002499:40005467:1 gene:DCAR_008159 transcript:KZN07322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKTVPIWVCANHSPQHSFSQLGGVSDLVGAKRCRFVGYARMECFSALSAQRAKTPVEDDKPLTKPLDSIDVSQLSQSVGFHKDLNSLPKPLTATDLTSSPNDGSKVRVAYQGVPGAYSEAAALKAYPKCETVPCDQFEAAFKALDQCEMTLNKLGVVRVSYDDTAGAAQVIAADGIRDTGAVASSRAAEIYGLDILAERMQLVAIFDKLVTKDEGTLNFVHYGGC >KZN05301 pep chromosome:ASM162521v1:2:22358220:22358765:-1 gene:DCAR_006138 transcript:KZN05301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASPSLRHESSADKILFYTTTRANNGGGVYKSLRKILESGNVVYEVRNCSDEPMYVSELKELLGKKKVRLPTVIVNGKDLCGEEEVEGFYDIEMKQELKGILKAIYWNQYLGKKIMKKERKRYIFKMQFEWIISALCTFKTQCKCNVSGDAEQRPRFTAVGEDFLFTFVPPVSNRFLSDV >KZN06114 pep chromosome:ASM162521v1:2:30248254:30248967:1 gene:DCAR_006951 transcript:KZN06114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIKFAKESKETVLNAFIFKSTHHLPPTIHSNGSHHTTLTPLLLLPMSTFPFYTPPTPPPDPPSPTRPDITHIRLRYATVLSLAFLLILALILLCYLLFRISRRRPNPNPNFITTDNGITLPRSIFVDDEEADEQNGVVGLDQAVIDSYPKVKFVRGGDCGDSVCAICLCEYKEGEMLRMLPDCKHWFHLKCVDEWLKLNASCPVCRNSPLPTPLSTPLSEVVPLSLYTDGRNRRR >KZN05257 pep chromosome:ASM162521v1:2:21717224:21719152:1 gene:DCAR_006094 transcript:KZN05257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIEGSPGSSMHGVSGREPVLAFSVASPIVPTDPTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKGDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFVIMLTAPTVFCMSFVADASGYIAVRFMIGFSLATFVTCQYWMSTMFHGKIIGTVNGTAAKWGNMGGGATQLIMPLLYDLIQRFGASPFTAWRIAFFIPGWLHVIMEILVLTLGQDLPDGNLGALQKKGDVSKDKFSKVFWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFDLKLQTAGIIAATFGMANLLARPFGGYASDVAARRFGMRAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSSQISTAMGLTYMGIMIVACTMPVTLVHFPQWGSMFLPPSRDVVKGSEEHYYVSEWTEDEKQQGMHQGSVKFAENSRSERGRRVASAPTPPYATPNHA >KZN07002 pep chromosome:ASM162521v1:2:37522864:37527154:1 gene:DCAR_007839 transcript:KZN07002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKYSNGGIANGGGDYRNNDDEEMGGVVLGLDGGTTSTICVCMQFHPQLVDPLPILGRAVAGCSNHNSVGETAARDTLVQVMTEALSKSGSKKSAVRAVCLGVSGVNHPNDQERILNWLRNIFPSHVKLYVQNDAVAALASGTMGKLHGCVLIAGTGSISYGFTEDGREARAAGGGPVLGDWGSGYGIAAKALTAVIRAHDGRDSQTMLTDSILQHLGLPSPDELIGWTYADPSWARIAALVPVVVSSAEAGDEIAQQILNDAVQELALTVTAVVKRLGMAGEDGNLHFPIVMVGGVLEANKSWDIAKEVITCILKTYPGATPVRPKLPNISVILKESG >KZN07719 pep chromosome:ASM162521v1:2:43018727:43022944:-1 gene:DCAR_008556 transcript:KZN07719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVMETATEHIGRLASCFEHESESAERRMQVTSEVMKIGKFTPAQVLFASKKIALNPLEVDFFFSLPDDYKYAYVEDCDLDSIHLVIRSQKAPWGGGSRGRSSNIRGSSRGRGGGRRGYTGRGACSPDKIDSLGRLMTWILRHTASKLNLEIRSDGYVKVQDLLKLDLYTLADIPLHSHTIADVREAVMADKKQRFSLMEENGELYIRANQGHSLKAVKTESLLIPIVSAEEVPGKENLQSILEHGLKRMKRLHIHFACGLPKDNNVISGMRQNVNTLIFLDVKKALEEGMKLYFSENKVVLTEGFNGVIPPKYFEKIESRPGRELLYVGKGEDLVTGMQDLTIEKGAKLQGTRKG >KZN07359 pep chromosome:ASM162521v1:2:40307078:40313565:1 gene:DCAR_008196 transcript:KZN07359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLKTVALGSNSQSDIEARFTEFCKKGLSMDESTLTEATKLFDGSKHLLQTNTYATVGIGTPEEAERYWFAFVLYSVKRLSESTSDSSNSEADDKGITLCQILRVAKLNLVDFFKEIPQFTVKVGPILSNLYGPDWEKRLEAKELQVNFVHMSLLIRHYKRAFHELFLVKDSDYFRFGWLLFLALRVHAFSRFKDLVTCSNGLVSILAILIIHVPIRYRKFTIDDSSRFINKGNKGVDLIASLCKMFETSEEELRKTNDKTNEVIESILKHKPCLASECEHENLCNMCTDGMIYYDGLLEEKALSTNLSILEKDYEDAILNKVELDERVFLDEDDSLLGSSSLSGGAISISGTKRKFDSIASPTKTITSPLSPYRSPAKSLMIGNLGTGNSRIAATPVSTAMTTAKWLRDVICPLPSKPSPDLESFLSKCDRNVSHDVVRRASVILEAIFPSSSQGDRSVTGSLQSTNLMDNIWAEQRRLEAVKLYYRVLQAMCTAEAQILNANNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPVVLERTGVTAFDLSKVIESFIRHEESLPRELKRHLNSLEERLLEGMVWEKGSSLYNSLTVARPALSAEINRMGLLAEPMPSLDAIAIHVNMSTGVLPPVTPLQKQESSPGLIGDIRSPKRVCTENRSVLVERNSFTSPVKDRLLATNLKSRLLPPALQSAFSSPTRPNPGGGGETCAETTINVFFGKIVKLAAVRINGMTERLQLSQHIKENVYCLFQKILLQQSALFFSRHIDQIILSCLYGVAKISQLSLTFKEIICNYRKQPQCKPQVFRSVFVDWSAARRNGKTGKDHVDIITFYNEIFVPTVKPLLMELAPAGIVKKNNHTSEASNNSDKGHCPGSPKVSTFPSLPDMSPKKVSAAHNVYVSPLRSSKMDALNSQRSKSYYACVGESTRAYQSPSKDLTAINNHLNSPKKLRGMLKFDDVGIVSDSLVSKSFHLQNSSSVSSSGTMVKTEQPDV >KZN04370 pep chromosome:ASM162521v1:2:9639363:9640346:1 gene:DCAR_005207 transcript:KZN04370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGWELRACILLSLFLQIFLAVAGTFRRLASQRRIVKIIWLAYLLAEFVPVFGLGLIVSKQSLLYYSDADSAPIGSSNSVDDHFEVHCFRSNKVVACGDENILMYCAAFLLVHLGGPDSITAFAMEDNELWLRNLFYLASKCIAVAYAIYQSVLTIHKVQVPVLLLFLYGIIKCTERTCALYYGSAKSFRNSMLSKSNSGFNIIPIIDKSLIEMNVKDFEHVEVLQNAFLLFTVFKGLVVDLSVSILERNQSRDLFLAKSSADAFRLVEVELNYLYDVLFTKIPLLHSKLGLCCRSLSFIAVVSSLVLFNSSSEGYHHTKEMFPFF >KZN04727 pep chromosome:ASM162521v1:2:15151839:15155414:-1 gene:DCAR_005564 transcript:KZN04727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELISSPDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRRAVRAIGRCAIKLDRAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLTEKPVISDDSNLLDPSLLDELLANIATLSSVYHKPPEAFVTRVRSTQRPEEDEYPDGGEPGYSEAPSQGIERGASPPPSSINVHAVARQAVHAAPVPDLLDLMGDGNSSSNAVVAQADVLTLPVMLPASSGQGLQISAQLIRKDGQIFYCILFENNTQVPLDGFMIQFNKNTFGLAAAGPLQVPQVQPRSSARTLLPMVLFQNVAPGPPNTLLQVAVKNSQQPVWYYNDKISLLVLFTEDGRMERTSFLETWKSLPDSNEVLRDFPAIVINSVEATLDRLASSNMFFIAKRKNANQEVLYLSAKIPRAIPFLIELTMVVGIPGLNRSMQSIGINDLVRACLPGLKA >KZN05131 pep chromosome:ASM162521v1:2:20402065:20407176:1 gene:DCAR_005968 transcript:KZN05131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFNKFQKLQNLHTDKTEWTIRARAQSIWEGINRTTNEFKGLNVMLIDDSSTRIHAFLNAKISDFFKEDLKEGNIYRISNFHVKKYEGPEKNRVVRNEKHIYFDNYTKLVAEKTNATFFPTYAFDLYDLEDASRFVTDERFLIDVVGVITNKNVERVYSKDDNTRSHIRFVITDGSCELRVTFFNELAEQLEKQLKHTAEEQVTIIIASAKVNQHEGLTCLNNYPATRFYLNPDHYSVKILKTRLAAFPLNTTSITVEEEYIEEVIDDKIYTISEIKKFTAQCIQKKYKCQVSVKKVEEKTNWYDNVCTSCDEEVNIVEGRFRLATVCNDSTGYLGIVFPDEEIQRITGKNVFDIENDSTQVGDSISFPPLLKAFEKKEFIVTLIIGETNVHNSCNVYLAHAIDEPPEMLGDHVPGEVVPANSKQDSISMNLEETLNRASDSPATEKSTNKQRPRKKTETVPFETEENVKKRKTVKKDIRVRIHAFVPGTEADELAKLLEVGKVYLIENFTVSDYTSDDKFRCVRKEIQIVFDNQTKITPLEEKAVNIEKHVFDFFDLSDLKSLVNQQTYLADVIGVMEKPKPLAKIKNRHGILQDQIKFRIADGSTIVKVTFWDEFAVRFSAALKHNFQCPIIIIIGSARITEWSNEPTIANASPTSFYLNCDHRNVAEFRKRLSSESFPDMNLDYSTNATLDVYKVQSIKEFKEDQILKEVLCQVKIRKIQNISSWFVNVCTSCYKETQLLENSYQCKFCDRKCSYPDKKFQVCIFASDDTGAIDIMLEDREVRTVIGKSVFNIIDEGQSKENLPVILKSMENKDYTIKLLIKKENITEDYPIYSAEDIMEGFKIETDSDDESTPHPIEQMQTQPSASSYHLDSLSGISYTSKKREK >KZN05986 pep chromosome:ASM162521v1:2:28919956:28921065:-1 gene:DCAR_006823 transcript:KZN05986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHSKTPACSPKQSKKSTLNALPSYIQYIYTAGLQAKSNSYRKLAVLHKPQPAEDLSQSSFSMHSYVVYVILFLSLDACNGRILGLYTDKGDFFGTNLVQDVHNSNLHSTLATSKLQHFGTEELQSLENHNAETGQSKISQSPPCAVSVKGIPSEETLNQKITKEWRRQALSTSGSVAQDVEEPADSNSKDIIEDVVVMDYAQPHRKPPIHNTKD >KZN05136 pep chromosome:ASM162521v1:2:20475779:20479186:-1 gene:DCAR_005973 transcript:KZN05136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHFPAYRNNDQSEGIFPSCMWGIFYALDYHQWHNNVRKMLPHRRHNKRKNVKCNKNPKTISSVNGAAQKLMDAKASHFLVNPATTKISSTYKRSLKARIKALVAEKIRKADDNKKRGPNFPALPQLERTLSVKHVESPKNSFGKASNEWKSPIIFFPRKTAPGATKLQHPARMTASDWKKFNMCTKENLMDYIEHQKLSQNYTFTTTRETSADQARANLLMQNASRRHLKKYVDVLELFQVNEDLFQEFQQQRDVGKKDRLHMPNDSTAKARLTKSGTFPVANVSYMRRRNFKPTTLKDKQTEHWSFPGGVKLGNGHQDPEVDSKVVAHSKDERQRLDPQNRVDKHELSCTSNSLIDQVTDGNEPLRTGKQRSRIYDTVIVDDDTKDLIKSDLTIDGSGYNRRNSNSGHRRTSSLNDSMHRYVRLCDYSFHIKSKSDLPKSVTWTNEYDLLATRSTPISFRRNHSLPHCTISWPLQDEEFREILNPSVPSMTAMVVTTATEDDGLSESKLVGLPGKEYPENFPLSDAMEENLHGETIVENSKSSPEVEILDSLTMGVGDCGSAKVDRHHEESDELTDEKSSSNDDLQVSCLKIDDKKVAETSQAVLIRGRCLQQESLTPANSAFEGCESAYNYSHERESPLHSFNSLDADYVPQSCSSKMYTGKDLKSFRTKNHIHSRLHSDDDADLSYAREILKVAGFNENGFHGEWYSSEQPLSPLIFNEVEESWWPHESECSQANLILLYHHQLLFDLINEVILQIYETSFTYYPRALSTSCQVHPLHSTSHDDEVLKSLTKWIVLKPELDQQLDDPVPRDLAKADGWMNLQMYSECVVLELEDFIFDELLEELIYA >KZN05770 pep chromosome:ASM162521v1:2:27100197:27101813:1 gene:DCAR_006607 transcript:KZN05770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPTRQISLSFLLVISTLLFSSASNSDEFVQCLTKLSKESAPTVSKLIFTPKNSSYNAALLYSINNLRFTRPTTPKPRVIITPTTESQVQSVIYCAKKTNLEIRIRSGGHSFEGLSYVSYVPFVILDLRNFNQVTPDLRSATAWVGGGVTNGELYYRISQATSDYGFPSGLWGNVGVGGLISGGGYGMMRRKYGLSADQVIDAKLIDVHGKILDRKAMGEDLFWAIRGSGGGSFGVVIAWKVNLVRVPRTVTVFRVYRSLAQNMTNIFYKWQSVAPRFPKELDIRCNGQIFLSENSTRPDKKIMVMNFESLYLGRVDSLLKVMKQQFPELGLVREDCFEVSWIQAMVFFTNLPLETPPEVLLNRTILNRIDFKGRSDFTTKPIPIKGLEGIWDFMFQLPNGTAFLQFTPFGGRMSEIPDTALPFPYRAGYLYMINLYALTDEDEANRLQWVRNIDDYLAPYVTSNPRSAYVNYANLWMGTNNPRGKTSYAQASKWGKRYFKNNFDRLVRIKSKADPDNFFRHEQSIPPISLSLWSDM >KZN07796 pep chromosome:ASM162521v1:2:43631856:43633194:1 gene:DCAR_008633 transcript:KZN07796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNFIRYCVLCKEVIWKRCWSYYCQKCTLFVHLKCSTSTVSLVEETEGNDIGDNEAADLVQFPLPDEESLLDLIITQCSKFLDETHGEGDNSSEPHIIEEHWSHWNHPLQLHQFTVDVDDDDNDDRRVLICDGCVQPISIRRPNYYACIECGFFLHSFCANKMPDELPVGASPLHPHHSLSLWQQYKFYSFVTCGICQYPTNGFYYQCQICDMRFDIRCVFLPTRIRHKSHKDHSLVQTQPLDKKCDASGINFDGMRYACETCSRFQISLVCAFYPSRMEHRYEEDHSLILRHPPFFYEGVFYCQICEEQVNNQEWLYNCEKCDQSFHAECVRWYESIKLGRRIKHSSGDQEHTLTLVIKKTLRKKSPPYLCGICGKGYRLLCFLECQGCGYLACVVCIRRVHGTGK >KZN03982 pep chromosome:ASM162521v1:2:201709:201993:1 gene:DCAR_004844 transcript:KZN03982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELVCSARGFPKLTRLVLRNLPNLVKWRVEEHSMHVLSHLMIRNCLKLKELPEGIKFLHSLEELRVEVMPQDFYERLKVVNGAKYSIVVNID >KZN04754 pep chromosome:ASM162521v1:2:15381175:15382134:1 gene:DCAR_005591 transcript:KZN04754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPRKPLTIQIPQDVDHDPLPPQQIHIKINKPLISTPHKRSPSTISSPHHHHHHFKNTIISPKSTSLLSSFSLVETLLATKTLALRLATHSCSCCCSHCLLKLPLRISFVLFLLPSLFFFSSNHYFAFLLHFLSVVLFSIVIFILLNVYTPIPLLLARSFPLKLSSLASTPPKRLSSPVVWSIGSKPKSEKRPDTASWVQVHKNGDVYEGEFYKGKCSGSGVYYYNMSGRYEGDWIDDKYDGYGVETWAKGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWCNGQSHGCGAHTCEDGSRYIGEFKWGVKHGLGSYHFR >KZN06534 pep chromosome:ASM162521v1:2:33803215:33812794:1 gene:DCAR_007371 transcript:KZN06534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKTLAAENAVTQLIQLNCLLAESTRALHYSKTLKLFQQIHSSHHLKPDQYSLSTTLSACANHRNTLVGTQLHVHSIKSGLKRYPHVSNALLSLYAKSEYLGSVKRVFGEIKTPDVYSWTTLLSACSKLGEVEYACKVFDRMSLTDEVSDGKPERNAAVWNAVITGCAENGYKDIAFGLFKRMQMLGVRHDNYTFASVLSLCCRGIEEFARAVHSLVIKTGYLVKPSVVTALLTMYFDIGSVEDAYKVFEVGEGEGCDCISYNAMIAGLASAGRDVEALCIFKDMQEVQLRPTELTFVSVLGLCSCARIAIQVHAQIMKIGYENCTSVRNAAMTMYSTCGDLDTAHTIFQEMQEKDTISWNAIITGYAQRNLAGAAILAYQQMQSEGIRPDEFTVGSLLASTEMLFSVEMILAIVFKYGLFSNTQVSNALLSAFSRYGEIKQARVVFNGMGHRNLISWNSLISALQLNGFLLEGLEEFSELLKSEMSPNAYSFSIALSICANISALGHGKQIHGYILKNGYFQQTSLGNALITLYAKSGDLDRSVKVFNAMNEKDIISWNSMISAYAQHGEGRDAARCFQVMQDSAGIKPDKATFTAVLSACSHTGLVDNGIHIFNSMVNKYGLEPGVDHFSCIVDLLGRAGHLDVAERLITTKLIDIDSNIWWTLFSSCAAHGNLRLGRIIAECLLKKEHKNSTVFVLLSNIYADASQWEEAANMRELMKTCGKIKQPGCSWIRKKQCKIKLERLKNKGKIRKVCKKTGHEAGFKGATYIDCPMKPCFLCKLPGHTTITCPHRVATEYGVIPATHKNTQNALDFIFERQLRPKITKIKPKLVIPNYVTGAVVRYHSRRVTCLEFHPTKYNIILSGDKKGHLGVWDFIRVCEKTVYRNIHSCILNHMKFNPRNDGTVYGASSDGTISCTDLETGLTSSLMNLNPNGWQGASTWRMLYGLDVNPENGLVLVADNFGYLYSVDSRSNSQVGEAVLIHKRGSKVTGLHCNPVQPNLLLSCGNDHFARLWDIRRLEAGSSLYQLSHKRVVNSAYFSPQSGSKILTTSQDNRIRVWDSIFGNLDSPSREIVHSHDFNRHLTPFRAEWDPKDPSESVAVVGRYISENYRGLAALHPVDYINTSTGQLIAAVMDPNIMTISPVNKLHPQYDILASGSSRSIYIWKPDKDSSEFIEQKVKKNILLCGKAEKKRKSKGDGDESDDDISKAKKSIKSAKTKTKANSIVLKAKDKC >KZN07722 pep chromosome:ASM162521v1:2:43039199:43045434:1 gene:DCAR_008559 transcript:KZN07722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGREPLYRKAFIYFSSPVPKDLLSRLKGDTSVLPRISALREMNLEYFAMDSQGYITDHDRALEDLYCEGAENSRKADMCMSTMATRIATVFASLKEFPFVRYRAVKDDDEPKFRDLVCKKLAASVWDTISTYKSTIPNFPKNETCELLILDRSIDQIAPVIHEWFYDAMCHDLLDMDGNKYVVEIASKSGGDPEKKEVLLEDHDPVWLELRHAHIADASERLSDKMTTFMSKNKAAQLQQKDGEELSTRDLQKMVQSMPQYNEVKEKLSVHVEIAGQINQIIREEGLRDLGQLEQDLVFGDAGSKEVINYLRSNQDASYECKLRLMLIYATVYPEKFEADKASKLMQLAKLSQDEAKVVKTLLSLEGADNKQKTRGTFSLKFNSQKTKTAARKDKSGEEETWQLFRFFPVLEELIEQLTKGNLPKDEYGCMNEPSPVAQKANTGGAQSARGGNQSNPHSVRSRRTTNLVRPRNSDDGYSSDSVIGHAQNDFKKMGQRIFIFIVGGATRSELRVCHKLTTKLRREIVLGSTSVDDPNHYITVVFFLNILLFIWFVPLPL >KZN05892 pep chromosome:ASM162521v1:2:28129416:28132246:-1 gene:DCAR_006729 transcript:KZN05892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLMVEKLYVTAVFSSIVGGVSLGQSFSSLGAFSKGKVAGYKLLEIIKQKPNIIKDPSSGICLAEVKGNIEFKNVTFSYPSRPDVTIFQGFSMSIPAGKSIAIVGGSGSGKSTIISMIERFYDPSEGHILMDNVNIKTLQLIWLHNQIGLVNQEPALFATTILENILYGKPGESMDDVEIASAAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIVIARAMLKNPKILLLDEATSALDVGSEQVVQEALDYLMVGRTTVVVAHRLSTIRNVDSIAVLQLGRVVDTDADKKESAQQNYFLRLLKLNAPEWPYIVMGVVGSILSGIVLPTFALMMGNLIECNGRSSHYQNKEDDACRSTNIEPDDPDAEPVENIHGEIALSHVNFSYPTRPDVIVFKDFNLRIPAGHSQALFGASGSGKSSVIALIERFYDPISGKVIIDGKDIRRLNLQALRRRIGLVQQEPVLFATSITDNIKYGKDDASEAEVMEAARVANVHTFISSLPEGYKTSAGERGVQLSGGQKQRIAIARAVLRDPKILLLDEATIAVDAESEYVLQEALERLMRGRTTVLVAHRLSTIQGVDSIGVVEDGQIVEQGSHSELIRQPDGIYSRFLQLQNHQM >KZN05643 pep chromosome:ASM162521v1:2:25921194:25928567:1 gene:DCAR_006480 transcript:KZN05643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNRLLFTARTTATSPSSIRSALRHSSSPTNNLQSPSPSPSPPSTDQNQLHLSRSGSERNVQWVFLGCPGVGKGTYAARLSKLLGVPHIATGDLVRHELASNGPLSSQLSEIVNQGKLVSDEFIIKLLSKRLEAGEAKGESGFILDGFPRTLRQAEILEGVTDIDLVINLKLREEALIAKCLGRRICSQCGGNYNVACIDIKSENGLPGMYMAPLLPPTSCESKLITRPDDTEEVVKHRLHVYNEMSRPVEVFYRNRGKLLEFDLPGGIPESWPKLLRALHLDVHEDKKTIAA >KZN06168 pep chromosome:ASM162521v1:2:30725499:30727596:1 gene:DCAR_007005 transcript:KZN06168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDNQLIRGLTMEKDDNSIKVRLIRMWDAINRNTKLIINRNLILLDEENEDIHVTLRVNQFDQFTNKLHVGDTYIITNVKVVPAADSYKPIPGKNALNFQRKTIIKKTFDNSTIPTYKFHCLQFDQAKTRVGNIINLIDVAGKLIEYTELQTTGYGSEKMEILLENQRSETIKITLWEDKAKTFIAEISNYRNSHTYVIVTGTLAKNIGRQFLLSTTSSTQIYFNIEHPVIMDLKETLEGRKVAGEDIPKAVSYIQIGGQDIPTDIKDMTIVEILDAKLEGNLKDVLCLTKATITEIIPNFGWYYIACNNCFKKMKGTIEKKYCAQCPNQPTKTTYAYMVTVKEASPFQIPIAVNNIIGKECIFQLKLTARNIDDGQFTVMKVTESKAEAEVDPNTSDHERKSHPPSITTKHTKKRNAGTVDEVTKNTAGTSHHKNKSIKLSDENTCSKNETGTVSEE >KZN06796 pep chromosome:ASM162521v1:2:35903674:35905221:1 gene:DCAR_007633 transcript:KZN06796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLFRYPTLSLQPHHHAAAHNPRTPLHFTPIKASSTDVTPPKQRRHADENIRQEAHRRHVTNEGFSAKYVPFNADPSSTESYSLDEIVYRSRSGGLLDVEHDMEALKKFDGQYWKNLFDSRVGKTNWPYGSGVWSKKEWVLPEIDSDDIVSAFEGNSNLFWAERYGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNRPVVGVGCASTGDTSAALSAYCAAAGIPSIVFLPANRISIAQLVQPIANGAFVLSLDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYLHFKSDWKDFSAVKAKTTFASAIQIGDPVSIDRAVYALKKSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRNSGVIKPTERTVVVSTAHGLKFTQSKIDYHSKAIPDMACRYANPPVNVKADFGSVMDVLKKYLLSHDSKV >KZN07785 pep chromosome:ASM162521v1:2:43565692:43567227:1 gene:DCAR_008622 transcript:KZN07785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLDIITRVDVICNKYEKYDLDKQKQVNIAAGASDDAFLRLYAAVDADLHQILQKGETAALEMKRAVAVAINAEIRRSKARLLEDIPKLQRLAFKKVKGLSKEELEARSELVAALKERIEAVPDGSTVATKQTGGLTASGSNAGFVYNQTSGRLALMDGNFDSDYFQHTEETDAFRQEYEMRKMKQDEGLDDIAEGLHTLKNMAHDMNEELDRQVPLMDEIDDKVDKANSELRNANVQLKDTITRLRSSRNFCCDIFLLCIILGIAAYLYNVLK >KZN05877 pep chromosome:ASM162521v1:2:28003911:28008820:-1 gene:DCAR_006714 transcript:KZN05877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARYLKAVLRQDVGYFDLHVTSTAEVITSVSNDTLVIQDVISEKVPVFLMNASIFIGAYIAAFLMLWRLAIVGLPFALFLIIPGLIYGRTLTSIARKMRVEYNKADAITEQAISSIRTVYSFVGEKRIVSDYSAALEGTVKLGLKQGLAKGLAIGSNGVVFAIWSFMSYYGSRMVMYNNAQGGTTLQVGSCIIVGGLSLGSGLSVVKYLSEAVAAGERITEVINRVPKIDLENMDGMILENISGEVELRHVEFEYPSRPEPMVFKDLNLKIPAGKMVALVGESGSGKSTVIALLQRFYDPIKGEILIDGVPIEKLQLKWLRSQMGLVSQEPALFATTIKENILFGKEDADIDEVIEAAKASNAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKSPRILLLDEATSALDSESERVVQDALDKAAIGRTTIIIAHRLSTVRNADLIAVLQHGDVKEIGSHNHLSKDQNSVYTTLLNLQQSQKNHECSLNPLTTTNNTASSSPNTDISACLSASANSVARDEEQHTSNAPVILNEQVSSVPSFKRLLAMNSPEWKQAVLGSLSAVIFGAVQPAYSFALASTLSLFFLRDHEEIKRKTRIYGLWFLGLAVFSVVINIIQHYNFAAIGEYLTKRIRERMLSRILTFEIGWFDRDENSSGAICSRLAKDANMVRSLVGDRMALVIQTTSAVIIACTMGLVIAWRLAVLMIAVQPLIIVCYYFKRILLKSMSSKAAKSQEETSKLAGEAVSNLRTVTSFSSQSRILKLVEKAQEGPSSESARRSWYAGFGLGTSQSLVLCTWALDFWYGGKLIADGHIDAKALFQTFIILVSTGRVIADAGSMTNDLAKGSDAVRSVFAVLDRDSLIDPEDPEGYKPDKITGRVELCEVNFAYPARPNTMIFKNFSICIEEGKSTALVGQSGSGKSTIIGLIQRFYDPLQGVVKIDGKDIRSYHLRSLRQHIALVSQEPTLFAGTIRENIMYGTSNQKIDETEVIEAAKAANVHIFITGLKDGYDTWCGDKGLQLSGGQKQRIAIARAILKNPAVLLLDEATSALDSQSENVVQAALEHVMMGRTSIVVAHRLSTIQSCDTIAVLDKGKVVEKGTHSSLISKGSVGAYYALVSLQRTPNGGGTIK >KZN04991 pep chromosome:ASM162521v1:2:18716453:18718958:1 gene:DCAR_005828 transcript:KZN04991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKEDAYSPTGVLEDYLNSLESDSVSTKDGTCVEDQQLSKPPSRWAGFVQLLKSKSRRHLGTLHPLNLSKRFSSSMREIASPHADSDLNYFKPHWKNFTLLQLQSATNNFSHENLIGKGGYAEVYKGCLRNGQPVAVKKLVKGSPEERIGDFLAELGIMAHVNHPNTAKLIGYGVEGGMYLVLELSAHGSLASLLHASNTKLEWSIRYKVATGTAEGLVYLHEGGQRRIIHRDIKAANILLTNDFEPQICDFGLAKWLPEHWTHHTVSSFEGTFGYLAPEFLMHGIVDEKTDVFAFGVLLLELISGRRALDYSQQSLVMWAKPLLKKNNIRELVDPLLLDDYNSLQMNLMVLSAFLCVQQSSIRRPRMNQVLQILKGDHRSLEMVKRCRKASYWRSSEYTFMLATRDREFEPRTMHLMFEAAGSKVLPHMYQCQTSL >KZN07453 pep chromosome:ASM162521v1:2:40951778:40952020:-1 gene:DCAR_008290 transcript:KZN07453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRASCEESTLDLIRELLITISDSVPDKKFDSVAFENFDNENKAVEISTDEVDDIRSKLISISSKQSPDSNQTRCNGHP >KZN04737 pep chromosome:ASM162521v1:2:15233460:15234822:1 gene:DCAR_005574 transcript:KZN04737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFASMEEDWGLEAIVRSFTDDHLMNMSSSFDDFLYDFPHLYEATSHDTNEDTLLSFDQIYEPAVEETAFSSEASFPSSSSCSSKGKDLEVEFEETETKKNARSNAVKYKKKKNQHKRVVLQPEGQAADCWAWRKYGQKPIKGSPYPRSYYKCSSSKGCLARKQVEQSCTDPGMFIITYNSAEHNHAKPTRRSSLAGTNRHKFTALKTSSSDQDSSVTPPKDTPTASPTTPLWSSKMFHQQPLKNEKDIDSVIDEKSGTSESSNENEYIVSDDIMLNDDFFVGLEDLDRLISESGFYSFPSQTNS >KZN05811 pep chromosome:ASM162521v1:2:27432954:27439338:1 gene:DCAR_006648 transcript:KZN05811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVMKSSRSILRALNSSSASPDWHRRSFSSIDAASYHLNGGSSYMRGAVFWEPNKPLTFEDFHMPRPKAGELLIKTKACGVCHSDLHVLKGELPFASPCVVGHEITGEVVEHGPLTDSKITKRFPVGAHVVGAFIMPCGSCFYCSQGKDDLCEDFFDYNRAKGTLYDGETRLFLRNSGKPVYMYSMGGLAEYCVVPAHALTTLPDTLPYTESAILGCAVFTAYGAMAHAAEVRAGDAVAVIGIGGVGLSCLQLARAFGASEIIAVDIQDEKLQNAKTMGATHTINASNVDVAEKIRELTGGRGVDIAVEALGRPQTFAQCTQSVRAGGKAVMIGLALAGSKGEIDINHLVRRQVKVIGSYGGRARQDLPKIVRLAERGIFNLSGSVSRKCKFEEAGKAYEDLNNGNIVGRAVVEIM >KZN06112 pep chromosome:ASM162521v1:2:30239484:30240850:1 gene:DCAR_006949 transcript:KZN06112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDWDLYAVVRSCTAAAAAGKSTLSATPSQPMWNNPITSPPFRVQENEHSARKNQMKRVCHVPSEGLSSDMWSWRKYGQKPIKGSPYPRGYYRCSTSKGCLARKQVERNRSDPTMFIVTYTAEHNHPVPTHRNSLAGSTRQKVSSSEGVSDGDPNKPTCSTPISPAASLSPAPEKCEIGEEDEELWASDTPLSDDFFMGLEDIEMPAAGDGEMDHFPMFPWTGNNATTTAAGGV >KZN07578 pep chromosome:ASM162521v1:2:41974925:41977617:1 gene:DCAR_008415 transcript:KZN07578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEQQTILVTGGAGFIGSHTALQLLQQGFKVHVIDNLDNSVKEALDRVRELVGQELSNNLYFHLGDIRNKDDLEKLFSTTKFAAVIHFAGLKAVGESVAHPFRYFDNNLIGSITLYQTMAKYNCKKLVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKADSDWQIILLRYFNPVGAHESGKLGEDPKGIPNNLLPYIQQVAVGRLSELNVYGHDYPTPDGTAIRDYIHVMDLADGHVAALRKLFSTDNIGCIAYNLGTGCGRSVLEMVAAFEKASGKKIPLKLCPRRPGDATAVYASTEKAEKELNWKAKYGVDEMCRDQWKWASQNPYGYHSKH >KZN04655 pep chromosome:ASM162521v1:2:14285393:14286827:-1 gene:DCAR_005492 transcript:KZN04655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDVSAWLLDFFVRQPIHDSTLTTLLKSLPLSHTDSTFKKLLLLRKIESQISAPTPHLLSLLENIEELDHRENVITIESMKRAYCAVAVHCTFENGCFEQAVKDIWSVRVGGMVKFGDVGLLCDELITWKDDFEKALGDADVKKGLEEKWKVEVAVGDLLRAFVKEAKEMIPPSFLELVAQTMTQDDGAPLRQLFGMEDTQHDVQRETVHHRSEVHAAPGTSRGVEIVDNDDLSDA >KZN04124 pep chromosome:ASM162521v1:2:2337941:2339472:-1 gene:DCAR_004961 transcript:KZN04124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKKYFQRFGYLKIPDHNLTDIFDEKFESAVLKYQKNLGLLETGKLDTNTVQQIMSPRCGVTDEIHKSNKLHVTQHFAYFYGQPRWVKSDANQPLMLTYAFSPKFTTDDLTLVEIRPVFQRAFSRWSSVIPVNFTEAKKYNSADIKIGFYQGDHGDGEAFDGVLGVLAHAFSPENGRLHLDGAETWAVDFKAQKSKVAVDLESVATHEIGHILGLAHTSVKEAVMYPSLSPRTKKVDLKIDDVEGVQALYGSNPNFKYSSLLESDISASWSIGLEIRSFNWVNCLIMVVLMLYFSL >KZN06731 pep chromosome:ASM162521v1:2:35412295:35413973:-1 gene:DCAR_007568 transcript:KZN06731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGVLMACPMSTYLEQELSKRFKLFKFWNFPQKDAFFKENALSIRAVVGNATAGADSALIDSLPSLEIVSSFSVGLDKIDLAKCKEKGIRVTNTPDVLTEDVADLAIGLILTTLRGICACDRYVRAGLWKTKGDFKLTTKFTGKTVGIIGLGRIGLAVAKRAEAFTCPISYYSRTEKPDLKYKYYPSVLELASNCDILVVACPLTAETRHIVNREVINALGPKGLLINIGRGPHVDEAELVSALVEGRLGGAGLDVYENEPEVPEKLFALDNVVLLPHVGSGTVETRNAMADLVVGNLEAHFLKKPLLTPVV >KZN04023 pep chromosome:ASM162521v1:2:718902:719915:1 gene:DCAR_004821 transcript:KZN04023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDAEKTQTHNLHIIFKAYSPDDKTTQTFRTTVLFRVGQSIQIVIASVGQDRLTPENFFDFPVDHLSDSDDDEDYGSDCEVSQLNPAFLKVISKGKSPEKSPEKGPTYDSSLPQHEYKRQCPGGLYDEDPELIKEIECFETDVDNSGGFEVGYYPLMDRAGIYGTWICKYYDIENKSVSAFSLTELLHLSQLALCFYNIKEGTKFGNVKVLRATTYCPGSVAYNITFEASSSDDRCQNFPNKCMYIYSPAS >KZN06068 pep chromosome:ASM162521v1:2:29874991:29877347:1 gene:DCAR_006905 transcript:KZN06068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIQELYEKGGDEADADHVTRESSNKTDAFLRDETNQTTKNGKGKDHKESSSEPDTSGDQDDSHVPKKRRLIWTTEMHQKFLDAIEQIGHDRAFPKKIVEVMNVPGLTRENVASHLQKYRICLKKVQEGMDKYYWGPNTEANCTRVPYAYESLLGTPRHHFSGSSHLASRNFYSMSSSSHSSLYGQCSAIGNSRVRLAPPSYSSFDVSSLSMNTGNVPTQYNQMTEVNTNVRGYCDDKRNKLLSILNRRPTSNTPDDHATSSSSTSNSAFIGLRIASDGKSLFLGGSDRSEIVPAENYSAKRNDFNLQESALPPLTCSNIENYFQDSSLPPLPGSNTEDHFGDSSFPSFPEFQVENSWQQLKTSENEHISWQQLARNIEDDLITFNPQQETYGTNETENGHTGTYLEQQNCLHSLPWEILENADWELIKKILPNNHTVNPLPSLSAPSNPNSNMASCLINNNLSNQEDSLPPLPSDIPWSLYPDSNSSNHSANVLNQQNLLPPLVSETGWNETSDVSTMPINNISDHQNLLASFPSDYFWNLDSDPVHPIIVPPSVPEAYEGAVQQPPSLPDNTVNQVIPQPINTYFSESNFEEGIGDIKNLEEGIGDSSPGLLDFIGFHDTLSNE >KZN07671 pep chromosome:ASM162521v1:2:42578001:42583840:-1 gene:DCAR_008508 transcript:KZN07671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDYLAQERNKAGFDVDSMKIVWYGSQRDFDINDRMAKLVASDPVFSKENRTMLPRKDLFKNTLKKAAYGWKRIRELNLTFEEATKFRTYIDQPAFTDLHWGMFIPAIRGQGTDEQHKKWLPLAQKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKVSTHGVVYARLLTDGQDHGVHGFIVQLRSLEDHTPLPGVTIGDIGMKFGNGAYNSMDNGVLSFDHVRIPRDQMLMRVLQVSREGKCTQSDVPRQLIYGTMVYVRQTIVSDASVALSRAVTIATRYSCVRRQFGSQNGGPETQVIDYKTQQNRLFPLLASAYAFRFAGQWLSWLYKDVTDKLQANDFSTLPEAHACTAGLKSLTTSATADAIEECRKLCGGHGYLCTSGLPELFAVYVPACTYEGDNVVLLLQVARFLVKTVSQLSSGNKPAGTVAYMGRAEHLMKCRSSVERAEDWLKPSSILEAFETRAFRMAVACSQSLSQFANSEEGFAELSADLAEAAIAHCQLIVVSKFIDKLKEPIPGKGIKQILEVLCQVYALSTLHKHQGDFLATASITPKQAALAFTQLRHLYSQVRPNAVALVDSFNHTDHYLGSILGRYDGNVYPKLYDAAWKEPLNDTVVPDGYKEYIRPLLKNQLHLAKL >KZN07650 pep chromosome:ASM162521v1:2:42439798:42444584:1 gene:DCAR_008487 transcript:KZN07650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSNFYKNPSYAYNKDLNLNSALQNLQAYNLATGNLPPPTTQEFAEIPGENFVAGRRKRRREHWKPPEQNTHLQDYDDQPMSHQDYIKKRRRELKFCQVLEELPASVLCCGDDDGLIVIQGTSNTGVPLVEYESDGTTSSGHEDNEISASSLVKNPCEEKQNQPDSADRVKNRSEQRFPVPGEPACILCGKYGEYICDETNDDVCSIDCKAELLENIKREKVLSRNLTAEKSSPGLNCPTKLYEFGGDTWNHKNHRWSAKKSSLCTYRCWKCQRPGHLADDCLAVASIFQAASSGETCSQPQVTLFQKKSGFIPRDLSELYKRCHQYSRNEDAARCNSCNNSSDLATCLECNITCCDR >KZN04042 pep chromosome:ASM162521v1:2:1146094:1151350:-1 gene:DCAR_004879 transcript:KZN04042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLAEIRELAYDVENVVETFLVKASSSPGKIIQWMKKRKFSRKIEDINRKMCLVFNQFDDCNMKSKSDSSHGTPGRLRRFHTFTTVEPKIFVGHEADVDHLVGLLVDESDRCYPLISICGMGGLGKTTLAQKIYNHSTINRHFSGSAWVTISQKWQTKEQKQKCFIVLDDIWSTDAWDSLKAAFTAERSVSKLMLTSRNVDVAEYVNPKGLIHQPETLSPDQSWELLQLKALPTRGEYIATGFQKLTKLALKCLFRLQSWRVERGSMPVLSELAIYACDSMKELPQGLAYLNSLRKLNLTGMPPEFCDRVGVVNGEPGPDFYKIAHVPEIITKL >KZN06524 pep chromosome:ASM162521v1:2:33714874:33717228:-1 gene:DCAR_007361 transcript:KZN06524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPERKEEEEHVAAEDEDTGAQVAPIVRLEEVAVTTGEENEDTILDLKSKLYRFDKDGNQWKERGAGTVKFLKHKETGKVRLVMRQSRTLKICANHLVHPTMTVQEHAGNEKSCVWHATDFADGELKDELFCIRFGSIENCKNFMETFQEIAESQVKKEESEESTTAAEQLDKLSVKEKKDEEKTEENVVPAATQEKDSEKESDKVESKKDE >KZN05928 pep chromosome:ASM162521v1:2:28463529:28476670:1 gene:DCAR_006765 transcript:KZN05928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDCVEQGVTSGFLSSINFGIVTDEDVEKVSVKEIEVPNDVIHPMLGIPTPMSSQCLTCDAKNVKTCEGHFGFIKFPYPVLHPYFISDVVKILNRICVKCKSERIRTKSASSPSTKRKPKRKRGCCKYCDGRSKDEYPRTIFKVSGKEMFESTVILARINERSRTPRVASDYWDFLQNDPQEGNTLKPHERILTYTQVQELLKGIDLRFMKDFVKDEKNLLSMNNFPVTPNSHRVNECGQQMRFDERTRFYRKLVDFKGPANELSARVLDCLKMAKLKPEKVSVTANGPQMFHNFEDAAPKMSGLKFIKELILGKRSDHTFRMVVVGDPSIKIDEIGVPCHIAQAMQISEQLNSQNWENLGLRILTRGETYVRRDGGLVRVTMKNDKLRMGDTIHRPLRDGDMVLINRPPSIHPHSLIALSVKILPTNSILSLNPLICSPFRGDFDGDCYHGYVPQSMDSKVELHELVALDKQLINIQSGQNLLSLTHDSLTAAHLVIEDEVLLNRPQMQQLQMFCPQHRSLQLPAIFKASSTSPDTHWWTGKQLFSLLLPPDFSYNCPSRAVQVSEGEVICFQSASSWLRDTKGNLLYKLLSHCQGEFLDFLHAAQRVLGEWLSTRGLSVSLADMYLSSDPHSQKNMIEEVRYGLQEAQYVSHVKLLMVDSNLDFLSGNHEETESTRAFSVDHSFCDQQKSAALSQVSVGAFKQVFKNIQNLFYQYASEDNSFLAMLKAGSKKCNLTRAVQHGLCLGLQHSLVPLSFKIPQQLSCLTWNYQKELESLDSSADPPEFSSSYIPYAVIQSSFLSGLNPLESFVHSLTSRDGSFGGHADISGTLTRRIMFFMRDLCMAYDGTVRSAFGNQVVQFFYGISTTAPENSDETLTDGACTMGGQPVGSWAACAISEAAYSALDQPISAFEPSPLLNLKAAMSQFSEQLKKVLKMWFSAETCGQSRDSPWVCHFHINKVMATRKQIKVQSVIDALKMKWNSTRVKSNAHLPDLKFTSNECSENITSVCINVRIVGSNRNSGPQLETLEETVIPLLLKSVIKGSSNVHKVDILWKDISNKSTSQMGVSGELYVRVFMSETCDRSRFWAVLMGDCLQLMDLIDWKRSYPEDIHAAASAFGIDFAKTQFLSKLASALSDTGKAVLPEHSILIADCLSLTGEFVALSASGLARQRKQTAVSSPFTQACFSSPSDCFINAGKMGSMDKLQGSIDALAWGNSPSLGTGAQFDILYSGKGHEVDKPSDIYNLLGSGVGTQEQKVKVPREFSNISIKSLAKKSDFLRKNFKATHFLWMSKELRHILHKYKVNQQLIELDEAIAAAALFFHPRSSEKIGCGLQGIKIGLSLKGETSQCFQLIRTDGTIEEFSYHKCILNALKQTAPRSAETYESKWLGERL >KZN05615 pep chromosome:ASM162521v1:2:25644135:25653602:-1 gene:DCAR_006452 transcript:KZN05615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPELLPRDRKELFRERKNERSLSEGGGSNRWRDVKRPGGYVRGFPMLSEESCRGSGPSRSNDKMLDDESGRSLGKYGRSGRENRGGPFSQRGLNRHSSDAAANSSLNGPIMQFNVNDKRLVDDVLTCNRIDLKDTPDKSGGVSGPCTGQKLDGENSLSSIDWKTLKWTRSGSLSSRGSGLSHSSSSKSMGGDSTETKSNLHPLNVSPLESPSCDAAAFASPAAGANSAAPTEETSSRKKPRLGWGEGLAKFEKKRVDSPSDTAVKIGMVLSENNTENGRSHVINVPDRSPRLAGLLSCTSPATPSVACSSSVGLNDKFLGKAVNVETADVCGSPLESLNQCEDLSCNPVTLEDTLVANLYQINELLKCNDQVSTDSDFVKSTVMNKLLVLKADVSKRIEATESEIDSLENELKLLINDTGSVHPRPASSSSLPVVCFNKPSEVDTASNLIPRPDPLQTSGDLLKDRTYGGSEGELAECKDEDIDSPGTATSKFCEPMYSGKPVSQADIPNLVESSLNVDACRNGSEVKSLVYAVEEEGTDTRPSCLVDPDNVGQTENVYDLMMASNKEAAHRASEVFSKLVPDSSSSFDIQIDDNSPCLKVDPSVEEKFARRKRFMKFKERVITLKFRVFQHLWKEDLRLLSIKRSRTKPQKKFEFGTRMLPCGYQKHRASIRSRFSSPAGNLSLVPTTQVIDFASKLLEDSRTKVYRSALNMPSLILDNKEKIMSRFISSNRLVEDPCSLETERCIINPWTSEEKEIFLDKLSVFGKDFRKIAAFLDNKTTADCVEFYYKNHKSECFQKAKKKPEFAEKGKSFSKNTYLVTSGKRWNRDDNVASLDLLGAASAIAAKDDGLKPQKCAPRLIFSSCNSRTARGDDVLSKRSSAINILGSERENVAADVLAGICGSLSSEAMSSCITSSVDIGEGYQERKGQPMRMKKRRSVTPEVAQDVDEGICSDESCGEVDHADWTDEERSVFIEAVSSYGKDFAMISQCVGTKSSNQCKVFFSKARKCLGLDMMHPGSCSGVASLSDNGNGGGGADTEDASLVETGSGVKSGSKTDEDLSLSSTKVVQTETFPAGTINIYPDADKLKEINGAGESDVIVDEPRPEDAVSAGSKNKNVCLVLDNDNRFETCDGSESGAVKNLENGLGPHTKTIQNEASEGKQAVVQEVSGFVKPSYANLESGVYGDNSRAGEAGSEFSSHGNSFNETGLKNAPLSDGNIPVSAGTDLLATGSLPGCEKINPIILDDAPFSVLQTPVVQDPGAVQAENSLNLTSHLVPGKISNSQLKISVNSKDGYDKPLYQPPSRDDINPLNSLQAYPPSVPTKKALNGDIGSCKSTPSQSISKVDRNHYTDLLLPRDSYLPKSNGHGPKHNEIRSEHHLLSQDQLNDNSRRPRPRCLSDSDQPTRKGDVKLFGQILSHPSTQQKPNSRAEEKEDRDAKHAKSSENSYNLKIPSQNLDGILTSTKFDHNSYLGLRDMGLPMRSFGFWDGNRIQTGFPSMPDSAILLAKYPAAFGNYPPSSSTVEHQHLNRITERNLNGVSVMPARELSSSKGVADYQAYMNRDGAKVQPFAVDLKQQQTRYYSEMQRQRRGSEYDGLPSVQQQRAMVGLEVLGRGGILHGGGGPRNTVSDPVAAIRRHYAGEQYNGQIGSIIREEAWRSNGNVGR >KZN05994 pep chromosome:ASM162521v1:2:28969424:28972425:-1 gene:DCAR_006831 transcript:KZN05994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSDDLDQLLDSALDDFQTLNLTSSAQRSGDGGNKEYSGTSMIGGGVQGLGMGLPDLKSKKMGKQKVVKESHHVSEALDKLREQTRETVRGLESITAPMPEFGNDAMMEDWVKQFEELAGSQDMDSIMETMMQQLLSKDVLQDPMKEIGERYPKWLEDHKATLTKEDYDRYFHQHELIKELNGVYETEPGNFNKIVELMQKMQECGQPPNDIVQELAPDFDISTLGQLSPEMLDSQENCCIM >KZN06352 pep chromosome:ASM162521v1:2:32117875:32118587:-1 gene:DCAR_007189 transcript:KZN06352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHNLKRQFSPSEVLQLLDRFYNLDMLEPEYEDIELLNQEEDFCLPRSFFVKEELLNSTTIGGI >KZN06793 pep chromosome:ASM162521v1:2:35881655:35882741:1 gene:DCAR_007630 transcript:KZN06793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQKASEITLFDENVVVYKFVEDLHFFVTGGDDENELILASVLNGFFEAVTVLLRSSVDQREALTNMDLIFLCIDEIIDGGLILETDGTTIAGKVASHTIDEGAPLSEQTITQALAAAREHFTRSLLR >KZN06882 pep chromosome:ASM162521v1:2:36585426:36588061:1 gene:DCAR_007719 transcript:KZN06882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGEIPEEIGLISSLRVLDLGGNALVGKIPKSIINMTNLEYLTLASNELVGGIPSEIGLMKNLKLIYLGYNNLSGQIPRSIGELSSLNHLNLVYNNLTGEVPPSLGNLSNLEYLFLYLNSLSGSIPHSILNLQRLKSLDLSDNLLSGEIPELIGQLNNLQILHLFSNNLTGKIPDSVTFLPHLQVLQLWSNKISGEIPKNLGKYNNLTVLDLSTNNLTGKIPETLCESGHLAKLILFSNSLEGELPQSLGRCTSLQRIRLQNNRFSGQLSSEFIKLPLVYFLDISGNKFLGRISERTWSMPELEMLNLSRNKFFGNLPESFGSKNLENVDLSENDFSGPIPPSFGNLSELVQLKLSKNKLSGNIPEELSFCRMLVNLDLSHNQLIGKIPISFSEMPVLGQLDLSCNQLSGEISHSLGKVESLVQVNISHNHFHGSLPSTGAFVAIKPSAVTGNNLCGGSTSSLWPCKGLKTSAWWFLLSCIVAALAVLGLSAFVILLLVRRKRITNLQRMERSEDGSWELQFMHDKACKWITIDDVLSSVRDEDIIIRGKFGNSYIGKSSLANMHMQFLINDISSISPSQVCEFYRLQHPNIAKPIAICMSAKGGYLVYEYVEGNFLREIISKISWENRKDIAVGIAKALKHVHSHSSPSTLLCEISSDKIMVSEKDEPCLRLTLSGTTSSMDTKCFLSSAYISAESCRETKGTTEKSDIYGFGLLLIELLTGRSSSSRVELSVHENIVAWARYCYADCHLETWVDPIIKAYALNHHSQIVETMNLALQCTACDPAVRPCANDLVKTLKRIMISSSCVIDID >KZN05706 pep chromosome:ASM162521v1:2:26485128:26492364:-1 gene:DCAR_006543 transcript:KZN05706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGSEPQPTSTQLQPETDPDPNLDQPSNPQIGSQEPESQPQTSPENEIEPEAQPTYAPIQTQESLQLEQEPESKPEQASAGDEANAGDQATGEAIPVEEKKGEVNRTLTMRELLDELKTEEQSEDPAAATPRSQESTTHQQNSTAMDLINGVMSADEEGRSRQRILTFAAQRYASAVERNPEDYDALYNWALVLQESADNVSPDSSSPSKDALLEEACKKYADATRLCPTLHDAYYNWAIAISDRAKMRGRTKEAEELWKQAAKNYEQAVKLNWNSPQALNNWGLALQELSVIVPAREKYTIVKAAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTSRSGLPVNGDDVSSNELYSQSAIYIAAAHALKPNYSVYTSALKLVRSMLPLPYLKVGYLTAPPAGVLVAPHGDWKRSQFVLNHEGLRQINNAKDRQMPRSLSKSGDAENIHKSSLVEINVADIVSVSPCADLTLPAGPALCVDTVHGSFFLIADSWDLLDGWLDAFRLVYTIFARGKSEVLASIIG >KZN07161 pep chromosome:ASM162521v1:2:38762413:38764212:1 gene:DCAR_007998 transcript:KZN07161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSMDSDPEAVIIINSSQQDAGFNRSKRERHMKTPSQLEALEKAFTAKKYLTPAEMDQLAAKLGLLESQVRMWFYHRRMKYKKESPGKRSLKVSGRKKRMIRYSSSDESESESDEFGEITPDEYEEPTNAAALTGHRGCSANSSDGTVVEGSRHKKLKLEYPPSKEAQSLLIKSACVRSHFVVQSQNAGEEIETSKKSLSKVEAELDDMEKKVQSAKKEVKDLKSRLGEDDVIAALRTELTAMKAGLDAAQLEKDAAAKLFEEKLNAKNQELGDLHRQLETERAWHKEDKHEAYARFGNDVVALIRKFKATT >KZN07315 pep chromosome:ASM162521v1:2:39950043:39950375:1 gene:DCAR_008152 transcript:KZN07315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCCRGEASSMVFPHIHSTERESLLGNIDDEQHAHREVKIKITKEKLEELLGKSELQGMSVEQVLSGLISASADHHHYYYTNDSLHDHVDLFHHRSWKPALQTIPEVN >KZN06336 pep chromosome:ASM162521v1:2:32019222:32020033:1 gene:DCAR_007173 transcript:KZN06336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFKIHLLFLLISLLAADFLHTTSGSQLLNEKQFEDQACVVVGGQQVCGQPSRRQQPGGRQVQQPSIPKRQQPGGQQGSQYGRNPHQCDNIVGGQQSCGQQQGQLGGSQQGQGQHGSQQGQLGGSQQGQGQQGSQQGQSQGQLGGSQHGGDQKQCGNIVGGQQQCQGQQGKQGQGQQGSQQGKQGQGQQGSQQGQGGNNPCQDGYQQGPYGQQPCHKHE >KZN05016 pep chromosome:ASM162521v1:2:18963520:18964266:1 gene:DCAR_005853 transcript:KZN05016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRIIILLAIALPALVSAKEFMVGDQKGWTINFDYQQWAQDKEFHVGDKLIFSYPVGAHNVFKVNGTGFQNCIKPALSEALTSGNDTIVLATPGRKWYICGVGQHCAAGGQKLLIVVNPGAMSPSVSPSQSFGAPTQTLPATGSADGTVVASFQSIMAITLAVLFVIAV >KZN06641 pep chromosome:ASM162521v1:2:34629632:34630881:1 gene:DCAR_007478 transcript:KZN06641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSERGLGEVSNALEFSDDDDDFVTPAEHFGKSKPASVQIDGKKKMSDKAADMLAEEIKKNTKKRNRGTEDMKVSNMDAHLVEGSRRRTINKALNGKSTARPAGRQVTKPMQEGKHDNAGNEPKKSKGRSHEGYLQKKFTPAIISDLFVKLSEQQVQWVKKTGFGELLNFESSRYPHKLGYNLAQAFDVGNCALVLKCGTIEINDKEVNNVLGLPMGNLVLTTDRTETNSTVWAGQFKEKAGCEISPTMLRDSMVLSKDVDVIFKLNFLVMIYNFFIEGHQNRYLNRDVLKLELDLDGCGRYNWCRLLIDKLRTSHTYWAAEKKKRSFTGSLTFLTVSCLLCRQCTYWCTILYKHQEERNTTGSSTMA >KZN06461 pep chromosome:ASM162521v1:2:33103610:33107791:-1 gene:DCAR_007298 transcript:KZN06461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFKDVVMKLAENAAPELKIVIQEQLAKFTSALHTPDHPPYAAMIHNAIIELNDKRGSSEESISEYIKNQHTNLPLAHNSLLKHHLGKLCESGEIVVTGKHLYLLPGSNPTLESRVRTEKDRVTRKRKARKGRGRVGKKCRTETDKHGESHGEEHGETARFYKGNDGIILDNNEIKVDNKVNGVGELDQVVKQIELENRMLDEQSQLHEQLSHEICAPVVDSLQPEHSSPDRPPGFDYIILQSKQNCIIVQEPELISDSGRLSESETLLQVDQEQWRLQGQANCKYHIRSEGSKSASSDFSTELLTQNQQQRMDPLNSDGLQGVKSEDVKLLESHPKNLKQYGRRRKLNPMTKEKEIQDVTAELEQKTKQLDLSDLDKSSQPLPESEQQATLTSNDVDMNSKQQHIEQHDKSVSNDHIEQQGTKKVDQVVRRSQRLSKSTQTYTLSLDLLPSSQSQCRRPAQPILKNDQSSLQVQAPQLMRMDVDYVNLAERIQLLQLPAVDNIQTTKHTDTGSSESSLDQVQMVKTTKELQQHAKLRSWQKRCTSHENTQTACPGSSSAIGPTEQMSQVPLCLEYYQQPVALKESMQQQAHTKLSGTTKLVADTSMKDMPTLDQRWTQMPQGRGRGRGRPPKMKLGQRSMQNP >KZN04988 pep chromosome:ASM162521v1:2:18667428:18671517:-1 gene:DCAR_005825 transcript:KZN04988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGRLGVPVSLSDRVQMLMQGGERFVPVPGGMVSESFAKDALLAWFLGEFTAANAIIDELMIHIGELPGFDGKNYEAASVAIHRRRMNWVAVIRDRQFFPITEVARELRKVARKVREDGKVTEEMNGGGDEVANRGSCKCKESHESDEMPETGSKAVQQMLQSSSQDDCEASAMNLTKGFTAKEPPKLHMATKGLKVYDNIFTDTQLSKLTDYVNELRVAGQNGELSGATFIRYDQATQQVSGGNKRELIQLGAPIFGDHVIKEAARNQFLENQVEPIPDTLQGVIDHLVQGHLIPEHKKPNGCLINYFDEGEFSDPFMKPDQLEHPISTLFLSESEMAFGDTLVSDDDGKYKGSLMLTLKEGSLLVMRGEKGNVARHVMCSSQNKRITITFFRVRMDAQKTPSPIPPMTSDAMTPLQPGDPTDAAANGAGSSGKDALDLVPKLSVSGTPMVMVPQVPQTVLSPKSLSPGGTGVFLPCSANNSRGHNKNRARRRAQKGRKDGF >KZN04341 pep chromosome:ASM162521v1:2:8538479:8546371:1 gene:DCAR_005178 transcript:KZN04341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIDTMSFLVITLFVVILALLEAGSVDAKSGLLPQEEVDALREIGEQLGKKDWNLNENPCDQNNQNWRTAKSSGRPWYNNTVECNCTYPDGVCHVEHIILKGQNLDGILPPSLYKLPYIKIIDLTNNYLHGTIPREWVSLRLEYLCIIVNRLSGTIPGYLGSITTLTYIDLESNQFCGTVPPELGNLVNLRSLMFSSNLLTGPLPSTLARLINLTDFRISDNNFTGKIPEFIQNWKQLKRLDIGASGMRGPIPSGISSLDQLTSLTITDIAGPTQPFPNLTRSAGNLELLQLRNCNISGEIPTYIWKMALLEKLDLSFNKLIGQISNDITGVKFKFVFLTGNMLNGDVPNSIFSIEGASVDLSYNNFTWQGPEQPTCQQTSRDILPCSKDFICPKYGCSLHVNCGGNDLKEDKEKFTNEGDDTAVGDAAKWYPSKNNQWGYSSTGDFQDDGNKQNKAFVATLPSPNIPRMYTTARLSPISLTYVRYCLENGIYTVSLHFAEIQFNTSRSLGRRIFDIYVQALAMHQDKTLLELVDPRLGSDYNKEEAMRMIEVALLCTTRTHALRPVMSSVLGMLRGDIGIQELKVEDPNMYGEDYYNFQGLRDKYNDKLKRRKSSSESQYEIPIASSSDINNASSSTSMHDLYPVDLYPHTESWISRDDSSLTSAIKE >KZN05178 pep chromosome:ASM162521v1:2:20865366:20867393:1 gene:DCAR_006015 transcript:KZN05178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPVTAPRKKMTKQLTGKRDDNQLHSACRAGNVSLMRKLFSECNEEELAELLSKQNSSGETPLYVAVEYGYVELVRELIEYYDLATAGIKARNGFDALHIAAKQGDLDMVKVLMEAHPELSMTVDMANTTALHTAATQGHREVVYYLLEMESSLANIARSNGKTALHSAARNGHVDIVKALLSKEPGLATRTDKKGQTALQMAAKGQNMEVVEELIRADPSSINMTDNKGNTALHTATRKGRVQIVRVLLAQSETDKKIVNRSNETALDTAEKTSQAEIAPILQEHGVQSVKSMPKANPARELKQTVSDIKHEVHHQLEHTRQTRKRVQGIAKRLNKMHSEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPKDVTPGTSLGEANIAPRVSFLIFFIFDSIALFISLAVVVVQTSVVVIESKAKKQMMAVINKLMWLACVLISVAFLALSFVVVGEHERWLAIGVTIIGTTIMATTLGTMLYWVIMHRIEASNLRSIRKSSRESRSQRSLPASINSDTELANEFKKMYAI >KZN06903 pep chromosome:ASM162521v1:2:36767249:36768310:-1 gene:DCAR_007740 transcript:KZN06903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRPSRPIDILAAAAANDQSRHPDNHADRSGSGSESNKLSGSDPDSVVIPKPIEQNSPNRKSSRKRSLPKHRLLDESEGSSKKARVGSIGSVNRVWSEQDEIGLLQGMIEFKSRKGEDPYSDMNAFHSFVQDSISVHVSKKQVVDKIRKLKYKYLANAERGDVVFVKPHDETVFELSKVIWGGDGSVRVTKDKEDGNVVENGMNENGVEVDDEEGGNVVENGVNEKFVVVENGIEVDGEEGGNVVENVVNGKVDVVENGVEVSDEREDGGRDFKEVYPYLSIGWESDFTCSSLFKNYLTGNMHSVGSGKLREMDKKWKNLYMEELKLYVKKLDLVKEHAQAVLDRLSTSDS >KZN04876 pep chromosome:ASM162521v1:2:17487465:17488931:-1 gene:DCAR_005713 transcript:KZN04876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLSFPLLLLTLIIFSSTTFSSSQATKTSQIITRDLYKSIFLHKDDSACPANHFYGYSAFIKATKRFPSFGNSGSLATRKREIAAFLAQISHETTGGWATAPDGPYSWGLCYKEEISPGSSYCDSTDLQWPCYPNKTYHGRGPIQLSWNFNYGPAGKALGFDGLKTPELVSNSSVTAFKTALWFWMTEQKPKPSCHDVMTGKYKPTAADLAANRTAGYGLVTNIINGGLECGIVSDARVNDRIGYFQRYAKLFKVDTGPNLDCESQKSF >KZN05858 pep chromosome:ASM162521v1:2:27813522:27818173:1 gene:DCAR_006695 transcript:KZN05858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQDSSHTRRPGRQASHEEEELEEEYGTNLEDLNLSNLSKLVLPPLGASSYSNKNTHSSAELRHNLKRRKIISPMATKYRYWETWMVLLVFYSAWVYPFEVSFLKSSPIIGIYIADIVVDLFFGVDIYLTFSVAYFDHKTQLIIHDPSKIAKSHDLGLPYSLLGLLRFWRIRRVKQFFTRLEKDIRFSYFLIRCARLLAVTLFTVHCAGCLYYLLAELYPHEGRTWIGASNPNFRETPLAIRYISSMYWSITTMTTVGYGDLHAVNTAEMVFIIVYMLINLGLTAYIIGNMTNLVVEGTSRTMQFRNSIEAASNFVSRNHLPVRLREQILAYMCLRFKAESLNQQQLIEQLPKTIIKSISQHLFIPTVKRVYLFQNVSRETLLLLAVDMKAEYIPPREDVILQNEAPDDVYIIVSGEVELIDHSEMDQKEEIVGILQPGDMFGEIGALCCRPQAVTYRTKTLSQLLKMKTSALIEAMHSKQEDYIAIIKNFLQHHKRLKELNIGDFIADSGNQEDDDPNMSINLLTVAGTGNAAFLDELLKARLDPDIGDSKGRTPLHIAASKGHEECVLVLLKHACNIHLKDIEGNTAMWDAIAAKHHSIFRILYHWASISDPSTSGDLLTTAARRNDVAVMKELLKHGLFVDSKDRHGSTPIQIAMEENHSEMVELLVMNGANINTDDLPKTSHKFLSSLNLDEMLQRREVGHQINMLDNVVDNVTAKNLEADDPLKEFKKEKSSKGSIFSIRVSIYRGHPVKRRENCCTEAGRLITLPVSLEELKSIAGKKFGFDATNATITDEEGSEIDSIEVIRDGDKLFVVEDTSSLI >KZN04585 pep chromosome:ASM162521v1:2:13051561:13055345:-1 gene:DCAR_005422 transcript:KZN04585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAEAEMNGADSNAFPIAGPSNNLNLSSSPSREEDFYDKLTKFYQTSGLSLIFDFRETKVNLYRFYKDVIDRGGYCQVSKDGLWDEVASSLNADSSVLVPPNQIQMLYANLFYQFEQTYYYRSPSKVKEAQLLKAALTGQSFSSGKKSGGLTKKRKHSEGNDDSLVEKRASVQSPAHLPTGPAHLPTEIKSVERNSPLQVPTEETGVVLKTPTKAKETKKAYMKEMKKHSNAPVGFRTGYMIFLKMECERLKMIHGEDSAGQYRDMANEAWRRLSESARKPYIEASKRDKERYTREMAEFKIVYDQIAETQNVVTTNPNLVIDFAKPSLPSLQTDGDYHVSLPDDAYHVALPSDAGDTVTNEQLAAEIVQNSASTDPEFQLNWDGYT >KZN04026 pep chromosome:ASM162521v1:2:798449:798817:-1 gene:DCAR_004824 transcript:KZN04026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDESISKRSSKREKFDAEQMVEVMYNAPKMIAAEFANSAKVVAAEFASSAMLLIAVETDRLEKKKKIMNELAKISNIDVVQRFNTAKKIADSENLMVLFFGASDAEKKQLVIAMLAGEI >KZN04245 pep chromosome:ASM162521v1:2:5164631:5164852:1 gene:DCAR_005113 transcript:KZN04245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLYRGFNHRAKQLISIFSHSNSCVLDYICIYVYLYMWIVMLFVGLSWLLMMEVLVAVAGGAIMAETGVETTL >KZN06013 pep chromosome:ASM162521v1:2:29149881:29152017:-1 gene:DCAR_006850 transcript:KZN06013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLLSLNRGDILEYTSNHESIDDNLHEDLRESDFAKPSSPKEDSVLILREAAAAVRGSIDKPWDVPWTLETILQVSLLWMTAFWFVGSWLVPCGADMMGYNKATLTYRGQAFLSLLTDVMEGLAGGAILYHCLSKFHPLPSDWFTFSLKGNWLFDVVVGCLMFPLVNRLSQFNLDLLPILPSTSVSSIEQSIIAKDPVAMAVYAVLLMVLANMGLDPRKFSCICSST >KZN05591 pep chromosome:ASM162521v1:2:25376253:25382353:-1 gene:DCAR_006428 transcript:KZN05591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPGRIRNPVKREVEENPDLKSDRVKEEEEWDGDDKRKHRSSRSKRSGNGEDSEGVDSSARKRTGDRNESRKRSAGSSRIGGSDEDDYESKKELRSKQMKKKQDESALEKLSNWYQDGEAADNKHDGVYKSGKRGHGRADEHERHGRADEHERHGRADENERKDLASKLLDQDNIQSKNKVKNERVNDVEIEKGDRSSKGRDDSWSNRQRNREDSRDNWRRRQPNIHDKDFKDGDTVYDHGRERDLQRRGRDWIDNDRPQGRFGGRKDGIRTEAVKTSSNYGIENYDVIEIQTKSFDYAREEPKFPSDRSNEAGQQADTKLPPNDKDDAYQQENRAGKVDLYGSGQPVEDSKDRFMDDGPSRDQNSWRGEIDSPSGNARGEKGARFNAGKANGQSSSGGSQPRHVNEETGSFNRAVPGGNRGNRIGGRGRGRPTGRENQQIPMPVPMVGSPFGPLGMPPHGAMPPLNHNMSPGPGPPIPPGVFIPPFSPPVVWPGPRGMDMNMLGVPPGISPVPPGPSGPRFSPNIGPPPNPAMFYNQPGSGRGGSPNMSGVNFNVMVPSGRGQTQEKPTGGWVPPRSNVPHGKAPSRGEQNDYSQNFVDTGMRPQNYIRELELTSVVEDYPKLRELIQKKDEIVTNSATAPMYYKSDLREQVLSPEFFGTKFDVILVDPPWEEYVHRAPGVTDHMESWTFEEIQNLKIEAIADTPSFIFLWVGDGLGLEQGRLCLKKWGFRRCEDICWVKTNKTTATPGLRHDSRTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTAKPEDMYRIIEHFCLGRRRLELFGEDHNIRSGWLTVGKDLSSSNFNSEAYIRNFADKDGKIWQGGGGRNPPPEAGHLVVTTPDIESLRPKSPMKNQLQMQQQHSNSITLTSANSGNKRPGNMPQMYNGPGMSEEASNSFNNPPQMPWPPQMEGFRGGDVGVMGSEDKVFDMYGYNAPFVQPPGDYPDYESHRSMNML >KZN06377 pep chromosome:ASM162521v1:2:32335746:32335922:-1 gene:DCAR_007214 transcript:KZN06377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKKRNFEYKKHITKTHNKLIKINRKTMKRLYLDHDQTYKSTYKVSRWIYGQNLDKSK >KZN04710 pep chromosome:ASM162521v1:2:14965569:14967717:-1 gene:DCAR_005547 transcript:KZN04710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGVLLLLLVVVVLSVGVNGDSSKEILVKKVKGHRVCSQGWECKQWSKYCCNQTISDLFQVYQFEELFSKRNTPVAHAVGFWDYQSFILAAAQFEPLGFGTTGGKLMQMKEIAAFFGHVGSKTSCGYGVATGGPLAWGLCYNRELSPSQTYCDDSYKYTYPCAPGAEYYGRGALPIYWNVNYGAAGEALKVDLLNHPEYIEQNATLAFQAAIWRWMTEIKKGQPSSHDAFVGNWKPTKNDTLAKRTPGFGATMNILYGEMVCGQGDIDAMNTIVSHYQYYLDLMGVGREEAGPHEVLTCADQEAFNPATKSKDDS >KZN05380 pep chromosome:ASM162521v1:2:23235677:23240584:1 gene:DCAR_006217 transcript:KZN05380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTDIYIPAAENGPTGENGVHEQVPNKWKEEMSQEEVSGTTKSSSDIEESIGNFKEMLQLNGNSLGQEVDPSMLSDQSNSLAVSKEPEVKEMNESKGPTFRKDIVTSKNGKHLGPKKASGTQVKSNKEGNMLRSTPSQVKQSKICNGRSASENVTESQVLLEKMKPDKGIKLEPNKAEGNTGTGDGKPQRAGMLPSYGFSFKCNERAEKRREYFSKLEEKIQAKEMEKSDLQAKTKEQQEAEIKMLRKSLKFKATPMPSFYQEPPPKPELKKKPTTRAKSPKFARKELLSGDSEENSGRHIRSSRLSVDDKLIQKNSAKGPSTIQLKKPMRKSLPKLPSQKTNMASEKNAAASQKIILVEETNKLVSQETSESDTSNASSVQNNVEPHVDPSLIQSDISYAPEVKDGILMQETITSEY >KZN06991 pep chromosome:ASM162521v1:2:37416941:37417897:1 gene:DCAR_007828 transcript:KZN06991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLFWAIRGGGGASFGVIISWKIKLVRVPEVVTYFNVDKKLDQGALELVHRWQYVAHKLPEELFIRIITQNVGQGNEKTVQASFQSLFLGEVSELIPMMGEWFPELGLRAENCTEMTWMESALSFAGYLKDDSWDVFLTRTDHYQSNFKAKSDYVTEPIPKSALEGAWKQFSAEESVFMIMEPFGGRMDKISKSKIAYPHRKGNLYNLQYMVKWDVNTAEATKKHMQWIRKLYKYMKPYVSHSPRAAYQNYRDFDLGINKQPNTTYNEAAQWGKKYFKSNFRRLAKVKTKADPLNFFRHEQSIPLISKVKDHHFDQ >KZN05648 pep chromosome:ASM162521v1:2:25952868:25955097:-1 gene:DCAR_006485 transcript:KZN05648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKVIILMFAAVVEDIYKRRQPLPSMHAIYFMQPTKENVGMFLSDMAGKSPLYKKAFVFFSSPIPQELVSYIRRDTSVVSRIGALSEMNLEYFAIDSQGFITDNGSALEELFGDESSSRRADERLNVMATRIATVFASLREFPFVRYRAAKSLDTDTMTTFRDLVPTKLAAGIWNCLVKYKSKIKDFPQTETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVASKAGGPSEKKDVLLEDHDPIWLELRHAHIADV >KZN06176 pep chromosome:ASM162521v1:2:30779909:30783851:-1 gene:DCAR_007013 transcript:KZN06176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRANKSIQDLSWRPTVRRHSETSVTGKFSWKITHLVPSYRPPQKQRALVKAVVSRNGDNNKSSSAAEQVQVNQDSEPVSKSTSKDGIDVKAVITIRKKLKEKLSEKIEDQWESFIIGIGQGILIQLISQDIDPVTKSGKSIDSFVRGWMPKPSNHPNIVEYAASFTVPHDFGLPGAILITNYYGKEFFLREIIVHGFREGPLFFPGHTWIHSRNANPESRIIFRNEVYLPSQTPAGIKDLRREDLLSIRGNGRGERKPHERIYDYAPYNDLGAPDKSKELARPVIGDQERPYPRRCRTGRPPSKSDPSFESRIEKPHPVYVPRDETFEEIKQNTFSAGRLKALLHNLIPSIAASLSSTDIPFTCFTDIDKLYKVGFVLSNEEQKEVTENPFLGNLMNQVLTVGEKLLKYEIPDVIKRDRFAWLRDNEFARQAIAGVNPVNIELLKEFPILSKLDPAVYGPAESAITKEIIEEELHGMSVEELCSKLENVYLGIPFPLCFGTVMIFGLSYWMKQAIQEKKLFLLDYHDLLLPFMEKMSSLPGRKAYASRTIFFYNRTGHLLPIVIELSLPPTSNSPQNKRVFTHGNDATTHWIWKQAKAHVSSNDAGIHQLVNHWLRTHACMEPYIIATHRQLSSVHPIYKLLHPHMRYTLEINALARQSLINGGGIIEASFSPGKYAMELSSAGYSKWRFDMEALPADLIQRGMAVEDSSNPNGIKLVIEDYPYAADGLLIWSAIKELVESYVKYYYSDVNSVTADIELQAWWSEIKNKGHFDKRNEPWWPNLKTKEDLSGILTIMIWIASGQHAAINFGQYPFGGYVPNRPTLLRKFIPHESDPDYEKFIHNPQQSFLSSLPTQLQATKVMAVQDTLSTHSPDEEYLGQIHELQGHWICDNEVVKLYKKFSAKLEEIEEIIKKRNKDSRLKNRCGAGIPPYELLRPSSGPGVTGRGIPNSISI >KZN04599 pep chromosome:ASM162521v1:2:13348644:13348823:-1 gene:DCAR_005436 transcript:KZN04599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRWKPDGCELPGFNPYQFLELVRDKSLAFVGDSIGRNQMQSMICMLSRVRFRYTNTF >KZN05876 pep chromosome:ASM162521v1:2:27984814:27995502:-1 gene:DCAR_006713 transcript:KZN05876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRARDDLGNSPFKKPFGSTSRVELGQPHNATGGVSGNYGGGSPSEVGVGSSGGSGAAPVQKLTTNDALSYLKEVKEMFQDKREKYDMFLDVMKDFKAQRIDTTGVIARVKELFKGHNNLILGFNTFLPKGYEITIIEEEDDPPKRSVEFEEAIGFVNKIKTRFQDDEKVYKSFLDILNMYRRENKGIDEVYFEVAALFKHHADLLEEFIKFLPDASAVASEHNAKLGRQQLHLYEERGSPLPTVRGAHMDNKQRFQRDKIVAPHMECDRSVDRHELEDNKTMIKLHKEQKKRGDKDIMDRSNRGKEYRDPDHDMHRLSEKRKSARKVENFGGDPVPSPYDDKDALRSLYKQEFSFCDKVKERLDANNYQSFLKCLHIYSTEIVSRSELQGLIADLLGKYPDLMAGFNDFLERCERVAEYFWNEGHASKAVKMEDKEKEQRRDLDAAKEKDRFKEKYWGKSIQELDLSNCQRCTPSYRLLPEDYPIPSASQRSELGAQVLNDNWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTVKRMEELLNNISNNSVKFDSQFRIEDHFTALNLRCIERLYGDHGLDTLDTLRKNPLHSVQILLTRLRMKLEEWSKCRLDFNKVWAEVYAKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKERSQQEDNALLSIAAGCIHPIFPNLVFKYTDTGIHEDIYKLIKYSCDEICTSKEQSIKSMRLWCTFLEPLLSVPSRPLGIEDSEVPSASRNHGTKSAGTSSRGREENLVVDAAAISTKLPKVVPNADVNTSPKQVNTGRLSLLHGDILVKEDGFGLPKEPNYTGGVHKASASVGVMSSAEHPANSDAADAIDQGMKITADREATQKPTNPAAENSHGISCNVGDVTSLESSDSRSALKVNGAPTERTNLQKNSEDSVDPSKTEKEEGELSPHTDFEEDNFAAYGGSNILHNGKKIITTTHCRVGTGEDASCHDGGENDADADDEDSDNVSEAGVDVSGSESAADECSREEHEEDEDGEQDEEGKAESECEAEGLDDVLTGYGSSLSPSERFLNTTKPLAKHVASSLNDDEKRFRFFYGNDAFYVLFRLHQILYERLFSAKQPSSSAEMRLKNGKDTGSNPYMRFMKALYDLLDGSSDNAKFEDDCRAIIGNQSYVLFTLDRLIYKLVKQLQTVANDEMDSKLLQLYEYENSRRLEKYVDSVYYENAHVLLHDENIYRFECTSEPSQLCIQLMDDRNEKPEVVAVSVDPNFATYFHKDFLSVVPNKKESPGILLRRLIAPSVVRSANKLICRNKCKSADPAKSSTICMVMEGVEVSNGLECKMACSSSKISYVLDTEDFFCRKRYKREKAFTSPIQERGKVQKFHQFLSASI >KZN03966 pep chromosome:ASM162521v1:2:20538:29292:1 gene:DCAR_004828 transcript:KZN03966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISTLSPAFAFSSNIIVAQKSKKPKSSSSPSKSSDVAPPRITSNVKQNLQFLKLWKEFQKRKSGAHVPATSYRKKKVEKDDIPDDTEVYRDPTLTLYYTNQGIITAVPVLLVDGYNVCGYWAKLKKHFVNGNLDIARQKLIDELITFSMLREVKVVVVFDALLSGLPTHKEEFVGIDVVFSSETCADAWIEKEVVALRDDGCPRVWVVTSDRCQQQAAHGAGAFVWTCKALISEIKASQKEVETMLHEQRSSSMQGKLLKHNLDSQVVNALKDLRNKLSENEM >KZN06992 pep chromosome:ASM162521v1:2:37419765:37421348:1 gene:DCAR_007829 transcript:KZN06992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIKLICLVLAAFFATCNAAQEPFVNCMLIYSRRFINISDYLHAPGTPSYSALLQSSQQNPRWVNSTSPKPQYIITPSGDIEIQSSIFCCRQNGLEARILSGGHDYEGQSYRSEAPFIIINLIYLREVRVDIEDETAWVQSGATLGELYYNIAMKSKVHGFPAGVCPSVGVGGHFSGGGFGNMVRKHGLAADNVIDAYLVDAYSRILDRQTMGEDLFWAIRGGGGASFGVIVAWKIKLVRVPEVVTFFNIDKKLEQGATELVHKWQSVAEKLPEDLFIRVIIQNVGQANNKTVQASFQSLFLGEVCELIPLMGEWFPELGLRAENCTEMTWMESALSFAGYLKDDSWDVFLTRTDHYQSNFKAKSDFVTKPIPESGLEGIWKRMLQEELIFIILEPLGGRMREISKSETPFPHRKGNLYNTQYLVKWDVNNAKTVKKHTDWMSRFYKYMKPYVSHSPRRAYQNYRDFDIGMNKKHNTSYKEAAHWGKKYFKGNFKRLAQVKTKADPLNFFRHEQSIPLIKKSKYQY >KZN07743 pep chromosome:ASM162521v1:2:43294869:43297390:-1 gene:DCAR_008580 transcript:KZN07743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEIPEGSVQNVLDQQSLKWVFVGGKGGVGKTTCSSIISILLSRVRSSVLIISTDPAHNLSDAFQQRFTKTPNLVNGFTNLYAMEVDPTVEHEDEVELDGMDGFISDLSNAIPGIDEAMSFGEMLKLVQTMDYSIIVFDTAPTGHTLRLLQCPSTLEKGLGQLMSLKNKFGGMLGQMTRLFGVGDEFGEDAILGRIEGMKDIIQQVNKQFRDPYSVLRHRWLLTCPTQVQNRKA >KZN05369 pep chromosome:ASM162521v1:2:23131425:23137325:-1 gene:DCAR_006206 transcript:KZN05369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSDIPVLGNFVDRISDATVEALFRGLRYLFCYKELVNVLNSEIDKVNIQQGRVSRKSDEERSDGKVIEDHVLRWQTEVKEMQDSAREFSKKYQSRASWTCFKCLPIPKPVSRFRLGREAVLKAKKATELIISGRDLLANEIAHLPPTDNLPKADAAFQDFRSRKGVYHKLWEMLVAEDSLILGIYGMPGVGKTRMMEQIWQEAMEKKIFQKVARANVGNEKLDVLKLQNQIAGHLNCHFKSQDNMESRAAQLKNCLINGGKILLIFDDVWSEIPLDYIIGTSFGESSTSKGSKILLTSRRQDLCLRNKCTHPVQITTLRDEEAWDLFQDSVGTSHIDSLPDETLAKEVCARCAGLPLLICAVGKALQFMSYHVWKDALQKLEKGKIDGIDSQVYACVKLSIDRLQDDAKLCLFLCSLFPEDADIDIRKLIQFAIGSQSQLIPDGQSTIPAMVDVLTKASLLLECRQNHITKLHDIIRDVARSAAFKDPTYAILHVRCGSQFPDNAGYRTTKLLWLDVERDDIRFPEDLVCTNLKSLWLHCNNHVQRFSGDFFGMFVNLEFLMLQNVHFLSEQFSLQPLDKLKMLIFDSCDIRETNNSLFPKYLKTLCIWACDLPSPLDLPNLKNLRELDVQQKLPVIMVPGAISSLSSLEEFHIPNGFSFLDDANDITLIMDEISKLTRLTSLKIFFSNFEACQGISIFFTLLKYDISVNCEGYHEALSDMTKMIKLHDVKVKTSDKAIQSLVERAEKVEVVSTDVDLGSICNSNKKAFADLRDLEIRLCHNVEYIARISQDEIQQNRQLRTSFSKLTNLTIRSCLTMKYLFCKSVAKCLGQLQILEVDECPAMEVIIMNEDPSDGEIIDFHKLKTLDLRKLWSLKSFCRGKKEMHSGSTDNSVTSSAQFQPLFDGMVAFPFLEKLYIKTLGDTVSDIWGNYNSGDNDKSNVILSSFCKLKMLEVSRCSKLEMVIPVGMLHRLRNLEYLYISKCNNLRNAFPTCIARDLINLQQLSISGCGMMSEVIGGSEERAQQEEEITNEDHGIIVFPKLSLMTLEGLSNLTSFCCHRRGVSNTYKVQFPSLGCLQVNSRGDINLEGIELAGDDSTCQMKELYIHTDQQMQLPRQWKLHLYNLETLALYGCWWNELKSLNFPKLKRLTVNNYRRSALFTISGFNSLQDLKTLDVSECGLLEDIVENVRGHEHAGMDMESIKLSKLNTVVFKDLPKLKSFTYGANYECYMPALKKVEIVNCGLSSLFTWSVFKNLQQLHALKVLKCRLLEDIVVVPRVDETSHANDQIFQLSSLTLRDLPNLRSFGHSVKLPCLEDFSMSNCGDINLEEIELVKDDSTCKLKYLSIHSDKQMQLPCFNSLQQLELLLVSNCRLLEAIVEDGSGDETSDTNDKVIRLSRLSSVTLKDLPNLTSFSRSVSYAFRMPSLKKIHLLGCPQLGNFTSSVTSTGSVSVYTEGNGREDVTDLNDFIIQNHKRGRNIVKVLEIQIVSQLPPNWFEYIVRFLPSWTLCLYQPVLLPHM >KZN06099 pep chromosome:ASM162521v1:2:30092945:30094907:-1 gene:DCAR_006936 transcript:KZN06099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSKIADGSETDWLKTPTDLQNSNSWVDLEPFAKGSVEGGRKGDLKFLFDQIVSGFSSEIFGDRCFRPLPPVLGNGQSVDLYNLYLLVIKNGGYEAVSRNGLWDFVVKELGLSSGFGTSLKLIYAKYLDSIDIWMRRTVKSKELKGKVGDSDAFQSIFMMDLESETRELSSENSVEKEKDGEQLHLDLEEKSKSNFTSGEKFNERGKGWISLDLDSGKDNGCDMLFDSGVVSEGESSKNQGPNEEVRSSVQLNGNGGKFAIVDKLDINLKSQDTYDACNQKRKRVCISASLNWLRKVAKDPGNKVIGSLPERSKWKYFGTEHPWKQFLLVRQAMMVKRNGNSSAEQSIWQWDLGGAKVWILGLSGACPGLVRSLGEALAGPRWIQWGRGWALGG >KZN04039 pep chromosome:ASM162521v1:2:1019880:1027518:-1 gene:DCAR_004876 transcript:KZN04039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSMVVGRITDLLIEEPLLLHRVEGEIQLVVTELMRLKTFLPDADSRIDEDKIRILLAELKRFHSFTTVKPELFVGFQGAVDLLVGHLVKESDDSHPLVSICGMGGLGKTTLAENLYNHSTIRACFDGLAWVSISQKWEKKQVLQRILVCLDHEKKEEILAMNVDSLVKNLIQIQEKKKCLIVLDDIWSNDARDSSKDAFTAEGSLSKLMLTSRNVEVAEHVNPRGFIYQPECLSADHSWELLRLKALPRGYYLGMTHERIDCSTLYRHVLRITYCVSILSDQEESQLRLLISKLRQRITNILDGNSSGSEKVVVIGKTLLEIDEVIVLIVVGRGSLIYQTEIVVQDNKAMAEAIVSMVVGRITDLLIEEPQLLHQVKGKIQQVVTELVRMKTFLPDADSRIDEDKIRILLAEVLHLAYSAEHAVESFLVKAISSPGKTIQWMNTRKFSGRITDIQRKMSPLFGFFLEYKIKSTSESADSSNSLYGTAGKLKRFHSFTTVEPPIFVGFQGAVDLLVGHLVKESDDSHPLVSICGMGGLGKTTLAEKIYNHSTIRACFDGLAWVSISQKWEKKQVLQRILVCLNHEKKEEILAMNDDSLVKNLLQIQEKKKCLIVLDDIWSNDAWDSLKAAFTAEGSLSKLMLTSRNVEVAEHVNPRGFIYQPECLSADQSWELLRLKALPKGYYLDNMEDVKRREEIGREMVKKCGGLPLAIVILGGILVTKPSLRQWERVYNDSLSSLKKGKGLGENQQNQLNDILVRSYKELPPQLKSCFLYLGKFSEDEWIEAENLYQLWIAEGIILSSDKRVGETMMQVAESYMGELLHKSMVQVRFDDSEYLLRKLKSFSLHDLMRDLSVSQGKAEDFLNEIKLQDGNGLHLSKFAYTRKLVGQYCDHRYGSTRSMLLNDDRILTQTLGSHLANYRLLRVLCLDGVLLGRHSVIHNHFGTDIGSEMGSLIYLRYFSARKSNLVNFPWIQKLVLLQTLKLDQNGNKMGYSFSPKPIGTLGKLIHLYLPTWVGTLEEKLKLRFNNGLSKLEILENFDTQWCEVKDLPKLTNLQRLRLMVSGSPDDVDEMLMYFAKLALSTSGLLYVALTVDIYSEDGLLYRPDIVRQLFWNDKFQELSIYGKLPEVEELFEKQQQLINTHITASLICITSLTLSKSYQKKDPMAALGKFPNLRNLLLDEAYQGKEMVCSATSFPKLTQLILMTLRQLKNLRVEEGSMHVLSELSISNCRELEELPQGLEYLSSLQILRVNPKPRNFLDRIVMVNGEQGPDFYRIAHVPDVQMGNWVLRRNRMK >KZN04584 pep chromosome:ASM162521v1:2:13043985:13045334:1 gene:DCAR_005421 transcript:KZN04584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILAYVNNCDVGLALEGFERAGDYGFRLSVLSCNPLLNLVVKEGKFRAVEVLYKEMIRRRIELNLISFNIVINGFCKAGKLQKASDVVEDMKVWGIEPSVITYNTLIDGYCKKGRTGKMFKADALLKEMVANKISPNEVTYSILIDGFCKDGSVSAAVRIFKEMQAQQFTPCVVAYNALINGHCRDGEIDKALVLRDEMVVSGLQPDLVTFNALINGLCKKSMLKEAKELFDCIAKEGLYANVISFNTLIDAYCKAGELEEAIKLRSRMLDQMVIPNVSTYNCLIDGYSKKGNPETASKLLDEMKYKGLSPNVVTYNIRVDALCNKGRSRDAVKLLDEMIDAGLRPSHTTYNIVMDGYCREGNLRAALNVRRRMETQGLRPNTVTYNVLLKGLCEKGKLEDANTYLNQMLEKGLIPNKVTYDIVREGMMEKGFVPDIDGHIYTSSVST >KZN07617 pep chromosome:ASM162521v1:2:42221725:42228956:1 gene:DCAR_008454 transcript:KZN07617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITLPSSTPVQSGRIRVLKKGSDHLVGPVVYWMFRDQRLKDNWALIHAVDQANKANVPIAIAFNLFDQFLGAKARQLGFMLRGLEKLCGEIEETLRVPFFLFQGEAVETIPKFLRESGASLLVTDFSPLRQVRSWKEDICKRVSDSVSIHEVDAHNIVPLWVASDKLEYGARTIRGKITKLLPQYLIDFPTLGPPNENWVGSTPSVDWENLISDVVSKGAEVPEIGWCEPGEHAALEALKGTTNGFLTTRLKNYSSDRNNPLKPKGLSGLSPYLHFGQIAAQRCAFEANKVRKVFPQAVDSFLEELIVRRELADNFCFYQPKYDSIEGAWEWARKTLMDHTSDKREHIYTKEQLEKAQTADPCLSLMELLFQLSALECFSVGDGSLWKDAWFHANVRMYWAKKILEWTAGPIEALAISIYLNDKGWKERPVFGKIRYMNYAGCKRKFDVDGYIAYVKRLVGDIKKRKGEDLLNEKAKELRGQ >KZN05426 pep chromosome:ASM162521v1:2:23759635:23761624:1 gene:DCAR_006263 transcript:KZN05426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVSRMLVSSALVLVVMTVALFCTQVPASASSYGIMGGGSDGSSKSSSRSYYRYVDSSRCNSGTRVKKPCSICDCHKEKNQGKPKSCTECSCYEEKECRSGEVSSWSCNCDYHRPCGCTCHVSEKTIAGPLFIIFCVSAVIYVVVEEDKIANNGPPFGVDNRWGSVIMVQVAILDKKRVLQRKLNRIAGTADTETKSSLNCVLKEVVKSLYEHQDQCRYAYLYLDATFCGPDQEDDQLFQMLGSIVQAMYNHLEKSSRPCFRGMLEMEAGKFDKNNETFVNVDGVRYRKESAGEFKSIDNEYSVVMTSRFSVHEMPVTLLVLVHGEYLIPSLKGNTTHVKKVEVKNALETIHSIPRSDLEAVKVLWTPQRENDVVSEQEVCRDFGLLMRPIDTMC >KZN04159 pep chromosome:ASM162521v1:2:2740270:2741121:1 gene:DCAR_004996 transcript:KZN04159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPSVVETTVKEPEATVSEATVSRIEDAVIEPTVNITTDERVSMEAEEAATENNVNTSINEVAVIAGDSTVNKVAGTTGEADGNENVPMKVEATFNQELPLETEVTVNEEIPMAIEDATIKPTPPETESVVEPAVTTEAILNEIEDIVTTIVKNSKVLKRKTFKEIIQKRGARWTRRLKGFRTKRKPKVLKKNVHVKTDTMPIQGIIENSFDAMKRYLTIRITEVGIDYENEKFVSYKLFHPRIEGHVDHTDFDNDFKNQRTEDARSIEFFELKKDDGNSRG >KZN04237 pep chromosome:ASM162521v1:2:4988638:4990343:-1 gene:DCAR_005121 transcript:KZN04237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESRSNMGPLDEGRVQSSSSGGIPLGERVEIEDVTDVVSDDEVPSRAAQDLCNNGTSSTIHAVLRTSKHEREDLYRARLKLGNALAFLRKKGFTEQQMLEEMNEGGSGPVLISRDDFGLPIYRKEGRQAPPSVAGPVSGPSTAKASPVRLTRSQKKRLKAARGSSFPLLS >KZN05700 pep chromosome:ASM162521v1:2:26431783:26435636:1 gene:DCAR_006537 transcript:KZN05700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPSQSPIRVSSFSTNSPNTLSLKRIGTHNGSFHCDEALGCFMIRLTNRFFGAEVVRSRDPKVLETLDAVLDVGGVYDPSRDRYDHHQKGFGEVFGHGFTTKLSSAGLVYKHFGLEIIAKEVQLDESHPDVMRLYLAIYKSFMEAIDAIDNGINQYDTDQPPKYVNNTHLSSRVGKFNLDWIDPDQSSEKENEAFHRAMALAGSEFLDSVRFHAKSWLPARSIVMECLAARQTVDPSGEIMVLDRFCPWKLHLFELEQEMKIDPSIKYVLYQDERANQWRVQAVALAPDRFESRKALPAQWRGLRDHELSNEAGIPGCVFIHMSGFIGGNQSFEGALAMARAALKL >KZN06546 pep chromosome:ASM162521v1:2:33882588:33884209:1 gene:DCAR_007383 transcript:KZN06546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLTLVKRIQNINSKEASLGISEDASWHAVYKESAYVYVGGIPFDLTEGDLLAVFAQYGEIVDVNLVRDKGTGKSKGFAFVAYEDQRSTNLAVDNLNGAQVLGRIIRVDHVSKYKKKEEEDEELEQQKREERGVCRAFQKGECTRGDGCRFSHNAQRAANTGWGADDHKRSRREDDKFGGSARNVEKAGHLGHSRETSAQDGRRVTDKETRDSRAPVTNRDIDRHYKRTESNAFDPDERGIDKRAERQERKSYDKDNRDRQGLDGRSRRHGLESDSREHDDRRGEKGSRKESVSYRTEARENSGKDKRSLHVRDSSPHRRSEMEDRPHKSRR >KZN05344 pep chromosome:ASM162521v1:2:22895514:22896131:-1 gene:DCAR_006181 transcript:KZN05344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQLKKLCCCVLGFFLTQLAVIVLFTYFLIWLLLRPSIPQFILQDASVETFNFSSSTDSLTSSFQITLYSKNPNSLAGIYYDNLQVFATYQGQEITLRTPLPPTYLHHNEDSVWPLSLIGDNMAVAPNIENSLTQDQMAGIVTISIEVEGNIRWKVGSSASGKSIQLNVNCPLDITYGSRDNNGSVAPVIDNYQLVESCNVEY >KZN07392 pep chromosome:ASM162521v1:2:40549735:40551012:1 gene:DCAR_008229 transcript:KZN07392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSESELSPARHPVCTLEGENLMNNCAKRRRSPLLACLNSEQQPHAAAQLLDQPDATPATSTINRSPKFRGFSRQYHNGRCESRVGWVFGKKYIYLGTGGTREEAGHAYDIAAAECREINDATNFDVKTNIRWLRSGANSVCSQVTETLNSQAIPSTSDVEVNQNEETEFSFNTIPLKKEIAWGFPRKQDCIQQKKQSYSSNKSSQTALGILLQSSMFKKLVEKNMIDEIEENERKKLKVQSDEHKKEIVV >KZN06777 pep chromosome:ASM162521v1:2:35747276:35748941:1 gene:DCAR_007614 transcript:KZN06777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVIFDFDKTIIDIDSDNWVIDGLGVTDMFDQLLTTMPWNSAMDVIMKELHAQGKTIEDIAGVLKRVPIHPRVVPAIKAAHSLGCELRIVSDANLFYIETILKHLEISEYFTEINTNPGFVDEEGKLRILPYVDFHSSPHGCKNVCPPNMCKGLIIERIQASLEKNEKIIYLGDGAGDFCPMLKLNEGNYAMPRKDFPVWKLISEQPDLVKAKIHEWSDGEELERVLLGLIAESSQEDKTVIDSAPLFSADCKFQTIAMHSHEAMPQALSVPQ >KZN06567 pep chromosome:ASM162521v1:2:34071323:34071568:-1 gene:DCAR_007404 transcript:KZN06567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESLDLSRNKFSCKISRSMSGLHFLGHLDLSNSFSGRILSGTWLQGFNSSAYGNIGLCGPPSLKDVPEMRQLADEDQPTF >KZN06526 pep chromosome:ASM162521v1:2:33742440:33743958:-1 gene:DCAR_007363 transcript:KZN06526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTVARSPVFSPSSASSLAHFCKPTSLASSPEKLTLTHSPSKLRKPPSGLIRASNDTVSSGSVATSSPTFSKRKRPAKLDIPVANLSFGFDVDTPRAAEERRREAVEVEGDGYSVYCKRGRREFMEDRYSAKLNIHEDSKQAMFGIYDGHGGAKAAEFAASNLDKNVIDQVGKMADQDIEAAVKRGYLMTDSEFLKEGSQGGSCCVTALIRKGELVVSNAGDCRAVVSRNGVGEALTSDHRPSRQDEKSRIEALGGYVDCGRAGVWRIQGSLAVSRGIGDQHLKQWVTAEPETKIVAIEPDFEFLILASDGLWDKVSNQEAVNAVRSNGEGIDKLEGVAVCKKLAELSVSRGSLDDISVMLIPLRQFC >KZN04149 pep chromosome:ASM162521v1:2:2614899:2616340:-1 gene:DCAR_004986 transcript:KZN04149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADGILLVLSILTSSSELMNLINNVDTVFHSKPSGSSKPIHNNLYRFVPSIREQLQDWEDGLCENDADGSFLHENWNKDLQLFSDGDDGRQQLLQLFVLRAESELQTVLGDNHAQNMACLDSIKAQMGCLFGNSTPRNTQAVPETRENQHPRDEIFSPRMIRNKKQTGTKYRGDWLKRPVSSDEIAWLAKLLVTLSCWLNKKLGLNHSGTTDNQGAAWSYVEVSGGTRSVSGPADTMKVVFLSIVSWLMSVIRATLKLMRDHGMKVNLRILASKKIMISLLMLVAFSILKKAVSPS >KZN03991 pep chromosome:ASM162521v1:2:280937:283927:-1 gene:DCAR_004853 transcript:KZN03991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSIVVGRLTDLLNEEVHLLDGVKIEIQQVVSELIRMKTFLPHAVSRIHVDDVRILLADVRELAYDAENVVESFLVTSSSARSRTKKIKILRTKMSLIFDRFGKYNIRSTLKSEESKKSPHQTSEKLERFYSHTIFEPDIFIGVHGVVDSLIRHLVDGSDDCYPLISICGMGGLGKTTLAQKIYNHSTIKNHFAGLAWVSISQKWQTKNVLRRILICLTPEKEKEILGFDEAKLVNNLIQVQERKKCLIVLDDIWTKDAWDSIKGAFAAEKCLSKLMLTSRNVDVPKYVHPKGFVHEPGCLDAEQSWELLRFKALPKGGDALDITRDVKRMEEMGREMVEYCAGLPLAIVMLGGILVTKPSLIEWEKVYRDTMLSLERGKELGEVYQHQLHEVLVWSYNDLPPQLKPCFLYLGKFNEDEWIEVETLYQLWIAEGMVLSSDKKNGETVMQVAESYLGELVHRSMVQVKYKDIESSLTKFKSCSLHDLMRDLSLSQAKEEDLYKVIDLREKNHSHLNASVGSRAAATRQLVVYFDEEYTSKQANPSFGKKANQQRYRSMLLFNEFKTRSVPPVLSSDVANFRLLRVFALEEVQFDKQTVSGTLFRINLGRVLGSLVYLRYLSVRKTNLLLLPSIQNLVLLQTLKLDVRNDVLFPPWLSRNIMGKLGRLRHLYLPDWKVHSLGKNSKMRFHGLNKLETLENVNTQWCEVKDLPKLTGLQKLTLRVDDSYDDVEEVMKCLTAIALSSTSCLQYLVLTISQCDLGSRNGPDILRKLLSDHRYNLQELKVIGQLPELAQLFEQQQLHDAPIDLSLIHITRLHLMLSFLEEDPMPVLEKIHTLRELLIHRKAFMGRELVCSATGFPKLTRLVLRNLPNLVKWRVEEHSMPVLSHLMIRNCLKLKELPEGIKFLHSLEELRVEVMPPDFYERLKVVNGAKYSIVVDID >KZN04332 pep chromosome:ASM162521v1:2:8350345:8350545:1 gene:DCAR_005169 transcript:KZN04332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNLQTERKHVQIADDAKIELVFDVHIEQVTILQIELVTVVQIEQVASVQIDLIYFQHYSSTSLV >KZN06816 pep chromosome:ASM162521v1:2:36061434:36062717:1 gene:DCAR_007653 transcript:KZN06816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSFLDGGESSGNAPPEKLRFSQTCNLLSQFLKGKGSIRDLNFEPEKLGTKNEEPINLFSPHAPALTTLQDSSEKQASIDTRTAQMTIFYAGQVLVVDNLPAANAKEVMQLASKYNNANKAADNFCGTSKPSASATIQARDNQAQPPDSDLPIARRASLHRFLEKRKDRASARAPYQFNNTSGESSKQKFDLNM >KZN06669 pep chromosome:ASM162521v1:2:34881605:34887676:1 gene:DCAR_007506 transcript:KZN06669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIIHKVLNILLPVTSIISAVFVVPPFLLFKLVFSLLRRTCLIEDVAGKVVVITGASSGIGEHVAYEYAKRGACLVLVARREDRLRIVADKAKQLGSPDVLVVKADVSNAANCKNFVDQTILHFHKLDHLVNNAGIAPVCMFEDYEDVSDYKSVMATKAALISFFETLRVKVGSEIGITIVTPGLVDSEITDTEFMSKLKTNFVPLESVEGCAHAIVNGTRRGARFMMEPAWLKPLFYWKIFCPELLEWFARLVLVTWPILQINKNAMELINGFLNLVAPPSTFLTLLFFLPPYAVFKYFFSFFRSFFAENIAGNVVLITGASSGIGEHLAYEYASHGACLALAARRVDQLEQVAAAARELGAPDVIVIAADVSKIDDCKRMVDQTVDHFGRLDHLVNNAGISSVCMFEEVDDMEAFRSVMETNFWGTVYTTRFAVPHLRNSRGRVVVISSGASWFPMPRCSFYNASKAAVAQFFDTLRIEFGSDVKVTIVTPGFIESEMIQGKFLFKGGKMEFDPDMRDAQAGLVPVRSVVACAKTIVKSARRGDRYLVEPAWFRVSHWLKTFCPEILDVIVWLQYITKPGASPHESFNKKIMDLLPGARALYGGAAEPPANLKAE >KZN06705 pep chromosome:ASM162521v1:2:35137894:35147832:1 gene:DCAR_007542 transcript:KZN06705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTMGPPPPRTPATTTAPEPEPSTSTPKATVPMGPPPAPLNPNPPVPENPNSAGDATANTEAADQLQSTDSNSNDKQPKSQSQSPNAAVPYTIPPWSEAPCHKYSLEVLKEGAIVDQFDVHEKGAYMFGRVDLCDFVLEHPTISRFHAVLQFNKNGDAYIYDLSSTHGTFVNKNQVKKKVYMELHVGDVIRFGHSSRLYILQGPSDLMPPEKDLTTSRKAKVHEEMKDMEASLRRAKLEASLADGISWGMDEDAIEENEDDIDEITWQTYKGQLTEKQEKTRDKVLKRLEKASVSNMKKEIDAIRVKDIAQGGLTQGQQTQIARNEQRILQIVEELENLEETLNESIRESLGARSGRKVHGKKKGATGGGEDDDDEYLSDDDEFYDRAKKKTPKGSENHSVETADSLLDKKEAIVKEMEDKNKLLVDEKGKSVQGNEAATQVEPEEGDALDAYMSGLSSQLVHDKSEQLEKELHVLQSELDRILYLLKIADPTGEAARKRGLQGQLSKPVLSNIPAPSMVKKVALQQDKQRGVEAKTNGSGQKQGVIASIVESSKKPENTEIVADAVESITTTYTAIKPQWLGAVEKTEVKLSQGEAPRSMVEGDKFVDYKDRQKVLGKPDTAQVGAETDLENAAPGLLIRKRKLVERSDVSEVEGSESISTSVGTEIKVEDAVALLLKHKKGYHAVEDETEAENAQPKQRNPLKKNDKKPKRILGPERPSYLDSEGNYDESWVPPEGHEWNGMHVRWMRSLRKNGGGQECNACEME >KZN07119 pep chromosome:ASM162521v1:2:38510433:38511452:-1 gene:DCAR_007956 transcript:KZN07119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKTSKPLQLSPTLFKQLDEEIVYSQDFMDLLSTLPKEKNSFGADIFRYNGFWFPKVPLHGIIESGKHFQPRQNDVFLVTAPKSGTTWLKAIIYTLLNREIHHPQDPYHPLLSQTPHQLVPFHELLKRSEYESVSNSSDRSSRIFGTHMPTVSLPKSVIEDSESFNCKIVYLCRDIKDTFVSFFHFVNKHVDPSSNSLENFFDLYSRGVTGGGPVWDQIMGYWKESLERPNKVLFMRYEDMKSKPHFHLRRLALFLGKAFSEEEENSGMLDQIISLCSFDNMRNLEVNKSGTTKLGIKNHTFYRSGQVGDWKNCLTAEMAGNLDQITQEKFRGSGLSL >KZN06318 pep chromosome:ASM162521v1:2:31923789:31925279:1 gene:DCAR_007155 transcript:KZN06318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSVDSVESQNNISAAEENCQGTSFTRTCFNGLNALTGVGILSIPYALSQGGWLSLIILFVIALLCFYTGLLLRRCMDLNPTIKTYPDIGQVAFGSTGRALISTFMYLELYFVAVEFLILEGDNLHKLFPDVNFHIAGISIPGKQGFVLLAALLVLPTTWLRNLGLLAYISASGVLASIVLVCSVFWVGAFDGVGFDEKGSLWNWNGLATAISLYTFCYCGHAVFPTLCNSMKNRAQFPKVLLVCFVLSTICYGSMAVLGYLMYDGSLMSQVTLNLPTKKLSSKDAIYMTLMNPITKYAIIVSPINTAIEATFPLLKRRSISLFIRTVIVCSTVLVALTIPFFGFVMALIGAFTGTSVSMLFPSIFYLKINKAARKFGVELVIIILIVMMGASVAVVGTYTSLRDIARHAHSN >KZN05435 pep chromosome:ASM162521v1:2:23814165:23819041:-1 gene:DCAR_006272 transcript:KZN05435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLIQYPVQDIDIIVTYSDISGDVQIKSVKSKDLSASWVWKNPIDDHTQSSKKLRLERRKRRTLELIEQGKEIDSEMNAAAAEQARTLDTTVMGRYSIWRTKYENPNSDSTLKVSSVPNLSIIHKDLTPLWSVDLKGMVNGAIEACKESFHRLDKYLSFSNPNIYENFDPNACGWAFGMNMFDLKEWRLRNITGIYRRSQDMNEDRTLWKPGTLPPGLITFYNLTYPLDRTWHVLGLGYDPALNQTAIQDAGVVHYNGNYKPWLDLATDKYRSY >KZN05208 pep chromosome:ASM162521v1:2:21189014:21190784:-1 gene:DCAR_006045 transcript:KZN05208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAARSRPNILVTGTPGTGKTTFSTALSEATNFRHINIGDLVKEKNLHDGWDDKFDCYIINEDLVCDELENMMEEGGNIVDYHGCDFFPERWFDRVVVLQTENSVLFDRLSRRGYTGSKLSNNIECEIFQVLLEEARESYPEDIVVALKNDTVDEMNANIATLSDWISSWSPMLS >KZN05880 pep chromosome:ASM162521v1:2:28027179:28033363:-1 gene:DCAR_006717 transcript:KZN05880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGRGKKGLPEEKKVRQIRETHKLLQVLGGKAKRKKLISPKGMDVRPMMEVVKGAAFAMLQSAGGCPASLRPGRWLDLYSGTGSVGIEALSRGCSEVHFVEMDPWVVSDILRPNLEWTGFLDVSVIHTVRVESFLDRAEQFVGKDGPFDYVSVTPPYTQVDYGVLMNQVATSSLIGEDTLILVEYPSRTDMLDSCGSLMKIADRRFGRTHLAIYGPVWAKKKRKSDISHQAVSEISA >KZN06205 pep chromosome:ASM162521v1:2:30964719:30966488:1 gene:DCAR_007042 transcript:KZN06205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLEDNADLSGGYRGTFSGAGSWTDRSPTRSISRPRFNSKARALLPPLQPLSISRGFGEEWPKAGSDDLGVWPYPSTPGVRIESVKLPGSNLGLEKSPGGFESEKGKLAFFENVCSKITEHIYLGSDKLAKNREVLKQNGITHVLNCVGLVCPEYFKGDLKYMTLWLRDSPSEDITSIFYDVFDYFEDVREQGGKVFVHCSKGVSRSNSLVIAYLMWRKKLSFEDAFQHVKAARGVTNPNMGFASQLLQCQKRVHAMPASPSSVLRMFRMAPHSQNDPIHLVPKLLSNPSADDLDSRGAFIVYIPAAIYVWVGKNCVSVMSDNAKIAALQVIRYEKAHVSIMTIREGAETSEFWKALGAGQDLVDDDCHKAATKRQRYYTTDFDKTSAPICPGGGERNVKSYDADFEIFHKALDGGYVSPALSGTRSETPLPARENRWGQLKWKFAEDAMKELTTLSKASSDIHGGLESSVYRSDLSPVSSIPGCKYFSALTTENQVCTIDAYQKDPFTPSSSSSNGSTFSVLSAQPSPTQLEPSNHFPA >KZN07797 pep chromosome:ASM162521v1:2:43634866:43639422:-1 gene:DCAR_008634 transcript:KZN07797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFYPSPPSKDCQRVIKMGTFAGLDCLPFAQDDAAVADNSSMNYGSEDPNSKKIRKPYTITKSRETWTDQEHDKFIEALHLFDRDWKKIEAFVGSKTVIQIRSHAQKYFQKVQKNGTSEHVPPPRPKRKAAHPYPQKAPKNASQVTGGFQSSAAIPGSVASPNSIAVAVPMIPISISAMSSWNNNILPPFNAAGPNEVHNSSYSSNSNDPKMWPTGETMNDRKVKQTIKVMPDFAQVYSFLGSVFDSNASGHMERLKMMDPINIETVLMLMKNLAVNLTSPEFENHRRLISSYDPDTGNSKTGSSFIDMHPNQHTDAILSI >KZN07237 pep chromosome:ASM162521v1:2:39336960:39338920:-1 gene:DCAR_008074 transcript:KZN07237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGAVSSGNGKAYPGKLTKRVLVTCIVAAMGGLIFGYDLGISGGVTSMDSFLEKFFPSVYQKQKADNSTNQYCKFDSETLTLFTSSLYIAALISSLVASWVTRRLGRRLSMLAGGTLFCAGALINGFAQNIAMLIVGRLLLGFGIGFGNQAVPLYLSEMAPYKYRGALNFCFQLSITLGILIANFLNYWFVKIQGGWGWRLSLGGAVVPALIMIVGSWFLPETPNSLIENGKQDQARAELLKIRGVDNVDEEFKDLVAASEASKKVEHPWRNLLQRKYRPHLVMALLIPFFQQFTGINVIMFYAPVLFKTIGFGGTASLMSAVITGSVNVVATVVAIYLVAVAILIGLKFGTSGNTSNLPEWYAIVVVFFICIYVMGFAWSWGPLGWLVPSEIYPLEIRSAAQSITVSVNMFFTFIIAQLFLMMLCQMKFWLFIFFSFFVAVMTLFVIFFLPETKGIPIEEMAIVWKSHWFWQRFIVDGVDKEMAKGGSRVNTI >KZN04734 pep chromosome:ASM162521v1:2:15213074:15215649:1 gene:DCAR_005571 transcript:KZN04734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSQKDTEKFVWDHMRNTPGTPMSVTQSQTRALPKLLVWLIVFVTATYIVYTLKLVINSPSCDDEIFPAISHTSISLHTSKDGNFSSLPENQDLFRETEVKDIVFGIAASAKLWGKRKNYIKLWWKPGKMRGIVWLDNAVKSKEEEGLPPLRISGNTSRFAYKNRQGHRSAIRISRIVSETLRLGMENVRWFVMGDDDTVFVTENLVRILNKYDHNQYYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALEKMQDKCIQRYPGLYGSDDRMQACMAELGVPLTKETGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNVTRVEALKRLTIPMKLDSAGLIQQSICYEKKKTWTISVSWGFAIQILRGVLSPREIEMPSRTFLNWYRRADYTAYAFNTRPVARNPCQRPFVFYLSRARFDSITNQTVTVYDRHRVPHPACKWNLTSPAEIDRIVVYKKPDPHLWDRSPRRNCCRILESKKKSMVLDVGVCREGEITEI >KZN05867 pep chromosome:ASM162521v1:2:27930516:27930674:1 gene:DCAR_006704 transcript:KZN05867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSNMVRHFIDSLTVDKHQSKTMLVFRKTIHITQAQLVITNSLRKSMTRLKL >KZN04069 pep chromosome:ASM162521v1:2:1378436:1386479:-1 gene:DCAR_004906 transcript:KZN04069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPKTPVDIVRQTRDLLIFVDRSASEVRETKRDEKMMELSKLLRELKVILYGNSEAEPVSEACAQLTQEFFREDTLRLLIKCLPKLNLEARKDATQVVANLQRQQVQSRLIACDYLEANFDLMDILISGYENFDIALHYGAMLRECIRHQAVARYVLESQHMKKFFDFIQLPNFDIAADAAATFKELCTRHKSTVAEFLSKNYDWFFAEYNSKLLESPNYITRRQAIKLLGDMLLDRSNSAVMTKYVSSKDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPPDIVSILIANRSKLLRLFADFKLDKEDEQFEADKAQVESSKSIQIEAFHVFKLFAANQNKPPDIVSILIANRSKLLRLFADFKLDKEDEQFEADKAQVVREIASLESRG >KZN06841 pep chromosome:ASM162521v1:2:36257539:36257847:-1 gene:DCAR_007678 transcript:KZN06841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTKLDVNKILLGIVLLVLATSGARAATEYECWGGCYNKCIILKGDRIPTTTTYPCYYKCLSSCRPSSPQPSLNYYCQLGCAADLCNTLTILGKATRQAPI >KZN04569 pep chromosome:ASM162521v1:2:12858929:12864781:-1 gene:DCAR_005406 transcript:KZN04569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLDFSVVEMVDDVIQQHGKRLSDIDLASRKANEASERRKEAAGWIRKMLGSVGAKDLPDQPTEDEFRIGLRSGIILCNVLNKMQSGVVPRVVAAPSEAVVIPDGAALSVYQQLENVRNFLVAAQGMGIPTFEASDLEQGGKTSRLVNCVLAMKSYSEWKDRGGHGVWKYGGNLKQSPCTKQFVLKNSDAFMNSFMKSLSSEKSLDGLSSEDPEHNLTEMDSSRPFYSLIRKFLADKKQEEIPIIMENLLNKVTEEFERRLTVQNEEMKNGTTALDVSCTNNTLPSSLSGDMKMEDTEMSKLVKVESEGVFSDEVDSKVAKKNGLFQKQNQNLQDLKHTVSAARLDIQLMQTKYQEEINSLGQHLHSLAQAAAGYQKVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQPSRFSTVANADNGVLTLITPSKNGKEGRKSFNYNQVFGPSATQEKVFSDMQPLIRSVLDGYNVCIFAYGQTGAGKTYTMNGLNVPDANLVPVASTSDVMHLMDLGFKNRAVSSTTMNDRSSRSHSCLTVHVKGKNLTSGTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDALGGQAKTLMFVHISPESDALGETISTLKFAERVSTVELGAARANKDSTDVKELKEQIASLKAALANKEGEGNPQESKLSRMMSAGSTNSNTSWQGVGNIEVNNNNLKSLQRRNSLDPRDLLEGSATCVGEDMIHKQNGVNMNGREEDDHKLSKMPSQKYFADPTKIYPESDILNHDMNRIRHEVTTTDDYDELDALTTDSSEADFLCQPNVPKTTSIPTLKGSTLKTTTPKKAKFPETRSLIPQPSARRQSTGTVPTMAKNARRPVSGDGRRKTGNAKLEKR >KZN04898 pep chromosome:ASM162521v1:2:17691594:17692550:1 gene:DCAR_005735 transcript:KZN04898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGEEVKTRDAPNVEIQERGEIFFFYRPKIDKQEAHSADDVQRMFIVLRPESSEASDTEVKQDPKSGKESASSGQQGGHGEEHVNIKESLLFRLIVMGKKSLPDPGKKNSRRPFWGFVELVTKKLDDIKDALRGQEYDTATKGRRKNSDARAFGEGVYRILKHELGNGKMHTHLIYKLEFPGGDKRNEVQESLNVKREGSFLIQIKNPERKGGAGGGFGGLQKKRKAVFPAHLQGEFGSLGYHAADPPDFLNYEGCELLLIAASDDIEEELGLDLKTETSKSSEDEEEEEECKSCSDLVKTFGEIASTRPLFEGTWV >KZN07759 pep chromosome:ASM162521v1:2:43393290:43397249:1 gene:DCAR_008596 transcript:KZN07759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSSATEHIQQHQTDWEALLADLGCSLTFIISSLMFLNVEEVVEVYLRVWISVGVVQCSIHVVCVCLELKRKWDRVVAQTERDENSDSSNVVINHGQEEQTRLWIVFLVLVVFFVVICAAVVSVRFAICFLLQHAILSAMEDQVFGTYR >KZN04837 pep chromosome:ASM162521v1:2:17027981:17033474:-1 gene:DCAR_005674 transcript:KZN04837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVGILAMDIYFPPTCIQQEVLEAHDGASKGKYTIGLGQDCMGFCTEVEDVISMSLTVVTSLLEKYNIDPTQIGRMEVGSETVIDKSKSIKTFLMQAFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIAFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKRYCQKYEKLEGKQFSMDDADYFVFHSPYNKLVQKSFARLMFNDFLRNASSVDESTKEKLAPFASLTGDESYASRDLEKATQQVAKSQYDVKVQPTTLIPKQVGNMYTASLYAALASLIHNKHSTLNDKRVIMFSYGSGLSSTMFSLHLREGQHPFSLSNITNVMNVSEKLKSRNELPPEKFVEIMQLMEHRYGAKDFVTSKDCSLLSPGTYYLTEVDSMYRRFYAKKESDRSSTENGKLANGH >KZN07774 pep chromosome:ASM162521v1:2:43488507:43493537:1 gene:DCAR_008611 transcript:KZN07774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLATSLVAISHRMTSLHDTALEGFMTSLSEIVEELEEREEVQEEMIYVLVGKDVKESESTLKWALRNFGAKKLCILHVHQPSKKIPTPLGTFSTSHLDSEIVKRYHDTERQHMRNLLETYKLICEKAGARAEKLHIEMDSVEKGIVELITMHGIQKLVMGAAADKLYSKKMMEPKSKKAIYVCWKAPVSCHIWYVCNGNLIYTRRGDTKKADSRELSPPLPSTSNILLQSRSSRPSTGQEWKLNLSAPYLRKVRSAFSSSDANGGVTPRSSVSTEGNSDWDIISRRSASVNSCVSQYPSSELVDDLSLLNFARPEGSRYWPECNAIPHSEVSLCNSSPHSVQEEISINKLYDELEKTMVEAESSRQNAFEESIKRRKAEKLAIEAISRVKASESSYAEELKRQKEMEEALASGKEEIEKLKPELDKVKKELQLALEQKSSLELKIATSDKMMEDLKQKIFLAVDLLQRYKKEKDELLVECENALGLAEELRKKQVEYTSIERLTQFYDEFSLSEIKEATHNFDTSLKIGEGGYGSIYKGVLRHTQVAIKVLRSDSSQGPLEFEREVKVLSKLRHPNLITLIGACPEAWILIYEYLPNGSLEDCLSCKDNTPPLSWQTRVRIAAELCSVLVFLHSCKPRSIIHGDLKPANILLDANHVSKLSDFGICYLISQDEQSSNRTACCRPDYPKGTFSYIDPEYLTTGELTRKSDVYSFGIILLRLLTGRPALGIAKDVQSAFDKGNLKDLLDATAGDWPFVRAKQLALLALSCCEMSRSRRPDLISEVWRMLEPMRVSCGASSIRYGSEDHVQIPQFYICPIFQEIMHEPVVAADGYTYESEAIKGWLESGNDTSPTTDQKLANDVLVPNHVLRSAIQEWLQQR >KZN06543 pep chromosome:ASM162521v1:2:33869864:33870040:1 gene:DCAR_007380 transcript:KZN06543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWYFKAPLGHCWSIILLLFFFENENKSQRYSAIHRDNSFIQENLLSNRRTCKMTGEI >KZN07790 pep chromosome:ASM162521v1:2:43594332:43599743:-1 gene:DCAR_008627 transcript:KZN07790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSAAAADHGHIKGVPTHGGRYVQYNVYGNLFEVSRKYVPPIRPVGRGAYGIVCAAMNSDTREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQKETFNDVYIVYELMDTDLHQIIRSNQQLTDDHCRYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQYPRQQFSARFLNKSPGALDLLEKMLIFDPNRRITVDEALCHPYLAPLHDINEEPVCPQPFSFDFEQPSCTEENIKELIWRESVKFNPDPIY >KZN07263 pep chromosome:ASM162521v1:2:39515245:39515775:-1 gene:DCAR_008100 transcript:KZN07263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEYAMEGLFSVKSDVFAFGVMLLEILSGKKNTGFRDSECLSLLGYAWELWRTEKVLDLIDPNLEIPPSFLPLRYIHVGLLCVQERPADRPTMSDVIAMFSNELIKVASPNRPPFTTGGSLGSSSVIKKGENCSVNDITTSVMAGR >KZN04417 pep chromosome:ASM162521v1:2:10472230:10476920:-1 gene:DCAR_005254 transcript:KZN04417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKYAFQLILMLALLFNVALCKTIKRDVKALNEIKASLGWRVVYSWVGDDPCGDGGLPPWSGVTCTTQGDYRVVTALEVYAVSIVGPFPTAVTNLLDLTRLEEEYCRSDNFDHYFQFRFVTLIKDSPTLQINQGSRMYWNSQRTFSGSSDLFADPLTLSGNPEMQESILTRLKPHTRASISLVYGGDIRPNGGFVGPVLAVRRKALGSRGEGR >KZN04453 pep chromosome:ASM162521v1:2:11122525:11126773:-1 gene:DCAR_005290 transcript:KZN04453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPATAIVYHQEGPPDSVTKMVELPPVEIKQNDVCVKMLAAPINPSDINRIQGVYPVKPQMPAVGGFEGVGEVHSIGSAVKGLSPGDLVIPCPPSFGTWQTYVVKEQSVWHKVDNRTPVGYAATVFVNPLTALKMLEDFVDLKQGDAIVQNGATSMVGQCIMQLARIRGIRSINIIRDRAGSDAAREKLKSLGADEVYTESQLEVKNVKSLLGHIPEPALGLNCVGGNAASLVIKFLRRGGTMVTYGGMSKKPITVSTSSFIFKELSLRGFYLQNFMTSSRAEECKSSIDYLLGLMREGKLTYDMEFAPLDDFHLALDKSLGKLGSQPKQVIKF >KZN06672 pep chromosome:ASM162521v1:2:34900046:34904699:1 gene:DCAR_007509 transcript:KZN06672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRTSWALKLLLAFCAIIFPALSLLFLNLSSISQTPQLTSPILTQLNSDDSLSSQHPHLPHKKHETASPTCATVEEMGNADGAAASFDALSSLRVRTLIQDHFRLHGAFRVRGLPQEQFCRRGFVLGKASEAGFGNEMYKILTAAGLSIMRKYPFDDYVSYTKHSFTLKEVKHLWKKNDCLGKYKRRLNIRIDDFEKPSETNVLCSNWGKWKQPIVWFQGTTDAVALQFFLKNVHEEMRRVASVLFSDNEFLQYRPNTIGELMRVIISPSENVEEAVKWALNGGPDPHIALHMRMLNNRPARAVKAALNCIKKALVNDSGYLSRPRIVIVSDTPSIVNDITPKLQEFAEVVHFDHKMFRGNLSSRNTVEKSQMEFRVKDWGPAPRWVAFVDFFLASRAKHAVVSGASRRVGTTYAQLIAALAAARQLGENHASASNFTFFSSFHSNILTNGLRHQVGWGHVWNRFAGPLSCDNQPNQCAITPLLPPGWWDGIWQSPILRDMHRMEAYGVKLHKLGKVDTNRLQSYCKSRKDVVRTISVIPPCSGSKCR >KZN05607 pep chromosome:ASM162521v1:2:25580983:25583517:1 gene:DCAR_006444 transcript:KZN05607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTETLDEMVDNGVLSPELAIQVLVQFDKSMTEALESQVKSKVSIKGHLHTYRFCDNVWTFILQDAVFKNEDSQETVGRVKIVACDSKLLTQ >KZN06936 pep chromosome:ASM162521v1:2:36972659:36973369:1 gene:DCAR_007773 transcript:KZN06936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLQKFSLHFVTHLHHFSSLRYPRLSPTSSCNKTIKLTEEELNRINLLIPRLCLSNNLPQAISLVHTALLTNPPPTSISLSILVHSLSSDPDLTRPISFMTRIKHTNPTFLPTISRMFLSSYFKKGQPRNAMKVFKWMTRPDCPDPDHRFYAVAAGGFCRSGALLESLRAIRLLVGSGFVPGPDLRTQVYGALLRVAMIKEAQELSEAFSGCTRNGGGGKKVVSLLDNMIACWVE >KZN04819 pep chromosome:ASM162521v1:2:16773743:16774284:-1 gene:DCAR_005656 transcript:KZN04819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPILNPDALQTSNPPEPIYYNPVEATHPNFPPSFTSLLLNCSPAVHQAAAQVQPSLSEDADLKDQIARYMEDSSFRGMSASYHPGHTGSF >KZN04841 pep chromosome:ASM162521v1:2:17088701:17089096:1 gene:DCAR_005678 transcript:KZN04841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSTMMNQHHRGVPPNKRKDREYQKPSKFIKPSPHQRATANTVSNNKKESSAAASTNQLVAGYMAHEFLSKGTLLGQPWQQIAKPGWSAEPNKMRRHEKYVEIAGLLKRDGGVQIPGVFNPTQVNPFSQL >KZN05980 pep chromosome:ASM162521v1:2:28880488:28880679:1 gene:DCAR_006817 transcript:KZN05980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAMGGAPAEIKVRITRAPPAKRAAGAAGKCLVGQQPSEPGGALDERGHTEQVREIGPAPLS >KZN06433 pep chromosome:ASM162521v1:2:32878165:32882243:1 gene:DCAR_007270 transcript:KZN06433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPNEELEPLFDYSRVQPLDLVCLDDDDDCVRIPPKKQKFTDGDKSSDADNLAGKAVIKIDDCDDEEDWLPSPVKISMDTKKLEEDSIIKAIRLKKQELLSYAQSAEDILQNVDEPVKKDSDTSLHISSDCAAEPQPKPASERAKIVIGIQDKDEVKHFRVYMDDKFEKLIKMYADKLKLDIQTIAFSFDGDKISPTATPADLGMEDEDILEVHVKAR >KZN05659 pep chromosome:ASM162521v1:2:26062028:26071476:1 gene:DCAR_006496 transcript:KZN05659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYYVRAVPPPDLNKNTEWFTYPGVWTTYILILFFSWLVVLSVLNCSPGIAWTVVHLAHAFITYQCFHWKKGTPFSDDQGIYNRLTWWEQIDNGNQLTRNRKFLTVVPVVLYLIASHTTDYQNPMLFLNTVAVFVLVVAKFPNMHKVRIFGINADQ >KZN07208 pep chromosome:ASM162521v1:2:39109399:39111440:-1 gene:DCAR_008045 transcript:KZN07208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSILAREVSDLCLGKPPLRLLPATSTVAESITELKRSGESYVSVWSCESAGGDYICVGKICMVDVICYLCKEENIVRPLAALRSPLSEILPKVSGIVRHLEANTSLLEAIDYILDGTQNLIVPVQNNSRKRVLRKPSSFCWLTQEDVVRFLLNCIGVFSPVQTFTIESLNMIDGDIMTVHYDSPASSILSLISQSHIEQSSIAVIDQENRLIGEISPLTLACCNEATAAAVMTLSAGDLMAYIDCGNPSEELIQLVKSELQTRELAGMLELLEEFSISSSSSSCSSDEELGSARYSGSNRSSIARRSEAIICYPWSSLMAVMIQALTHRVNCVWVVEEDFSLVGNVTLAGMLKVFRSIAASRLKQ >KZN04613 pep chromosome:ASM162521v1:2:13493449:13507882:-1 gene:DCAR_005450 transcript:KZN04613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTKCSTANPQLNLAHTISFKGIQQLAESRQFKAWFLDQFGVLHDGKQAYPGAVSTLTKLASSGAKMVIISNSSRRASTTMEKMRNLGFDPSLFVGAITSGELTYQHLQRRDDVWFAALGRSCIHMTWNDRGAISLKGLGLEVVEKVKDADFILAHGTEALGLSSGEVLPVKLEDLEKILEDSARKQIPMVVANPDFVTVEARALRIMPGTLADKYEKLGGEVKWMGYLQSSHDDGWCGC >KZN04058 pep chromosome:ASM162521v1:2:1265120:1266253:-1 gene:DCAR_004895 transcript:KZN04058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEIVEDEDAKGDEVSGMDKKTITFFNLQSVLLDGLPNLKSFFHGTNCELHMPSLVRVGVDNCGVSTLFTCSFLQELNQLEDLVVCNCKLVEGIVADTRDSEPSDTNDKIITLPKLSLVKLHKLPNLKSFIHGANYELHMPALVDMRVVNCGLSTLFTCSVLQKLKQLKELSVDSCRVLEGIVADTKGIEASGTKDKIIMLPKLSSVKLEKLPNLKSFIRGANYELHVPAVVHVGVINCGLSTLFTCSVLRKLKLLEGLFVDSCELLEGIVADTRGMEASDTSDKIITLPKLSSDHLDKLPNLRSFIHGVNYELHMPALEGMIVANCVLTTLFPCSVFQTLQQLKWSVVYECRLLESIGGDARVINTSDTKIFKLP >KZN06541 pep chromosome:ASM162521v1:2:33864802:33867939:-1 gene:DCAR_007378 transcript:KZN06541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKNLIATTLLFSLVLLGTLALSQAKKKSGADLKEVTNKVYFDVEIAGKPAGRIVMGLFGKTVPKTAENFRALCTGEKGIGKSGKPLHYKGSAFHRIIPSFMLQGGDFTLGDGRGGESIYGEKFADENFKIKHTGPGLLSMANAGKDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKVEAEGQQSGTPKSKVIIADSGELPL >KZN07668 pep chromosome:ASM162521v1:2:42564396:42569114:-1 gene:DCAR_008505 transcript:KZN07668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFFSTPFQPFVYESPQEAVTPFQILGGEAQIVQIMLKPQEKIIAKAGSMCYMSGQIVMENVFVPENEAGVWQWLFGKSLSNIVFLNSGTSDEFVGIAAPSLARILPIDLALFGGELLCQPDAFLCSINDVKVNNAVDPRARNVVPGVEGFLRQKLSGQGLAFIVGGGTVVQKSLEVGEVLSVDVSSIIALSGTVNVQVKYTGPMRRVVFGVENGVTAVLTGPGIVFIQSMPFHRLSQRIARAVASPNMRDNPKFFFQIAVFFFLAYVVIVSSLILTDI >KZN05538 pep chromosome:ASM162521v1:2:24849575:24854827:1 gene:DCAR_006375 transcript:KZN05538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNVDSSEVNEIRQEWESKGKAYTYQFFDLDPSVENVELGLEDNMADVVCCMQHLQFCFDNEERVRRLLHNVATLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNKSSSMKPNIVPNCIRTENYMITFEVEEEKFPFFGKKYQFKFANDNSAENYCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNSKADFFTTLFRSQRAQFAGMLVDGGHNLVDSRGRLLPRSYDVLGLYTTFIFQKPDPDIAPPLMTPLLNDTNQNLDEASFYSSRKYIEIVINLGEWQTTVYREEEIYIQPESTASLGKITEQKGILGPGPPELRFSEAL >KZN06662 pep chromosome:ASM162521v1:2:34786766:34786996:1 gene:DCAR_007499 transcript:KZN06662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDWAPVLIGLILFILLSPGLLVQIPGNTKTIEFGSFTTNGKSVLIHTLLFFSIFTILIMAVQVHLYAGNNQDHDD >KZN04004 pep chromosome:ASM162521v1:2:448083:450547:-1 gene:DCAR_004866 transcript:KZN04004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNVLNWYCQPIRYGIWASETQSAFGAYTPCGIDSFVISVSHLVLLILCLYRIWLITRDVMVQRFCLRSNVYNYVLGVITTYCTAEPLFRLGFGVSLFNLNEDSGFAPFEIGLYTYWVVAYIVTHHYSGKAVRCLKNLFVLADRNLGAVATGHKVSPCVAIRTAARLNSSYPPNA >KZN05733 pep chromosome:ASM162521v1:2:26712576:26714259:1 gene:DCAR_006570 transcript:KZN05733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEEGGTPKSPSGGKAPKLNERILSSLSRRQVAAHPWHDLDIGPGAPQTVNVVCEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDINQLPPHRLMEIRRFFEDYKKNENKEVAVNEFLPPATAHEAIQHSMDLYAEYILHSLRK >KZN05149 pep chromosome:ASM162521v1:2:20596668:20600234:-1 gene:DCAR_005986 transcript:KZN05149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIKSIKLASDPSIFNLNEPPSLDCSSVLFPHFIQWSKQYDPSLVREINISKTLDLGKPAYLQKDRGPLLGKGLITTNREVWSHQRKTIAHNLFVDKVKDMLSIVIGSGSKLVKSLESVVGTTGEVAEIKVDDYVRNFTCHVFSTIMFGENYPTNTGLFSKCRALIEASGSPTILNGRPFYRFLPTKQNKEQWRLEKEIYSIIMETTKKCTTSRVEGMIHTLVDGSNHGELGPSTPQQFIVDNCKDLYLAAFEVTGIASIWGLMLLAAHPDWQARARAEVLELCGREMPDAEKLSKMKVLKMIIQEVLRLYPGVAFVSREALADVSLALDLRDFDTSQIESPASTTFHFSLV >KZN07508 pep chromosome:ASM162521v1:2:41417973:41418817:-1 gene:DCAR_008345 transcript:KZN07508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQSRLFVSFFWNINEGAFADHSVCFIATAAPANLDPIPEYSSDDANLASELNIWSEYLTLHAVDYMNESVTNFPHLEMRCPKLDVSDEFTLGIPGYTSAFSHCSNKVTIAEVSGGTGEITILEQFIQEHVNP >KZN07122 pep chromosome:ASM162521v1:2:38525605:38528437:1 gene:DCAR_007959 transcript:KZN07122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHTSAWHLAFTKLRFFTRIRRFLQLKSPIKRHEPSDLSIDKAKVADASDQEENKEEEMAVLQRSVKRLHFGSCEEKEMAARDITRLAAEGLNWRKFMAELGVIPPLVAMAGSEVVARRRLAVQALIELSNGTYTNKALILDAGILSKLPENTGVFEEETMHDFAKLIFSLSSVTNSQFPINTSIIVPFVISILEATSNLDTKESCLGTLYSLSNMLDNANTLASSEVLNILLGFSSIKQTSEKALATLGNLVVTSMGKQTLESNPVVAKTLIEIMTWDDKPRSQELSAYVLMILAHQSSVQRLKMANAGIVPVLLQVSLLGSPLAQKRAMRLLQWFKEERQTRMRPHSGPQTQKFSAGSPVNQRDVIEGKKIMKNMVRQSLYKNMESMARRANGDEGSSKLKYLVISSSSKSLPY >KZN07461 pep chromosome:ASM162521v1:2:41037219:41038548:-1 gene:DCAR_008298 transcript:KZN07461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRYFERFCAICDKELHVNMWMYYCHKCTYFVHMKCAASSDTEFMLNEIKSEDIHIEAELVQFPLRGEESVFEFILTQCGKLQVEVQGKGKNNITISTTPSDPHVIEEHWSHKIHPLELLQFAVSENDDVDDRQMLICDGCIQPITVSHPYYYACKQCSFFLHSFCATKLPMELPAGASPSHPQHLLSLQKRDIFYELVGCEVCPYFTNGFYYECETCDIKVEIRCAFAPSRINHTSHRHYSLVQRPFSGSRCKICRLRIFTGVEYACETCDKFHIHWHCALYPSQMKHKYDSHSVTLRYPPFFYEGVFYCEVCEEQVNNQLMLYHCDACDHSFHFNCLCSRQSIKLGGTIDLKIDNRSHTLAFVLKKTTGKKSPDYVCRSCRVCHYYYRFFECVGCGYLLCRDCVTEKLKK >KZN05583 pep chromosome:ASM162521v1:2:25313499:25315070:1 gene:DCAR_006420 transcript:KZN05583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSVKLRRLLSLPILSVFVLVGFIYYITIFVFLEDWLGLHSSSGSLNTLIFSVLASFTLFSFLVCATTDPGRVPSGYVPDIEHSDASDQESKKNDVQLRRCDKCSAFKPPRAHHCRVCKRCVLRMDHHCLWINNCVGHQNYKAYVILVLYATVTNIYSSPASFKFNVLNRMSDR >KZN05804 pep chromosome:ASM162521v1:2:27354671:27357808:-1 gene:DCAR_006641 transcript:KZN05804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDITGIRIDKKPHGHTVKSNGISHDTAPQVTSESGETESTVVENHTAEDLLDEGQEKQDLLGIKSINSEVGTPEEKSQKPEIQKSSEKTSTSPIRPVSGSLAADNFPSDSKVSHSSSDKTEKQASISTADSDANFSPSIKDFHSPKDPEHLELPSRSSAKKPQQPYHRKFHDDEDNWSLASSAAASVRTVRSVTVPVAPTFSSAERAAKRQEFYKKLEEKHKALEAEKKEYEARMKEEQAAAIKQLRQNMVVKAKPVPSFYREGPPPKVEPKKLPVTRPKSPNLTRPKSPNLTRRRSCGDAVKSSVEKGSCPRGNRRSLGTVREGTNTANNIRNKNPRRNDNGNCKPKDLFKPGTEKQKASPRKITEERTADISVES >KZN07651 pep chromosome:ASM162521v1:2:42446056:42448888:1 gene:DCAR_008488 transcript:KZN07651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYISIDIILILNDIDAADRVKNRSEQRFPVPGEPACILCGKYGEYICDETNDDVCSIDCKAELLENIKREKVLSRNLTAEKSSPGLNCPTKLYEFGGDTWNHKNHRWSAKKSSLCTYRCWKCQRPGHLADDCLAVASIFQAASSGETCSQPQVTLFQKKSGFIPRDLSELYKRCHQYSRNEDAARCNSCNNSSDLATCLECNITCCDSVGHLSEHIKMHPSHKQYYSHKLKHLVKCCKSNCKVTDIKDLLACHYCFNKAFDKFYDMYTATWKAAGLSIIRGSICCEDHFEWHRINCLNADVEGSAYIYKKDVHSSKRSPLSDFIF >KZN06342 pep chromosome:ASM162521v1:2:32057292:32058530:-1 gene:DCAR_007179 transcript:KZN06342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSVNILVSASSRAYPSSCVGNYLHSSKVEYTGFVDGGLSNSGGTYRCHRFDCHNVRCNAKQYSDTGGEYELSSSSISQEAENFLLNAVNMSFFERLNLAWKLIFPSPASKRKSNANIAKQRLQMILFSDRCAVSNEAKQKIVGNIVGALSEFVEIESQDKVELSVSTDAALGTIYSVTVPVRRVKPQYQEDDETGTITNIEYKDSGETSESIDVKFDFYVPDENEFRF >KZN04233 pep chromosome:ASM162521v1:2:4876660:4879945:-1 gene:DCAR_005125 transcript:KZN04233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIRPGALATILQKRMASRHSLDNTGIGDRNKHMVKNPNKLTPLERRFLHTDFAATPSLPVFPIKGYSAARNLNMDTRSLDNEQRIGTMGPASCNEPGSGNAACGLGSATASSLRSDQEDITVIIPECEDMGIQRPGNS >KZN06891 pep chromosome:ASM162521v1:2:36694231:36695586:1 gene:DCAR_007728 transcript:KZN06891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEAATVTVLEHCKVSPAPDGVPVTSLHLPFFDLLWLTFHPLGRLILYDFPHSTNHFIQKTVPILKTSLSLALKNFTPLAGKLLIPSDADSNADCLIRYSDGDSVSVTFAECNGDVNHFFGGHARDADVLKPLATPISSTSLFAIQVTVFPNRAISIGIMNSHVVADGNTVFNFIRAWASIAKRLCSSDIVSTEDYMIPDYDRSSIKDPYGLGTMIMKSLGPLIKQVQIKESSVPRARATFVLTESKIQALKKTVLAKRPELSYVSSFTVTCAYLWTCFAKSRYNVEKEKHRLDEPQNFGFVMDCRARLDPPLPNSYFGNCLVPCIAAQTGRVMAGDEGLEAAAEVLGNAIAVKLKEGPLHDSEKWMEQFAGLMRGEWSIGIAGSPKLDYYNNIDFGWGKPLKFEFVNETLSLSRCKDSKMDIEIGVILPEAEMDEFSTLFIQGLRDLDG >KZN07665 pep chromosome:ASM162521v1:2:42547366:42548130:-1 gene:DCAR_008502 transcript:KZN07665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATFSSLHHKISVSNSINKAFHPQSSPNLIKLPSKTPRPSSFNSISPKPTLSPLSTRPRAAADDVAAPATAFHGVCYVVGDNIDTDQIIPAEYLTLVPSNPEEYKKLGSFALIGLPAAEYPTRFMTGGEFTSKYSILIAGDNFGCGSSREHAPVALGAAGVKAVVAESYARIFFRNSVSTGEVYPLESEKRLCEECKTGDVITIELGDSLLINHTSGKEYKLKPVGDVGPVIEAGGIFAYARKAGMIPSRAV >KZN05236 pep chromosome:ASM162521v1:2:21544606:21544915:1 gene:DCAR_006073 transcript:KZN05236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIEKKLLPLRFGVAKLIDQAFAKGVKVAICSTSNEKAVCFIRFLTFDV >KZN06875 pep chromosome:ASM162521v1:2:36537002:36540604:-1 gene:DCAR_007712 transcript:KZN06875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGEAMDEEVEEQKGLLQSSSSYKKKKGGLKTMPFIIVNESFERLASTGLMPNMIFYLMNDYHMAAATGSILLQSFSAICNALALCGAFVADSYLGRFRVIAIGSISSLLGMIVLWLTAMFPQVKPTPCEQYSSNCEPATALQLAILYASFGLIAIGAGCVRPCSMAFGADQLDDKENPNNERTIASFFNWYYASTGIATVLALVGIVYIQEQLGWRVGFAVPAILMVFSVLMFVLGSSLYVRIKSGNSLFTGFFQILAASFKNRKITLSPSTDDNCYHQSPDKTFPGPTENLRFLNKACVIRDPDRDLKPDGSASDPWSLCTVEQVESFKVLLRIIPMWLSGIMLLVSIDQNFSTLQAKSMNRRILFNIEFPAGSFSVVLVVTITIWVAFYDRIVVPFLAKYAGMPSGISPKIRMGIGLVLSFIALGQAGIVENIRRGKAIEEGFDENPGGVLEMSAMWLFPQFILLGLAEAFNAIGQIEFFYSQLPKSMSSIAVALYTFGMALASLLSAFLIKIVDSITSRGGNVSWLATNINQGHYDYFYWLIASLNFLNLFYYLYCCWSYGSFSSRKNTEGIEEEEESYHRRH >KZN07494 pep chromosome:ASM162521v1:2:41323591:41324986:1 gene:DCAR_008331 transcript:KZN07494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQVPHSFLLTLMVFLPALCYSQDTYIASRATYYGSPDCLGTPTGACGFAGYGRTVNGGEVTGVSRLYRNGTGCGACYQVRCKSPKHCTDEGVKLVITDYGEGDHTDFILSVRAYSKLALPNMAIELFAYGVVDIEYKRISCQHPGYNLMFKVHEHSRNPEYLAIVPIYQAGINDITCVELWQEDCQEWRTMRHAYGAVWDMPNPPKGPLNLRFQVSGSYGEKLVQLRGAIPADWKAGVAYDTAIQLN >KZN05319 pep chromosome:ASM162521v1:2:22556062:22561032:-1 gene:DCAR_006156 transcript:KZN05319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPHKAYPVELAQFHSADYVEFLHRINPDTLNLYPNELAKYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRFIYCIPSQRLAGFDDRVMTVSFHKYGDLFFPGTGEVKEIGEREGKFYAINVPLKDGIDDASFNRLFKTIISKVVETYLPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWAFETAVLLDTELPNEIPQNEYSEYFAPDYSLKIPGGHIENLNSKSYLGTIKMQVMENLRCIQHAPGVQMQEVEQTQDKHIQRDDEYYEGDNDNDHNIEGG >KZN04979 pep chromosome:ASM162521v1:2:18581635:18584814:-1 gene:DCAR_005816 transcript:KZN04979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPPTSTHFPIRRRFHHLKPLHFLRPNLSRSVVCNAEPYLITKLDSAEKTWRELSVKLAEPDIVTNPSEYQKLVQSMAELEEVVNTYQRFKDCQMQLEQTKALAKEEVSDADMAEMIVSEIETLSSQLKELEENIKQLLIPTDPLDARNILLEVRAGTGGDEAGIWAGDLISGTGPKVRMYQRYSERSSLKYSPVSCSEAEKGGFKTYVMEIKGKRVYSKLKYESGVHRVQRVPQTEAQGRVHTSTATVAIMPEVDEVEIVIDPKDIELTTARSGGAGGINLQLLIDEHDNKHDFYSLNL >KZN04880 pep chromosome:ASM162521v1:2:17513870:17519229:-1 gene:DCAR_005717 transcript:KZN04880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQPKISSAYSVTFAPSNPKSPEVRPETKFTPPLSSPVGPTYPSPPVIVPNQIPLPSVRVPSLNTAIQTNFIPSPYGRTPTPSSVTPPNHIALQLARSPSPTSVSPANGIRDGSLYPYLSTPPGPPRFSSPLQPASLPFRTSTATPQQLPLTSGSSLPTSASPSPRYSNSSVDLQDQVSEASEDLLPFIEVTNVLFSASKVLKHKKLVNRTSLGFGALVSSGRDIPLGPQIIQQDPHRCQNCGAYANFYCTILIGSGQWQCVICRNLNGSEGEYIASSKEELRTLPELLSPFVDYVQTGNKRPEFVPVSDSRTSAPVVLVIDECLDEPHLQHLQGSLHAFVDYLPPATRIGIVLFGRTVSVYDFSEELTASADVLPGRISPSRESLKALIYGTGLYLSPVHASRHVAHLIISSLRPYELNFPEASRDRCLGTAVEVALAVIQGPSAELPRGAIKRSLGNSRIIVCAGGPNTHGPGSVPHSFSHPNYAYMENSALKWMENLGCEAHRQNTVVDILCAGTCPVRVPVLQPLAKASGGVLIVHDDFGEAFGVNLQRASTRSAGSHGLLEVRCSDDILITQVIGPGEEANVDNHESFKNDSSLSIQMLSVEEMQCFALSMETRSDIKSDHVYFQFAIQYTNVYLADISRVITVRLPTVDSVSAYLESIQDEVASVIIAKRTLLRAKNSSTANDMRATIDERVRDIALKFGTQTPSSKLYRFPKELNLLPELLFHLKRGPLLGNIIGHEDERSVLRNLFLNASFDLSIRMVAPRCLMHREGGTFEELPAHDLVMQSDSAVVLDHGTDVFIWLGAELASQAGKCAAALAACRTLTEELTEMRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLSAEQRTKLKSSFIHFDEPSFCEWMRGLKISPPEPS >KZN05031 pep chromosome:ASM162521v1:2:19106303:19106938:1 gene:DCAR_005868 transcript:KZN05031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSVFYCCLFLVILLVHGVLANTVENDNVLGSKKDDKATGAEVESSKPRWFGGGFYWGRPGGWYTGGGSGAPGRAGCGGNGGGPGGGAGGCGGNGGVGGYGGGMPGWGGRGGNGGGAGGGAGGAGGYPGGTPGQPGWGGRGGDGGSASGSQGGGGSYSMPNPNDPRCGNIQMAQIPNGYLISYDCGNCNYQYTIDYNGMTPGNGRITCY >KZN05679 pep chromosome:ASM162521v1:2:26236365:26236586:1 gene:DCAR_006516 transcript:KZN05679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVTLKRNFVLCLQKYNLKSCFQLRTQIEVVFSAEKSKPKRNFKSCLEVTVGTIFSENDIEVHSREDLPRH >KZN05838 pep chromosome:ASM162521v1:2:27646980:27654284:1 gene:DCAR_006675 transcript:KZN05838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEVSPPLLKKSRTISTDDPDPPPPHFPGPLFPAVRRTAASPPPPISLSDQRFGVSDRDYVYPSFLGPYSSRTRVTVKSSPSKTPQKSFDPPGPISTPPRPNSMHKAKLKQDRDLKSVPVQILANTLTSSASLPNSATPLIHRTSSGFRNSLFFNLLKFICVVSVSYAISLQNKVTKLQEENVRLGKLSGNSEYVYIDNQTLLDSENDSLFVYFSNSSSRAIALYVVLFTLLIPFVLYKYLDDLPRIKNLSKATNRKEEVPLKKRIAYMVDVCFSVYPYAKLLALLFATIFLIGFGGLALYAVSDASFSEALWLSWSFVADSGNHADRVGTGPRIVSVSITAGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIENNHILILGWSDKLGSLLKQLTIANKSIGGGVIVVLSERDKEDMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDAHALRAVLSLTGVKEGLRGHVVVEMSDIDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGRNFEEVLVSFPDAIPCGIKVAADGGKIILNPDDKYVLKEGDEVIVIAEDDDTYSPGPIAEVQRGLFPKISDPPKYPEKILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVPEQEREKKLIDGGLDVGRLENIKLIHREGNAVIKRHLEYLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKDRKSMPLRHSGFSHSSWIREMQQASDKSIIISEILDSRTRNLVSVTRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGSEMCIKPSEFYLYDQEELCFYDIMIRGRQRDEIVIGYRLAAAERAVINPVKKSESRKWSIDDVFVVISLGE >KZN06187 pep chromosome:ASM162521v1:2:30842006:30847599:1 gene:DCAR_007024 transcript:KZN06187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSSPCIARTYSQPISYANQEEEHCYRALTPSYSFGRYESDLEWGKWSCFSQNRCLEEVQKLSKPGTVAAMKAYFEARYKRVANKKSSVVPSYQQNQQNEVPRDFDDTSVMKFHKKSQMVSDSAKFKAYDMGNALEEGEVTASITRKSASAPSKASIFGGTSKLRAPIAPRKKNNSTPNSDKAARDATVKRPPPLDSLHKPLSYDSCASEAKKTSSPILDSIRNLRIIKSFGKSSKDSRTKHALTRERVHGEATCNSETPHQENISCVQSSTAGRTNPSSTVLSSLSFQCDERGARHKEKLENKLNMKGKEKAQLQTKSKNCINMEDSSSPCIARTYSQPISYANQEEEHCYRALTPSYSFGRYESDLEWGKWSCFSQNRCLEEVQKLSKPGTVAAMKAYFEARYKRVANKKSSVVPSYQQNQQNEVPRDFDDTSVMKFHKKSQMVSDSAKFKAYDMGNALEEGEVTASITRKSASAPSKASIFGGTSKLRAPIAPRKKNNSTPNSDKAARDATVKRPPPLDSLHKPLSYDSCASEAKKTSSPILDSIRNLRIIKSFGKSSKDSRTKHALTRERVHGEATCNSETPHQENISCVQSSTAGRTNPSSTVLSSLSFQCDERGARHKEKLENKLNMKGKEKAQLQTKSKSHSPEVGRKPAPRQGQESDSARPRLESLAKKQLKLEKRSIYKL >KZN04870 pep chromosome:ASM162521v1:2:17430527:17433190:1 gene:DCAR_005707 transcript:KZN04870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPASNNASRVCSIMDHSNSKGLWFGDNPLMFYVPSLLLHLSLVNILTKCIHFFLKPLGQPTIISQTLAGVVLGPSILGQSTTFLSNVFPKETRIVLETTAAFGFMLFIFLIGVKVDPMMVYRTGKQPLIIGILGFFIPYGLASFVAFLLQRYAYLDRDTFDGIPFIVAVMSMTAFPVVTCFLDDLKILNSEIGRLASSSSIICDVCNWAVMVTNYTVRLADKTRSFRITIGSVFSTLLYLFTIVYGIRPAALWAVRRTPEGRPVKELYIFLVLVTLMICGFIGELIGITAFGASLALGLVIPDGPPLGAALTEKLDSFVEVFMPLFFVVSGLQTDVFAIKQMDNVGAIQLLACASFVGKVLGTILPPLCCRMPIRDALSLALIMNTKGIAELGFMIQMKHMNQLTAEPYTIMVISVVVITGVISPIVKFLYDPSRRYLAYRRRTILHLRRNEELRVLTCLHSPENVQAVISLLQASNPTKESPINLVVLHLVKLIGRASSLLVPYRQREKPSSKRSESEQIFSAFRKYEQLNHGSVFVNNTFKGISPYATMHDDVCSLALEKRSILIILPFHKQWIYGETVETSHAFRNLNKKVLDKAPCSVGVLLDRVKQKNPRYVLSEQLLQKVAVLFFGGPDDREALSYGQRMSRNSTIELHLVRFITSTSQNIVGGKERSKMLDDNILSDYKHNTMSSKRVSYQEEVVSSGKDVVSSTRSVGVSHDLVLVGRRHGESLLMYQLKKWRDKGELGEVGEILAYPEYNCEASVLVMQQQTKLWGLQDPEESTHLRKCDL >KZN07287 pep chromosome:ASM162521v1:2:39728380:39730632:-1 gene:DCAR_008124 transcript:KZN07287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVRHSELMSMPDHSRNFQVLPSQNNLESPEPPLEETYKALINSKSISVEDMAIESAEECELPLIDLSMLKLGGSESEECKAQIARASQEWGFFQVINHGISNEILENMRREQVDIFKKPFHEKENMCFPAGTYRWGSLSATCLRQLSWSEAFHVPMNNVSGVSDNTGLSPTMKRFATTVSHLAQKLAEILAEKMGQESTYFKENCVPSSCYLRMNRYPPCPVPEIPGLMPHTDSDFLTIVHQDQIGGLQLVKDGKWFAAKPNPDALIINIGDLFQAWSNNVYKSVEHRVVTNKQAERFSTAYFLCPSYETKIQSCMEPSVYKQFSFREFRQQVQQDVMNLGYKIGLPRFVI >KZN07297 pep chromosome:ASM162521v1:2:39824429:39825532:-1 gene:DCAR_008134 transcript:KZN07297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNEVLVGQFTWSVIARILWKDGNFTKIGQVIDMGVYNSVMYNLIIEGYSKGGNFGASSDRLNEMVDKKLEPGFGTYSSILDGACQHGNVEMIEVMINTMEKNEYISKLPLLEYDSVIQKLSNLGKTYAAELFFMRASDAKVKLNDATYECMLRAFSKEGRVKDAIVIHDKMLESGTVAKCSSYTLFVNILCKEDPTEKISKLLKDIIGRGFCPSLSELSKYIISLCKNRRWRESEDLLNLIMEEGYVPDPLCSSFVIKHYCSTRRIDLAIGLHNKLEHSKGTLDTDAYNVFVKGLLNERRVEEALKIFDYMRIHNLLNGESFCAMISGLCHENDLRTAMKLHDEMLKMGLKPDLKKYKRLISCFK >KZN07037 pep chromosome:ASM162521v1:2:37855203:37860741:1 gene:DCAR_007874 transcript:KZN07037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITANIRYAPEDPSLPKPWRGLIDGKTGYIYFWNPETNVTQYQKPGTIQVKPDSSDKCVTVATCSSVQAQHSSQVPNQELTTIDGNDRNGRGSDGCGERSDQNHKSVTGWMFREGTAVEVSFQKENFNVWHVGTVMKATVNDKCLVKYQCPGGDNEHKIQEFAYSPNIRPSPPSLEDNDYLLLEKVEAYFECCWWSGKIRRLLEDRRYIVGIEHAKKEMTFEHANLRPCMVWTDGKWINEKYDKRPGVLTRQSENSDKQQKWAEEHQDQNGFVSAEKRDNGGVHVEEIVDKECLTKEVDVPDKEAKGARSARNCDLSVKKDEKKQSSEQEIHTVNKNLAVITSNRKLRSRGRRPNRMVSRTANTPLLDDQAECNKSDNVKDDNVMIDEVGDINDDQPLSTWLNELPPVGSESSIRSCLTQLLSKKKQAEELQKEYEDIRNELSNSDYEGKLDEEINQLYQKFREIEKKLVEAKLKKETREKTLSALQSRRDVVAKTVQSLEAEFINVAGSLYGK >KZN04626 pep chromosome:ASM162521v1:2:13672215:13677172:1 gene:DCAR_005463 transcript:KZN04626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMKKKSKHEGFGDIFDKLLENIPAPVDFELPDWLKKSKPMHFSYIRRNIYRTKKIKKRQEDDGIFCSCNTAVGSLGVCGRDCHCGMLLSSCSSGCKCGSACINKPFHERPVKKMKIVQTEKCGSGIEADEDIKHGEFIIEYVGEVIDDKTCEERLWKMKDRGESNFYLCEINRDMVIDATYKGNKSRYINHSCSPNTEMQKWMIDGETRIGIFATRDIKKGEHLTYDYQFVQFGADQDCHCKAAGCRQKLGVKANKPKIPSSDATLKVVASQVYQNGVSHAGLRCSDNQKRCSHNCIGEIVKITRGVDTWTFGVVKRFDSITRKHMIMYEDGTTEYLDMSKEDWEICNF >KZN05633 pep chromosome:ASM162521v1:2:25776930:25780421:-1 gene:DCAR_006470 transcript:KZN05633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSTLLRFIYPPPASWFINSMSVITGAILLNGGLMEVKGKSMQYSKFFNTNKKNVEASGTKITELSGRNGMLVAYAPAFVASVISLAFMRDGGLRFTLLTSALTVHFFKRLFELFLVYGYCDLLSSAFSSRIPGASNRSEIRWNSHFLSRNNWEFLSPLPAIQAKKRGGETVQDSSRRNNKKRTVSDASKKKAAAADKRGDKYDVAAIAKICSSASSDNLSAED >KZN06353 pep chromosome:ASM162521v1:2:32123962:32124867:-1 gene:DCAR_007190 transcript:KZN06353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHQSDSSDTLTHVSDSPSPSEEALSESWFRSWSTPSDNEMLDIFSRLPLDGPSYANGFLIDAPVVEEEDFNLDPMEEEIEPREWVASGEMEEVDCPSYANGFFIDAPVVEEEDFNLYPMEEEIERERRWSEACGWFASGEMEAKARRCPPPYFIHIRLLGADALDRDRRFVLRPWDGGELVNVERIAEISNLRPRDTRFQKDQFRIAYVKGFVHNFQTDNLDDKPNPFLLAKFRLYDGSESILVSLGDDTRDHPMITTGEVREGSVLVLYQATCFISMDETPHHRLSIGYSNILGIFN >KZN06845 pep chromosome:ASM162521v1:2:36306739:36308826:1 gene:DCAR_007682 transcript:KZN06845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQSCIQGNVLDDFKLKDQTVYGNYGLSSFPDELTEKHKSDDDSDMDLTYLQMTFPGVSDDSLSDVYLINKGDLDATVDMLNQLEISSSVPGGSYKNDYELNALLEQFMLYPTDYSENLPDTLDIGDVAESGSSVYKLKDVSKSEVGGSSTAGTQPLSS >KZN07647 pep chromosome:ASM162521v1:2:42422951:42423127:-1 gene:DCAR_008484 transcript:KZN07647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIRSTFHFMLGTVCGVYVAQNYDVPNIHKLYKTGLVIAKHYEENYRKPKKKEDEDL >KZN04338 pep chromosome:ASM162521v1:2:8427178:8429498:1 gene:DCAR_005175 transcript:KZN04338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADITHSSSSHGVVAVSISDADMHKLSDDHPDDDCFVTLPFIQKVIAEFVGTFFLIFVGDCAVTVNLGKGVVTLPGVAIVWGLAVTVMVYSIGHISGAHINPAVTLAFASVNRFPWKEVPAYIAAQILGGTLASGTLRLLFQGTEDKFPGTLPSGTNLQSLVLEFIITFYLMFVISAVATDNRAVGELAGLAIGATVLLNVMIAGPVSGASMNPARSLGPVFVSSRFESIWIYILGPILGAIAGAWVYNIMRYTKRPLSEIAKTASFLKRSYSN >KZN05371 pep chromosome:ASM162521v1:2:23158664:23159941:1 gene:DCAR_006208 transcript:KZN05371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLYCRNLCQSKTDEDSSAHRSSVSEFGEDNFAIGRWEQKEVTSRDGHMKLQTQAFFASIDQRSERAAGESACTALVAVIADWFQNNQKLMPIKSQFDALIREGSLEWRNLCEDESHRDRFPDKHFDLETVLQAKIRSLFVVPEKSFIGFFHPDEMEEGRFDFLHGAMSFDNMWDEISRAGSECSTRGESLIYIVSWNDHFFVLKVDPEAYYIIDTLGERLYEGCDQAYILKFDRNTTICKLPTDATKPVEEKPTDKQIVVAEAELRNQQANQVDCKEHSVDGAVYEPDVLVKSDNEEVVVCQGKDSCKEYIKSFLAAIPIRELQADIKKGLMASTPLHQRLQIEIHYTQLQVPAPKSSDELAMVTTTTNGSSSPVSELTSSSSPVSELAMTTTRTTADSSPVSEFTSSSPVPEVAIEEAAAY >KZN05442 pep chromosome:ASM162521v1:2:23906647:23906802:-1 gene:DCAR_006279 transcript:KZN05442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEMHDFTNFKMHKLGRRLSNTRATEEYFWVDVNHTYKVFTYWKYNMDIV >KZN05979 pep chromosome:ASM162521v1:2:28866412:28869404:-1 gene:DCAR_006816 transcript:KZN05979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDETQSDKTLQDAWDYKGRQATRSTTGGWTAAAMILGVEACERLTTLGIAVNLVTYLTSSMHLGNASSANTVTNFLGTSFMLCLLGGFVADTFLGRYLTIAIFTTIQGIGVTVLTISTTIPSLRPPKCNTGSSSCVPADGMQLGVLYTALYLTALGTGGLKSSVSGFGSDQFDDTNQQEKNQMTSFFNWFFFFISIGSLAAVSVLVYIQDNVGRRWGYGICACAIVLGLLVFVSGTKRYRFKKLVGSPLTQIATVFVGAWRKRKLEAPSDFTVLFNIDDIENEGSKKKQKLPHSEQFCFLDKAAIINADNKMSTNKNGVVDKWSLSTLTDVEEVKLVIRMLPIWATTIMFWTVHAQMTTFSVSQATTMDRHIGKSFQIPPASLAIFFVGTILLTVPVYDRIISPIAKKLLKNPQALTPLQRIGVGLVLSILAMVSAALIEIKRLNIARSHKLVDHSDVIIPMSVFWLVPQFFFVGAGEAFMYIGQLDFFLKECPKGMKTMSTGLFLSTLSLGFFFSSVLVTIVHKITGNKPWLADNLNQGKLYNFYWLVAILSSFNMVLFLLGANWYVYKDKRLLEEGIELEEEESAAYHA >KZN05998 pep chromosome:ASM162521v1:2:29014056:29021008:1 gene:DCAR_006835 transcript:KZN05998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAKGWRFSGKVFIWANHNLDLVHTQNGGTKKQDFSWKWSTTVPAPRRPIRWSLVCGVMLFVLGLISLFTGHIVSDLEWYSQRLLVKRSWYYKLNGARAPVDIWKSEFSKYYYGCSERGSRFAPAVREKHSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHNSFWKDNSDFLNIFDVDWFISSLAKDVPVVKRVPDKVMRSMLKPPYTMRVPRKSEPDYYLDEVLPILLRRRVVQLTKFDYRLSNDLPEELQKLRCRVNYHALRFTKPIRSIGQKVVTRMRKMAKRFIAVHLRFEPDMLAFSGCYYGGGDKERYELGEIRKRWTTLPETSPDGERKRGKCPLTPHEVGLMLRALGFKNDTFIYVASGEIYGGEETLRPLRELFPNFYTKEMLAGEEIQPLLSFSSRLAAIDYIVSDESDVFVTNNNGNMAKIIAGRRRYMGHKRTIRPNAKRLSAIFMERDKMGWNTFARKVKSCQRGFMGEPEEVRPGRGEFHEYPAACICKKTFKFSRIRSRNIGDRSSDKVLNSTEARVEHGDDTDYQRPETYDRLNNTGMVKVPVSLTEVDEDDFLAD >KZN05787 pep chromosome:ASM162521v1:2:27230183:27234859:1 gene:DCAR_006624 transcript:KZN05787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILVGAPGSGKSTFCQDVMKISSRPWVRVCQDTIGNGKAGTKVQCLANAATALKEGKDVFIDRCNLEREQRADFLKLGCSLGNVHAVVLDLPAKLCISRCAKRTGHEGNLQGGKAAMVVNRMLQKKELPKLTEGFSRITYCQNENEVQAAVNTYGSLSLLDSLPSGVFGQKNSESKVQLGIMKFLKKVDGPCSAANISQVSVGNKLHNENNIALHGPESGVASSGNAGAEVKDFEHAPSGNVVNEVTDCEHTLSGNSGNEVKDCDNVGEKVKDSEDIVMVPREDTCFSDDVPTLAFPSISTADFKFSIEKASDIIVDQVQLFLQKYHNVRLVLVDLSHSSKILSLVSSKASQKKIDTSKFFTFVGDITRLYSQGGLHCNVIANAANWRLKPGGGGVNAAIYSAAGEALETATKVRAGSLMPGKALVVPLPKTSPLFSTEGITHVIHVLGPNMNPMRPNCLGNDYTKGCRVLREAYSSLFEGFGSILADQNKLIHESNQEQVLETKDQSELNLMKQFSLTDQKGKRECVNEIEMSKKCKGPRKESESDNTELLNRDDDRSTKKRDESMSKAWGAWAQALYNIAMHPDKHKNDVIEILDDVVVLHDLYPKAEKHLLVVARAAGLDQLADVRPEHLHLLRSMHNVGLKWAEKFLTENESLVFRLGYHSAPSMRQLHLHVISQDFDSKHLKNKKHWHSFTSPFFLDSVDVMEELSKQGKVTLNNDERYLTKELRCHKCRSAHPNIPRLKTHISNCQSPFPAALLQNGRLVLPPNKLSASKSS >KZN07697 pep chromosome:ASM162521v1:2:42849797:42854119:-1 gene:DCAR_008534 transcript:KZN07697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILGTFSFRKQQYAPDIALKLLSALFVLYAAFISISSGWEVIAYSKASLKSVLDVAQGKEAFKYEGYALAAGLFLAKCLESLSERQWNFRTRLIGLQVRSTLSAAIYQKQLRLSNAAKVFHSPGQVINYVIVDAYRIGEFPYYFHQMWATSLQLSLALLIFYYSVGKATIAALFAVILIVLGNSPMAKLQHKYLTELMVTRDRRLRAITEAVTNMKILKLYAWETHFRTVIQGLRKEEARWISAVLSQRGYYLALFWSSTIVITIVTFWACYFMNIPLDTSTVFTFLAAVRIIQEPIRVLPDVAGIFIEAKVSLARIAKFLEEPELQKRSAKSHFKYIEKSIVVRGASFSWDINSSKATLENIDINIKPGEKVAICGEVGSGKSTLLAAILREVPSIKGTKRTTYGSQQRPRISSQEIQNIDAKDELIEGSGDQLIEKEQKETGDTGLKPYIQYLKQNQGFLYLSVSVVFHMVFIVGQLLQGVWLAAELQNPDISTFLLNWIYTVIGCVMSLGLLFRSYAVVVLGTKASESIFSKVIFSVFRAPMSYFDSTPVGRILSRVSSDLSIVDLDLAMKFTMAIGTTMNTYLSFGILSVLTWPILFLIIPTVYISKVLQNFDLIDANSNPYFHSFSANEWLIQRLEILCAVIVSFSALGMTLMPLEASKSGYVGMALSYALSLNVFLVYSVQMQSMLSNSIISVERLEQYMHIRSEAPETIDENQPPPNWPSVGRVEISNLKVKYQPNAPLVLRGISCIFEGGHSIGIVGRTGSGKTTLISALFRLVEPTEGKIIIDDIEISTIGLHDLRSQLGIIPQDPTLFSGSVRYNIDPLAEHTDIEIWQV >KZN05017 pep chromosome:ASM162521v1:2:18964967:18967777:-1 gene:DCAR_005854 transcript:KZN05017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPIPGAEMPPKSPIAMPLFDVSEGVRNSWKFSPRLSLDSRATFDASKRSLYEKQERMNSSNISVIRRDQSDCGAENGGRRSTSVIAKLMGIEPLPNLPSQPVARKAELRRSVSECRVSCDMFQSRMVEKKCKDDAKVKQQNHLITSNVIREKEVDQSRRGLKSPQQCKSFFNSADFFPEPTQNVSIYGEIERRLKMKGIDEPSKDLETLKQILEAMQLKGLLHSNQTPQIKNKNIVYDRNYSQCSETQSPIVLMKPMNGRVSDDSGSNSGNRVSSISPRRQRQSIDQNVSSPVRARNLSSPPRTETNVRSSNSRSNSLVKTKQLSIETQRRGNESIDSTKVSPRISPRRNAADQVVINQSPINRKPIENEFSSILSESGVSKPFQTDTERFKESEYKEGRRLLNRCDKLLNSIAEMNATESQPSPVSVLDSSYYQDDSSLSPSPVIKRSISFPVELEELGSPRTSSVLLDCGDEINDSDFIYISKVIEAWNSAPEETKDLIFLQLEKQHYMQTKDTSKVSKLQRRLIFDTITEILEQKRNFPPWKALSIKNRNTSRPLVQQIWSECQKIREQQLSDDLFEVICGILEKDLAGDSVVGWGDFPSEMSEAVLDIERLIFKDLVVESIRDLADSATKSSYLAPRRKLVF >KZN06090 pep chromosome:ASM162521v1:2:30025555:30034365:-1 gene:DCAR_006927 transcript:KZN06090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTESVMEFLSGVSLLQSLPRSSLLKIALLVTPLYFGPGEHVVREGDAGLGIYFILEGEAEVSSVSDVDENRPEFILKRHDYFGCGMEVPSAHADVIALTKLTCLVLPREHSNLLQTRSIWNADQASEACSVVEQILHLEPVEANIFKGITLPDTPNFGKVFGGQFIGQALAAASKTVDCLKNVHSLHAYFILIGDLDIPIIYQVDRVRDGKSFATRRVEAIQKGKVVFSLLASFQKDEQSFDHQLPTMPTCLPDPETLLSMEELRDRRLTDPRLPKTYRTKVASKEFVPWPIDIRFCEPSTGTNMTKSPPRLRFWFRAKGKLSDDQALHRCVLAYISDLIFLQVSLNPHRRQGLRMASISLDHALWFHRPCKADDWILFNIESPTAYSGRGFVSAQMFDRKGQLVASVYQEGVFRKKPDPPTVSKL >KZN06494 pep chromosome:ASM162521v1:2:33353551:33358174:-1 gene:DCAR_007331 transcript:KZN06494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISTSGTATPLLHPRQAVARPPTLSMLLSRASSRGGASMLVRETAARQLEERRADWGYSKPVVALDIVWNLAFVFASLMMLLWSRKERPNVPIRVWIFVYAMQCLVHVVLVYAEFRRRRSGRRGGEFDVEANYEDESQEEDEDEERSGVLGVTSRSRLSVIFLAFDVFFAIFCVVLASLIGIALCCCLPCIIAILYAIAGQEGASDADIGALQKYRFQMSNEKAGSGRLIPLPTSSDLLATERTVLREDAECCICLSPYEDGTEIHSLPCNHFFHSSCVVKWLKMNATCPLCKYNILKGSEQV >KZN07370 pep chromosome:ASM162521v1:2:40405455:40405949:-1 gene:DCAR_008207 transcript:KZN07370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFSSTFLLISTLAIVLFATPSASQGTLLNLPAANLSSITQLQVLGFLACPFTSIPLLGGILGSSGVPVSVKCNGIDIGSPSALTDAFGFFSIPLTGFNPTALPAQPISCSVTINFPMNGSSNCQTFPSTGSLQAPLNFGSLLQNGLGSLIALLNPGPFQYRA >KZN07581 pep chromosome:ASM162521v1:2:41996880:41997992:1 gene:DCAR_008418 transcript:KZN07581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVLIKRHRSFLAPLLPMTAIRRRSSAPQDPQPLPPLNPNPKTRTPLEKQFETWLDKLKPGFTPLDVQQALKAQSDPDLAFDIFRWTAQQRRYKHSADTYLTMLHISVSARRYRQAETLVEEVIAGACPASVELYNSVIKFCCGRKFLFNRAFDVYRKMWRSDDAKPSLETYTMLLNSLLRKFNRLNVSYGYLHTVRSINKQMKALGIIPDTFVLNMIIKAYAKCLQVDEAIRVFREMGLYGCDPNLYTYSYLIKGLCEKGRVNQGLEFFGEMREKGLVPKGSSYMILICSLAMEQRFDVAIDVVFDMLSNSLPPDFLTYKTLLEGLCREGRGEEAFQFLDDFRKRDHTMKENTYKMLLNGLHFVSRE >KZN05092 pep chromosome:ASM162521v1:2:20129206:20129775:-1 gene:DCAR_005929 transcript:KZN05092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDTVKLISAEGFEFIIDKKAAMVSQTIRNMLTSPGSFSETEHGRVSFPEISTTILEKICQYFHWSLQYASYVTILVG >KZN05386 pep chromosome:ASM162521v1:2:23308501:23311973:-1 gene:DCAR_006223 transcript:KZN05386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGITEGVNNINIAGADSQKKSNRIQVSNTKKSLFFYVNLAKRFLQQYNEVELSALGMEIRTLTVDMRDEPGARPIPKAKIEILLVKTDKFEELMAAEAEEKEFAADGEEQN >KZN07637 pep chromosome:ASM162521v1:2:42369582:42369965:1 gene:DCAR_008474 transcript:KZN07637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKNSTSSLALLLSLNLLFFAVVTATDPVPKLPGSTTSSYYSGGKCDLLKLGVCANVLNLVDVVVGSPPTLPCCSLIEGLVDLEAALCLCTAIRANILGIDLNVPIALSLVLNNCGKEVPSGFECY >KZN06070 pep chromosome:ASM162521v1:2:29880850:29882980:-1 gene:DCAR_006907 transcript:KZN06070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSSMNWITTVIGFAMSATFIVFICARLICGRMRRFESPPMFEIDSRMIDLEQAEHRISGLEPVVVAAIPTIKFTREAFSSLEDPQCTICLGEYQEKEVLRIMPKCGHSFHVSCIDLWLRKQSTCPVCRLSVEDPFKTKFAAAITPPLETSQRTQMPNSPIEHSQQWLLPVAEPSEGNNDSRSQTEVVSENPRHIHYGDVETRL >KZN04757 pep chromosome:ASM162521v1:2:15394888:15396887:-1 gene:DCAR_005594 transcript:KZN04757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEARQHSRERISPLEMGRNDEQIEKLHRPSRPHKKTDSRVPVFVMLPLDTVTIGGNLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAELVNLVQRHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEMSKNPDLVYTDRSGRRNPEYISLGCDSLPVLRGRTPIQVYSDYMRNFRERFQDYLGNVIEEIQVGMGPCGELRYPAYPESNGTWKFPGIGEFQCYDKYMRASLKASAEAAGNKDWGKGGPHDSGHYNQFPEDTGFFKKDGTWNTEYGKFFLEWYSGKLIEHGDKILSAARGVFQGTGAKLSGKIAGIHWHYRTRSHAAELTAGYYNTRHHDGYLPLARMLSNHGVVLNFTCMEMRDREQPEHASPEGLVRQVKMATKAAGTELAGENALERYDGGAFSQVLATSRSDSGNALSAFTYLRMNKNLFEAENWRNMVEFVKSMKEGGRDTRLPEVDTTRTDLYVRFIKEKNEQSKEAALV >KZN06562 pep chromosome:ASM162521v1:2:34027363:34027653:1 gene:DCAR_007399 transcript:KZN06562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPRLRRNPASILVVEKVLKLAHNCLAPSRQTRPVMQKCAEILWRIRKEYNEKSLNTAALPIANSANVVQGDARKNRHTYFGIEDSESYRFRSA >KZN04466 pep chromosome:ASM162521v1:2:11329316:11332729:1 gene:DCAR_005303 transcript:KZN04466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEKERLLITKKKLEFNHEDANLVTVEPSPKVIVNDVDGTGTSAGQACLVQSGGDKEMVKPVEGEGCNEYEMQRNLNVAKNQEKLAALGIPLLTKSVSEKAEKRELKQPNEDGGESDYLPTNEDAGESENDEADIATSKKIKKTKRQRVVQSSTRGPRTRGQAAKLVNKEAASEPLPREKNDAPVLTAKEKLQALKSVPGSMLAYNQLREREKSQIEKEIPEGESGTQQMMAESEQNPGLKINLDESNKSREAEVEAESVGTDHELIRKKEEKKQKAALGTTRTLSVPGHEYAKIRADIEKELEEKLEQKFQDMVKKLAEQNPGLKINLDESNKSREAEVEAESVGTDHE >KZN06647 pep chromosome:ASM162521v1:2:34686258:34688309:1 gene:DCAR_007484 transcript:KZN06647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTFYNLSSAAGLKKLDEYLLSRSYISGYQASKDDLAVHAALAKPPSSEYVNALRWFHHIEALLRISGVSADGCGVTVSGSAPLVEDALANLSIADKTYVAKISFSAGKSSVLLDVKPWDDETDMKKLEEAVKSIKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDDLVEDYLTAEPANEYIQSCDIVAFNKICLNCCVGRAEGD >KZN06753 pep chromosome:ASM162521v1:2:35603116:35605834:-1 gene:DCAR_007590 transcript:KZN06753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCEVDGNPGQQLSAAAIIGHDGSVWAQSSTFPKFKPEEITGIMKDFDEPGHLAPTGLYLGGTKYMVIQGEPNAVIRGKKGSGGVTIKKTGQALVFGVYDEPVTPGQCNLIVERLGDYLIEQGL >KZN05731 pep chromosome:ASM162521v1:2:26700220:26705354:1 gene:DCAR_006568 transcript:KZN05731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSSTIYHLPLTTCSNKNTKNNALSSSLFCNSSPLSSNSNITPKFLRAKHVISPATTISRPFTIRAMAPPKPGGKAKKVTGMIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKAGYIIPVEITVYDDRSFTFILKTPPASVLLLKAAGAEKGSKDPKLEKVGKVTVEQLRTIAIEKLPDLNCSSIESAMRIIAGTAANMGIDVDPPILTPKIKELV >KZN06585 pep chromosome:ASM162521v1:2:34169164:34171159:1 gene:DCAR_007422 transcript:KZN06585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHPRHFGRDLRPKLVSKLMKDVEGTCSGRHGFIVAITGVENVGNGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKESEVRLKIIGTRVDATEIVCTRPPCSVLLLPLSRKDGIDVAIASLVFAKIAMELVHTVF >KZN04948 pep chromosome:ASM162521v1:2:18270956:18274006:1 gene:DCAR_005785 transcript:KZN04948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAIIGDLASGLIRKLVSLATEEIILAWNLLDDLVRLRQRMESIDALLLDAATKKLTMSAVQTWFNQIEAVAHVADVFMDELAYQVTRHKVQHHRVWDFLIPSKKSSLLYRFKVAHKMKSINTSFDNIFTSAGELGLQPVAHLLATMQTRLIRKTPHSEDKSLLVGRDDDISYLVQMVCKNHEVELPVTAVYGMGGQGKTTVARMVYNRDAVINMFPKRMWITVSEDFDFMKILNQMVVSLTSTASVLENTEGLIKELQKNLKGEKFLLVLDDVWNEKPEEWDNLRNSLLEIGGARGSNILITTRSQEVAYAMRCSVSYQVKILSEEDSYELFKRIAFSHGGIVETEAFEELGRRLVKRCGGLPLAIKTLGGLLHSKESEQEWLEIQNSEIWKSKGVLASLRLSYDNLPYSSLKRCFAYCSIIPKDTDICKDELAQIWKALGFLLPARGSTALMEDIGYEYFNILLCNSLLQDVEKDAVGNITSCKMHDLVHDLALDLSKYHSVTVKTGHELNIVSHISQPIYLRLDERISNRNPAILKRNLERVQALYTGARFLGDMLPYLKHLTVLVLNANEITSELPSSLSKMKYLKYLDISCFRGILPSYITDLYNLETLRVWALQVLPKEFCNLINLRHLYIVNPHERCMFIGIENLTCLQTLPHFVVSPDHSCLVKNLGGLNNLRGKLDLYGLDNVEDMVEATQAKLCLKPNLQSLVLEWDTNTSLRVDEEYNDEEVMRGLKPHTNLKELKIEYFKGKQLASWIAMMTNLVKITLRYCSRCEGLPTLGHLPKLREMEINRMKNVKFIGDNSSGGRGSGGTEFTASWGTKTNTTMYPSLTKLRFFDLPELEEWLESVMSTCDEDRSTMLAFPKLEVLEINGCPKLTRIPGSCFPSLKLLFIVDSDSSSMILETISRNVSSLAYLRLENISNGGGGSSSSSSSSKMDSIIDQLLENNFKSLETLKLYDCKGLTSLKLGPAIKELEVSYCHDLTSINLVEDSSVLNYVTIWGCPSLSNWISG >KZN05503 pep chromosome:ASM162521v1:2:24438440:24440350:1 gene:DCAR_006340 transcript:KZN05503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSESHVKDYLVQTGIPHPKDKTDKITRHVEVNHERLNFTEEIQNAHSYARQLSEQMTLAKAYVIIAKEHNNLHLAWELTSKIRSCQFLLSKAAMREDPITLDEAKPLIKSLSSLIFKAQDAHYDIATTIMTMKSHIQALEERTNAATVQSTVFGQLAAEALPKNLHCLNIMLMADWLNKKSLQDLANEKENSLRLVDNNLYHFAIFSDNLLAVSVVVNSTVSNADHPKQLVFHIVTNKVAYGPMQAWFLGNDFKGSAVEVLKIEDLTWLNYTYSPILKRLTEADVWEYYFKGQLDDSIEPKMRNPKYISLLNHLRFYIPQIYPQLEKVVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNPLISSKIDPQACGWAFGMNVFDLIAWRKADVTARYHYWQEQNADNSIWKLGTLPVGLLAFYGLTEPLDRRWHVLGLGYDLNIDNRMIESAAVIHYNGNMKPWQKFGISRYRPLWERYVNQTHQYIQDCFIS >KZN06458 pep chromosome:ASM162521v1:2:33066812:33070325:1 gene:DCAR_007295 transcript:KZN06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLKRGSVIVESEDTVGEAEQDSGVEGGVEVLATEVENPVESDPDDNVPLTERKMRVVDASPVMHRERPRRRLVKKSTVTGVSTAGATNVAGDADMAGSGFDILNTPTMTTDMEKAKDDAIRALMGHQEMKRDYEDKLADMFAKVENANA >KZN05113 pep chromosome:ASM162521v1:2:20249199:20249921:-1 gene:DCAR_005950 transcript:KZN05113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTDYTGGGYSDDDRVSDWEHGLPNVSDLTPLSQPLIPPELASAFSITPEPYRTNLDVARASQRTMSSLKGQYNNNNNNNNYIQANNWNSSSLDEFPISDPNGDCLRSDPRNSRKLETEVATKRARLVWTPQLHKRFVEVVAHLGIKNAVPKRIMEMMNVEGLSRENVASHLQKYRLYLKRMQGLSSEGSSVYDQLFGSTPVPQSLREGNGGSMATAYGGQMVAMPGYGQAGMYHGYDYR >KZN04922 pep chromosome:ASM162521v1:2:17985827:18001388:1 gene:DCAR_005759 transcript:KZN04922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDEAPLDDKAKRMRDLLSSFYAIDSSSSHSTATLNHHALPFNASARYATLDTINTASFDADRYMNLLVQKSNLDALLQRHFEMAAEIKNLDTDLQMLILCVQSRSDGVNTSLHGKRDHIEKMHRTRNLLRKVQFIYDLPTRLGKCIKAEAYADAVRLYTGAMPIFKAYGDSSFKDCKRASEQEVSVIIKTLQRKVLADSESVQARAEAVMLLNQLNFPVDNLKDKVLKKLEQVLGDMNLESGEVAQLLDNFDESSKKEIVPELSPENSQTVSTREFVEAARAYKVIFPDSREQLIRLMKDLIMKHFEVTQRHIDQQISSTDLLEMLRSIWNNVLVMDEILPEAAFPELSLKGARVAVEHFVTISFSRLLLNITDPLTKLQRRQEGLGEEYSFQGVLEASKKSVIQDSMSVLLVTNELA >KZN06530 pep chromosome:ASM162521v1:2:33777209:33779392:-1 gene:DCAR_007367 transcript:KZN06530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLYFSESYPPIFRQPKNPLCPEPKEDVCNDQALIGSDTLPVIDFQCIDQEQLHEACAEWGVFRLVNHGIPASLLSQLDDISKKVFNFSFETKQRLFSSTPVTYFWGTPALNSNGLALQKPQNVNWMEGFNVPLSQLSSRSHAYKEDKDDDPLSTSLRYLIQEYGSHQSRLAEAIYKAITDRLDQGKLDDSRRCSSYLSLSTGFIRVYRYPALVQPNETSWGMDVHTDSSIISILNQDLVGGLEFYRHGHWHHVHPVPNTLIVNLGDMMQAISDDKYKSVKHRVKLNRYRERLSMGYFVFPEDDTLIQSPSYMPFSYSDFRAQVQEDLKTVGYKVGLQRFRRRG >KZN06000 pep chromosome:ASM162521v1:2:29043812:29044786:1 gene:DCAR_006837 transcript:KZN06000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIAIGSLGDSFSVGSLKCYLAEFIATLLFVFAGVGSALAFSSLTNDGALDPPGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITVITGFLYWIAQLLGAAAASYLLIYVTGGKAVPIHGVGAGINAFQGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFSGHWIYWVGPLIGGGLAGFVYGDIFIESYGAVAASDDYA >KZN04889 pep chromosome:ASM162521v1:2:17611668:17614173:1 gene:DCAR_005726 transcript:KZN04889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLAANATSRRYAVVTGANKGIGLGICKQLAAVHGITVILTARNEQRGLEAVEKLKESAGLSDDQIFFHQLDVADPSSASSLAEYLKTKFGRLDILTAGIKWHEIVTDTDELAEECIQTNYYGAKRMIEALIPLLQLSDSPKIANVSSFMGKLQNLPNERTREILSDEQNLTEAKLDEVLNEYLVDFKGRSLQEKGWPHYSSAYTVSKMAMNAYTRILAKKYPSFCINAACPGFVKTDINLNTGILTVEEGAKRVVKLVLLPNGGPSGLFFVEGNISSF >KZN07428 pep chromosome:ASM162521v1:2:40777124:40777817:-1 gene:DCAR_008265 transcript:KZN07428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPPSSFDLPRDVVIVMDGLKDPSLELLAWVLEHVITDAAWTITVLQVSPWLNIPLSYKKWSEDVMMNIHNLCRNRGIVPQVTTIMGYPLRLLVVERIASLHPTLLAIDRHHSKKDVAFYAAKVFCDIVAMNDHGEYYLIKARAREGASAHYSFTEQPPGPTPQL >KZN05820 pep chromosome:ASM162521v1:2:27492554:27504120:-1 gene:DCAR_006657 transcript:KZN05820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSEENLPEEAVGEDWCFFCYEGGDLRICDYKGCPKSYHTYCVKKDDSFLEDEREWFCGSHICECGKSSDVRCLGCPRSVCEHCIESEDIVEIEGGKGLCSKCLNLALMIEENKTSDSDGIHVDFSDLQTEEGLFKEYWEIINKEEGVTLETLHYADAKMRKGNRRKKGSRRKKRSKKQSSPDLEKHVEYEESEISDYDDSYYAKKRKSKQKLDRSRNPTSAMKQKVMKTEFIGWGSKCLVQFLESIGENVSETLSQRNVASIVNRYIRENKLFHPVKKRQVICDANLRSLFRRKTLNKHRISQYLDCHFAENQLSSEEDEIVDLSEDETSVVRKRQRKSSEYRKVSEDESSLVGRRQRKMSEHKKSEEPEKLSRPQCHYASVCADNVKLIYLKRTLVQKLLEEPDTFGRKLTGSFVKVKSDPNDYLQKKPHQLMQVTGITRDSSDENDMKVLLKVSGSTKPISIKMLLETDITEEDCEGLRQLIKSGLLKQPTILRNLLPNTCFLEQAEVKEKVRDLHEERMKHAFAICAQCIDEMLDITKVVSLWLTPDSVEVGFSMGNLSPRYSEKQGEMVHNNITPQAWTLTQTVCTLYEYWAEQEKLQSSKERLLQNVPDVLAADFSELDFIPEGIIDDKEEDDSSFISGSEKKEHQQSKAYQSSPCTPSIKLNTGKSLQAMGVHVVNFTGDVNGKKRNAATQTQELEDPKSPTYNCISPDGEKKGPFSLEFLNQLKTFAPASSEYRVCKAGDSEKNAIPLDDALDLFPQN >KZN07357 pep chromosome:ASM162521v1:2:40288905:40290617:1 gene:DCAR_008194 transcript:KZN07357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETSDVEGGEQARHSKVMEEEVKDADTPDMQSVSMKLERVKEVYAEYEGHQERPSKGEVMLWCLYGLCSYFIHTVIIPILFPLIISQIFTAPEPSQGWEKSFKGLSCTKKEMQVYQGLTSKLIIISNSKFSALEWTSISWFVGIILSAPVLGFISINLDYGMHPQLLAGAVTALGAIFCLPAGFFRTFWIFPPYIAAIVVAATVGTASHARSLGLMVRGFVGLTIPKSQFASRVGITSWLSLYATAAGSLGAAIFSTLTYHMLRGPDKFTSLWVVAIFSGLKWLAGTLHIFTANRPSGNSTTSPSSVDKTHVVSIFSYPHAAGSLASVFLSSMAAMSIFTGGVLFLVGQICLEPKTILFIWLTYYAFPLLSLPLLHPFQQLIRADAVKMQLLGYLFTIMTTGFGLYYRHKNWQNNHVLVFTAVQGTATGVLHAFGRNLLLDCSPPGKEGAFSVWFSWVRALGTCVGFTLATAGVGSIGRSFGVAFCASIFGFLVLVFSNISNFEGAVAAGNVSEHNEHAERDYENPDETASA >KZN04659 pep chromosome:ASM162521v1:2:14307517:14310450:1 gene:DCAR_005496 transcript:KZN04659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKRYAVAFYGSSTHPQLVALIAQDEILTGGGQVEPPGMHMIYLPYSDDIRHTEEVHTYTGGTAPRATDDHVKKATALMRRIDLKEFSVCQFANPALQRHYAMVQAMALDEDEVPEIADETLPDAEGMARPGVVKALEEFKLSIYGENYEEEVDLAVGGKGNALAKKRKAEDAAKESTNYDWSELADNGKLKDLTVPELKLYLTAHSLPVAGKKELLINRILSHLGK >KZN06012 pep chromosome:ASM162521v1:2:29145131:29145958:-1 gene:DCAR_006849 transcript:KZN06012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATETSALDLIERHLFLDIFSPVVDSSQLEEYAKFLASDPSSSPISSSSSSCFSSSSISLSSIQINSCTTNSTHQNNPSEHHLSRDEKLVDFTNIDSWSKKNKVNPSRGCNKSGEIDFRHYRGVRKRPWGKFAAEIRDPKRKGSRIWLGTYVTPIEAAKAYDKAAYKLRGSKAILNFPLEIAKSSGLPNKHENEEIKKANKENKERVLVQPKVCDNNSSGEVCQPPLESRFASFCWPGSMDLWGDGEMSMLDFPLLSPSSHYYPSIESQHRMV >KZN06553 pep chromosome:ASM162521v1:2:33942264:33945872:-1 gene:DCAR_007390 transcript:KZN06553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTTVCYSFVLSVPAMASVNTALTSFVCKSGVHSASSKLPNTAFLPGFDVSGNAASTWKKENYIPSFSGPRATLTFDPSSTNKEKTTKHRKHTVDPAAPDFLPLPAFDQCFPRSTKEYTEVTHEETGHVLKVPFRRIHLAGDEPHFDTYDTSGPQDISPRIGLPKLRKEWIDRREKLGLPRFTQMFYAKKGIITEEMVYCAAREKLDPEFVRAEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVQKLQWATMWGADTIMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVDGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDIALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWLDQFALSLDPMTATSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVQQGMDAMSAEFLAAKKTVSGEQHGESGGEIYLPADYINSAKK >KZN04176 pep chromosome:ASM162521v1:2:3067584:3074363:-1 gene:DCAR_005013 transcript:KZN04176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRNTTQSPPPPTAPPPQPPPQTTAKRPAPAPQHPPPRSSPIGRILGKPMEDVRASYSFHRELGRGQFGVTYLVTCKSTHRQFACKSIATRKLNRKDDIEDVRREVQIMHHLTGHKNVVELIAVFEDRHSVNLVMELCGGGELFDRIIAKGHYSERAAAEMCRQMVTVVHYCHSMGVMHRDLKPENFLLLSSDEDSPLKTTDFGLSVFFKPGDVFKDLVGSAYYVAPEVLNRNYEAEADIWSAGVILYILLSGVPPFWGETEEGIFNAIRRGHLDFETSPWPSISISARDLVKKMLCYDPKERITAAEVLNHPWMREDGDASDKPIDFAVLTRMKQFRAMNKLKRVALKVIAENLSEEEIIGLKEMFKSIDTDNSGTITYDELKDGLRKLGTELSESEVGQLIEGDGRINYDEFAAMMRQGNPELVINRRRK >KZN04007 pep chromosome:ASM162521v1:2:515988:517406:-1 gene:DCAR_004805 transcript:KZN04007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVMTSLPQFNGLRANSSPVSPVKSLVAVQPMKHKGNGALGARCDFIGSSTNLIMVTSTSLMLFAGRFGLAPSANRMATAGLKLEARDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNIGAI >KZN06139 pep chromosome:ASM162521v1:2:30465945:30470486:1 gene:DCAR_006976 transcript:KZN06139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLINSSLSFNFSNPKLSSSSLPLFKLYNFKKRKNSSISCNLSSNSQKPNSQWTNYIRPFSAIVPLLQKIKSFAESTSMSRWGSVLEGYSDSDTVLQNGGFGIALLSITANAKVRISPFVATLAANPTFVSGFFAWLIAQSMKLFLHFLFERKWDLRILCSSGGMPSSHSALCTALTASVAICHGVADSLFPVCLGFTLIVMYDAIGVRRHAGMQAQVLNLIVEDLFQGHPISQRKLKELLGHTPSQVFAGAILGIMVACICCQGYMVSM >KZN07769 pep chromosome:ASM162521v1:2:43448747:43454299:-1 gene:DCAR_008606 transcript:KZN07769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METELPVEPQSLKKLSFKSLKRALDLFAPSHSQFPPPNPESKKIRLSYKLNAEYGSIGSTVAKPSHVKPAVQQVPQASTTLALTGPESSGDLKKGAQNELVVVPSSQPKGPFGSGDGSFSGKSTTIIPAHGSSDRNLSTAALMERIPSRWPRPIWRAPWKNYRVISGHLGWVRSVAFDPSNEWFCTGSADRTIKIWDVVTGNLKLTLTGHIEQIRGLAVSKRHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLAMHPNIDILLTGGRDSVCRVWDIRSKMQIHALSGHDNTVCSVFTRPLDPQVVTGSHDSTIKFWDLRYGKTMATLTHHKKSVRAMAQHPIEDCFASASADNIKKFNLPKGEFLHNMLSQQKTIINAMAVNEDGVMVTGGDNGSMWFWDWKSGHNFQQKQTIVQPGSLDSEAGIYATAFDVTGSRLVTCEADKTIKMWKEDENATPETHPLNYKPPKDIRRF >KZN05819 pep chromosome:ASM162521v1:2:27482049:27486128:-1 gene:DCAR_006656 transcript:KZN05819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLWGNVQKKSKRSENLLAIAVGVKQKEVVNQIVQKFLGNGFDVMLFHYDGLVDEWNDLIWSSSAIHVSAMNQTKWWFAKRFLHPDIVVEYDYIFLWDEDLGVENFNPKSYLAIVRSEGLEISQPALDPAKSEVHHQITARRRRVKFHRRYYKFKGGGRCDDNSKGPPCVGWVEMMAPVFSRAAWRCAWYMVQNDLIHAWGLDMKLGYCAQGDRALNVGVVDSEYIVHMGLPTLGALNGNQFNDTETSDHSQAKHVRDSEPLVNHLPYKLDNRSAVRRQSYNEMKIFRKRWDAAVKEDQCFIDPYQEQPSVQKSH >KZN05729 pep chromosome:ASM162521v1:2:26690140:26694625:-1 gene:DCAR_006566 transcript:KZN05729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIFERSRVVDVVGEVLSMIGPFGVAVSIGVLIGWVWKPNFPTLVEYFSKSSSKHSWPSPIINFSSFKLQLPTFMTLSDDERQCDDPSLQASLSTSDCSESTGNLTLDDLEHLCRIVEEKDGGPAWFQVMDRSAPNMTYQAWRRDPETGPPQYRSRTVYEDVTPELARDFFWDDEFRLKWDDMVIEAETLEECPTTGTMVFPFFCSDREYVIGRRIWESGRSYYCVTKGVPNTVPRHKKPRRVDLFYSSWCIRAVKSARGDDQLTSCEVLLFHHEDMGIPWEIAKLGVRQGMWGAVKKIDRGLRAYQRERGSGAALSRCAFMAQINTKVSADYLRYLEGTRSSSDDETLDVAEKPSKRSIQKVLIVGGAVALACSLDRGLVTKAVIFGLARRFVKIGRRI >KZN04179 pep chromosome:ASM162521v1:2:3314247:3317106:-1 gene:DCAR_005016 transcript:KZN04179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVARFVSRCKSLTEKKEAGRIVGIAAGMGAVALYIHSQPPPPVKVIPEHDVDCQFYYKDVLLSEEGFTREDEWLKNFHFFDESLLKNDYFFNRKDKVWELRLSCHYSQKDRKLQLQNLSIRGLWPAYIINGKLLEELGIDFPNIKNKMGKIWTSTFHTLKPENLWAMEMSARGTLDYWYWIKAVQVAAPFFYDDGQCMFMDWMKEWNYNRGKFSCLDFEDHMSLQLGKRVRVIYKIMIDKKVYMYQIGILFDNSFNMVNYPPHKLGFEEFVLERHEQSDTEDESDTEQLSDYDEKSDFEEKSDFEEHYEEQSKNEEQSHNEEKFNYDEQFETDSDFGELGTVQQEANVDQNGATKEDG >KZN07146 pep chromosome:ASM162521v1:2:38666064:38666504:1 gene:DCAR_007983 transcript:KZN07146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRAFASMYTIHEADEASIAAACEAEQKLESGEMSGKKSLILKWLAVVAAVLIQCATLVFVAVYSASEQHPGRLLILLLIMLMDPLIFWYAKRVYHETRAYIEAKELIKKAKELQDEMDKLTQCLQKPSRHVVININKLRNSRRR >KZN07373 pep chromosome:ASM162521v1:2:40415633:40416124:1 gene:DCAR_008210 transcript:KZN07373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCYNSAKLVMVVSTLVFLSGSLPQGHALLTGLLNITRIQFTTSQLACTATGNPPSTGGGVDGIGGALLSGTCNGVGGNGSGILTHIDGFATGILTLARGITIDPSTRLPCFVTVRLPVTGTTCTVLPPTGLLQAAMELVDVVSSPIFGSLAVATTGLWVMVP >KZN04160 pep chromosome:ASM162521v1:2:2781083:2781865:1 gene:DCAR_004997 transcript:KZN04160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAQVLDFIRQHLLDEFSPEENFLNYFNDHHDVVHCPRSSSSSSSSSSSSSSSSETLISDYFTRDKQNETKILRSPELLNFSESMQKPEKSTEKDLPRQNKFDLTSSTTQNSKTSAEEERRHYRGVRKRPWGKFAAEIRDPNRRGSRLWLGTYDSLVDAAKAYDRAAYNLRGRKAILNFPLDVVNSNNVGTTEDGGRKRAREAIDVEDYSTVMPNSKVSSQSMVADENESDHLSGPKGDMSCLWEDQINFSNFPPMSF >KZN07090 pep chromosome:ASM162521v1:2:38296511:38297830:1 gene:DCAR_007927 transcript:KZN07090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKGFRKVDPEQWEFANEDFLKGQPHLLKNIHRRKPVHSHSIQNHHGQGTSSSQLTDSERQGYKEDIERLRFEKDSLYLEFQRHNQESQQFESHMKLLMVRLQHVEHRHKDMLTSLAQTLKKPMPASSVMPQLEEIHYRKRRLPRKISFQDDGSSEDDQASASQGFTNESLNANRQSSFNKDLLEQLESSLSFWEDIVHEAALANVPGNLSLDLDQSTSIADSAAVCDPQRNVELGFRSSGIDMNSEPTSAVVREVPALKEQAITSLPNVPAGANDVFWEQFLTENPGSTDGSEAQSERKDLDTRKNESKPAEQGKFWWSMGSVNNHTEQLETA >KZN07330 pep chromosome:ASM162521v1:2:40080047:40081126:1 gene:DCAR_008167 transcript:KZN07330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKTNNDKEQYAHASTDSDVIVAKIWAILETVSNRIEMHENIRQQRDNWHSLLFNSINLITLSATTMVALAASHEMKMSMNLSSSLLFFAATGMLSVMNKIQPSQLVEEQRAATRLFKNLRREIEDFIVLGDVPNQKDVDVMMVKVLALDRAYPLALLGAMLEKFPKKYEPSAWWPKNNFQSLRKPFNDYGDGRNGWSQELENEMREIIEVVKTKDQEDYERLGNIALKLNKGLAVSGPVLTGVAAIGSAFSGMSPAAVVVAVVAGAAAAVINTVQHGGQVGMVFEMYRNCGGFFKLLEESTNCGLEESEMDKRENGEVFEMKMALKLGRSLSELKDLAEKSSSSENTFSEFASKLF >KZN07228 pep chromosome:ASM162521v1:2:39282501:39284977:1 gene:DCAR_008065 transcript:KZN07228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIVITSPGGAEVLKIQEVEEPQIGEDEVLVKVEATAVNRADTLQRQGGYPVPQGASPYLGLECSGTILSVGKNVTGWKIGDQVCALLSGGGYAEKVAIPAGQLLPIPHGVSLKDAASFPEVACTVWSTVFMTSKLSSGETFLIHGGSSGIGTFAIQIAKYLGVKVFVTAGNEEKLAVCKVLGADVCINYKTEDFVARVKEETGGKGVDVILDNVGGPYLQKNLDSLNIDGRLFIIGFMGGVSTQVNLVSMLAKRLTVQAAGLRGRSLENKALIVSEVQKNVWPAIAAGKVKPVVYKYLPLADAAEAHSIMESSIHIGKIMLVP >KZN06146 pep chromosome:ASM162521v1:2:30537385:30538056:1 gene:DCAR_006983 transcript:KZN06146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQFFLHPEISMGGGVNIWLWTGELFLGPHLVAGKSYYNESDISLKMHCHAHKAVISVVTEKCKLNIGSHENCSYW >KZN07470 pep chromosome:ASM162521v1:2:41094045:41095022:1 gene:DCAR_008307 transcript:KZN07470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLSEEEAILAGIQTACIHAVSKGWDITHIETVHRGVYETIRLQEYILIPENQLEALRLFNTVQANHFVEGSSVLHMNASATYLADYGMENLSAFAEVKGTVGNLQYYLDRDMGMVIGALGMDMVDNLGLGEVIDPPPPALPISLKKRKCPDLLSAEVKLSSGSPPLDFFEDSRTPWFYEEHDVLPQDSSNRFGMKGKDKLYQEFSFYDNGRMSVRAVEVIQSGCLVPFSPTFGNDIIDLEAPVGFGFHAKDVLHHAVQGTLTIFSDILKRYEEMDRQFRAKHSDELLPVSQVVSAMGSKDASGSISVQLESESSKKARRASSI >KZN06201 pep chromosome:ASM162521v1:2:30937771:30938022:1 gene:DCAR_007038 transcript:KZN06201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIFHIHVLATNTGNKDASAHKLYVELERNMVKIPPIFLIFIVPCKQQISGHGRLRSSSVSTIMYFKAHPLEGLLQGYQRLI >KZN07561 pep chromosome:ASM162521v1:2:41829923:41832499:1 gene:DCAR_008398 transcript:KZN07561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRREHVVVTEMVQVGCKWKLKGFFAHRHCRPKKNLLRKNQNQEVTGAEHQRGKSSALGSNLQGIKSAPKNKGEGIDDEINVPKLIQESDDMHKFALEILDSNNELFLKVLKDPNSLLVKRIRNARNLQQAKKETVKLLYENKLVECGNNAISIKSQKPTHHTNKSLLERIKLQYGCPSTDAEVPSTSNSIVLLKPRQTKHERDIFRKHRNLESSNRATGGKKLHLPTVSSFNQRDIEAKKHLSEMLRNAENVEHYTDKQGPRTLKSILSSPLSSPVHEYSTASNQEKGMDASVCPQRIQFSSCSNYEFARDDNSSPLQNSEVAASSTLMKLTGDSPIVRFTKEITEPVYSSDGLSYEAYANDMEMNDTIISEGLDISEAACAEQSSTFFECPIQDFWLENKPLNSSSVVFSSNPWTEREEHPSPNSVLEALFTKDFTGLSSNTNCSAISHTRPHHTDGKEASLVKDHIRNLLQTTELHWEELSKKSHPSIQLLNISSLVEHTLFLDFIKDILLELHQCHFDFYPRVPSIRQNTLASLVLAKNMMEEFMEEIQWYLVPPAMPRTLEDLAIKDTKKDEIWSGRKLETEEVVTQLTDCMLEELIMQTTYVGEK >KZN04415 pep chromosome:ASM162521v1:2:10464462:10465322:1 gene:DCAR_005252 transcript:KZN04415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKNLLVSFTAIVVLLTSNIPCNYSKKLPKVSNTPGSSDLSGFSPDDTYVTAKFSRDPWNSGYMPFANPDFTQESASSKDQQSPLEFQESVQTPDGVGLNGWGRIPRVPKPKALGLNGWGRIPRVPKPDDIGLDGWGRIPRVPKPDDIGLDGWGRIPRIPKPDALGLNGWGRIPRIPKPDGLGLDGWGRIPRVPKPKALGLNGWGRIPRVPKPKALGLNGWGRIPRVPKPDSIGLDGWGRIPRPPKLSDPGSLRVPPSMEALPRKSALGPTNPASPLLDLLDPRH >KZN07646 pep chromosome:ASM162521v1:2:42414982:42417575:-1 gene:DCAR_008483 transcript:KZN07646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKQEHSLEKRSAEAARIREKYPDRIPVIVEKGEKSDIPNIDKKKYLVPSDLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAILSAIYDEKKDEDGFLYVTYSGENTFGSITNITP >KZN06454 pep chromosome:ASM162521v1:2:33042937:33044976:1 gene:DCAR_007291 transcript:KZN06454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVYSSLTLARFPVSPITASIYSDVKVQSMAVPKRAEDLSIQVGAKEIKQEWASKTLTSVSRRNVGMQLAIASLAAIATNLPDSAEARVIKPEIKRKIFEKLKMLREKANLMNPNTENEEKTYPPAEEDEKTDLLNPNTENVEKTSPLAEEEEKAGLLNPDTEKEEKTSPPVEKKEKASLSNPNAENEEKNPNTENDEKTSPLAEEEGKAGLPNPDAKDEEKTSPPVEEKEKSNLSNPNIENEEKTSPQGEEEEKALTSDFIIEVSVSVNRNG >KZN05746 pep chromosome:ASM162521v1:2:26893898:26894377:1 gene:DCAR_006583 transcript:KZN05746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQQVLDEAQAITLPLYHQQSHRNPGSVTDPTRVISKKKHYRGVRRRPWGKYAAEIRDSARQGARVWLGTFETAEEAALAYDRAAFKMRGAKALLNFPGQVGAHSAIEKFEPNFTFKLLAKNQESSSGLNSSKQAAESAGEETEDINELTNYRHLLKL >KZN05772 pep chromosome:ASM162521v1:2:27109244:27110845:1 gene:DCAR_006609 transcript:KZN05772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQATQISLCFLLVISTLLFSSASNSDEFVQCLTKLSKESAPTVSKLIFTPKNSSYTAALLYSINNLRFTRPTTPKPRVINTPTTEYQVQSVIYCAKKTHLEIRIRSGGHSLEGLSYVAYVPFVVLDLRNFNKVTPHVPSATAWVGGGVTNGELYYRISQATSDYGFPSGLWANIGVGGLISGGGYGMMRRKYGLAADQVIDAKLIDVHGRILDRQAMGEDLFWAIRGGGGGSFGVVLAWKVNLVRVPKTVTVFRVYRSLAQNMTNIFYKWQSVAPRFPKELDIRCNGQIFLSENSTRPDKKIMVMNFESLYLGRVDSLLKVMLKQFPELGLVREDCFEVSWIQAMVFFTNLPLETPPEVLLDKTTLPRFDFKGRSDFTTKPIPVKGLEGIWDFMFQLPNGTAMLQFTPFGGRMSEIAETALPFPYRAGYLYMINLYALTDVDEANRLQWVRNIDAYLAPYVTSNPRSAYVNYANLWMGTNNPRGRTSYAQASKWGKRYFKNNFDRLVWIKSKVDPDNFFRHEQSIPPSTIP >KZN06473 pep chromosome:ASM162521v1:2:33185022:33186036:1 gene:DCAR_007310 transcript:KZN06473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSKQKKNDKGNRLLVSITVMGSAGPIRFVVNEKELVASVMDTALKSYAREGRLPLLGSNLDHFVLYCPIAGTEALSPWETIGSFGVRNFMLCKKPGVDKVIDNGKQAGDATITRKGAGSWKAWFNKSLNLKISSH >KZN06549 pep chromosome:ASM162521v1:2:33927948:33930278:1 gene:DCAR_007386 transcript:KZN06549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHGASGYGGGASTGLFGFEISPDHNNLTIPPRNPPAFNNHTSIPPFSAVERFVAGHHEMPTRQNNMLIFNQNYLHGPDESTDFFFGNSHSGLNHLGAPPPPRGQGSNNIIQQGPHGFYMTESQIRNWERNVAANREQAMRRTHDLRQRKRRRVRGGGHSSTNIKGQWTIDEDRKLLSLVHEYGDRKWALIAEEMLGRAGKQCRERWQNHLRPDIKKDSWSEEEERMLIECHQRLGNRWAEIAKRIPGRSENAIKNHWNATKRRQFPKKRKAKRDRDASKNSVLRDYITAKLLKAAASHGPNATAPAPPAPPNSNSGGPANNSEVPQSTSEDSTGFEPLSDEDLDFMKNVLGSVNNPVAGDGNLVSDGNVNSSSSSENGAMMITPDHQNMELNENAVNGDVMMQPMNTDYVQPAADPYKVSDLLDGVYSTPYLDYCFDGTPYVDKAHQQQQQEQLMNQAAEGAASTSGTKEMDLFDMVLANFHYPQ >KZN06996 pep chromosome:ASM162521v1:2:37488191:37491805:1 gene:DCAR_007833 transcript:KZN06996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGKIRARLRSSLYTFSCTNAPKKSVEFDELQGPGFSRVVMCNQPLLYKYRNNSTSTTKYNALTFLPIALFEQFNRVANIYFLIAAILSVTPYGPYNPISAIAPLAFVVGLSMVKELLEDWQRMLQDWKVNMRPALVYNANGSFETQPWKKIKVGDVVKVEKDTFFPADLLLLSSNYDDGICYVETMNLDGETNLKVKRAMDVTLDLDNDASFQDFKATIKCEDPNPSLYTFVGNFEYDKKIYPIDPSQILLRDSKLRNTSYVYGVVIFTGHDTKVMQNSTRSPSKRSRIERKMDKIIYILFSVLVVVSLVSSSGFAYMTKYKMPDWWYLHAPDNKDLYNPDKPTVSGFYHLITALILYGYLIPISLYVSIEIVKVLQASFINQDMHMYHEETETPALARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIPYGQGASEVEAAAVRKMSMDLDAEDFDHFSSEDSTYEEEVELETVVTSNDQDHKRRIKGFSFEDNRLTSRCWWKLPNPDVHFLFFRVLAVCQTAIPEENHETGEYNYEAESPDEGAFLVAAREFGFEFIKRTQGSVTVREADPSSPEPVERDFKILNILEFTSKRKRMTVIVRDDKEEIFLFCKGADTIIFDRLSDKGRTYEKATTKHLNDYGEGGLRTLVFAYKKLDEDEYRSWNSEFQKAKNSVSSDRDDVLEDLSDKMERELILVGASAVEDKLQQGVPQCIDSLAQAGLKIWVLTGDKMETAINIGFSCSLLRQGMHQICISTKDMLANESVQNTKSDILMQITDGSRMMKEETDPDAAYALIIDGKALTYALDEELKTQFLKLAVACASVICCRVSPKQKALVVRLVKEGTGKTTLAIGDGANDVGMIQEADIGIGISGVEGMQAVMSSDFAIAQFRYLERLLVVHGHWFYKRIAQMICYFFYKNIAFGLTLFYYEICTAFSGNSVYDDWYMILYNVAVTSLPVMSLGVLEQDVSSEICLEFPALYQQGPKNLFFGWYRIIGWMCNGVYTSVVIFCLTIFIFSDPPYRSEGQTPDMSIVGATMFTCIVCAVNTQIALLLSHFTWIQHCFIWGSIVVWFSFLIIYGVILPETSTGSYQVFTETLAPTPLFWMTILLVVMASVIPYFIHASLLRSFQPEDHHIIQEIKYLKKDVLDRRMWRRERRKGRHTAKIGFSARVDAKIRQLKGRIQKKGAHLAPQEML >KZN07682 pep chromosome:ASM162521v1:2:42708975:42711758:1 gene:DCAR_008519 transcript:KZN07682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIEELKEGAELEDEVVAGEGVLGGGIVVFDAKRVLVGAGARALFYPTLLYNVVRNKLQTEFRWWDRIDEFLLLGAVPFPSDVKRLKELGVCGVITLNESYETLVPTALYQAHDIDHLVLPTRDYLFAPSLKDISQAVDFIHENTLQGRTTYVHCKAGRGRSTTIVICYLVQHKQMTPDDAYNYVKSIRPRVLLAPAQLQAVHDYYNRKVKKLFSSSQIKTCNLNCMTDTTWIPRRYFTATDFFAFDEGSLVLVTNADLDGYDPNKETDGERRDIWADLSLVYRVRGAGKAVFTSLSCLWLRYNTDKRLASKQVSEERSCMISNRVEGFTVNIHVY >KZN04766 pep chromosome:ASM162521v1:2:15488868:15494491:1 gene:DCAR_005603 transcript:KZN04766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKGVISKFTLLLDYPNCIGFPTRKHLQQSSSITDEDSESKRATHPSFCVCTAVATTRIFRALILYPWLPHRRKQSGEAFHSLRSPLHVVAMDSAQRRRSSNDVQTSGNVSSANRENLEPNRASASLPESSFQTPARNVNVHQQPSHYTLAQRRRSSNDVQTSGNVSSANRENLEPNRASASLPESSFQTPARNVNVHQQPSHYTLGNQILGGKTQGLIDEGYTAGDAATQTPTQRVVQHIVETMTLKELSEKITGEYIKTSAAKVSQGLDTPGTARSSSKKIKLVGSFGNSLIAYSDYMNICVGTVILDMFECCVTGEVDLTISMKSFGSV >KZN06759 pep chromosome:ASM162521v1:2:35639500:35639793:1 gene:DCAR_007596 transcript:KZN06759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACLCILCNILTISIHINLAQFNDSTTLEGKALYKQNSIDYAFVQSYTQAAGILKLIYRQMANSGLRSMLLPLLLLALALSPALPAAEAARIPHRG >KZN04122 pep chromosome:ASM162521v1:2:2322204:2323301:1 gene:DCAR_004959 transcript:KZN04122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAFGKLDDDQRLWEPAWRACSERIYSQQSQGSNLVTVLSGQNSANPKPIKEEVSFSGTTGCSASASSSENNLALLLSTLTSNQPTGADKIVEVKDILLEETSHAVNVARLYAEVAAAHTAAAVSNCSDVWSHLNKLKNSYVRCDDETKLSSAAMAISAAASVAKAAASAAKLASNIAVEAKIMAALKKRVKASSAAVKHAENFDAIVKASELAAAAVSQVGIIISNGNHLP >KZN06694 pep chromosome:ASM162521v1:2:35060603:35061682:-1 gene:DCAR_007531 transcript:KZN06694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVSAIGFEGYEKRLEMVFSHHGTFADPLGKGLRSLTKNQLDEILAPDECTIVGSLSNEHVDSYVLSESSLFVYPHKIIIKTCGTTKLLLSIPPILKLANALFLSVRSVMYTRGSFIFPGAQTFPHRSFSEEVAVLDSYFGKLGLASNSYLMGSADTQKWHVYSASIGPAQHSKPLYTLEMCMTGLDKKRASVFYKTPSSSATLMTEDSGIRRILPYSEICDFQFDPCGYSMNAIEGDAISTIHVTPEDDFSYSSFEAMGYDFKVLELNHLLDRVLTCFQPTEFSLALHADGEEQGTRLNISTDVQGYICEEKSCQVLKNNESVTYLTFMKVSGCASPRSTLQYWSGSENEDEEVMN >KZN07304 pep chromosome:ASM162521v1:2:39872472:39875820:-1 gene:DCAR_008141 transcript:KZN07304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVSTIAALAVNTYGSLQRDAPPVRLSPNYPEPPPPTTVPAEDANFSDQPKLMSQALVDAAKKFDALVTALPLSEGGEEAQLRRIAELQAENDIVGQELQKQLEAAENELKQVQELFSKAADSSLNLKKPD >KZN05879 pep chromosome:ASM162521v1:2:28023874:28024389:-1 gene:DCAR_006716 transcript:KZN05879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGRISGDLLRYVFLLGFLCLLCFGLLSNQPRRLALSSVASSKSFELLGKQRIAVHHASHIHFVSKRRVPNGPDPIHNRRAGKSTRPPVRD >KZN05898 pep chromosome:ASM162521v1:2:28159309:28161416:-1 gene:DCAR_006735 transcript:KZN05898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGIKGKTGFSSNNTAEEVTEGIDGTGLTAIVTGSTNGIGLETARVLALRGVHVIMAVRNVKAGERVKDKLLKNMPDAKIDVMELDLNSQVSIRKFAQEYISLFLPLNILVNNAGINGPPFSISEDGIEQQFAVNHLGRFLLTNLLLDTMKKSASERGIEGRIVNVSSTLHAYGYKEGIRFDKINDEKSYNPTDAYGQSKLCTMLHILELSRRLKEEGVNITANSLHPGMVATNIRKDQSTVSKFIGVIGRIVFKNVQQGASTTCFVALSPQVKGVSGEYFMGNNQKSPKASSSMAKDTDLAKKLWDFSLTLTQPPQ >KZN06067 pep chromosome:ASM162521v1:2:29871621:29872631:-1 gene:DCAR_006904 transcript:KZN06067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQLVPMGQKPKMLKDFLADNSHSKRVSFFEDNLNMTFSNKAFSKFLIKYLPFTSNIKPPSILPRSITRRLSKSRAVQPKLNAKDLAVKVKVKDILRWRSFRDLAAEQSTPLDFSSSPQHCTTVTTTTGSTSTRSSSGRSSWCDSDFTAEDLPSWCSNSIEQLGENVCDEDKEQNSPVCVLDYASQEDKDSFSYFHQTPARSQTRTELSANKIITDEEEAMQLLNVVKTSMSSSAECLEIDEEFLLLDFFMQQLSDDKKGGDELIEVAKEWIRGENDGCLEWSTVGKKEFSIKDMERGVKWDKFDEDQKELGLELENQMLNQLVDELLSDLLHL >KZN04589 pep chromosome:ASM162521v1:2:13085877:13086974:1 gene:DCAR_005426 transcript:KZN04589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGSSGGGSQSLGGPTRCGWVLGPSLDKIIKNVAWRKHSHLVNACKSALDKLHSLSDAENSQDLAVSGAPLFGFNESDGELLLQPLVLALETAYPKVVEPALDCVYKLFGLGLIRGCEVSMERVSGGSKVVFDILEAVCKCGGLGDEAIELAVLKVLLSAVRSPAVLIRGDCLVDIVKSCYNVYLGGLNGTNQICAKAVLAQMMIIVFMRVEVDSMVVQFKSVVVGDLLEFSDRNLNEGSSIQFAQNFVNEAVQMFNEGRFSADSMQSAASTPPAEVAEHKKGDESPGSLSDYTKIREDGLMLFKNLCKLSMKFSSQEQPDDEILLRGKTLSLELLKVIMDNAGSIWRTNERQENFIHLMNSPL >KZN07554 pep chromosome:ASM162521v1:2:41795789:41796337:-1 gene:DCAR_008391 transcript:KZN07554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSKPAVSIEAAASHNALIPKDSLSAPKGKKRVVKEGKANKPVTKERHSKVNGRARRVRMPTACAARVFQLTRELGHKTDGQTIEWLLRQAEPEIINATGTGTKPAELSVSSPAKPASGASVAAPLGGSVVNPENFVQGGNGLGVAPEVEYQTGGFMSLLMDPMIDEEELQQHEEYLLQM >KZN04939 pep chromosome:ASM162521v1:2:18173086:18176467:1 gene:DCAR_005776 transcript:KZN04939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARTEVRRSRYKVAVDAEEGRRRREDNMVEIRKNRREESLLKKRREGLQAQQLNATAAQLEKKLESLPAMVAGVWSDDGNMRLEATTHFRKLLSIERNPPIEEVIQSGVVPRFVEFLARDDYPQLQAVWALGNVAGDSPKCRDLVLSHEALIPLLAQFNENAKLSMLRNATWTLSNFCRGKPQPHFEQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIDAGVCPRLVELLLHPSASVLIPALRTVGNIVTGDDMQTQVGEAEKISGNTGDVNVYAQLIDEAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDDEQLPTGDTSQSGLQFAGSGPAVPSGGFNFG >KZN06019 pep chromosome:ASM162521v1:2:29187700:29188329:-1 gene:DCAR_006856 transcript:KZN06019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLTRPCDAQEDFTTRATTAGKDLMQKQKFQLTQLVYLLMKIEAQWVMLTSGAFPKFLTIVEEMVEANKDRRLELEEARMKLKGAEEWKIEALCRLQESERRARKMKVQLGLFLESEKRSLNLERNTGKEVCHTTFRNFTKNVL >KZN04157 pep chromosome:ASM162521v1:2:2680201:2681280:-1 gene:DCAR_004994 transcript:KZN04157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNCLLFDHKIRTEEDSAWTLMRERKGWKEKKHDLPRFAVVAFCNISVHEGVPIPTYFDIDMQARDTITDEVCLGDPTPSKESGVARTNVSADADTQANVMKATTVKLEKITSGSITDQSYESYESKTPGKFNALHIVFQSLGPIDRSKMLSRVNTLTEQNEA >KZN04469 pep chromosome:ASM162521v1:2:11407852:11408979:1 gene:DCAR_005306 transcript:KZN04469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGEAILWAFQTGAVQCIEMKKNKVHFETDNHEVFMVLRFQDEIQIAEELQEALTMFNAIYANHFVEEETLRVVSRVPVEQNLAAQYLANYGRDNLSAFREMPGSAGNLQHFLDRDLGLAIPFQIMDNMGLGRSPAIPFQIMDDMGLGEVIDAPEPPPSPVAQPVFDEAECVMKRELFALNDPPNLFRDFAEDPMMLEEKINMSGLASASEKRPPVVAAVKGKNKIYEGAIFNKDGVLSKAAVEILDSGCLANVSAVFSKDIIDLEREVWMGVKAKEVLENAVVGWSQNGVESSSLSLVHSGLETEEMEVL >KZN04616 pep chromosome:ASM162521v1:2:13571614:13572654:1 gene:DCAR_005453 transcript:KZN04616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQALHRDGESSLSLMGPRPMELSTAPHGGSHASRSNGKQRTSNLESPIMLLTGHQSAVYTMKFNPTGNVIASGSHDREIFLWNVHGECKNFMVLKGHKNAILDLQWTTDGSQIISASADKTLRAWDVETGKQIKRMAEHSFCVNSCCPSRRGPPLAVSGSDDGTAKLWDLRQRGAIQTFPDKYQITAVGFSDASDKIYSGGIDNNVKVWDLRRNEVTMTLEGHQDTITGMQLSPDGSYLLTNGMDSTLRIWDMRPYAPQNRCVKVMDGHQHNFEQNLLKCGWSPDGSKVTAGSADRMVYIWDTTTRRILYKLPGHTGSVNECCFHPNEPIIGSCGSDKQIYLGEI >KZN05692 pep chromosome:ASM162521v1:2:26370279:26373266:1 gene:DCAR_006529 transcript:KZN05692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTKEAIGMSSSVEWRIQVDDGSKEILVPESKNGFQRFLAALKGLIVNFTLKICNFFKKAWKIGVDDPRKVAHCLRVGVALCVVSLFYYMRPLYEGVGGNAIWAVMTVVVVFEYTVGATLYKSLNRICATGLAGFLAIGIHWVASQSGTKIEPFILGASVFLLASAATFSKFIPVVKARFDYGVTIFILTFSLVSVSGYRVEKLIDMAHQRLATIIIGTALCMVVAMVVYPIWAGEELHFLIIRNMDKVSDSLDCCVAVYFKADEANPNSDEACSKNLEAYKSALNSKGSEDAMANFARWEPAHGLFSFNHPWKQYLKVSSALRNCAYCIEALNSCLYAENKSPDFVKSHLADTCSIVSSNSCDIIKELALTIKTMTRSSTIDMSVIKLNDSVQLLHENLKSLSTLLIPEEGPQNTTDENEHKEPVLTVSLIEVIPLVSFASLLIDIAGRVEGLVQAVEGLAKLADFKPAAEEKPGLNGGKKKKTTNKGSVAVPPRTPQVVRNAKTSGTAKDGNMMVLGATASSGSDNVGGTGGCGVLFFSIYNIPSPETNNPVQVDPNV >KZN07319 pep chromosome:ASM162521v1:2:39980352:39980609:-1 gene:DCAR_008156 transcript:KZN07319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEAPSWADQWGAGGIGAVEEVDTLENNKDGGNKKKGTSSSAGLSKAKAVAVGGAQKVKNGTTMGIKWIKNKVQKKNTDANSHN >KZN04993 pep chromosome:ASM162521v1:2:18742687:18743808:1 gene:DCAR_005830 transcript:KZN04993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPMDFLALQSIHKSLNDIPGSFYFVSWDFTSDPCNFAGVFCSGERVVALNLGDPRAGSPGLFGHIDPAIGRLTSLAEFTLVPGRVMGPLPPAISGLRNLRFLAISRNYISGEIPAGIGELKGLRTLDLSYNQFTGRIPWSIGSLPALTNVILCHNRLSGSVPRFGSRTLTRLDLKHNELSGPLLQDFVSPSIRYLSLSWNRFSGPVDRVLARLNRLNYLDLSLNQFTGRIPGILFAFPLSNLQLQRNLFSGPVLPYHQARIAVVDLSFNRLSGQISPLLASVQVLYLNNNRFMGHVPSVFVERLLEASIHVLYLQHNYLTGISLNPMVRIPVWCSLCLQYNCMVPPVQTPCPLKAGKQKTRPTGQCIEWKG >KZN06420 pep chromosome:ASM162521v1:2:32796671:32798770:-1 gene:DCAR_007257 transcript:KZN06420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLYLIIPCAIILIHQLYHKLRFKLPPGPRPWPIVGNLYDVEPVRFRCFNDWSKTYGPIISVWFGSTLNVIVNNTELAKEVLKEKDQQLADRHRSRSAAKFSRDGKDLIWADYGPHYVKVRKVCTLELFTPKRLEAIRPVREDEVTAMVESIYKDCTNSDSIGKSLLVRQYLGGVAFNNITRLAFGKRFVNSEGVMDEQGKEFKAIIANGLKLGASLATAEHIQWLRWLFPLEEEAFAKHGARRDNLTRAIMEEHTLARQKSGGTKHHFVDALLTLQSKYDLTEDTIIGLLWDMITAGADTTAIVVEWGMAELIKNPRVQEKAQEELDRVIGYERVLTELDFSNLPYLQCVAKEAMRLHPPTPLMLPHRANANVKIGGYDIPKGSNVHVNVWAVARDPAVWKNPLEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHYSWAPPSGLSSDEIDMSESPGMVTYMRTPLQAVPTPRLPSQLYKRLAVEDM >KZN06952 pep chromosome:ASM162521v1:2:37093643:37093852:1 gene:DCAR_007789 transcript:KZN06952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGEGEAVGGDVVGDEEGVEVDGQGGGGGEVGDGGVAGDEEGGGDGEEVGCGGGGEEVGPELEAWLAC >KZN04486 pep chromosome:ASM162521v1:2:11620606:11621084:-1 gene:DCAR_005323 transcript:KZN04486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDLIRSRVYCCFKCRNVIALHDDIVNKYFLAGNEGRAFLISRLINIVEGPASDRHLTTGVHFVKDVFCSDCREYLGWKYKKVYQEWQKYKETKFAISKRKICKLVDAPNHL >KZN04874 pep chromosome:ASM162521v1:2:17465982:17467076:-1 gene:DCAR_005711 transcript:KZN04874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWEDLVDDQEDDHQESQSQSHSLSRLATCTSYSTLDYDNYDDDNHGTISTMISRLSLESFDVGGYADGEFSEGTYGAGGQEISCLFIDSDDEKEPAVPGSYSLPASPLQEQRKASKSDTDDEDDNDDDDDVVHEDDDDEVKMNLGIGERSLSQSNYSFSGESEGGLRVITRPKGGRRSLCMDMEEVKACRELGFELEHQQMFDMTATPSRLNLSTSTLDTNTTSSGGSSPIPNWRISSPGDDPKDVKARLKVWAQAVALASSSSSSSRYGGN >KZN05555 pep chromosome:ASM162521v1:2:25014269:25020644:1 gene:DCAR_006392 transcript:KZN05555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRRLNLTTVTRSLSSVSSGADPFLSHPSHNHLSSIKSKSELVKSYTVTPPIKPWPQNLYPKRLVSMITSQQNLDLALQIFHHAGKYHPNFFHNYDTYNAIINKLARARAFQEVEILLGDLLKLNVKCGENLFIGVIRNYGIASRPKQALEMFLRIKDFGVETSVRSFNTLLNALIQNKQYKLVHMLFKNCKKRFNVVPNVFTCNILLKGFCKSDDIEGALKVLDEMPEMGMVPNVVSYTTTLGGFVSRGDMDGAKKVFDEILDRGWIPDATTYTILMDGFCKTGKLIEAVKVMDEMEENGIEPNDVTYGVMIEAFCLNKKSGEAVNLLQDMLEKKYVPDSVLCCKLIDLLCEEGKVEDACDLWKKLLRKNVTPDNAISSTLIYWLCKDDNIVEAKKLFDEFEKSSFPSVLTYNTLIAGMCEKGELCEAGRLWDDMVEKGCTPNSFTYNMLIKGFCKVGKAKDGIKILEEMLDKGCFPNRSTYSILVEGLYDSGQEAEVPQILALAASSVQGIDTDTWEIIISRLFANLDQKSDVIDRLNHGSDCNVEEYAPIICTPIVSLEGMGKCTLVPQIMKKCCPWWPADQVIDMANIGKRAIHYLHKLSAANIPTDLIEKGQNFERKQNLRAPVSEIILDELSYNRDMLSPFLQRYMNPVLLRKLHHMRTRTRFNKLSGMEPDNATEESGADQYHRVLINVYFEEDIDLMAKLNFDAYRFSLSWPRGLFVCPD >KZN07111 pep chromosome:ASM162521v1:2:38461602:38462930:1 gene:DCAR_007948 transcript:KZN07111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMHRLNLAILFLFIQTLSLSFCQATVPANETFKYVNEGELGEYISEYLGDYRALSVFTSPFQLCFYNQTPTAFTLSLRMGLRRTESRMLWVWEANRGNPVDEKATFSFGTDGNLVLAHSNGKVAWESATANKGVVGFKLLPDGNMVLYDSKGAFLWQSFDSPTDTLLVGQSLRVGAATKLVSRASRNENVNGPYSLEMEPKGLTMYYTPANSPRPMPYFTFSQWFNITKGATIQNMTFLSENEYGEGFAYNLQFGLGVANSPYSAGGILSRIKYNTTLSFLRLAMDGNIKIYTYNDKVDYGAWEETYTLFSRDSDASECQLPTRCGKFGLCEDSQCVGCPSPNGPVLAWSKSCEAPKVSSCAAKDFHYNKLEGVDHFMVKYTEGDGPVKQNDCEMKCTRDCKCLGYFYHLDTSRCWIAYDLKTLTRVDSSKHLAFVKVPN >KZN05617 pep chromosome:ASM162521v1:2:25660474:25661620:1 gene:DCAR_006454 transcript:KZN05617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFSNTVIGFLNLFTLLASIPIIGGGLWMARSKTTCESFLQTPLLVIGFVILIISLAGFIGACFNVAWALWVYLVVMLFLIGTLLGLTLFGFVVTSRGHGVEVPGRVYKEYHLEDYSPWFKKRIKDPQYWMTIKNCLLGSKTCAQISYWTPIDYLTRDMSPVQSGCCKPPTTCNYAGTMLAQDEDCYHWNNAPNLLCYDCDSCKAGVLESVKLDWHKLSVLNIVMIVLLIGIYSIGCCAFQNTKRAESDYPYGENRMSKVHPRWDFHCLKNLKQALY >KZN05646 pep chromosome:ASM162521v1:2:25941663:25944619:-1 gene:DCAR_006483 transcript:KZN05646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPASKSKSWSIHTRSEITCKYEIQQRVGSGAYSDVYKARRLSDNLVVALKEVHDYQSGLREIEALKALQHCPNVVVLHEYFWSDDEDAVLVLEFLQTDLASVIRSAKKEWEDGVIRVPEIKRWMLQILSGVDACHRSSIVHRDLKPSNLLIAADGVLKLADFGQARILLEPGFVANNDDLLSSAYEPPVMSAPEKGIKDDYKSQNKEPLTKEKYIDQLDEIRGQAYMYEFDKESNLQDGNASCLATCTASDEEDFAKSSYSYQEEGDTPLTSCVGTRWFRAPELLYGSTNYGPEIDLWSLGCIFAELFSLKPLFPGTSDIDQLSRIFSVLGNLSEEVWPGCVKLPDYQTISFCKIDNPIGLEECLPNRSADEILIVKKLLSFDPANRATAMELLHDKYLEDSPLPLPISELRVPSTNSSQDDESHGDWFDNRDMESDSDFDEFGSMDVTNTDAGFSIKFF >KZN05559 pep chromosome:ASM162521v1:2:25057848:25058000:1 gene:DCAR_006396 transcript:KZN05559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLIGTRVNSLIDYLSLKKLQTCQLVSFKIACARHISTVTQSFRHSFEC >KZN07469 pep chromosome:ASM162521v1:2:41083074:41093064:-1 gene:DCAR_008306 transcript:KZN07469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETVELPNRLGILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWQKEDKGVIGILPVRDATDTMAIGPPVLSSAPGLDLGDRNPKSQVGVSDSHKINGKTQQEVIQWHTRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSTRGTYYTARVSPLDMTRPEMELVEQDPDFTALSLQFKATAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDVKVRLSKATELVNRHLQSIRVAEKITQKVEGQLSKTQKEYLLRQQMRAIKEELGDNDDDEDDVSALERKMQSAGMPANIWKHAQRELRRLKKMQPQQPGYNNSRVYLELLADLPWQNASEEHELDLKAAKERLDSDHYGLVKVKQRIIEYLAVRKLKPDARGAVLCFVGPPGVGKTSLASSIATALGRKFIRISLGGVKDEADIRGHRRTYVGSMPGRLIDGLKKVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPYDLSKVIFVATANKAQPIPPPLLDRMEVIELPGYTPEEKLKIAMRHLIPRVLDQHGLSSDFLQIPEVMVKLIIERYTREAGVRNLERNLASLARAAAVRVAEQEHVIPLSKDVHQLSSHLLESRLGDSAEVEMEVIPMGDNHELSRDFRVNSPLIVDESMLEKVLGPPRFDDKETGERVAAPGISVGLVWTAFGGEVQFVEATSMAGKGDLHLTGQLGDVIKESAQIALTWVRSRATELKFATANETNLLDGRDVHIHFPAGAIPKDGPSAGVTLVTALVSLFSHTKVRSDTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVLLPERNLKDLAEVPAAVLASMETKYLMSLEQIVYGLQKSLGLDILPAKRMEDVLEHAFEGGCPWRKSSRL >KZN04595 pep chromosome:ASM162521v1:2:13219654:13219815:-1 gene:DCAR_005432 transcript:KZN04595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMKASFFYRSAIIFRGSDLFSSVDIISGREKLRSAENYSGSVKKNASIRTL >KZN06039 pep chromosome:ASM162521v1:2:29511904:29514148:-1 gene:DCAR_006876 transcript:KZN06039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAMRDLDFERRKLKAARERIMLRETQLRSFYSTTEEISILFAKQQEQLKTMQRTLEDEEQYDNISLDLETNVDNGNLHESVLRGKEVPGHHNNITAQANSGSGQRCRRDQVDISSDEASVTEKHDCDNRGHGSDQDTQEAEFTSAGHVGKGGFGSDIDGVGTAPIIEGDTVGTERVFETESGANDGDKHLDLNKLAGETMQIDDDTLGQEAERHVIGGEISHQSASNNLLEAGNTIEDTERTFRTTDLLASEVAGSWAYNTGPSIHGENDSPRSKGCDEAAEALIVLHDSEPAAESQIVPSSEAATIQRNHEHQALSTMIGIVAPDLKDHFGGVGNNCDKEGSEKGVASNSDTEDCTDNEEVNAMDTEGVVASDAETEGSDGAEEAFVEVDEETEPDSVG >KZN06366 pep chromosome:ASM162521v1:2:32239956:32242864:1 gene:DCAR_007203 transcript:KZN06366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTLGRYHRCSYGALETGQTEKDTQSSYQEYIKLKAKVEALQQSQRHLLGEELGQLGTKELEELERQLDSTLRQVRSTKTQYMLDQLSDLQQKEQELVEINKALRNKLEENDVRIQSQWEAAERNNVAYRSHPAEHPDHGVFESLECNNTMHMGYAYKRRYLENCSLYTVNS >KZN06004 pep chromosome:ASM162521v1:2:29077957:29081464:-1 gene:DCAR_006841 transcript:KZN06004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSPQDAVTAFQILGGEAQIVQIMLKPQEKVIAKPGSMCYMSGETVMENVFVPENEAGVWQWLFGKSLSNIVFHNAGATDGFVGIAAPSLAKILPIDLALFGGEMLCQPDAFLCSINDVKVNNAVHQRARNVVPGVEGFLTQKLSGQGLAFIVGGGSVVQKSLEVGEVLSVDVSSIVALSGTVDVQVKFNGPMRRVVFGGENVVTAVLTGPGIVFMQSMPFHRLSQRIARAVASPNMRDNPKFFFQIAVFFLLAYVVILSSLILTDI >KZN04028 pep chromosome:ASM162521v1:2:818305:820089:1 gene:DCAR_004826 transcript:KZN04028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED-7 description:9-cis-epoxycarotenoid dioxygenase MASCSSTTTSSFHKTHVISSSCSSSSMKNDRTAQSFQSRTMKPCSRKANVVQSALQSPSITFPKHPCKLPEITKQDKTMPQKWNLFQKVAATALDMAENALLSFESKTPLAKTADPAVQMAGNFAPVPEQPVRHNLPVCGTIPDCISGVYVRNGANPLFEPTAGHHLFDGDGMIHAVTINGNSVSYACRFTETERLVQERVLGRPVFPKAIGELHGHSGIARLALFYARGLFGLVDQNHGIGVANAGLVYFNGRLLAMSEDDLPYHVRVKTSGDLETIGRFDFDSELQSTMIAHPKLDPVSGELFALSYDVIKKPYLKYFRFSEDGKKSEDVEIPLEIPTMTHDFAITENFVVIPDQQVVFKLEEMIKGGSPVMYEKNKMSRFGVLPKYAKDSSEIIWVESPETFCFHLWNAWEEPESDEVVIIGSCMTPPDSIFNESDESLESILSEIRLNLKTGKSTRRAIMKPSEQVNIEVGMVNRKKLGRKTRYAYLAIAEPWPKVSGIAKIDLFTGESQKVFYGDKRYGGEPFFLPSESDKEDEGYIMAFVHDEQTWKSELQVINAATMKLEATVKLPSRVPYGFHGTFISSEELAQQV >KZN06483 pep chromosome:ASM162521v1:2:33248376:33248812:1 gene:DCAR_007320 transcript:KZN06483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGGSAEKELDRRSNFLNSLIKKKKATEQEEKHDRLNVRVRASDMPISLQDRAFACARCNLDSMSGKLDNKSLALALKKCFPSARFPGGV >KZN07032 pep chromosome:ASM162521v1:2:37793082:37811660:-1 gene:DCAR_007869 transcript:KZN07032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLKSEQLGVRRLRLSHLLLGMASLYLLYICYKFPGFFKSVGMLSGDDSYNRLGSSDFVNVNNGDILTKPLLRSVSKDTIHRRLDNENDFVPLIVPGKVVEDNSNGVPPMKPFKSHYGRITGDTLRQMNRTNDLSVLENMADEAWTLGLKAWEEVDNYNGKEFGESSILEGRKETCPSWVSTSEEELANGDQVMFLPCGLAAGSSITVVGTPKYAHNEYIPRQANVRTADSFILVSQFMVELQGLKSVVGEDPPKILHLNPRLRGDWSHLPVIEHNTCYRMQWGTGQRCDGLPSKSDDDMLVDGYLRCEKWMRNDNRDKESRTTSWFQRFIGRAKKPEVTWPFPFVEGKMFVLTLRAGLDGFHVITGGRHVTSFPYRTGLTLEEATGLAIKGDVDIHSVFATSLPTSHPSFSPQRVLDMSEKWKSQPLLNGPTQLFIGVLSATNHFAERMAVRKTWMQASAIKSSIVVVRFFVALNPRKEVNAVLKQEAAYFGDIVILPFMDRYELVVLKTIAICEFGVQNATAAYIMKCDDDTFIRVDTVMKELDKVSGKRPLYMGNLNLLHRPLRSGKWAVSYELKNFVFSFLATVYLKNDILMKQEYPQEVYPPYANGPGYIISKEIAKYIISKHVDGDLKLKNLVFSFLAVVYLKNDVLMKHEYPQEVYPPYANGPGYIISKEIAQDIISKHVDGDLKLFKMEDVSMGISLPISEANDMSVGQTGQGSSSLLQLLNVDAILEITKLVTVSGHSNRAESILLAFQNYILVLGSSVMIPTLLVPLMGGTDGEKARVIQTLLFVAGVNTLLQALFGTRLPVVVGGSYAYVIPVLNIIFDSSLQQITDNHDRFIETMRAIQGALIIASSVQIILGYSQVWGLFSRFFSPLGMAPVVALLGLGLFQRGFPELGKCVEIGIPMLLLVIGVSQYLKYWRTVGEMPIFERFPILICITVIWIYSIILTASGAYRDRPIKNQFSCRTDKANLISTAPWFKFPYPFQWGPPTFSPGSSFAMMSAVLVSMVESTAAYNAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLYGTGIGSSVSVENIGLLGLTRVGSRRVVQISAGFMILFSILGKFGAVFASIPFPIYAALYCVLFGLVGAVGLSFLQFTNMNSMRNLFIIGLSLFLGISIPQFFYENSTLHHGLVRTDAGWFNAFLNTIFSSPPTVGLMVAVFLDNTVDVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >KZN04572 pep chromosome:ASM162521v1:2:12931796:12932323:-1 gene:DCAR_005409 transcript:KZN04572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFTSLYAFNIVDERNYMITLPPNIGNDKVNMSVGVLNNCLCVFDNSHPAHFGIWSMKEYGVRESWDLKCILKASIPAGICKSTLHPVAALKDDGIIIKSGSGNFYFYDQKNMNFTRFEIDDVELLAEFNYLAIHSSNFCPVDLMSTGCVLDTKAGARCSSHISRRFRYFVKIS >KZN05100 pep chromosome:ASM162521v1:2:20179698:20183610:1 gene:DCAR_005937 transcript:KZN05100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPLRALTTLPLTLTLKPQRSCTNNAKIHHFQLTKTPFANKNALISCKHKNQSNRWSLDGMTALVTGGTRGIGHAIVEELAGLGAKVYTCARNEAELNKCLVGWKDEGFAVMGSVCDVSNRVDRAKLIGNVSDAFDGSLNILVNNVGTNIRKPVAEITSEDFSTLMATNFESVFHVSQLAYPLLKASKAGSIVFTSSVSGFVSLKSMSVQGATKGAINQLTKNLACEWAKDNIRSNAVAPWYIKTSMVEQVLDNKEYMEEVLSCTPLGRLGDPTEVSSLVAFLCLPASSYITGQIICVDGGMTISGFYPRND >KZN07079 pep chromosome:ASM162521v1:2:38178570:38181766:-1 gene:DCAR_007916 transcript:KZN07079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASDDVPKIRLKIKFSFKNADLLLKSGSSEVRKQTFMNEDCAQNISVDGNRGSTMKKSHEAAPHASDSLILYGADSSNVASPGQSSRKRGLLRVIDSAMNKRQKMDPSLKQHCGSILEALIKHPAALGFSEPVDPIKFNIPDYFSVVSSPMDLGTVRSKLQNDMYFSTEEFKDDVRLTFSNAMLYNPSDNIFNRNAKKLDGIFNTKLKSLDARLKCESMNPKQNSHSSGRERKTTETKYLCRRRSSVHSGLAPTISMSTEDKRKLTEEFVVATRPKIRENLMILNTGAANQEPAKNIQNSRSPGDKILQKGIAIGSRSTCRTAKTMPSASMVATRCSSCGSLECHCCPLDGYACAASSRDLASERHMGQNCDGSKMEHGSGLISDACKSDPESDGAVSVLDEQKICSSPQTSIVGTTGVAAEGWTTDSDLQLSPKKALRAAMLKSRFVDTILKAKQKTLLDHVEKVDPVKFRQQKEKLERQQLAEKARIEAQIRAAEVASSLRAQEELRIRRERERDEARIALQKMEKTVEIDENLNILKEFDMFSRGSDKSLVPFEASQGGHLGNILEHLGLYMKDDYMDYEDEEEGLFLHDREEGLVCS >KZN05191 pep chromosome:ASM162521v1:2:21012412:21012570:1 gene:DCAR_006028 transcript:KZN05191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKALTDSNLNIFFIFTEGILNNITRNKIIEKRWNIWRKQRELDEAGEAANK >KZN07460 pep chromosome:ASM162521v1:2:41023880:41029018:-1 gene:DCAR_008297 transcript:KZN07460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNANDLLEWKDFPKGLRVLLLEQETDSAAQTRSKLEEMDYIVSVFCNETEALSAISNSTDGFHVAIVEVSNDNSDSRFKFLETAKDLPTIMTSDIHCLSTMMKCIALGAVEFLRKPLSDEKIKNIWQHVLHKAFSAGGKDISESVKPLREYVTPSPQLCSGNGESNHQLSIKTEYENQNQEQSAGSDKYPAPSTPQIKRGERLLDDGDCQDQANIIVDKDNMEPDGESKSVDNTYGDTVVETTFSNIVAESTVQVSPRQGSGDGDIRPEDQLADDSKDKSNDSSPCPDKGTTVNTSIEAKTNSKASRLRNVCGLKASRKKAKVDWTSELHKKFVQAVEKLGVDQAIPSKILEVMKVEGLTRHNVASHLQKYRMQQRHILPKDRNYVSRKPVMVFPSYQNTSFVPGGQGYQGWIQPSPYPPGVQMWGAPCYPGWQTTENWQWTPYPAMHADAWGCPVMPPPPVGPCPPFPQSTPQCQNSEYVNISQMPDNLFDLCPAEEEVIDKVVKEAISKPWLPLPLGLKPPSTDSVLNELSKQGISSVPPHTQRHTSPLT >KZN04102 pep chromosome:ASM162521v1:2:1931389:1931799:1 gene:DCAR_004939 transcript:KZN04102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSASVALFFALNILFFSLVSSCDFCPGPKPKPKPTPTPYPYPTPSAGKCPKDALKLGVCADVLNLVHNVVIGSPPTLPCCSLLEGLVNLEAALCLCTAIKANILGINLNLPISLSLVLNNCGKQVPNGFECT >KZN04984 pep chromosome:ASM162521v1:2:18620544:18623049:1 gene:DCAR_005821 transcript:KZN04984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLCLMASQCSLPGFVFNPDQGLRRLSADYQPLFLSPESPQDLVRPGFLAMNPQGPLQIDRPLNYMRGFLDSNKLVTIDSAIRRRELIDVQGNRRDSILFSYGIARECRNQEEILAFLSSGSSEVEGGLKLSVLNDLMGLQAIPTEMPQVLYSPDYGFCSQSAESQHTFLPPSSDFYSEKPLANLDGDVNHESEGMVYLDNQAALSSAQNDMKDRLYIIAEYYLSKHSTKWRKQSVLVPQFDRLEFTEARATNGSLKIEPLNVVPVKSPQKTRLRSPQKKKYNRRVGKEREQCRKNYSYSCESLLSIIVDKKRHGKTAILELKKSGPELSHLLTQFSASIAGTGLALLFSVVCKVAIGSVPFCASKLLNTGLGFGLVWLSWGVNRLRNMIVHISKNSDKGAVKEVEMLKNLDSSVKEIFFRAATLMAMMVLRLA >KZN06261 pep chromosome:ASM162521v1:2:31476242:31487416:1 gene:DCAR_007098 transcript:KZN06261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPGAKSDAKASPSFSKDDAYLTSVIPRRIALFESIKAEQIKHRESIAGEAIKVTLPDGTVKEGKKWMSTPFDIAKEISKSLASNSLISQVNGVLWDMSRPLEGDCELKLFSFDTDEGRDTFWHSSAHILGQSLEMTYGCKLCIGPCTTRGEGFYYDAHYGEMSLNEEHFEQIASGAKKAAAEKQPFERIEVSRKQALDMFSENKFKVEIINDLPEDKTITVYRCGPLVDLCRGPHIPNTAYVKAFACLKASSAYWRGNKDRESLQRVYGISYPDQKRLKEYISLLEEAKKYDHRELTKKQELFFFHPLSPGSCFFLPHGARICNKLLEFMRSQYWKRGYEEVWSPNMYNMQLWETSGHAANYKDNMFVFDIEKQPYGLKPMNCPGHCLIFDHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKDEVRGVLEFIKYTYDVFGFSFELKLSTRPEKYLGDLETWEKAEASLTEALNEFGKDWQIDEGDGAFYGPKIDISVSDAMKRKFQCATLQLDFQLPSRFNLSYSAEDESKRERPVMIHRAILGSVERMFAILLEHYKGKWPLWLSPRQAIVVSVSEKSQSYALEVKDKIHDAGYYIDVDVSDRTMNKKVREAQLAQYNYILVVGEGESKNGKVSVRVRDDDKFKEYGLEDLLAHFKKEVDLFH >KZN04772 pep chromosome:ASM162521v1:2:15598841:15602049:1 gene:DCAR_005609 transcript:KZN04772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRDSYDLRFGVITVLVGMVVATFGLMRWGNEWYYERKLGGIRQFLPPGDMGWPLIGNMLSFAVSFKKGHPDSFIETLHTRFNRTHIYKSHMFGSPSVIVTSPEACRKVLMDNETFGPGWPKAVTILLGDKGFHGITNEEHRRLRRLTAGSLSGQEALSFYIEYIKDIAVTSLKDLSEKEKPIELLTEMRKNAFNIIMYIILGIETSPELEKLEKEYHLLTHALKAMRINLPGFAYHRGLRARKKLIKKFGSLVEQRRVSLENKQTKGKRFMVDLLMETEEDGKKLSNQEIVDLIIIYLLAGHESFAHASTWVLINLLEHPQYYQLAKDEQEKIVKKRVSVEDSLNLAEIKQMEYLSKVIDETLRLANLSFTLFREAKTDVHMNGYTIPKGWKVLPWIRSVHMDSQNYKNPNVFNPSRSEDNTSKGAGMFMPFGAGSRLCPGMNLAKLEICIFLHYFLLHYKLERLTPYGKVAYIPITRPSDNCIARIQKLSSI >KZN05699 pep chromosome:ASM162521v1:2:26425790:26429075:-1 gene:DCAR_006536 transcript:KZN05699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEQVESLLKKEENKGLLDGLEKAAERVEIARMELAEIEKQEAEAKRVQEYVKQLEARASEIEECQREILEASQLVKEAERSLAVGTDALTEDEIKAIRKNKERLESIKAAIVAAVIGTLAGLPFSLAQVTNISELIIPLGITFASCALYGITYRYVIRRDLEDLHLKTGAAAAFGIVKGLAALEGGPLLEFNAESFLSHAYDGGISVSENLLIFLFATVGLDFCMKLRIISPFPIESSE >KZN07737 pep chromosome:ASM162521v1:2:43182122:43182969:1 gene:DCAR_008574 transcript:KZN07737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCYDTSLNSDARLSPPHKRQRLEKDAVIAIHDGEYHAREFLFKKVLAKSDVGRLSRLIIPKRFAIQHFPSIDVNARIEDCVFHIDFYDARKNLWTFRYCFWKCSRSYVFTGAWNKFVKAYELQAGDSVLFYKNYSGDESFFGIEVRYAGARNIVGSVTKNLNNAEEFMNDDILRVKEEREVSASELKEKYFQLFGVRIKRASTDFQGGRSIDVIKKEEDYLVHKADCRRVKEEEEEFEGLKVDLNLSL >KZN04060 pep chromosome:ASM162521v1:2:1303711:1306359:1 gene:DCAR_004897 transcript:KZN04060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGPVKPNKTEFTECWRTSLKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDEYSTVEKHTWLQETIVSMAVAGAIVGAAFGGWINDKFGRKKSILLADILFFAGAVVMAAAVGPWMIILGRILVGLGVGMASMTAPLYISEASPARIRGALVSANGLLITGGQFLAYLINLAFTRAPGTWRWMLGAAGVPAVVQFVLMWSLPESPRWLYSQNKIEESREILAKIYPADEAEKELEALQSSIEAEKADAKSIGEGFLAKVQSAFSNKIVRRGLYAGIAVQVAQQFVGINTVMYYSPTIVQFAGFASNKTAIALSLITSGLNALGSIISMAFVDRYGRRKLMLISMISIITCLIALAGVFYGAAARAPSISNFESSHFGGNSTCPSYITASNPSSWNCMTCLKASSDCAFCANGANEYHPGACLAVNDGSKSACRADNRTWYTQGCPSNIGILAVLLLGLYIICYSPGMGTVPWIVNSEIYPLKYRGIGGGIAAVSNWISNLIVSQSFLSLTHALGSAGTFLLFAAISAVTGTAIFFLVPETKGLTFEEVEKMLEKGFKPSVCGNDPDPDKKLDGTV >KZN04654 pep chromosome:ASM162521v1:2:14284853:14285158:-1 gene:DCAR_005491 transcript:KZN04654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNKTAWTYEWDESTDVSADERRPHLSSHKTRLVTLEKHKNPKPSRRKRKLWSNLEEDTLRAGVQKYGIGNWKLILDMYRDIFDERTDGDLKDKWRNMTA >KZN06408 pep chromosome:ASM162521v1:2:32634598:32635488:-1 gene:DCAR_007245 transcript:KZN06408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTKCMCFGIPLTPGSDRAWTIIYFISSWMTFLVAEACLVAGAKQNAYHTKYRDMIYAQNISCETLRKGVFVAGAIFVVATMVLNVYYYVYFTKATNQGARKTNRTSSTVGLAGYP >KZN05115 pep chromosome:ASM162521v1:2:20254067:20263099:-1 gene:DCAR_005952 transcript:KZN05115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPLVSGNEGSFGDDLEKEIGLLLREQRRNHESDDMEKELNLYRSGSAPPTVEGSLSAVGGLFNQGGGSGGGSVFSDFALNNKSGSAFSSEDELRSDPSYLSYYYSNVNLNPRLPPPLLSKEDWRFAQRLQGGNSAVGGIGDRRKVNRNENSGSSGGGVSLFSKPPGFNSKKQESESELDNQVPIEWGVDGLIGLSGLGLGSKQRSLADIFQDDLGRATPTSGHPSRTPSRNTFGENVDTFGMGEADMSQLQQDLASADNIRTSTKVLSSSSGQHGGPPASYSYAAVLGASLSRSTTPDPQHIARAPSPCPTPIGGGRVGTSEKRGINSSSFNGVTSNISEPADLVDALSGMSLLNSVMDEENHLPSQIEQIVDHENYLFNMPESHNNINQHSYLKNPDPGQLNVPSPRPTKLTYSNLDMGRGDGYGYNGSSNQSDLHRIAANGAYQKGSSNSILTGGGGSFGSHYQHSDGTNSSFPNYGASGYPINSPMQSMMLSHLGSSNMPPLFENAAAASAMAMPGMDSRMMGGSFPSESHPNYAALESQHLGRTGHHIVDSSFQAPFADPLYLQYAAAQAALNDPSVDRTYLNNSYVDLLQKAYIGSLLSPQKSQYGIQPGSTGVSGHHAYYGNQAYGVGLSYPGSPLASPGLSHSPVGPGSPIRHGDLNKRFPSGMRNLAGGGVMGPWHLDASNMENNFASSLLEEFKINKTRCFELLEITGHVVEFSADQYGSRFIQQKLETATIEEKAMVYQEIIPQALTLMTDVFGNYVIQKFFEHGMASQRRELANKLIGNVLTLSLQMYGCRVIQKAIEVVDLDQKIEMVGELDGHVMRCVRDQNGNHVIQKCIECVPEDHIQFIITTFFDQVVTLSTHPYGCRVIQRVLEHCEDQRTQDKVMDEILANVSMLAQDQYGNYVVQHVLEHGKPHERSTIIKELAGKIVQMSQQKFASNVAEKCLTFGDPSERRLLVTEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQERELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGGKHFVIPSSLGVSALWPTASMLLQ >KZN04458 pep chromosome:ASM162521v1:2:11200557:11203717:-1 gene:DCAR_005295 transcript:KZN04458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFDLNIPYSDSTNSTTRLKLIVKAMELGYTGIAYNRSIKGVMSESHRCSISLFPLSSLLKRLSPPSVEFHRSLLGVPKATPFRQYTRLTVLVDSSVQAAALNSGNPVLKTYDIVAVKPLNQMAFEQACRTCQVDLIAIDFSDKLPFRLKQPLVKAAIERGVYFEITYSSLIMDAQARRQFISNAKLLVDWTKGTYLIFSSAAPSITELRGPYDVANLMTLLGITMERAKAAISKNCRSLVADIIRKKKFYKEAIKVELVTKDPEFDEWLEWDPISSGEGDLLLDEMAKLFNASSKESNKVKAIDFVSVMDSLPANGLQIKDIMPITKPELEPFSGSNLPSSVKKTLELVDDPRAPNSDQSFDSTNFKKVSDESLKGSIKVSSVLDAATSNHGHDLNSLQFQTSTSTCETLVASHIDTLEGYDMQTEKDMATCQNKLQIDTLEGHDVQPESAMLTSQTKCPGEAVTVNTLSREEVIAKNCSALADTQTSTMLVDHNLPSHQGEQCKQLSCLNIVSCPQDVVMDEILNEEDIKVNAGDTVETLTVSKDYGSDCASKAYLPLNNTDAVLATTDETSLDTYMTYKPELCSVSDLSLHENRMIEQVKKTGAGDEASILGESSVLESHDLSPATNNPTADTPMEEQKQIENQYVTEQHVLNSSKIGTRGHFS >KZN07301 pep chromosome:ASM162521v1:2:39841942:39842292:1 gene:DCAR_008138 transcript:KZN07301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNASTITSVSPARMDTPEAVKVGTRGTVGSLIRKEMDYYSELERNLVLSKNNMVDKSCGPGVGKSCFVWNRGKKKRRGRFLPSLCSVSKVADPQQQLDCLASFSYMNLKAQAKE >KZN06373 pep chromosome:ASM162521v1:2:32305072:32305671:-1 gene:DCAR_007210 transcript:KZN06373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFPSEFAGIQTLAQDTPSHFENNCGIIQSDMSTLNYFNNLLANYPNLQNSLLPVYDFNSQSSGFSNNSTSDEGDEFQLSIINERKKRRMISNRESARRSRMRKQKHLDELWSQVVRLRTENHDLLDKLNNVSGDHDRVVQENARLKKEASDLRQMLTELQLASTYSGLRDLEEVPCTTAHLRTGSSNHCVTSSPVCFN >KZN06911 pep chromosome:ASM162521v1:2:36825885:36827713:1 gene:DCAR_007748 transcript:KZN06911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGYCYSGLVMLTILMIMASWASSVHSYHRPPARNSVFLSVPQDSDPSSPQQVHISLVGNDKMRISWITDDLVESTVEYGTSAGFKAGTSATGTYSTYKYAVYTSGYIYDVIIGPLKPSTTYFYRCGGSSQEFNFETPPPQFPIKFAVVGDLGQTEWTQSTLDHVSKSNYDVLILPGDLSYADFDQPKWDSFGRLVEPLASQRPWMVTQGNHDVEKMPVIHNTPFTAYNARWKMPYAQSGSDSNLYYSFEVTGVHVVMLGSYTDFSSGSDQYQWLQADLKKVDRTRTPWLFVIIHAPWYNSNTAHQGESESVDMKASMEDLIFGAHADIVFAGHVHAYERFTRVYKDQANNCGPVYINIGDGGNREGLASKYQYPQPSISLFREASFGHGELEVVNSTHTQWTWHRNDDDEAVVSDQVWLQSLAANTGCSA >KZN05551 pep chromosome:ASM162521v1:2:24977195:24982590:1 gene:DCAR_006388 transcript:KZN05551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVTDVGEQMQQKPESTSNGCDDFECGFEDLMRGHLDDCMSFTSCSSPRNTEDEDNEGDQLVRRRRRSDLEGDDLAESSAARRRHSQILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRESQSPTSRRQGNVERPNTQASSILQMWRELEDAHALNRARVRVRERQRLRQRRSVESNTNVSATNISESRESENQAGLEDVSGSERDFGTWSRGQMEPQIIRRDDDTSSRETSPDFGEVERERVRHIVRGWMESGISDPSPNVAQRSDSPRAEWLGETERERVRVVREWVQMTSQQRGARTNRREEQVAVPSAQVGGSREGSVTDHDDSQPEHIRRDMLRLRGRQAVIDLLVRIERERQRELQGLMEHRAVSDFAHRSRIQSLLRGRFLRNERPIEDEIRPSVATSELVQLRQRHTVSGLRNGFRSRLENIVRGQVSSHSEPLSNNNNTDYGSGQTHSNPLQEVQHENHEQLQLGSQENEIHQQSENLEANTVAPNVNEQDAANQGGDWQEQIIEDERENWQQATLNELNGWGVGPSVDMDQNWQENSVNSWPQETSRTDGEAGHPQRTREVWHEGPAANWPGGQTSNHPRIRRSAPFRRPNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGRAPIDWDLHRNLPTPASQEQDQDQQRDGQNEGQLGGAGRPSLVLPSPPVPPPQPIWHHDLHHSNWPRHSMHRSELEWEMINDLRTDMARLQQGMSHMQRMVEACMDMQLELQRSVRQEVSAALNRSNGGQGVVETSVDGSKWGHVRKGTCCVCCDSQIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KZN06263 pep chromosome:ASM162521v1:2:31495076:31497928:-1 gene:DCAR_007100 transcript:KZN06263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRLVSAWNKRRRSKSEDHINPWIYKPVEYWQLEDQKPPAKRHQGSLVFTLKEMEKATCSFSDENFLGKGGFGRVYKGILQSGEVVAIKKMELPQSKAAEGEREFRVEVDILSRLDHPNLVSLVGYCADGKHRFLVYEYMRKGNLQDHLNGTGEVKMDWSLRLKVAIGAARGLEYLHSSSSVRTPIVHRDFKSTNILLDSNYEAKISDFGLAKLMPDGHETYVTARVLGTFGYFDPEYTSTGKLTLQSDIYAFGVVLLELLTGRRAVDLSQGPSDQNILLQVRHILNDKKRLRKVIDPEMSRSSYTMESIAMFAKLASRCVRINSSERPLIEDCVKELQLIFHVNSKGLSMAMHTFRML >KZN06673 pep chromosome:ASM162521v1:2:34911760:34913165:-1 gene:DCAR_007510 transcript:KZN06673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSAHWTLVFGLLGNVVSFMVFLAPIPTFVKVYKKKSTEGFQSVPYVVGLFSCMLWIYYALLKSNTLLLITINSVGCVFQTTYICLFLFYAPKKARIQTLKLLVAMNVVGFGLIVMLTQFLTHGALNRVSIVGWICLVFSLCVFVAPLGVVRQVIRTKSVEYMPFLLSFFLTLSAVMWFFYGLLLKDYNIAVPNVLGFTFGIVQMVLYMMYKNTKKVLAKDAKLPELFKDAEVIIIDDKKLPELKETIMNVMRLSEIVCSEMKPAAKNMDDEKELDMIQVQVVPNKSMTALA >KZN04145 pep chromosome:ASM162521v1:2:2584965:2587451:1 gene:DCAR_004982 transcript:KZN04145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSRNAEVAEHVNLNGFIHQPECLSPEQSWELLKLKALHTGNCLDITRDVKRMEELGREMVEHCAGLLLAIVVLGGVLVTKPSLIEWEKVYRDSKSSLKAGKGLGEAYQREILSFLVWSYNDLPPQLKPCFLYLSKFGEDKWIKLETLYQLWIAEGMILSSDKREGETMIQVAESYMGELVHRSMVQVRFNNVESSLTKFKDCSLHDLMRDMSLIQAKAEDFFEEIHFQSGNQFHLKSTADSRSACTRLVIRLDEEYSSKKANDYFSKKGNEKCYRSVLLFGDSAPTSLPRALGSHVSNFRFLKVFSVENYRNFSGAFSHINFGRGLGDLVYLRYLSVRETNLLVFPSLKKLVLLQTLKLDINNVNYVLPWLSRDVLVKLDCLRHLYLPKYKVYVLGWKSKFRFNGLSKLETLENFNTTWCEAKDLHELINLRKLTLTVRDSFDILEIVMKNLGDIASSPSSCLRYLGVSIINCGIMLNNGLTILKQLVHADNRNLRDLKIYERIAELGLIFLRQYVSTIGITSLTLASSCLEEDPMPILEMLPMLGALHMFGRNPYVGKEMVCSATGFPKLTILYFYGFPNLEKWRVEKGSMPMLSYLCIGKCKKLEELPQGMMFLNSLVGIFLQQMPQDFNYRITREDGGEGPDFHKISHVPRVSINNQNYDSMFSVFM >KZN04873 pep chromosome:ASM162521v1:2:17459632:17464818:1 gene:DCAR_005710 transcript:KZN04873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLNELTRLFNGLTLVFKEVAATQRATSFQTTANDLQTLIKTTFISATDLTGLNRAHLPDSSPRDSASAGSASGSSSVVYFNQTGVVDTEVSLNDDINVSNVGDNGGVGVVDPQFGGDVRGEIDNAAAAVDVDVVQGEAKVPPVKRRKLRERKVPSTSFSRALGFAGLGAGLAWGTIQESTKRLVYGTPISKDQKSAVSPFLSDKNAERLALALCRMRGAALKLGQMLSIQDESLVPAPILAALDIVRQGADVMPRSQLNQVLEAELGPQWSSKLTSFDYEPLAAASIGQVHHAVTKDGLDVAMKIQYPGVADSIDSDIDNVKLILDYTNLLPEQMYIDSAIKVAKEELSRECDYELEATNQKRFRELLSNTEGCYVPMVIDDIRSKRVLTTELVSGVPIDKVASLDQETRNNTDPNWGNFLYDENKRTINLIDFGAARDYPKRFVDDYLRMDFHALSEQVLACANKDKEAVVEMSKRLGFLTGMESDIMLEAHVQAGFIVGLPFAEPGGYDFRSTNITHNVTNLGATMIKHRLTAPPEEAYSLHRKLSGAFLACIKVGAVVPCREMLLEVYEGYKFDEDIASLNS >KZN04331 pep chromosome:ASM162521v1:2:8339345:8340490:-1 gene:DCAR_005168 transcript:KZN04331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLNLKVLPVRALQKQTATSRSIRPSTTLQHGVLSGLDTLGRTGMRNTFAHAILIVNVFLGQVCGTGVGGSSTGIGDGRFRLGCGNPVIGGIICGLGGDNHGIGGSNDGLGGGNPVIGGTKFGFGGVNEDIGGSKGGLGGDNPDIGGSKNELGGDNNVIGGIILGGGGCGKPVTDGIRFGIDEGGKIAIGGISFGIGDIIFVIGGIILGGGCGKPVTGGIRFGIDEGGKRAIGGISFGIDEGGAPVIGGIIIVIGGIMFGRSGIGGVNAETDGTKFGIFGGGGDIGGTKLGLGIDGGGNSGDTMLVIGGGNKEIGGTKLEIVGVSHGIGGRIILYFGGDDIPGIGGNNPPS >KZN05765 pep chromosome:ASM162521v1:2:27038847:27039548:-1 gene:DCAR_006602 transcript:KZN05765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPQSPSPRYSPAPNVSLQQSPHHKKKPSKSTKIFRQVRSVFRSFPIITPTACKFPVSLNHHHDHNHIHGGTRMTGTLFGYRKSRINLAIQENPRCVPMLILELAILTGKLLQDMGQGLVRIALECEKRSDGGKTQLIDEPIWTLFCNGKKTGYGVKREATDEDLNVMQLLHAMSIGAGVLPSEATDAGDGELTYMRANFERVTGSKDSETYYMMNPDGNSGAELSIFFVRI >KZN07825 pep chromosome:ASM162521v1:2:43818932:43822702:1 gene:DCAR_008662 transcript:KZN07825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSTHVSHDSQSGQQHNPVEAPAADSGSVSISSNDNRRVTREDIELVQNLIERCLQLYMNRDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQITLFNHLLEHQFHIMKYSMPPEVSLAPIQNGIHPMPVNNLPMGYPVLHQHPIPGSGQHHLDAMEMSACHVANGVPAPGNFHPMRMNSGNNMVLGNSAADNTPGIPPQSAMSSLSEMAVSPTSVASSGHFPFTASEISGMGVDTSALDSAFTSDVANSVGLQLAQDNGEGNSRDSLRSLAQIPWSFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSDILLDSAEQDDIEEFLVDSIPGPPAQSDDEKS >KZN07332 pep chromosome:ASM162521v1:2:40088261:40088626:1 gene:DCAR_008169 transcript:KZN07332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKALFLVVLLNASLCSFDARELISKTASFDDSETMLRDPFSGATGSDALGAGAIGGGMDGPTLGNLLGAGLGGGPGIGGGIRAGFGVGGGGLGGTGFTIGGSLTGGGAKVGGGFRAGLP >KZN05604 pep chromosome:ASM162521v1:2:25539369:25539957:1 gene:DCAR_006441 transcript:KZN05604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLITKLALYLPRLFYFVLAATLIFQHLTLLCGGSPAPAPGPKIHKAPAPTPTYIPSPSPRPPQSWPSPSHHDKDDSPPSPSPRAAKFRGSSKGMSGGQKVGLAFGVIVGAALLGFAGMVYAKRRSNIRRSRNAGSVQLGAAFVRRPSP >KZN04394 pep chromosome:ASM162521v1:2:10126153:10128721:1 gene:DCAR_005231 transcript:KZN04394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQSSTWSSKLKFFNKIRRFMQPRSSPKQSAPSDHDPIKQNESMIVVKVAEEGGCGVLQRSVKRLHFGNFEEKEGAAEDIIRLARDNLRRRKMMAELGVIPPLVEMVGSEVLTRRSLAVRALIELANGTYTNKALMVESGILSKLPENIGVLEEQTRHEFAQLVLSISSLPNSQVPINLSKIVPLVISIIESDSNFATKQLCLGTLYNLSTMLNNAGTLVSNGVINNLLRYSSVKETSEKALATLGNLVVTLMGKKALESNLLVPENLIEIMTWEDKPKSQELSIYILMVLAHQSSMQRLKMAEAGIVHVLLQVSLLGTTLARKRALKLLQWFKDERQTRMGPHSGPQTRRLSIGSPINHNEAREGKRLMKNMVRQSLYKNLETITRRANADEGSSKLKFLATSSSSKSLPY >KZN06198 pep chromosome:ASM162521v1:2:30912278:30917570:-1 gene:DCAR_007035 transcript:KZN06198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKRYSMPEITPESLAASGRSSEKLSLPVLQSKMKCDPEGYETELSLLYSQFKSSLELFRQQAALNFTSISGIGGDPTVAKDLGDRAMFLAHVTPFYPRQLVEFPKELANFLRSAARTLPSFLRCQMTQALILLINRQTVEIGEILALFMELQTLGDRNLQKLAFSHVIHTIRRMNQKHKNEARNRSLQNILFPMLQEEDEAKAKRALVTLCDLHRRKVWFDDRTANAICSACFHTSPKIMIAALSFLLNYENIEDDDSDDSSSEDESTPQHQIVLSKEAVYKANNTGTTSSKKKKKAKLQRVIRSMKKQQRQSSEKTTSLSSYSPLNHLNDAQGFVEKMYSRLQTADKFEVRMMMVKVIARTIGLHRLILLNFYPYIQKYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFRQIVNQFVHDRSRTEAISVGLNVVREICLRIPLLMTEDLLQDLVLYKKSNEKSVSSAARSLISLFRQVCPSLLIKKDRGRPINPKARPKAFGEVNVSSNVSGVELLQQDDEENEDDNGSDDDNEIEFGSSDNDSEDGTEIGTAYSGSEDSENQCGSSSESEDDLDLNSESMDNMQEENESSGDEDATDLSDDETDVCTEVNSDYEGNLEDSEHSQKASGKSVMDSDGVKRSKAKKRKFSDFDEELNAASKSLRALKKLAGEKEEPASVDLDDCILSNEDFKRIRELKAMKEAKIAMTQHGMLRKGVDGKSAPFKIPTSEQLSSKRVDAAKLEANIRKKLTKDERLAMVKAGREEREKYQSRAATKQKKTGGTSNKQKQHKKAMPIAAKRAKIAKSRQEKKNKQKHASKQFRGRKAWK >KZN07008 pep chromosome:ASM162521v1:2:37576298:37584643:-1 gene:DCAR_007845 transcript:KZN07008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAILSLRERTQIEQLIRYIIEEAPEDAEKRRTFKFPFISCEIFTCEVDIILKALVTDEGAHQDIIKKLVDLIGITSIMELTHGAVITADPETVKGMLESLGELLKLLDVSSEKNALTTTYGKLHPPLGKHRLKPTVPAEGKSPPRVGNIGHVTRISNKLAQTRSNSDIQTFLQESNEWFDWYADVLLKRNTVENVYQWACGLTGHWSVMRSGSLLTNSNCSALEDERKNNEGTTEGTASPLPSTETNIADRVVDDKMIGDGLEAFGTAESSSLSNPSKDLRESGAPETEKPSEWVEWRESSDSFTPTDANLNSSKSCTATVNSAESSNAAADIDPPNSISGSAEQNDAVTESIAQSTPSVLPNDKLKVEQESRDDDSSANKTNVSPSSTDQSIGVVKSNIEGLPEAENPDVSSSSLSNVDKPSCHSAGDETSSSTSNVDKDKPAAAESSTTGGAEHKMES >KZN04290 pep chromosome:ASM162521v1:2:6712025:6717382:1 gene:DCAR_005068 transcript:KZN04290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGAVVTGVNGRLKRASVETKADRGIGGVSLATELTDDDDDFQTPAEHFDKVKSIRMQNKSKNNEGDKISKKRNRATEVTIISAVDTVAEGSRRRCVDKGIGQKGKHVAIVDGRKIGHQNRYVNRDVLRLGLNLDACGQYNWCRLLIDKLQSSCTYWKADIKRIFTGSLPFRTYLYLSKVRNIKYVYVPPAFPSYRGWSDTLINERQKIEIMEGSFGEGEIVPLSGEIEEVAVPESPVQNFGDREMIHDDEVIQDTEDSEPNSEHESTDASVMDAIKEVNDIHTGGNTMNDLTKNSESQSLKTGLTGSAGNGDGSIPVVPQVSTLGTKEVVVPGKIDDVTGATKTINTPNIGVKNSSGNVLKIVKILQQATFNRMVIVVRNFIVPQGTGNITQNPLLLDNVARIEETSLQGTQGTIEDAIDQHFEDKCMGEFRKNFHDMKSAYERCIDNFEVLLALYPNNAELSKLRDENRKFFQLFEETSPLSKMMMGGKRKERDNAVEKQVDDGSFAPSFSLGLSQITPKKLGDIMDGFHNEKNKAAENQLLQRPHRGIRVSEMCRSPYVSRVLDILGHKVTNEERIVWEWLFENRLNRREYLFEFQNIKCTKANFQSLQPNCLVDRAVIDAWTYILNVNEALRSKTSPFRLFLTAKTAKESSERLWKMTRNAMFKENMDVGMKTMFHLHNRVYAVKDFDMHECFCEWISMYKLPKESEIVKLSPRVVRMGWQTKENSVDRSIFVMRVMETYMGTLLGWTTGLRTENDNQKSLLNKLRVVYGHKILTWEHNSKREYVMGGATYLNKGKKIAE >KZN05333 pep chromosome:ASM162521v1:2:22709744:22710400:-1 gene:DCAR_006170 transcript:KZN05333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILPVFLLFFRLQHLRASSYTSSPHSSLSASNKEQLIHKGYMLKQELEIEEKAVSSVNRRAGGGGGGHGGHGGAAHGSSHGGSHGHGVGGGDSSGLPKYGGVVAGAGAGGAYERNNHNSGKHSGASSCCVHPVHLHYLLSLATILRLTWPILV >KZN04301 pep chromosome:ASM162521v1:2:7289886:7290909:-1 gene:DCAR_005138 transcript:KZN04301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQQYEGNRVDGTFTLTAYTNMARPDAVKWKTKKVFYYDKLSELFAKDRATGGKAETAKEKCKKWASGSELGVETIDEIDELLNANEVTLENFNTEDDLQVLSGTAFPQEQPSNSSKSKCMKRKYEGEDEMENSKGKKKKLVVEDEMAKIMSSMEHVADALKEGNVILKETNLILEQSRQRVYSEEEIFKQLEVIGLDPEAIYSAYLFLAKNQNEARILFGCPLPMRKRLLEDLMRQSS >KZN04871 pep chromosome:ASM162521v1:2:17433865:17435203:1 gene:DCAR_005708 transcript:KZN04871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPLLLFLATLQLFQINTITCNGDKDLLNITTVLVFGDSSVDTGNNNYLTTFVKANHNPYGQNFPGQVPTGRFSDGKLVPDLLVSTLGIKETIPPFLQPNLSRFELISGVNFASAGAGYDDFTGSIFDVIPLSQQSGYLRQYFEKLVSLVGEEEARIIISRALALISAGTNDFLFNYYTMPIRELQFNISSYQNFVQTRLKSFLQELYSLGLRIMVVTGLPPIGCLPFQRALAREVINGCLQNQNQDSILYNDKLKKLLQNTQKDLQGSRLVYSDIYKPIMKMIDNPQKYGRFVETRRGCCGTGIFLEAGPLCTATASMCSNSSKYLFFDSVHPGQEAYQFIFKYSYQTLVQRYLKNSTEEDYHIHDPK >KZN07076 pep chromosome:ASM162521v1:2:38165150:38166258:-1 gene:DCAR_007913 transcript:KZN07076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETIVGSDDAQHYDDKKSDGGSSSQDHHSSEHSFAEGDGKEVEKKESSSSGQSVVLEKSYPGGVNSGENVKMGDNIVQIEWELKSEEDYGSKEGSIESKEVHTGGSSSSSSSSSSGNSSRSSSDDESNVEKKTEVVETGQMVDSLSTVASQADTNISAGKTSSSVAETVPVVTPGTTSLTEEVVQVNGSASSGYPMTSDMVYKVEIQQNSDKGLPSSGDDTGISSVLMDSESWDKEDNTVSTPEENPSAYLGVKDSATEDKDEKLVLSFNAPNVHTSNGGDHIKESESPECSDSQPLVASAPQVVQKTSFKSCCGIFDLFTSSDR >KZN06183 pep chromosome:ASM162521v1:2:30816492:30818916:-1 gene:DCAR_007020 transcript:KZN06183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYEKAPQPQPIDFYKDESQTPNSILIEHPSSTVLNHHQPMILGDSSGGDEDNPKAPKRRAETWVQEETRALISFRRETDALFNTSKSNKHLWDQISAKMREKGFDRSPTMCTDKWRNLLKEFKKSKHQSNAKMCCYKEIEEIIRNRSNKSTPDFFKADSPKVDTFMQFSDKGTDDTSAITYGPVEAKGSAPLNLERQLDHDGHPLAITADTIAANGISPWDWRGTPENGGQRNLYEGRVIAVKLGDYTKRIGIDASADSIKDAIKSAFRLRTKRAFWLEDENNVVRSLDRDMPLGNYVLHVDEGVTVKLCLYEEPDSLPVHTEDKTFYTEDDFRDYLSRRGWTCLREYEGFRSADNMDDLCPGAIYRGVN >KZN05667 pep chromosome:ASM162521v1:2:26157643:26161665:-1 gene:DCAR_006504 transcript:KZN05667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYWRRSGSQIVVAAILFLGCLSAISIAKEEASKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDGERLIGEAAKNQAAVNHERTVFDVKRLIGRNPEEISAMILVKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRRECERAKRALSSQHQVRVEIESLFDGTDFSEPLTRARFEELNQDLFRKTMGPVKKAMDDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERTLTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKAEKITITNDNGRLSQEEIERMVQEAEEFAEEDKKVKERIDARNSLETYTYNMKNQINDKDKLADKLEADEKEKIESAVKEALEWLDDNQSAEKEDYDEKLKEVEAVCNPIVTAVYQRSGGAPGAEGAEAEDEDHDEL >KZN05110 pep chromosome:ASM162521v1:2:20229509:20231098:-1 gene:DCAR_005947 transcript:KZN05110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVATSLVDMYGKCGYILHAQQMFDEMPHRNVVSFSSLITGYVNAQNPWMAIEIFIVMLNMGKGPTLHSVSGALVGCAQLRDVKLGAQVHGLCLKSRFEMDVVVGTSLIDMYIKSSDVEASRGVFDGVIGKNVVTWTSMVNGYSLAQRPDEAMVLVRNMSRLGIRANFLTYNCLLSSFSFPVDFDHFKQVHCCVIREGLESNHYLLVSLMTMYSRSSNAEDFLKICSTVRIWDQISWNGVIAGFANLQKGEEALVCYNNMRHQGINVDVFTLVSILKAMGIIAALDEGRQTHGLVFKIGYASNLCVQNGLVSMYAKCGRIADAEKVFFSMIEHDIYSWNSLLAGCAHNGFGTKALCLFEQMRKTTLKPDLTSYLAVLTACSHEGFLEKGLEYFDMMRNDDSLEPPKVEHYACIVNLFARAGYVHEAESLINSMLIKPGPTVYKALLSACQLYGNKEIAIRNATKLKELCPDDDATFVLISNVLATGGYWDDAAGLRNLMYDKGVKKQPAYSWILSNKNDLRSHSRRMYG >KZN04393 pep chromosome:ASM162521v1:2:10114884:10121063:-1 gene:DCAR_005230 transcript:KZN04393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGLRRSSRLIDSPWLYNWAKKPPGYVDLEQGQEIVVVKEIDKRNEFEIVKNIEKSASGEDDAEFETPAECFSEGRSGRTKQRTKGGSLRQKSRTNSGKKLFCSGNRGSRSIIQISSTSGRRAHNRRVPVSRKQMLRTASQVKSPKNPVKRRREVGGGNTERVKVPMKRPYRKMAEQEGNGNGVNQAKVKKRRTYENYIQRKLSPGIMTDVLSSLSNEQRKWVSQTGFTDILDFRMGVYTHNLGYNVVQAFDNENCSLHLQAGKIEINDRTVRCVLGFPMGDKLIQRGKDLEPITYWGKQFEGAGCEVTAAMVSKLILESVEADRKFKLNFLVLMYNFFIEGQQNNSLNRDILKCSMDIDNCWRYNWCRLLLEKLRKAHAYWSAEPKRYFTGSLPFLIYLYVSKVCSDGTTYIAPTYPAYRGWSDRLVRERQKYDATNGSFGLGKLVDLKDKTEGKSDDVPEHNNFAIQGTGEGDTEMEAQGDCQNDNNILNEVDEQDNNASSDEVIVEDSLCMDEEVGNDLGVSPEAGVCAESKWRNERGSLRLDGSLDDQNNVGEKDVGDQSAKIILEGEPRSRLDNGDTGVSLQTPDNNVLLPKCIGKGKNALKDTQAVVDAVIEEHFDEHLRSLYGDCLDSFEVCFALYPNNDVLQDMKNDFNYFFKQFHDTSPLSKKLFKAKIVEKSTKNSPNTKATDFAPSFSLGLSQMTPKKLVNEMDNLGSRGLESNKVCMTTCGSSVQNPLVSTLTNLQMMRPRRETKTTMVCRSPYVSRVTDVAAHIVGAEERRIWEWLFSNRKNKKEIIFEWNGRMCSKAHFQSLEYNKMVETTVIDAWTYLLNSNERLRADSSPLRLFLTTETSYGPLNMEVNNDDELNKRHSVFAENMDVVLEMVNGVHNRIVDVKEFDMFVFPVYNASHHYIICYNMKKPSWEIIDNRVHSESFANMFGDLPNDLHDCFCDWIKMYNLPKGAEIPQLEPIVVRLGWQTVDNWLDCGVFVMRHLETYMGTLHGWSAGLRSHPARIKELLRKLRLVYCHKILTWNGNKNRPMIMKNVAAYTKGKRTALVFQKV >KZN04529 pep chromosome:ASM162521v1:2:12295458:12295988:1 gene:DCAR_005366 transcript:KZN04529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTATASSAQPPLSTADFTYAVPIALAFLATLVLASYLCFRLTRHNQTLNPAPSFSSATSSFDTSGVRIIFVAEDQDMQAAVGVDPRVINSYPKFPFSNKSLKSTDPMCPICLCDYKEAEMLRMLPECEHCFHVYCVDAWLKLSASCPVCRNSPMPTPLSQLVPPSHYNANLPR >KZN06206 pep chromosome:ASM162521v1:2:30967579:30975172:-1 gene:DCAR_007043 transcript:KZN06206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRVRVVFEDRNILSKSQRSVGLNRSWVFVKPQQLPTFSHLSAHLLNFFDLAQSCPHGLLLCMDGFVLPPFESTSILKDKDIISVKKRGGTLNKLLKKRKNADSIEDSETKQQLDAGVPHDKKEAGSSEVESEDDADCSDNTEPSEKPLNSLHKERKASTEPQNSKKKKRRVLVPNGNEKDNQTKSSGGQGLILKKVSLEKPEKVSNSKCKQIIKPSQPTQQCVQESTKSMSDDKSDQLKENDKRTGAMSNVTSTSIKVPSRSARRKKAKREWLRATANLGKKKTVCISKLPLKRKQRQAEAEKKEVIDQSKVLPQLKQSEQEKPENEIDLEKPFEKIDGEDEFVPVVIRPGHIRFEPLEEGQIETIRWNGITSKRKGQKWGMEKISSSDRHEHQNLEHDQPNTSSTTERVMPVHDPIDFEKLHPLPNLPKVGDVIAYRILELSSTWTPEVSSFRVGSVLWYKPESKTVMLTQVPEYPVIREKLNEDASAPQPDNSIYNEDGSLQVDFSSLIDVRIVKHGDSDCLKPVAADHSNGDSFKVISDEVSNSAPTNTDGVSNSPKAGNGEVNLLDQFSDVLNAKKAQLYEENSWIKGSPVKGSWSYRAMRGSALGPVMALLRSNNDI >KZN06601 pep chromosome:ASM162521v1:2:34270834:34275364:1 gene:DCAR_007438 transcript:KZN06601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSRIGRSLSRSPRSTKSLLTNGRAALVGESILQGPGSVDGKLGLVRKYLAANGNLAVSKAYLSDFRYLLANPRLHRYFSTEEGPKKKNYENFYPKDKKEIPKGDEQKSQSKEDSNTDDGGDFQSAFMKQIQNLIIPLLVIGMFFSSFPFGGREEKQISFQEFKNKLLEPGLVDHIVVTNKTVAKVYVRSTPLNRSRGDGPVAQGPDTDNDTRGKKGQYKYYFNIGSIESFEEKLEEAQEALGIDPHDHVPVTYNSEIGWYQEVMRFAPTLLLLGTLMYMGRKMQGGLGVGGGGGKGARGIFNIGKAHITKVDKNAKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESAVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFQIYLKKLKLDNEPSYFSQRLAALTPGFAGADIANVVNEAALIAARSDQTTVTMEHFEGAIDRVIGGLEKKNKVISKLERRTVAYHEAGHAIVGWFLEHTEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRASEQVMLGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGGFEMTKPYSNKTGDIIDTEVREWVAKAYEKTIELVEKHKEHVAQIAELLLEKEVLHQEDLLRVLGERPYKPAEMTNYDKFKQGFMEEDDKSKPATESVSEEDDDSAPLVPDVVPT >KZN07751 pep chromosome:ASM162521v1:2:43335648:43337592:-1 gene:DCAR_008588 transcript:KZN07751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMTSAFKQLIPMVIPSKYLTPLLEIEWFDRRKLFGNPSTNLESPNAWSRYGFERHNKQICFATSEEAGHGGPSSIIPLQNILFCGMLISLKSTSHLLTALFQQRATTKIKENPTSVFANQGQLCKLQRSPFFLYQFLFKH >KZN05425 pep chromosome:ASM162521v1:2:23723090:23728027:-1 gene:DCAR_006262 transcript:KZN05425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATPSSVLVSPSSSLLNSNLSHFPILFRKQQDKINLSIHRKIVACSCNLSRPMFENGFSRRELLLFGISSTIATVSSPFPGYGAEEVIKMVPVVDDINAYTYSYPLELPSKKLIFRWAESRKPERYSSAAPLSPDARQRIVSERVDLIDNLILSVSIGPPNLVFLKSMDKSTWNAKDVADSVLSDKSALRVTSSQRKAESSILDAHSSEVDGETYWYYEYLIRKSPTRSAEESNIYRHYVASTFERDGFLYSLNASTLSKQWNKMGPVLEKTVGSFRLLPPTESYVPPFKDPWRFW >KZN07642 pep chromosome:ASM162521v1:2:42388635:42389084:-1 gene:DCAR_008479 transcript:KZN07642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTKNMAVTLFLSANLLFFSLGSACDDTNPSPNVPKSPPPATPISKPPPPSSPASKPITPTYSTGKCPDPLKLGVCVNVLNLVDIVVGSPPTLPCCSLIQGLVDLEAALCLCTAIKANVLGINLNVPIALSLVLNNCGKKVPNGFECY >KZN04567 pep chromosome:ASM162521v1:2:12856441:12856668:1 gene:DCAR_005404 transcript:KZN04567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCMYRFSVTKIRVRVFMQIIIEGKKRLKDKGVLSYKDTNPDPTRHRSSVLFLHLIFFACLLVGFSTPINDSGP >KZN05241 pep chromosome:ASM162521v1:2:21587560:21590525:1 gene:DCAR_006078 transcript:KZN05241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLSNGILDFANMAVCSGLLVTAYDAIPEVSSRTQNVSSVSYKDYGGESGSGPRIIAFVSCEGRGDSDCNNDDHALVDSGYERFPLFVLLNTKVNPVVSISRAAVQLFASVFDELSLLKDQIDINRPLIITGRALGGSVASLFTLWLLDTIYLKTAKTPLCLTFGSPLLGDNALQQAISERPTWISCFLHVISNQDPVLRYLAKGHMPFGAALFISESGCACFEDPQSILELIKATSLGELEDSQIIDYGSMLKKLRYNAICQGRKEEVDTCDISDPVQCGITLQLLAIGAIEPENSETSPLIPRIRRNLEIFQRGKKNNFDQTKKLNEVKIYMAYMGWYKKLSKNQGGYYDCYKTAESKSIKEGKTKEEIVRHQRILNQYWKHMVKEIDRMPKREGAINIRPRLLYAGTNYRRMVEPLDIAVYYRQGKKDYINKGRSQHYKLLEEWEKGSSKPAERHKVSSTTEDSCFWAHVEEALIACRYLTEENDSSSEVKESWHQNLLEFEAYVMDLIRMHTLSPETFQEGSSFLQWWKDYKKLKGIGYESELTNFMNNQKVGNDLLVFDEYKELS >KZN07367 pep chromosome:ASM162521v1:2:40369249:40371711:1 gene:DCAR_008204 transcript:KZN07367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSSSRNGHRRTQSSLDQRSDFPPSAEGGDASAVTGAAFAVGHGIEVAVEFKPVEHPAEPLTSDQPIQCPLPEPSILNDGRIWKERVCAGERRADLSVLQEDAQKKPNSAETKQTSPRTPRSRSDRLILPSASAPEHNIIKLLEECNSSTSI >KZN04535 pep chromosome:ASM162521v1:2:12333389:12335969:1 gene:DCAR_005372 transcript:KZN04535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNLKSGVALVMALVIMGMMGSLSESKCEFEAIFNFGDSNSDTGGFWAAFPAQGPPYGMTYFKKPSGRASDGRLIIDFLAEAIGVPFLSPYLQSIGSNFRHGANFATLASTVLQPYTSLFVSGVSPFYLAIQLNQLKQLNFLTHQPHSHRGGTKLPHHSVLKKSLYVFYIGQNDFTGNLAAIGIKGVQQYLPDVVDQIAYAIKEINGMGGRTFMVLNIAPIGCYPAFLEELPHDESDVDQFGCLISYNNAVVEYNTMLKDTLFQVKKQLSNADVIYVDIHSVMLELFQHPTHHGLRHGPTACCGVEGNKHKFNPKVFCGNTKVLDGRNVTAQACSDPHNYVSWDGIHATEAANKIVTEAILSGSYFDPPFDFHKFCDIQPIG >KZN05806 pep chromosome:ASM162521v1:2:27365033:27367844:-1 gene:DCAR_006643 transcript:KZN05806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINKLFSNHGVMIRLGPKCCWVKALPFSSFSGPFDAKESSVSDGARQRVDQVCHILESGPWGASLEDALWSCGQVPHGQLVAGVLSRLKNVDLALSYFRWTEKVDDKAHCSEAYNALLMVMVKSKSFDMLEQILEEMSLAGFGPTNSVCIDIVVSCVKSHKLREAYGIIQMMRKFKIRPAFSAYTTLIGALSEAHEADLMLSLFHQMQELGYEVTVHLFTTLIRVFAREGRVDAALSLLDEMRSNSCNADIVLFNVCIDSFGKVGKVDIAWKFFHEMRTHGLSPDDVTYTSMIGVLCKANRLDEAVNMFEQMELNRKVPCAYAYNTMIMGYGLAGKFDEAYKLLERQRLKGCIPSVIAYNCILTCLGKKGMTDESLRIFEEMKKDAKPNLSTYNILIDMLCKARKLEAALEVRDSMKAAGLFPNVRTMNIMIDRLSKSGLLEEAYSLFKEMDHKVCTPDSVTFCSLINGLGRNGRVDEAYSLYEQMLDSGRIPDAIAYTSLIRNFFMSGRKEDGHKIYKEMVRRGVHPDLTLLNTYMDCVFKAGEADKGRALFEEIKAHGFIPDVGRIDEAYLIMEELMQNGLTANVYTWNCLLDALVKAEEINEALVCFNSMKDLKCAPNGITYSILINGLCRVRKFNKAFVFWQDMQKLGFKPNMITYTTMISGLAKAGQVFEASLLFERFKANGGIPDSTCYNMMIEGLSVSNRAEEAYKLFEETRLKGCHIYSKTCVILLDALHKAECLEQAAIVGAVLKETAKSQHASRSL >KZN06194 pep chromosome:ASM162521v1:2:30888509:30891154:-1 gene:DCAR_007031 transcript:KZN06194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMAGEKLNYDQEEESQYKQQGGGAISAPAEDEFTGDDVDEYDELYGDLNINEGFLQPQPSEVPGPNGAVNVAQNGESRLFVGELHWWTTDAEIESVLSQYGRVKELKFYDEKHSGKSRGYCLVEFYDSASAAVCKEGMDGYHFNGRACTVVFASPQNERQMAQSHSHSQSQSQPQGRRSLNDNAVIITCGTPDPTGDFGRGFGRGGLPRGRQGGGHRRFGPNWGIGASMRPNMPSARGFGADANSGGFMNPHCMMGAGFDPTLGRGLPRPTFPGMIPPFRNVTALEMSGAFRPVNPAFFGGGMAAYGMGMMGFRNVGMAGPSSGMWNYANMGGGRVLQQLSESTSESSSGSEANTGGGSPEQRSQRTSESNVDNEANMGGGRPEEQAQRTRDSSLVSEANTGGGRLERRGPRTRDSSSINSSNNNNMASRKILVLALACFAIISMASAAQPAAPAEAPSDDIGTTDGNDDAAPVGAPVPDGAFSQSSGESPSGSADSGAASGLQLSTTAAFLASAACAAAFF >KZN04410 pep chromosome:ASM162521v1:2:10409664:10410590:-1 gene:DCAR_005247 transcript:KZN04410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPERPCSHPSHHIAYASTAKDNHDHLKGQGEAISRVNL >KZN04526 pep chromosome:ASM162521v1:2:12272365:12273855:-1 gene:DCAR_005363 transcript:KZN04526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIDSKLQVDVDSVTTQNYENVKEEDEEATSNYNNGGLMAKDEPIIEIEDNADPVSNENIDYYPPVAVYVNDNDDIECLPGYRFNPFDHELIVHYLLRKVNKQRLPHNKIKEVELYKYNPEEITKTDQGLVEKEWYFFTPRDRKYKNGNRPNRAAGDGYWKATGADKKVSYKGEIVGYRKALVFYQGKAPKGDKTNWIMHEFRVQSPTERARTHDGDMRLDDWVLCRIYKKDDKVKKAPRQNHTVENSQSEENSPIRVPGEKSPATTAIQFPTPVTTNICRDVMESHLPCRIPANPFSFSDASLPNNLLFSPSLAVDSPQPLNDDAYDLPLFPNQFESYNQDEFNNISMDSLDDFSSLSNYKQNYEYVSTNNFRNDFVVFPNARKNNPGLPNNSLVVTSQSVASSPAGHSST >KZN07353 pep chromosome:ASM162521v1:2:40259334:40260670:1 gene:DCAR_008190 transcript:KZN07353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGTGYIGKRIVQASLAQGHITYVLQRHEIGLDIDKLQLLLAFKKQGARLVEASFSDHQSLVNAVKQVDVVICTMSGVHFRSHNITMQLKLVDAIKEAGNIKRFLPSEFGMDPARMANALEPGRVTFDEKMVVRKAIEDANIPFTYVSANCFAGYFVPNLSQLGTLLPPKNKVCLYGDGSAKVVYMDEDDIATYTIKSIDDPRTLNKTLYIRPKENILTQMQLIEKWERLSGKKLEKLNISAEDFLASMEGMDYASKVGVGHFYHIFYEGCLTNFEIREDGSVEASQLYPEVDYTRMDSYLNQFL >KZN06926 pep chromosome:ASM162521v1:2:36907972:36912886:1 gene:DCAR_007763 transcript:KZN06926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRSGKLMPNLDQQGTRVLNLTVLQRMDPYIEQILITAAHVTFYAFDIDLNQWSRKDVEGSLFVVERNCQPQFQFIVMNRRNTENLVENLFRDFEFEVQVPYLLYRNEAQEVNGIWFYNSHECEDVANLFTRILNAYAKIPSKLKVASNKSEFEELEAVPTSAVIEGPLEPSFNVPDDLSFFNFFSKAKNVGHNSSNILSSGHKSAVPLSSHILNAAPSPASAAQTPPRPLSSSFPMPLHDIPDAVNSSNPVANLLKPFSFFKPPSSVPLTPLIYPSLPTLPLQTPLNTQQSHGTPMLQPFPPPSPPISLTPSSTPPASYGPLSRDEIRDALLLLVQACLPLTFIIYSYMGVC >KZN05174 pep chromosome:ASM162521v1:2:20826445:20828199:1 gene:DCAR_006011 transcript:KZN05174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKNWFSAPKSPSQPTRTTFCSSMIDENMELAELIIMRWNTDSLSEATASPLFNHDRAESRAFFKAVEDLQFAMKYFISENQSSSKLILAQNLMQIAMKRLQKEFYIILSAHRDFLHSESSILGNEASVSDSRFEAATVDLKTISDCMISYGYGKECAKIYKVVRKSVIDETLYYLGVQSLSFSQIQKFDSQLIELKIKEWLNAIKAATKTLFHGERILCDHIFAASDTIRESCFAEITSSGALMLLQFPENVAKCKKSPEKMFRLLDLYDAISNHMEDIVSIFSFNSTSAILSQAASSLSTLRDAIRVTLSEFESAIQKHTTQKMLPGGGVHPLTRYVMNYFVFLADYSNILPDIIGEENLSMECSMPESYFSANENASAISLRFAWIILVLLCKLDSMSQLYKDVTLSYLFLANNLNYVVSKVEGSNLRTLFGDEWLVKHRGKVQQYASNFERMSWSKLITVLPENPAEDISPDAAKKCFEFFNSSFEELYRKQIKWIVPDSKVRDDIRISVMNQVIPVYKEFYEKNRKSFRGEIGAASIVKYAPEDMEKYLSDLFSGTGGGDATSYSPLAASAARQVRRR >KZN06304 pep chromosome:ASM162521v1:2:31817929:31819548:-1 gene:DCAR_007141 transcript:KZN06304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFPANFMLHHHQEQDHRRNHIQNPASQFIDSSQNLLPPQDFHGTIGSFLGKRSMSFSGGDHHQQQLNEESNIHGDHVDDLSDPEDDDGSGTVGSGGSKKRRLNMEQVRTLEKSFEMGNKLEPDRKLELARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKSQFDAVKAENDSLQSHNQKLHAQIMALKNGEPTESINLNKETEGSSSNRSTENSSEIKPDFSRTSPAIDSHPHKLTSIPLFPPPNNNNKSSFFRLQQPAAFHMSRPSDHPHQQSVKEEHFCNMFTGVEDQTAFWPWLEQQPFN >KZN07082 pep chromosome:ASM162521v1:2:38211561:38212588:-1 gene:DCAR_007919 transcript:KZN07082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRARPPVDGSNDRVGVEASESPDYAEELSAMLGELGLKLEDIELFYLIPETGLPHGLLPVETQTDLDDFVNMVAYSHIQVLYATTKKISMSNEVMDFSFTQLFEDERIKRIEHMRVEVVEKEVTRSAKKPVKKKRRIPPPNPPFRLRKKGRYSMLRGLFKNTD >KZN03985 pep chromosome:ASM162521v1:2:215839:216249:-1 gene:DCAR_004847 transcript:KZN03985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLESSTVQNSDDTNQASSQPKIITNEVLIDPVAQSMEQENDETKLANQVVEPMSRDNEKETETLEENAVKTKTTGVAKDVKSLTRDDTGLGFIGISLCFMCCVCCGYVLCFPCVQCFRFCGSKFQSDNRSDQSG >KZN07685 pep chromosome:ASM162521v1:2:42730637:42732543:1 gene:DCAR_008522 transcript:KZN07685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHEESMIEKISEKIKGDDSSSSSSDSSDSETETTTLKEKVFRIFGREKPVHKVLGGGKPADVFLWKNKKVSGAILGGATAIWFLFEVMEYYFLTLVSHALILSLAIMFLWSNATTFINKSPPKIPEVSIPEAPVKEIAAALRVEINRAFAVIRQIALGKDLKQFLSVVAGLWILSILGSCFNFLTLCYIGVVSLFTVPFLYDKYEDKIDPLAEKATIEIKKQYAVFDAKVLSKIPKGPLGKKKTH >KZN03997 pep chromosome:ASM162521v1:2:298325:299740:1 gene:DCAR_004859 transcript:KZN03997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKLEKLGRSLRVPSVQERAKEKSATVPSRYIHSDQDPVILSSTDLPEVPVIDMEILLHGDLMDAELNKFHQACKEWGFFQLINHGVSDSLLEKVKTEVVEFFKLPLEEKRKFGQLDGDIEGYGQSFVVSEEQKLDWADMIYMITLPTDLRKPHLLPQLPHSFSILRIANKESAAISTM >KZN04462 pep chromosome:ASM162521v1:2:11260456:11266717:-1 gene:DCAR_005299 transcript:KZN04462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPRRNSVRRSTRLILKSLKRPITGPVYVDLEADDADQVIIDATISENNNHVGDLPETMNNVGDTVIVEENKGPVRGQVTLSDSDNDFVDPAPWSKSKEKRQDNTVETRNVKRKLVLKETEKEIPEAPRLNDNKIKLKAKKGKAATGNDKVMAQQTNREEDGNERHNLITEPNEENGAVENDEGGPETGNEYEDDNGHNYHDVTHEENGSAENEHGGPETGNEGVQDDNGHSKNDDPNERKHFVNYLFENKFELLAQSLKNVKPMYMIMPWQTLGNYKDCGIFLIRHMETYKGEPKNWITDLKAESTIQSAQLIKLRAKYCHAILTSPLNEKRQHVLNESKLLYNKMASDKVMSIVLAASEKKNGAVFRRNDIKGKVLFPEDQDPTEDDTPEK >KZN07300 pep chromosome:ASM162521v1:2:39836646:39839964:1 gene:DCAR_008137 transcript:KZN07300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCLTMPKIELHAHLNGSIRDSTLLGLAKALGDEGKIVFSEFEHVILKHDRSLREVFKLFDLIHLVTTDHEIVSRITKEVVEDFAAENVVYLELRTTPKRNDLIGMSKRSYTEAVVNGLRAVRTVDVDFSSCTAGGPPTPDCRNNSCAGTAKKKIYVRLLLSIDRRESTEAAIETVKLALDMRNLGVMGVDLSGNPIIGEWGTFLPALKFAREQGLPVTLHCGEVPNMEEIQGMLDFIPERIGHACFFGEEEWKKLKSTKIPVEICLTSNIQTNSISSLEVHHFEDLYRSKHPIVLCTDDAGVFSTSLSKEYSLASSAFGIGKKEMFHLARNAIDFIFAGDGVKKALTHTFDSAAKKLDL >KZN06265 pep chromosome:ASM162521v1:2:31514314:31516323:1 gene:DCAR_007102 transcript:KZN06265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKITVVPNGKDFVDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQTNFHEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKIAKDYVKLLKYADSLYRCKTLKVAALGRMCTVTKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAILFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIVVCNKTDLLPLDKVSEEDMKLVMEMKAEATKTVIGEGGESTNDEEVLLAMSTLNEDGVIAVKNAACERLLDQRVEQKMKSKKINDCLNRFHVATPKPRDQKERPPCIPQAVLEAKAKEAAEKEKRKLERDNENENGGAGVYSASLKKHYILADDEWKEDIMAEILDGHNVYDFVDPDILQRLEELEREEGLRQEQGEDEDLEMDEELTPEQKEALAAIRKKKSLLIKEHRIKKSTAESRPIVPRKFNKDRNFTSDRMGRQLSSIGLDPTLAINRARSKSRGRKRERSRDGDDGAMDVDGEHSNKKLRLRSTSRSRSMSRPPHEVVPGEGYKDSVQKMKAIKLARNSSNKRNKSARKGEGDRVIPNLKPKHLFSGKRGIGKTDRR >KZN04543 pep chromosome:ASM162521v1:2:12413558:12421453:-1 gene:DCAR_005380 transcript:KZN04543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRDELSTERRKNSSKSRVRTDQPSNSANSSSNSNLPLGPQNLIIIFIVIPAISVIVYRTLYGPTAATIVPSVYDRNLVKAEVKYQEIIAEHSRVSANLSRTFQHSVLAYVTPWNSKGYEMAKEFNSKFTHISPVWYDLKSQGANLVLEGRHNADKGWISELRKKGGAKILPRVVLEAVPAYLLQKKKQRDKAINLIMGECSEMDFDGIVLESWSRWAAYGVLRDPDMRKLLSSTAPATTPPETTASSRCLPPLPPCLLCLAPSPPCSRPAMSTSSTISTVVITTRIDNKHRSESITSTNLEDSMGPFRFDAPDALNNIGTALNFIQELGQAMHSGGLEMESKKSLQLVYVIGPPHSEVLNEYDFGLEDLQALSDAVDGYSLMTYDFSSPQNPGPNAPLKWIHSVMQVLLHGTDSSSQTLAQKIFIGLNFYGNDFALSGGLGGGPIIGREYLSLLERHKPSLQWETNSAEHFFVYSDDRNDQHVVFYPSLLSIAERLRVAHTWRAGISIWEIGQGLDYFFDIL >KZN07142 pep chromosome:ASM162521v1:2:38647654:38650466:-1 gene:DCAR_007979 transcript:KZN07142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLNTVLALIVVHSLLILNSSSVETETRKEASPFPAIFVFGDSTVDPGNNNYIGTPFKSDFPPYGIDFENHIPTGRFTNGRLVTDFIASYMGIKENVPPYLDPTLSMEELMTGVSFASAGSGFDTLTATLTQVIPVIKQIDMFKEYARRIEVGVGKERAGELIKNALFVISAGTNDYVLNYYGPPIRSKTYTISAYHHFLLQIIHQFLQDLVNLGARKIVMAGVPPIECLPVVITLNSLHSDAFHRRSCIDSLSSVAPDHNQFLEQVLKNMQGSDLQLLYVDIHKPLRNILQNTKKFGFDDANSGCCGTGLLETSFMCNPRSSVCPDASSYVFFDSVHPTEKAYYIIFQHIIPVIDFLMRS >KZN06740 pep chromosome:ASM162521v1:2:35486390:35491293:-1 gene:DCAR_007577 transcript:KZN06740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSNQGWKKYSLISRESFESEDSIRYKSSSSHISELKTKYGLSKNNPLCRFLSRRTPLSQSNSVRRYIPKVLSAISSSDTDQTNVEAEQPVAMANSLEIECNRINCLVWVLHESARSFSVAIQKLKLTRRDPELAMAWVGIDVHSWHKKIAYQLHHLAREAGFEEDFLLHFGSKILPSKNTENMEFWIGLVRAKLSEAFHRESVISGKQAFCDKDQENNLSILGLFAYLGRETRLFISRMKIKDLDEQVVDFLSYLECGTLFIYPKFSSLREYQLFMEVVTDEIGWLDFYAEYYCKFYQGRRRSKQHKIQAEKEIILHTVFTVCYDVFSGFAHYSNSTQQPLDSNLLAFLLRSQSLLSICLEDYWAAYDRSGTSEHMKNLEGAQWKPIDFRKRGSEQHGSEVTKTAQIRQGLIPESKSLYGSLLSKSSSKLISASTAIWMGTQLLCVDVLVSLKLFMKQLLGKKVTEREKKKIDKTLADIATLIPVTILMLIPVSAVGHAAMLTAIKRYIPALIPSPYCSERLNMVKQLKRTKKMEIQAWSNIEDNAMFGEGSREVKEGSCLSGSKMHEEDNGSRKTEVQS >KZN05160 pep chromosome:ASM162521v1:2:20688700:20689735:-1 gene:DCAR_005997 transcript:KZN05160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAAEKKPAEKKPAAEKAPAEKKPKAGKKLPKEAGAGAVDKKKKRVKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLASTRQVDCLLVCVFLNVVGKKLSRD >KZN06879 pep chromosome:ASM162521v1:2:36562846:36564558:1 gene:DCAR_007716 transcript:KZN06879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIALGSSAEALQPDCFKALVVEFICTFLFVFAGVGSAMATDKLSGNEVVGLFFVAMAHTLVVAVMISAGFKISGGHLNPAVTLGLCVGGHITVVRSILYWIDQCLASVAACALLKYLTGGLNTPVHTLAAGMDSLQGVVMEIVLTFSLLFTVYATLVDPEKGFLYGLGPLLTGFVVGANIMAGGAFSGASMNPARSFGPALVTGIWTDHWVYWVGPLIGGGLAGLVYENFFIVRSYVVISRDDEES >KZN05921 pep chromosome:ASM162521v1:2:28353923:28365345:1 gene:DCAR_006758 transcript:KZN05921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPDQEAIDMFMSITGASEPIAVQKLVENAGNLNEAVNAHFSEGDRNSTQTTAIAEAQDDLMDIDEPIREEFGRPFPALPSGIINPFSLLDSDLSRTSFDGVSDLSSSAHLVSRRREARQIPVVVKDGTEESSHSGLPPTGGDSTGNANIQGSEIHNTVTIDDEDDEDILPAPVTGIAPTYAQVAASGPSAPTIDDLPDYRNDIEEEMVRAAIEASKRDSEISYSDQNTSMSAIRQSDVEDPELAHAVSLSLKAAEQEKAVNNSGERVGPSESENLKSSEMEDLGKLANGRLEVGGSSTHDEVEDIEDHPLVRHRSRRMSSGSVDSGTEIRDNEGSPPTNPQHDTGTDHPQHHETDIGLEEWGGISSLEHDEAVMLEAAIFGGIPEGSSYHVPYAPHQYMQNGFDGSGTYARPAPRPPSPSLTAQRLIREQQDDEYLASLQADREKELKAREEAEVRLIEEQAAREAALVVERQQAEELQRKLQEEQAHDKANGCERKVKRRTKKPKKDDIDDGSTADDGATADDEAKADGGTDDAQTHTPAEGSQGRVFNMQQLGGSTARPSPLGIYPLQFKTRGNATVTSLRNLELARRKREARSKLKPVWKH >KZN04649 pep chromosome:ASM162521v1:2:14185766:14195423:-1 gene:DCAR_005486 transcript:KZN04649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKKTSKAEGCSKHTLSSIKKLGIELLSSTTHINNLPVLISIINDPSSPPHFVLESLLSLQSFFTPLLPKIPSSSSSSKKSPARDSDANVIYFTWLRSKFDQLAQSLIDLSVSDTCQLDLREVVLDTIMEFVKVGNGGNFHSLIYHKFLRAMVDSTSGIDVLLDLLRSKYFKYIDVRYFTYISLEKLGQNLEKMKVSDNKSQADDSKNSMVHSVYNIHRLLSCIPIPEGLEKTPAEFEMWNGSGIFNKDVDNEKHAEVLKAKNKKQNQDDGDKKSFTSDNVPATTYVAKKIKLKFTKVWMCFLSLPLPLDVYKEVLVTLHQDVIPYLSNPILLCDFLTRSYDIGGVISVMALSSLYILITQHGLEYPNFYEKLYVLLEPSIFMAKHRGKFFQLLDSCLKSPLLPAYLAAAFAKKLSRLSLSVPPSGGLIIVALIHNLLRRHPSINCLVHREDVTVTVHDDSVHDDSSERNEEVSDHVKASSASKDMSSIKSGLDHFNDEEMDPKKTNSMRSSLWEIDTLRHHYCRPVSRFVLSLENDLTVRAKTAEVAIKDFSSGSYATIFDDEIRRRVKQVPLAFYKTTPNSLFSESDFGGWTFNLKDDNMNDDKESDHIPAKRQRMEGA >KZN04692 pep chromosome:ASM162521v1:2:14804769:14806899:1 gene:DCAR_005529 transcript:KZN04692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYRGKPQGRSGQIPAFGNWDQVKELPITQYFENARQAGLKPSHSREFGDHYVAAPSRNHPPHLPYTKPNSATQPSAYVQKGNPTIKTYSHFLAHEKKSEKNSYKGRQKRPNQLQTQNISSAVVSVSHRRIPPITKPVDEDLYQVPSEALQNSKRVSLSSLLNFKYCALYMLCKSVIWNMNNGTALLVSQMVGQWGLRLAAGLTK >KZN04059 pep chromosome:ASM162521v1:2:1293042:1294929:-1 gene:DCAR_004896 transcript:KZN04059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEMEVANAIELGRSLQVPSVQEIAKETLAPIPSRYVRFDQKCLVSDSDSLQVPVIDMNMLLDCELMNSELRKLHQACKEWGFFQLINHGVSNSLLERLKTEIGGFFRLPLEEKKKFGQLDGDIEGYGQLFVVSEEQKLDWADMLYLGTRPAHLRKPHLLPQFSPSFRLYK >KZN07483 pep chromosome:ASM162521v1:2:41208112:41208660:1 gene:DCAR_008320 transcript:KZN07483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHYNIDLRFVAPVLNSILIFLINYDSIADETKANQILFRDYFIHDQHRWLYILLAFFLLVFIISIKLTISVTVIAEPIMMRFGDFNLSVPLIFLTMASFLFPPQILWYAYLSILLFIWISPLPNHVFEKIMSWLQQIRPIFILVTQQEDNDLQAPVHQYFDIDHEENGDENFEINVIFGHA >KZN04969 pep chromosome:ASM162521v1:2:18505847:18506017:1 gene:DCAR_005806 transcript:KZN04969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEMHSNTIGKWDPADCLNGPSELGDRAHKVNTEDNAGAPAKPTFPDMTYSTP >KZN06022 pep chromosome:ASM162521v1:2:29211366:29211728:1 gene:DCAR_006859 transcript:KZN06022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPSNIPIIRRHNTTNLQSPPTASSPLFSLTQTHQTATITNPNSPRFQSKSQSQMGQIPPQFPPLASPPPIVRPFFSHTLSFSISFYRRRQRNSCHSPLPTLFSFHPNHQQNLISPVHT >KZN04525 pep chromosome:ASM162521v1:2:12271454:12272022:1 gene:DCAR_005362 transcript:KZN04525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLYNVKEYVMEKVAEMKKPEATLRDVDLKQVSRECITYDAKVSVSNPYSTPIPICEISYTLKSANREIASGSIRDPGSLKGNESTVLDVEVNVPHNVLLSLARDIGADWDIDYDLGINLVIDLPVLGNVSIPVSGKGEIKLPTFSGLWTK >KZN06222 pep chromosome:ASM162521v1:2:31098146:31102218:-1 gene:DCAR_007059 transcript:KZN06222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDYESINENVKKAQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKYYLGLTSGGLGAYSDSRGLPGIRKEVAEFIEKRDGYPSDPELIYLTDGASKGVMQILQTIIRGQGDGILVPVPQYPLYSAAITLFGGSLVPYYLEETANWGLDIQNLRESVAESRARGITVRAMVIINPGNPTGQCLSVANLKEILKFCNQEKLVLLGDEVYQQNVYQDERPFISARKVLMDMGPPISKEVQLVSFHTVSKGYWGECGQRGGYLEMTNIPPQTVDEIYKVASISLSPNVPGQIFMGVMVNPPKPGDISYDRFARESKGILGSLRKRAQIMTDGFNSCRNVVCNFTEGAMYSFPQVRLPPKAIEAAKKAGKVPDVFYCLRLLEATGISTVPGSGFGQKDGVFHLRTTILPAEEDMPAIMSSFKKFNDEFMEQYEDNRGYSRM >KZN04623 pep chromosome:ASM162521v1:2:13643593:13649725:1 gene:DCAR_005460 transcript:KZN04623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSCCSNLLLSVLAVSDCVVSFANPTSTTSCNFSSLSSSHSWTSCAKQTTTLLPILSSRRKLLRRHAKGDGGEASSLSSESEHEVKMFGSDDEVTTQIPTQAQSLVGGSGESAVLVSEYKPSPDMDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKMQPPESQELLSKVKNVIEKPYNDHLPLIEASRLCNMDIISHVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >KZN05579 pep chromosome:ASM162521v1:2:25257474:25270532:-1 gene:DCAR_006416 transcript:KZN05579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMRSSSHTKQSLPPPPPPPSSSSGSRSGGNSNHNSNGNGSASNSTRSKLPQSSRRSVTPSSRSRSPSLNDPENGRVRVAVRLRPRTAEDLVSDADFSDCVEIQPELKKLKLRKNNWSSEAYRFDEVFSGGASQRRIYEVVAKPVVESVLDGYNGTIMAYGQTGTGKTYTLGRLGEDDASERGIMVRALEDMIANTSAASDNLEISYLQLYMESVQDLLAPEKINIPIAEDPKTGEVSAPGASVVGIQNLDQFLQVLKVGESNRHAANTKMNTESSRSHAILMINVRRSVQGNEENDTSTIRKDGRNDLSNSHAIPTVRRSKLLIVDLAGSERLDKSGSEGHTAEETKFINLSLSSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSSRHHAETTSTIMFGQRAMKVVNTVKLKEEFDYEILCRKLEKEVDHLTAEMDRQLKIRDNDIIKLERKLEECRSSFAETESSFVARSKLLESENIRLESGMKEMLKELNLQKDQNDLISNEVARLKATTETKKIVEEENSRLQAEMKDILTELSLQKEHNILMQDDIARLKTSLNHNSKHQLEDSMNRKVLAETTQIYEKKIADLTKQLEDEHASSRRFKEQSYMMEKKIAELMMQVEDKCMQSESAEKKLVVMRMKISDLMTRMDDERCRSESAKEQIIILQKLLSDNQTSTKQIEHDTVQKALAESTQRYEKKIANLFQQVNDEKARSVAAEEQLVASRKLLGDDQTIIQISEKKEIGDLRRRIQEVSQLHDVTLDELQSLKSDYKDLLSDKIKEKDDIDSLKRKLQEMSQLHEVTVNELQSLKSEHKILLSEKDKVNDELCVTKQALAAEERRRKTVEHELDVLKKVVPESEDEYEDKRSYMKENIAKGSSALQKSNNVSRDIFSGQRTTIAKILGLQKILALLTSSDIDVQIHAVKVIANLAAEDVNQEKIVEEGGLDALLMLLRSSHNTTILRVASGAVANLAMNEKNQSLITSKGGARLLANTASRTDDPQTLRMVAGAIANLCGNGCMQLLRIDAALFALLTEKLHVLLREEGGIKALLGMVRSGNSDVIAQVARGIANFAKCESRGINQGLRKGRSLLIEDAVLEWLISNSNIASTSTRRHIELALCHLAQNGKQYFFIAM >KZN06560 pep chromosome:ASM162521v1:2:34003707:34019155:-1 gene:DCAR_007397 transcript:KZN06560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRFAERQRGGSRRKGHEDVYKNGSKKTVYRSRDEVADSPSPGDSGDSDVEHTDIVSDGESEEMVEIQKENTMYDNLLKTLGSASESLARANKRRQREEEGRSDSEEEGRSDSEEEGRSGSEEDINAGSESRSMSGEDTDASDDDSPQMDSGGSKHQGLVSADVIEQADTDDTYADNAFDSDDECDFNVNGNSIAKAPASTSSFDEHVRYKLSKDEVSDLMREKWKTKWKVPAVGMPKCKWIGNGERFVENDDINLAYDLKPRLYDHWLDNYKANGGKDFHSSRQRSFFSLCNSYRDILHHNKKPFYLKGVEEDSSITDAYIMHSLNHIFKSGDLVAKNDAKVAKLQETTKNEILSSEEFLDRGFTRPKILILLPFASIAYRLVKRLIQLTPSKHKVNVENNDRFLDEYGTATDEIDETENSKSQKSSKPSDFQALFGGNNNDHFMIGIKFTRRSMKLYNDFYSSDMIVASPLGLITVLVIDHADVIAMQNWSHVSTVFEKLNRIPSKQHGTDIMRIRPWYLDGQAKFYRQSIILGSHLNPDINAVFNRNCHNYRGKVKLDYDHKGVLPKVLLQVRQIYQRFDAESIVDVDDARLAYFSQKVFPKIKDSIQGGTMIFISSYFEFVRLRNFLKSQDASFCLLGEYTKQSDISRARVWFFQERRKIMLYTERAHFYHRYKIRGIQNLIIYSLPERKEFYPEIVNMLQGSENMTTTVLFSCFDMLRVAYRELILWVLLEPEWAGFGYDWWQFS >KZN04942 pep chromosome:ASM162521v1:2:18197661:18198089:1 gene:DCAR_005779 transcript:KZN04942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSTKVYKGLKGYWRRRGYRRISGSGRKRVVPAVHLGSGGSTRRIRVWSVKIRRKIKLRWSPKKFFIGIRDAYMRMMMRLASSSAMGVSGYGNGYGGEACFVTRPVKEYDEKMVVELYKKILMGRNQLVHPDGSEIVLRR >KZN07559 pep chromosome:ASM162521v1:2:41820405:41820803:1 gene:DCAR_008396 transcript:KZN07559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYNEDGQIIGYKTRYVFCCSKNDETSWRMDEFTVNPETIPANARDQIKETVACRIRVKQAKTPERPFFESEESEEEDEGSEIEE >KZN05161 pep chromosome:ASM162521v1:2:20706562:20708007:-1 gene:DCAR_005998 transcript:KZN05161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASTLPCLLKTFFSHHHHKLSLLSISRHSHQYLSTLSLHSSSKTRCFSSSSSTNAPSPQKNIIKILQQRGLVESITSESLQTVCTATPLKVYCGFDPTAQSLHLGNLIGLIILSWFSRCGHVPVALIGGATGRVGDPSGKTLERPELDVKTLENNVSCISDIVRKILGDDAVILNNYDWWKDVTFLDFLKNVGRFARIGTMMGKESVKRRLEASESGLSFTEFSYQLLQGYDFVHLFDKMGVSVQIGGSDQWGNITAGTDLIRKILHTDGAYGLTFPLLLKSDGTKFGKSEDGAIWLSSSMLSPFKFYQYFFNVPDADVVKFLKILTFLSLEEINVIEEEMGKSGYVPNTAQRKLAEEVTRFVHGQDGLEEALKATEALKPGAETKLDWKTIEGIAEDVPSFSMKLDQVLNYGLVDLSVSTGLLDSKSAARRMLKQGGLYLNNCRVDSEGRKIEVEDIVEGKVLLLSAGKKNKMIVRIS >KZN06980 pep chromosome:ASM162521v1:2:37310002:37311234:-1 gene:DCAR_007817 transcript:KZN06980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSYYSNYGSAQVLDHLNQDQTTSAIPHHNSSSIFLPQEEVSQDLFLPPELLNFHDNFDTCIDTLFSQNSLFYYPDDNVDAYTAISPAPVPLNALPPPPSQQIVEVEEPYFDDYSKRVKIPENYLFYPEMTIQSNYNNNYEIGFVPNPPLLPEFILTDLPLPVPPVFNEGSHDNHVKKAASGGSGGSLSQQSVAARIRRRKITEKTQELGKLIPGGHKMNTAEMFQAAFKYIKLLQAQVGILQLMQEENEEGMYSPELEALVTSPLVQEKLYSAEKCLVPTNFVKSLIRKPQLQSNPQLIKHLIKLI >KZN06687 pep chromosome:ASM162521v1:2:35027551:35035677:-1 gene:DCAR_007524 transcript:KZN06687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSVQAPIPGMESVIATVSGYHGSQRFNLIKLISHAGGFNVGYLNNSVTHLICWKFQGPKYELAKKLKIIIVNHRWIEDSVRQGRRLPETSYTARCGKEVGSLLMEIPAVPDKVSNSVGGQSSAGNASDKHVIDIDCEDAAAAAAAANIFPDVERRMEGSKLRRRLVNNSASQIHSSHAALDNEAPVSCYENTFPKMERRQVSKLKRRLVNKTTTRSHSSGATCDDETSSRCYYNELRQKKISSYIEPLRKGRRLVRKNVVSDVLGSESEEECCLVHVPQRQDDTTNLSSTPSASRGATTSMINASDQPATLMNSVGTEPVTKLAKSTDFFNGASTSALYASHQIATPKDARATETVIGSAESTDLSNGASASLIYASPDLLATPKDYSEAEQVTRLNKSTDLSCVICWTSFSSTRGVLPCGHRFCFSCIQNWADYMSSSSKISTCPLCKASFDNITKVDDAVSSDQKIYSQTIPDDPSVNIYVLPTHESPLRNAHLFVADALFGNLRICSSDVISATLDVFIPIAWTHICFHGHVVTKSIIRLSRSISQFSLSRNVDKVECDLFKGQWVRNRRGPYYTNETCGAIQEHQNCIKNGRPDIGFTKWRWQPDGCELEVFDPVIFLSLMRGKSLAFVGDSIARNHVQSLICLLSKVTHPIETSHNKEDQDSRRWEYKDYNFNISIFWSPYLVRTQKTDPKDVTRPFNLYLDEFDKSWTSQIKQFDYVIISAAQWFFRPSMYYVKRRLIGCLYCPQNITQYPAEFGYQFAFRTAFRAINTVKKYKGVTFLRTYAPSHFENGKWDKGGNCPRKRPYRRNKMALDDYNLKINNIQLREFETAEKTGRRKGLRFRLLNVTHVMLMRPDGHPNKYGHGPGEKEGLVNDCVHWCLPGPIDAWNDFLLEMVKRESHVARSSRRFTLH >KZN07795 pep chromosome:ASM162521v1:2:43629122:43629397:-1 gene:DCAR_008632 transcript:KZN07795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFILCFDMENEIFREIMMPQDSTNTTDDTVTLVQECGELISLFYFNQSTYILDIWLLRKDGDINDVWTKKITLNLGEIVRWKMVAYRFQG >KZN06346 pep chromosome:ASM162521v1:2:32086545:32089947:-1 gene:DCAR_007183 transcript:KZN06346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKCAFQLILMLALLFNVALCKTIKRDVKALNEIKASLGWRVVYSWVGDDPCGDGGLPPLLGGGLCIPGSEMILVGMGVYLLGPVSLVLLRVITEEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRHLKILNLRWNKLQDVIPSEIGELKSLTHLYLSFNSFKGEIPRELANLPDLQYLHLHENRLIGRIPPELGTLQKLRHLDVGNNHLVGTIRELIRIEGCFPVLRNLYLNNNYLTGGIPAQIANLTNLEILYLSFNKMSGVIPPGLAHIPRLTYLYLDHNQFSGRLPDAFYKHPFLKELYIEGNAFRPGVNPIGVHQVLEVSDSDFLF >KZN05570 pep chromosome:ASM162521v1:2:25188061:25188519:-1 gene:DCAR_006407 transcript:KZN05570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDKKWLTLKTTEDNEEFVVEESVAIMSNVIKNMVEDGCASSVIPVPRVKGNAMGKIIEFCKKHTEESDEKVLKEFDAEFLNLGPDALYDLMVSANFLEIKVLLDSVTTKVADMIKGKSSEEIRNILKIENDLSAEEIEESRRENCWTRQE >KZN05460 pep chromosome:ASM162521v1:2:24056622:24066413:-1 gene:DCAR_006297 transcript:KZN05460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSDDKTFRVNFGGDGVAKLRDRVRDKLKEFMGDYTDDTLVEYVIVLLKNGRRKVEAKNELNVFLGDDSDSFVSWLWDHLGKHIDLYVQPKEALPSEVVKTKSTFKEQSQNIDSHHMDTVHEREKPNELSRSRHGRGWKGLVKDAVEPPPLRSVVTANIHDNEDAHMINHMERSSPPRPITQRKRRRPDEKLHVKKEDVSKTLINAPRRLLQSAVRDALGSPRSGRLSKEPSFKRLRSVVSTSVGESSLEDRTLSIPSAARVPDATMTVAIKAVAEAAKDVAKVRSSGNVFDRLGRAVDVFDTHAHMTESREVPAEADEEFARFDYYPEENQLTHLQSSDFDEHYSKRRTVLESYPQDTSDYVSDNELYGGRQINGTDVSHSVTSVGNRNDDSLMVQYSGIGKADQSTFRLRKDQYQSGADNNSTVNISVNVNTWKPPQYRGASPLSQRKIVQRNDAGADKLSSQLINAGVDKLSSQPIRNNNPVTVGNGNAIPAGDDQRESQKELLSTMGTYAAGLPAEDADSRTIFISNVHFAATKDSLSRHFNKFGDVLKVIIVADIATGQPKGSAYVEFTSSEAAKHALSLNGTSFMSRILKVVMKSAAPPEPAPVMTWPRVSRGSMFAASRFGRVPFPRGTPSSFRARLPVKAGARSFQWKRGAQTISDTSGVSDNSIPSLSAPRSLTYIRPEAKASANSSTV >KZN07346 pep chromosome:ASM162521v1:2:40191439:40195747:-1 gene:DCAR_008183 transcript:KZN07346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPEPDRKKPHVSGLLRCSCALIFTLALFISASSMVVLFSILIGNFSISDPISVPSQCKIISSRVDLRSSKICELGFLNYKAKNVFYPSERKKFRCRYDYYWASIFEVEYIDLSGQVKLASAEAPNEALPHDCRPNFGVAWLSKDEFKVNETYDCWYTLGISKVNLYHDGLFNCHASDPSTLEMVRRYFFLIRYHQSDSLLQYFVPIMSVSNGVLKDVNILAGWLGKSKALEMGPWALPMAARVKKTCFLVAYFSFAAWLVIQYGKRIGLPGIEELISGR >KZN05345 pep chromosome:ASM162521v1:2:22899788:22901080:1 gene:DCAR_006182 transcript:KZN05345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLYLFLLVILLPLASNAQRQPPSPGYKPSSKFSSVSFGKGYSNLWSPHHQTINGSTVTIWLDNSTGSGFKSLNSYSSGYFGAAIKLHPGYTAGVITAFYLSNNQVLEKHDEIDIEFLGTTPGKPYTLQTNVYVNGTGDGTPLIGREVKFNLWFDPTKAFHNYAILWNPKEIIFFVDDIPIRRYPKKSAATFPSRPMWAYGSIWDASSWATEGGKYKADYQYQPFIARYKNFKIGGCRAGARATCRPASGSSALTGRLSRKQNVAMAWAQRYYKVYDYCGDETRDLTKTSECLS >KZN05788 pep chromosome:ASM162521v1:2:27236651:27252602:1 gene:DCAR_006625 transcript:KZN05788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFCTALLRCTPLPSPATRLSFLRFNSPGIRYLSSLRRAKPVRPITAKQVDIDGETRDEGNGSVLVRDDGVRDGRIVPMELHREASEAYMSYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPYKKCARVVGEVLGKFHPHGDNAVYDSLVRMAQDFSLRSPLIRGHGNFGSMDADPPAAMRYTECRLEALTEAMLLADLEQDTVDFIPNFDNSQKEPSVLPARVPNLLLNGSSGIAVGMATNIPPHNLGELVDALSVLIHNPEATLQEFLEYMPGPDFPTGGLIMGNHGILEAYRTGRGRVVVRGKTDIEVLDARTKRTAIIIKEIPYQTNKASLVEKIAEHVENKNLEGISDIRDESDRSGMRVVIELKRGSDPSIVLNNLYRLTALQSGFSCNMVGILNGQPKQMGLKELLQAFLDFRCSVIERRAKFKLSQARERSHIIEGIIVGLDNLDAVIGIIRNASSNATASASLKKGNTASQLDERNKFVNEGKSLREQISKLEQLLSSKHQILQLIEQEALEIKNKFASPRRSTLEDTESGLLEEIDVIPNEEMLLALSEKGYVKRMKPDTFNLQNRGTIGKSVGKLRVNDMMSDFLVCHAHDHVLYFSDRGIVYSARAYKIPECTRAAAGTPLIHMLSLSSGERITSIIPVSEFAQDQYLLMLTVNGYIKKVSLSYFSSIRTTGIIAIQLVPGDELKWVRLCTNEDLVAMASQNGLVILGSCEMMRALSRNTRGQVAMKLKKGDRMASMDIIPAALRKNLERNTEAHISHGKRASGPMLLFISESGIGKRVPLSSFRLSSLNRVGLKGFKFSSEDRLAAVFVVGSSMTEDGESDEQVVLVSQAGTVNRIKVRDISIQSRYARGVILMRLEHSGKIQSASLISAGESEPEDFDDAVSL >KZN06343 pep chromosome:ASM162521v1:2:32067059:32070839:1 gene:DCAR_007180 transcript:KZN06343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVHCKSHTPGYYSMTDMNEDSNSSSWSPYYGDKNLLNGQYYNGMLQKTVRDEYTGYEKDVLRQKMIEHDMIFKNQVYELHRLYKVQREMMEEAKRKELYKQQRSIETSSSSSFLPSQIPSDEVRKWQSPSFPLANSGGVRPSIMGSEVIDSPSSWMKGQNNQVDLVSYQNRGDLKESAFLDSRPSKVRKTLFDLQIPADEYIVTEEEEQFRDIKMSDTSVYPLEGNHRLALGSSINKYPGGGKTNDIRDTSSGSCIKRSHLLADLNEPIQAEEGNSPKSVKFSGRSVCHENTRGLDMSAKPKSQFVDLTQDFFQKSQCGSSNGNVSNLSETNKGARRGNCGIDANCIPKFHQSDKLPSTSQQTSFIVGKSKQYQGILPPDSRMDGPWTERNRGNEFSNRSHDHSIYNQPDSVATSHVSNPYPWYNSSDAANSWSRTVTSWGKPNPSLTQKLTSLHSRPSVVLSENSSREINVGPSLNTVFGSKLPVQNGFCQGSSLVSKEPSATLSSFGYNNNNNNLKRDEIDIAASSHLTSHASKNIFKGLNVVDSKSGKDLDLNVVLSCDSLNEEPLPQDIEIVDGKRKDKYHSTAVSWLREKPVYDDVSPITRKDFESGLLQPSRDLLLIGETVKDPNPLPIQNVSAVLSSCDVRVKRESDCLSNGKLLGFPIFGKIGISKNDSASTSASIQSYHPDGINTKNKGKHRGFDMNVACDSMDTEFDKPIVAEAISAEKLMDTKCNNFRNIDLNSCVSEDEDILDSSVASTSGKKIAFEIDLEAPVVLEAVEALSPTKEQKQHEVSLQSPEETEKQQDEVVRSAAEAILAISYSQVPCMDPSELPDPLKWFADVISASADEVEMRPQKDSEGRNGRETETPRELDEYEAMTLQLTETPEEDYMPEPFVPEILNLEDVGTALLPGRPRRGPARRGRMRKDFQRDILPGLVSLSRHEVTEDLQTFGGLMRATGHQWNGGMTRRNGTRSGAARGRRRCAVEPPPAMVVAPVCSPPMQNFNSIQLGVEDRSLAGWGKTTRRARGQRTAAGNNLAVPIT >KZN04147 pep chromosome:ASM162521v1:2:2594453:2595002:-1 gene:DCAR_004984 transcript:KZN04147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAKSVQHGYVSEYRSRQYFDNSGEFVLSILTSSSELINLIKNVDTVFHSKPSGSSKPIHNNLYRFVPSIREQLQDWEDGLCENDADGSFLHENWNKDLQLFSDGDDGGQRLL >KZN05331 pep chromosome:ASM162521v1:2:22677610:22678152:-1 gene:DCAR_006168 transcript:KZN05331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSWSSAVVGAATAVATQALLASVPKDPIFHLVSIKLTSLKLNLPFSDAEVIMTIHVTNPNNVPISYNSTILSIFYEGSLIGTADVKAGSQSAKSCQLLQLPATLKSKEFAHHASKFLADVARREMVMNATVDIEGVAKVLVYKHRFRCHVKSRVIVDPVMFDVIEQENKAEMDLLVID >KZN07684 pep chromosome:ASM162521v1:2:42721821:42722150:-1 gene:DCAR_008521 transcript:KZN07684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLSEEKFANPNEIVEKENIIRHYRGVRRRPWGKFAAEMRDPKRRGSRIWLGTYVTPIEAAKAYDRAAYKLRGSKAILNFPLELNKVAGLDQLVAKTIMRGAKEKKR >KZN07815 pep chromosome:ASM162521v1:2:43742521:43747014:-1 gene:DCAR_008652 transcript:KZN07815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDVKRNDSAVSTIVNLAEEAKLVKPPSLELLSICKSLLAGGVAGGVSRTAVAPLERLKILLQVQNPHNMKYNGTLQGLKYIWRTEGFKGLFKGNGTNCARIVPNSAVKFFSYEQASRGILWLYQQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPLDMVRGRLTVQTEASPYQYRGMFHALSTVLRQEGPRALYRGWVPSVIGVVPYVGLNFAVYESLKDWLIKSDPLGLNDGSDLGVITRLACGAAAGTVGQTVAYPLDVVRRRMQMVGWKDASSIIVGDGGSKAPLEYTGMIDAFRKTVKYEGFRALYKGLVPNSVKVVPSIAIAFVAYEQVKDILKVEIRISD >KZN04030 pep chromosome:ASM162521v1:2:870693:873650:1 gene:DCAR_004867 transcript:KZN04030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRSHSHQLSSGLYVSGRPEPPLKERQTQPTLSSRAVPYTGGDVKNSGELGKMFDIESCGPHLVPPVLKPSRLASFSRQKSGSDLSGSGRDPGPKTSGQMVQVLPTGLLTSGPLGSNPGRRSGKLDPGPAAGVAVKAVYGAAVTSLSGEEGVGFKVSKAAMWVFLVLVVMGLVVGVFLMVALKKALILVVFVAILVVLMMLVLWNLAMKRRGLVGFLRRCADSELRGATDGQYVKVTGVVTCGSVPLETSFQKISRCVYVSTELLEYRGLSGKPANSKHRFFSWGCRSAERHVADFYISDFQTGLRVIVKAGHGAKVAPFVRPTTVIDVTKANKDLSPSFLSWLADRNLSSDDRVMRLKESYIKEGSTVSVMGVVRRHDNVLMIVPPAEPTSTGCQWTHCLLPTCIEGLVLMCDENQNADVIPV >KZN04096 pep chromosome:ASM162521v1:2:1896595:1906269:1 gene:DCAR_004933 transcript:KZN04096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKQMHPLCCITLETSTGDQSPDLKLSAAPEPSSGGSDSGSCFAGVLYKWTNYSKGWRSRWFILRNGVISYSKLHRVDNRAQLPVQNEVRIIGDASSSRFSGLSTSGKSAGIVHLKISSFRESKSDSRRFYIFTATKTLHLRTHSRKERVDWIKALISTRSLFSLRPSNDNFSLIASDISISTARLKKRLLEDGISETLVKDCEQIMLSEFSELEGQVKVLCEERSNLLDTLRQFEAANFEAETSGVQDGDEYHLSKHEYAVGHGKYSEYSTTESSDDVEKQELDDVSDEEETYFFDTRDNFLEPTTSFGSIDQTLNSDKQVSTINGAKNINVKIDPRNSGYPHIERRKKLPDPVEKEKGVSLWSMIKDMVGKDLTRVCLPVYFNEPISSLQKCFEELEYSYLLDRAYELGKEGNSLLRILNVAAFAVSGYASSDGRHCKPFNPMLGETYEADYPEKGLRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKLYCDHHGTMQISGNRQYSCNLKFKEQSILDRNPRQVHGFVEGVSGKKVASLWGKWDDSMYYTKGDGSAKAKDFSDASLLWKRNEIPPNLTRYNLTPFAITLNELTPGLQEMLPPTDSRLRPDQRHLENGEYDKANAEKLRLETRQRMSRKLQENGWKARWFKRESEDSSFCYAGGYWEARGTGKWNDCPDIFGEFTDAVVNS >KZN06667 pep chromosome:ASM162521v1:2:34871851:34873089:1 gene:DCAR_007504 transcript:KZN06667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQTINHFGKLDHLVNNAGIVSVCMFEEVDDMAAFRSVMEINFWGPVYMTRFALPHLRKSNGKVIVISSANCWFPMPRSSFYNASKAAVTQLFETLRIEFGSEIKVTLVTPGFVESEMTQGKGLFKGGQLQVDQDLVKASTAQVGLTPVISVVGCSKAIVKSACRGDRNLVEPAWIRITRWLKLICPEILDMVLWLCYISKPGASAHESFNKWTADLIKRT >KZN04844 pep chromosome:ASM162521v1:2:17132604:17132768:-1 gene:DCAR_005681 transcript:KZN04844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVHSCPLCGNHYKTYERLMYHVEVHVVGKYYLTLAPPMPETPASSASSTNGK >KZN04959 pep chromosome:ASM162521v1:2:18353053:18356416:-1 gene:DCAR_005796 transcript:KZN04959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAMVCPNCRNTEGGNWLCADGPDPSLSDSSIDYGTFAEHTYQFLVAEDQPSGHRRLPLSGLTGGHSSFEQVEFTLGFPGVRRFTSPMGFPRLAPGLHSATPPQGGSNISPHLDGQNLHEENRGHLSHFPIRLSHINSGRGSQGQASGGADPGHRLTDPYNVTSVFMTGLLLRMCIAISMLTMQAHLLLPDPRECYNVKPQKGLLISGENGNEEYGNECLKDVDSGSGSRQQGSDHAEPSHRSENFWPR >KZN07248 pep chromosome:ASM162521v1:2:39390446:39391720:1 gene:DCAR_008085 transcript:KZN07248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDIGQMVQQRCSPQQRLYSQLLSPIGKQWSFLGYRVRARFCNSRYIRNYHVTTAGNSITYHAQVAWKRFSRGSFYRGHTSNPIYRLTQAITLALSRSHVVVPGLFALTCGNVAWAQASTNSDIYQPSNSLYTNAQNGHVFLTTVVHSAFEGVVLLLRALYLSILFSPSILMAPFVDSLRLQYRKHGFSLFVEPLERAGPAFIKWGQWAATRPDLFPIDLCTELSKLHAKAPEHSFSYTKKSIERSFGRKISEIFEDFEEAPVASGSIAQVYRASLKCRYSGRENKPMVVAVKVRHPGVGDSIRRDFQIINIVAKTSKFIPALNWLRLDESLQQFAVFVMCQVDLAREASHLSRFIYNFRRWKNVSFPKPVYPLVHPAVLVETFEQGESVSHYVDELRGHERLKISLAHIGTHALLKMLLDFW >KZN04259 pep chromosome:ASM162521v1:2:5427935:5430273:-1 gene:DCAR_005099 transcript:KZN04259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEHYAKTVVTFTDNLGWTTLHHAAYHEFDMIIHPRVEAQIEFHHTFVYQDMLSTSFHVAAEKGYTSTVICLMQSWPSWSSAYIVVDKNEQNILHVAALQSKREMVQGILKFCSQEFKKEFVNKQDKNGDTPLHILIKRGCFIPELLRYEGLDIRVENKKRWTPDMLYFDEKVIDDQVQIKIMLDGTEGENDVIGTTVNAGKSWSSAQNASVVGFEELFIIKDESERTVLQLAVERNDVNAVRLILQEDPANKPGGGGIKRNGLMRLVCKAIDDEYSADIIKTLSETYKAGIINPDPNDLIALILAIQKLNKGM >KZN05713 pep chromosome:ASM162521v1:2:26566782:26568260:1 gene:DCAR_006550 transcript:KZN05713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLISSSSSSSFLCHGGASPTIQQRLQFIMQSRPEWWMYYIFWQTSKDNSTDRLVLSWGDGHFRGNKDFMLKAANSNIGLGPQDQPQQPHPKFGFELERRKVAKGIQSLFTDSLPGIDGVVEADFPDSEWFFMVSVTRSFAAGEDNTVGQAYSSGSYVWLAGDHELQFQNCDRAKEAYLHGIKTLVCISTPYGIIELGSSYVIKEDWGLIHLAKSLCSPDNNGTNNMPISNMSSPGAIAYAPPQNSTRSLSFFDINAVSGDEEGNVKPEKKLTKGGRSSSDSGNSDFESTLAPDSSMTTRMKKRGRNGAMSGRDLAQNHVEAERLRREKLNHRFYALRSVVPNVSRMDKASLLADAVTYINELKSKVEDLDGKLRQEMKKSKCITDVLYDTQSTCTNVYHTARSSVTYGPVNMEVDVKILGSQAMIRVQSPDVNYPAARLMDALRLLEFRIHHASVSSVKEIMLQDVVIKVPDGLTSEDALKTMLLSTLQM >KZN06142 pep chromosome:ASM162521v1:2:30493652:30494796:1 gene:DCAR_006979 transcript:KZN06142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTADQWPQEGAPPSDQKKVKPQKDEAPLNCPRCHSTNTKFCYFNNYSLTQPRHFCKACRRYWTRGGSLRNVPVGGGSRKNKRSNSLVTKPTGSQDDHLSSHFSFQNPSNLNLAFSQDYNTLEASRLNNKDINNNIIQLNLNSSYSTSSPSTSASLSAMELLKLNSTGFSHTFMPVVQNPGMMMQYSSGFQFQEFNKPQLGFGANNQENINMSGAGEQRLNFPFGGASSKHHTSANGVDSEDNKVEGNPGVYWNGMPSGGSW >KZN06619 pep chromosome:ASM162521v1:2:34455873:34457512:1 gene:DCAR_007456 transcript:KZN06619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNRKQGRLPPGPKKLPVIGNLHQLGQFPHQSLRRLSKKHSPLMFLQLGSVPTLLVSSAAIAKEIFKTSDLVFSSRPAMFAANKLGYKGSTITFAPYGEYWRKIKKIALVELLNPKRVQSFEAVRGQEVALMIKAIAESSDQVNLSALMLLLANNVILRVVFSMKGNSYREEKVKSEFGEILHEAQDLLGMVNIADYLPWMGWYNKLNGVEARVEKNFSKLDSFIGKAIQEHRETPRGSGPDKPEDFIDVLLHVQTYRNQEIRLTDDQMRAVLLDIFIVGTDTSSATLEWIMSELMKNPSVMRKAQEEVRGVVEQNRVFVQESDLPKLKYLRMLVKEALRLHPPAPLLVPRETTEKCTIGGYEIPAKTRVFINAAAISTDPELWDDPEEFKPERFLNSSVDFRGQHFELLPFWSGRRGCPGTNFALLIIELALANLLFSFDWELPGGMNAEDIDMEEAVGITVHKKTPLYLVASSFVYT >KZN04442 pep chromosome:ASM162521v1:2:10886066:10898497:-1 gene:DCAR_005279 transcript:KZN04442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIIRGKLLQNTSLRLFNQVGKGRLYSTKKFRDIGQPTAASHPHLLKEGEITPGITSEEYVSRRKKLLELLPEKSLAIIAAAQVNMMNEVVPYNFRQDADYLYITGCQQPGGIAVLGHDFGLCMFMPEPSPQDVTWQGEVAGVDAALDTFKAEKAYPMSKLNEILKKMIENSSTLFHNKYTAKPTYTSLQAFQNVASMGKVMDLSTYTHEARWVKSPAEIRLMRDSASIGCQALLQTIIYSKAFPYESMLSAKVEYECRTRGAERMAFNPVVGGGPNGSVIHYSRNDQKVKDGGLVLMDIGCERHGYVSDLTRTWPPCGSFAPVHADLYDLILETNKECIKLCRPGTSLREIHNFSVEKLRKGFKKRGILKSDRSYHQLNPTSIGHYLGMDVHDCSAITYDRTLKPGVVVTIEPGVYIPSNYDGPERYEGIGIRIEDEVLITETGHEVLTGSLPKEINHLESLLNEYGSELRSETSKIMQAASS >KZN05089 pep chromosome:ASM162521v1:2:20115450:20115632:-1 gene:DCAR_005926 transcript:KZN05089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLENVLHFEGFAITIAHTQSNPLNPSNYPHFDLLVLADKLPYVDTSNTPSIYDASLGR >KZN05913 pep chromosome:ASM162521v1:2:28305779:28307138:-1 gene:DCAR_006750 transcript:KZN05913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKRVALVTGANKGIGFETCRKLAANDITVILTARNEKNGSVAVEKLKASGLSDVVFHPLDVKEPASIASMAKFVETNYKKLDILINNAGENGNAVNLEALPSIINGGMVFDENVDKVKEVSTETYEMAVQCLRTNYYGTKRVTEALLPLLQLSKSARVFFYNKKFKAEMSDVENLSEEKIDGILQWFLKDFEEDKLKANGWPLTVAAYKVSKAAIIAYTRIMGKKYPNILINCVHPGYVRTDMSYRTGPLTPEEGARAPVMVAMLPDDGPSGRYFYEMQESTTF >KZN06208 pep chromosome:ASM162521v1:2:30992556:30995975:1 gene:DCAR_007045 transcript:KZN06208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVEELLKRHADLVNYMYREEIVDSQFITVLNMRALDENLENPDFFGMMVAVFREASDAKLETAASELQKEDPNYERIDRIIEDFRETSICMGTHGLVSTCNSFKTCYEAKNFEGCVRCFKRLEDEYLLIKSRLETLLQLEHQIDAAGAIIPVIELPTNKVKRPFNPK >KZN05613 pep chromosome:ASM162521v1:2:25624002:25625178:-1 gene:DCAR_006450 transcript:KZN05613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPVIIILAGCRVQMWSNAPNVTHVAPTTFYLNLNHHSVNQLRRMLAIPDFSKKVMAMEKKKKAELLTVEAIKSLDKDSVEEATEEYFPESFKMLATQTFTIKMEIHAANVLKQSNLYWATNICHGFKLEEMDTEMEQQPESQSINTQATTSTAHLSGMSDLNCNSSAVTN >KZN06856 pep chromosome:ASM162521v1:2:36402927:36404258:1 gene:DCAR_007693 transcript:KZN06856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISHPLKPCNNQIFFITSRLLHSSKPTSILSQNRVEKLISSQSDPLLAKEIFDLASIQPNFHPSYSTFHSLILKLAHTRHFSHVNSLLAQLKSRNYPISPSLFSRLIRVYGETNHPEKALKMFHTILEFNTKPLPRHLNAILRVLVARRGFLRPALDLFRSAHRYGVLANVESYNVLMSAFCLNGDLSIAYKLFNEMFKRDLVPDVESYRIVMQGLCRRSQVSKAADLLEDMLNKGFVPDALSYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIVHYNTLIFGFCRENKAVHAIKVLEDMYRNGCLPNLVSYRTLVGGLCNQGLYDDANKYTKEMIRKGFSPHFSVFHVLIKGLCNVGKIEEACELLVEMLKFGEAPHVDTWAEIVAQICDAEDEVLRVEDTLKKLLKVEIKPHTKIVEAGAGLEEYMNRKIQTRRKKF >KZN05456 pep chromosome:ASM162521v1:2:24043818:24045943:-1 gene:DCAR_006293 transcript:KZN05456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITQTLPYFIIFLLFILTTTPPTKAQPFSCKPSSKCNSLIDYVSPNDTTISNITTLFGISKNLLSFLGANNYPPTTLPSLAIKAKQTVKIPFPCRCANGTGTSDGLPVYKVVPEDGLFHIASDIFGGLVLYQQIQAANNIADANKILVGQELKIPLPCSCDEVDGQAVIHYGHVVPAGSSVEAIAKQFDTTQETLLKLNALADPADLKAGVVLDVPLKACNSSISSDSRDYPLLVPNGSYAITANNCVRCKCDAANNYTLQCEPSQIKSSIWPTCPNTQCNSVFLGNSTSSGCSRTTCAYLGYDNQKIITNLTTVSTCSSNCKSFL >KZN06505 pep chromosome:ASM162521v1:2:33485315:33489030:1 gene:DCAR_007342 transcript:KZN06505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDFSKSTYTQIILASSLGLIIAAAMHYRLKRIKDSRIVPRIRVSDTGQPVRLERFSHYVARQMGFADKRDCPHLCKLASDYIKKTDGVEENIYAFFSHDPEVDSLFIKLVEEFERCILSYFAFHWRHAHTMISQVLGSGSGPKKKFKHIVMAATREQRFERVLKNLKVARVFNTLVEEMKAIGLAANDDSTCTDVMVPMAHKDRSPVLLFMGGGMGAGKSTVLKDILQEPFWAGAAGNAVVIEADAFKESDVIYRALSSRGHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGVGYKVEDGNVIENYWEHCDEELEPDGTKKRRPYRIELVGVVCDAYMAVVRGIRRAIMCRRAVRVRSQLTSHKRFANAFSTYCNLVDNARLYCTNALEGPPKLIGWKDKERNLLVDPEEIHCLKSIGLLNEAADSVHELYKPGHEMQVGAVWNDIVLSPSRMLIQQELKFHIQQVENMAAQET >KZN06591 pep chromosome:ASM162521v1:2:34205723:34206923:1 gene:DCAR_007428 transcript:KZN06591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPLLTASKFGYYSRHLGAIANQVNCKVGRAQSSGSSHWGHCRNLAWIISHLDLAAISASLMELNKSSSPEKSDPAVAATTTNDEQCSSPPQAQAQAQPRTYECNFCKRGFSNAQALGGHMNIHRKDKAKLKQTSPIPESRSQQFLEIPKTALSPATNLVINPTRSTFPERRDAVAANWTWNYSSENRDTASIMRSDQGYVGEPKQLPLFNEATTLRVVDDHQDQKQSYDKELSSSSSHGDHDEDHDELDLELRLGQDPSLDSSSSTAKSTRKFF >KZN04753 pep chromosome:ASM162521v1:2:15361037:15375557:1 gene:DCAR_005590 transcript:KZN04753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEACDECKSKCLLLHQRKKDPSPLITTFYKVLYGGYDYWKYLCLPQKISQTIPVLGAGKVYDLEDTNGQRWQVTLGNKDGHLAFNKGWDKFYADHGLREGYSLVFHFMVKSHFVVQIIDKTGLEKRMFPLENGKKRKRSEIDVNSNAVGECQNHSNKQGSVFPGVPDSEARIHGQPMSKNNSSSVKPGDGMYQHVALGDSDIEQVFTINRDSGYKCEEGRSPILGFINLEMRGVDPDGSSDKRTHPSNTSAACNAAARILDENPVSAKVVSGEPPAKVTAPTFFSNSNVSLKKENVAEVGNDHLGDYQSGLGKQSAKVAAPTYCDNNNVYLKRENVAELPAKATAPTFSNNNNLYLKRENMAEVGNDRHGDHQSVLPTGNRAQSHSECRIKSSTTSLNRVQIEEVTGSKKHSKKYPKFKERSDQIIGGDEKSNEIHKAVKAEPVDSYNDERLDASALTFTVMVGSKELLTDIAYACLVEESETDSEDSDVSGSDGEDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHVRYILTTKGQAAMLEKYKNAEFGRCPRVYCCGQPCLPVGQSDIPRHCTLEFGPENRSIED >KZN05288 pep chromosome:ASM162521v1:2:22195605:22197432:-1 gene:DCAR_006125 transcript:KZN05288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLNDFQDMKNKILANAIKAFNPLRLRVGGSLEDQTVYKVGLVTKCPHFKNNMNVRRFGFSNGCITMERWDQLNQFFNETGAKVTFVLNALRENKSENKNSTLWVGNWNKLNAQEFMKYTISKGYKIDSYELGNELSASGVFARVNAGQYGKDTIILSNLVKKLYANFSDQPKILGPGGFYDKEWFNKFLRVSGPGIVDGLTHHIYNLGAGNDPKLISRVQDPFYLDKIAQTFKSISESIKQYGPWTGAWVGESGGAYHSGGTNVSNTFADGFWYLDQLGMASTFDHKVYCRQVLIGGNYGLLNTTTFAHNPDYYGALLWHRLMGSRVLHDASPYLHSYAHCSKDSERGDITVLLINMSNSTTFVVNTVNDLNLFPSDHTELPPREEYHLTPEGRTFKAK >KZN06684 pep chromosome:ASM162521v1:2:34990437:35006302:-1 gene:DCAR_007521 transcript:KZN06684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEDEETQKLKKIAAASYDYENDPKWSDYWSNILIPPHLSSRSDVVDHYKRKFYKRNIDPDLIVEPMPTSSSSQTTRPSASTSSTPNGQTRQRNSGSTSRAPGTSSATASTPTSLRLDQQTISFSVNAWVFVVAVLAVFPLVPRSLSDRAYKLALMGTACSSLYSLYSSYGKPRAWNLQAMQVWFQSVIATKDFIYFVYCLPFATSQLTLKFALLPVMCRSVEHIAKFLRSKFSHSSLYRKYLEEPCVWVESNTATLAILSSQAEIGIGFLLIISLVSWQRNIIQTFVYWQLLKLMYHVPVTGSYHQSVWARIGKTVIPLVHSYAPFLDSPISAVQRWWLSRENAVRTQRGWSDEVGTARRRELWLMSNSGSREEYINLKRAGPYAQMVIMQEMLHVAQEANRIASLNQQTSSWGIQPGFCTSSSSDPHVNYVGASHINLCTPAPINGASGSGFNDGSFFNGYGQEFESRIAPSYSNMQGIKQVVNKVNTSPQQGSCVMVRSDGDARAADKSSGSGSVLLQSSSQYIIQPSTGYHTKPQTSNHGLFDNSHDNRNMQGIEQVVNKVKTSPAQVSCATVCSDGDARSAHKSSNSGSVFQSSSQYIIQPSMGHHTKPQTSNQGLFDNSHDNRNMQGIEQVVNKVNTSPAQVSYVTVCSDGDARYAHKSSDSGSGLLPRSSQYIIQPSTGHHTKPQTSNHGLCDNSHDNRNMQSIEQVVNKVNTSPAQVSYVTVCSDGDASYAHKSSDSGSGLLQSSSQYIIQPSTGHHTKPQTSNHGLFDNSHNNRNMQGIEQVVNKVSTSPEQVSCVTLCSDGDARYADKSSDSGSDLLQSSSQCIIQPSAGHHTKPQMSNHGLSDDSHDNSQKVHLNQGTSQLNISISQEKPPSFSESADYHRSCGKSGKDHENEDVEVTTMCDMVDTEGKLDLPASTSNNEAKSNLKENKIQGVSLTDFFTLRELKEHLCHFGQWNGQEVGLHRPSCLNKCQLCAMDKLEFAPAPIYCSCCKSPIKHDSNYYVASEGSDVKHYFCTSCYRVSRKCILSFPKANLLKKKNIKNIGEPWVECDKCKGWQHQICALYNKKKDLGGEAVYICPKCWIQELESGEGQPLPKATSLYAKDLPRSMLSDHVEERLFKRLRKEREDNKSLGEVPGEADFAVRVVLSVDQKLEVNKKFLDIFNGSDYPAQFPYRSKVILLFQKIEGVDVCLFGMYVQEFGSNCEQPNRRCVYISYIDSIKYLRPKMKTMTGEALRTLVYHEILIGYLDYCKKRGFSTCYIWACPPLKGEDYILYCHPESQKLPKADKLQQWYELMLKKALKENIAVHYTNFYDHFFVSPGGCTTKTAAHLPYFEGSYWSSTAEYIAGTLEKEGKEPKLTKAALKAMGCNPSSGGASRKDVLVMDKLGDAISKDKKKFIIVNLQHSCIRCNEAILSGVRWFCSKCKKFNICSRCLNEEQKLEHKKIHISYTGEKHVLSEGVVDDVPCDTEDNDVTLDNKIFASRETFLSFCQSNNYQFDTLRRAKHSSMMILYHLHNPCSSISDSVAESV >KZN04289 pep chromosome:ASM162521v1:2:6567287:6572473:-1 gene:DCAR_005069 transcript:KZN04289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAQDLFSSHGFHIRLLLVILSKNEWSSIFSTTVARALTLDILSVGEDYNATLQLVQVAVIRLMFVLVILFVQQKAINQ >KZN07537 pep chromosome:ASM162521v1:2:41688139:41691272:1 gene:DCAR_008374 transcript:KZN07537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEMRGLNNTLDTINAAANAIASAGNRLPQSSSVQKTRWGGCWSIYSCFGSGSGRQRKRIGPAVFVPETTVVAEAPAAQNLNHVPSIILPFFAPPSSPASFLQSEPPSATLSPTGSVTLSANMYSPGGPANIFAVGPYAHETQLVTPPGFSSSTFTTEPGTPFTPPPESLQFTTPSSPEVPFARFLGPNHQNGESDKKFPFSHYDFQSYQLYPGSPVGQLISPSSGISQSGTSSPFPDSDAVPDRLQSLEFQTSNAPKLWNLENLSPRKWKSQQGSGSLTPDAVHCRPRDGFVLDRQKSDISPLPTAFNGWRHDEIVVNHRVSFEITAEEVVRCVEKKSASSAKAVSVLPEYVECLREGHENLSELADDQECYTSGQLEATHKRTSGDPEDEQRRHKHRSITLGSSKEFNFDSADGGHSDKRTSIASDWWANENVIGKEVVPSKNWSFFPVMQPGVS >KZN05749 pep chromosome:ASM162521v1:2:26903152:26906066:-1 gene:DCAR_006586 transcript:KZN05749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSATTPARRAPRQLSVDYYARTCPHVEQLVATVTSQQFREVPVSGPATIRLFFHDCFVEGCDGSVLISTKPGSKQWAEKDAEDNKDLAVEAFDSINKAKSLLETKCPGVVSCADILAIAARDFVHLAGGPYYPVKKGRWDGRFSLASNVNLNVPHSNSTVNELIKLFASKGLTPDDLVVLSGAHTIGFSHCKNFVNRLYDYKGTKQPDPSIDPRLLKALRMSCPPFGRSGTVVAPFDVTTPFLFDNAYYQNLEARLGLLTSDQQLFFDARTKPMVQALAKDKQRFFQAFSVAMDKMGSIGVKRGRKHGEKRKYCSVHAL >KZN06317 pep chromosome:ASM162521v1:2:31919006:31923169:1 gene:DCAR_007154 transcript:KZN06317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSVDTVESQNNISAAEEKCQGTSFPRTCFNGLNALSGVGILSIPYALSQGGWLSLIILFVIALLCFYTGLLLRRCMDSNPIIKTYPDIGEVAFGSTGRALISTFMYLELYFVAVEFLILEGDNLHKLFPDVNFHIAGISIPGKQGFVLLTALLVLPTTWLRSLGLLAYISAGGVLASIVLVFSVFWVGAFDGVGFDEKGSLWNWNGLATAISLYTFCYCGHAVFPTLCNSMKNRAQFPKVLLVCFVLSTISYGSMAVLGYLMYDGNLMSQVTLNLPTKKISTKVAIYTTLINPITKYAIIVSPINTAIEATFPLQKSRSISLIIRTVVVCSTVLVALAIPFFGYVMAFTGAFLGTSVSMLFPSIFYLKINKAARKFGVELVIIILILVVGASVAVKQIKHSHVNTIISSSKCGARLIGEKQDLIRSRGLRKYLCKIKYFFYVLILRALSADKLQAIYPCIHIKPVKASTDHSKINTTNQVRDSDMKANRSVFITLLAMHCLSAVGAARNPDFFYFIQQWPGSVFNTNRNSCYPTTGKPAADFVIAGVQPYYIDGSFPSNCTTKIPYNHSKIRDLISRMQKSWPSLSCPSNNGFSLWSLEWKQHGTCSESVLDQHTYFQYALNLKDKVKLLQNLRNAGIKPDGKLYSIDSVMEAIRAEIGYYPGVKCNSDKSGQMQLHQIYICVDTSATRFIECPGLPNFRCTSTIKFATF >KZN04143 pep chromosome:ASM162521v1:2:2566766:2570291:1 gene:DCAR_004980 transcript:KZN04143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSIVVGRLADLLVEKPQTLYEVRDEIQLAITELTRIKTFLPDADARIDVERIRILLRDVRELAYDVETFVIKASSTKKPFQRMNRVKFSRKMKNIQKKMSLLFNLFSDYNIIPTSESTSSNRESGKLKRFHSFTTPEPELFVGFHEDVECLVRHLVDETHDSYPLISICGMGGLGKTTLAQKIYNHSAIKTHFAGLAWVSISRKWQTDRVLQRILICLVPENKESILNMDSDKLVEYMLHIKERKKCLIVLDDIWSTDVWDALKAAFPAGKSISKLMLTSRNVEVAKHVNLNGFIHKPECLNPEQSWELLKLKALHTGNCLDIYXWDALKAAFPAGKSISKLMLTSRNVEVAKHVNLNGFIHKPECLNPEQSWELLKLKALHTGNCLDSRPAYTRLVIHLDEEYSSKKANYYFSKKGNEKCYRSVLFFGVFGPRSLPRALGSHVANFRFLKVFSVEKYTNFSDTFEGMYVLPWLSRDVLVKLDCLRHLYLPIFKVNVLGRKSKFRFNGLSKLETLENFDSSWCEIKDLRELINLRKLTVTVRGSCNILEEMMKNLVEIASSPSFRLRYLGVCILNCHLRLKNGLTILKQLVCAEKLNLRHLRIHGRIPEVGLIFPVRYVGDNYAHVSTIHITSLNLSRSYLEEDPMPILEMLPMLGDLFMFTDTFVGRKMMCSATGFPKLTNLCLYEFPNLEKWRVEKGSMPILSYLLIESCNKLKELPKGLVFLNSLQVLRIYQMPQDFNDRLTRNDGDEGPDFHKISHVRRVRIDNDQEYN >KZN05351 pep chromosome:ASM162521v1:2:22970745:22972771:1 gene:DCAR_006188 transcript:KZN05351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVARASEYLVITGIGIQDIKIAKKAWILPGQSYAVFDVSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRLDNDSLLKYAKLISPHDKLSNHVKELVQGIIEGETRVLAASMTMEEVFKGAKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEARMKGEVGSKMREGQTLQNAAKIDAETKIISTQRQGQGKKEEIRVKAEVKVFENEREAEVAEANAELAKRKAGWSREAHVAEVEAEKAVALREAELQKEVEIMNALTQTEKLKAEFLSKASVEYETKVQEANWELYKKQKEAEAILYQKEKEAEAQKAIADAAFYARQQVADGELYAKQKEAEGLIALAEAQGTYVSTLLKAVGGNYSELRDYLMINGGMYQEIAKINSEAVRGLQPKISIWSNGENSGDGNSMKGIAEVYKMLPPLFKTVNEQTGMMPPAWMGTLSNDS >KZN05049 pep chromosome:ASM162521v1:2:19329595:19331718:1 gene:DCAR_005886 transcript:KZN05049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHSVHREKVEGDQTMKNGLDCDNVGSEEFDPTAPPPFKLAEIRAAIPKHCWVKNPWRSLSYVIRDFAVIFLLLILAMHFNNWAFWPIYWVAQGTMFWALFVLGHDCGHGSFSDNPRLNSIFGHILHSSILVPYHGWRISHRTHHQNHGNIEKDESWVPIPEKIYKSLPVHIKFLRFKIPFPIFAYPLYLISRSPGKTGSHFNPYSDIFHPSERNLVVTSTLCWTAMLALLGYLANTIGSIQLLKLYGVPYIIFVMWIDCVTYLHHHGYDKKLPWYRGKEWSYLRGGLTTIDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATRAAKPVLGKYYREPRKSGLFPFHLVKDMLKSIQQDHYVSDAGEVVFYQKDPQLNVFFHKSE >KZN05498 pep chromosome:ASM162521v1:2:24375634:24379470:1 gene:DCAR_006335 transcript:KZN05498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPSRGGVRGGRDQFSWDDVKADKHRENYLGHSLKAPVGRWQKGKDLHWYARDKKSKSLNMEAAQEEIRRIKEEEEQAMREALGLAPKRSSRPQGNRLDKHEFSELIKRGSTAEDLGEGHAEAVQVQGFGFSRTPKSLEESGSLKANLNAETLPPSRKHEEEVDDESARKKRKHEEKKQEKHEEKKHEKHEEKKHEKHEKREKRRTRASVDKSKHSRDSDDRRKHKRDKERRRHDSD >KZN07688 pep chromosome:ASM162521v1:2:42748869:42752069:1 gene:DCAR_008525 transcript:KZN07688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKNGSTIEINIASITKTNIPGTIKRSGDVKVSCKGWISILWEFCKEDRNRVIFSLKVGLAVLLVSLLILVRAPYKEFGTSIIWSILTVAIMFEYTVGATFNRGLNRALGSLLAGVLAIVITQIALSSGTTAEPYIIGISIFIIGTVTSFMKLWPSLAPYEYGFRVVLFTYCLIVVSGYRMGNPIITSIDRLYSIAIGAIVAVLVNVLIFPIWAGEQLHKELVKSFNSVADSLEECVRKYLEDDGSNNPEFFKTVIDEFPDEPAYKKCRSTLNSSAKLESLAVSAKWEPPHGRFQHFFYPWSEYVKVGAVLRYCAYEVMALHGVLHSEIQAPFNLRLTFQTEIREATNQAAELVRCLGKNINEMTRSLNTDLIRCVHSSTERLQHAIDLHSYLLASSNDAPDNSAKLLPKLSRTFTPTLSELSEQLAELDTEASELKLSPAGQDTPVMIPVTPRVESYHEMTRKHSRRLHSWPSREVDAFEEEGGLETNSVTRMKALESTTTLSLGTFTSLIIEFVARLDHLVEAVDELSKMAKFKDEIL >KZN05866 pep chromosome:ASM162521v1:2:27925364:27929279:1 gene:DCAR_006703 transcript:KZN05866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEYIAWGVALLCFVILMLITPSIPQSQDYHDFADQRQFLGIPNALNVISNFPFLVVGLVGLILCYHGNYFKLSLQGELWGWTCFFVGVAAVAFGSAYYHLEPNDSRLVWDRLPMTIAFTSIVAIFIIERVDERKGSVSIIPLVLAGVVSILYWRFFDDLRPYGLVQFVPCIAIPVMAVLLPPMYTHSTYWLWAAAFYLIAKVEEAADKPIYGWTHHIVSGHTIKHLFAAMVPVFLTLMLAKRSIETNRQSLLQIWKVSWTKVKTNDIETESLTCSYSDVPVEDER >KZN05686 pep chromosome:ASM162521v1:2:26295202:26296677:-1 gene:DCAR_006523 transcript:KZN05686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAIFFSLTIPPGQDHLHHHSFSSRKTSTCSHKSLTFRCSSAPSSPPSSSVDETVSKSESGPVFPLSGCKACGKEEMESGCNGEGRVQGGIATVPGFGWWPIKAYRPCPGFVASGGRYMRQGQSMDEVAGLGRVERAVEKVVVEKAASVGENFDEAPPR >KZN06170 pep chromosome:ASM162521v1:2:30742094:30745757:1 gene:DCAR_007007 transcript:KZN06170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSKEPDNPTKSCKARGEGLRCHFKNTRETAHAIRKLPLIKAKRYLEDVLIHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDIDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEPETQLASGKSRKSQALRSGASS >KZN05148 pep chromosome:ASM162521v1:2:20589736:20590047:1 gene:DCAR_005985 transcript:KZN05148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KZN05793 pep chromosome:ASM162521v1:2:27283682:27288108:-1 gene:DCAR_006630 transcript:KZN05793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHTAAAVASGVTSASYPSLSFSTLKPPTSRSLFQKLAAHPSSIINTSPHLPSKPLTNLTPKASSSSSTQPMLPPYNVLITGSTKGIGYALAKEFLQQGDNVVICSRSAERVENAVQSLSEEFGKQHVWGTQCDVRDGNDVRNLVEFAQKNLKYIDIWINNAGSNAYSYKPLAEASDEDLLEVVTTNTLGLMICCREAINMMLKQPGGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQEVKNVIIHNLSPGMVTTDLLMSGANTKQAKFFINVLAEPAEVVAKYLVPSIREIPANGSTRPTYIRFLTGIKAYSKIFSRLAFGARRNKYVVEE >KZN04277 pep chromosome:ASM162521v1:2:6135096:6135290:1 gene:DCAR_005081 transcript:KZN04277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHEDVEHLISTMNGKPLSPLTTEPSKGQNEESKMAAIIHPSEEMVATMLNNLFLKAVKNETL >KZN06572 pep chromosome:ASM162521v1:2:34109332:34110115:1 gene:DCAR_007409 transcript:KZN06572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAMIVFFVFSVLLNGALGEVICNELPNEMCSFSVSSAGKRCLLETSAVMNDETVKFECKSSEVVVENLANWIESDECVSSCGVDRRSVGISSDSLMEPRFTAKLCSSACSQNCANIVDLYNNLALAEGVVLADLCKVQQSMPRRAMSQLLSSGSAAGPISAAQAPLSAAQASLSAAQGPSASVACAPADI >KZN05945 pep chromosome:ASM162521v1:2:28622653:28622838:-1 gene:DCAR_006782 transcript:KZN05945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMLALNRGKERSLREYEEFAKNLDLKLQKQLQRLCSSVLGLSKKAICKPIITPPMSVIEN >KZN05138 pep chromosome:ASM162521v1:2:20496191:20497956:1 gene:DCAR_005975 transcript:KZN05138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEEGWPLGLQPLNVRVGLVHMPEFSGSLSFNTSPSASPSSSDLDTESTGSFFHDKSITLGSLIGVSNILEFSRRSLRKRRPQTVQIKKNNRPKTWCFSLCPRDQTDAESDCHNAASLGHFLAVERRAEHRRSHSPMIYGPEDIALAQESREQNSLFVDGHVAPPQLSPWASSDNERRREGVLSHTNRCGVPLVFHCMCCQHIH >KZN05284 pep chromosome:ASM162521v1:2:22140508:22141878:-1 gene:DCAR_006121 transcript:KZN05284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSETVELVSECLIRPSDLPQKAKHPFHLGPFDLAMLSVYYIQKGLLFKKPMVTNDRENSVEVLVQKLKKSLSVTLVHFYPLAGRLVTKKEESPQSYVVFIDCVNSPGARFVHAKVDLMISDILSPTYVRSVIESFFDHNRAINHDGHKVSLLTVQVTELKDGVFIGCSLNHSVIDGTSYWHFFNTLSEVFMKDIDDEGLEITRPPIHERWVPDGYDPVISLPFTHTDQFLSRHDAPELKQRIFQFQAAALARLKAKANAKCINKSTTISSLQALSALMWRCMTRVRGLPHDQITGCKLAMNNRARLHPPLSQNYFGNCIQVVRATTTAGNLLINDFEWAALLVHKTVAEQDDKALKNFIADWLQSPSVYQPGQFFDRCSIMIGGSPRFDMFGNEFGLGKAVAIRSGCADKFDGKVSLYPGTEGGGSMDLDIFLPPHFMTALECDEEFLEGLNLSG >KZN05166 pep chromosome:ASM162521v1:2:20741456:20742835:-1 gene:DCAR_006003 transcript:KZN05166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTSNSRLGRSTSNSVVEVLYRDTSTKSVTTSPERTKVWTQPPSKHHSSKVPVVYYLSRNGQLEHPHFIEVPLSSSSSGLYLRDVIDRLNSLRGKSMATMYSWSSKRSYKNGYVWNDLAENDFIYPTHGNEYILKGSELLEPAKLEESMNSTSKQLEISKSADDSDDSPAITRRRNQSWSSIDLQEYKVIYKAESSGELSSQAANASTQTEERRRRRKARNEITDSGLTREPAESTESTREITELTRDEFSPPGSESSTETLETLMKGDGKMIKSVGGRDDLTANNQSSGRMKASTVLMQLLTCGSISFKNCEDHPGLSLVTSQYKRGLPRGGEGTPVGKSAGENSVGKKNKGVFGSLGRGKVKISEDREYFSGSLVDETNKTEVPALKRSSSYNADRRG >KZN05557 pep chromosome:ASM162521v1:2:25036390:25039319:-1 gene:DCAR_006394 transcript:KZN05557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAGKSRLKSRDLEWYFFSYLDKKYGNGARTNRATDGGYWKTTGKDRAVYHRTKVVGMKKTLVYHSGRAPRGERTNWVMHEYRLIDEELEKAGILQDAFVLCRVFRKSGSGPKNGEKYGAPFVEEEWDDDELVMVPKDEYADEHQISDDAYLDENDLEEILSAADPVYESPLPLSVNGAANQESMNFIDEPLGAGDETNYLQEQPDGTTLGDLQSVDGSNYQQQPDGTTLFNLPMQSNMDTISVKHEYIGEPSYTGASSNAENPADVDYLLNEPLLDSMDNPQYVDGSFIETGDLKPSVEADPSSFEMLDEYLQFFDSTDGNLDYSSFDFPNIDSADGDYLNMVETGDLPTEPASLLSQDLMPKDANENIKQETVEGSVLLGGQNHDENIKQETVEGSLLPEEQNNDVPSSSNAAESDFPYQFNKHASRVLGNYPAPPAFASEFPRKDAALRFNSASPSSIHVTAGIVQIRNMSLRGTGISWSYGKDGRLNVVLSFGLSRVDDITAESEPASSIISSKAQSAVHRGWFYFMCMWVLFLTMSFKIGSYICAK >KZN07116 pep chromosome:ASM162521v1:2:38497244:38498266:1 gene:DCAR_007953 transcript:KZN07116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPKVSQPLEPYPAPFKPINGEIVYSQEFIDLLSTLPKEKDSFGVDIYQYNGFWWPEVAVHCMIESLNYFQPRKNDVFLATAPKSGTTWLKAIVYTLLNRQVHHPQDPHHPLLTTNPHQLVPFLELLEHSEYETLSNSSESSTRIFGSHLPAASLPKSVTEENESFNCKIVYLCRDIKDTFVSFFHFVIKHVDPSSTSLEKVFDLYSRGLNGAGPVWDQIMGYWKESLERPDKVLFIKYEDMKREPRIQARRLALFLGKPLSEEEENSGMLDQIISLCSFDHMKNLEVSKSGTTRHGIKNNTFYRSGQVGDWKNYLTAGMAAKLDHITREKFRGSGLSL >KZN05990 pep chromosome:ASM162521v1:2:28953472:28956587:1 gene:DCAR_006827 transcript:KZN05990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVTENCISLMLSFTTPLDTCRASCVSADFRSAADSDELWNKFLPSDLSQILSRSLSTLAYNTKRELYFLLCDSPVLLDDGNVSFSLDKKSGKKCFMVAARQLSISWGDTPTYWIWSSNYNSRFSEVAVLQYVWWLDIRGRMETGLLSPHTTYETYLVYKLHEDARGLNSARTSIRFVNEIEEVPSDETNIVYPDTRTSAANRPNTEQRNGEVSQRRKDGWMEIKTGEFETGARDDDEVETRFMSTGGYQVKAGLIVQGIEFRPKQS >KZN06955 pep chromosome:ASM162521v1:2:37116852:37120415:-1 gene:DCAR_007792 transcript:KZN06955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGETGFYFEYNNMEVKVEDDEDEFQSCCGDEIDLVKEESSCCEDECELDETEEDKNELVEKKDLSEGSKKEFLDGFSVNMFFKGVSIALPGGSGLSGIGVYMERSAEFPVIQVQKMLEFYVEESVADYLAVMDGLSEAMQNDVRRVQAFTDSEVLFNQITSNTKPDSPLILALSERILEHAKSLDFFDLKYVPDTDMRPLQLAQIAIGIVSSPAKENAAVEKCFICCEEKLASMMITVKCSHKICSHCIKIYVDGKVKGGHVPIRCPQPKCRYYLSTSECRSFLPFTSYKLLEIAISKANVLNSDKIYCPFSNCSVLVNASESLSASASSSSQSDNSCMECPVCERYICINCGVPWHSTITCEEYQNLPLEERNTDDISLHHLAQNKRWRQCEQCHRMTELTHGCYHMTCWCGHEFCYSCGAEYIDSQQMCDCAFWNDTFSADVITHPSQQFEQWAWESFGSLSTMMDAYSEQERSQLALIQRFLAGGFSLSDHQPDQPAPHYRDSYADTMKDLRQLPWLERFVSVISDNYYDEYIQ >KZN07206 pep chromosome:ASM162521v1:2:39099649:39103785:-1 gene:DCAR_008043 transcript:KZN07206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSFKDSLKILEADIQHANTLASDFSREYDGASIQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYSVIYPSLVQLERGVTDTEDKKQKAVCVERYKRRDDEEHWQRSDVDIEREEECGICMETNCKIVLPNCHHAMCLKCYREWRTRSKSCPFCRDSLKRVNSGDLWVYMDSTDIVDTATLTRDNLRRLFLYIDKLPVIVPDHLFDTYDSHLR >KZN07725 pep chromosome:ASM162521v1:2:43085662:43088612:1 gene:DCAR_008562 transcript:KZN07725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTANPSCATSSGSAPGGGGSSSGSGGSSGGGGPCGACKFLRRKCVAGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVTNLQAELTYLQAHLATLEVPTPPPAPPPQQLLGGLPLSISDLPAASCMPATYDLSSLFDPMMHQSWTFQQRPVLDPRQFGSSGNIRAPVDMLPVVSGGADFQDLARELFQRHDSPQHPCSDPSTMPPHSR >KZN05685 pep chromosome:ASM162521v1:2:26292288:26293142:1 gene:DCAR_006522 transcript:KZN05685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSIKSGVIEKNHLSFVRIVMDSGNVVELEGPKLVREVVDDYPGYGIFKKNCVSSPLPDQEKLLCGSVYYLLPVGELQKKDLSVEMRLNEVKEGGCTNDRVKDLSAKKRLNEVKIVEKGQIMKDNLLKDIRANKRLNEIKLRDKGEISKDDNLKDVSEKVSVSLNEVEPVRMSSAASDIVTSLAHGSGLEVLPPPQKGIWRVKLMINTKQLEEIFSEDVNTEALIEQMRMAAASGHVTPRRAKRYLGLILKPILSNVFRAASDRQQDDVEPMELCISSPIKG >KZN07634 pep chromosome:ASM162521v1:2:42365723:42366100:-1 gene:DCAR_008471 transcript:KZN07634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNTASFALLLSLNLLFAVVSATSPIPEIPGSATSTYYSGGKCDLLKLGVCANVLNLVDVVVGSPPTLPCCSLIEGLVDLEAALCLCTAIRANILGIDLNVPVALSLVLNNCGKKVPSGFECY >KZN04130 pep chromosome:ASM162521v1:2:2482093:2483358:1 gene:DCAR_004967 transcript:KZN04130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGSMDGMMFKFTRPDTCTNSNSANDDDKLKDHAEYVAEADDEKNVNEERSVNQFARVTDLKQVCSSIHCPVPTRPRTPRICIGIITTDSEGVEYYRGAGEVIADENGLRKSRKRPRDENCDDQVIADGNYSRKSRKRSSDESSGVCDDGFEEQSLKKKMQQDCSVSQEEKSSKMKQQDCVVSGESSKKKPREYVLSEVEQDHLGSDEDYREENSRKRKQKRVEQKRTNPRKVVQVYNLQTDLPQSLKEYIEGLDLEAPPLFVAQKVLTATDVDPHQSRLLLPKSLIKAEFFSHFLTEAEKEMLTMEAVEVNVVDDKLRESYGLRLAKWKMTSSLEYALRRGWNMVVEQNNLEPLKLSEDTTDRIVGMKDGELWKTDVVVLAWCFRRESEIWLALHTQAMVELDILGVGENSEGKRYITG >KZN04683 pep chromosome:ASM162521v1:2:14691700:14692143:-1 gene:DCAR_005520 transcript:KZN04683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVVALITATLVAVVSAQGGDLQSCLFNCRLRVISCATGCRIGAGPFPFNIRLCLGQCSFQNFQCLQSCMNPRPPPQRPHHPHFHRPPKGPRRPHFHRPPKSPLEPHPIVPPPQTLKPQSLPSASAYFLPLPPPPSQLHHFEKGN >KZN06922 pep chromosome:ASM162521v1:2:36885970:36888400:-1 gene:DCAR_007759 transcript:KZN06922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITRNKAGRKLLNRLINPVFFRSTRLIETLAFEEVRSDKPYNHTALVLHGLLGSARNWRSFSRILASSLSTSTPPSEWRMVLADLRNHGKSAGLKELIAPHDIPNAANDLANLVKSQGWSWPDVVIGHSMGGKVTLQYAQSCARGDYGDSVKLPKQLWVLDSVPGTVNPEFSDGEVEKVLKTLQGLPSSVPSRKWLVNHMMTLGFSKSLSDWIGSNLKKTEEGETWAFDLEGAVEMFNSYRKTDYWPLLENPPEGMEIAIVRAENSDRWDPDVVQRLESLASRKVDGGGGKVSVHVLPKSGHWVHVDNPKGLLEIVTPKISSLD >KZN07156 pep chromosome:ASM162521v1:2:38725394:38727671:1 gene:DCAR_007993 transcript:KZN07156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQVYLHLSCIILVVAVRVVDVSGAGGEECRMTRCSHHGPEIRFPFWIKEKQQPEYCGYPGFQVFCDGGKTLMHLQYLANTSLTDTLFFLSKNVSIYSINYASQEVELYVLDGSQFTNNLKLFSASSTSLPSTPYFENHYPFDYGTICFSCSAGVKSRTPDMLTSLEGKTLPVYCLEDTHYSAFSGEKSLSSCTKIFSSRLPAPFLSQRRRYHYFPAGVVDALSISWLAPNCSKCEAKGEYCRRKSNASSNIEAADYSTICFPKGPAVRFSIKPITFITPAMKPTRYSYADIKKITYNFSDKLGQGGYGSVYKGQITKEIIVAVKVLNSDPKANGEDFINEVGTIGRIYHVNVVRLVGYCADGCNRALIYEFQPNNSLEKFTYSGKMQHDFLGWKKMQDIALGIARGIEYLHQGCAQQILHFDIKPHNILLDQNFNPKISDFGLAKLCSKDKSIVSMTMARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGARNNNAVKSTSETYFPEWIFQRLEEGGEVTIQIEKEDDSNIARRLTIVGLWCIGWHPVDRPSMKHVINMLESEECPKMPPNPFRSSNVRSFTNDLEVISEFE >KZN06329 pep chromosome:ASM162521v1:2:31979686:31983885:-1 gene:DCAR_007166 transcript:KZN06329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKCVAHVSPVRAPNTNNIGASSVYYHPSLSSSSSKGIILHDMDALSISNSKSSFQFEDGRASSYSPNMMGKKSCTPRNNLEGNGFHDSSSSCGQSKMCSRGHWRPAEDKKLRDLVALYGPQNWNLIAEKMEARSGKSCRLRWFNQLDPRINRGAFNEEEEERLMAAHRMYGNKWALIARFFPGRTDNAVKNHWHVAMARKYREQSSASRRGKLSLTAFCTKSMGFQEKPTSTLKKPSSQPFYHTTSSISRQSALHAPGLYPVSVMSSNEVQESQSKYHVEETSTAPPFIDFLGGNYNHLKLDNFTSLLSDCASASKRRRHTLQSQGNSAIPVFKENITGQVDGNNDDSSGKGQRSGSSRTPMSHSTNFSSIEQRSTISRTLPSNCPNYTSMGFSTPSSRITLSNITNLNSPGMNSTMHLNNDDVLMSESNGQSQPEGPSVNKLSRAGIGPFKSTGSNTPYHPRIEDVLVKGTAFKRPSESRIPLSNITNLNKEGNNTSLHCNNYDVHMSSSNVTSQTLATPVNKQSRTTKIRAPPRKKDPIISRKSKKFDAEKLAQCSSQLFGDIRNDSSVPIEETDDDFDLLNDDDDVEDNIFVPDEGIPTDSGIETAPNLLFATNVALIINNEKS >KZN07603 pep chromosome:ASM162521v1:2:42135689:42136312:1 gene:DCAR_008440 transcript:KZN07603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKIFVALALVSAIIAPALATDFVVGDDAGWKTNFDYKTWAADKEFHVGDKLIFNYPAGVHNVHKADLASFQSCTPAATSVALTTGSDVITLASEGKKWYLCSIASHCASGNMKLAITVLPQGESPAPAPEVSAATGFGGSTHMLWIGAAFATLMMMI >KZN05547 pep chromosome:ASM162521v1:2:24934158:24941281:-1 gene:DCAR_006384 transcript:KZN05547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSDSVADNISPRHIESSLGTSHHTNFKQSNEVSYLKFLVSNAEAGAVIGKGGSIISDLRLQSETFIQLSNNYEFFPGTANRIILVSGQIDCVIKAVELILEKFRNETYDAEGKDEPSSKVRLVVPYSCCGAIIGRRGSTIKSFVELSQAGIRISSPKDLYAGLSDRLVTVVGTISEQMRAIDLILLKLSGNPYYTQSMNVPFSYAGYNVVHYYAVGAKMFNNMYQSNYRQNKGLGALQEGEGNSVTIGVADEHIGLVLGRGGRNLRRISQISEARIKVSNRNDFISGTTDSC >KZN07689 pep chromosome:ASM162521v1:2:42756780:42758774:-1 gene:DCAR_008526 transcript:KZN07689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFRNQGFVSKPALTTFKNLSNTVHVGGAGTASGCADTTLRLDFPGSSVSAGLSASRGIKRKWSLSGGSLEQQIELPLCLGLGRCSSSSDSKGSSATACTTVSSAKETDEGSSMDLELDFSFHLGNEKISSPKNSVSSNLSAPVLQPKVNLDLSLSFKPSESDISSTHPCISPTQHVVENTLNIGAINHKDEGSASSHWKRRNYVPPSLFLEESLREHKPAQTTPDLSSSVVTTPKSSVTCTSGITQQRSSNTKTCQFQGCGKGARGASGLCIAHGGGRRCQRPGCHKGAEGRTAYCKAHGGGRRCEFLGCTKSAEGRTDYCIAHGGGRRCSQEGCSRAARGKSGLCIRHGGGKRCTRENCTKSAEGLSGLCISHGGGRRCQYPACTKGAQGSTMFCKAHGGGKRCTFEGCTKGAEGSTPYCKGHGGGKRCAFEGGGVCPKSVHGGTLFCVAHGGGKRCAVPECTKSARGRTDYCVRHGGGKRCKVEGCSKSAQGSTDFCKAHGGGKRCSWGHPGSDFGKDDSPCNAFARGKNGLCTSHSGLVQDKRVHGGATVGVMVHDSKMCEMTKGVINTEDTSADYMMMDNTVVPTGCIGSMWKEGSLPIGLGATSSRVVVPEGRVHGGGLIALLSGSSEQMLNANNNFVVGHPSEPGKFYMMPRKWV >KZN05800 pep chromosome:ASM162521v1:2:27342955:27343200:-1 gene:DCAR_006637 transcript:KZN05800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHKIVTVFLIMLVAFSFVVSIEAAEESKETFGSLNPFKKAPGKNFMPGPQKEEINTEAVGHMNPFKKDARKEQESPAE >KZN06432 pep chromosome:ASM162521v1:2:32873483:32877025:1 gene:DCAR_007269 transcript:KZN06432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWANTDEMALPSHHSLPSSSVDSGHARLNELGYKQELKRDLSVLSNFAFSFSIISVITGITTLYNTGLRFGGPVAIVYGWVVTGCFTMIVGLSMAEICSSYPTSGGLYYWSAKLAGPNWAPFASWITGWYNIVGQWAVTTSVNYSLAELIQVMILLSTGGKNGGGYEGSKYVVIGIHGGLLLVHAIINSLSISWLSFFGQLAAGWNILGVFVLMILIPSVATEKANAEFVFTHFNTENTDGVNGRLYIFLLGLLMSQYTLTGYDASAHMSEETRSADINGPRGIISSIGISIIVGWGYILGITFAVTDIPYLLDEGNDAGGYAIAEVFYQAFKSRYGSGVGGIICLGVVAVAIFFCAMSSVTSNSRMAYAFSRDGAMPLSSLWHKVNGQEVPLNAVWLSAFISFCMALTSLGSEVAFEAMVSIATIGLYIAYAIPIFLRVTLARRSFKAGPFNLGNYGVVVGWTAVLWVAFISVLFSLPVAYPVTRETLNYTPVAVGGLFILTVSYWILSARHWFKGPITNIDR >KZN07323 pep chromosome:ASM162521v1:2:40011085:40011474:-1 gene:DCAR_008160 transcript:KZN07323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEISAEGDVYSYGILLLEMFSGERPTSSRILEENANNLHDYVRKALPHNVVEIVDPRIMLGQEDDDTMKNKSVGNTCELSSTMKDCLALILEVGIVCSVERPSERIDINVALKKLHVAREKLLLHKE >KZN07417 pep chromosome:ASM162521v1:2:40712909:40715563:1 gene:DCAR_008254 transcript:KZN07417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMETLSSSELLDFIIYDTFSATPYTCNATLDTCSFQLQENHAQKFQHEEYIALAAAAAGNSLNNTSSSSMTLSVKPESTEEQRSLGVQGRKKRRRRPKVCKNKEEAETQRMTHITVERNRRKQMNEHLSVLRCLMPESYVQRGDQASIVGGAIDFVKELEHVLQSLEAKKILQSQAAGEKDPAEPTPFAQFFAFPQFTCSQTPNKHYTCSTTSGAIADIEVTLVETHASIRVLSRRRLRQLSRLIGGFQALYLTILHLNVTSLEPMVLYSISTKVEEGCHLKSSDDIAGAVHQMLIIIEGEEGEAIGSVAYAADLPSGS >KZN07666 pep chromosome:ASM162521v1:2:42550649:42555426:-1 gene:DCAR_008503 transcript:KZN07666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSAKDYDSISIDGHFITVASLKEKIFENKHLGKGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRGRARMPIITTPIITEQDKPVAENKFEDAQASKSSFSGVDPSVSKYVSIQNPDSFGGGRGFGRGMGGRNGGRGFGHFIQHCPTNGDPNFDIKRTKPPTGIPKDLAEFGMGFNGGGGGGPPIMSREEFEARKANIRHKRDLERGGGSREVSRDREFSRDMISHGDVPPGKSKSVCTSIPVFAYI >KZN05141 pep chromosome:ASM162521v1:2:20543518:20548664:-1 gene:DCAR_005978 transcript:KZN05141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQKVVMYGFFIVTCDSSKAAAVVAINHIAGAITDNTHRTDGEIYVHLNVKGRGAVTCDSSKAAAVVAINHIAGAITDNTHRTDGEIYVHLNVKGRGAGFIAELYYVLWWKKRLRNRAIQDPSEPTTEVSYMFCWRSPVGSSRKQELPISIKRPTNGLDQDLQMLKNYNGEEGIESELMRLHNLCGPPRFLFTIKEETKEDLESEDGRSRGEISFSRKGSRSKSLGELLACVETPYLTPLASPSLKSQSLECYNHHGFNPLFESSTDAEINKLRFSPPPKFKFLRDAEEKLMKKLMEAAERKCLYKVEEKDESLGSVVSESKSVQSHPTASSQVLPLSTSPPELKTV >KZN06044 pep chromosome:ASM162521v1:2:29557087:29558963:1 gene:DCAR_006881 transcript:KZN06044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPYAIFTIIATLPLLLLICFFALLVKIFTRKSIGNPAYPPVAGTVLSLLINFNRIYDYLTELAAKHQTFRLLAEDQSEIYTTEGRNIEHILKSSFDKYNKGQYLQGITNDLLGQGIFVVDGASWRQQRKLASVEFSTRMLRDFSCNIFRKNAVKLIRAVSQLSLSADIFDIQELLMRYSLDSIFEVGFGTDLNCMESDSAGSSFIKAFDDATSLIYWRYIDPTWKVQRLLNIGFEASLAKNVKYIHAFVQQVISKKRGQMEMQQNAGPRMCLGKDFAYRQMKIVSVGLLRYFRFKLADEKRAVTYKPTFTLHVDGGLHLLAISRPD >KZN06946 pep chromosome:ASM162521v1:2:37032788:37042999:-1 gene:DCAR_007783 transcript:KZN06946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLHVVDSFMSYSRTVSAEMRHNERRAEKLVVDILACEDVSIAQQVDRVLGCRVRGSELNPSNCGTEPAKRSVSSDNSSKTSEKITSYDPPLDGGATENSSKAAEDNLNQVDAAKIVKKGSESDKFSVYKRSMVRERSEGDCVDAMTSDIKVTDSNALNSENEGICAVSTEDLAITAGKKLMAGITNFISNNDEITKRLEMSLPEENADAQADMEITTNTVPETMKKDNLSSEFTPSNGAMVTYEFLVKWAGKSHLHNSWISESHLKVLSKRKLDNYKGKYGRSLMDISDERWKRPQRIIAFHSSTEGVDEVLVKWTALSYDECTWERIDEPVVAKSSNLIDLFKQFEHRTVENDATNNDMRRGKRGLKQGEVVPLTEQPEELRGGSLFPHQLEALNWLRKCWCKGRNVILADEMGLGKTVSAGAFLSSLYFEFKARLPCLVLVPLSTMPNWMAEFSLWAPNLNVVEYHGSAKARAMIREYEWHASNPRGSSKKTASYKFNVLLTTYEMVLCDSSHLRAVPWEVLVVDEGHRLKNSSSKLFSMLNTFKFQHRVLLTGTPLQNNIGEMYNLLHFLQPDMFPSLSSFEEKFHDLATAEKVEELKKLVAPHMLRRLKKDVMQNIPPKTERMIPVELTSVQAEYYRAMLTKNYQLLRNIGKGVAQQSMLNIVMQLRKVCNHPYLIQGTEPVSGTVEFLHEMRIKASAKLTVLHSMLKVLHKEGHRVLIFSQMTKLLDILEDYLNIEFGPGTFERVDGSVSVSDRQTAINRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKRKLMLDQLFVNKSGSQKEVEDILRWGTEELFNDSFSMSSKDAGENNGNKDEAGLDIEPTRRRRTGGLGDVYQDKCTEGSSKIVWDENAILKLLDRSNIQDNSFDNTEGDAENDMLGSVKSLEWNDEPAEDQEITVSHPVVNEDTCTQHSGKKEDEVVAVVEENEWDRLLRDRWEKYQNEEEATLGRGKRLRKAVSYREAYPPHPAEAPNEGASVEEVEPQREYTSAGRALKTKFAKLRARQKERLAQRHAINASDVVMESAGFKTSLVHSSSDPERDQMINLDQHRSDDMLIIDLEGNTRKNLEALKSKPDFKQRLSKLSKYKMKSHVDFPVKAGEQSPDIGPLGHHLQGTSYTNSLSNNILLPVLGLCAPNANQMELSERNTARSHSKQSRQGSKTGFPFDLAPSRETPNETDGKMHEHAPGKRKFSSTSIEAVQRGPKMSRPDTYMQHLPPFSQGNGSNSLETHKSVSENQEKLALPKIPFDQKLLPRYPFPATDARHSHPDVFPNLTLGSRAANISDSLQDFRAMPFLPNMKVSREDSLRYERQGIEVNPMLGLGQMPPTYSSFPENHRKVLESIMIRTGTGPSNYLKSKSIKDIWSEDELDFLWVGVRRHGRGNWDAMLRDPKLKFSKFKTAEDLFCRWEEEQHKILDIPVLPVQKSFKSTKSAKSPLFPEISAAMMSRALHGSRFAGPSKFQSHLTDMKLGFSNQQSGLPQFEHPEQHDSHRGQTVFHSKLKDVAGSSSKKELPHWLREAVGGPAKPEPGLPPSVSAIAESVRILYGDSDPIIPPFLGPGLPPVQPKDPRRRLKRKRRQREKAQTFKQFSEDIAGTSHNVPAENDGSASLLPTKSPFPFIPQLSDATSGLPSVDPNLNIPPISENVPNTSSVSACSDSQKKTEMTPSPEVFQLIASSVAPGLQETNTKSPETSVPPTDAVEQVMIPVVEEICEEKEDKDISLAGTSSARMEAKAEQTESRGPNETCSDPIQTKAEETSSEGTVSDHRSGEQES >KZN04304 pep chromosome:ASM162521v1:2:7300409:7301021:-1 gene:DCAR_005141 transcript:KZN04304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFGSTIPEWFTYRLRNHYIFCGHCEFLERKLSGLRSICEGLRLVDFDKLELLVNTYNGGRNFKLSLFDGTNVEIGLDLTAITSGHLVFTFLYPCYFNLEVNPSHMLTYCHDVDIPVQFKRLTELWKSKDTFHVYKGSLS >KZN07492 pep chromosome:ASM162521v1:2:41289121:41291443:-1 gene:DCAR_008329 transcript:KZN07492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDINQPTRPDEISFFSYLHSLARTPHRLRKRMLATWTPDQELNQLRLRSGANMKRKLMWYDLVALGVGGMLGAGVFVTTGRVARSNSGPSVFLSYIIAGVSALLSSLCYTEFSVQIPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVARSFTEYLCCVFGENDPNSWRVEVNGLVKGYNMLDFPAVALVILLTLCLCHSTKESSMINLVMTVFHVIFFGFIIIAGFCNGSSENLVKPGGLAPYGVKGVLDGAAIVYFSYIGYDSVSTLAEEIKNPSKSLPVGIVGSVLIVSVLYCLMALALCMMVPYDKISDSASFSIAFQTKGWKWAGNVVGAGASLGIIASLLVAMLGQARYLCVIGRARLVPSWFAKVHPSTGTPLNATITLGVCQATIALFTELNIVIEMISIGTLLVFYLVANALIYRRYVISNNNLPLHTLLYLFILSCISLGLSLLWKLNQQWWSMVLCGVSMIIVTAIFQYIVPCVPRSSEWSVPFMPWPAAISIFLNVFLMTTLPKLSYQRFGIWACLITLFYVLYGVHSTYQGEDLEEASVDGVTLNSSNQTKVEIQVH >KZN06033 pep chromosome:ASM162521v1:2:29452457:29453459:-1 gene:DCAR_006870 transcript:KZN06033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKEELEKNKQIVQLVSDMATIRISDVKEKGKQFRNREKELMSNKIKVMEKIEDRLQHVEEEAIQEEIESADKVNKEVNLVNEEYKSLVMNCVKKEKEYKETIEALESFAESIVADTEKAEPELELLEITSGSVVTEENSEKDLKGAEEKDEVISSKYEAKQRELISSDENEVVAQDDKHIEKADQPGTLRTSKRTGKDPFWMKDYVVEKGRGKGKK >KZN03969 pep chromosome:ASM162521v1:2:69780:70213:1 gene:DCAR_004831 transcript:KZN03969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSKLSCLSWNKYTKSHLASSDYEGIVTVWDVNTRQSVMEYEEHEKRAWSVDFSKTERGVKCLCLGVMTAR >KZN04238 pep chromosome:ASM162521v1:2:5066002:5067111:1 gene:DCAR_005120 transcript:KZN04238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEQHGDLLNMEFWEGLPEEMRKLAVELHQTKCRLNETIIVCHSEPGAWYPPLFETLPCPEIGYGKYRIVIGRTMFETDRVNAHHVERCNRMDYVWVPTDFHVTTFVQSGVDPSKVVKIVQPVDWKFFDPVKYKPFNLPSKGTLVLGSRPAKKSDNHFVFLSVFKWEYRKGWDVLLRSYLKEFSEADGVSLYLLTNPYYTESDFGNKILEFVAGSDLKRPAHGWAPVYVIDSHIAQIDFPRIYKAADAFVLPSRGEGWGRPIVEAMAMSLPVIITNWSGPTEYMTKENSYPLPVERMSDVMEGPFQGHLWAEPSADKLQNLMRHVMSNPEEAKAKGRQAREDMINRYSPEIVAGIVRDHLQQIFEKTL >KZN07497 pep chromosome:ASM162521v1:2:41338589:41341941:-1 gene:DCAR_008334 transcript:KZN07497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLIEFGRRAMFYARVLSGYEELRIRSYRLQIQQHLAKAEESKLALKKIPEQVILSEVRRMVEEMQSLNKKLEETENAIDDYFSPLDKEAEMIVKTQLEGEEKPIKEMVKTVQRHAMIEKEEAEKFANVRCANATQNI >KZN04170 pep chromosome:ASM162521v1:2:2922035:2922549:1 gene:DCAR_005007 transcript:KZN04170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAFEVEYLPYDLVKPQVSLKDCKPLNDTPVCITPDVMIIGSKQGTNKAKDELDKIKEEVNNLKEINLFIMARLDQLENKSKEDSTVGKEEGIDDKIDRLFNEEMVTEMAGNGNSEKVELPSLNDLANDLGFVAVEEPEKA >KZN04027 pep chromosome:ASM162521v1:2:804279:806902:-1 gene:DCAR_004825 transcript:KZN04027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSGYFYPCFHYISGNDGAADWVYVGDQDSLQLVSSPPPEITNYAKDVLTAELKQKIIKQAEYQFSAMSLLANESLIKQINKDPEGYVPISFIASTKKIKSLVSNSHSLAQALRSSSKLVVSSDGKKVKRKHPFTEKDKEDLQSRTIVVENLPEDHSHQNLEKIFNVVGSVKTIRICHPPDVNSPRPKGNIIISNKLHALVEYETPELAERAVDKLNDERNWRKGLRVTLLLRRSPKSVLKNRKSEFDGYFDDDCDEDDTPGQGIPENSSQPISLESAVDNLAEESSAAAKKGWARGRGKSRLRAPIQTGRGSLLSSPMSSSSPLCEGSSTKQATKGPRMPDGTRGFTLGRGKPICTSSAAISKE >KZN06448 pep chromosome:ASM162521v1:2:32967728:32969038:1 gene:DCAR_007285 transcript:KZN06448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLDHFSDLCSTSSTRKSKRKPMQTVDIKVKMDCDGCERRVKNSVSSMKGVKSVDVIRKQSRLTVTGYVEPNKVLKKVQSTGKRAEFWPYVPYNLVAQPYAPQAYDKKAPPGYVKKVVQSPNAPVERYTTIFSDDNPNACSVM >KZN04570 pep chromosome:ASM162521v1:2:12879989:12883263:1 gene:DCAR_005407 transcript:KZN04570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDDKSGLKRGNARRKARTKDRGSDESDEDFIISEEEERESDEYCSSLDPDESEEALGEFLSEEEEVTVKVKKPSRCKTRKSGSNKRRKGFRKTQKKRIRFSYKQDDDDEFTEGDDDVEFMPDETDYVDSEDETPWSKVESRCPLCKQRFVTVSKPAWSDSGYDLRTVSIQIPERDQVYQPSEEELRGYLDPYDGVICTECLQGGDDALMLLCDICDSPAHTYCVGLGREVPSGDWFCEGCRLGASSAPHSHGLNSVLGQRESNNLSGMSSPVHNVGELDLNSLYVPETPLTQQTGSFPIPRRFGSESHVASPTSGSGAFTVFDRRRIQRQIHNLLNHRRINSSTQPSGMPSTTSGIRLFGSQIDGGTGLAIQGGLTPETRAPQFASRDQRLQVSTTRPLPNMDASSARSFQLSELGRQNSEHVGGSMSFNPFDPRLAYDHLHLCSSRSNIDSDGPSHAFREELEHKE >KZN06868 pep chromosome:ASM162521v1:2:36482959:36485712:-1 gene:DCAR_007705 transcript:KZN06868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQLELGKPKQRRPVKQVNGIFWILLLNIGLFVADHLLQVQGVKALYLYHNWPTWYQFVTATFCHANWNHLSSNLFFLYIFGKLVEEEEGNFALWLSYILTGAGANLVSWLILPRNAVSVGASGAVFGLFAISVLVKMSWDWRKILEVLILGQFVIERVMEAAQASTSMSGSFRGSSAFQNVNHIAHLSGALIGVALVWLISRFPADPPDPTSKTKHLQ >KZN05392 pep chromosome:ASM162521v1:2:23351293:23352792:-1 gene:DCAR_006229 transcript:KZN05392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQPKPLKDLNGKLCQICGDDVGLSAAGDPFVACNECAFPVCRPCYEYERREGNQSCPQCKTRYKRLKGCPRVDGDEDEDDVDDLDNEFN >KZN05142 pep chromosome:ASM162521v1:2:20549608:20549904:-1 gene:DCAR_005979 transcript:KZN05142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTIKDEKISSNLDPADKKKIEDAIEQAIQWLDGNQLAEVDEFEDKMKELEGICNPIIAKMYQGGAGGMGGMGATMDEDGPAGGAGAGAGPKIEEVD >KZN07676 pep chromosome:ASM162521v1:2:42618436:42618983:1 gene:DCAR_008513 transcript:KZN07676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSLEDCQSISEEPEMPRGAGSVRNATISIILLGESAIDKIVGQISRPTPRSPLQNL >KZN04045 pep chromosome:ASM162521v1:2:1172227:1179563:-1 gene:DCAR_004882 transcript:KZN04045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIINQDNKYHKFSGTKFQLHPRVLKATVKASSEKNQSGQNSDDATSEDKVLSQQKDGHNAALWRNWDAFCRFTRPYTVIGTISGAASISLLPLTSARDLSPAFFVGLVKCLAMGIMFQSPPLFFGNLGALLIGTAYSVDLPLLRWKTKPFLAAFSIVGLPLTSHLGVFYHIQDIPDVDGDQEFGNRTFCVSLGKKKVFSISLTILLIAYGFAVVIGASSSIVLNKLVSVIGHCTIASFLWLRASSLNLNDNASVQSFYMLLWKIVGITSVSLLPLVSVGDLSPAFFAGLLKVMIPIVCMNIYVVGLNQLYDVEIDKVNKPDLPIASGEYSMEMGKAIVSAFGLMSIIMGFMFQSPPVLFCLLICFFFGTAYSIDEQESLQQYVLGRPVIFSRSLAFAIICMTLFVTVIALFKDIPDVEGDRDHGIQTISVTLGKKRVFWLCITILLIAYGSAVVIGASSSFLLSKLVTVTGHCILASILWLRANSVNLESNTSITSFYMFIWKSFLTPRFLQLFYAEYLLIPFVR >KZN06467 pep chromosome:ASM162521v1:2:33141554:33142953:1 gene:DCAR_007304 transcript:KZN06467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPTLNRVLVEKIVPPSKTTAGILLPEKSSKLNSAKVVAVGPGDIDKSGNKIPVSVKEGDTVLLPEYGGTQVKLGDKEYHLFRDDDILGTLHD >KZN07152 pep chromosome:ASM162521v1:2:38703534:38708060:1 gene:DCAR_007989 transcript:KZN07152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEEEEEKRAILHTPTWALATICLILIAVSILIEYSIHLLAKYLTKKRRRSLFKALGNIKSELMLMGFISLFLTVTEEHIPHICIQKSLAFHFLPCKNNDSHDEIQTEEASKCEEQDKMSLITRDGVQQIQLLIFSVAFFHILSSFLTFSLGMAKMKRWEIWEAETRTFEYKFSNDPRRFQFTHQTSFGKRHLKFWSEYRFLRLPASFFRQFFQSVSKVDYFILRHGFIMAHFEEGSEFDFQKNLQRALDKDFGVVVGIRLWTWMFAVLFIFFNADEFYNDLWLPFVPLVNSFQVAFFTWSSYEFGLKSCFHPKRIDIAIRVVTGVVVHILCGYVTLPLYALVTQMGTSMRKSVFPEGVEDGLKKWRMKAKKNVARRSATNSALTSLNASPQTSLHPLPSFTSTRGHSLSLKTDDPPMDDGEIVVVESAEGVGREENGDHKRLGSFEFRRL >KZN04712 pep chromosome:ASM162521v1:2:14975582:14986226:-1 gene:DCAR_005549 transcript:KZN04712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFRRKRKWDQPAESFISAVPGVIPLGNVGSPMLQAVSAINTTQQLLTPSLQQHAVTLVQKINQTKLQEELIAREIVINDAESSIRNKLTKRQTQEEIQRCTGSVVITRGKYRPPNGPTDGEKPLYLHISAGAHLETTADRIKAVDQAAAMVDEMLKQDSLNSGTKVFVRSIYATQPLSTRVYLGFEPDPSLNIVARIRGPNDQYVNHIVNETGATVLLRGRGSGNPESVQGEGQQPLHLLLSCSNSKGLEHAKLLAENLLDTICAECGASRVSSCKVYGAVPPPQQLLAAVPPTISTASFVPVPVSPSATVPVGMTFLSQGCIPQPASFMSCGKIQPNIGSYPQPSVSGCTSYNGYGGIYPQATPFHQVALALKNSASPMTSKVSPVAAVASPTSFSSKDHSSEKERRQKRKFQELPANSMGPAKPHQVFCFQLDPNFSKQRLSSNFDVRNVSNMPSPKKLTQQAANGLPPPAPKSMPPPPPPSRSMMPPPTPPKSGTSPIMNIPEDNHDQDKTTSKVVPDTLIQLMEYGDDDEDEDDDFEITTADTMKANSNAQIASKPFWAV >KZN07667 pep chromosome:ASM162521v1:2:42561839:42562009:-1 gene:DCAR_008504 transcript:KZN07667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLWCTGTVWYACLRFKHWGAECHINDKDLSGSDSVEMEEELLWLVIVDHNLMQKW >KZN06564 pep chromosome:ASM162521v1:2:34038947:34040837:-1 gene:DCAR_007401 transcript:KZN06564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSRRSRYSTSPSSYRRYNRSVSSSRSRSRSLSSTRSRSYDSSEVENPGNNLYVTGLSPRVTKRDIEKHFSTEGKVEDVHLVVDPWSRESRGFGFVTMSSVEEAERCIKYLDRSVLEGRVIMVEKAKRRRGRTPTPGRYLGLREARGRRRSPSYSPDRRSFSSSYSSERDRSRSRSYSPNHYSRGRRSHSSYQSRRRGSHSPYYRRRRGSVSYSPSRSPYSRSPISRRGCYRDYSPDDRYYRRSRHYSPDDRYYRRSRHYSPDDRYYGRSRYRSSSRSISPRGYGYSRRRYSRSISPPHSFRRYSPSVSTSMSPPRRGRSYSYSPGYSQDSYPSGSRSLSPSYASSYSRSPSP >KZN05834 pep chromosome:ASM162521v1:2:27619776:27625305:1 gene:DCAR_006671 transcript:KZN05834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSESQPLSSQNIQKSPELSPESSDRPAKLAKLIDEDIELTDIEETMNQRPGIQRYLLAVEYSGTRFCGAQQQPTARTVVGVLQEAFRKFIGQSVSVTCSSRTDAGVHALSNTFHVDVERISKRKPGELLPPHEPGVVIKAVNHFLQKNEGDITVLDARCVSSDFHARYRAQERTYFYRLLSGPQPVSTFEKDRAWHVPEALDLSAMQKACKILAGHHDFSSFRSAACEAKSPIRTLDELSVVEVVSAPYFPPIMEKEQHKLLDSLEFSESTKGIACLSSAPEENREEDSNSESTQQFGMRRKHRCFVVTARSQSFLYHQVRLLVGTLKSVGTGDLTIPDVERILKAKTVTAASPMAPACGLYLARVKYNLP >KZN04132 pep chromosome:ASM162521v1:2:2492204:2495294:-1 gene:DCAR_004969 transcript:KZN04132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSIVVGRLVDLLIEKAQILHEVRDEIQRVVTELMRMKTFLPDADSRIDVERISILLRDVRELAYDAEHAVETDDSYPLISICGMGGLGKTTLAQKIYNHSAIKTHFAGLAWVSISQKWQTDRVLQRILICLAPENRNSILDMDTDELVEYMLQIQERKKCLIVLDDIWSTDAWDSLKAAFTSEKSVSKLMLTSRNVKVAEHVNPEGFIHQPECLSAEQSWELLKLKALRTGDCLDNTRDVERMEEIGREMVEYCAGLPLAIIILGGILVTKPSLIEWEKVYRDGKSSLKAGKGLGEAYQREILSFLVWSYNDLPSQLKPCFLYLSKFGEDNWIKSETLYHLWIAEGLVLSSDKREGETMIQVAESYMGELVHRSMVQERFNDVESALTKFKACSLHDLMRDMSLIQAKAEDFFDDTHFQSGNEFHLKSTAESRSAYTRLVIHLDEEYSSKKANSYFSKKGNKKRYRSMLFLGDFGPESLPRALGSHNANFRFLKVFSLENYTNFCGLFTHINFGRALGALVYLRYLSLRDTNLLVFPSLKNLVLLQTLNLDTYKEIYVLPWLSRDVLVKLDCLRHLYLPKFKVQFLGSESKFRFNGLSKLETLENFDTSWCEVKDLRELINLRKLRLTVADGFDILEEMMKNLGDIASSTSSCLRDTFVGKEMVCSATGFPKLVSLSLDKLENLERWRVEKGSMPVLSKLFIERCEKLEELPEGLMFLNSLRGLDIKGMPQDFNDRIISEDGEEGPDFHKISHVPRVGIDGEQYY >KZN06477 pep chromosome:ASM162521v1:2:33213840:33217237:1 gene:DCAR_007314 transcript:KZN06477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNTFASMLNRNTNKFTLILVYALLEWTLIALLLLNSLLSYLIVKFAIYFGLKPPCFWCSRFDHFLQPEKGNNFNRDLLCEAHANEVSVLGYCRNHQKLVACQNMCEDCSSSRPVFHGVSKNLNVFSWVEEIEMIHDDAEKVFDDGVLSFKCSCCDVSLEKQLNSPHILDLECTKKENLMPDEEGNDSVTKRDDFSDGSGSDILVDHFEDDQGVKGKNEEHMIFAFDGGYSLIEGKDDDSDSSVSVSKFGLEELKGGDDDEVEMLLQEESDFFEEQDLSKVMEDLISDEIMSQACRKENVSMKIHPEHLEFFIDFSGHELVPVELIDLNTEENELIKAVENQKYSEFHEEDGSKCEVVARVEASVAEETKFAVFESMEMKETENSLVFYAKENYSENQNVDRSAVMEETGNSMLFHAKLTSPKYGSDDRFMDFEETGNSLVFHAEKSYSDDNITLATRNSFQDIINLQGILTIEGLDLESSYTPTDCEKGSHVQSKESELDNFVGAEISAPDAEDIVSYVQTVTEEESHIIVNETEVEVSIGTEIPDLESADETQAQDTICFTEEPSKRSANFHGTEHEHGMEIKLQQEETLRLGNLAIEISENMTANQLSVSTESNGTDEEKICDTPTSLDSFHHLHKKLLLHDGKESGTEESLDGSATDFESGDGVMTVECLTSALRAEKKALHVVYAELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMLKREREKQQLERELDLYQKKVMDYEAKEKMRMLKRSKDGSARSGFSSTSFSNGEDSDGISMDLNHEVKEEDGFYSHQVSENHNTPIDAVQHLGDSFGEFEEERMLILEQLKVLEDKLFTLSEDEHRYEDVRPIKDFVHISGNHLDENSDSSGEELNGLANGCSKPTNGIHHQEGRFMGANAKRLLPLFDAIAAENANGELSGDENVFESVVTRFELEERRVAIEEEVDQLYARMHALETDKEFLKHCLSSLKKGDKGMDLLQEILQHLRDLRNMELRVKDLSDGTLV >KZN05226 pep chromosome:ASM162521v1:2:21414285:21415448:-1 gene:DCAR_006063 transcript:KZN05226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSTPVVAETKERIAANLANFAYDPYNYTYLRQLNVLELFLDCITEPNEKLVEFGVGGICNSCVDPSNAAVVAQCNGIPLIIKCLSSPVRNTVNYAIAALYYICDESNQENVLKPEVVDVVRRYAAASSISVSFRNLAQAFLDKHVR >KZN06521 pep chromosome:ASM162521v1:2:33694393:33695109:-1 gene:DCAR_007358 transcript:KZN06521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSLPSPPPLNQTSNNPLTHFIHNVLSLDGNIMLAAIISLLLVILFVLLLHVYAKWFLGQARYNHTTRRTSSSSIPGVLGSRFHHFHTFTIDTTVSDPTPAKGLDPSVISSIPLFVSREDKHGLECVICLTVFEEREVGRKLPKCGHAFHVECIDMWLHSHSTCPICRASIVGDDGKIIETSGSSNAGSEDEDHQVEGSESVVEIIIENASTDHNRLNVIAASSSSLLNIKGVLERG >KZN06989 pep chromosome:ASM162521v1:2:37398982:37400583:1 gene:DCAR_007826 transcript:KZN06989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVLLFATFLVLALQFTALIAASTTIQNSFRQCLSRNSDIPIPFYTNFYTRNNASFTSVLASTAQNLRFTIPSVPKPEIIFEPLNVSHVQAAVICSKEVGIQLRVRSGGHDYEGLSYTSDMKRPFLLLDLSKLKSIVVDIDDNSAWVEAGATIGQLYYRIAEKSKIHGYPAGLCTSVGIGGYITGGGYGPLMRKYGLGADNVVDAHVVDANGTLLDRESMGRDLFWAIRGGGGGSFGIIISWKIKLVMVPSTVTVFNIVKTLDKEKTKLLYKYQEIAHKVDEDLLLSVNFLVVDAAIKGEKTVQTSYNALFLGGTDRLLKVMEKSFPELGLKQEDCMEMSWFESMLFISGLNGYNTSLEAVIEGRSPSRVSFKAKSDYVQKPIPKTGLDGLLKRFLQEDTPLMIWTPYGGKMSEIPESTIPFPHRKGNRFMIQYLTGWFADDKDVEAKHFGWIHDVYSYMERYVSRSPRAAYVNYRDLDLGMNKDRSTSFLEASSWGTRYFKNNFKRLTSIKSKVDPDNFFYHEQSIPRLM >KZN04955 pep chromosome:ASM162521v1:2:18329410:18335255:1 gene:DCAR_005792 transcript:KZN04955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTGSSSLWMKYECCMQMQVPITSEYVRNSRGVWLFTCRWLPLSPPKALVFLCHGCGTRLAKYGYAVVGIDYEGHGQSRGPRCYIKKFENIVNDCSDFFKSVCAQKEYKDKKRFLYGESMGGAVALLLHKKDPAFWHGAVLVAPMCKISEKVKPHPVVVSMLTTLEDVIPKWKIVPTKDVIDAAFKDPVKREEIRGNRLIYQDKPRLKTALEMLRTSMDLEDSLSQGTIPFFVLHGEADTVTDPDVSRALYELASSVDKTIKLYPGMWHGLTAGETDNNIETVFKDITAWLDKRSGDDLPAFLADNLQEGSGHENGITAKSPRSIARQKSQKKRLGGIYLCGLKGSQMHHNSPVTIPFFVLHGEADTVTDPDVSRALYELASSVDKTIKLYPGMWHGLTAGETDNNIETVFKDITAWLDKRSGDDLPAFLADNLQEGSGHENGITAKSPRSIARQKSQKKRLGGIYLCGLKGSQMHHNSPVQI >KZN04856 pep chromosome:ASM162521v1:2:17333642:17334891:-1 gene:DCAR_005693 transcript:KZN04856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMESPAATNPSSRFDVENVPTNYTFPEKDRPGELPPVCHTLPVIDLGKALDAETVNLIFKASQEFGFFQVINHGVSEKILGDTTDVLKEFFASPEKEGSNSCGKSNWVYDGSVNFDVNGVHLWRENLKHPCNPLEECMQQWPHHPTRYRDVVSTYLTEVGKLGKRILDLICQGLGLEKGYFDGFSGVELLSANSYPPCPDPSLTLGILRHYDPSLITILYQGDVPGLQIMKDGTWITVGAMSNAFVVNIGNQLEIISNGILKSVEHRVVNSSEARLSIAAFINPSPSYIVEPAQALVTELNPARFKPKSYKEFVYSSGALGTHTKTLQRE >KZN05778 pep chromosome:ASM162521v1:2:27152911:27153828:1 gene:DCAR_006615 transcript:KZN05778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQESTTDRRRLKIIAGADSFGCDLKDALVAHLRSLNIDVHDLGTDKYYSVGSQIGSLVSSAAADTSVETRGLLACGTGVGVSIFANKFPGVYAATCTSLADAQNARSINNSNVLSLSGKSTSPELAIEILSTWLNTPFKSPCPASNSEPWPNEIESFLDNSVTEMSKIGSESDKLGDSCAICDLVKSREFADVEIMPGGSMKIVRETPTSAIVRFKAGSVEPAHHHTFGHDLIVMKGSKRVWNLSKKEKYDLGVGDFLFTPAGDVHRVKYFEDTEFFIRWDGHWDIFLDEDIATANAALEKEV >KZN04372 pep chromosome:ASM162521v1:2:9645979:9648087:-1 gene:DCAR_005209 transcript:KZN04372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPCLPHFKQDDLFDEWELRICILVSLFLQIFLNVAGTFRRLASHKWIVIFLWLAYLPAEILATFGLGLIVSRQSLFAKYCNEDEGTSCYNDHLHIYWAPFLLVHLGGPDIITAFAPEDNELWLRHLFYLASQVIAVAYAFYQSLQTNYQLRIPTLLMLFCGIIKCAERTRALHYGSAKSFRNSLLSKSDNPRGESKLINATGARISSLQVLQYAFVYFTAFKGLVVDLNLSNHERNQSRDFFLATSYKNAFRLVEVELNYLYDVLFTKVPVLQNKFGYWCRILSFLAVVSSLALFHIVVNRNFYHLLDVVITYILLIGAIVLDMISFFMLLFCDWTVVKLKPLSAANPDEKLWKHRFADWILHVNNTRSEFLDWLLGFVGDRDRHASEFTDSRWAQSLSTFNLIYYCLHKSSKKREKLYDYFGLISFLNGIWYVKPRPLTSYMIGFIYNELRMKSEIAGSLVKAKEICSSKGEWILEHARQPNLLQFVSNFNFDEILIIWHIGTELCHNDSQDQLTNLEQRDTAKQLSDYMLYLMVMKPDMMSSVASTGDIKFRDTCTEVSKLIDIHELPDLVKKKFCLFGRDSEEEKETLQRNACEIIFNVNREIKPATMQTNRNKLLLFKASALAKELSKVSPSDEKWSIISKLWVELLSYAAIHIRSCAHAEQLSRGGELITIVWVLMAHFGLGNQFEIIPGTEADS >KZN04350 pep chromosome:ASM162521v1:2:8887829:8890630:1 gene:DCAR_005187 transcript:KZN04350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLITCFLAATVLLIVLQNHLVASLSRNLQKIALFQFKQSLTISAPTYCSYYSYLDSINVYSIPSHPRTMNWNMSSDYCTWEGVSCEHATGDVVGLDLSCSQLVGAILPNNTLFQLSHLRFLNLSWNDFSLSNQFPQEFGFFAKGLSHLNLSNTQFSGTVPSDISHLYKLVSLDLSELRVKLEYQVFRLLLQNLTQLSVLKLRSVDISSVLPMNLFANLRVLDLGGTGLYGALPEEVFHLPNLEVLDINNGNINLNVILPKVKWGCSGSLQHLIFVGINLSRGMPDSIALLESLATLVLCNCNMSGSIPKSIGNLRRLTKLDLSLNHLNSQIPNGLAYTNLRVLDLSYNNLSGLLPTSLFELTSLKTLEISCNGLKGQLHEFDSSKSQLEHFSCKNNLLYGQIPQLFSGLVNLSKLDLSSNNFSGILDIEMFSPLKYLSTLSLSYNNISLRSTGMATLPPKLKYLYLSSCNMKDFSRVSKDAENIKYVDLSYNQIDGVIPHWIGLVGRDSLSYLNLSHNSIQGGLEGLPWNVLNILDLRSNLLNGSLPSLICNTSSLEILILSYNNLSGVLPICSTDVSRLIVFDVRMNNIQGSLPSNLTNFRYLNSIHLHGNKLEGRIPSSFAKFVFLEVFDLGSNQITDTFPQCLEALPNLQVLVLKSNKFYGLINKSSSSDHPFPSLRIIDLSNNEFSGSLPAMYFKNFNAMMDGEPYKIRPSYMGDDYYSESISLVIKGVEIEFLRIINVLTTIDFSNNNFEGKIVDYIGNLVSLRFLNISHNYLTGHIPSSIGKLSMLESLDLSSNQLDGDIPQQLTSLYSCAFLNLSCNLLRGRIPKGAQLDTFENSSYAGNSELCGHPLSRKCDNYDGTHEEKDEDDDYFLTGFTWEAVVIGYGCGVVPAFIIGYLMLLAGKPKFAGIIAKELGLKIKRLDIRMR >KZN04566 pep chromosome:ASM162521v1:2:12853435:12855213:-1 gene:DCAR_005403 transcript:KZN04566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRANRCFVQEDAKRAPKLACCPSSGASTKQIDSGPASATDGHEYRVPGFVPLNNNPSYTSVPPDTKWWLQLQPNYGSQRGSSNEQLDNLEAEMNICGAGFASLNIKSCQVHSKNVGALVTKNSNLEPSCATEKMDHVDSASFKTSDVSCFDSESPFSVSGKSDFWWKTADSVELASLVAQRSIDLVENCDLPQPQTIHMKRGFPQFQTTHMKRDLSQPQKKNLKSEAYACVESSDHARSIKLSGDLKPQSADLNIPTGHKSGSSTSGCAFSNGSVEGSSKYGIDKPISLVGARGSRYNATKIGQTESQISAKDPCKAQILEALRHSQTRAREAEMAAKQAYAEKEHVVKLIFRQASQLFVYKQWLQLLQLENFYYQIKSNKNHPLSSLLPEVLPWTPLKIKKICKKGNRSKQGRPGCNISQYTLMFALGMSLVGAGLFLGWTIGWMLLPAL >KZN07015 pep chromosome:ASM162521v1:2:37681032:37682752:-1 gene:DCAR_007852 transcript:KZN07015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPEKNPKPTVTTELVDQRNWLDLPEDVTANILKRVGVFEILESAQKVCTAWRGICKDPAMWRVIDMENTQELATPCELEKMCMNAIDRSQGQLVDLNIEHFPTIELIEFLAQGQRSSQLRRLQITHCYVSLYKSWSDFFKKAPLLEEISLSFTIISEETVADISRYSPMLKSFTYNNYGWGFSIEMDRADDFVLAVGKGMSQLLHLQLTGSEMSNKGLQAILDGCPNLQSLDLRGCFDISLDGTCGKLCKERIKNLRLPGDSTAGLKVAPFDSEDDYDSEDDYDSDLCPDMCFPVSSDSDYAVDLVDYYSL >KZN04534 pep chromosome:ASM162521v1:2:12326343:12326780:-1 gene:DCAR_005371 transcript:KZN04534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVMRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGITGGLDEEDENAADDYIEFEDEDIDKI >KZN04773 pep chromosome:ASM162521v1:2:15602514:15604728:-1 gene:DCAR_005610 transcript:KZN04773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVWASSAANALRLSSAPPFPLSRCFSTVLDGLKYATSHEWVKHEGSVATIGITEHAQDHLGEVVFVELPEPGGAVTQASGFGAVESVKATSDVNSPISGEIVEVNTKLTETPGLINSSPYENGWMIKVKPSNPSELESLMGPKEYTKFCEEEDAAH >KZN07133 pep chromosome:ASM162521v1:2:38578757:38579237:-1 gene:DCAR_007970 transcript:KZN07133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDDDGCECRPLGFLLGLPFAFVSLILSLIGVVIWIIGLLLTCICPCCLCVTVIVELALALIKAPFSIMKWFTKKIPC >KZN06362 pep chromosome:ASM162521v1:2:32187603:32188188:1 gene:DCAR_007199 transcript:KZN06362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLNYLFGSGEAPKPSTKDAEASLNDAQAETHSPKPSTPPKSVDVSKQIPAGINSTATSGQNTGNFLTDRPSTKVHSAPGGGSSLGYLFGGNDK >KZN07828 pep chromosome:ASM162521v1:2:43851202:43854099:1 gene:DCAR_008665 transcript:KZN07828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNQQTLEFLSKAFLDTLSPLPEPRRRAESSLSDASDSPNYGLAVLRLVAEPSVDDPIRQAAAVNFKNHLKSRWTNSIPDPEKEQIKSIIVPVMLSSTPRIQSQLSEALSVIGKHDFPKSWPALLPDLRLSLQNASSTSDYVSVNGVLATVNSLFKKFRYEYKSNDLLLDLKYCLDNFAETLWQIFERTSGLISSVLASGGQPATLKPLIESQRLCCRIFYSLNFQDLPEFFEDTMDKWMHEFKNYLMVKYPTLEDASTDGVEIVDGLRAAICDNISHYMEKEEDLFKKYLSGFVDAVWSLLVVASASSSRDRLTVTAIKFLTTVSTSVHHALFARDDILQQICQSIVLPNVMLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIASQYKEKVSEMVSIQIQACLTSFAQNPATNWKHKDCAIYLVVSLATKKAGGNSVSTDLVEVENFFASVIIPELRSQDINGFPMLKAGALKFFTMFRNQISKPTAMTLFPDVVRFLNSDSNVVHSYAASCVEKLLLVKEDGARARYTSADIGPYLLELMTNLFSALQKQESEENQYVMKCIMRVLGVADISIDVASPCINGLTSILNRVCENPKNPVFNHYIFEAVAVLVRRACEKNSSLVSTFEQSLFPSLQMILAKDVAEFFPYAFQLLSQLVELNSPPIPPHYMQIFDILLLPDSWKKPGNVPALVRLLQSFLQKAPHELNREGRLNQVLEIFNKLVTAPRSDEQGFYVLNTIIENLGYDVIAPYMSSIWTCLFWRLQNNKTTKFVKSFVIFMSLFLVKHGPQNLVTSVNAVQAGIFLMIIEQIWIPTLKLITGSIELKLAAVASTRLICESPVFLDPSAGRHWGKMLDSIVTLLSRPEQDRVEEELEVPDFGETVGYNATFVHLYNAGKKEEDPIKDIKDPKQYLVAVLANISVQSPGIYPQLISENLDQANQAALLQFCGSYNCSII >KZN04430 pep chromosome:ASM162521v1:2:10656264:10657944:1 gene:DCAR_005267 transcript:KZN04430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFSVFSVFLVSILVAHCTSQVSAADTCKFPAIFNFGDANSDTGAFAAWFFGNPPFFGQSFFGGSAGRVSDGRLLIDFMATKLGLPFLHPYMDSLGADFAHGANFAEILSTIALPPANNIIPGVRPPRGLNPINLDIQVAQFAQFINRSQTIRQRGGVFKKFMPKAKYFSQALYTIDMGQIDITQLFLNNKTDEEIKAAVPALIASLSSNIKIIYSLGARSFWIHNLGPNGCLPILLTLAPVPDSQLDSAGCAKRYNDLTQYFNTELKKGVDQLRKDLPLAAFTYVDVYTAKYSLYQEPAKYGFTHPLETCCGFGGRYNYGEFSLCGSTITVNGTQRTVGPCANPAEYINYEGQTYTQAADQITFNKIASGKLSDPPNSLKKACRRA >KZN05698 pep chromosome:ASM162521v1:2:26424160:26424842:1 gene:DCAR_006535 transcript:KZN05698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASDDAEAEYAAFKQKVKKTVYIDNLSPLATDAVVKTALGQFGNVLNVQFIPNYIGPSNMPAAALVEMENERQAMKIIEETADFPFMISGMPRPVRALPAEMEMFDERPRRPGRTIQCQWLEEKDPDFEVAQEIKYLVKKHATEASHLLKQQLAEEEKLHNKHGETLKANHKKYELIDRVLADGSARKLGNCYNIKLQDN >KZN05901 pep chromosome:ASM162521v1:2:28173197:28176116:1 gene:DCAR_006738 transcript:KZN05901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQAVKSNLVLILDFGSQYTHLITRRIRSLSVFSLCISGTSSLKSITDLNPSVIILSGGPHSVHAPNAPSFPDGFVEYVESSGVFVLGICYGLQLLVEKLGGEVRVGEKQEYGRMEIEVLKDSGLFDCRKTGGKQVVWMSHGDEAVRLPNGFQVVARSQQGAVAAVENPERRFYGLQYHPEVTHSPEGMETLRQFLFNVCGVTAGWTMTDVMEEEIKVIKEMVGAEDHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRFKERERVMETFEKDLHLPVTCVDAVEQFLSKLKGVVDPEAKRKIIGKEFICIFDAFAHDMEQKTGKKPAYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRELGRILNVPVPFLKRHPFPGPGLAVRVLGDVTEGNALDILRQVDEIFIQSIKDAGLYDSIWQAFAVFLPIRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYNFEHKFLDDVARKICNSVRGVNRVVQDITSKPPSTIEWE >KZN04953 pep chromosome:ASM162521v1:2:18314610:18319608:-1 gene:DCAR_005790 transcript:KZN04953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAHNHTAEVVHGISNILAPPTCESYMFLRAEAALPVWFRTFLYLLAVAYCFIGLSAITGRFFRSMETVVKQTRKVVDIDPYTKSETVRYEKVWNYTIADISLLAFGTSFPQISLATIDAIRNLGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDLGVWIIELFWSFWAYIWLYIILEVWTPNVITLWEAALTVLQYGLLLLHAYAQDRRWPYVSLPLEKADRPDEWVPPEVDPNKDNCKIDEYTIMSEVNEDERTGIVDIFSVHSVSGTGPMYEKVFGSDEAISCEQGSKGITCKEINLLTIWKQQFLDVFMVPHLVPFKYVPTDPFFMEQLDTSGSKHSKSNYLHYARIFWQSLLAPWRFLFAVVPPYQIAHGWVAFISSLIFISGIAYVVTKLSDVISCVTGINAYVIAFTVLASGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGVPWLIDTLYNFIAYRKPLQIQNADGLSFSLLVFFATSFACIVVLVFRRVTLGAELGGPRLWAWVTCAYFMLLWVIFVVVSSLKVSGIL >KZN05087 pep chromosome:ASM162521v1:2:20097444:20097731:-1 gene:DCAR_005924 transcript:KZN05087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAWGLANNEQGFLWVDRPAQLITRSGLDRGPLDLELLPIIIQHLPNESNLTEYLDQANSRSKMALEHLSSFRCDIYLPLIFILAFLTFIDNGR >KZN05192 pep chromosome:ASM162521v1:2:21013744:21016222:-1 gene:DCAR_006029 transcript:KZN05192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCYPAVSEEYNVAVDKCKRKLRGLIAEKSCAPLMLRLAWHSAGTYDHSTKTGGPFGTMRHKGELAHGANNGLDIAVRLLEPIKEQFPILSYGDFYQLAGVVAVEIAGGPDVPFHPGRKDLSEPPVEGRLPNATLGNDHLRDVFVKQMGLSDKDIVTLSGGHTLGRCHKERSGFEGPWTSNPLIFDNSYFKELLTGEKEGLLQLPTDKALLQDPVFRPLVEKYAADEDAFFADYAESHMKLSELG >KZN05671 pep chromosome:ASM162521v1:2:26196024:26197862:1 gene:DCAR_006508 transcript:KZN05671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSADAARTVVGIIGNIIALILFLSPVPTFTIIWKKKSVEQFSPVPYLATLFNCGMWILYGLPWFHPHSFLVLTINGSGFVIELVYLMIFLIYSDKKKRKNVMLIALAELAFVGVVALMVLTLVDSMKLRTTIIGSICMVGCFLMYASPLAVMKLVITTKSVEYMPFFLSLFSFLNGVAWTAYALIKFDPYIAAPNGLGALLGVAQLILYATFYKSTKQQMAAKQSKVELGLSDQYIAPVSSTDPKKLQNGAHV >KZN04699 pep chromosome:ASM162521v1:2:14856039:14858250:1 gene:DCAR_005536 transcript:KZN04699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYNPHGGTDNASGIQRLNSQLSFTRPDSLSQITEESEDVDDDIHGEGHRKATHSFATASFGMGTWDNSSNITFSGGRGKRAKNDNGGGLNITESQFQFGLSEMALDMASMEHMMDIPQDSVPCKARAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYADMLDLAVQHIKGLQTQVQIELIGTLIV >KZN04324 pep chromosome:ASM162521v1:2:8104743:8106114:-1 gene:DCAR_005161 transcript:KZN04324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVGAEIARGGEGGGGRSRGGGGDGRDRDDGGGRDGGGSCAGGGFGSRQRRQGRQWSLRRKEEGSYRPEMGVTWLRTAQAGL >KZN06699 pep chromosome:ASM162521v1:2:35098233:35098553:1 gene:DCAR_007536 transcript:KZN06699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNPSLTIHTMTTGTTMVAAGIHKWNSPLPYLFGSLALMLTLVALALIILVCSYVKSFTSRSLENDVEDVNFGKAAAYVMETEMEPKIVVIMAGDKVPTFLLKKS >KZN06080 pep chromosome:ASM162521v1:2:29983917:29986384:1 gene:DCAR_006917 transcript:KZN06080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQPQKVEIETPAVPAPAPEKVVSLPPAEEEKPIPDSAEKKAPVEPHDRDVALADLEKEKRLSFIKAWEESEKSKVENKTQKQLAAVNSWENTQKAKIEAKIKKIEEDLEKKKAAYAEQMKNKTAMVHKAAEEKRAMVEAKRGEDLLKAEEMAAKHRATGYVPTKFLGCFGG >KZN07468 pep chromosome:ASM162521v1:2:41071581:41080225:1 gene:DCAR_008305 transcript:KZN07468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFISAKAESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKSNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNLTIRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVVKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNALPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQSTEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPSLSEFPSNSFYEGTLQNGVTINERQSTGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVIPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGMMPNDSFGSADAERRNSRSRGSFMPPGPPNGTHKPGLHPAGYPMPRVPITPYHGGPPQPYAIPARGAVHGPVGAVPHLPQQGSRGFGAGRGSAGAPIGNHLPHQQGSQQAVGSVGSNFNYPTLEGPSSQPSPGGPLSQPSYVSNMTVQPNQSFRDGFSVGGMSQDFLGDDFKSQGSHVPYNVADFSTQASQSGYTVDYATQGGQGAFPSSFLNQNSQAGYSRFGSGNEFMSQDYLAHGSQGLFTQAGFSDPSQEDVSHSHFGLGNASQLQSQALLNPLYSQTFTNYNTQPHNMQAPQPQQGQPGQGSQNQKNQYSS >KZN06657 pep chromosome:ASM162521v1:2:34763878:34764441:-1 gene:DCAR_007494 transcript:KZN06657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNPPTPPQQVNEVNTIAQQQKYQLDHYWNKQRQQMDVTDHDFKKHEFPLSRIKKIMKFDQDVEMVATEAPVLLAKACEFFIQELTIKSWPPAEHSGRRTLRKNDIVEAINGTDSYKFLFDYRHDGPAAGGSSSMFSSHADPEPVASSSSVPPPVQTWIRNGHDENEMNVDDENENEDEMIDAAN >KZN05139 pep chromosome:ASM162521v1:2:20509278:20510386:-1 gene:DCAR_005976 transcript:KZN05139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSVPVYNFDQHQNWHHQLQQQQSHNNHQLQVVHQNPQLLPPLEPQVDSSGFIKPGSTVDRARLAKIPLPEAGVNCPRCDSTQTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRSKSKSTKSLDNITTNSNQKEANNNNTQSPSSSADQVVANHFNPFSSQQPLNLAALHDLNNHYGAAAGSTSSYGGFKTGLSDMRFQLGHHTPSSVSSSILTGKGGQGWRLPILSGFEQAPGSNLFSYQGQASEGASAGLVKVEEAGRGLNLSRQLLNISNQNNSNHNESNQYWGSTRGGNSWTTDFTSQVTNTSSPTPFL >KZN06363 pep chromosome:ASM162521v1:2:32189641:32199951:1 gene:DCAR_007200 transcript:KZN06363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSPGDRRNRNSPAKSSGIYSTFVIHDDVKESSQSPNNDSNIFATMLRKPDPTDNSASNSDDDDEFLPPLLKRIPKDFDASAMDFDNDYDDDTNISGTMIVKSNRHQNSSPLRKPKVYDDDDDDDDDDENASDFSTFVVRSSVKKERERERQASVSGTVGGTFLRRSGGGGGGGGGGNSMSRAVASMQASNLQEEERKLRKQASSKVSTSSIPDSVTREDPSTKYELLHELGKGSYGAVYKARDLKTSEMVAIKVISLSEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNITDEPLEEYQIAYICKEALKGLSYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRSSVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFIAKCLTKEPRLRPTATEMLKHKFIEKCKTGASIMLPKLEKAKQVRALMVLEAENIASGTFVAGDNSMGGLKVNEDYGDTVPSRPQDGLLVANVLQVGSIPGTAPQGAEQTEEGDFGTVIVRGAVEMDKTIEGPKIKNQSPALGRIGSTLLGGTVSKSVEPWAGDGTQVSTDTLKNIEANAADTMQATTPVFLTPEQKLKQNSISQANVGSGGVSSGTLRNETVSRKALDKLWSIYAAGNTVPIPFLRATDISPIALLSNNVLGCRDSGGNIAVETMQELFAGDGQSKKGRSRQNEVPLPPSVYQRLTSSPTLMNLAQALAYHKMCYEEMPLQDLQATQEQQTIQNLSDTLRTILRL >KZN06065 pep chromosome:ASM162521v1:2:29858919:29859233:-1 gene:DCAR_006902 transcript:KZN06065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQSGADTTASWYSIYIVIVTLLLVSSIDGDLFMEDHGGAVNASDQLIPIGRLCDEIYVVGEGETLHTISDKCKDPFIVEQNPHIQDPDDVFPGLVIKITPRP >KZN05526 pep chromosome:ASM162521v1:2:24715181:24718227:1 gene:DCAR_006363 transcript:KZN05526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDEAGRGPVLDSKTLKEEKREELFENLKADESIGWGVDVIDPRELSAKMLKKYKINLNEISHNYAIGLVRRALNTGVLLTEVYVDTVGDPEKYRTKLSDIFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDESAENMQRNFGSGYPGDVSHEYLDTNFNHIFYVHREADATDEDDSSVKTRKKQLKLSSVGFTGMKRSSEEIESSGKGRCKFFQARKLEQLSKF >KZN04464 pep chromosome:ASM162521v1:2:11303102:11305288:1 gene:DCAR_005301 transcript:KZN04464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHGFPQVIDDSYISHPYNGLEELTNPEVGYKWHQSECSSDLPVMNLASPTSCYPGAYYFPQHQVSNPGLYYSHEYEPPPTVTSKSYASDIYAYQMFDTSSEREYVFANDNFDTTSTIASLYTLTCHTLSTGQPYYALELASSITAPCPNVASSIELSSVLNNHEFGEEFTNINEVVNSVSVLVKTDGSKECGDGRSMVVGGNDEDRRLRGNLLENNINLGEVIKDDNNEKNEVKSDVEEIENDIDDVFGDGSLTDLTRTVNCSEKLTNICDDLFVMDNFRIHQNSSSITDYVEDFMYVKNRAELIFKRKFDDDLLSYCFVEGLKEDLRDALELWAPRTLQEAIILAKYKELLLEESLMVVNVVMKCEKGPVTNYCVKNLSARLHKDEKPMVAEELPAMVNNKRQTPNDHMLQTIKKLTSTIYSVDLGINSGNIGEDNVLIFDPGGNSHNAHEARIINLFKEIIVKKGCEDDEGGEQRDNCSKIRSSLVEELNVMLKATKMCLAFEVAGITLFSVTRSDLLGGAISGDKFFGFMLAGNFGFKEVLSYAIECSAFILLYQALLEKLQTCVQHSNNTATEVFTRDQLGRLLNRIHGLRGGIVRFKVPISKKMASAWHWCEITLVFDPGNLFINGAILVKLVAATMDELEILFNNNISQAPGHTPWTWTAVFASGIIECDETVYTWVQQHNYLVSSIARRMASAWYWSIVILVFDPGDFNYSHPGDLSCC >KZN04592 pep chromosome:ASM162521v1:2:13109682:13110575:1 gene:DCAR_005429 transcript:KZN04592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLKFASAVFVVSSLSVSIWLLNKLWLTPIRKQRFLRQQGIKGNSYKFLHGNTKDILSMRRQSMAIPMDCLSHNLFPKLQPHFYSWLKIYGKNFLTWYGPRPELFVTEVEYIKEIMNKVQDYPKIEMSTGFVKVLLGDGLVTAKGKKWAKQRKLANQVFHADSLKRMIPDMIASVEMMLDRWRQHEGREIEVFEEFKFLSSEIISRTAFGSSFVEGRDIFDMLSEMAIIITRNSYRVRLPGLR >KZN06406 pep chromosome:ASM162521v1:2:32618085:32623997:-1 gene:DCAR_007243 transcript:KZN06406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYCVTGGTGFIAAYLVKSLLEKGHVVRTTVRDPDDINKVSYLWELNGAKERLKVVKADLMVEGSFDQAVDGVDGVFHTASPATLIDPCIKGTANVLGSCKKSSSVKRVVLTSSCSSIRYGDDVQHISPLNESHWSDTEYCQRHNLWYAYAKTIAEKDAWRIAEESGIDLVVVNPSFVVGALLSPQPTSTLHVIHSIIKGQMEEYPNTTIGFVHIDDVVAAHILAMEETKASGRLICSSSVAHWSDIIQMLKAKYPSYPYIEKCSSRVGDNNPHSMDCSKIIQLGLPPLKTLDQMFDDCIKSFKDKVYLLDASNGVTSELVTFGEELGPLTSVKNSTTNRQWLYGLKDHTAVVKALAWCSFQANLLASGGGGGDRCTRFLNTHTGACLNTFHTGSHACSLLCNKNEREMLSSHDFT >KZN06978 pep chromosome:ASM162521v1:2:37302161:37304416:1 gene:DCAR_007815 transcript:KZN06978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYHGDLTDIIRAGGGSTSGNLGTTTTTTSGGTAMTDSWQFQTDPMSFDDFGHPFSSLRDPLLLADPVDMSGGSSSFFSNSNSLDQDDTAGFCGNSFIGSNLDHQHQEMKKPSSYYSRMLQISPNNSNLSRDDSPVMVASPRLLIPSSTLISSSNDHMISLNSSKAANNCLVDNTGLQISSPRNTGIKRRKSQAKKVVCIPAPTPVNSRPTGEIVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRTQPASKNSTTTTASKTSTAAASSSQNPLEVSNMKEELKENNDVDNMSTSPTKSSAVVKVEEQITDDQDKKLLTEMEDPEQFTKGFPPFESYTPTLPGDSMNHIPDQDFFAELGEIESDPLNLLFIQGFDEKLESDKTLDPFTFYDWTRNTNNK >KZN07077 pep chromosome:ASM162521v1:2:38170622:38174623:1 gene:DCAR_007914 transcript:KZN07077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIFLSASVTVLFDRLASADLLSLAKQVRIQDELKRWKDTLSRIQVVLADAEEKQFTNRSVRLWLLDLQHFSYDLDDTLDILETELLQRSVSGSNNSFSLMNVLFDHYAGSNISNISTRLDNIIKQKDGLDLKENVGFTPISATFRRWPSTSLVESHVYGREKDKEMIIDMLMKDDGTDSDKFVVPIVGMGGLGKTTLAQLVYNDEEVDRNFELKAWACVSDNLDIPGVTRAILESLASQSCNLNDLNTLQVTLRQKLSGKKFLLVLDDIWSQNYEEWDLLRRPFLAGAPGSKIIVTTRISDVAKMLSHNGAHHLNQLEPSHCLSLLARHALGKDNFVEHPDLKEIGENILKKCKGLPLAIKMLGGLLRTKQFPNQWGDILKSKILDLPEQSGGILPALRLSYRHLLPHLKQCFAFCSIYPKDYEFDKEKLIKLWMAEGLLPQSSTTKQSEDFGCEYFDELLSRSFFQQSATCKSKYVMHDLINDLAVYVSGERCFRLEDNWNNTDDPRRVRHSSYITQRFNNFEKFEILHKFKSLRTFIRLDIHYGYTTHLSNKVLKNLLPKLKFLRLLSLNGNNIMALPASIGDLKHLRYIDLSYTSIRTLPDSVTALYNLQTLLVHGCRNLCKFPVGFENLISLRHLDNADTGELLEMPCGIGKLTNLQTLSKFVVAKGGGLRLRELRDLKFLRGKLCITGLHNVTGAGDAKEARLGDKQDLDELILEWGTNLNKSSCRNLDIDVLGDLEPQKNLAKLAIYYFSGIRLPDWIADPSFTKLQEINLIGCWRCASLPPLGQLHMLKVLSIREMPELRSLGVGSSGLDFSSLEKLTFDSMPEWNEWFYFDRNGEKVSPQFPSLRELTLQKCPKLINIPKLPLPSLCLLKLEDCPVNILKSLANFTSLTTLTIISMKELPCLSKEYMQFCGALTYLEINNCSELVTVWGTGVAFAELSCLKEMKVVSCRRLISFSETRQAFPRNLRSLSILQCDHLKDFPDMPSLIKLSIEKCQSLHSFAAVTLPMLQQLDIKDCAALVSMPDYEPGISCLQELNVSKCGSLRWWPMDKSPTALEKLSISKNCRNLEIGIVLQWMTTRMPSLLELDIRNSGEVLVKIVNSEQHRLASLVKLVISKCKTFESFRAKGWPPIPHLKSLSIGSCKNLRYIPLDQIQSLESLDICYCPKLESFPDGDLPRKLTKLTMRGCGELLKPLSEWSLHKLPSLESFSISGGFSRLVSISDDKSDAWLPQSLKQLSIGEFESLESLGKGLENVRSLEHLLVWDCPKLRCLPGGNVVSSLLSLEIRDCPILENKCLPENKGSYWPIISDIPRVKLSHRYIHDEE >KZN04755 pep chromosome:ASM162521v1:2:15385488:15386609:1 gene:DCAR_005592 transcript:KZN04755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFGVYRFAHGHRYEGGWHEGRKQGLGLYTFRNGETQSGHWENGVLNISSTAGSPPGSPSTVSDSRVFNAVQEARVVAEKAATAAKVEEKVNIAVAAANKAATAARVAAVRAVQNQMRPQNGNVDITVPVIRGNNDNRKQKYAV >KZN04445 pep chromosome:ASM162521v1:2:10935968:10945956:1 gene:DCAR_005282 transcript:KZN04445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRYRFDLNVSLNLQETSSNVTGEVLHGENIFQEEETSDRAALVTNARHNPFDFDLNNPPGFDLNEAPSPEPPTPPHIQGPEADKRSIAGVGERSYRSEDEVFADAVAEFSDSGMSPASEERQLEDVKELEKNVSMTVVDDDVFNSVKPMSSQPAHNIAPSTGLAESSPSGKDEAELSNNGLFLLSAPVENTEVVVDAVQNKGYLTQDTIDGSSSRLSEIHGMNGEEKEATHVQAVFSDLPIVEDADIMLKDVENQKLLKSEIPLVLGSVTVDRTLTKDNKNMPESQSIEPGRYLTELESQSTEHELSHLSGRAKQEASAVTVLIGEVVTQDEKSGTHCDSVEVCNSNREPEENMHVLSVASDLPIVNHADLMLQDFKDHRIVKSRFPLGENVIRSLEGDNELMVMEGPLNLHSVNLDGAAGNSVGNMNDVEEDMITIEGPDKGLADSLKSSVTTCKSFESEDLEVPPSTSGSEKGNIQPNCSLQGVEPDYEARNTECTENDGSSILTDHIKRDEVCQMTILSDDNKNKNAMALAEEVNTEGNDNSAVTRKEHSAEANIILFHGDDKQAIKLGQNNEGELVDITMNLSDEAWIEDNTEAATVEKFPTPTMAILEPEQELLSSNHSASVQDTPLSSIKTSVAATIMPAHPSSRKLSHNDFQDVTEAPELNSENENSIFVNYVDGGIVDYDSQGGDVEVEEVNSKRTIRKESETLPIHYKTSHESLPDIKTRNEIDSKSEITQESNVKLIGQPGGASAINLSVDLSSQTESVEGNWGLVSACRAQSDEPAAGTDVQPKTDPEGPDKLEGTKLQQEIASERPDLHKADIYEPPSFLTLVEPRGGSDQGNVTFEIEKVQASQQPKTEVLQAGWLPLLSNIANESSGRKKNEEMIAKVTNWSAAKQHSIPLKNLLGEAKVETRTKSPSPKQTKSNIQIDATVAKSNVCSTTTVKEVLGSEVSASDRTVRGVTAEEWNSPARYSVEIKKVKKKSNGKPFWVPFACCSSVN >KZN07316 pep chromosome:ASM162521v1:2:39952567:39955119:1 gene:DCAR_008153 transcript:KZN07316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSKKEYQADGNGYRSAPTAYHTVTDQSYEKSSQRSQPQAQPQPQVQQTGPSLKPRQVHRPESNTILGKPFEDIRGKYTLGKELGRGQFGCVYQCTENSSGQLYACKSILKRKLVSKNDKEDIKREIQILQHLSGQPNIVEFKGVFEDRQSVHLVMELCAGGELFDRIIAQGHYSERAAATICRQIVNVVHVCHFMGVMHRDLKPENFLLSSKDKDAMLKATDFGLSVFIEEGKVYRNIVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAENEKGIFDAILEGVIDFESEPWPSVSNSAKDLVRKMLTQDPRRRITSAQVLGISFLT >KZN06457 pep chromosome:ASM162521v1:2:33053613:33057349:-1 gene:DCAR_007294 transcript:KZN06457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWHDLYTVFTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYDMNFRFIAADTLQKIIMLVVLAIWTNVSRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGNDGKLHVTVRKSNASRRSLGLGSGMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHNDFYSMMGFPGGRLSNFGPAEMYSVQSSRGPTPRPSNFEENCAPGGVATTASPRFGFYPAQAVPASYPAPNPDISAAVVNKVAKPELSQHQQNVLQTKGSHDAKELHMFVWSSSASPVSDGGGLHVFGGPDFGASEQSGRSDQGAKEIRMLVADQPQNGDTKAIPGTEDYNREDFSFSGAKDNGDEEREKEGPLGLSKLGSSSTAELHPKGAGGAHDKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFKWDVAMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLIGPTVMAAASIAVGLRGTLLHIAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLLYYIILGL >KZN07018 pep chromosome:ASM162521v1:2:37691498:37694941:-1 gene:DCAR_007855 transcript:KZN07018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMKLGSKANAFQKKGQAWFCTTGLPSDIIVEVGSMSFHLHKFPLLSRSGVMEKLIAEASGEEEDGCVINLPDILGGAKTFELVAKFCYGVKIELNAANVVYLQIAAEQLEMTEDYGEGNLVSQTELYLEKVVLQNWQDSLRALQECNGVLPHAEVLSTTTRLIESLALKASMDPSLFGRPVVEDGRPLQSPGGSVLWNGINTGAKLKNASSDWWYEDISNLSLPLYKRFISAMESRGIKQEIIGGSLTSYAKKYLPGLNRRQITDDSNSHIGSVSSGTILSEEDQKLLLEELDHLFPTQKGLISTKILFGLLRTAMILRARPTCISNLERRIGMQLDQATLEDLLMPNFSYTMETLYNVECVHRILEHFLAMDQARGGASPGSVDDVHLIGSPSLIPITVVAKLIDGYLAEVAPDNNLKLPKFKALAAAVPDYARPLDDGLYRAIDIYLKAHSWLADADKEELCMLIDCQKLSLEACTHAALNERLPLRIIVQVLFFEQLQLRTSVASSYLVTDNFDGSQQLGSGLLASSDGGWDTAVRENQVLKVGMDSMRMHVSELEKECSNMRHQIERLSMGKGTSTWGSLSKKLGLKMKSQMCSAEEGAISKQKHKVDKTKDRHAKYNKTNH >KZN05267 pep chromosome:ASM162521v1:2:21891364:21893232:-1 gene:DCAR_006104 transcript:KZN05267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNNGNILGISMPVEASETSKEQIISTSPMPKFDLPVDSEHKAKTLKIFSFAPPHMRAFHLSWISFFTCFISTFAAAPLVPIIRDNLNLTTSDIGNAGVASVSGSIFSRLVMGAVCDLIGPRYGCAVINILAAPVAFSVVFVSSADGYIAIRFMIGFSLATFVSCQFWMSTMFSSKIIGLVNGTTGGWGDMGGGATQLLMPFLYHMIQICGAHSFTAWRIAFFVPAFLHLSIGIMVLTLGQDLPDGNLGSLQKKGQVAKDKFSNVFWYAVTNYRTWIFVILYGYSMGIELCINNVIATYFYDRFNLELHTAGMIAATFGMANIVSRPFGGYASDLAAQKFGMRGRLWILWVVQTLGGIFCICLGRANSLPIAILSMVLFSIGAQAACGATYGIIPFVSRRSLGLISGLTGAGGNFGGGLTQLIFFTGTRFSTPAGLSGMGMMAVVLTLPVAFVHFPQWGSMFLGPSEKGEKSEEDFYASEWNEEEKSQGLHKGSVKFAENSRSERGKRMIVAVITPPSDSPVRV >KZN06806 pep chromosome:ASM162521v1:2:35977578:35984473:-1 gene:DCAR_007643 transcript:KZN06806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVEVEVNAESESEVSKTNSAEKSPKLIADPVVYQLVRVEGDGRLVPATDEEVYEVEDLLDVGKSTRNLADTGKDVECTPNNKCSSGKLQSENLETCSNKSGEVLDLEIHSMKSNTQIQEEVVPSLAPSSIESILSESGECSNPRVGVTRSESLSSSACNISKPDFSMVKGEICLNNLSVRELHEIFRALFGRVTTNKDKQWLKRRISMGLTNSCDVSSTTFVIENNKVVKKGKEENSNIDDVNNTKDPIKGASDKIWKRSSYVAKKEVESHLNGSGKRMRNANVVYDHDKEDVLTESRADKRVRKPTKRYIEEVSEGETRETSGKVTSKVKGSGHCQSSPRPIVNPIENIRSDGKPYVMRQDSLGGSGIQIPYVSRVRRGRPRENYMTLMKLQPSELGMPSRAVKKAFEICDPRLDSEEGNKITKAGSSPERFQQPLASKPEKAEENSERITADFVKDDLQLQHTDPSSSDDGIATVPTANGGMRRKHHRIWTLNEVVKLVEGVARYGVGRWSEIKRVFFASHSHRTSVDLKDKWRNLLRASFAQMPLDKGVDSSRKNASIPIPAPILARVRALAEMEGQFPPNLSGVKIVGHSSRDVLERRSGYL >KZN05736 pep chromosome:ASM162521v1:2:26738145:26739261:-1 gene:DCAR_006573 transcript:KZN05736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCTGCERVVSNAIYKLKGVDSVQVELEMEKVTVIGYVDRNKVLKAVRRAGKRAEFWPTNPPLYFTTTYNYFKDTTSEFKESYNYWRHGYNDIHGDKHGSIPVTHRGDDKVSNMFNDDNVNATCSIM >KZN07485 pep chromosome:ASM162521v1:2:41228119:41228376:-1 gene:DCAR_008322 transcript:KZN07485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKEIDRSIVVIIVIPIVNNLKILIYGFLKIIRWLLSRDSENWNLLQPNHNFLKNTTRQRKNPNACADEITAQHYLRGEQKRRY >KZN04892 pep chromosome:ASM162521v1:2:17640471:17645663:-1 gene:DCAR_005729 transcript:KZN04892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCASSVPWIIAKGGPNGAYKEVEITKIHPECGFLHDTSGNQDSDVWPTIRGYKKPIVEHTQEGAAQNALGDYTKMISDFSVLPAEVKEGRVGQYWYHEDTQGFFDDLDHYRMIKAMCKLSCAACDKMGEPQGDGSRRSRKFRNIGQLKGHLFHQHRLVMCSLCLEGRKVFICEQKLYTRAQLNQHINSGDSEVDGTESERGGFMGHPMCEFCRTPFYGENELYTHMSTEHYTCHICQRQHPGQYDHFRHRHFLCENESCLAKKFVVFQTEAEMKRHNTMEHGGHMSRSQRSAALQIPVSFQYRRSNEQDNRRGRGRGRGLVFQHDRSDAELSMAIEASLDTANTDIFPAASSGSAQVTNIGDASDSIIQSFDSLATTDSEPPTRYLQALSQRSRITLQESSFPPLVTAPESNQQKTNHDPEGLPKNSMVDHLRRQKNKNARTPSSSQAWPAAVGNNKSTGALTSTQEWPAAVGNNKSTGALTSTQEWPAAVGNNKSTGALTSTQEWPAPVSNKQIDTFSSSQAWPAAGRGPLTSASSKQYAKASANVMPGSSSDSVGSKPAKNNGPATSSYLSLAQGQPSLLNEPSSSSSSSSRGGSGSSRIIHSSSAPNLVEAGSSESDFPPVSAMKKQPLNLQAVLNVEDVQTANKSLVERIHAGLSFDQDKYIAFKEISGEFRQGLMDASTYLMHVHQFGLTHLVLELAKLCPDAEKQRDLVDTFYANFSTNNNIRENGGMSLKQSNGDKKGKGKSIGASSSSSKDKLADEVISTVRKLQSNYKAPVEDEVEILSKDGYRAARGKSNVIINEPQTVARTSDRSMLKVENSSHSVDDRYNLNSGTADGKNKQKKKTSKFHRVRLGDGSMASLLDSKSSDTDPEPESESGKAAFNGNKNLEGLPVRGVWRNGGGNKLLAKTSKDARR >KZN07349 pep chromosome:ASM162521v1:2:40224149:40225757:-1 gene:DCAR_008186 transcript:KZN07349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRGTKKAAAPDFLSSPAEASPDISLTDSPPIKFSFNLDGFNNATGKSTTSAKKTKRNDVVNKISAAAAASVPAGASLPMNSIADLKALASSQLDAVKRQFERSHSDFLKDIQTQGCQQAMDEAEKEYRKITEKISESQDAMKASYMEFIAEAQASASRVCKTSIPELAQSLEKSVESLRSRYGNASTSG >KZN06532 pep chromosome:ASM162521v1:2:33784807:33785139:1 gene:DCAR_007369 transcript:KZN06532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVVCDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KZN04062 pep chromosome:ASM162521v1:2:1311819:1313863:-1 gene:DCAR_004899 transcript:KZN04062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVGDSHSSMTRFPSTRPYYYTPPPSSSKLQRPLGRSMRTIRSTLYQSDEQSFISRPNSPPSTYVSENLTDSVMDLKLGELATKPSKTTPSLEESEKFLEFSQAFSDFSACSSDISGELQRLATLPSPETPGLTDPIREPEPCQGFLERENFSTEIIESISPEDLAPTVKMCIDGLNSSSINVKRSGAAKLRMLAKNRADNRALIGESGAVPALIPLLHSSDPWTQEHAVTALLNLSLLEENKKVITKHGAIKPLIYVLKTGTETSKQNAACALLNLSFLDENKVSVGASGAIPPLVALLKNGSSRGKKDAITTLYKICSVEVNKERAVAAGAVKPLVEMVAEQGSGMSEKAMVVLSSLAGTEIGKNEIVEEGGIPALVEAIEDGSMKGKEFAVVTLLQLCSDNVRNRGLLVREGAIPPLVALSQSGTAKAKHKAETLLGYLREPRQEASSSSP >KZN05227 pep chromosome:ASM162521v1:2:21424392:21431577:1 gene:DCAR_006064 transcript:KZN05227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDAHSSSSEHDSTDQNPNPSTSIDQSLDAIEGQLTSISLNHRNSPLPEPPIPPPHHGIGDTLPSDAHSQVEEVGAVEKSGSDVEVENSVSRGEGVLWRNSSDVEGEVEGQGSPSSSGYAGGKGTSSSSGVSGSGIEEISGDEVDQGEGGIRSGSFGGSVDSEWVPGKRHVNEDDASVSWRKRKKHFFVLSHSGKPIYSRYGDEHRLAGFSATLQAIISFVENGGDRVKLVRAGKHQVVFLVKGPIYLVCISCTEEPHESLNEQLELLYGQMILILTKSINRCFEKNPKFDMTPLLGGTDAVFSSLIHSYSWNPATFLHAYSCLPLAYPTRQAAGAILQDVAESGVLFAILMCKHKVISLVGAQKASLHPDDMLLLANFVMSSESFRQVKYSAVLIFQIPSMTSESFSPICLPRYNPMAFLYAYVYYLDADTYLMLLTANPDAFYRLKDWRIRIEMVLLKSNVLNEAQRSMLDGGMHVEDLPVDPSPRSGSLSSHLGQPRPPPDSADRCKALLGGPCGLWHFIYRSIYLDQYVSSEFSSPINTPKQQKRLYRAYQKLYTSMHDRELGPHKTQFRRDNNYGKELIFQDKLKFTCTSYT >KZN07591 pep chromosome:ASM162521v1:2:42070014:42072403:1 gene:DCAR_008428 transcript:KZN07591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHATTAPNISHYDYIIIGGGTAGCPLAATLSQNASVLLLERGGSPYRNDNITNLSAFGAALSDLSDSSPSQRFISEDGVINARARVLGGGSCLNAGFYTRAAPSYVSAVGWDGKLANESYRWVEKVVAFEPPMLGWQSAVRDGLMEVGVLPFNGFTYDHMYGTKVGGTIFDTEGHRHTAADLLQNANPNNLTVLLHAVVHKILFRHKGNSRPVAHGVIFRDGAGQKHKAYLKKGPKNEVIISAGALGSPQILMLSGIGPSAHLRVHNITVIVNNPSVGQQMSDNPMNAVFVPSPIPVEVSLIQVVGITRYGTYIEAASGENFSGPRDYGMFSPKIGQLSTVPPKLRTQQAIDQAVESMATLPASAFVGGFILEKIMGPVSRGHLELQTKNPNTNPSVTFNYFKEPEDLQRCVQGMNVIKNVIESRAFSRFKYPYLSAQQLLNMTAAAPVNMLPRHANDSTSMEQFCKDTVMTIWHYHGGCQVGSVVDSDYKVLGVDALRVIDGSTFNYSPGTNPQATVMMLGRYMGVKILQERLGSTDTTN >KZN07448 pep chromosome:ASM162521v1:2:40931178:40933867:1 gene:DCAR_008285 transcript:KZN07448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTFTPIFHITPNQSNSLLFSSKFKLPRNKIKPNFTKARVFAALSDPFVLQIAETLEDSHSSSPPHLQKLRDFSSESLLSAHWPSRKDEPFRFTDTSFIKKSQIVPISTPPLPQSLNLFDDSEETQFPNLVIVDGYIVDSVSNVSGLPDGVFAGSLSNLDSEVIKKRVLDFVSSSDGDLFWSLNGVGAPDLVVVYVPEGCVVETPLHLRYFSDKGEEKESNKLPVSNPRLLVLVEKGGEIGIIEEYVGGEGEKCYWTNSVMEVVVGDGAKLTHSYIQNQSLQAAHIKWTSVRQESTSTYKLIEVSTGGKLSRHNFHMQQVGPDTVTELSTFHLSITDQTQDLHSKLILDHPRGITRQLHKCIIAHSSGQAVFDGNVQVNREAQQTDAGQLTRTLLLEPRATVNLKPNLQIIADDVKCSHGAAICDLEENQLFYFQARGIDLQTARKALIFSFGAEVLDHFPNKSIQKKVESHVKQLLVPSLSSQ >KZN07733 pep chromosome:ASM162521v1:2:43150329:43151749:-1 gene:DCAR_008570 transcript:KZN07733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGELGCTYACLILSDDGIPVTSEKISTLLKAANVNVESYWPSLFAKLAEKRSIDDLVMNVGAGGGGGAVAVTAQAGGAAAAETKAPVEEKKEEPKEESDDDMGFSLFD >KZN06650 pep chromosome:ASM162521v1:2:34718649:34718930:-1 gene:DCAR_007487 transcript:KZN06650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPNQKKSSSADEYEPYTEDGWSFKDVKKFETILNEFDTPPPPAFFEQIAREMPWKTLEAIKLHYQLLLKDMEKIKNGEFEMISDNSEDSDE >KZN04676 pep chromosome:ASM162521v1:2:14547854:14549996:1 gene:DCAR_005513 transcript:KZN04676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCFSSEKSDSIKINELPVIQAAAKPALPSSSPAGNGNWGSQEIDVGNIAAKTFTFRELASATKNFRQECLLGDGVFGKVYKGTLQSSGQAVAVKRLDRNGMQGSKEFLVEVLMLSLLKHENLLDLVGYCADGDQRLLVYNYMPLGSLDTHLFDVAQDKEPLDWTTRIKIAAGAAQGLEYLHDKANPPIVYRDMRASNILLEDDYKPRLCDIGLAKFAQSGNPSCTPPRVMNTYGYCAPEYTRTGQLTLKSDVYSFGVVLLELITGRRAMDPTRPVDEQNLVSWAQPIFKNPQRFPEMADPLLKGKYSLKSLNQAVGIAAMCLEEEPSVRPYITDVVAALTFLEMTPKDENNVNGIPAPVPSQKGEDQCDKDDSISDHSSEYSSSGRMDDHHERGSYSSHNDDDDDDDLEENAEEEDEDNYNHSEGDKNYDNGSSDDHYTESSKSRSMDYSEELSDDESMYSSSTRTSTSSRKEEQ >KZN07407 pep chromosome:ASM162521v1:2:40653054:40654044:1 gene:DCAR_008244 transcript:KZN07407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQLKAGVKGVCVVNAETGKGGVLGIERWGVLLQNIRIYTAVQMFKMNFYKFYEIISDLIDSRIFEQSKSGSENVGSEARALGVKRERGQKLRISNLPYVWGGCMEAHAPNNLSNNVVTSPVM >KZN05541 pep chromosome:ASM162521v1:2:24888105:24888836:-1 gene:DCAR_006378 transcript:KZN05541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRWKGKEAEAKALADPISKIVSQLQSSLVHSDSQGILSGCSVLLAVNAEQTKLLNHTCFGVPIITAEKDKQWFQLSLEEAFYLCYSLNCLKVVGEDNLIKDNNQLWSYMVSKKETFPAFYRAYSHLRMKNWVVRSGSQYGVDFVAYRHHPSLVHAEYAVLVSAELECNGSGRLKVWSDMHCTLRLCGGVAKTLLILHVTSNSKIQTSPLCLESYTVEERTITRWIPEHCREDQKQVQKWTL >KZN04524 pep chromosome:ASM162521v1:2:12265143:12270780:1 gene:DCAR_005361 transcript:KZN04524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQWLAHLGASQKNIGQTGIGYQMRGAVGSLLVTFSLTCSFTIIKPQQAQLFHFSRISSSSSLLQSHFTPIKFYYSSQTTSITMGASKVSPDHFTGAWYSVPGLRLRDHRFTVPLDYSLAQQSPCITVFAREVVAAGKEDQQLPYLLYLQGGPGFESPRPTEASGWISKACEDYRLVLLDQRGTGLSTPLTTSSMLQVKSAQDLVDYIKHFRADNIVKDAEFIRKRIVPGGGPWSILGQSFGGFCAVTYLSYAPHGLSKVLMTGGTPPLGDGCTADANYRTCIKPILLQNEKYYKRFPEDIKIVQDIVQHLAESEGGGVPLPSGGILTPKGLQLLGLSGLGASTGFERLHYMLERAWDPVLVPGAQQRISYFFLNSFEKFLSFDTNPLYALLHEAIYCQGSSSRWSAHRIRAENEDKFDAIKAVREGRPVMFTGEMIFPWMFDELNALKPFKEAAHLLAEKEDWPPLYDIDTLKNNKVPVAAAAYYEDMFVNFQLAIEAESKIAGMRLFVTNEYMHSGLRDGPVHILEQLMGMLNGKKPLF >KZN05342 pep chromosome:ASM162521v1:2:22881281:22887107:-1 gene:DCAR_006179 transcript:KZN05342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSFYRWLTRKYETIVVDAKEEKGECVDSSLPNPNGMEFDNLYLDMNGIIHPCFHPEDNADVLIGAPKTFDQVFTNIFGYIDRLFNIVRPRRLLYLAIDGVAPRAKMNQQRTRRFRNAKDAEQIEKEEERLRRQFMLDGKQLLPKQETELADSNIITPGTEFMFKLSKELQGYIQLRMKNDPGWKDVKVLLSDSSVPGEGEHKIFSFIRLQRTFTEYDPNTQHCVYGLKCKEIYALLTMLYCVFICSKQFLHLWILREYLKLDMEINDPPEKFQPDFERLVDDFIFICFFSGNDFLPHMPTLYIHEGCIDLLMHVYKKEFKVFGGYLVDMQRANDKKSGYIKLKRVEKFILLVGNYEENIFRKRADQRGRMLRKILKEYEDSRFDDENQDVGTSELHLSTLRISGNFSEDLHTSANGVNDPELILRNTKELKDKLREYIWKAADSFQNGGLGSDKVKLNLPGYRDRYYMEKFELTSTVDIEQIRRSVVEKYTEGLCWVTLYYFAGVPSWTWYYPYHYGPFASDMKGLSQVKLHFQKGLPFKPFEQLMGVFPPRSAHALPIPYQKLMKDEDSSIIDFYQTGQDFQIDVDGTRHTWQGICKLPFIEESRLLAETRKLECELQADEVIRNSEGLDMLFLGRTSSEIICDRQNVDSNEHFHERNIDAKLSHGLNGSVLLKEENVADKLSLYDNDILCVFYKLPPHRMHYPRKLEGVVVPEETITEADVHETQLWHENQGHRPSPRNQNPHRQWKTNKNVTDSTPAGAVIVKGAGLGWGSGRGKAIAAESARPSGAPGKMEKMTPNSNFCWNSLTGHNRPHNFNSPLQQHNEKWRPSFGRGTQVTETYKPYQPQVNKVQLARGETRIAEKLSFNQQDTRYARQARNLSRGETQEPYHHANDNVWRATSFGRGEAQAAWTSSSNERANSNVWRSTGSARWETQAAWTSSSNEQANSNVWQARQSGIGEGKAAMTSTSNQSTNNNTWGSMKSGRGHWRGESRAR >KZN06855 pep chromosome:ASM162521v1:2:36397338:36397947:1 gene:DCAR_007692 transcript:KZN06855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITILTYCFSSVGNGTAIGKNAIGLRDDTDARTYDNEASKKHQPLDDLVYDYNTEAYTPDDFQDPLTQPSESINLDAPPPPTLKKRNRSEFEGNSSSSANASQLDAIMQISHSVEKMVEAVKSFNNDDCSCWDLIKDLPDLDQLTRFRALKLLNTRAKKMVFVKMTPDERYAWVIFELDM >KZN05677 pep chromosome:ASM162521v1:2:26224359:26226972:1 gene:DCAR_006514 transcript:KZN05677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSSPFLKSLTLSSTPSALSFSHTILKSFPVKSHTFLKKNPPGSLSIRAQTLDFSGSFFERGGFGGDDDPPATGGIGLATAVEEKEEPQCPPGLRQYETMAVLRPDMSEDERLTLTQKYEELLVAGGGMYIEVFNRGVIPLAYSIKKKNKAGETNTYLDGIYLLFTYFTKPESMEVLEETLITDDEVIRSSTFKIRKRKY >KZN07677 pep chromosome:ASM162521v1:2:42625232:42625699:1 gene:DCAR_008514 transcript:KZN07677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEFEGTAAKECIQQLEYSGSRTDEGRFEEQKMGEVEICTTQMRVKENENADLKSFKIAGTKDSNKNSFDQSAVVENCSVIGQKVTGAQECNVNISHSVHNNSDDIHDARRSGNQVIPKVDSSKERLSATPGHVMKYEDEDSRFAATKLLKCLM >KZN05475 pep chromosome:ASM162521v1:2:24220438:24223955:-1 gene:DCAR_006312 transcript:KZN05475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKTQEYHQEIQENPSLNQEKKDIFSSYLGLSFAVFLGSLPKSSLSLIPSLQSRNKSLLLKLHQAEAELKEVYSRRKEDSKANARVVEIFASHRHAWQQEEKRLLHEIDVNAEEIAHLRAKLEEFEKSEVGFKSNMEDLKREIYERDEMLNFMSKRSSEMQDYEVDLGSRFGKLRVSDGVDSLEECFVDNRGGLHNLDELTGGYVQSGNDFDSNFSNLSSKFWAERATPWQDLQYESPESVYHLKHFVARRESPWKMEGESTGISAKLKLLEQELLNLERVGKNDLSKVPSLMRKQAKRYQTLAGKIDDLCRRMASDQCEADLSPEFRTRRQTEFLLEAFRLQQRGSETGQKLLALQTETGKSCFGDALESQAQLATSRSIGSIKNNFREIQRNLEIWLARIIGDVEGSLARDGASRLKEYNASRYPFGQ >KZN04558 pep chromosome:ASM162521v1:2:12626660:12637059:1 gene:DCAR_005395 transcript:KZN04558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAERTKIEEAVVEIIRNGDLETLSEFSIRVMLAERLNIDFSGLESKLLVRRIVESYLLSLPDETENAVEVVREQSNKAPHCISYNICELSNRRSVSVKKFRGDTLVWFSDFYEKDGNQFDGGISLTESQWSAFKQGISAIEEAILKINSQKRSIFVIHETTHVYRGKYNSSPAFTPQRIIPIPNTRLSGRNYSCWMRQISFVLNQLKIAYVLTQPCPDTTPHDEAYSEKAAQAKAAARKWVDDDYLCRLTILNSLSDHLYDQYSKRMLSSKELWEELKSSYDEDFRTKISHVSRYMQYQIVDGASILEQVQEFHEIADAIIACGMRIDENFHVGAIVSKLPPSWKECRMKLLKEDWLPLSKLMYTLRAEENSRNHHSSNESSTYSRPGNKCVSETMKRKRLCYMCGNEGHMSKNCGLRKWVESSNGRNNANVPVIDEG >KZN06074 pep chromosome:ASM162521v1:2:29917238:29917390:-1 gene:DCAR_006911 transcript:KZN06074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDVSTLTYSNRRIHVSVQPLDAGIDVSSMECATFSSSTPQEFWTTPHV >KZN07513 pep chromosome:ASM162521v1:2:41456061:41460974:-1 gene:DCAR_008350 transcript:KZN07513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALLDDIIRRLLDSKAGKVPKQVQLTEAEIRLLCAAAKEVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGYPPAANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRIWKTFTECFNCLPVAALIDDKILCMHGGLSPELKHLDQIRNIARPADVPDQGLICDLLWADPDRDIEGWAENDRGVSYTFGADKVSEFLQKHDLDLICRAHQVVEDGYEFFAQRQLLTIFSAPNYCGEFDNAGAMLSVDDTLTCSFQILKASEKKGKLGFGFGSNTMRPATPPHKGGKE >KZN05640 pep chromosome:ASM162521v1:2:25891029:25894399:-1 gene:DCAR_006477 transcript:KZN05640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNIDTSSAQYKTVEQQNSSVQNVLEASVSGTSVSSVVNADEAPASSGESIAAFGFNMLFEKKCDRNGGSSPGLPELQLFPVIGGELSNETASSKSLLRPEWLNLSVPEAPMDYRVKMMEEKPPPPVVVQPAVKKSRRGPRSRSSQYRGVTFYRRTGRDCGKQVYLGGFDTAHAAARAYDRAAVKFRGIDADINFTISDYEDDLKQMKDLTKEEFVQILRRQSTGFSRGSSKFRGVTLHKCGRWEARMGQLLGKKYVYLGLFDSEIEAARAYDKAAIECNGREAVTNFESSNYEAEVTMSNNNKDRHHDLELNLSIGSLKGNDNMRSMQLNEATYELTNSGKRSKVETFFSAPTREETSRATVASKQNPTWPSIYTTFAPNYEDRATRKPEAEAGPSLGFTNWAWQMNGQGIVTPVPLFSTAASSGFSSTTPLFSGTLLQSNAQNKKLCVPSPANGTPLYYGGKN >KZN06035 pep chromosome:ASM162521v1:2:29464108:29475097:1 gene:DCAR_006872 transcript:KZN06035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEAESRLTQTLTPALEKIIKNGSWRKHSKLVHQCKSLLERLASESPFSPHSPDSPSAEGDTSATLPGVLYDTGTSEFSLADSEIILTPLINALISGNVKIAEPALDCIQKLIAHGILRGEADTSGGPEASLLAKLIDSVCKCHELNEETVELLLLKTILSAVTSVSLRIHGDCLLQIVRTSYDIYLGSKNVVNQTTAKASLIQMLVIVFRRMEADSAAAPIQPIVVAELMEPAEKTDADGNMTMFVQGFITKIMQDIDGVLNPGTPISGGSGVHDGAFETKTSTVESTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEVERDDDMEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPTLMRGKIIALELLKILLENAGAIFRTSERFLGAIKQYLCLSLLKNSASTLMIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNLQQKMIVLRFLEKLCIDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGVTTTLLPPQEVVLKLEAMKCLVAILKSMGDWMNKQLRIPDPYSAKRIEPTENGSESGIITTPNGNVDESVKESDTHSEASSEASDVSTIEQRRAYKLELQEGISLFNRKPKKGIEFLIKANKVGGSPKEIADFLKDASGLNKTLIGDYLGEREDLSLKVMHEYVDSFDFQGMEFDEAIRVFLRGFRLPGEAQKIDRIMEKFAERYCKCNPKVFTSADTAYVLAYSVILLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLAEEYLRSLYERISRKEIKMKDDELAPQQKQSVNSNRILGLDSILNIAIRKRGEENQTSDDLMRNMQEQFKEKARKTESVYYAATDVMILRFMIEVCWAPMLAAFSVPLDQSDDEVVISLCLEGFRHAIHVTAVMSMKTHRDAFVTSLAKFTCLHSPADIKQKNIDAIKAIVTIADEDGNFLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFALNQNELDKSKQAKPNMLPVLKKKGPGKIQYAAAAMRRGTYDSAGVGGDASAGITPEQMNNLVSNLNMLEQVGDMSRIFIRSQKLNSEAVIDFVKALCKVSMEELKSTSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWNVLSDYFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVVVMRKCSAVEIRELIIRCVSQMVLSRVKNVKSGWKSMFMVFQTAAYDDHKNIVLLAFEIIEKILRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRFCAAKLAEGDIGFSKIKEKEASEKVSISPHKGTDGKYSNGNMTDREDHLYFWFPLLAVLLYSSPGLSELSFDPRPEIRKSALQVLFDTLRNYGHHFSLPLWERVFESVLFPIFDYVRHAIDPSGGSTPEQGIDGDAGELDQDAWLYETCTLALQLVVDLFVKFYDTVNPLLRKVLMLLVSFIKRPHHSLAGIGISAFVRLMSNAGNLFSDDKWLEVVLSLKEAADATLPDFSFTLNEDTSQRVLDSNRQNNTELVAETALSGDDSSSSRAYHLQDAIADAKCRAAVQLLLIQAVMEIYNMYRGHLSAKNTIIAFDAVHTVALHAHKINADTTLRSKLQEFASMTQMQDPPLLRLENESYQICLTFLQNLALDRPKGFEESEVESQLVELCQEVLQFYIEVARPPQMAVPSLVESPKWLIPLASGKRRELAARAPLVVSTIHAICSLEESLFEAKMTHFFPLLLSLISCEHGSNEVQVAVSDMLSTSVGPILLRSC >KZN07250 pep chromosome:ASM162521v1:2:39406323:39409420:-1 gene:DCAR_008087 transcript:KZN07250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIGDYYYELFPDYHPSRVLTLVYQPFALGIMAILTYNESKIDTRKRNIAGYILFCVSTFALIVFDLATSGKGSVGYFIGICVFVGAFGAADAHVQGGMVGDLSFMRPEFMQSFFGGLAASGALTSGLRLVTKAAFENSANGLRKGTMLFLAISTFLEFICIFLYAFIFPKLPVVKFYRSRAAFEGSKTVTCDLAAAGIQKQEIQNADNDANPQRLTKKQLFFQNIDYLLDLFLIYVLTLSIFPGFLYENTGKHQLGSWYPLVLIAMYNVLDLVGRYVPLINLIKLESRKGLMIAILSRFLLIPAFYFTAKYGDQGWMILLVSFLGLTNGYLTVCVMTVAPKGYKGPEQNALGNLLVLFLLGGIFAGVALDWLWLIGSTAKF >KZN06066 pep chromosome:ASM162521v1:2:29862943:29865165:-1 gene:DCAR_006903 transcript:KZN06066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMIMGAFIPGLRRKYPLHEYISAILLVVGLILFTLADAQSSPNFSIIGVIMVSGALVMDAFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLIPPMLLTGELFKAWTSCYQHPYVYGVLIFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYMIFTKPLTEQHGTGLILISMGIILKMLPLQDSTPTKRSTRPSMAAMVEISSNSDKSIDVVDNDEEEQRPLV >KZN05981 pep chromosome:ASM162521v1:2:28884398:28884808:-1 gene:DCAR_006818 transcript:KZN05981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNTTAASLSLFLSLALVFVSLVSACDTKTSPDVQKPSPTPAVPTPTYTYAAKCPDVIKLGVCVNVLNLVDVVVGSPPTLPCCSLIQGLVDLEAALCLCTAIRANVLGINLNVPVALSLVLNNCGKKVPTGFQCY >KZN05864 pep chromosome:ASM162521v1:2:27914167:27915868:1 gene:DCAR_006701 transcript:KZN05864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPPSAHKHDHYSTLGIPRTATLSEICNAYKVLVRKWHPDRHHSNRAEADTQFQNITEAYRVLSSKKREESAIPIPSDNDLKTPKSSKTKNKDDEFYISSPRSASPPQSEINASHHKSSKKKKSKKSKTPKRAHGSPKNESEKPSLSRVGTQKSNSNPIIYSQSTARRKPQPTEKKLECTLEELLQGCVKHIKITRDTISENGHIVQEEETLRIRVKPGWRKGTKITFEDKGDEKPGSLPADIIFVVHEKKHPIFKREGDDLQLGVEVPLIEALTGCTITVPLLGGEEMTLSLNEILYPGYEKVIPGQGMPIPKQEGRKGDLRLNFLVKFPRELSDDQRSNVKYILNDCS >KZN05932 pep chromosome:ASM162521v1:2:28493513:28502073:-1 gene:DCAR_006769 transcript:KZN05932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETYDEDEDEIIENLPFKTTKEPLKVMLLHGILDIYIQEAKHLPNMDAISSMFNSKCGGRSKKNTSDPYVAVKISKATIARTFVIPDSENPKWMQQFNVLVAHYASELFFLIKDSDVVGSEIIGVVGIPVDQIWWGKKYEGTFPILNASGKPCRKGAVLTASIQYTSNDKIPLYHDNVGLGPDYPGVPGTYFPLRKGGKTTKEPLKVMLLHGILDIYIQEAKHLPNMDAISSMFNSKCGGRSKKNTSDPYVAVKISKATIARTFVIPDSENPKWMQQFNVLVAHYASELFFLIKDSDVVGSEIIGVVGIPVDQIWWGKKYEGTFPILNASGKPCRKGAVLTASIQYTSNDKIPLYHDNVGLGPDYPGVPGTYFPLRKGGKVSLYQDAHVPDSLLPTVKLDNDIDYVHGKCWRDIFSAIGNATRLIYITGWSVVHRVRLVRDDDSITGSSLGELLKIKSQEGVRVLLLVWDDPTSTSIMGVRKDGIMDTNDEQTRRFFKGSSVKVLLCPRMAGKGHSWAQKKEVGTIYTHHQKTVILDADAGDGKRKIIAFVGGLDLCTGRYDNPEHPLFRSLRTLHKDDYHNPTFLGCTAGCPREPWHDLHSLIDGPAARDEDAPDSSGEDTEAWHVQGEGTTASXQYEDSLLKIGRIPNIINQEDAPDSSGEDTEAWHVQVFRSIDSSSVKGFPKDPKTTTGRNLVCGKNVLIDMSIHAAYVKAIRAAQHFIYIENQYFIGSSYNWAHYRSLGEKSPSSRSMKTKILNAGANNLIPIEVALKIASKIRANERFCAYIVIPMWPEGVPTSSPTQRILFWQNNTMQMMYDIIYKALVEAGLENEYEPQDYLNFFCLGNREADVEGFPEAGNQPACSPQALAHKNRRFMIYVHSKGMIVDDEFVILGSANINQRSLEGTRDTEIAMGAYQPHYSWASKNSGPRGQVYGYRMSLWAEHIGGIEEYFERPESLECVRNIRLLSEKNWQQFAAEEATTMKGHLLKYPVVVDRTGKVKPIPGCESFPDVGGAIVGAFVAIQENLTI >KZN06349 pep chromosome:ASM162521v1:2:32100908:32102718:-1 gene:DCAR_007186 transcript:KZN06349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKRAFDEEGLQELSLKHPKQLDFKEQATSPPEINTSLGASQKMTSLPEINVSYGALQKTNFSGDAVGELGDTMSKDGSGNTASKSNGTPVLYGTSGNREEDIGSRTLSYSRVYPESFEFTYPGRSLRQFEDTYSSLLNSSPIKEVPIGPDHQADVPVWDLTVDSIGFTDANVNYAGGFNIGLCVIPVPDLELSDDVGKGTDSRLDCNCLDGGSVRCVQQHVKEAREDLKKKIGLEKFVGLGFNEMGEEVASKWTEEEEQLFHEVVYCNPVSHGRNFWVHLSTMFSSRSKEELVSYYFNVFMLRRRAAQNRSSWLETDSDDDEWNGIGGPFGMTEEDERTAAISFINRDVWVDHGDDSQHEYDDDDNDSSSDDSDGNDNGDEHVIDDNDNGELQVVKADATKNIKRESHSRKDEYSDDHRFNQMPHHLAKSLGSLKELLGVEENSCTSFEIQPCVNSSPYPIYERGALQETKVNGVCEKILDVSGDGCTDKSRYHYSLDSCDSKVWDVTYPVSYMASVDLLPTCNMMEEIFGPCNWNKSNNG >KZN04594 pep chromosome:ASM162521v1:2:13194777:13195072:1 gene:DCAR_005431 transcript:KZN04594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLLHQILRRNNIRDDPLVCTKPYQIFRRTNIRDDPLVRTKSYQITYTAKL >KZN04902 pep chromosome:ASM162521v1:2:17741126:17742489:1 gene:DCAR_005739 transcript:KZN04902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNTEEIERECFKKGAQVEVNLEEPGFRGSWFTGTVIRAVTKKTRKLFIEFDTLRSDDGSKPLRELVDVILARPIPPRERFRSFKFEEVDAYYNDGWWEGVITGVHEDDRYTVYFRPSKEEIQFRGSDLRLHREWVRGIWVPPLEEEDSDEENDDEDEEWTGEFKNMLDLRRENYWNLLSPKISTDTIRNVMDMKANMGSFGAALKNKDVWVMNIISEEGPNTLKLVYDRGLIGSIHNWCEAYSTYPRTYDLLHAGTVFSDIEKKGCSGEDLLIEMDRILRPTGFINIRDKQHVIDFVKKYLTALHWEAVTAADTSTEVDQDGDDIVFIVQKKLWLTTESLRTTE >KZN05289 pep chromosome:ASM162521v1:2:22229292:22229528:1 gene:DCAR_006126 transcript:KZN05289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWVENFRPSKVCDIVGNEGAVSRLQVIARDGNMPDPILAL >KZN04262 pep chromosome:ASM162521v1:2:5529973:5532600:-1 gene:DCAR_005096 transcript:KZN04262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLPTLAANIQNEMNKKSKQKEKAPEEGSEYNPGDEDDVVDDIAEIPKKRNKKPLKMKLPGGPTTRSRSTVVNDPQKDGTDKEASLPNLVKPAAPIQLPQNEAVGSMAAHLAMRARQKEEGAKKKVVHASGNGSGNGNEEADVANGDNEMPDNEDTVEEVPKRTRGKTTMDKVHTRPFEKRVEVKMNDRFQPISDNDKVISEFGYFLGTLKKSVPLTYKSWRDVPDSLKTTLLNYVKANESDVALFAKTRKRNNDRDYKTDGKVTTFVVDKIEKVLGKDLSGQAEGVDELLLDGKAHGPSWLLGRCPKSANSSSSGMKESQISELTAKIRQDVVAEMDEKLKKKVQDEVDAKVNKKVQENLSWVLKKLGEANPGINVNLAELCATMSTDNEGTPLNENDTPLTRGGSS >KZN04582 pep chromosome:ASM162521v1:2:13014581:13029333:-1 gene:DCAR_005419 transcript:KZN04582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGHMIVALKAMLMPPHMFSSKDPKLLAALVESRKRHAPYAGAFLIKDEPGTDSSVTSGSDTEKSIYELKGKELLDRLHEVGTLAQITSIQGDQVVLIGHRRLRITEMVSEDPLTVKIDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHIGDFNYPRLADFGAAISGANKSQCQEVLEELDVYKRLKLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLEADDKTALSAKFKERLEPNKEKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTALPWGNYSDENFDVIHAQKILDEDHYGLGDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASAMLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEIISIAGYITDEKTHIARDYLEKATREACGINPKQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGATCDPSTAAKLDSKTDGTTVGVDEKVQEVVSETAEEAEVVEVVQSNEKEDISINQVSDSKDHDETKLEPEVEETKPVEKVLVEESNLSDFVGKPVFHAERIYENTPVGVVMGLAWTSMGGSTLYVETTHVEEGEGKGALQLTGQLGDVMKESAQIAHTVARAILAAKEPGKSFFANTKLHLHVPAGATPKDGPSAGCTMITSLLSLAMNKPVKKDIAMTGEVTLTGRILPIGGVKEKTIAARRSQVKTLIFPAANKRDFDELASNVKEGLEAHFVDNYNQIFELAFEEKQKEQ >KZN07788 pep chromosome:ASM162521v1:2:43581398:43589976:1 gene:DCAR_008625 transcript:KZN07788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELGRYRSRILRDALWKCLLLLMCVLVRSSPPENPIRCTTFNSSTKCTITNSYGAFPDRSTCRAADAVYPTTEEELVSVVAMATKNGRKMKVATRFGHSIPKLVCADGDQGLLISTEYLNRTLHINESSGMMRVETGVTLKQLIEDAAKAGLALPYAPYWWGLTVGGLMGTGAHGSSLWGKGSSVHDYVVQLRIVTPAEHADGYARVRNLDDYNQLEFNAAKVSLGVLGVISEVTLKLEPLFKRSVTYIEKSDSDLAEQVIEFGKQHEFADLIWYPSQRKAMYRMDDRVPYNTSGNAWIDFPGFQSTPSVALAILRLTEEAEESKADADSKCRRAKLITSALRLSGYGFTNNGIIFTGYPVIGYHNRLQASGACLTGPENALITACPWDHRIKALFYHQTTFSIGLSKVPDFIRDVQKLVHLAPKSLCGVDLYDGILMRYVTRSNAFLGKQEDAVDFDITYYRSKDPLRPRLFQDILEEIEQLGMFKYGALPHWGKNRNVAFHKAINKYKKAAEFIKVKRMYDPQGLFSSEWTDQVLGLKDGLMIVKQGCGLEGLCICSEDSHCAPDKGYLCQQGKVYPDAKLNGVVRMAALRLAKSRSLGSSCFSFGRDLSRIETRVLFSLMNTSSFCTNSSGFVLDNIGGKFDCKGGKDLGSDRAKWCGTFSAMPVRLGSNVLGFGGSFGMVSQRLYSSNAVGGNVDKSGGSEVGVSSGGVDVSDGGFGGGDWVEKVKGAWKSVIDAGNYTGEKVKEVSDELMPYGQQLLDTHPYLKDVIVPVGCTLTGTILAWFVMPKVLRRFHKFSMQGPAQLLSGSSLWGPVPYERSFWGALEDPLRYVVTFMAFSQIANMVAPTTIASQYILQTWRGAVILSVVWFLHRWKTNVISRALASKSSQGLVDRDKLLAIDKISSVALFSLGLMALAEAFGVAVQSILTVGGIGGNGLSVQMSRPFSVGDTIRAGSVEGQVVEMGLTTTRLLSAEKFPVIVPNSLFSSQVIVNKSRAEWRAMLTKIPLHISEFDKIPQISDDITNMIKSNSNVFLEREVPYCFLSRVERSYAELTLGYNLKHMRKDLLCAAEQDILLKSVQIIQQHGATLGSTFEDSIGQ >KZN05522 pep chromosome:ASM162521v1:2:24674458:24675057:-1 gene:DCAR_006359 transcript:KZN05522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPRDLSHGSSEGDEVHPSHIIPPTLPNHNDPHFNIGNSSCNSAAGTAKPRGRPRGSKNRPKEDSKGENMGMRPVTLEVPAGVDIINQVANFAKSNEVCIAVTAGFGKVSVAVLRNVLSQAPDRVYKEHLAVINFSSTYVFSPLAQATPSFFNVTLDRMNGELIGGTTFRMVTLGKVVLSAYVFQNPHVFTIEVAGFH >KZN06781 pep chromosome:ASM162521v1:2:35774706:35777600:-1 gene:DCAR_007618 transcript:KZN06781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNRIPREAYENRRVYLPEGHVVRGPLPPPMPPHPALLEEELELQHLEIRRLFGENRRLVDDRIAMQRDLGAAKEELHRMNLLINDIRAEQDLHSRELIERGLKLEDDLRAAEPLKKEAVHLRSEVKKLDSVNQDLSIQVKTLTKELGKLQTDNQQIPLLRAEVDGLHQELMRARTAFDYEKKANMELLEQRQAMEKNLLSMAREVEKLRAEHANADARPWAAGGNYGMNLNTPEGGFHASFGDSYRSHMGAADKGLLYGSGSGSGPGAWGGVEKPRMTRR >KZN04587 pep chromosome:ASM162521v1:2:13072737:13073948:1 gene:DCAR_005424 transcript:KZN04587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSFSNLNWNCGIDCAKPRSLPLPNYIPVEILAQILLFLPVKSLIQLTPICKSWYNLIKDPHFISAHLIHSLNIAKNNTNTSDDHRYLLATPCKFKPFGDKYFCFVIHPDNMKVLEKWELPICTRNMNVEVVNSCNGLLCLTECYPNAFGHVVYLWNMSIRKFKTVENSELNLYNSIVFKRVTGFGYDYMANDYKVVRILYFKEDVAPEIEVYSVKMGSWRRIGVDVDFIAHCSSASVPFVNGALHWMAQPYRLGKLGKYMFPVNEFIMAFDIADEGFRKMALPLNCSRLDASVMDFKELLSLYVPFRSADHIFEGCYIWVMSEYGVTKSWSKLFTINVSAPMRVRPLGLTKNEKLMLVKDEEQLVSLDLQNLQAQDLGLDACLYAVDCSYMESLALLDQGI >KZN05761 pep chromosome:ASM162521v1:2:27006765:27008420:1 gene:DCAR_006598 transcript:KZN05761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKIFTFLLLSIAFTRFLTPATSQWLARLLGNQGEWEFLRTSIGISAMHMQLLSNNKVVIFDRTDFGSSNLSLSDGRCRIDPSDSILRTDCSAHSVMYDTQTNTIRPLMVQTDTWCSSGAVLPNGTLIQTGGFNDGDHVLRKIVPCEDDEGCDWTEVPGYLLHRRWYATNQILPDGRIIVIGGRRQFNYEFYPKQFPSPNNFLNFLRETTDENENNLYPFVHLLPDGNLLLFANTRAIVFNYIQNRVIRSLPPIPGNEPRNYPSSGSSVLLPLDENQPLVIEIMVCGGAPRNAYLSAVHGTYVRAVTTCGRLRISDQNPVWEMETMPLGRVMGDMLILPSGDILIINGAGSGAAGWENARNPVLRPVLYHPKEPKNSTRFWVMKPADRPRLYHSTAILLPDTKVLVGGSNPHVFYNFSGVQYPTDLSLEAFSPPYLAEGYDAIRPQILYTDDIIGYNQSFSVIFKVPKFLKLGIVSARIIAPSFTTHAFSMSQRMVVLREINGVLPVVSFGALSISVFGPSTPQIAPPGFYMLFVVHAGIPSSAVWVKVQ >KZN06291 pep chromosome:ASM162521v1:2:31732053:31732747:1 gene:DCAR_007128 transcript:KZN06291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGTAVEVSFKEEKHDVWHVGTVMKVTGINKFLVKFQCPGDDDDEQEVSSSDVRPSPPSAKANNFALREEVEAYFECCWRSGVVKELLVDRRYLVFIKQAKTEMIFDIVNLRPRMVWIDGKWSNEAKPRDQMMDQGHPTAKDLLYDFSSTN >KZN07004 pep chromosome:ASM162521v1:2:37535996:37536910:1 gene:DCAR_007841 transcript:KZN07004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKQNMKIWTQSWCPYPITSPQLRGIVAMIHGYASESSWLLELTAVGIAKTGFMVCALDLQGHGYSDGCPGHLPDIHILADDCIQFFDSVKNSNPKLPAFIYGESIGGAIAILVCLKQRSAWKGLILNGAMCGLSKIVKPIWPLEKLLPLVASIAPNWEIGMSPGNYKSYKEVWKRRLVSKSPSRNRMISGSGRSTAASTLQCFRAWRIIEREGHELKLPMLIVHGGHDEVCDPVFAVHVYKSASSTDKSLKIFEGMWHMLIGEPNESVERVFQTIVSWIAERADKANVDSPADFIGKTRSNL >KZN07622 pep chromosome:ASM162521v1:2:42260335:42261143:1 gene:DCAR_008459 transcript:KZN07622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTRMNSLVVAVIVVAMTASFVTEAQTLPPCVQKLMGCADSLNTTTTPPETCCAPLKEALTNELPCLCKLYTNTDLLKSFNINVTQAMEMPGRCGISVSFDVCTASAPSPGPSSSVGKIAATGFFSSVMILVSAMLF >KZN04127 pep chromosome:ASM162521v1:2:2385016:2387200:1 gene:DCAR_004964 transcript:KZN04127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDHLMGWNKNSSEGGESEEPKVTVPETPKEPLDFLSRSWSLSATEISKALAHKNISKQFVVTNNSPPRVPEPVIAPHMKANIMNVVNAPKPRTIGRWFHKREMSSTVKKKEKARIDNAHLHSATSVAGVAAALAAVAANKNAKESGSKMSSSLASATELLASYCIELAESAGADHDTVASVVKSSIDIRSPSDLLTLTAAAATALRGEAALKARAPKEGKKTAAISPYDRNVPEARVIDDLGDESQGRDPPCIGDLLQHTCKGVFRWKHVSIYINKKYEVTIKLKSKHVGGAFSKKNKCVVYGICDETTAWPFKKERENVETHFGVKTAKGLLEFKCKNKIHKQRWVDGIQNLLCRTINTHEAQQSLSFLNIK >KZN05972 pep chromosome:ASM162521v1:2:28812739:28814466:1 gene:DCAR_006809 transcript:KZN05972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLIVAVMVVAMISNLVVEGQEDLPVCVANLVSCVEYLNTTTPPPVTCCLPLKEAVTDQLPCLCGIYNDPSLLISFGINVTQAIQLPVRCGINFSITECTGSQAPTPGPGSTPNSPTTPATPPSSASQSATATFSSLFILAAAMLY >KZN04726 pep chromosome:ASM162521v1:2:15097246:15101198:1 gene:DCAR_005563 transcript:KZN04726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDSVEHSSSTLCETNDKIVSRCVNEKQEKGLSEDVLGTGFLEKEPSEFALSFRFPTYDEFIATKRGNGEFVSSEAIPSASIDKYHLSEKSFSGEPVTFSVEEKNAEVNDVSFESKYVNDEEECLSDRHLNVSPLEVTEESMIRGVTGQELNEKNERVSLTRDRGDDFDEEYNSRVSEEIESTSMDSDFRWIGTDGFLSEKDFGKTFDLDYLINVDDKKAGSSDELSDFDESLASYNGDGEDSDIVKELRKLEEHMDGTKSDSDESRESYDFEGEDSDIMEELRELEDGIDNNDKITSNHLSHKNSDERSNNSNQGEVDKCISSAVNSEHSESKNRSPVETEDTNKLETLWEHQDLVEQLKMELKKVRASGLPTILEDFESPKIMEDLKPWKIEEKFQHQNPMGELNKFYKSYRERMRKLDVLTYQKMYAIDDKSNVGKKGKGIQRGDYVISSDMLVEILEESIRLFWRFVRADKQCTHANRRQKATLVDPQEPAASVILSELQKDLQKVARKSC >KZN04576 pep chromosome:ASM162521v1:2:12961582:12970996:1 gene:DCAR_005413 transcript:KZN04576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGNIQEHNSDAAHQLLTMCTHSYFDLTHVSPVVFLYLLKECYVRGKCKATMKFRSLQQTVHQVLYNAPRPGPAIFVARCLLVLPIFESHCEGFSHLLISALRRFLINGTTKEDMLEAKFLASKLFLNTVGGIGHDERILIKILEVFDVKLEDIGKVICNPDVKDENNLNAAKEFIKQYILNLVESKSYMTAVTLLEHFGFCQFGEAFLLKMMEHKEYTAAEKWAAFMGKPMLCVLVQEYLDRSMLKNAYNVIRNNSLKDEFPEAYHKGKESSIKKFAEKGLWDIAEERTNKNKQHLEYLVYLAMEAGYFEKAEELRERHALEGFANLDELQASLPKTRFFDLNELALDGIKWVDDVSGLRDATCHIEGCKVIGIDCEWKPVYEKGKKPKVSIIQIASEKMVHILDLIKLFEDVPEVLDNCLTRIFRSSKVLKLGYNFQCDAKQLARSYESLECFKHYEMLLDIQNLFNEPRGGLSGLTKKILGAELNKTRRNSDWEQRPLTPNQLEYAALDAVVLIHIFAHFRGQSPQGWKAHIGLVKVRPVDEPVKQMESA >KZN05993 pep chromosome:ASM162521v1:2:28966902:28968498:1 gene:DCAR_006830 transcript:KZN05993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGAIPTAADLPWSPPSNNDLRHLAYMPFYFSVEYSQGNGWEIGKLQIHTSQNGGSSNGPGPGHIVFHGRNEGGFGSARRWRRALQLVGDLVDDIINLSTPTAADSPPSSNNVHSMHTYGMITL >KZN04447 pep chromosome:ASM162521v1:2:10954524:10956014:1 gene:DCAR_005284 transcript:KZN04447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRGLLLFFVLFYSSTGSGTPTTTVVGVNYGRRGNNIQSPYHTIRLLKNLNVSYVKIYDADSETLTLLSKTNIHVSVMVPNQLISNIASSQSVANQWVRENVLAYYPDTRIRFILVGNEVLSFNATSSDIRIWRDLVPAMQKIKKSLAAKNIRNIKIGTPLAMDIMESTFPPSNGKFRANSLTDVLLPMLHFLNSTKSYFFLDVYPYYAWAANPSGVGLDYALFEGGNGSLYTDPATGLNYTNLLDQMLDSVVYAMSTLGYKDVKLAIAETGWPHSGGLEEPGANMYNAATYNRNLVKKMTAQSPKGTPARPGAVIPTFIFSLYDENRKSGPGTERHWGLFHPTNGNPIYDLDITGVRTDYGRALTRPTNNGAYKGKAWCVVARGASEAELGSALESACRQVEGMCEALRPGRECYEPLSVTSHADYAFSSYWARMRNVTSANCYFNGLAVETTTDPSHGSCKVPSVTL >KZN06733 pep chromosome:ASM162521v1:2:35418951:35423902:-1 gene:DCAR_007570 transcript:KZN06733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVDMRPDKPPSPPPNQIHKPSPTIKNLFPSPALSLSLAGIFRHGGGAAANYMDVEEGDEAAGGGRGSSSRREDPTDISSENSVPVRSDDDYGDQEDDVDADKNQKKKRKKYHRHTADQIREMEALFKESPHPDEKQRQELSQKLGLHPRQVKFWFQNRRTQIKAIQERHENSLLKTEMDRLRDENKGLREIITKGACLSCGVPTSAKDAIISSEEQQLRIENARLKAENEKLRAATGKYAVESPPARTTCSPGIDQVGSISCSLDSYSCTFGLEKSQIIEIANKATEELTNMATRGEPLWIRSFETGREILNYDEYMKEFSVENLTKRRAKGSSVEASRDSGVVFMDPLTLVQCFMDVNQWQELFPCIISKAAIVDVIDNGEGDSKNGAIQLMFAELQMLTPVVATREVYFVRHCKQLTADQWAIVDVSIDRVENNIDARCSRRPSGCIINDKSNGHSKVIWVEHIECQKSTVHTLYHTIVNSGVAFGASHWMKTLQLQCERLVFFMATNIPTKDSSGITTLAGRKSILKLAQRMTRSFYRALGASNYQSWNKATSKNGEDIRIASRKNFNDPGEPLGAVLCAILSVWLPVPHQSLFDFLRDETRRNEWEIMANEGPVQNIASMAKGQDRGNAVTIQAMKHKEKSMWILQDSCTNAYESTIVYAPVDIGSMQSAMTGCDSSNIAILPSGFSILPDGLESRPLVISSRQEDKSADGGSLLTIAFQILTSNSPSEKLSMESIESVKTLVSGTLKNIKTALQCESG >KZN07384 pep chromosome:ASM162521v1:2:40471640:40476451:-1 gene:DCAR_008221 transcript:KZN07384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSHVAHGMEELYHNYKDAHQDNMTFQVFQSGVPNAEDIVLSNMAVALDRISNVVKDPFLFSPYHEAIREPFDYYTFAQDYIRPLINFGHSYVGNISLFSEMEEKLRQGDNVILISNHQTEADPAVIALLLESTHPYIGEGVTYIAGDRVVTDPLCKPFSMGRNLLCVYSKKHMNDDLELVDMKKRANTRSLKEMALLLRSGSKIIWIAPSGGRDRPDPVTKEWFPGQQFKLVCHSCKAILFYILIQEVQATFDASSVDNMRRLMLHAGRPGHIYPVALLCHDIMPPPAQVEKEIGERRVIAFHGTGLSIAPPIDFNKISDGCENPEEAKVAYSQALYDSVNQQYNVLRSAIHGKQGLAASIPTVSLSQPWQ >KZN07055 pep chromosome:ASM162521v1:2:38016271:38034377:1 gene:DCAR_007892 transcript:KZN07055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKSLPYSTKDLHYDNAKFRPRSITKVISHNLITNNMKRDCMTCSTGKFLILLLICGMAFLVLSHPSSIHSVSDGLTEDIGDLKGNGSLLENSKRLGFWRKPPRLPPRLTPDKLNNKSKFIHSSEKLDTTASFRQQEVKKAFVHAWSGYKQFAMGYDELMPLSKTGVDGLGGLGATVVDALDTAMIMGLDDVVFEAGSWIETQLPDRINEKGQVNLFETTIRVVGGLLSAYHLSGGEEGKNLYTKGPRPIVYLENARNLADRLLTAFTASPTAIPYSDVVLRDIKAYPAPGGLSSTSEVSTLQLEFNYLSDLTGDPKYGLESMKVLEHMKNLPKVEGLVPIYISPSSGEFSGSNIRLGSRGDSYYEYLIKVWLQHRDRNYTYLYDMYAEAIKGVKHLLVQKSTPNGLVFVGELPSGYGAEFSPKMDHLVCFLPGTLALGATKGITKEKAMRENLLTFEDIENLKLAEELAKTCFEMYSVTATGLAPEIAYFSVKGDSEEGSDGGNKSSKYLHDIIIHPADRHNLLRPETVESLFVLYRITEDQKYREWGWEIFEAFEKYTKVDSGGYTSLDDVTVVPPRRRDKMETFFLGETLKFVQSHTRLPRDTEYFFYEISPSSGEFSGSNIRLGSRGDSYYEYLIKVWLQHRDRNYTYLYDMYAEAIKGVKHLLVQKSTPNGLVFVGELPSGYGAEFSPKMDHLVCFLPGTLALGATKGITKEKAMRENLLTFEDIENLKLAEELAKTCFEMYSVTATGLAPEIAYFSVKGDSEEGSDGGNKSSKYLHDIIIHPADRHNLLRPETVESLFVLYRITEDQKYREWGWEIFEAFEKYTKVDSGGYTSLDDVTVVPPRRRDKMETFFLGETLKFVQSHTRLPRDTEYFFYEISIGHWSKLTRSMYLRTFSGFASNWLWYVAQNPWFSKHKPGNTYWKRTCMTDFPNRELTRLRQRTVHRLRAKTLFTFVIRKLKTYKVWKWVAVCTFVMAHTVAAQVEDGLLINGDFETPPAGGFSGFGVADGPTAIPSWRLNGTVELISSGEKQGGMILIVPEGEHALRLGNDAEISQEVKLEKGSIYSITFSAARTCGQLESLNVSVPPASQTIDLETLYSVQGWDTYAWAVEVEEENVRVAFKNPGMEDDPTCGPIIDDIAIKKLFVPEKVKDNAVLNGDFEEGPWMFRNASLGVLLPTNLDEETSSLPGWIVESNRAVRYIDSNHFTVPEGKRAVELLSGKEGIISQMVETKPNKAYRLSFSLGHAGDKCKQPLAVMAFAGDQAENIHYTPNSNATFQTADVNFTAKADRTRIAFYSIYYNTRSDDSTSLCGPVVDDVRVAVSGSSKIKLGGIVMMLVLASSLF >KZN07255 pep chromosome:ASM162521v1:2:39444076:39446019:1 gene:DCAR_008092 transcript:KZN07255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNVQHGMRSFVEHSSHEHPLVLQQNDDVIARGALCYVCDRSIGDSATYICTSRDIFEDCENYYLHKSCAELPTSIVHYKHKDHKLVLKLRDYCSCDICSRHVKSLAYACEDCDFDVCVICSFEQRELLHAGHWEHPLTLMPRNALFECDACGDESKDSSYVCTICDFWIHKGCAMAPLVTEPNYHNHPISLIYSIPNIHRNFRKLCGICHYRVYRSNWMYYCQKCQFFVHMRCAIKYNEESAVSDTDTVSLVQFPLASEKSLFDLFSGNCCRFQVDFQSNDPDIIKEHWSHNHPLEKFQFISSSENDNEDNSDDRRVMICDGCIQPISEFHLSYYACIQCALFLHPLCATKLPKELPIGACTFHPEHLLFLRKTDRFYKFVKCGVCSFPTNGFYYHCESCDVKVDIRCAFLPKRIKHKSHKQHSLTMYRSDKSKCSASKLLIGEGMQYACMTCTDFQIHILSAFYPRKITHKYDPHLLTLRQPPFFYEGVLYCQICEERVNNQFWLYYCDECDRGYHCDCVRQYENVKLGGTIKLRLNDENHTFALVLKRPQGMKSSVHICFYCKHEFSYAFLFECDGCGFLACCKCVDEILGE >KZN05845 pep chromosome:ASM162521v1:2:27706161:27713236:1 gene:DCAR_006682 transcript:KZN05845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEEVIHHSWRDSYRGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKRAGATGVRAGVGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIMLKEKLHIFGILGCILCVVGSTTIVLHAPQERAIESVKEVWDLATEPGFLLYASLVIAAVFVLIFRFVPQYGQTHIMCYIGVCSLVGSLSVMSVKAIGIALKLTLSGMNQLIYPQTWAFTTVVILCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQSPTQIVTEMCGFVTILSGTFLLHRTKDMTDGPAPLPVRLPKYTEEDGFSQEGIPLRRQETTSRST >KZN05395 pep chromosome:ASM162521v1:2:23370929:23371894:1 gene:DCAR_006232 transcript:KZN05395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITASQLIASDIFPVPVVKFLLYPGAVVNGLVSNMTMPMWGDVLKVYNLTDAKGTSSVPDLQRLEVLAGSYFSVAGALIGVMKPGRMSMFGTLLIIWGLVKEGILGKPVNTDPTKAVFVYPTILIAVVCAFSSVRYDANKMKKRTAARPVAQPLKSSKKSKLK >KZN06182 pep chromosome:ASM162521v1:2:30814050:30815361:1 gene:DCAR_007019 transcript:KZN06182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKTATKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFAKVKKYGLPLLLTQDEGVKSFISNLNSQLSEWLEAGKLQRIVLVIMSKATNEVLERWNFSIQTDEEVVEKGVSREKSDKEIMREIQAIMRQIISSITYLPCLDEPCIFDVLAYTDKEAEVPITWIESDAKLIENPQMVKLHSFDTKIHKVDTLVSYKNDEWDEE >KZN07023 pep chromosome:ASM162521v1:2:37749871:37750587:1 gene:DCAR_007860 transcript:KZN07023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRKSYRTLSPHPAAATNNNHPLPPLSSEEEAFIMVSALTNVITGDIANTLPYMQETETCKFCNISGCLGCDFFGIDVCENDYKNNTNKGKNVNAVVMKKKKKNNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFETAEGAARAYDKAAIEFRGPRAKLNFPFADYKSSSQIKQEEEGPRQKDEKREGNLRRIEMEMGEIKENECFGIIGDDEFQKWMMAMDNYDHSCDLASGIIVI >KZN07495 pep chromosome:ASM162521v1:2:41326319:41327167:-1 gene:DCAR_008332 transcript:KZN07495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDPYQSITNECRISILQEEKLGRSGFAFEPVEEEPEPEEPVRVTGITMVSLPGSESEHMDEEIFHTPPEQHQSRSVSSCDAPGPEIRPGTPQSGRKCVGDVFVEGVVCDDDESGDEVDLKGKKVDSKFNGKNVIDTEMVDTEVVILSDEENCDNVVDNAKCESSRGRFGRKNAEDLDAVRDVSRGGVGTSRGVSGRRTLPNSFKEQKENEGLWVPRSSVRDRAERESSNKPFNCEMFEILLASAGIVFSGGTDTDYMKAARENGLVFYRPRWWPIEGFGN >KZN07268 pep chromosome:ASM162521v1:2:39566545:39567183:1 gene:DCAR_008105 transcript:KZN07268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHSHLLTVLLVSSFYFCTLGSLDSLLEIYGLPRGLFPQNVKSYSLDQDNILKIELEAPCLVMFETYVFYEKVVRANLTERKLLGVKGLSNKELFIWLPVNAVVVNYPTAGVVMFDITVANKTIPLSHFEKPPSCRSEGQMPLKPREGGSGVWI >KZN05339 pep chromosome:ASM162521v1:2:22865569:22867473:1 gene:DCAR_006176 transcript:KZN05339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSCNIPVIDLQDFPAQRSKLVQVCEEWGCFRLVNYQHILSDTLMSEMKSVVKSLFDLPLEIKRRNSDAIAGSGYWAPSEKNPLYEAFGLYVSSQQDVDAFCSQLNASSDQRETLTKYAKAVHELFVEISGKLADGLGISSFAIDGWPFQFRINKYRFAQETVGSSGVQIHTDSGFLTILQDDESVGGLEVMDKSGAFVPVDPWPSTLLVNLGDVATAWSNGRLRTVKHRVQCKEANLRYSIAAFLLGPKEAVEAPAELVDAAHPRLYAPFTFEDYRKLRLSTKLQAGEALDLLLIKP >KZN05244 pep chromosome:ASM162521v1:2:21623368:21623718:1 gene:DCAR_006081 transcript:KZN05244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRSKSNKAIPPYSYSASTSVHTPTFASHYYMKLHKRGEEELMEVIGGGPNHLVSDDGWWHTDWIDERRWTDEVEKRGEETRGLMKDMCVLPLCGDAPFVVETPPLSGDDDIRR >KZN07564 pep chromosome:ASM162521v1:2:41855883:41859070:1 gene:DCAR_008401 transcript:KZN07564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMRPINLFQDLLKLKASERGRFLGLDVGDKYVGLAVSDYHNQIASPLRVLLRKKSNIDLMATDFQSLISEFSLSGFIIGYPFYRNRNSPDAIQVKLFVEDLCKTGVLEGLRYTFWDECFTSKITELLLKDLNLHPVQYKSAIDKFAAVGILQVKESTNR >KZN04041 pep chromosome:ASM162521v1:2:1089524:1089754:1 gene:DCAR_004878 transcript:KZN04041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQKLTITAEDNGKGAKCLRVKSKGLHSVYSKTIRCNVQHAEIKGVPNAINSKKNNIVLIIKSKPNISDKKKIRT >KZN05269 pep chromosome:ASM162521v1:2:21912149:21922121:1 gene:DCAR_006106 transcript:KZN05269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFSGERVVVALFTFRIIYSTSPSLLYESVSLSLLFLFSLFVEISLESSPSHSSLLNTRPGASSGVLLGAVSLPGVMFSRLLLNSRALLVNEIGPEGEALLVTSGLVIYFGDMFACTLVKIYGYLVSSEFNSIHSGIERSEISTIIQGVLLGLLLFPFFFKYVILIWQQITNSAYTEAREDHQRGKAALFYASLACILILIVPSWMQLVHDFPAHPLLWIFDFVFSEPLKRLSLCIYWVSVIYASVLRFYNISKSSKIERILLRKYYHLMAVLMFVPALILQPKFLNLAFGAALAAFLVLEIIRVWRIWPLGHLVHQFLNAFTDHRDSDLLIVSHFSLLLGCALPIWMSSGFNDRPLAPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLPILATTGYIFTQHWFSLLLAVTLSGLLEAYTAQLDNAFIPLVFYSLLCI >KZN05072 pep chromosome:ASM162521v1:2:19645495:19647671:-1 gene:DCAR_005909 transcript:KZN05072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDWDGISSMHVTDDDRDGWRSIAFRRTWEFWEMKTETCNRRLTIVSSLPGSLLPRPRVESASSPPQDRLSESDISESGRLNLFTEPVEQLQNIDKEPLSVTKSDLKPGWLNFLTPVEQLKNIDKEPLSLTESDLKPGFFRHNP >KZN05947 pep chromosome:ASM162521v1:2:28633151:28633678:1 gene:DCAR_006784 transcript:KZN05947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRKEVIDSVKANGKIEEGAVMGLPYLQAVVKETMRLHLLVPFLVPRRAEKDVKLKGYTIPKDTQILVNAWGIARDPEYWENSTSFIPERFLNSEVDVKGQDFSLLPFGSGRRMCAGIALGQRVVSLMIASLVYHFDWELPNGMSWEVDTSERFALSLQKAKPLLAIPKILNA >KZN07224 pep chromosome:ASM162521v1:2:39254915:39259631:1 gene:DCAR_008061 transcript:KZN07224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFMGFVCAVMLFVVYKTTNLQYQQAELEAKLHPLYASQEVDEAWRNLVRLPRGIIHATSDLDLKPLWSTSRSKANVSSPRYLLAIPAGIKQKNNVDNMVQKFLPVNFTIVLFHYDGKVDEWLKFRWSNLAIHIVAQNQTKWLRIALWFAKRFLHPSIVSAYDYIFLWDEDLGVENFDPRSYLDIVKSEGFEISQPALDPNSTDIHHRITVRSKRNQFHRRIYEKRGSARCSNETEGPPCSGFVEGMAPVFSRSAWHCTWHLIQNDLVHGWGVDMKLGYCAQVRLWFYASLAYENDFGDRTQNIGVVDSEYLVHQGIQTLGGASRKKGRRKSEVLNPVLI >KZN06256 pep chromosome:ASM162521v1:2:31421850:31435386:-1 gene:DCAR_007093 transcript:KZN06256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKSVFVFDLNESPPPAASPVEKNGGGGGGRVCGSCRKAAGEVEGEMQACVRCGKCFHMKCMGTKHKVDDWKCFGCLFAGNGAGGSGSGSSSNAEKAGAGGAERLLDMNAPPPPDEEEVQFLGVSYGGASLGIHRQPEQYDQRMQASCDTSVPHHTVSGHSFNTPASYLQSLHMGSGIYFQKPSQCATDDAMSMYEAPLHHRLNHNRMPGNADTRFKPDAILETSHHERPVLTPASDRAKEIYLQDLKDFVLGKKGVLGDGWYVEFYYYPVRCKTLPIYFAPGGRRFESVSAVAIYLGLIPNAHALEADSRGDGVTLSEKGHKGKESKVFLGVDTSRAAKNIQQEFLGEKSSLSAEIINADVRRLTQSIRSPQLDKIKIDDPEHQKFCEGFPVQFEDLYIIHAGKVDQRNSYHDSGHIWPVGYKSCWHDQITGSVFVSDVLEGGDDGPLFKVQRYPCTEQYIPSCSTVVCKRSESDNSISEANDEEYTSMQMLLTEHAPPCLDDNLLSGTPAFKDPSCQEVNRKTSSDWHPQRSRNETSYCAGPGDCIGKFMVEGKSSSSVWEKVASTFLTACREAFKKTGVLQFWCGHNVDRSYFKAIKNADLLSKFSRSCGPVNIPHSFESIEDFNASSEMLKKWLQQDRFGLDLEFVQELLEQLPEVRNCPGYIFLDKRSPKSILQTVGTGFLVAKRMSDAPVKKKSTTFIKTCEARKKKAIEDFEIRARRPLGKPFCSKLPVKLIGDVLQVWEFSRRFSDVLGLEKPFSLQELECELINPWLDNPPPPQKLANGTQDVVDANSYRNHMINNHAKSSCSNYFPVVAEKFLACMGTHGNCTGVILTNAHTSLLSTLISELLIKVAPHVDPNFDTGEFKSKRGKKKDSENSNIAKKAKIDALPINDLTWPELARRYVLAVLSMEGNLDCTEIISRESGRVFHCLQGDGGPLCGSLTGVAAMEADALLLAEASKKVYGSLKSKDDVLSIDQNESDASDALKIVADNDSEVPDWARVLEPVRKLPTNVGARIRRLVHEALEKNPPEWAKKILLYSISKEVYKGNASGPTKRAVVSVLDDSRCEKPQQKPEKKEIGKTPAIALSDLLMKQCRLVLRRVTAADEKKVFCNLLGRTFLISNDNDDKGCLGYPAMVSRPLDFRTIDLRLAAGSYNGSHEAFFEDVQEVWEFSRRFSDVLGLEKPFSLQELECELINPWLDNPPPPQKLANGTQDVVDANSYRNHMINNHAKSSCSNYFPVVAEKFLACMGTHGNCTGVILTNAHTSLLSTLISELLIKVAPHVDPNFDTGEFKSKRGKKKDSENSNIAKKAKIDALPINDLTWPELARRYVLAVLSMEGNLDCTEIISRESGRVFHCLQGDGGPLCGSLTGVAAMEADALLLAEASKKVYGSLKSKDDVLSIDQNESDASDALKIVADNDSEVPDWARVLEPVRKLPTNVGARIRRLVHEALEKNPPEWAKKILLYSISKEVYKGNASGPTKRAVVSVLDDSRCEKPQQKPEKKEIGKTPAIALSDLLMKQCRLVLRRVTAADEKKVFCNLLGRTFLISNDNDDKGCLGYPAMVSRPLDFRTIDLRLAAGSYNGSHEAFFEDVQEVWYNIRMAYGSQPKLTGLAETLFRKFEEMYEEEVLVLVNKTRKHANPDSLNEKAKELEDMVAHATETSLPKAPWDEEVCKVCGMDRDDDSVLLCDSCDSEYHTYCLNPPLVRIPEGNWYCPSCLAGKPTYHGASYGTRVSSLCRRRYQKDLTNRYLDELADLANAMEVKEYWDLNLEQRIFLIKLLTDEILNSATMRDHIDRSVSGSSDLQQKIRATTSEWNALNSCADNGSMLSEAPDGEPSNFPENMISNLQKSIATLESELLKVQVRKEPLGRDSDGRLYWVFSSIGTSLQQADLQDHRTTTQSSSNMRNTVLVVGSPSSSREISFSNIFPAEEIKHAPVSSDWSCYQSDSEIQELIGWLRETVATEKELKDSISHWHQIKLHDTNVAKSHIQHEMQPTPLNSTINGKPLDTDSPVTNAWTALEKKFGPCLKIQATDNSNEQGYKAETSFQGRICRCKCLELLWASKQHCFSCHQTFSTREDLDKHNDGACSMSLGFRESNMDSSKRKRMRSEPLLENSSDLRTVKAFKGEKQKAASCFDEKTHPECPFTLEEIITKFVIKDPVKEVVKDIGLISSAGQVSFVSQRAAYPDHPVLSLVPIDPSDSSSKLANQKIVSKRRVNTVIGTKTGHSSSTFRWPDKGMEQEPVKGGRPNSKCMSERDPLSATKNMLRGGKWAVYRESSERPIRGRLCGILCRLKSYLLDMDAALPEEALRPSRTNFEKRRLWRGFVKSAESIYEMNQAITVLEDAIKTAYLRKDWWYWSSPSAMAKICTISALALRIYALDAAIIYEKNIPTEDLGDVCRLGKVSPSTSVLPKSDKSDSPDLPKLRSRSNKRRKA >KZN06069 pep chromosome:ASM162521v1:2:29878753:29879232:-1 gene:DCAR_006906 transcript:KZN06069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNEDNNATASISNLIASLEQATQMAKQLQITSNPSHLVQIYSSLQSTNTHLSSFLSSHNPSPIIPSPASATATTQNLQSDEPMEGAEDDEVEEAEQNPTIERVEERFKDCFIGNKRLKRQLSPASAEQRVYECGGRVEFDPYGTKIRALDLIYQFHS >KZN04598 pep chromosome:ASM162521v1:2:13335418:13335693:1 gene:DCAR_005435 transcript:KZN04598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLAQLKSKACQAKKFVATHGTSYYKHLLEQNKQFIQEPATVEKCNELSKKLLYTRLARLTFIYPSAMFQKFHLKAHVNVHVLSNFEQP >KZN07052 pep chromosome:ASM162521v1:2:37973739:37974789:1 gene:DCAR_007889 transcript:KZN07052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRNSEYAKSHYYKEVCILNQDPWDILGPLLESHAHHIIGPSMESQSQANGENDEFCGLASFGNTVKELLSEIQAKEGMDRAEKAENKPPVYCSFTDASGVNCEVEAKAESQFCEVHVKLPGNSSTQSGTRLDRGAKKADSRPKRKVEDSSPGEEMIYYAGWPGLRRKRGSPRLESEATPSNHVDE >KZN04849 pep chromosome:ASM162521v1:2:17244027:17246708:-1 gene:DCAR_005686 transcript:KZN04849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESTSRSSHVSSPLSRFPRDQRGSAEFQRELNEISGTSRHPIDPATAISEEAAANIARVESSMHSGSSSLHLHLDPSWAMEDFHSLRDPEGWKGKQPSRPQGGLIDFSLIEDEPRLLSKEEANAQIEQMTRARRKGKRVNLSDDSSNTPSNASLGGAYYDLGSSDDDSDSSWKEGDPLPEYVDEFATPEATTADLEEVTRSVDLCNYDYVPESSDEEYVATPQPELEGAVTLGPLGDDASSSSRPQSPRILACRDMSTTLSEEELAELVEMYGLRGRVVLPRPHQRCYRFNFSENGGRIPRLVLSSHLVRLGITSPLHPFIRDVCEFYHLAPLQINPNAYRSMIALYIIYGEEGFGTLDARTLGYFLQLKKAPKKDFGYVYFSVWPEYNGKSLVFGAPSNAGTWKGPFFYIYDVPRDCVLLWNLTKLSGLAVAPVRTVLQGKAKETADKVVDLPEAKRNIRRLLTTENLIKYKFLNPDTEPVAMKQSARQRKPARETVPRVSKSPKVARARDTAREKAKGKRPRVETMPRANSRGPPPFTAKLPSKPGDVKESLERPSGLMADARKGFTLEEPAKRQKVGETVQTQQALQMASIAAAQSTNNVAQAFAKLGHSHVSEQEIVSWTNCSREENNAALTKCLAEAWIRQASYHKENKHSASQLDRLDKENKALKYKQLEHVNELTKLSEAHKEELKRETGSLRDELRDARKRVADLEESEGSLVKERDGLVQEVASLKAEKDALVAEAAVEGQVTFMKSFMKQLPDFDWGQLGPDTRDYAEELRLEMEAEATKLAAGSGHDQSSNAQNEP >KZN05902 pep chromosome:ASM162521v1:2:28186067:28188986:1 gene:DCAR_006739 transcript:KZN05902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQAVKSNLVLILDFGSQYTHLITRRIRSLSVFSLCISGTSSLKSITDLNPSVIILSGGPHSVHAPNAPSFPDGFVEYVESSGVFVLGICYGLQLLVEKLGGEVRVGEKQEYGRMEIEVLKDSGLFDCRKTGGKQVVWMSHGDEAVRLPNGFQVVARSQQGAVAAVENPERRFYGLQYHPEVTHSPEGMETLRQFLFNVCGVTAGWTMTDVMEEEIKVIKEMVGAEDHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRFKERERVMETFEKDLHLPVTCVDAVEQFLSKLKGVVDPEAKRKIIGKEFICIFDAFAHDMEQKTGKKPAYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRELGRILNVPVPFLKRHPFPGPGLAVRVLGDVTEGNALDILRQVDEIFIQSIKDAGLYDSIWQAFAVFLPIRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYNFEHKFLDDVARKICNSVRGVNRVVQDITSKPPSTIEWE >KZN04728 pep chromosome:ASM162521v1:2:15160901:15162173:-1 gene:DCAR_005565 transcript:KZN04728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVRKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLDALKNLISDNNPMVVANAVAALAEIQDNSSRPVFQITSQTLTKLLTALNECTE >KZN04024 pep chromosome:ASM162521v1:2:792590:793859:1 gene:DCAR_004822 transcript:KZN04024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRVRVSSQQAPVHKLGDSQMTLSPKFRLAAPRSSLFDSSVESELALRGEPLIPGLPDDIAHNCLLRLPVESHTSCKSVSRRWYQLLGISEHERIKLKVYYTNTDCWEAVAGAPLPEQICKPFSVNCCESRIYVVGRNLHVAVGHISRTDQAIIPDNRWNFSVQWQVVDAPAAFSDLVPTSAQVLFA >KZN04793 pep chromosome:ASM162521v1:2:16028637:16028834:1 gene:DCAR_005630 transcript:KZN04793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPKPKYGKMRCASSINVPPDKNIHRRCHSTVAGSVEGQPKLVRSCGMRRDWSFEDLRRHTLKA >KZN05651 pep chromosome:ASM162521v1:2:25986115:25987742:1 gene:DCAR_006488 transcript:KZN05651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVPRIKFPQRHPKPSDAASQNKAKPVAGSGPQTFFSGSNKPANTGGGMASLQPKRTPLSQDEIDSILLGGCI >KZN07509 pep chromosome:ASM162521v1:2:41423567:41425823:1 gene:DCAR_008346 transcript:KZN07509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAEPVAAVVPKTDENLIHTDVMLFNRWSYDDVSIGDLSVEDYITATAAKHPTYMPHTAGRYQARRFRKAQCPIVERMTNSLMMHGRNNGKKLLAVRIIKHAMEIIHLLTDQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KZN05335 pep chromosome:ASM162521v1:2:22754985:22756300:1 gene:DCAR_006172 transcript:KZN05335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHISDHQQERNNSSSILDIHSDIFSNHILTRLDGSSLVSTGSASSELNKFCKDENLWKNICNSTWPSTDDRRLLDIIAAFPAGYRSFFSDCYPSLDHSAKPKVQLVDREIEGRQSLSKISELISAVDIRYDNNLIYSKVQSTETSTDWFLSSPFRVDLLDPKETIPTPVPVLEGNKDLFRSQLDQNLTLSWILVDPVSQRAANLSTLTPVSVERHWLDEDIHAHYVTSLPGLFAGESSEVVQCSILVVCGGTGGDLQIKEVSLLVQDMDGKSLNGRESVAILHEAMEERGGEREVPRVDGDEERDGREKAEEREDDGHGVYVNRS >KZN06682 pep chromosome:ASM162521v1:2:34981677:34986719:-1 gene:DCAR_007519 transcript:KZN06682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGSSRFLNLTSAAADTYAYIGMQISITSKSEIRYEGILVNLNTQESTLALNNVKSFGTEGRKKGPQIPPSDQIYEYILFRGSDIKDLQVKSSPLIQTTTSIYNDPAIIQLGLPKSAPESSVPIYQPGGSCCSCGSLYPYSTTNDYLSAPVYIKGFQAPSDGFQTQQQSLLQPPSGFLALPSVHQTIPYPSTSASIPNRSSNAPASPLLDTMPPLLPSFSPVTPALHSLFPLQSSALPTDLSTLIKPNTGPIQGLHSDKITTTSLQLVTPPRTILDESAFSTGSFLNRGLTPPLVTPDQFLQPATAVVSSNQSSQSVQNNVHVSFTERPLQTLPGAQAPILPLPTSLDYKASNDVINFTEDFDIVSINEKFSKLEVGDQLDKMNSTQEGYFLDQDNVDHVGKTTSAAMPVYVEDDFFQSPSSNFSGRSRRGRSIFSEEKKSNRETFASSAHRGGHCGRGHGHSGHDHSGDGPDSGDHGLSLDGYGCTRVGRRFGHWRHSRDGRRSRRSYGYNERRGWGHHAT >KZN06655 pep chromosome:ASM162521v1:2:34752309:34753148:-1 gene:DCAR_007492 transcript:KZN06655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTSKKLIKTLVFVLITISLLRLLWITIRTTFISTQIAFMPPALVNACSSPHVCNKTTQHRQSPSTQPPSFSNVSGLTDKEFHFLSHLISHKAPCNILIFGLEHQYLAVASLLNAGGTTIFLEDNPSKLRDANTYAKNITVKEVRYQTYAKDAYKLLKHARTNPRCALNSGLHDMPRCKLTLEELPKEVYKLNWDVVIVDGPSGEGPESPGRMATIYTASVLARRGNMTNVIVHDVDRMIEKWFSWEFFCEENLVSSKGRFWNFHIAKEVNSTRFCNA >KZN05462 pep chromosome:ASM162521v1:2:24105669:24112194:-1 gene:DCAR_006299 transcript:KZN05462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDADDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFVQPDGA >KZN06972 pep chromosome:ASM162521v1:2:37250075:37255815:-1 gene:DCAR_007809 transcript:KZN06972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELVSAATSDKLTEVDWTKSIEICELVAKDHRQAKDVIKSIKKRVGSKNVNTQLFAVTLLEVLMNNIGEPIHKQVIDTGLLSTLVKIVKKKSDQPVREKIFLLLDATQTSLGGAAGKFPQYYSAYYDLVSAGVQFPQRSTAANNPPSSNANQNKLINVEHASSGQERNVPQPEPPVIPESSIIKKAGAALEVLKEVLDAVDKKNPEGAKDEFTLDLVEQCSFQKQRVMHLAMTSRDEKVVSEAVELNDQLQKLLTRHDALISDRPTPTINQLNQEEVEEEEEAEQLFRRIRKGKACVQPEDENPQINRTIGMLGASDRLHRPLIRPLSVEPKQEPLAFRPAVPAVSIPPPPAKHVEREKFFQENKSDGSTLAGHVRGLSIHSRSASSSHSESLDSND >KZN04834 pep chromosome:ASM162521v1:2:16997861:17001339:1 gene:DCAR_005671 transcript:KZN04834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQVMGMMGSGGIGGLGDQFSGEQQLKAEIANHPLYEQVLAAHVSCFRVATPIDQLPIIDAQLAQSRQILHSYLSQHNTNNHPLSANERQELDNFLAQYLLVLCSFKEQLQQHVRVHAVEAVMACRQIEQDLQALTGVSLDEGSGATMSDDDEDELQMDYSFDQSGSDAHDMMGFGLPTESERSLMERVRQELKIELKQGFRSKIKDVRDEILRKRRAGKLPGDTTSVLKEWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSNSTTSLKSKRKR >KZN05626 pep chromosome:ASM162521v1:2:25721685:25728462:1 gene:DCAR_006463 transcript:KZN05626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGNDPVESFFNSVELVKNVFAPFESGLKKAAKDLEKCWPGSKNKGRGDVEFNGEVNVMLDERKKKLRQCVVSADKKKQNFLGLFMERHDVHKKEVVERDGVKKDESCVNCLQLAINWSLLFTGFAQAFPTLFKNGKKRSQKLSNGNQECADSKMNKSKTRVFCGIKEKETKGQFVMKFQDEGTENDGKNMSLECCIGFLFDMLVQNLQKFDLGVQEIECCSCDSTPVAPPENKFDHLKAITGMLEGKRVDFSGLLGNLKFARVGGVPSSIVRVNDEEKEETDGGENNGSQEQSEGTPQRMGLLSIPLSNVERLRTTLSTVSLTELIELIPQMAGRASKDHPDKKKLFSVQDFFRYTEAEGRRFFDELDRDGDGKINLEDLEIAMRKRKLPRRYAQDLMRRTRSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNAGLPANEDNAVAMMRFLNADAEEFISYGHFRNFLLLLPSDRLQEDPRNIWFEAATVVAVAPPVELPTGNTQVQASTLNFPEVIAKIPQIGVQGLYRGSLPAVLGQFSSHGLRTGIFEASKLVLLKFAPTLPDIQVQSAASFCSTVLGTAVRIPCEVLKQRLQAGIFDNVGEAIVGTWQQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKAFQQLLGRELEPWETILVGALSGGLAAVATTPFDVMKTRTMTAPQGRPMSMSLVAISILRHEGPIGLFKGAVPRFFWIAPLGAMNFAGYELAKKAMDKSEEQNISNG >KZN06482 pep chromosome:ASM162521v1:2:33244936:33246555:1 gene:DCAR_007319 transcript:KZN06482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAHASEYEKYSGTPPPTIPPSAPQESQAIATGVPVNSSSGEYSTYSGNTRPAPPRVPLQILPKTRGPWSTGLCDCFSDPSNCCITFWCPCITFGQIAEIVDKGSSSCGTSGALYALIACVTGCACCLSCFYRTKLRQQFFLHETPCADCLVHCCCEPCALCQEYRELKNQGFELSIGWHGNAEKHTRETEMEPVGPVGGMSR >KZN05832 pep chromosome:ASM162521v1:2:27607937:27610017:-1 gene:DCAR_006669 transcript:KZN05832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPAKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIEAPAMIVVGVVGYIKTPRGLRSLSTVWAQHLNEEVKRRFYKNWCKSKKKAFSKYSKKYENEEGKKDIQSQLEKMKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYKLGKVGQENHSAITEFDRTEKEITPMGGFPHYGVVKDDYILIKGCCVGPKKRVVTLRQSLLTQTSRLAMEEIKLKFIDTSSKFGHGRFQTVEEKAKFYGRLKA >KZN05240 pep chromosome:ASM162521v1:2:21581259:21583566:1 gene:DCAR_006077 transcript:KZN05240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLSNGILDFANMAVCSGLLVTAYDAIPEVSNHAQNVCSVSYKDSGGESGSGPRIIAFVSCDGRGDGDSDNDDDSLVDSGYARFPLFVLLNTEVNPVVSISRAAVELFASVYDELSSLKDQIDINRPLIITGRALGGSVASLFTLWLLDTIYLKTARTPLCLTFGSPLLGDNALQQAISERPTWISCFLHVISNQDPVPRYLAKGHMPFGAALFISESGCACFEDPQSILELIKARSLGGLEDSQIIDYGSMLKKLKYNGIRHGRKEEVDTCDISDPVQCGITLQLLAIGAIKPENSETSPLIPRIRRNLESFQRGKKNNLDQTKKLNEVKIYMAYMGWYKKISRNQGGYYDCYKTAESKSIKEGKTKEEVVRHQRILNQYWKHMVKEIDRMPKREGATNIRPRVLYAGTHYRWMVEPLDIAVYCRQGKNDYINKGRSQHYKLLEEWEKGSSKPAERHKVSRVTEDSCFWAHVEEALIACRYLTEEIDSSSEEKESRYQSLLEFEAYVMDLIRMHTLSPETFQEGSSFMQWWRDYKKLKGVGYKSELTNFMNNQKVGNDLLVFDEYKGLS >KZN06311 pep chromosome:ASM162521v1:2:31874919:31880538:1 gene:DCAR_007148 transcript:KZN06311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELEELIDFLSSPSPPVKKAAVDIVQGLTGSEDGLQALGSYSDTVLPPLSRLLGEKKEVSEPAVEALINLSQNSDVAEKMVNMGMIKIAMEMLYKQDSEIKKFLVMLLVNLTRLDVGIDALLQSGDDKMHSLYVMKLVRSFCTSNSDLDGDAFEHAGSILVNISKSEAGRKLLLDPKRGLLKQILRQFDSTSVLRKKGVLGTVRNCCFEAESQLQNLLLVSEFLWPTLLLPVAGKKVYSEQDTSKMPLELGSTLLIERESVDDPEIRVEALEAIYLIAVQDGGRRALWSVNGPRILQVGYEDEENSKVMEAYERVGSLLVEGSDIEDTTIKAS >KZN04205 pep chromosome:ASM162521v1:2:3779910:3784145:1 gene:DCAR_005042 transcript:KZN04205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEKVVRMGLMGCLLLLVSLVNLAMGGHNYGDALTKSILFFEAQRSGYLPSSQRIKWRGHSGLSDGKTVGVNLVGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGNQIASSGELRNAMDAIKWGTDYLIKAHPEPHVFYGEVGDGNTDHYCWQRPEDMTTSRQAYRIDPSNPGSDLAGETAAALAAASMVFRRSNPSYAKTLLSHAYQLFDFADKYRGKYDSSITVAQKYYRSVSGYADELLWGAAWLYKATDNQYYLNYLGNNGDALGGTGWAMTEFGWDVKYAGVQTLVAKFLMGGKAGHQASVFGKYQEKAEFFMCSCLGKGSRNVQKTPGGLIFKQRWNNLQFVTSASFLMTVYSDYLTSARKTLRCPSGNVQPSQLLSFAKSQVDYILGDNPRATSYMVGYGNNYPQQVHHRGSSIVSIKVNPSFVTCRGGYATWFSRKASDPNLLVGAIVGGPDAYDNFADERDNYEQTEPATYNNAPLLGLLARLHAGHSGYNQLLPVNLPKPFAVRPKPTPRPRVSPAPVSSSKQIAVVQKMTASWVSGGKTYYRYSTVVTNKSGKTLRNLRISVSKLYGPLWGLAKYGNSYVLPAWNKSLAPGKSIEFVYIHSASAASVSVTSYTLA >KZN07754 pep chromosome:ASM162521v1:2:43354855:43355286:1 gene:DCAR_008591 transcript:KZN07754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKSLVERLGFKKLVQCVGCYGGPVDTGMTLGEILEMDGEVGPGQTPPSTPTRMVPVSPSFMPVMRLFEEPLPFHEDESDEDGEVGSTWSDSVCCVCMERKKGSALILCGHTFCRPCSKRLVDRGTCPLCNCQIASFLDLF >KZN05436 pep chromosome:ASM162521v1:2:23822845:23824945:-1 gene:DCAR_006273 transcript:KZN05436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRLEGKYPSRGVVLIAQLALTCLATEQKTRPGMKQVVEMLEHVEAVNEKPRPPRVHSSHHTISRNGQNSATHLSPHHTRQDGNRGSQLPSSIPTSFPSTKSLFINILTLSLVCSACVCKIHVDISNHLPDGSPPLTVRCQSADDDLGYHELYPNQPSYTWAFRPDWWLGTTLFFCHFWWDGKDAVFDVYNEDWGTTYCARFKTVGPSWSPITEELSNTCYWQVMSDGIYLSRTIDPFSSEGSWVQTHKWGDR >KZN05532 pep chromosome:ASM162521v1:2:24784938:24785462:-1 gene:DCAR_006369 transcript:KZN05532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSGNSSSLLRDLSQGSSKKGPSKPRGRPRGSKNRPKQEPKRENMAIKPVTLEVPAGVDIINWVANFAKSNQVCITVTAGFGVVSLAVFANVLSQTPHREYKEYLAVDNFSGTYVFSPLAQTTQSFFNAALSRVNGQLIGGEAFRMVTMGKVVLSAYVFRNSHVFATEAAEFH >KZN06873 pep chromosome:ASM162521v1:2:36521455:36526745:-1 gene:DCAR_007710 transcript:KZN06873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPASPVHCNATGCTLSNAYGAWGDRKDCNFPQIMYPKTEQDIISAVVSASKSRLKVKVVSKFSHTIPKLACPGSGPGNSMVISTENYDRGIEIDSEKMVVTVDSGVGLRDMIDTISKAGLSLVASPYWEGVSVGGMISTGAHGSSWWGKGGAVHDHVVGMSLVVPGTKQEGYAKVMRLNGQDLVLNAAKLSLGLLGVISKVTFALETGFKRSITYNFTDDNAIEEAFVEHGKKHEFGDITWYPSRHTAVYRYDDRVSLSASGDGVNDFIGFQSNLILISKSDRAAEKACENARNVQGKCALASTFLGYKKLTANGLKNNILFTGYPVIGHQHKMQTSGSCLYSSPARIDVSCAWDPRIHGLFFYETTAIFTIPNFKSFLLDVKKLRDLQPQNFCGVDMYNGFLIRFIRQSSAHLGQSEDSVVVDFNYYRADDAMSPRLNQDILEEVEQMAFIKYDAKPHWAKNRAVAFLDVQRKYSKFDMFIAAKKKLDPSNMFSSEWSDAILLGKEGEKLDGCALEGQCICSEDRHCSPQNGYFCKTGLVYQQASVCRYSPSFVT >KZN05124 pep chromosome:ASM162521v1:2:20337953:20341789:1 gene:DCAR_005961 transcript:KZN05124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKREMSSTLKNLKFMQRATKREEISKKVEEEVGVVPDGNFPVSNIPRKCVVIMEGDPQPGATRGRMSFLSFNPSVDKLNGESANTYQPEGSSTNSGNQGGGISSRENEFDWDGSESLKMDRNDSDANEDLKRKYIEATPGASNPNTSPQKSQGGQAPTPRGRNSNKKQKHGKLDWNVLRPPKPQNK >KZN07808 pep chromosome:ASM162521v1:2:43719851:43720288:1 gene:DCAR_008645 transcript:KZN07808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVMRMLGNGRCESMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLDDEDDNVDDDYIEFEDEDIDKI >KZN04180 pep chromosome:ASM162521v1:2:3332414:3332782:1 gene:DCAR_005017 transcript:KZN04180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIITPDVVIMPLMGLGEVIDGDPPCNFLKRNEWIVFKDAMVVGLVPVKGVNAGMFSPLLNTAAVSPTWSITPPVPLPASKGKDKHYPNYAFFEDGSLSDKACAILDSGALSISVLPYFS >KZN06005 pep chromosome:ASM162521v1:2:29084843:29087401:1 gene:DCAR_006842 transcript:KZN06005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRWWHKILIFLLFRLNWRRLFFVGVIFTTAGIFLILASLPYPLTLWNLSPSLKYLAHQPLDRTTVFIYKTALRASDKLATSNVGTKVVSLKNAAETNQSKIVIDGETVLNATSDENMETKEKVLTKPRVPSTYKTLTHSSLRYTESLKPGEALLYAKKEIEKASIVKNDRNLHAPLFHNVSSFKRSYELMELILKVYIYREGRRPIFHQPYLRGIYASEGWFMKSLKFNKKFVTNDPEKAHLFYLPYSARQSALALYVPNSHNLKPLSIYIRDYVNMLAAKYPFWNRSHGSDHFLAACHDWGSYTLTEHKELKEHSIKALCNADSSEGIFIPGKDVSLPETKLRNPKNLHRNLGGRRVSERHILAFFAGKMHGRVRPILRKTWSGKDNDMRIYGSIPIRVSKTLSYAEHMKSSKFCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPLSDVLNWSSFSVVVPEKDIPKLKEILLAITLRQYEEMQTNVRMLQKHFLWNHIPTKYDMFHMILHSIWVSRLNQIQMPE >KZN06513 pep chromosome:ASM162521v1:2:33569355:33570911:-1 gene:DCAR_007350 transcript:KZN06513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQGELLEVTWPYNTGNFSFDGVELYGLDMCNNFGSLDFSPSFLSATPDYSSEISSPDQYLSSGPSDGSHNCLEFRHQLPKLEESQMIGNSETGVIFDEVSCLSELMELENSYYSKEVLSDNKDYSLEVPSAQLSICFPQEDVEIDIQLSICYLIQAYGEAIENGQVDLVDAIVKRFEEKADPTGETYQRLVYYFVQSLEKHMDYLRQESFKIFKPAFLALYQIFPYGRFAHFLANSEILNAMPQDAEMTIVDFDIGEGLQWPSLFEFLGRKKHPCLVKLILIRWDEDNNNASTCLWKFEETKRQLYEHARCCNLKLEIVEMSMEELTEETKTKRSEWITFNCMTNLPHMGRSRSTKSVIEFLKIAKGSINCDGSTKSGIITFGDGLMEERKNDCSGFGSFLEARMLHLHALLESMDLHLPFQLIEARLAMECLFVAPYMSSFACLQNWEEISFGVDGFSMLGLEGKRLSQDSIAEGQELLGERGMSGYWIKNQGENENEIVLGYKGNTLVKVSCWK >KZN07489 pep chromosome:ASM162521v1:2:41271239:41274736:1 gene:DCAR_008326 transcript:KZN07489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKYETLKELGSGNFGVARLVRDKKTKELFAVKYIERGKKEICHRDLKLENTLLDGSPTPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYTIPDYVRVSADCRHLLSQIFVANPSKRITIPEIKKHPWFLKNMQRELVEGEKTNYGEADRNKQLQSVEEIMQIIQEAKIPGEDGTKAEGQLGIGNSLDADEDLDLESEIDYSGDYSSQV >KZN05022 pep chromosome:ASM162521v1:2:19006498:19009400:-1 gene:DCAR_005859 transcript:KZN05022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDANPEKLLHPYELSFSDLLLLSSDEPLSENEIQRLDLITTSIMENLGPNGPGLLAVTAVPGASSLRSSLLRFAPQLALLDFRDLNRLLKENGLGSDVPLKNLERTVSPFTMHLKYGQGVLASSVKVNQLGTEDCMVSDRQGESWASDIKILRNIFEVLGSLMIELGCRLARVCDRVIGDQNLEQSLFEACTAKGRLIHYHSGFDNNFLQEISNRKEYTKGHRGVKSFSNAAKGTEKLFRNNQTSVGSNGTKCDAHEAYLWQQWHYDYGIFTILTEPMFLLPSLSQTTNKDDFPSVLHQELPSPSGHSYLQIYHPSKNGVYLVKMPPDSFLIQVGESADILSKARLRATLHSVGRPARPKDLSRENFVVFLHPAWGKTFSLLNYPVDQQLNECTEESHTNLESEKLAQEIYKIVPPLSTRLKEGMTFAEFSKATTKQYYGGSGLQSKR >KZN07267 pep chromosome:ASM162521v1:2:39564741:39564893:-1 gene:DCAR_008104 transcript:KZN07267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHTSNRVISPSSSSSSSSHPPIPFIYPVLLPVISQGYSSLSLDFTVYI >KZN06584 pep chromosome:ASM162521v1:2:34167473:34167679:-1 gene:DCAR_007421 transcript:KZN06584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNEQGIDPAVLDDIINRLLEFRQARTARQVQLSENDIRQLCAAAREIFLQQPNLLELEAPIKICGI >KZN07613 pep chromosome:ASM162521v1:2:42192266:42195631:-1 gene:DCAR_008450 transcript:KZN07613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLQTSSTSSCLYSRSTVSHSSLSCATKVFVGLRLQSPTSYGISRPNLNVEFHNKVHKSIESRTCNGKANRSRARMMPIGTPRVPYRTPGEGSWQWVDLWNALYRERVIFIGQNIDEEFSNQILATMLYLDSIESSKMMYMYINGPGGDLTPSMAIYDTMQSLKSPVGTHCVGYAYNLAGFLLAAGEKGNRNAMPLSRVALQSPAGAARGQADDIRNEANELLRIRNYLFKELSQKTGQPEEKIYNDLSRMKRFNAQEALEYGLIDRIVRPPRIKADAVSRNAGTGLG >KZN06837 pep chromosome:ASM162521v1:2:36208121:36214465:-1 gene:DCAR_007674 transcript:KZN06837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAKWVLAKDEGPPEMTQISDAIRDGAEGFFRTQYGTISKMAILLAGVILCIYLLRSTTPQQESSGLGRSASAYITVAAFLLGAMCSGVAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIMVVGMAVVGVAILYSTFYVWLGVGSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKIEHGIPEDDARNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAERCKIEDPSGFILFPLVVHSFDLVVSSVGIFSIRGTRGSNLSGGAEDPMMILQKGYSLTILLAVLTFGVSTRWMLYTEQAPLAWLNFFLCGLVGITTAYAFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGISLGLESTALPVLVISVSIVSAFWLGRTSGLVDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAHEPFKQASVDIAIPEVFVGGLLGSMLIFLFSAWACAAVGRTAQEVVNEVRRQFTERPGIMDYREKPDYARCVAIVASASLREMIKPGALAIASPIVVGLVFRLLGFYTGQTLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSDCHKAAITGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >KZN07611 pep chromosome:ASM162521v1:2:42181546:42182070:1 gene:DCAR_008448 transcript:KZN07611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAALVYPLLLILLLSAFASLLLLNFSVSYDNLLMLSSTHIFRYSVFNSIIFVILARSFRSSAGEADEFLHFAELLSQEMEEQTEGREYASEDSSGHGSDDDDSHCGSGGYEDDYEDDSHDSEMGYIEDDDNETDSDLENKAEAFISRVIAGWKEELMMDKLLNYSEYWKDCKD >KZN05520 pep chromosome:ASM162521v1:2:24637276:24638269:1 gene:DCAR_006357 transcript:KZN05520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKKAYISSYERWFMDGKIQFAGSKSIERLTPEQVLLIDKFERFIFKPMLHELLSGEVDSWEIAPRFSDLLAKTGVQFLQDRVKLLHPYDNLRLSGPTRMGDLLCLRCSDSL >KZN05320 pep chromosome:ASM162521v1:2:22567644:22572333:1 gene:DCAR_006157 transcript:KZN05320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHGKKQKNQQRPRDKNYYYNDDYDQQHNSQPVAPLNNDVQDSESEDEDTNTTTDETAQTPSNVVAALPSKFSLYQQSVQSPKGDISYLRKFFLMYVGGRVPLHLQEDFCGTALLSTEWLCSDTRRTAVGLDFDVEALNWCMENNLNKFGSDLYSRISLFHGNVLNPLEAKLVKFEPKDNITTDREDGSDIDTLSTTLAGACACTNDKMTSSPLPPRDIVCAFNYSCCCLHSRQELISYFKYALSSLSKRGGIFVMDLYGGTSSECELKLQRRFPNFTYVWEQTGFDILQRKTRISLHYNLQKPQRKIRHAFSYEWRLWSLPEIRDCLEEAGFKSVHFWIRQMPDSENIRRIEGFGDSQDVKYEEVTSFQQQDSWNAYIVGVA >KZN04990 pep chromosome:ASM162521v1:2:18696543:18698399:-1 gene:DCAR_005827 transcript:KZN04990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSHLFIITLLGFCSLCSSQSPTKCPLDFNVLRRLAEGSRPSDKVADQCLLILQGLRLVQSDYLRRTNKFLPPLSSAESCWKDYQGNFDQLGNSFDIRSTCGFETQWIAEGCQNITTREEYESRNSRNALNGVSQACNQSLNGAPCATCTTSLSALLPSDVKGEKVGNVSDCTAFKSIYAAAFANSYGPTDRGTAECLFALTFDRSNKDESRRKILIGVFSGIGGLIIVVGVCGFLWFRKKKIERAKRKEEITKKWTELNSSALDSISGSTTLIKFSFDEIQEATKNFSRLNIIGKGGYGNVYKGVLPDGTEVALKRFKNCSAAGDADFSHEVEVIASVRHVNLVALRGYCTATTNFEGHQRIIVCELMKNGSLHDHLFGSDEKKLSWPLRQKIALGTARGLAYLHYGAQPTIIHRDIKGSNILLDDDFEPKVADFGLAKFAPEGATHVSTRVAGTMGYVAPEYALYGQLTERSDVYSFGIVLLELLSGKKALLEIDEDQHTLVADWAWALVRTGRPLDVVEEGIPELGPPDVMEKYVLLAVLCSHPQLYARPTMDQVVKILDSDLPVPMIPERPIPITAAIDDIEKSVSTMNSGNLSTYSGYQPYIDNSAPNTPRL >KZN05341 pep chromosome:ASM162521v1:2:22875053:22880499:-1 gene:DCAR_006178 transcript:KZN05341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPNRNSLRPPLSDHRRFSSAATSSDAAVLQSPQMKRKFEGPEFEGDFNKQNPNSGFTEDVSSPFQRPAPKKGGKPQKVPRIAKFNQTASTPVSGVGSPSANNPTPAGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGTGLIEKKLKNRIQWKGIDAAMPRDIEETVGSLQADVEHLSMEEHSLDEKIREAQDKLRDLSEDKNNQRWLFVTEEDIKLLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKLEEFKSAEAPQSLAPVTAEESRGTETEMQVKEDNAKCSDSITSQDFVNGIMKIVPDVDIDADYWLISEGDISITDMWSTGLNSMTSTTAGIEWNEIGQLYDDYTVANFSRPLSSAEAPSSVKSTEK >KZN04144 pep chromosome:ASM162521v1:2:2584107:2584862:1 gene:DCAR_004981 transcript:KZN04144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSIVVGRLTDLLTEKPQILHEVRDEIEAAVAGFMQMKFFLPDADSRIDVERIGILLRDVRELAYDAEHAVKSFVIKASSTKKPFQWMNRGKFSRKMKYIQKKMSIFFDLFSDYNIRPTSESSTSSNRESGKLKRFHSFTTPEPEIFVGFHEDVEHLVQHLVNEADDSYPLISICGMGGLGKTTLAQKIYNHSAIKTHFAGLAWVSISRKWQTDRVLQRILICLVPENKNSILNMETDKLVEYLLQI >KZN07325 pep chromosome:ASM162521v1:2:40028997:40031278:1 gene:DCAR_008162 transcript:KZN07325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGAVTSSTAISASFSSHSLEQTKVSQLGSYQPVNWCPASTVLNVSRKRSSCVKALNAEPKRNDSIVASAATLLASEVVQKVEAEVEAEDYEKLALELENASPLKIMDKALQKFGNDIAIAFSGAEDVALIEYAHLTGRPFRVFSLDTGRLNPETYRLFDAVEKKYGIHIEYMFPDAVEVQTLVRTKGMFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFEGLEGGPGSLVKWNPVANVQGTDIWKFLRTMDVPVNSLHAQGYISIGCEPCTRPVLPGQHEREGRWWWEDATAKECGLHKGNIKDGNVNGNSSVAVQANGTTTVADIFNSQHVVSLTRPGIENLIKLEDRKEPWLVVLYAPWCRFCQAMEGSYVELAEKLAGTGVKVAKFQADGDQKPFAERELQLGSFPTILFYPKHSSRAVKYPSEKRDVESLLAFVNTLR >KZN06110 pep chromosome:ASM162521v1:2:30197890:30203216:-1 gene:DCAR_006947 transcript:KZN06110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINPFAKMICTICFDELKPNVEDLQSISICGHVFHELCIQQWFEYCTNGKKKNCPVCKQNCSEKNVSRLYFQSVGDPHDQSPSQKPQNCEGNPEELRQEAKRLEGKVSALSSALELQKKDLQEITVELSMCKEELKKEAAMKDDALKQKTVTQQLLHLKSKELDKSTLECMRLQERSIALAKELAALKLVCDLNLDEEEVLKLASLGKETNNKETIDVLKRSLVIRNKSYKELMAKCNALGRGDARSLKKLEKAKEKIQNLKSRVQELETAIEAKDNDALRALRGLKESNIVRDAPAGVDRDSSFSFINRCSSKDQVKECAAAKISTSKMDSVPSNLYSPRTEDLQTYDEKVINSSNYVVIDDDEPRISTSARDFNFVSAPVVSKAKAANKDSAEGNAFDNKYHVKPQVLSKEGCDTVSRSGIHSKKSETLIPRIDDDSILLFDDSTLDQPLLNIRKDASSKLLESQSEASKQQTEICFSGGLIGPDGNKWHLGKWCRRGQNKGSVLSSTGVQGSSPSTGNLIAVGADGRGGKIKVLRSLNQVCPYVVVSNLYWSRFLHFECSF >KZN07686 pep chromosome:ASM162521v1:2:42733249:42738385:-1 gene:DCAR_008523 transcript:KZN07686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHILMSALGVGIGVGIGLASGHTVSKWTGGANSSSNAVTPQAMEQEMLNLIVDGRDSKVTFDEFPYYLSEQTRVLLTSAAFVYLKNFDFAKHTRNLAPASRTILLSGPAELYQQMLAKALAHYFGARLLLLDITDFSLKIQGKYGSADKEFSFKRSISETTLARMSGLFESFSTFQSREESPGTLRRQGSGDIGSSGSEGVPSSLRRNSSAAANLNSLGANSSSPNPAPLKRTSSLAFDDKLFIQTLYKVLVSMSKTSPMVLYLRDVDRLLWRSQRMYILFHKMLKKLTGSVLILGSRIAEPDDDNREVDERLTSVFPYTIEIKPPEDETRLVSWKSQLEKDMKMIQHQDTRNHVMEVLAANDLDCDDLASICLEDAEILSKYIEEIVVSAISYHLMNTKDPEYRIGKLVISSSSLSHGLSLFQEGKSVKKDSLKLASQSEVPKEGQGGASVGKKPDVKSESAVSGNRSVPETSAPTGKDGGATNPVTKASELPPPDNEFEKRIRPEVIPANEIGVTFADIGAMDEIKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRAGEHEAMRKIKNEFMTHWDGLLTKQSEKILVLAATNRPFDLDEAIIRRFERRILVGLPSAENREMILRTLLAKETVDKDLDTKELAMLTEGYTGSDLKNLCTTAAYRPVRELIQQERLKDLEKKQKVAEAKKLQNASFIEEKKEDKVISIRPLNMTDFREAKNQVAASFAAEGSIMNELKQWNDLYGEGGSRKKEQLSYFL >KZN04642 pep chromosome:ASM162521v1:2:14091213:14091545:1 gene:DCAR_005479 transcript:KZN04642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTVKINEITKENHTMEEAIKTLEAENAKLEHQIKLMEIHQKHDEAVIDMLKKHIEERRAFNRFNMDASNFEPHKVAERERIREAFEAEAETRKAAKASEAGPKKDKN >KZN04729 pep chromosome:ASM162521v1:2:15165839:15172193:1 gene:DCAR_005566 transcript:KZN04729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGEKGRPSKRHKAKEDHRAEEEEVYYTEDFEDINQDGENKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETVISVLNKLSKTKLPKEMIDFIHASTANYGKVLKKLLKDEVISRSRIASEGNDGFTISKSLGEIEGRHEELLNEAQLAAAAEEKEAHAFEVDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLRKENSKKKQALYVMNPNKFRACEFLIRFHEEQRRDKIIVFADNLFALIEYAKKLKKPMIYGATSHVERTKILEAFKTSRDVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITGLPPPDTGAELSYYSLDEQNKLLTKVLTAGDDQVGLEILDEDTDDVALQKARRSMGSMSYMSGANGKIYMEYKYDC >KZN05569 pep chromosome:ASM162521v1:2:25170189:25173310:1 gene:DCAR_006406 transcript:KZN05569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKCPRFLAVVLLGVLFLCPLCLADSELYSSANFPTTEAERLIRGLNLLPKDVNVVDQENESGVPQGKKIVERKFKFPNLVDPGGVSVDDLGHHAGYYQIQHSHAAKMFYFFFESRNSKNDPVVIWLTGGPGCSSELALFYENGPFSIAKNMSLVWNEYGWDKVSNLLYVDQPTGTGFSYSSDKRDIRHNEDGVSNDLYDFLQAFFVEHPQFAKNDFYITGESYAGHYIPAFAARVHHGNKAKQGAHINLKGFAIGNGLTNPEIQYKAYSDYALDMSIIKKSDYERINKVLPVCETAIKLCGTDGTIACMASYYVCSSIFNSIMALAGDTNYYDIRKKCEGRLCYDFSNAEKFLNKKSVRESLGVGDIDFVSCSPTVYQAMLVDWMRNLEAGIPALLEDGIKVLIYAGEYDLICNWLGNSRWVHAMEWSGQKEFGGSSEIPFEVDGSAAGVLKTHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTGSKLSEVSAESQNLVSSI >KZN04175 pep chromosome:ASM162521v1:2:3048752:3058250:1 gene:DCAR_005012 transcript:KZN04175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEVMHLIECKGYEVQVWSIFLNDVDQFRMRWPRKSNIKVNAHRYRQRWALTYMGIMIVACTMPVTLVHFPQWGSMFLPPSRDVVKGSEEHYYVSEWTEDEKQQGMHQGSVIFAETSRSERGRRVASAPTPPYATPNHA >KZN05449 pep chromosome:ASM162521v1:2:23987041:23988111:-1 gene:DCAR_006286 transcript:KZN05449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNTNSSSSSSEPPQYTPPDFTPRNSDDQEMNSPRFLQTSFRDSSDHNSPKKTITNPRKKRTKLMIVDNAASASTSSNVAKPKYSKKPDPSAPKISSPCTECGKRFWSVKALFGHMRCHPERQWRGINPPPNLRQVSSSVTEEDQHVASCLLLLANSPPPSIVSDQTNPGFSESEIRHHQNVVFCCGSNDGAGPSGGNSFKFECSSCKKVFGSHQALGGHRASHKNVKGCFAINKNTDNAATEDYSSIGGHDNRSADHEDPCRDQQDRMLMALGHRCSICSRVFPSGQALGGHKRCHWEKGEDPSSQMQGGSESNQQFGARGASALNLNLPAPPEDDHSSSSLTSGLCLDLRLGL >KZN07056 pep chromosome:ASM162521v1:2:38036245:38036697:-1 gene:DCAR_007893 transcript:KZN07056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEEASSNQGGDDVIQPSSQPKIIAADATTEPIVESSKQDKDVINPVPSKTKLTHHVVEPMSRGKFKFTGVDSVDLSEVSKCGWCCVGLCTCCTACCACLLCLPCLAVSMVLNCLMCPVNAAIACCCPSRAQPITIRIEDFKSFQSLFD >KZN07000 pep chromosome:ASM162521v1:2:37511439:37512340:1 gene:DCAR_007837 transcript:KZN07000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMFTNLEKAPNIQKSDEATKAAAEGREINVGKSKVKCKNCNQEGHNSRTCKVQKAPTNRSRSETYERECLGTKLVGQKRHHNQTTLKN >KZN04653 pep chromosome:ASM162521v1:2:14272731:14276477:1 gene:DCAR_005490 transcript:KZN04653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSYSVLSTSLHHLSTRPSYLKPTTPRYIRTLVTCDLNFNITFAPPKPKPKPIPTQQPDDSSSSSSSSSSPEDFAQQLYIPWIVRDENGNLTLQSTPPARLLHAISDAKTGSPVSTKTKKKKNEKKKEVNKTPEPKYSKAARRFFNDNFRDPPQRLSKVLAAAGVASRRTSEQLVFQGKVTLNGSVCNAPQTKVDPARDVIYVNGNRLPKKLPPKVYLALNKPKGYICSSGEKETKSVLSLFDDYLKTWDKRNAGQPKPRLFTVGRLDVATSGLIIPITFAGEFAQQISHPSSSLSKEYIATIDGTVNKRHLVAISEGTIIDGVHCTPDAVELLPQQPDISRVRIRIVVHEGRNHEVRELVKNAGLNVSFCFLQKYYFTSKDLPYMTSIYTVGV >KZN06396 pep chromosome:ASM162521v1:2:32534019:32535465:-1 gene:DCAR_007233 transcript:KZN06396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHIDALLGRNTFKTSKLKPVVSLAISRLAIFKKQRQARSNIARSDVVELLNLGHHERAFLRVEQVIKEQNMLKVFVMIEGYCHILKDRVGLIGKQRVCPDELEEAILSLIYAATKCGEFPELQKIRAMLTSWFGNEFAACAFELSNSSNLKIVQMLSTRQASLAEKLKVLKDIASENGISLENEEISFIMKQEKLAVKRKSNQSKVERLEKSGMSKINDMSLILPEDTEKVSNLSDSMNGRLYRDVADAAQEAFMSAAYAAVAAKAAVELFRAESLDPENRFSPDFQSEQVFSAPDSMNSTQQISSESSLDSDKNISKYPTSCPDIDGNSQT >KZN07113 pep chromosome:ASM162521v1:2:38472238:38472468:-1 gene:DCAR_007950 transcript:KZN07113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYTVIYLNTSNNPIEAKPLAQVAKLSLSRIHIKENINEKKELNFSGPLNVILLSSSPPVQRRQTYVIHKPTERP >KZN06145 pep chromosome:ASM162521v1:2:30524891:30526312:-1 gene:DCAR_006982 transcript:KZN06145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFTLFALIIVAIFILLRLFLIRTALISIVNKCLHCIEDAVHVYQFFKVPEFNENHQENQFYRKVLTYVNSLSSIEDSDFTNLFSGSKSNDIILCLDDNQVVFDTFLGARVSWKNEIDSISRKRTFVLKIKKKDKRRVLQSYLQHIHTVSDEIDQRWREIRLFINTELNQRWRSVPLAHPVTIDTMVMDLDLKNRIKSDVEAFLKSKQYYHRLGRVWKRSYLLYGPSGTGKSSFVVAMAKLLNYDVYDIDLSKVSDDSDLKLLLLQTRPKSLIVVEDLDRFLSDSSAESSVSLSGVLNFMDGIVNSCTGDEKVMVFTMNCKDLIDPVVLRPGRVDVHIYFPLCNFNAFKTLANSYLGVKDHKLFPHVEEIFETGATMSPAEIAELMVMNRSSPSRALKSVITALQISGDARAGKSGQRLSESKSIDEGSMLSWKDVSSPAIKEVRKLYNVLRLKKSGPSDHQLHHNLSLTER >KZN07640 pep chromosome:ASM162521v1:2:42385349:42385819:-1 gene:DCAR_008477 transcript:KZN07640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKNNVVATFFLSVSILLFSLGIACDTTPSPNVPKPTPISKPPPPPTPTSKPIPSPTPIPRTPASTPAYSTGKCPDPLKLGVCVNVLNLVDIVVGSPPTLPCCSLIQGLVDLEAALCLCTAIKANVLGINLNVPVALSLVLNNCGKKVPNGFQCY >KZN07731 pep chromosome:ASM162521v1:2:43131647:43134988:-1 gene:DCAR_008568 transcript:KZN07731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVVSIVAERLGELLISEATLLRGVSDEIKKVERELERMQCFLEEADIIQNRDKRVRKWVAEIKELAFKIEDVIETFAMDVANKQPRSCLMQMLKKVACFLCELKSRHDIATEINDIKDKLVELTACLQRYGITKGLEEGTTSNSLVNLRSRRIFYSHDVDKDFTGMKEDIDKLIPQLMEADNDCEVISICGMGGQGKTTLAKRLYNHATIRAHFKAFAWVCITQQFDRQKVFRRVLKELGNKEVSEKMTVEELVGELYKLQKETNCLVVIDDIWTVDSWKILKPAFPIGETSSGSKILLTTRYQNVANTGQMHKVIGLTADEGWELLSKKAGIHNLPEEMDALKSIGMNMVKRCKLLPLAISSLGGILKGKSLREWEKINKDISFYLAKGERVANDDEYYNVRQVLGLSYDSLPPVLRYCFLCFANYEEDEVISTEDLYMYWMAEGVWPEDILCLKKFKLLRVLVVNGYEFKEANQKQIRSISKLVYLKYLSLRHCSLKELPSTIGNLRNLETLDLRLDADEARIPDVLWRLTKLKHLYLPAYKLSPETKLKKLKLKGLNELEFIRNYNSDYCDASDLPALASLKVFDGKFIVNDKFTMETVDFTRSKQMRHTTLHIEGEGESSLALLLQCQFIDDLTVKMTCIIPEAYDHTRTHLSERLTKLCMYECDMEKDPMTLLQKLPNLRHLSLGYRAYWGKEMVCSSMGFPKLQGLKLMYLINLETWRVDEGAMPNLSSLEVSGCQKLKMLPEGLSHLKALKSLKMSSMLKSFTDRIKEVDGARGQDMDKVSHIPNIIITI >KZN05146 pep chromosome:ASM162521v1:2:20571161:20571742:-1 gene:DCAR_005983 transcript:KZN05146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLGNYYVIETRSSVVFDLNLVCMLVNYAVQHVVIIFTSDHFTDDYDGNLNWVTFAAALISCIGTVASLIAKRNRPPHKGRWCKFLLTLLLVASSGYALDVQGDPRPLACLISNLLLYLNKANSLKEKRRILLGDRRVPIVGFRIRDEVIIAAIVRAEHAHLVDSLYQGGRNVAFSVSSGEGVVTNITMREE >KZN06997 pep chromosome:ASM162521v1:2:37492309:37492740:1 gene:DCAR_007834 transcript:KZN06997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQRSHESKLSHYIGAPKKFLKRARDFYVDAMVNIDGKVGFTAPPIAPLPRKFDLRPQRNMSDDQDVPRIRRSMSARNTGSKKESEKSVEVRCHSVMGLGRIGTIEEDRSYEFEEESSCAKNELLFSRSRSYAVPHKRSGYY >KZN04217 pep chromosome:ASM162521v1:2:4057297:4059435:-1 gene:DCAR_005049 transcript:KZN04217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIETFSQQSFFNLIIDCTQTKNIALGKTLHAQIIKTGKLTCTYIANSLVNFYSKCQHLSQANTAFQDIQEKDIVSWNSIINGFSQLGGSHNSFFVLKLFKLLRKQSGVFPNAHTFAGFFTATSILGDGFAGKQGHSIVIKISGCDDVFVGSSLVNMYCKSGLVQDARKVFDEMSDRNSITWATMISGYATLRLADYALELFRMMMWEMEEGVNEFVLTSVISAFTLKEFIDIGKQLHGLVIKFGLLSFVTVGNSIVTMYSKCGDLDYAVKSFELADDKNSITWTAMITGFAQSGDCFKALALFSKMHFAGMKASEFTLVGVLNACTDSKGVEKGMQVHGYLVKLGFESQIFIMTALVDMYAKCGFVGDARKGFYYLQKPDIVLWTSMIGGYVQNGDNESAIDLYCKMQEEGILPNELTMASVLKACSSLAAFEQGKQIHAHTIKFGFGLEAPIGSALSTMYAKCGSLEDGNLVFRRMPARDVISWNSVISGLSQNGHGEEAVELFEEMRLEDTKPDHVTFVNVLTACSHMGLVERGWVYFRMMSDEYGISPRVEHFACMVDILSRAGRLYEAKELIEAAKCHHGSSLWRILLSACRNYNNYELGAYAGEKLMELGTEESSAYVLLSSIYKAMGMWEDVERVRRLMNNRGVGKEPGCSWIDLKNHVHVFVVGDQSHPRIKEIRADVLSLSKIMKNKGHEAACDLSLPVCEA >KZN06645 pep chromosome:ASM162521v1:2:34660666:34676694:-1 gene:DCAR_007482 transcript:KZN06645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSISNNNNGKRSSSSEDKPQSPKRQKVDNDATSDKPTSVDNSKSTAPPADPPECAAVETPVVAGEAASGGKVEAAPATSVVTPLAEDKGKSATVGDKPGSSFSSWKHNNNLEYKSPWCRLLTQSQQNPTVSVYTANFVVGSNKQSNLLIKDQTISGILCHIKLTKRESNYVAVLECKGSKGAVQVNGKTIKKGVTCTLNSGDELVFGNHAYIFQKIVFDTAIRTSSLVGGVGFNLLNAERRTGDSSAVAGASILASLSNWGQDLSPLNPTSQNNGRTHQVTELTRSSLAQEDDLDGLEVNSTTNVEGENVADVGASSKVATEKAKDSPPLSSPVISLRCEVFKEDVYRGILDCSDIQVSFEAFPYYLSENTKNVLIAASYIHLKHREQAKFTAELPTVNPRILLSGPVASYIHLKHREQAKFTAELPTVNPRILLSGPVGSEIYQEMLTKALANYYGAKLLIFDSHSFLRGLSAKDSKIQKEGNGANISADIIAQKSSGAPEPVKETKDLSVEPTVDRTLIVPSTPALESQSRMDIDTIRSSAGTSKNPLLKSGDRVRFMGATSANLYSTSSPLRGPTFGSRGKVLLPFEDNPLSKIGVRFDKPVPDGVDFAGLCDNGHGYFCNANELRLESTGVEGLDKSLITTLFEAVISESRKSPFILFMKDAEKSMVGNSESYTTFKSKLEKLPDNIVVIGSHTHADNRKEKSNPGGLLFTKFGSNQSALLDLAFPDSFGRLNDRGKEVPKATKLLTKLFPNKVSIHMPQDESLLASWKQQLDRDLETLKSEGNLINLRTVLGRNRVECEGLEALCIKDHSITIDSAEKIIGWALSHHLMQHSDADPDARLVLSSESIQYGIGILQSIQNESKSLKKSLKDVVTENEFEKRLLAEVIPPSDIGVTFDDIGALENVKETLKELVMLPLQRPELFCKGQLTKWFGEGEKYVKAVFTLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDMSADVDLESVASITDGYSGSDLKNLCVTAAHRPIREILEKEKKEHSAALAEGKPAPALSVSADIRPLNMDDFKNAHEQVCASVSSESQNMTELLQWNELYGEGGSRKKKALSYFM >KZN05045 pep chromosome:ASM162521v1:2:19260810:19261687:1 gene:DCAR_005882 transcript:KZN05045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLKLEHDEQSKGYDYFQNLPDDLVISIFTKLSSNNDNQKYESLSDLKALGRCSSISKRFNSLFSLVPSLSIKEPSIAMFTNEKSFMLPDDTTKDDEYHESIWRDIKDMFFLHHMLVSSIKDHKSLKRVVVTDFNRRGTLTLEEDMLAELRNCEPRNQQLEHVVVRDRSGSAINLDVPGPNKLLGLMLNDVCFSVQEYWWENNTVHDHDPIPEAEDVTGFPTHLQKGWLVQLLGILLKDPAKVEINDSTDVVEFLSDLKSAGF >KZN04460 pep chromosome:ASM162521v1:2:11225501:11232736:1 gene:DCAR_005297 transcript:KZN04460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLRMILKQISSSFNGSASNLQENTGRSFANSFSSQSGAASPVYHHTGNLQGLQNIHGSFNVPNMQGTLGSRNTTINNIPSSNVQQATGNLSGGRFASNNLPVALSQISHGSAHGHSGMTNRSAMGVVGNPGYGSTANGIGGSIPGILPTSAAIGNRSAVAGLGVSPMLGNAGPRITSSVGNMVGGGNISRNLSSGGGLSVPGFASRLNLTGNSGSGNLNVQGSNRLMSGVLQQASPQVISMLGNSYPSGGQLSQNHVQSVNSMNSMGMLNDVNTNDGAPFNINDFPQLTSRPSSSGGPQGQIGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGFKGGNAEFSMDIHQKEQLHDSNVSMMQPQHFSMGRSAGFNLGGFSSHHPQQKQHAPSASSSSVSFSNVNNQDLLHMHGSDLFPSSHSNFHSQTTGSSGIGLRPLNSPNSVSGMGSYDQLMQQYQQNQNSSQFRLQQISAGSQSHRDQGIKSVQTAQAPSDQYGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSAENLHKGFGSPWSDEPAKGDPEFAVPQCYYAKQPPSLYQVYFSKFQLDTLFYIFYSMPKEEAQLYAANELYHRGWFYHREQRCWFMRAANMEPLVKTNTYERGSYICFDPNTWETIRKDSFVVHYDLLEKRPALPPH >KZN04887 pep chromosome:ASM162521v1:2:17592202:17593501:1 gene:DCAR_005724 transcript:KZN04887 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MAAKGHKISFVSTPKNIDSLPKIPQNLAPFINLVKIPLPLVPNLPDNAESTTEVPFHKVKYLKLAPPLIKGDGYRVKPEDFTVKPKWVHFDTNVAMSLYQSLALAPGYETDEETGNVSEAYRVGRGLEYCDMVAIRSSVEFEGDWLKLLQDMYKKPVIPVGLLPDVEDSKEESKEDDNEDWSEIKDWLDKQAKGSVLFVAFGSEAKLTQAQTTELALGLELTGLPFFWAMKKQRGLSDTEPVELPQGFQDRTRGRGMIYTTWVPQTKILNHESHLEEKKLGFQIPRDESDGGFTRESVAESVNLVMLDEEGKIYRDKVKDMQVILSDMDKQNGYVDNLLNYLQNHKFMKN >KZN07720 pep chromosome:ASM162521v1:2:43027459:43029187:-1 gene:DCAR_008557 transcript:KZN07720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSALPYKRTPPSWLKISSQDVDDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLSPVFR >KZN06251 pep chromosome:ASM162521v1:2:31367245:31369217:1 gene:DCAR_007088 transcript:KZN06251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDAKLYLFSPKLIAPKNYQTAAPTISSQPQHCLFHWYPFTSSKTLGFNQSFWSQNHHFHKLILTKFACYKNSVLRLFDSKKPGSNPAPNFSRLNRNFLVQSPSAAIGFRTLGAVRHYHKYRGPSLALKDYSKFARFMKHVKEYKVLYIVGIGIVTIFTIGSWETIPYSKRKHFVLIPPSKDTSFGNFISRTREEIFVLPQDHPDSVRVRSISNKILRALQSDLKIKEMTGLEYSSRNITSNVDEKEAAVPWWRRTKFSTRHLEGLGWEVVVVDRYLNNAYVLPGGKIVVYKYLLKSCKSDDQVAAVIGHEVGHVVARHYAERFTKALWFVIGMMIIFSGGSGRSPPPHPLGTEKLAELVLNPCSRRNEKEADYIGLLLMASAGYDPREAPKVYEMLDPPDDSSTSKFKFIRDFFSELFSTHPSGKKRIAALSKPKVMEEAMTRYSKAIEATR >KZN05298 pep chromosome:ASM162521v1:2:22309025:22321769:-1 gene:DCAR_006135 transcript:KZN05298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYHFALFLLFMFLIPLISNGAMAAGTVDGSEQWGYVEVRPKAHMFWWYYKSPQRTQDPNNPWPIILWLQGGPEVGPLDTFLKPRNSTWLQKADLLFVDNPVGTGYSFVEDDSLFAKTDEEAPADLVTLLISLFNSDEVLQKSPLYVVAESYGGKWAVLLGLSALKAIEAETLKLNLKGIVLGDTWLSPEDFVFSWAPLLKDVSRLDNKGVEKAKNLTQNIKQQIDQGEYEAATNSYFELEEVIDAYSNSLDFYNFLLDSALDPLSTTTVELSQKVKSKRNSRYLASLRSVPGGEADLNTLMNGVLKEKLKIIPEDVQWGGQAGSVFMAHAEEFMKPRINEVDELLAKGVNVTIYSGQADLICSTKGTEAWVAKLKWEGIQTFLSLNRSPLYCGGEKGTKGFTKSLRNLHFYWILNAGHYVDELLAKGVNVTNYSGQAGRPTDFFKHEQKSIVLWG >KZN06369 pep chromosome:ASM162521v1:2:32274214:32276363:-1 gene:DCAR_007206 transcript:KZN06369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYEKLIRRMNPPRVVIDNDSCKGATVIQVDSANEHGILLEVVQILTDLNLTIRKAYISSDGGWFMDVFNVTDHDGNKITDVEVLDYIHKALGPDSDFAYSVRRSVGVKSTMDHTAIELIGCDRPGLLSEVSAVLTHLKCNVVSAEVWTHNTRAAAVMQVTDEETGAAINDSERLSVIKKLMSNVLNGSNKSRKAKTVVSHGVTHTERRLHQMMFADRDYERNIDEASEDKLRPEVNVVNWYDKDYSVVTIRCKDRPKLIFDAICTLTDMQYVVFHGNVDASGPEAHQEYCIRHIDGSPVKSEAERQRVVQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVATRGGKAINTFYVRGASGYPVDTKIIDSIRQSIGQTILKVKGSPDELNQATQESPTRFLFGGLFKSRSFCNFGLVRSYS >KZN06592 pep chromosome:ASM162521v1:2:34208439:34210423:-1 gene:DCAR_007429 transcript:KZN06592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALSSNSYILATAPHSRISLKNSRLTALGAKNAGSFRVIKAKAVTEDQESKPAKSNPFQFDFGKIPDVKSLMPVVKNPSSGLSFGQRSKDPNTVFVAGATGQAGIRIAQTLLRKGFSVRAGVAKLSAAQELAQLAAKYKIISVEESKRLNAVESTFEDAESIAKAIGNAGKVVVTIGPTENGATSAVTASDALQVIQAADLAGVGHVAIVYDGSSAATNNVLDGFSSFFNNLFSRSQPFTIAEFIQGLVETDISYTLIKASLTEDFSPESSYNIVASAEGRDSTNDYKVAKSQIASLVADVFSNTALAENKVVEIYTDPAAPARSFDELLGPIPEDGRRKAYADKIANEAALEATEKAKQAAAEKLKEETKVLASQEAQGDEKAQEKASASAESLLAKAKDMSSSFSWDKFSSQLATAVQNAEEEPKVQIATVRGQARAQKLPSKKAVMKKTPPSKRKEAPKPKAEQAESEVQVRNVFGGLFKQETIYIDDD >KZN04135 pep chromosome:ASM162521v1:2:2518306:2518668:-1 gene:DCAR_004972 transcript:KZN04135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVSSDEIAWLAKLLVTLSCWLNEKLGLNHSGTTDNQGAAWSCVEVSGGTRSVNGPADTMKVVFLSVVSWLMSVIRATLKLMSYHGMKVNLRILASKKIMISLLMLVTFSVLKKAVSQS >KZN06854 pep chromosome:ASM162521v1:2:36393987:36396395:-1 gene:DCAR_007691 transcript:KZN06854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVRTSRWRSKFHGRVESRQEGWKEEKAARLQHEEAFDLEKKGVHALSEEERLSLKFSILKYWFLLDYELKEAITKDNLKLARVAIQRIKFLSPYVKPDLMKGAIEGDEQSLEMLTDFLIQKGLSEKADRLNISEVLEPISPKKIAAEKRDLILFIWDHKELVHPNVLKNVRQDDEESINLALRHIHYGSLQKAREIKKKEAESSKIEADEVKKGYKEALLRPTFNKPLRFVDKGGEKKSKGLAPNKTVFVYNIPAEAKVQEIWKGLKAWGKVPDISLLIKKDKFGRRFGFARLASLNEAEKFISSANGKFFQGNQLKVQYAKDFKKDKAGSSPPSRVESNGGSVESYPDAGFNLASPTKFLEFEARKDLEEEIGRSMVIELWKPSSVTEVVDTLEMLGFVNIVVRGISSFKFLLTWDSVEKLEETDIELLSLGFLSCKKASWRDIIPNRRAIIECTGMPWVCWNLSNLSKILQEWGRICAISNPTNMDMTFHNPVVIIDTARPRDLFKSSYIRFQGETLKVHSKEIYGVEFEDAYDQYLCADLEENSDRNVEGKSCYENPSEGEAEVINQEVNEEDNSLSDILAEPGLNNEKSIKEGNFEKSYQIDFNSPSLFKNSFGAEALEDGKNLEKRKEPRNSSAESSVLKTNLKEDHIGDSLVNSANKSDRLSPQNVERLEDEKWKARDKTISSNFSGNTSFSLKGSKLEDSIKNGEVVSVSSVVNHVNKLHLGKKRGRPVKKTGKKVIKSFDIKIKSKSDDRFSSILPSLEEESAKVLESCLLMGLGLEMCNSDALVCIKDRLK >KZN07092 pep chromosome:ASM162521v1:2:38303605:38305752:-1 gene:DCAR_007929 transcript:KZN07092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAIFSSLRRRKSPTFQAFVTPVDLTEMALLATLSAVSSELVLSFSGKPLVFQRRNARSLVRKIEVFVVLFEYVGELGLDLPKSAVVCFQEMYILLYRSKILLDYCVQSSKLWLLLQNHAISGQFHDLNQEILTLLDVFPWDDLDLSDDVMEQIELLQKQSKKSKLLIDKQDEVLRCKFYSFLDEFEDGRIPDSRELYRFFVERLRILDVKSFMVEIEFLEEQIVNHEGDIEPMACVLNGFVALVRYSRFLLLGFENDEMEVGFGENKKVKRRLSSKEFCEMFASIPKDFCCPITLDLMTDPVVICTGQTYDRSSIMRWMEEGHCTCPKTGQILLHKQLVPNRALRNLIMQWCTAHGVPYDPPENLEYSSGNNAAPSPSKAAIEANRATSRLLIDELANGSQGAKNVAAREIRLLAKTGRDNRAYIAEAGAIPYLNALLSSHSSFAQENSVTAILNLSIYEKNKSQIVNEPGCLESIVNVLRYGFTTEARENAAATLFSLSAVHDYKKRISEIDGATEALAGLLTDGTARGKKDAVTALFNLSTHSDNCLRMVESGAVAALVRALGVEGVAEEAAGALALIVKQPMGAEAVGNDEMTMAGLIGMMRSGRPKAKENAVVALLELCRGGGTAATQKVLKAPALSGLLQSLLFTGTKRARRKAASLARVFQRCENASLHFGELGVDYAFPENSTAARDPGFAGDVSVPMSISVPVL >KZN05033 pep chromosome:ASM162521v1:2:19132473:19140738:-1 gene:DCAR_005870 transcript:KZN05033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEIYEEQQLSSIPEMGGVPELGGVPELGGMPELGVMPDMTGVPEKGDVPEMSAVPEMGSVPEMGGAPEMGDMPETGGITETADIPNDSNLPEVHEAENDKKSDNPVNDSDKKWPGWPGENVFRLLVPVQKVGSIIGRKGEYIKKTCEETKARIKILDGPPGTNERTIRLMTLVLRREVHPREGDNESQAERGSCKFKANSYYQSGITLEESEMQIAVMSNQALGTLKSSCAIRVMISAKELPDVPIPPAVDGLLKVHKRIVDVDADTAHSQPGAAGTVCTRMLVAATQGGSLIGKQGATIKSIQDASGCIIRVLGRENLPVFALPDDGVVEVQGEAAGVHKAIELIANHLRKFLVDRSVIGIFEMEMQSPNTRGNLDMPPPQYGGPQGFGMNSGAGHGFPPNPQYAPPPRQFDNYYPPADMPPIEKQPRAGRPVYGGGGDMPMGTQPNMQPHQSMVTKVSQNMQIPLTYADAVIGASGTTISYIRRASGATIAIQETRGVPDEMTVEINGSASQVQTAQQLIQNFIADAANGAQNTPAAPGQGYNPYPNNGPAYLSQQPEGTGHAPPGDYGSVYGNNYGY >KZN04913 pep chromosome:ASM162521v1:2:17893354:17898564:1 gene:DCAR_005750 transcript:KZN04913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLFLMFGASGELKKFRRLLLKWMIISLYAIFSTCADLPNTPVEEQVISPTNRPLLVSAIPKIPMLVDLPVFYKRHRKHIIHNPVPNLPPAPAHSPYNSPLIASAHAPSNSHFSKPSMRKSKLLPPTASMTPPWLHNVSPTQSDPGAIPAVLANPPKAPNCCGHNMVRKRGTQACHCVYPIKLDILLINVSSNPNWNIVLEEFAYQLGLRGSQIAPINFYVVTSSNWNISVAITPHTGKSFSAVEASGINSSLSMHRVRTNPKLVGDYKILNFTWFQSQASSQASPVGAPSYNPSSSTELNTSSNGKHPSIVLIVGVGIGVLIIGIISMLIVCSYTSQQGKKKEKTYVTETDIPAKSKTVGALPRVGSLSLHPTSTRFLAYEELKEATNNFDSISVLGEGGFGRVFKGMLSDGTAVAIKRLTSGGQQGDKEFLVEVDMLSRLHHRNLVKLVGYYSNSDSSQKLLCYELVPNGSLEEWLHGPLGLNCPLNWETRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFQAKVADFGLAKLAPEGRQNYLSTSVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDKDRLDELADLRLGGKYPKDDFARVCTIAAACVAPEANQRPTMGEVVQSLKMVQQVTEYQDSVLTSNNRPTFTSDGTSSIFSSGPYSGISAFENDVISRTTVFSEDLHEGR >KZN06008 pep chromosome:ASM162521v1:2:29107469:29109355:-1 gene:DCAR_006845 transcript:KZN06008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSNNGHNSRTCPNRGVKLFGVRLTDGSSSIRKSVSMGNLSHYAGSSLKNGHDSPGDNLDHGGDVADGYGSEDFVPGSSSSGRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGISRNYVITRTPTQVASHAQKYFIRQCSMSRRKRRSSLFDMITDEPVETPMVSHDFFSVNTQEDETQIDPLPAPAVEQECEPMESTKSNDVEPSSFQPEISQYCYPVYYPAYISSVPTSHPYWSGCSEEPRKIESHVVLKPTAVHSKSPINVNELVGMSKLSLGASIGRDETSTLSVKLLEGPSRQSAFQAKPTPANSGMISGNNAIHAV >KZN05384 pep chromosome:ASM162521v1:2:23289830:23293055:-1 gene:DCAR_006221 transcript:KZN05384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNDGIAVGIDLGTTYSCIGVWQHGQVEIIANDQGNRTTPSCIAFTDTERFIGDAAKNQAALNPVNTIFDAKRLIGRRFADSTVQSDINLWPFKVICDPANKPKIVVNYRGEDKQFSPEELSSMVLIKMKEIGEEYLGQKVKNAVVTVPAHFNDSQRQATKDAATIAGLNVLRILVEPTAAAVAYGLGQKLTSSLAEEKIVLIFDLGGGTFDASLLKVKKNNIKVLATADFCAKITRARFEDLNMDLFRSCVETVGNCLRDAGMEKSRVHDVVLVGGSTRIPKVQQLLQELFNGKELCKNINPDEAVAYGAAVQAAVLTGVSDYNIRDLVLLDVTPLSLGIRIKGEVMSIIIPRNTTIPTSREEVYTTPFDDQVAMEISVFEGERSSSTHNNLLGEFTLNGLPPCPRGVVKVIVTFSIDANGVLQVTAECKIAGVKQSTTIINDKGRLTENEIERMIGDAEMYRAEDEEFKRKIKAMNAFEDYAYNLRSTIRAKRNLKEADKKKLEEIIKLAIEWINANTSAEADEYKLKMKELKDTCNSIISSYSEIRIEEIY >KZN07403 pep chromosome:ASM162521v1:2:40605749:40607762:-1 gene:DCAR_008240 transcript:KZN07403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCMLALCATMSLFGVLIKTVKVSNVSLGATLQDVQEFFSFSGDIFYVEMQSHDERSQIAFVTFKDAEGAETAVLLSGATIVDSTVTVALDPDYKPPAAAFVPPPVTQNITPKSGGSAMNKAEDVVTSMLAKGFVLGKDALGKAKAIDEKHKLTSTASSKVSSLDKKIGFTEKISVGTSIVTDKVKEVDQKLQVSDKAKSAFSVAEQKVSSAGSAVMKNRYVLTGATWVTGAFNKVAKAAGEVGQHTKEKVSMAEDEKRKKMVDEYAEVLSESPKASEASEHKPSKPAPAEGLIL >KZN04162 pep chromosome:ASM162521v1:2:2815494:2816699:-1 gene:DCAR_004999 transcript:KZN04162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGKSLIDISEELQIEIFLKLPVKSLLLCKCVCKHFRCVIQNPNFVRTHLASINRSDSQSLVLLHSGWHSFYQLRHYLLSVDVNNTKQVLSCLPLNPPIPIIDTSFRYSVIGSCNGLICVAIWYQYDHTFDDANAKTPDFSIQLWNPVIRQCRFLPKHQSTCKPDVLEFGYVPEINDYVIVKVGSPGFDIEVYKMSADSWTTIHCNYFAGVDSIPTVYRVQTPVFLNGSFHWATKRSKQHRTNETDYIVYYKVKDEKVGIMNVFDNYSAIFDIVVDDDERSFDEWKLSVIDERLAMIHWCGERGNLFEVWVMNDYGIDNSWTRLFQIADTLCSYVNPMGYWTNGLMLLDRTLCWKRGFDNLQVFFFYDVETRSLKKIPLDHSTGFINGFSSFVETLVPVR >KZN05423 pep chromosome:ASM162521v1:2:23690326:23696100:-1 gene:DCAR_006260 transcript:KZN05423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKREEERLERIIRDLLRLPENRRCINCNHLGPQYVCTTFLTFVCTTCSGVHREFNHRVKSVSMAKFKSEEVTALQAAGNERARQIYLKTWDPERNTHPDGRHVYVDRRYTGQRSFSMHAMAKSGQKGSFNERHLIERSGLGSREDFYERRYHERESPSGRSDRNYRDYINDSSNRNYIDGKDYKNCIEDRSPDSIYERYGTLRSRSAAHFEIVDNRNQNDRYGRRSQSFRTSRSDTSMSPEPHRIREEADNMAPETQSITGKAKLPVLRPLKDIMGKSPPTLKVSDPSKAIKAKDSNAAANDQKKSFSGSHGSADVKADDNKSANASGLIQYDNNSELPDTSTKAQTEFNSSVQSSIVQRTNNPPSINSVEFLLFELSGLAVGNASEKSSSNAATLAAPATLSTSPVASSTEPVVSSIVPTASVLPAGNATKISSSNTASSTAPGTLSTSPVALSTEPLASSIVPTASSSPVALSPVPIAPSTKPVTSSIGTATTESASTPRTSVTISGEAVAATSPLENAQNHPFSSSYPVSPVAEVAEGKEVQKTQQQKPSASPSGTNSSTSPQCAPTFEAFFDQPTFSLAAPTAQKAPGDASAEQSTQAVSKAAQATTSPRNESKSTGRKELPAELFTFSYPTYSAPADNWQFRPPYGVGHGMQYAPSPRVTAFPGTAKSWNPFDLGDDSRAVQGAMLSSIEYFPGAVPQTSPHSGLQPQPSPIQSGHTPELPSYRMNMSAGGYIGQLPNNMPIPRPRGIGNFGGNDDGNTALATLNPYQHSTGGYSSNLIPTASNSFSSTGGNPFA >KZN05821 pep chromosome:ASM162521v1:2:27511154:27519396:-1 gene:DCAR_006658 transcript:KZN05821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNGHDEEIELPDSCDKDRVDPGKPASITWQRKVDSQRIPLSEFSINWKDIISLLPIGYRIWRQLREEAAEGNGIALNPFTKRAVTSCQGVPLGGIGAGSIGRTYKGEFLRWQLFPRICEDKPVLANQFSVFVSRPNGEKHSTVLCPRSPEMPKDALATGIGSWDWNLNGHNSTYHALYPRSWTVYEGEPDPELRIVSRQISPIIPHNYKESSFPVAAFTFTLSNYGKNPADVTLLFTWENSVGGVSGLSGKHFNSKIMPKDEVRGVLLHHMSASGLPPVTFAIAAEKTRDVHVSECPCFVTSGNSPGITAQDMWNEIKKVLYTNKPFFALKHGSFDHLNSAEVAMPSASGSSIGAAVAASVTVPPDAVRSITFSLAWDCPQVHFQSKKSYNRRYTKFYGTQGDSAADIARDAILEHCSWESQIEAWQKPILEDKRFPEWYPITLFNELYYLNAGGTIWTDGAPPIHNLSVIRQRQFSIDRSSNDLKQIDSKHKDTAVCILESIAALLEEIHNPVSLNSAIGTNLLQGGEENIGQFLYYEGIEYHMCNTYDVHFYSSFALAMLFPELELSIQRDFAAAVMMHDPTKRILLHDGTLVPRNVLGAVPHDIGMFDPWFEVNYFNLYNTDTWKDLNTKFVLQVYRDVVATGDKKFAQAVWPAVYLAMAYMEQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYCGGLWVAALQATSALAHEIGDEGYADYFWFKFQKAKRVYEKLWNGSYFNYDDSGSSNSSSIQADQLAGQWYARACGLSPIVDTEKAKSALEKVYSFNVLKVNEGKMGALNGMLPSGEPDLSSLQSKEIWAGVTYALGANMVQEDLVDMGFQTASGVYDTVWSKEGLGYSFQSPEGWDLKGGYRSLGYMRPLGIWAMQWALSRPQISKQEMRQEVEEASLFRQHAGYTKVAHLLKLPKEKHSKSLLQVLFDNTCKRMFP >KZN06808 pep chromosome:ASM162521v1:2:36015538:36016940:-1 gene:DCAR_007645 transcript:KZN06808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSVQNRYFLTASQLEEFKHQALIYNYMVSGVPVPTDLLFALKRKLDFSSTLCLHKESSAARWEYYHKGLTRKEDLEPGRCKRTDGKKWRCSRDAHRESKYCEKHMHRGRNNSRKPEAITTNTTSPVMKIHLNSAHDQPAIQTSALIHPDSLYSQSSPMHQNSRTQQMFMESKSPYQTYKDYRFMQGMRDDESAFFPGVSRTVRSQHESYTASEVASSDYFRAINDHGKIKEHRRQEEDRDSKKHCFILGNDFKPARSIKVDRGEENQEGFHQFFSEWPLKGKAQWLNNEEKQSDHASLSDIQISTSKPMSTLELFQPKPTVHWY >KZN06124 pep chromosome:ASM162521v1:2:30336908:30340810:1 gene:DCAR_006961 transcript:KZN06124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSDDVKAVKPEKLASPPPPPPLDQSNIHVYPDWAAMQAYYGPRVAVPPYFNPAVASGQAPHPYMWGPPQPVMPPYGVPYAALYAHSGVYAHPGVPLAASPVSMDAHAKSSGTTEHGLMKKLKGLDDLAMSVGNGKADSSDDGMERTLSQSKETEGSSDGSNENSKRAAVNARKRGRDEAPIMTGEVKIETQSSLIPSPRVKSEKVLGIAVATSLVAGKVVGTVVSPSVTSSLELKDSPKEHAVNSPAGGQQPSAMMPNDSWLHNERDLKRERRKQSNRESARRSRLRKQAEAEELAIKVDSLTAENMALKAEINRLTVTAEKLTNDNSRLLEVMKNAQAEHAADVGLGNNNEKKASTLSTANLLSRVDNAGCGDRDEGEGEVYEKTTKSGAKLHQLLDANPRTDAVAAG >KZN06021 pep chromosome:ASM162521v1:2:29206922:29210316:-1 gene:DCAR_006858 transcript:KZN06021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSDVSKQIQQMVQFIRQEAEEKANEISVSAEEEFNIEKLQIVEAEKKKIKQEYERKQKQVEVRKKIEYSMQLNASRIKVLQAQDDLVASMKEAASKELLNVGHHSFFHHHKYDALMKALLVQSLLRLKEPAVLLRCRKEDIGVVEDVLGSAVEEYARKANVHEPEVIIDRVHLPGPPSSHHAHGPSCSGGIVMASRDGKIVIENTLDARLEVLFRKKLPEIRKKLFSQVAAA >KZN07482 pep chromosome:ASM162521v1:2:41200009:41204988:-1 gene:DCAR_008319 transcript:KZN07482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEQELDLFGEDEDDDYNTTNNTHKEESHQTSSSSSSSSSSSSSSSNRSGGGDTSSAATGSATGSGSASEDEDNNGEVRSNITNNYNNYSNSNSNSNYNDDDEDDDKDLFGSDNEEYCKTLATSPFPVPVLPAIRNTNNHTRGGYGRGGRGGRFPNDRGAGILPNPSGPYPQRGGYGYNPRFSNVRNDERFVSELKFSKSEETLARKCIAFQEPCEIGCYSRVEGGEVYFDDRSLRLFKRLITEDIGADLNDGFDTFIEKKDLGSDGFGDLLTCIRNKNVPLQNMHFVTYRNNLNKILATAYIRNDPWEMGVHKRKGVVYLDVHKLEEKPKSELDRRRCYWGYSFESLATEDPRRADGEGVHDIDANVEYCSVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELNYHTEERFEREKLLKFWIQSFLAGVPYIVIGFRDDGGRLVRTERLRTKDITQRVKMKSYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFNRLELLQAHSCPDAITNHIAQL >KZN04549 pep chromosome:ASM162521v1:2:12501284:12503405:-1 gene:DCAR_005386 transcript:KZN04549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVFWGLQEGEKDQTDWKWMWDKLQYLERYQ >KZN05048 pep chromosome:ASM162521v1:2:19286452:19288993:-1 gene:DCAR_005885 transcript:KZN05048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSYLDSDIDMSKQEDVIDSDNLPVIDFQCINEEKQKLHEACMDWGIFRLVNHGIPSGLLNQLHETSKQLFHYSFEAKQGLFSNSPITYFRGTPALDSQGRALQPPRNVECMEGFNVPLNQLLSTHASQDDDASLPASFRGLIEEYGKHQSRLAETIYKAITERQNEGLEDFPSYLSLSTGFLRVYRYPAVSQLNESSWGMDAHTDSSLISILNQDLVGGLQYYRLGRWFHVCPIPNTFIVNLGDLMQAISDDEYKSVKHRVRLNKHKERLSIGYFVFPDDDRLIHSQRYKPFSYSDFRAQIQQDLETVGYKVGLQRFRRSG >KZN05619 pep chromosome:ASM162521v1:2:25677186:25682824:1 gene:DCAR_006456 transcript:KZN05619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEKTGLGRSLVKKHNNMIQQSKDKGKVYKSLQKKVLESVTEVTDIEAVIEQADEAELLYSNDKASLNPKIDMDSTSSTIEMTPDQKREQQEREQELHASSLRVPRRHVPPWTLETTIEELDTNERQAFLIWRRDLARLEENENLVLTPFEKNLDIWRQLWRVLERSDLMVMVVDARDPLFYRCPDLEAYAKEIDEDKKTLLLINKADLLPFSIRDKWAKYFRLHGILFVFWSAKVAAAAVDGKEASFSSELQNGQDESVDDDTRVYGREELLARLQSEAEEIAAMKKLRPKAPVGRGFPKKDDGVTVGFVGYPNVGKSSTINALVGEKKTGVTHTPGKTKHFQTINISDKLTLCDCPGLVFPSFTSSRYEMIASGVLPIDRMTEHREAIQVAANRVPRHVIESVYKISLPRPKTYEPQNRPPLASEFLRAYCTSRGWVASSGLPDETRAARIILKDYIDGKLPHYELPPDMSAEEAEAVLYDPTYLTSLPEESEEESSGDENNQSLTGEDGKGPSLEHVLDDLSTFDIDNGLASTKALTKKKPSGPSHKQHKKPQRNKNRSWRVKDNGEDGMAIVRAYQKPLNSRQPVEAG >KZN07309 pep chromosome:ASM162521v1:2:39902467:39905766:1 gene:DCAR_008146 transcript:KZN07309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVGFDMGNENCVIGVAKQGGIDVLLNEESNREIPAVVSFGEKQRFIGLAGAASATMNPKSTISQVKRMIGVKFREMEEDLRLLPFETSEGPDGGILIHVQYLNEKHSFTPVQILAMLFAHLKQMSEKSLMTSISKCVIGTPSYFTDQQRRAYLDAAEIAGLHPLRLMHDCTAIALGYGIYRTEFPSKRRSTVVFVDIGHCDTQVTVAAFRPGHMEILSHSFDRNLGGRNFDEVLFKHFATEFKEHYNIDVYSNTRAAVRLRVACEKLKKVLSANPEAPLNIECLMDEKDVKGFIKREDFEKLSADLFERISHPCQKALLDSGLSMDKINSVELIGSGSRIPAVKKILASLFGTEPRRTLNASECVARGCAIQCAMLSPIYRVKDYEVKDSFPFSIGLSLDEGLINNSNGILFPKGCLFPSEKILQLHRRSTFHMEAFYADQNELPSGISPQISKFIALLDSGLSMDKINSVELIGSGSRIPAVKKILASLFGTEPRRTLNASECVARGCAIQCAMLSPIYRVKDYEKL >KZN05410 pep chromosome:ASM162521v1:2:23575420:23577485:1 gene:DCAR_006247 transcript:KZN05410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGSSKSNLDSFLDRTTPVVPSQFLPKSEIMKLNSLWHPWEKENVEYFMLSDLWNCYDEWSAYGAGVPISLDSGENLVQYYVPYLSAIQILTSNPSLNSLRDDADSASETRDSFSDSFSDESESEKLSRWDGCSSEEGFEQELLWHQNNRLGNLYLQHFERSTPYGRVPLMDKITELAERYPGLMSLRSVDLSPASWLAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDLDIEDEIQSTKTKRKNVRGISLAPFGAVTYKMQGNVWVSDKSSRDQDKLNSLGSVADSWLKQLRVQHHDFNFFNGIRHA >KZN06798 pep chromosome:ASM162521v1:2:35913969:35915661:-1 gene:DCAR_007635 transcript:KZN06798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDISPAVEATLSLSSLSHDDSEISDNADITHVQMLTDSVSLLLDPVSVLPAESFPGKERSFNTLKKTLSGVVESEKIISVSETVLKGDCGWFPSDTVIQQNKDSFADDGSGIKSKQSLALNANSGISLPIAVKIEEIDDGQIVAKVISLEKQSMEGKMSGTADDASSKVFTLKTSVISLQLPKEMITESGDSRNGVQMDYFPLWGSVSICGKRPEMEDTVAAVPQFLKVPTKMLLGDHLTTEMSQNFHPMTTTHFFGVYDGHGGSQVANYCRDHVHITLKEKLKGVMENETEAGTVDTLQVQWEEIFTSCFQKIDDEVGGKVCHTELGENADTSSGHIEPVAPETVGSTAVVALLCSSHIIVANCGDSRAVLYRGKEARALSIDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGKLSMYA >KZN05587 pep chromosome:ASM162521v1:2:25363914:25367066:1 gene:DCAR_006424 transcript:KZN05587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKINQASSLTHPSPTTTTAMACSSALLRHHPTLLHHTPKPHSPKLCSTPNQLNPHQSLKLSWKQELIKTFPKEKPCTPDPNLLNTDDIIEMDEEKLEEMVDKRCVDNVRMLILDSVNHAKAGHPGMALGMAEVGFYLYRHVMKFNPENPGWFNRDRFVLSAGHGCLLQYVCLHLAGFQSVQIEDLQRLCKLGSRTPGHPENVVTDGIEVTTGPLGQGVANAVGLALAEAHLAARFNKPDAVIVDHRTFCIMGDGCAMEGIAHEAASLAAHWKLNKLMLIYDDNQNTIDGGTDLAFSENISARFEALGWNTITVNDIHENIRSFKNALQDAFNETGKPTFIRVKTVIGKLSKKEGTSKAHHGTFDEDDTRHMRARVCWDDREPFHVIPMLYKEMKAKAESGKLLEKKWLSKLNYFQSKYPDEAAQFKLLLSGGMVPGWEYSLPTWSTSDPLDATRGYSEKCLNNLAKLLPGLIGGSADLATSNKAYLHGYEDFQQPKSPWGRNIRYGVREHAMAGISNGMALHGSGLIPFAATFLTFSDYMKNAIRLSALSHAGVIYIMTHDSIGLGEDGPTHQPVEQLAGLRAVPRLLVFRPADGNETAGAYKVAVANRDRPSLIALSRQKVAANIEGTSADAVERGGYIVSDNSGNSLPELILIGTGSELCLCEGSADALRKEGNKVRVVSLVCWRLFDMQLEEYKENALPSCVAKRMSVEAGSPIGWREYVGDRGMVVGVTDFGRSGAYLDTFQEFGFTEENVTKIARSLLNS >KZN05747 pep chromosome:ASM162521v1:2:26896986:26897741:-1 gene:DCAR_006584 transcript:KZN05747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKDVIPSSSGAILENVWANFIGCSNLESRDEPDVFQTWNEMPMLDDNSSGDGVVNMLQRLPSLGRWISMGSEAWETILDEYAALPSNAENGYKTSNQKSESAESGDHVKKGDQKVERIISRHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFETAEEAALAYDKAALRIRGPKAHLNFPLEKVTQGATTTEPSQSHLSCSSEDLVMAGTHETEKMVCLEETYANDQLDVVEFQDLGSDYLESLMSTL >KZN06131 pep chromosome:ASM162521v1:2:30402944:30411825:1 gene:DCAR_006968 transcript:KZN06131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPAKVRLVRCPNCENLLPELPDYSVYQCGGCGTVLRAKEKDDEGDSLSGNLYEERVGVLGKSPEAVENSEYAEEAVMNLSDESGNSVRSNGASTSVSKENIADRVGKIRNTSMTKGDKKGVIIDGEKENSDDIGKESAELNSRIGKASGSRGSGRFSDWRAGERSEVEGFLGNQRADVASLRYSTSKYSEEVPSYNKFVSNHDQAVTAEQMKNQSDFDKLKKAEYLEEDRAELLRKLDELKDQLTRTKIVDNTKEKVPMNNGRVFHQEPYGGDNWFPDGSMGHKRASMQYSVPDKHVAGPSYISHYPEPRPFMNSREMAMHNFYTPMHTPSELPGFEDPFRSQMLRTHTSQAPHPLQHPAHQYFPGRYMNNDMGNFDMLEPYSHNIEPHPTSCSCFHCYNKYPHVLQPNQPSVFRDKTYADIPHNSMFYHRENSGAYGPPGYNSRFPSHDFHNPHSNTRLATDLNYKVGVNRQRHQRVVLATGGSRCRPVAGGAPFITCYNCLEVLQLPKKILKTKKSQKKLKCAACSKVILLVISDNKLVSTLSDGVKRVPAKVGDSSNMAAKEDSSSYQGANWGSMDLSSDDYENTGYDFQSMDQKLPSSTLGQNLSSNQSAEMRSLHSTISCPSEGEDNPDILIGTIGEAKSDELVAGIDPSQTASGSPLEDPINSSNKYNMVNRVGKGNRSGRTEQEKVIVKKVTSRQNSIKDAPVATELDISSNEYGNTGVSLDSGDTSREEDQLRVKKGGLSFFTGIKKKSFKDKFRSSQIVEQEKCSVAVNGHPIPDRLIKKAEKVAGPIQPGQYWYDSRAGFWGFMGGPCLGIIPPFIEEFSYPLPEDCAGGNTGVYVNGRELHQKDLILLGNRGLPTERDRSYIIEMSGRVLDEDSGEELDSLGKLAPTLQVQQYNIDLELPEEDIVLFVLKLTGAVSLQYILFINVVTLFSPVLDSGTGFLLNKALPPEAILPELEDFPPFNESRASSAETVNSQNGKCDIFTGEWVPDQSGPFYTNHSCHSIEAHQNCMKNGRPDSGYIYWRWTPKHCELPKFNPERFLQLMRNKVMAFIGDSISRNHVQSLLCTLSQVQEAVDVYHDEKYASRRWKAINLALEFITSSENKAYTFFRTSTPDHFENGEWNTGGYCNRTVPFKEGDTDLIDVDRTMHDIELEEFQKADNGLNLRLFDTTHLSLLRPDGHPGPYRTYQPFSIDKNAKVQLDCLHWCLPGPIDSWNDLMMQMLMDE >KZN06828 pep chromosome:ASM162521v1:2:36135155:36143303:-1 gene:DCAR_007665 transcript:KZN06828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNLTAMMCLIWALTLLYGEMFAFWVPSVFTCSWPHLSSKIKRIEYPDDFVKVAVLADPQLMDRTSLSVAPKSLALEIAQFYTDVYMRRAFLASVLPFEPDVILFLGDYFDGGPYLSDEEWQESLSRLRHIFDLDTLDSVKHIKVYHLPGNHDVGYSALHSHMPQTLPSNPSSKQWVEHLPTQSLHPNKVFSRYENVFGTRNYQFKVGGLDFIAIDAQTLDGHPQRDSTSATWKFVKNVSMDSSSVPRVLLSHIPLYRSSDTSCGPYRSSNIINQRVRRDDLGEDILYQNYISEEKTESLLDSVRPVFVLSGHDHDQCTVTHTSKYGPVTEQTLGTISWQQGNLYPSFMLLSAWNHSFPNATIGKDAVLTHLCFLPLQTHIYIWYLCQFVLTLLLALFWPTSGVSILGHVDFTGYIRSVISCNIFKGSKEKVDEENCEYDMVWDAEGSMHLVKRMIKAAVSSNENGATERGNAVMRSAAKKQLMQDTDVLMPSDVSVHVPTDTSTKIAPRINKSKANMAIRRIIRTIRVITVVAAVNLPIYMILLFKDWIDK >KZN05823 pep chromosome:ASM162521v1:2:27531412:27543607:1 gene:DCAR_006660 transcript:KZN05823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMCSKGSSPHSHTKDKELKVSKKSAQRLISSSRQEDVGVEVDGSGNDATTRLISTESEEVAAGTTPPVVYEKPKTPNMSDRRPAVDIGMGGQRPNMSRILSMRNGVDGAQVAAGWPSWLTDVAGEAIKGWIPRKADSFEKLDKIGQGTYSTVYRAHDLETGKIVALKKVRFVNMDPESVRFMAREILILRRLDHPNIMKLEGLVTSRASGSLYLVFEYMEHDLTGLAASPMVKFTEAQVEQLHKIFKLCGSPSEEYWQKSKLPHTTIFRPQQPYERCFADTYKDFSPSVLSLLDTLLAVEPDRRGSTSSALQSEYFTTKPLPCDPSSLPKYPPSKEIDMKLREEEARRQKAAGGKGRGHESNRGSRESKAMAVPDANAELQASIQKRQGRTTPTSTSETYNPEGDSRSGFPTKGPVRHGSRHGRVMNLDSIGSSRHETEFKSQRSYRPHGAAASQLSQFSNSVAGHGSSRLDLSKDNSASSKWSEERPNVRYKNFNDSELSHHLLDKPNALYRKDEVMPQKETAVAHVPRKSRIHYSGPLLTAGGNIEEMLKEHERQIQNAVRKAILDKTNKKNGYAQNGQKEPIMQYGATASQAKKNQEESKSRKRRRLRCCHFSPASNMSNDYDFLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYANESVCKLLVGNKCDLVENKVVDTEVAKAFADELGIPFIETSAKDSINVEQAFLTMTGEIKKKMGSQPAAGNKTSNTVQIKGQPIEQKGNCCG >KZN07209 pep chromosome:ASM162521v1:2:39125539:39126155:1 gene:DCAR_008046 transcript:KZN07209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGKLTKKADIFSFGVLILEIVSGRSSGKASWGEIQKLLLEWARQLYEEGQLLEMVDPQLEGFSEDEVTRYIKIALFCTQPTASRRPMMSQVVDMLSRNTRLNEKELKVAG >KZN06789 pep chromosome:ASM162521v1:2:35854328:35855577:-1 gene:DCAR_007626 transcript:KZN06789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPITWERDSFITHRAFCDALAEETTKSIPALAASANANPVHQPAAPTSTTSTSDNVNNNSSLPPQLFNNLTHHFNAFSMKKEQQQQQIPQWLAPLGLAPHAQTPMTTYNHQQQFTDHHQISPYHQHLTQPITSPDNPNPNPSSTGPGPTRASPYIMKSVTADMTSPHMSATALLQKAALMGATMSTQTSTSSLPSMSMIGLMKPHQPQAEEASRVLSSFNNKDAYNSCLTMEQESHAAATAAAPSHQDRHLVFDQGSRVTPFQEFAFKTAGNDDGMTRDFLGLRPLSNSEILDIANLQPNYMNPNNTSKSADHTVI >KZN07013 pep chromosome:ASM162521v1:2:37657132:37657773:1 gene:DCAR_007850 transcript:KZN07013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISIIVSRLLFSAVTAACLASSICSARDKVLLMPPEKIEEKFTFYPILPFDTAQATLKRYTRFINNVREEIVSGDTVHGIPRLYNPVKLEESDRYLQVALFNSDEQRISLAIDKSDVYIVGYRTEYEACFFSDTDGADTSSLFPGITRHQLPFKNGYSGMEEIAGSRRDISLGMSELDECIKHLHDLTDNSSLARCMLITIQMVAEAVRYRY >KZN04465 pep chromosome:ASM162521v1:2:11312543:11314363:-1 gene:DCAR_005302 transcript:KZN04465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIFSAPKSPSPSPPPSWSHRTTFSSSIMDENIESAAAIIIKWNTDSLAQAKSSPLFSGDRTESKLFIKSIEELQFALKYFVSENYSPSKLVQGQNLMQMAMKRLEKEFYVMLSAQRDFLDSQSSVKSSVSDEESDFGSSGGEQTIENNFDVAMADLKIIADCMIKYGYGKECAKIYKIVRKSVVDEKLYYLGVENLSLSQIQKLDWETIELKLKQWVNAMKVAVRTLFRGERILCDHVFAASDFIRESSFAEISMSGGLMLLTFPENIAKLKKSPEKIFKLLDLYDAISRNWEDIVSIFSFDSTSAVRLQAHSSLFKLGEAIRITLSEFESAIQKHTSKSILPGGGVHPLTRYVMNYFVFMVDYSSILPEIIAEDPVTTTASVSMPESYFSASSPDENPPPISLRFAWIILVLLCKLDANAQLYKDVSLSYLFLANNLNYIVSKVRNSNLRVFLGDEWLEKHQSKAQLYASNYKRMSWSKLVASLPHNPAADISPEEAKKSFLLFNSIFEESYRKQSNWIVTDPELRDQIKTSVAIEVVPVYREFYDKNRQWFRREVGFESVVRYAPEDLENYLSDLFSGNGVGESTSSCSSVAASPAREIRRR >KZN05080 pep chromosome:ASM162521v1:2:20039016:20042644:1 gene:DCAR_005917 transcript:KZN05080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAEVEVAVQIEQRRRHRRWTHTPQITFSSGGTTTDGSVYFSDSEEEENGGECGVSGGDEEEEVDLESGELERKVHSYYRNKRRRRRKKKKRDGEERDEGGRECRICHLDVMERDNGGGEMVEEEGENGGGYIQLGCDCKGDLGAAHKRCAETWFKIRGNIICEICGAIALNVASEQMIEALNVSVEQTNLANYTDGPVTEVNAAPEVVSGSRFFDGRRLMNVLLVCMIVAFIISWLFHFHVFH >KZN06981 pep chromosome:ASM162521v1:2:37313030:37325935:-1 gene:DCAR_007818 transcript:KZN06981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSSRRDTQGGELAPDGGAEWRSRMQADARQKVITKIMDSLMRHLPLAGQEGLQELKKISVRFEERIYFAATSQEDYLRRISSRLVSIETKHAMANATPSNSVSIGKNPPDPGSQSMQSQSNSQGPPLPVPFQTDLSQSAQQLPQEFANDIASAGMQGSAGLSSSLPQISSLSQTNISNINQNSNMQSIPNFSGVLQNSVASAVGQDVPSNIFANSQRHTGRQQQVVAQQRLQQSQNSAQFLCKQQVLKQKIQPGTISHSQMQPHIQKQEQQHNLLHSNQLHSSQQSIMQPSIMQSTPVSNLQQNQQSSARQSTQSGVQQHPQPVRQLQASNIYQQQTEFHQQGVLPKQQQHLVGLSNATYIQQNQLIGQQNSNPDMQQQQPSLLNQQNDLSNQQQQLMGFPNKLAHMHQQQSDSQEQQHHYQLAGDQSGTSIMPSNKNSGDMLRHPKVTLQQSQQSMSNSLPSQGQQLQQQSQQQTMSNLLPNGPNQTQTQLQSQIMSQMQPQPVQLHQQLGSQQKPNPLHHEMQQRWQTLQQQQKLVSQPQRAMQEASSTSLDSTAQTGNANGGDWKEEIYQKIKSMKDMYFLGLNDLCQKIAVRLHRHESLLLQQPQSQQGKNLQVEKLKSFKSMLERFLSFLQISKSNIQIGYKDKLGLYEKQIISMLNSDRPRKPIPPMQQEQSLIPSHVHSMQQSQRPQYQMTQLQPTDNQLNFQMQPQNLQGSGGTMQQNMANLQHNPSSPLSGLSNAQQSVMNSLKPSSALGPGQSNSLNSVQQVATGPLQQNSMRVTQQANVNLISSQSGVNCLQANLNSQPNSTSQRSAYHQQLKPGNRFPISSPQQLQPPLPQISQHGSPQVEQQNMPSALNRSGTPLQSTNSPLMVPSPSTPAVPSPVPGESEKVNSGVSSLSKADNIGHQTVGTLVPAQSLAKGTPGISASPLLDEFSPEADHGIAATIVSGKSNATEEPLERLIKVVKSMSSKALTASVRDIGSVVSMIDRMAGSAPGNGSSAAVGEDLGDMTMCRQQARTFITRDGTTETKRTKHYATAMPSDVVSTVGSINDGFKQLSGSDSCDLEPTAKKPRIEVNNALLEEVKEINHLLIDTVVNISDEDADPTMRDAAIGGGEGTVVKCSFCAISLSPNLKPQYFSSQMSSIQPLALLVPKNYPSCSPILLDKFPVEVCMDYEDLSSKVRSRFSISLRSLSQPMSIGDIAKTWDICAREVISEYAQQSGGGSFSSKYGTWENCVTAA >KZN05605 pep chromosome:ASM162521v1:2:25543556:25545958:1 gene:DCAR_006442 transcript:KZN05605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANRGQNGIQLLLAAEQEAQSIVNAARNEKLARLKQAKDEAEKEVAAFRAQMELEFQQKVAESSGDSGANVKRLEKETAEKIENLKAEASRISQDVVQMLLKHVTTVKN >KZN05814 pep chromosome:ASM162521v1:2:27455055:27457994:-1 gene:DCAR_006651 transcript:KZN05814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGGSSDTEVTWEDQQNINKFGRLNNRLHDLLDEIKIAKETNENLEDASNELILTDEEVVRFQIGEVFAHVSKDEVETRIDQLKETTDKSLEKLEEEKQSITAQMAELKKILYGKFKDSINLEED >KZN04644 pep chromosome:ASM162521v1:2:14094356:14094628:1 gene:DCAR_005481 transcript:KZN04644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSPSKTIRIIADKSVLEERIKCLVEEIANLQENLRLMENQLAHHQIVIDLMKKQIEERTAREDFIPVEVESRKASKLVEAERKEDKN >KZN06143 pep chromosome:ASM162521v1:2:30498745:30500402:-1 gene:DCAR_006980 transcript:KZN06143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGAGLENLVDQIISVITNDGRNILGILKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISIVGEVDEELDASLDLSKLRAHPLKPVIH >KZN06135 pep chromosome:ASM162521v1:2:30443345:30445063:-1 gene:DCAR_006972 transcript:KZN06135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAILFLVHFLLIAGTYSANDCQSSACDYYGLPIRFPFQLSPQQPQSCGYPGFNVHCNNQRKPVVNLPYSGDFLIKSISYRKQLVKLHDPYKCLPKRLLELNLSGSPFKAAYYQNYTLLSCPLDFDTSRFTTIACLSNSTSRILATSSVSLANSLTTCKIIRSSLIPVSRPPQDNDGLSSDLSDDLLLKWSIPNCDQCEENGGICNFRNTTSGQPTCSHQSKTGAIHVFRIIALCVTVPAIATSIIIATFICFTRGPDFNRQEAELALQSVNESQGLDESTIESYTKVIIGESRRLPAGPNDITCPICLSDYHANETLKCIPECQHCFHSECIDEWLRRKGTCPVCRNSPSPARVIT >KZN07806 pep chromosome:ASM162521v1:2:43703693:43705856:1 gene:DCAR_008643 transcript:KZN07806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIQSPTISPVDRRRESPSNSAIIREAGTWKTRRAKSLICSKLKDVEAITVSELGDNLRLYGQFSSLVKETSEEEKEKQKYYVNMGDAIRTLREEFPHLFYRDLTYQIYRDDIVFKDPLNTFIGIDNYKSIFWALRFHGKVFFKALWVDIVSVWQPVESTIMIRWTVHGIPRVPWDSRGRFDGTSEYKLDKDGKIYEHRVHNIALNAPPRFRVFDVNDLLQSIGCPSTPKPTYYEIASLSSIKNIKLFEKESGSQHYLSSIFKDSGKAEAGISQSS >KZN05323 pep chromosome:ASM162521v1:2:22623933:22629442:-1 gene:DCAR_006160 transcript:KZN05323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHRVGDTGLSNSGASNIHHYHHHIPYAVPHGLPPLNTNFINHQEGSAFDFGELEEAIVLQNLKLNHDDSKLSFYTAKPAATLEMFPSWPMKLQQTPGGSSRSGGESTDSGLAVNTLSSKAGGHLEPESPVSTKLSCDHQQAFDHNHLQFPQENHHNHQQQQLQAVEMAGDSPRSSSGPSQTQPSSNTILEKVTLRRLAQNREAARKSRLRKKAYVQQLETSRIRLSQLEQDLQRARSQGLFLGGGSGGNAGSSMSPGVAAKSDVFHLITGLWTTPAERCFLWMGGFRPSELIKTLIAQMDPLTEQQLVGIYSLQHSSQQAEEALSQGLEQLQQSLIDTIANGSINDGMHHMAVALGKLTNLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLIIGEYYARLRALSSLWASRPRESMMSEENSCQPITDMHMMQSSQNQYGSF >KZN06228 pep chromosome:ASM162521v1:2:31130584:31133534:-1 gene:DCAR_007065 transcript:KZN06228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILCCVEMLGGVLLLPWPKKDNFLSYSDHRTRQDQCTETKTICLQEEEEETVHTSSSYMVSSSSYCKISPVISFLVLFLCVSSSVPPVFSSKPENIQQGNQTLRTGKEVQRLKLIKAHLKKINKPSVKTIQSPDGDSIECVPTHQQPAFDHPHLKGQKPLDPPERVRNGSADTASENYQLWSFSGESCPEGTVPIRRTTEQDVLRASSVRRFGRKFQRPIRRDTSSSGHEHAVGYVTGQQYYGAKASINVWSPRVASRYEFSLSQMWVISGSFGNDLNTIEAGWQVSPELYGDSYPRFFTYWTTDAYQATGCYNLLCSGFVQTSNRIAIGAAISPRSSYRGGQFDISIMIWKDPKHGNWWLEFGSGILVGYWPARLFTHLRNHASMVQYGGEVVNTRSSGYHTPTQMGSGHFAGEGFGRASYFRNLQVVDWDNSLIPPQNLKVLADHPNCYDIRGGINRVWGHYFYYGGPGRNSRCP >KZN05422 pep chromosome:ASM162521v1:2:23688165:23689541:1 gene:DCAR_006259 transcript:KZN05422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRNPPQNTPNDPMSSPLTTMAGKLKTNLRFRSKWSELNGAMGDLGTYIPIVLALTLAKDLNLGTTLVFTGVYNIVTGAIYGVPMPVQPMKSIAAVAISTPDFGIPEVMAAGICTGAILFLLGVTGLMQLVYKLIPLPVVRGIQLAQGLSFAMTAVKYVQKEQNFAKSKSSGERPWIGLDGMVLALVCAIFIVVFTGAGDEHDEESDDLGGGVERFDKGRRLRKIIASLPSAFIIFLLGVVLAFIRGPKVVKDITFGPSPFGVIKLSKQAWKDGFIKGTIPQLPLSVLNSVIAVCKLSSDLFPGKDISATSVSVSVGVMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGAAKLALGLLLGSSLVKILNQFPVGVLGVLLLFAGIELAMCCKDMNSKEDSFVMLLCTAVSLVGSSAAKGFVCGLVVYILLKFRKFGGKKRCSSVCLNTNP >KZN06254 pep chromosome:ASM162521v1:2:31396381:31402237:1 gene:DCAR_007091 transcript:KZN06254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAINNDDYPRYLYASSMADRRVSKQVHDNVHGNIYLDPLSLKFVDTEQFQRQVNYLLRDLKQLGLTYLVYPGAVHSRFEHSLGVYWLAGETIHKIKIDQGSELGIDHFDIQTVKLAGLLHDVGHGPFSHMFEKEFLPKVRHNHNWSHEQMSLKMIDYIVDEHNIEIDPDILKKLKEMIVSSSEDTSSTSLKEKRFLYDIVANGRNGIDVDKFDYIVRDSRACGLTCCFRFDRPLDTMRVIGDEICYRAKEYLTIYKLFSSRADLHRTVYTHAKVKAIELMFVDALTKAHNHLDILSCIDDPAEYWKLDDSIMKHIETTRSEELKESRDLISRIRRRDLYQFCNEFTVPKDQLEYFKDVTPQDIICSQKAGVTLKEEDIAVSNIKIDMTRGRKNPLESINFFKDYECNEKFPIPDERISLLLPECFQDKIVRVYSKKPELV >KZN04405 pep chromosome:ASM162521v1:2:10349114:10349776:1 gene:DCAR_005242 transcript:KZN04405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGFTDIDRAQPDPDIVHFRKRKSGYYRSSVPGWLYRLERMYCSLQDQILDSNANASHSAEMIEYKRKYNGQTHDHDWHCY >KZN05537 pep chromosome:ASM162521v1:2:24824517:24834434:-1 gene:DCAR_006374 transcript:KZN05537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYGRGSPIAQKNDIVQNGDSWFSLTPPGKLILPRSAPVPVDMPGNQRIGTWQDLLGMYSEILNHDVAIQTPNPSSVTSINRRDFDLFDVVTAADQHLNRNADAYVQNFSNDSAGSCNNRAAEVIGIRTKENYVLSSGQRNNGQISIRHVESNARTQVAGNWRELESTNLMLDRQHSLRCTDSNVSTQVASNWTELEATSLMLDRQHSISGSNQRLNTLSTQMGSNTQVRSNCMQHEATMFGKQKYPMGSNQRLLLQMHNNGYHEAYQTNYNQNSPPRPGIANAELSVASSFPFAPVTPDQRKQLDHQTPSTRLNVSIDECSSQEKDKQWHAVSSSSGENENTTNEFSQVIVDAASAAISTPLKEANILNEIEEHGLDLNKTPDQKTPKKRKHRPKVVREGKPKKVPKSAPPKTEVSNGTPSGKRKYVRKKNIKTVESQKDVVNEVRSSAFEPISKSCRRALNFDLESSTGDASQKMLDSHQVGRYQENKKPFNLNVDAEDKETCTVIDSMSRTSSFQMEQSRQQKELIEKNQQTASTFGLFHSNGQMPSQLRPLAEQRKDHNFARNLNMRNAAPGQSGLKNGYIQVPEQSQREEISQDAFQADVYRQPSTQLVMTDMASWNENRGCKRSHCYTVQEMHPHNQNLMIPPFLSLGNSGLKNINGNTSNIGTVTSGTQKRLNTSNGLHVNISSMQSPTNSSLYRPGQVERDQVRMYVNSGSSEINCRSLNSGFESDKSFKKLDGLVNGTPRTPVTGQQIYQKQQASIPLHAPGARTTEHVYQYNVSTSDSQQAIVGYNPHMSTSKQILKPSPLKQGSGRAEKMLLQEHNQAKKAYKPTPQKARSKPRKPKMSNLIEEITLRLESLLINIKINEEVEQEQHALVPYNGSSTIVPYEQYDIKKRKPRPKVDLDPETDRIWKLLMGIEGSESAEATDKDKEKWWEGEREVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLVARFPLQSDGFAEEPDIQVLESGGSIKCHEEIEKQPILNLPSYIPSDYFDNLPSYKANVASDFNRKSDAEINLSRNYYESFNFQASREEIISNPRSHSMAGFQECGYNSVVNSSPLKTVLQTEVTSQNGINNHSNSSSGCSTQRHEQSEDPILGRHGYLNGPAIYPCQTNSHILRGPAFSSRDIQLNLTPKSIANDSRKFGLPGDETLPCFPTTHTINTKTDGANLLERYTRDTVEGKSPELQIGGPSVQANRSSFGFSGIQPTQISSVQQEDCPHSNTHELGNFKNLHSELTPAANNTIEINGQQTQKISDNTFGSNTNMENNSSVIPLSGTSTNVSRARKGKGEAGTKIKIDWDYLRKDAQLNGKRERSKDTMDSLDYEAMRRANVNEISDAIRERGMNNMLAERIKDFLDRLVNDHGSIDLEWLRDVPGDKAKDYLLSVRGLGLKSVECVRLLTLHQLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKGLVNFDVPVTTDSTGRDPLAAIKPVQLLEGVQDQSNDAGLLTKKYEPIVEEPSTPEQEVIELVESDIEDAFGEDPDEIPTIKLNLEEFTLNLQNYMQDNMELQDGDMSRALVALNPEAASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGLDPREPDDPSPYLLAIWTPGETADSVQPPERRCETHESGQLCNDDTCFSCNSTREANSKIVRGTLLIPCRTAMRGSFPLNGTYFQVNEMFADHESSLNPIAVPRSLIWNLTRRTVYFGTSDYLQRIFNIASGEVQCVA >KZN05750 pep chromosome:ASM162521v1:2:26912412:26922795:1 gene:DCAR_006587 transcript:KZN05750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEGKDIEKLYEYGERLNEAKDKSQNVEDYENIIKAATSSSVKARQLAAQLIPRFFKFFPDLSVSAVDAHLDLCEAEELGIRVQAIRGLPLFCKDTPEHLSKIVDILAQLLTAEENVERDAVHKALLSLLRQDVKASLTALFKHIESVDEPITDENLRERTLIFIKDKASRITCSLQDVTGAEFEMFMNFLKSLILFGEKAPPERVQELIEIIEAQADLDIQFDLPEERKVGLLKNLAECSPYTAPQDARLIFPSVIQLLKKYMPKRKTAEEMNFTYVECLLFTFHHLASKAPNASNSLCGYKIVTGQPSDRLGEDFTEQYKDFTERLNCVEELAKAANKKLTQGMAEHSKAMSTAKSDEEKANIKTQKQNATTGLRTCNNILAMTQPLHSKSPSFIGDKRINLSWKEAVKPSQHTTATSAGGKRSANDNNGSNTYANKKGRGGGGMQNQLFNRAFENLPQGGRSGSRGGRGRGRGRQGRGRDYW >KZN07732 pep chromosome:ASM162521v1:2:43142486:43147500:1 gene:DCAR_008569 transcript:KZN07732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGNDVAPGEFLLQLLRNPPQQPRHHPPSPQPLQQNPQIITYDPAIAVVRAAPGGAPTISPTISRGFQNNILNQNLHVNYGNSGGFRPHFAPHNFFMQNPNPNLMVPSSSPPRPSFDQIQAHSQQLGVDNVVKLGSSSNSSSRQVHSVQSDMMFGSFDVRGNAGGGLSSLNGNLGNRLPNAFDTEFHKALERNQYNGGGGRWGPTPVRRPPPPGFNNDRSGKNKGGGIEQNVSKGKSKTGEGNYENVKSSQRVSGERRLSGQLDNPGLAAGSKVHSAKASDIEESQMALHSELRADQVKGEEEEDAKDESVELEGIVDDLVLEDESADKEDKKKQKVNRDKDYRSDKRGQWILNQRMRISRRRIECRRDIHRMNIPFLDIYESLKPSEEEKAKQKQLMALLEKHVNKEWPEARLYLYGSGANSFGFKKSDIDVCLAIDDLNVNKSEVLLKLADILQADNLQNVQALTRARVPIVKLMDPVTGISCDICVNNLLAVINTKLLRDYALIDERLRQLAFIIKHWAKSRGVNETYQGTLSSYAYVLMCINFLQQRNPAILPCLQRMERTYAVNVDNAECAYFDQVEKLVGFGSQNRETIAQLVWEFFSYWAFYHDYTNEVISVREGITLSKHEKDWTRRVGNDRHLICIEDPFEITHDLGRVVDKYSIRVLREEFERAAEIMQYHSEPWVTLFEPYIPS >KZN06827 pep chromosome:ASM162521v1:2:36129816:36134272:1 gene:DCAR_007664 transcript:KZN06827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPAESIEDEIRNEKNPRPLDEDDIALLKTYGLGPYSNTIKNAEKEIKEMAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEIDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KZN06519 pep chromosome:ASM162521v1:2:33671816:33691907:1 gene:DCAR_007356 transcript:KZN06519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLQSVVAGSGTGLKDLPYLIGEPYSSAWGSWVHSRGTSKEDGSPVSIFSLTGSSSNDGHLAAGRNGVKRLRTVRHPNILSFLHSTEAETSDGHSTKVTIYIVTEPVMPLSEKIKELRLQGAQRDEYYAWGLHRIAKAVSFLNNDCKLVHANVCLESVVVTQTLDWKLHAFDVLAEYDGKNQAASMGPMLLVGRFLGKNPKQARVSCCLIHELFYGTKLSKTEELRETSSIPKSLLPDYQRLLSSTPSRRLNSSKLIENCEYFQNKLVDTIHFLEILNLKDSVEKDTFFRKLPTLAEQLPRQIVLKKLLPLVASALEFGSAAAPALTGLLKMGAWLSADEYVVKVLPTLVKLFASNDRAIRVSLLQHIDQYGDSLSTQIVDEQIYPHVATGFSDSSAFLRELTLKSLLVLAPKLSQRTISGSLLKFLSKLQVDEEPAIRTNTTILLGNIASHLNEGTRKRVLINAFTARALRDTFSPARGAGIMALCATSSYYDSTEIAARILPNVVVLTIDPDSDVRSKAFQAVDQFLQILKNFHEKAEGGESVIDTASTTTVGLSLGAETADQPAPVSPTSTDGWGELENGINDDNGSEKEGWDDIEPLEDPRSSQALATIQAAQKRPVAQPKQVVNSKPKIAANVHKNDDDELWGSIAAPAPKSVKPLTSKTTAAADDDDPWAAIAAPPPTTRARPLASGRGRGSKPVVSKLGAQRINRTSSSGV >KZN06269 pep chromosome:ASM162521v1:2:31551511:31551931:-1 gene:DCAR_007106 transcript:KZN06269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILVLLVMSIFRAVVAAQISYNSSVVGEIREIANDLQTVQWMKMIRREIHRNPELAFQEFETSQLIRKELDELGIEYVWPVTGTGVVATIGTGSEPFVALRADMDALPIQ >KZN07073 pep chromosome:ASM162521v1:2:38143466:38146223:-1 gene:DCAR_007910 transcript:KZN07073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRKMKTKSITGCQRDKNGLHICPTSMISKTSLSHSHISQQELDSESIQNKQDVLSTNIKSLHDTGEARCNTMPNGENLEIQRQLSALNDMDTNLGMILGSALVPCTSNLETIFSVDMQSSDEHKETDFLSVGGNDKDLGISSPRVDGRSLYENQTCNISDFNISDLIFSELPTINNCLYGLNGSATYHDYQSNESNSCLDEEVMILPFLEDSLETNNVQDSSLCDDSVDSSLYSAIHLSKSNQESVNTYQDLDQVECLDTDIFFRTNPWPTTIPKQLLKKKSNTLVLDLDETLVHSTLDHCDDADFTFPVSFNMKEHIVYVKKRPHLHVFLGKVAEMFKIIVFTASQSIYAEQLLDILDPNQNIISRRAYRESCIFADGSYTKDLTVLGIDLAKIAIIDNSPQVFRLQVNNGIPIKSWFGDPSDSALISLLSFLETLVDAEDVRPIIAKKFGNME >KZN05566 pep chromosome:ASM162521v1:2:25129880:25130146:-1 gene:DCAR_006403 transcript:KZN05566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEIIILIVIIAAILLAALVCCIFERFGSGESTSVGNQRVVRSPDGNLSIIGITAASYDGGGHHQHGGGGCGGGGGCGGGGCGGGGS >KZN04833 pep chromosome:ASM162521v1:2:16963080:16963855:-1 gene:DCAR_005670 transcript:KZN04833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKIKQLEECKEKLLGVGLESYTVDELQKLENDIDRSLKHVRARKYELFKQQIEQLRNESELQELQPENKSEKDSRREMEDVDTDLFLGPPEFRRTTA >KZN04884 pep chromosome:ASM162521v1:2:17556552:17557519:1 gene:DCAR_005721 transcript:KZN04884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMHDTKKSVAKPVPNSGSLVRQATLLLIVYLILGILIYAFNRDHFSGIETNPFIDAIYFCIVTMCTIGYGDIAPSSAAAKIFACLFVLVGFGFIDILLSGVVNYVLDLQENMILTGIEENSVEGFSVKNYIYDKAKGRMRIRLKVGLAIGVVFLCIGLGSVVLYYTEELSVIDSFYLAVMSVTTVGYGDRAFKTPQGRLFASFWLLFSTLAVARAFLYLAEARIDKRHRRIAKWVLHREITVEDLVAADLNHNGFISDFE >KZN05109 pep chromosome:ASM162521v1:2:20227586:20227789:-1 gene:DCAR_005946 transcript:KZN05109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDFQDVSVTSLAFRVQCTGLRWQEVQRDRKAVKQHEGGMISNKRMADLVTKKLAPSYGAASDATI >KZN05310 pep chromosome:ASM162521v1:2:22475682:22476881:-1 gene:DCAR_006147 transcript:KZN05310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAKTSRVGPSQLTVSSVYRADSVSNSANFANSVGATRADWQASCAVLASKVVSQEQQNTEKTDDNITAVNGHKTLEIVPIDNLPKPLTIADLSPAPMHGSTLRVAYQGVPGAYSEAAAGKAYPNCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHKLHIVGEVQLPVHHCLLALPGVRKEYLTRVISHPQALSQCENTLTKLGLTVAREAVDDTAGAAEFIAANNLRDTAAIASARAADLYGLQILANGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGMSVLFKVLSAFAFRNISLTKIESRPHRNQPIRVVDGENSGTAKHFEYLFFVDFEASMADVRAQNALAEVQEFTSFLRVLGSYPIDMTPWSPSRGD >KZN05767 pep chromosome:ASM162521v1:2:27048875:27067050:1 gene:DCAR_006604 transcript:KZN05767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENFVELLQRYRRDRRILLDFLLSGSLIKKVIMPPGAISLDDVDLDQVSVDYVLGCAKKGEMLELSEAIRDYHDSTWLPHMNNGGSVDEFFLATNLESSGSPPKRAPPPVPTAEALPILPNLSTSLSLDSTQDEELSGLSKSQSLNSTKLQELTVDDIEDFEDGDYLDEVSTRRYSRRGLNDASDVTLGLPSFATGITDDGLRETAYEILLAAAGASGGLIVPSKEKKKEKKSRLIRKLGRSKTEHVSQSQQMSGLVGLLETMRVQMEISEAMDIRTRQGLINALAGKVGKRMDTLLIPLELLCCVSRSEFSDKKAYIRWQNRQLNMLEEGLVNHPAVGFGESARRASELKILLAKIEESESLPPSTGELQRTDCLRSLREIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDVLDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWVLFHQFAITGEQGILRHVIEQLNKIPLKEQRGPQERLHLKSLQCRVESDQKFLDLSFLQSFLLPIQRWANKQLGDYHLHFPEGSTMMENAVALAMVVRRLLLEEPELDGQTAHFTDRDQIEAYVSSSIRNAFLAILHDVEASGASEEHPLALLAEQTKKLLKKDAIIYMPILSQRHPQAPAVSASILHKLYGIKLKPFLEGVEHLTEDVVSVFPAADSLEQYVMALITSTCEEGTADSYCKKLALYKLETISGTLVLRWVNSQLGRILSWVERAIQQERWDPVSPQQRHGSSIVEVPMRLGELSSLFRGVDNAFQVYAKHVTDKLARKEDIIPPVPILTRYRKEVALKVFVKKEQHDPRLPDMRKSSEINVLTTPTLCVQLNTLYYAISQLNRLEDSIWERWMRKMPNDISNKRQSGETIRRDTFDGSRKDINAAIDQICEFTGTKVIFWDLREPFIENLFKPTVSQSRLEALIDPLDLVLNQLCDVIMEPLRDRVVTGLLQASLDGLLRVLLDGGPSRIFYPADAKLLEEDLEVLKEFFISGGDGLPRGVVENQVARVRQVIKLLGYETRELIDDLRSVSGLETQGSRSKLGADTKTLLRVLCHRGDSEASQFLKKQYKIPKSAA >KZN05545 pep chromosome:ASM162521v1:2:24916893:24919870:-1 gene:DCAR_006382 transcript:KZN05545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHRNSVSTSETSPAFLEIQDLPISSIFELPNPAPTWPTGNGFGSGIIDLEGLQVCQISSFKRIWATYEGGVDNLGATFFEPSSVPDGYVMLGCYSQPNNRPLSGWVLVGKNDNSSNPQEDGALVEPIDYTLFWSSESMQIKQAGYGYIWLPVPPDGYKAVGHIVTTSGEKPSLDKIRCVRADLTDESEVGDWIWGQGADVSDDGFNVYGIRPRIRGTKAQGVCLGTFILQENTSSSSSTLSLACLKNKNFTTLSYAPNIENVKTLLEIYSPKLYLHPDETYLPCSVNWYFDNGALLYKEGDESSPVRVEPNGSNLPQGGSNDDKYWLDLPVDPAAKERVKKGDLQSSEAYIHIKPMLGATFTDLAIWIFYAFNGPATAKLGLVDVPLGRIGEHVGDWEHVTLRISNFTGVLDRVFFSQHSGGTWIDSSELEYDTGTNKPVAYSSLNGHALYHKPGLVLQGTELVGIRNDTAKSDKIMDVGEKYSVVSDDILHEVVEPAWLNYDRKWGPKITYELGKEIEKMEEQLPGELRTLFESLVNLIPNEVLEEEGPTGPKMKNNWNGDEV >KZN07226 pep chromosome:ASM162521v1:2:39275117:39275560:-1 gene:DCAR_008063 transcript:KZN07226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSRIIHLFSLFLLFLALSTLPGSASRAPPKPGSSGSSGGVGNFPGEGGYGFPGNLPPAFRGIIGAGGSGGWGGGYGGPSGGSGSGGTVRPVVTCKVKGPCYGKKLRCPAKCFTSWSRSGKGYGGGGGGGGCSMDCKKKCTTTCST >KZN04323 pep chromosome:ASM162521v1:2:7893828:7903765:-1 gene:DCAR_005160 transcript:KZN04323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTLFDAAMAGDAAATAELEIEADRLYRFEETILHLQSEKGNTERVKFILRKFAHKNLLAKLSRYKHTALHLAIYEGQTEVAEVLIDAACDLPPPSDDDHNPKLTSFQAFVRQGDKDMDTALHAAVLRGNATIVKRLVEADPTDTHIQNNDGKTPMYIAVENGLNHIAEIISTTCKAPSLDGPDGSTVVLFKDSSGKSPGGTLFKIMDRYAFFVAAIAGDAYAIAKLETQADILNRGEETTNAKRVRVILREFAKKNLLAKLTSEKQTTLHLAAIYGHTELAEILIDAASYLHPSDNDNTQEDPTTSFQAFLRQSDLNEDTALHAAVKKGNLAIVKLLVEADPSDTHIQNDDGKTPMYIAVEQGLNSIAEVISTTCFAPSLLGPDCSTVVRDKNFDQAESPGGSLYKIMHKDALYAAAIAGDADAIAALEMHADKLDKYEETILHTESENGSKEEGTAVIKLIIKAVKHANKHGTPDERSKRLMQFFTKTDEKRRMILELAVEEDHLDVVQLILAKNPAYHWPHRYPELIGIMPLIYRAMDMDKENNAMVNLLTRVYQNGVQSSKTANVHKMISAIDSRKEEYVLSLLNNRGVQDLVTFHDILGWTILHHAVYYEHNSIIGIIIEAQKTYGHGFRYPDRISTPFHVAVQKGYVSTMKLLLRLWPSSSSAYIDVDRNGRNILHLAALQSGKEMIRCILKYCPQMYKEFVNKKDDDGNTPLHLLICGGCFIPEFLKYQGLDIRIENEKRWIPRDMLYFQDQIVEDQVQIRIALDGIETDPTGDGKFSRFVLPSRRMRKDVILDRQAKLMIHEKYTGIKEDPDVIANCFADAIAGDPISKAVLRMKAYKLNELGETILHVESMKGEIENVRFIVSEFANKSLLGKLDRSKQTALHLAAQHGHTQVVEALIDAARLLPNDAHNQVTFLQDFIRQADVQNGNTTLHLAVVNDNVAIVKLLVEADSNDSHVRNHEGKTPIYIAAENGYKNIVKVICTACTALSLDGPSTGKTTALHSLMQNIDQEGTEGESDVIGMMVDAAKRWSSAQNASTADFEALFNRTDELGRTVLQIAVERKDVNAVRLILKEDPAYQPGGEMKRNGLMRLICKAIDDECSDDIITSLSQTYKAGIIHHDPKDVLALIRAIQDLDKDVVLSLLGKAQILATFTEDNGWTPLHYAVYYEFDVILDAMIKAQKDVGYPFVYKDMETTPFYVAIERGYTSTLVRLMELWPTLSSDECSPYTLVTQDSQNMLHMAAVARARATENRKDVADAIDNRKVFADSRKEMVQMELWPASSSDAYSPYTLIPQDGKNIHMAAAAHAAENRKVVAHVVDIVDSKKAAADNRKEMVQSVLKYCPNKYKDKILKQKDKNGDTPLHLLISHGSFIPALLKHEGLDTMARNKRDFTPRDMLYVEDATVVDQVHIKIALDEVLTSKSGWKLWGRRTEKKEDIWRCNKTPPSKRKEKDVKFEGEKKILEKQRTKDRKTYKMRTNTQILVTALTTTVTFTVGFTMPGGLHQSGEDDEGLVILSRKTAFNIFMVSDALALLMSTSSLFFYFLESMNEDLHQVSLLNASSTVLNILSISAMMVTFIAGTYVVLSGTPLLAIAICIIGSLFFLLILILWIMKIVFDRYKRNKD >KZN05121 pep chromosome:ASM162521v1:2:20314446:20314817:1 gene:DCAR_005958 transcript:KZN05121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYYMDEESKLSRHYNESSHGHYASTSSNKNSLIRSASTKCSATKSPLLRSFSHKNSNLSRSCSTKCTSSSSKSSLERSSSQKCSNFTRKCGSMAKEQKAKFYIVKRCITMLVFWHKHKHVDS >KZN07193 pep chromosome:ASM162521v1:2:39006336:39009078:-1 gene:DCAR_008030 transcript:KZN07193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVHDERMLTDWWGIFWPQFGSRMAMQPGENPESSAQPSVKEALEILSSLNRTNVGIARAPPNGNPSQHVNNVMGQSPEGWFQTPPEDSQRRAGKHPMNTDTTSILGRSAAGEMGMGALMFPPVHFLPTEMTSGLHINKYSHLHMPGTIQPPLPQGFEAFRSIQLEPTYPAGQDWAYLPAATIAGYSDSCHAISGCMNTGTTSILGHSAAREKGKGILRYTPVQLLPAEMTPGLHINKSSHLHMPGTIQPPPPQGFGDFRSVQLEPTYPAGQDWAYLPAATIAGYSDSCHAISGCMNTGTTSILGHSAAREKGKGILRYTPVQFLPAEMTPGLHINKSSHLHMPGTPQLPPPQGFGAIWSVQHEPSYHAGQDSAYIPAATITGLSDSAHAIFVYI >KZN04049 pep chromosome:ASM162521v1:2:1198413:1198982:-1 gene:DCAR_004886 transcript:KZN04049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTHHSHTSTSIPITYKNDHSTPPSNPNPIPIPTVDSAAAKIQSTYRAHLTQTLFKKLSAVHSSAAAFQTLIQRQETVDAVRSDDRRRLEINEALMGLLLTLDSVPGWDPNIRELRRNLSRRIVGLQEILDAVSGCRVENWDEWLKWDEGVEWMEREVCEERGGGAEMERFCAEKLGFRCLQRFLRDQ >KZN04225 pep chromosome:ASM162521v1:2:4330859:4332011:1 gene:DCAR_005062 transcript:KZN04225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQRSDGEGAAKAVDQANGGYANEHEGSSNLMCPDDHMNFSSGLAHNLIADKSLDKMALPEP >KZN06822 pep chromosome:ASM162521v1:2:36103870:36104405:-1 gene:DCAR_007659 transcript:KZN06822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPKPKRVELKKPFSRLLMFTKPQTQHQTPLLLRINSSFNNIDIVYEDPCNGIICHTDENGDMICEGYDEGPRLNHQQLARLACNTYGDTKIIDMLQRSWLKVAADKENVAAARYSTTVDSP >KZN05356 pep chromosome:ASM162521v1:2:23033736:23036160:-1 gene:DCAR_006193 transcript:KZN05356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKDPKKPVHVRVKDLVSRMTVEEKIGQMAQIDRRNLTVELMKKYSNFSLGSILSGGGSVPRMQASAEEWINMVNDYQKACLGTRLGIPMDPDLVRRIGIATALETRATGIQYAFAPCIAVCRDPRWGRCYESYSENPDIVQQMTELIRGLQGQIPANQELGVPFVAGNNKVMACAKHYVGDGGTQKGINENNTVVDWHDLLTIHMPGYYSAVIKGVSTVMASYSSINGIKMHANRELLTTFLKGTLRFRGFIISDWKGIDKIDYPVHRNYTDELHRSIQAGVDMIMVPLNYTEFLNDMSLLVKGKFIPMDRIDDAVKRILRVKFTMGLFENPIADQSFVKYLGCKEHRELAREAVRKSLVLLKNGKAADTPLLPLPKKSKRVLVAGSHANNLGYQCGGWTIKWQGVSGNNVTDGMTILNAVMATVDPTTEVDYIEDPETEYVKMNNYSYAIVAVGEPPYAESAGDNLNLTIPAEGRRAISNVCGDVRCVVVLISGRPLVIEPYLPQMDALVAAWLPGTEGQGVADVLYGDYAFSAKSSRTWFRTVDQLPMNVGDSHYDPLFPFGYGLTT >KZN05465 pep chromosome:ASM162521v1:2:24128681:24130921:1 gene:DCAR_006302 transcript:KZN05465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGTRIRALDDMLGVHEGKLAEMAEELSLNMEHVSKCFGEVNQRIDGLGIRLDKMDGNFEELKQLLLGIQHSRKEHNTEPLISQASENASVATSTNMVSTRSERKEGVARPTMELPLEMEANALSRLPIKTTVHCKCVCKRWRSVLSDPYFVDLHLSRSPAGLIVQEGKILKMGEVNDKSDQHDIRHDPLMRLKVPDGSVLSGSVNGLISLRDRYDAGAARICNPVTREYILLPYNKNIEKSIPIIRSFEPYELSGYGLASYGFGYVEASNVYKVVHFYEGKFFSTEISGKSKFEIYSLGTGKWRSLGIVPFLVCHQDGIYANGNLHWLACVQKDRPNEMVCTFDLEKESCQLTASAPQVGGYVDYRSLGMLGGCLCICDNRSDSELVLWVMKDYGVKESWSKEIIIQELNFGLHCRTFYVLKVLKDGSILMLCSANLLFTYHPGTKIWQVVPCFKEYEIQSMYASVYVPSFISLKSFMLENVSGV >KZN05487 pep chromosome:ASM162521v1:2:24297650:24298157:-1 gene:DCAR_006324 transcript:KZN05487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTHEERENFECHENSSEQRISNDLVPAPNVTGLMRRIILNQGEISADAVISIQQCVIKFIRYVTAEANARCGEGMRTTMTAHDVLIALNKLGFHHYIGPLFIYMNRFQEFQAEQGDPPVIRRHYSSPEVWGLVHQVLTLKG >KZN07584 pep chromosome:ASM162521v1:2:42010637:42012649:1 gene:DCAR_008421 transcript:KZN07584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCPSTLLSPRHRLRSEASAQFQACHFPSMSTQRLELPCSFTRKETSRSQSIRPVVNLSIEKPAEARTSSCSLKKSISLPPLTTAGQVVFHDGRLERRDEFRDKNKNRLKRFADENFFDEDINRAKKIRGSRKYDDSSEGGENLCLDQHWFHQSFEVPTSVSQFSGLNPQQAPFSLVYSEEEDTCVASSKVTISPLPNNPWAESVVTEFPKLGERDVEPSQAIAKEASESSTSSGSDNNLANRVHDDATEHEIGNVSRPHNPNGSTGFVAGSSDGNGDHDGFELVSLLMACIDAIHLKNIEGVNHFIAKLGELASPRGSSISRLTAYFTEAMALRVARVWPHIFYINTPRELNSAEEESGTALRLLNQVSPIPQFIHFTANEVLLRGFEGKDRVHIIDFDIKQGLQWPSFFQSLAFRNNPPSHVRITGIGESKQDLIETGERLAGFARALNLQFEFHPVVDRLEDVRLWMLHVKEGECVAVNCIFQLHKMLYDGSGGILMDFLGLLRSTNPTIVVMAEQEADHNYQSLETRLYNSLKYYGAIFDSLDLGLPQDSLARIKIEEMFGREIKNIISCEGRDRLERHENFGKWSHLMESGGFRNIGITEREFLQSRMLLKMYSFDNYRVEKQGLDDGSSALTLSWSDQSLYTVSAWAPLDIAGSSTSYSQPS >KZN06756 pep chromosome:ASM162521v1:2:35625781:35629669:1 gene:DCAR_007593 transcript:KZN06756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSPTWTVSLPPNPPPPPPIPLPVIPKITSMMTTVMMPPAITLPDAMKTGASLYVGDLDPEVTQMDLTRLFARVGPLDSVRLCRNRDYPFKSLCYAYVNFIFPCHASEALNALNYYKLKGKSMRIMWCQRDPFTRKNGVANLYVKNLDSSITNAGLHTIFSKYGTILSCKVAEEYGKSKGFGFVQFDSEDAALSAIAALHQTFVEGKQLYVSKFLKKSEREKACEESNFTNLYVNNLSEDVTEDVLRERFSECGSISSVVIMKDSAGISKGYGFVDFNSHEDAKKAMETLNGSVIGNVVEGMCLHVTVARCREEYRKNWQDCFALLPQSLYTPNCSVFPSSFLPYSYTAPFLSTAVPASHMNSSQNNTNQYDTSSCTYAAHSYQGSYPTDPGPVAKVTAMHLEKSKSGTQKMFLSHSSLVAEVGKAVKVRDVYAQASTYSGAKPAKFARCLNY >KZN07506 pep chromosome:ASM162521v1:2:41405865:41406539:-1 gene:DCAR_008343 transcript:KZN07506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFEENSGFDSSDFDLLESIRRHLLGDFESEENCLEKSENLFVSSLAEAVVVKAEPEIDVPEIREIKQIKKGPTKEATEKSKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEDAALAYDQAAYRMRGSRAMLNFPLRVNSGEPEPKRIMSKRTLAALNSSSSSSSYSDCSSSVSVPKRQKKTAAGAAAPVVVLERTESVEVDSFQTWLMGNDFFNCL >KZN04562 pep chromosome:ASM162521v1:2:12822055:12823014:1 gene:DCAR_005399 transcript:KZN04562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISKDQKSAVSPYVSDKNAECWALALCRMRGAALKLGQMLSIHDEFLVPAPILAALDIVHQGADVMPRSQLNQVLEAELVREWSSKLTSFDYELSAAAMHRAVTKDGFDVAMKIQYPGVAADSIE >KZN05992 pep chromosome:ASM162521v1:2:28964417:28965178:1 gene:DCAR_006829 transcript:KZN05992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKIEMKRIELRKSRQVTFSKRRNGLFKKASELCVLAGAQIAILVQSPGNHVYAFGHPDVDSVIDAYLGNNVSNSLMKNSLLEEYNDKYLEMAYELEAEKNKLDDVEESGESWWENSFEDLEIDELENYIESMEKLKNNVLKRADELRNLAVSPSFDTNTNASNVDGLLDDQVALPDLDDQVVLPNLDEFLPYEVGVTNADMMMMQAFHNSIGFNNYSFGYGELFFDDGGDGNNQVSSDSGTFGVPDVKHL >KZN06237 pep chromosome:ASM162521v1:2:31254231:31255572:1 gene:DCAR_007074 transcript:KZN06237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVNQGFDLQDQICYVHCGYCTTILLVSVPHSSLSMVVTVKCGHCTSLLSVNMLRASFAPLHLSPSLSHSDHHPEQEMEKEIFPEITKDSQKEMNNSSPVISSDEEGDYVFSSNLVINRPPEKRPRAPSAYNRFIKDEIRRLKAKNPNMAHKQAFSTAAKNWAHFPPSLNKLGDEDAAAGNKTHFHARLSAFLFMKPDSNNACCFSTQELVSTLMLVA >KZN05846 pep chromosome:ASM162521v1:2:27715713:27717203:-1 gene:DCAR_006683 transcript:KZN05846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYQTMDLTDSQTNNKNQTTATSSNAAAQHHQQHHHSQYDNRSSSFNMDPTSIQPNEQTPPNQTQTPTPHPHTPSSSAGAGAGASTSTPTAQPLTIDASLAISTTRSDAITDTSKKPTTVAKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTLSAPPSKSAPHTFHGALALTHHPYEEGFSHMLGFHHPHHQTPHILTPDQMAGISVAAESADNEAGNDDYLRKRFREDLFKEEGQQQQGQGGEVDGESSSPSNKHFKGLPKQQEAGSSRHHTANMVPATAMWAVAPAASSGSGNTFWMLPFTASAGSGVATATGGGAQSDQPQMWPFATPQPSTIQAPLNFMPRFNVPGNIEFQGGRANPLQLGSMLMQQQQQQQQPSQHLGLGMNDTNLGMMAALNAYPRGGFNMNLDQNHPMHHQQQQQQHQQQQQHQHSQADDSGDEDPNSS >KZN04446 pep chromosome:ASM162521v1:2:10948966:10953232:1 gene:DCAR_005283 transcript:KZN04446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLLLLLVMISFAAGDDGDAMSELMSSLSPSPPGWSPSKSYCQWQGINCDDSKQHVTAINMPSKSLSGSLPSQLSSLSQLKTLALQNNNLSGSLPSLANLSSLEQVFLGDNAFSSIPPDFFVGLSSLQSFSISENNKLSSWVLPDSLKDCVSLVNLSASNASIVGPIPDFFDSFPSLQNLRLSYNNLSGPLPKSFGGSEIQNLWLNNQLMGLSGTIDVLSNMSQLSQVWLQENRFTGQLPDLTKCDSLFDLQLRDNQLSGLVPHSLTQLPNLANISLQNNKLQGELPVFKKGVQSSLGTETNSFCRDTQGPCDPQVNVLLSVAGAMGYPGSLAESWKGNDACAKWTFITCDTQGKNVTTVNFAKQHFGGTISPDFANLTSLRTLLLSDDDLKGSIPQSLTTLPDLQLLDVSNNNLSGPIPVFRPGFKLVTIGNPLLGKNISDGGSGDKPGSGPNSTPNEDSPGGSKGVSGGIIAGVVIAVLVFVVVVLFVSYKCYAKKHHQRFGRVTRPDDGKDLFSDNVAGGMNGIGGVSELQSQSSGDHSEMPVFEGGSSIVISVQYMPQGTLSQHLFEYEELGYPPLSWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDIYAYGVVLMEIITGRKALDESLPEEISHLVAWFRRVLINKDNIRKAIDQVLDPDEETFDSISKVAELAGHCTARDPLQRPDMGHAVNVLGPLVEQWKPSHREEEESYGVDLHMSLPQALQRWQDEGSSTMSFSQTQSSIPSKPSGFADTFDSTDCR >KZN04551 pep chromosome:ASM162521v1:2:12525161:12525771:-1 gene:DCAR_005388 transcript:KZN04551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFATILGAFMMVEQGEAFTCGTTLQERTKALCDPFHRGEQQEPSAECCNSLKAFRDTAKTREERIELCRCVQDRSNRNRAGVPAPDARIPKIDALPGKCGLPFIYSADRKFDCNTSTRNATPVLHPP >KZN05304 pep chromosome:ASM162521v1:2:22399815:22401264:-1 gene:DCAR_006141 transcript:KZN05304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYADILKQISCLIFLLSALGDLHVSHGQALVPALFIFGDSVVDVGNNNEIYTIIKSNFPPYGRDFISHMPTGRFCNGKLATDFTAENLGFTTYPPAYLSKQARGKNLLLGANFASGSSGYYEDTAKIYHTIPLSKQVLYYKDYQTKLISIAGISNASSIISGSIHLLSSGASDFVQNYYVNPTLYKNYTPDQFSDILMQYYATVVQQLYTLGARKIGVTTLPPLGCLPASITLFGDGSNQCLPNMNAVALSFNNKLNATSLRLQSKLTGLNLVVLDIYQSLYDLVTKPANFGFSEARRACCGTGLLETSILCNAKSVGTCANASQYVFWDGFHPSEAANKILADNLLASGIALVG >KZN07587 pep chromosome:ASM162521v1:2:42022335:42023642:-1 gene:DCAR_008424 transcript:KZN07587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQIIATKLIKPAVPTPDHLRICKLTFLDQLAPMVHVPFMQFYKANPRENVQEKLLNSLSQTLIRFYPLAGRFIEDGWYIDCNDMGAEYIEAKINIELHEFLTLAPQNIHLLDHLLARNDLEAVSYLDTTPILCLKVTTFRCGGIAICTHLSHKLVDGFTAAAFFREWSGTCKSNTIRQQVAFPPDYGLDKVFDTRNIPDDINPKHDLSESPKQKVVTKIFVFGEQAISTLKSRLANSTDITQPTRVEAVTSTVLKAITSATDSRKDYLMFYCSINMRGRTSLMKRASDDNSLLCGNFCIPVPIKIDRNNKNVEVQDVVTLMRTKIGEVVELCSRSLNPDELYSKLALNLREIIGSMGKENVDTVYFSSLCRFPFYEADFGWGKPEWVTTGGMTIELAFFMDPKSGGGIAATVCLEEEKMVRFECDPDILAFTS >KZN07168 pep chromosome:ASM162521v1:2:38793915:38796807:1 gene:DCAR_008005 transcript:KZN07168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLDVKSQHLGEYTKQLEEINHEIDHLQSVLLILKDDPSHADKRLALLEEEAVDIGVFQVRTLWDVSRTNNFDLHTLELKAQDAEERLDTVASKAQKMADIVTEQWIQVQRLEQALQMAQFFNNLFGGHFEKLMRVLDPNLYENWSAPDSYLSQVTHQLKRIFAAAKYHHHQLQGYIKKEMEGNEFTAAFANNEVVFVIASALITFPILSAWMFLTS >KZN04690 pep chromosome:ASM162521v1:2:14778020:14779978:1 gene:DCAR_005527 transcript:KZN04690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVFTLIIQVVVASLGLRAEARAFFVFGDSLVDNGNNNYLATTARADAPPYGIDSPSHRASGRFSNGLNIPDLISERIGAETTLPYLSPELQGEKLLVGANFASAGIGILNDTGVQFVNIIRMPFQLRYFEQYQQRVSALIGEEQAKRLLINSI >KZN06058 pep chromosome:ASM162521v1:2:29696160:29699852:-1 gene:DCAR_006895 transcript:KZN06058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIASIRFSPLRCLCTRSNLKTAQQPKITRTSISKKSVKDKVSESVLREKWLDSLSYPVSVASDTVELGANGSSSEWIIGVDPDVSGALALLKSDHSGFSAQVFDSPHVKVPIGKQTRRRLDAKSIVQLLQSFDAPVGTVAYVEQSTPFPQDGKQGWWSGGFCYGIWIGALVASGFSVVPVSSSLWKNAFKLSGSRSSKDDSRELASTFFPSASRAEALLIAAYGKGLKAMPDSSCSLEDFLDLKLKSC >KZN07361 pep chromosome:ASM162521v1:2:40325944:40326315:-1 gene:DCAR_008198 transcript:KZN07361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNVSAEGDVYSYGILLLEMFSGHRPTGSSLAMDHAINLHDYVKHALPHNVMEIADPRIVLQPEDRGSPKDEAVRIELCLASIFEVGILCSLEMPRERVDISVAIKHLNVARDKLLQSGFKL >KZN03984 pep chromosome:ASM162521v1:2:212403:214177:-1 gene:DCAR_004846 transcript:KZN03984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSIVVGRLTDLLDEEAHLLDGVKIEIQQVVSELIRMKTFLPHAVSRIHVDDIRILLADVRELAYDAENVVESFLVTSSSARSRTKKIKILRTKMSLIFDRFGKYNIRSTLKSEESKNSKNSPHATSEKLERFYSHTIFEPDIFIGVHGVVDSLIGHLVDGGDDCYPLISICGMGGLGKTTLAQKIYNHSTIKNHFAGLAWVSISQKWQTKNVLRRILICLTPEKEKEILGFDEAKLVKNLIQVQERKKCLIVLDDIWTKDAWDLIKGAFTAEKCLSKLMLTSRNVDVPKYVHPKGFVHEPGCLDAEQSWELLRFKALPKGGDALDITRDVKRMEEMGREMVEYCAGLPLAIVMLGGILVTKPSLIEWEKVYRDTMLSLERGKELGEVYQHQLHEVLVWSYNDLPPQLKPCFLYLGKFNEDEWIEVETLYQLWIAEGMVLSSDKKNGETVMQVAESYLGELVHRSMVQVKYKDIESSLTKFKSCSLHDLMRDLSLSQAKEEDLYKVIDLREKNHSHLNASVGSRAAATKQLVVYFDEEHTSKQ >KZN06294 pep chromosome:ASM162521v1:2:31758364:31759759:-1 gene:DCAR_007131 transcript:KZN06294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKVYDGADDVLLPTESLPPSSAASQFLDSFWISKSSPSFQGDSVSGSADMVNFQNSPPEDAAGLEGLIPVMEKGEGGNEDYDPGFHQPEKKRRLTVDQVKLLEKSFEVENKLEPERKFQLAKDLGLQPRQVAIWFQNRRARFKTKQLEKDYDVLKESYDKLKVDYDSLSKDNKKLRLEVESLKEKKRLERQNVTSSVVPEPAKLPLSSPISQNEISANVITIKQEDANSAKSDVLDSESPHYADANHISIFKPVDSSRVLEQDLSDFSQDEDDDLCRILPASCLPKLKIESYDDLTADPCNLGTSVDEQTFWFWP >KZN07563 pep chromosome:ASM162521v1:2:41846295:41852822:-1 gene:DCAR_008400 transcript:KZN07563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSSLVSCCWKPETNGPTSKAHNDENEEKDEASDLPAFREYTLEQLRNATSGFAVENIISEHGEKAPNVVYKGKLETQLRIAVKRFNRSAWPDRQQFLEEARAVGQLRNCRLANLLGCCFEGDERLLVAEYMPNDTLAKHLFHWESQPMKWAMRLRVALYLAQALEYCTMKGRALYHDLNAYRIVFDDDANPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNAKSLVTALIPLQKEAQVSSNELMGVPDSSAAFPLSPLGEACLRKDLTAIHEILEKLGYKDDEGAATELSFQMWTNQVHETINSKKKGDAAFRRKEFQAAVDCFTQFIDVGTMISPTVFARRSLSYLMTDMPQEALNDAVQAQVISPVWHIASYLQAVALFSLGRENEGQIALREGSILEEKKNTES >KZN06685 pep chromosome:ASM162521v1:2:35010737:35012908:-1 gene:DCAR_007522 transcript:KZN06685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKIRRRVKIIATKPFKKPRKPPQQQAPSNPPSPPQETTMSPPLHHRKQPNQPFLFPKVQSTILPDPSAFFSPHLLSTPLPTNSFFQNFVLKNGDQPEYIHPYMIKSSLSSVSVCYPSVFSSPAFFYQVFILDLTVSVLNNPDPNSKHVISSFNDLSVTLDLPSSNLRFFLVRGSPFVTFAATNGVEIVISTIHAILECVPNSSGTKYTIKLNNNQTWVLYASSPIKLSNDINKITSSAFSGVIRLAVVPNCQYEEVLDRFSSCYPVSGDALFTKPFSLEYKWEKKGWGDLLMLAHPLHVKLLEMNGSVTVLEDFKYKSVDGDLVGVVGNSWELKTDPVSVTWHSTKGVKEESYDEICTALRKDVEDLNSTTIGTTSSYFYGKLVARAARFALIAEEVCYPDVIPAIKKFLKDTIDPWLNGTFGDNGFLYDGKWGGILTKQGSTDSGADFGFGLYNDHHYHLGYFLYGIAVLAKIDPAWGRKFKPQAYTLMADFMNLGRRENSNYPRLRCFDLWKLHSWAGGLTEFADGRNQESTSEALNGYYSAALMGLAYGDTHLVAIGSTLSAMEIQSAQTWWHVREGDKLYPEEFTRENKVVGVLWSTKRDSGLWFAPPDWKECRLGIQLLPLLPISEVLFSDVEFTKELVGWTLPALAREGVGEGWKGFVYALEGIYDKHAALEKIRNLNGYDDGNSLTNLLWWIHSKDEEEEGFHGRSCWYNHYCH >KZN05122 pep chromosome:ASM162521v1:2:20322004:20325311:1 gene:DCAR_005959 transcript:KZN05122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP707c-1 description:abscisic acid 8'-hydroxylase 4-like MGWPYFGETLKLYSQNPNSFFSTRQQRYGDIFKTHILGCPCVMLSRPEAAKMVLVTLAHLFKPTYPPSKENMIGQNALFFHQGPYHSHLKRMVQSSFLPSALKDSIPRIDNLVVDMLPTFLNKTINTLCEMKKYAFDVAIISAFGTSMEVEIEEIKQLYRVLEKGYNSMPFNVPGTPFHKAMNARKLLNVKLRKLIEKERNSKKPAGTGGLLEVLLGSEKERDNQLSDSQIADNIIGVIFAAHDTTASVLTWVLKYLHDNNDVLEAVTREQDGVRCRISEANRNLTWDDTRLMPLTSRVFQETLRTASILSFTFREAVEDVEFEGHFIPKGWKVLPLFRSIHHSAALFPHPSKFDPSRFEVAPRADSYMPFGKGVHSCPGSELAKLETLILLHHLTTTYRWKVIGGDRGTQYGPFPVPKGGLPIVLSPI >KZN06214 pep chromosome:ASM162521v1:2:31015669:31016911:1 gene:DCAR_007051 transcript:KZN06214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGASAEKQANNNGRRTKAPKRKWTENEDEVLVATLMRLCDTGWKRGNTFRNGYTSVLEKELSSKLPGHDLKANPHIESRLKTLKKHCDAITNMRGASGIFWNDENHTIRCEDDGIWKDWVKVHPDAKSLRNKRFPHYDDLCNIYGKKRGTETADGADKVLEGEEECNNPGQLIRGNACKGIEIANGKVVRTESGGRKIVCEKVDNNARTDGSDGKYKGRLEDVEIGLLGLGEERNLLENVVYESDSVEVSSDDAEGSQMNIEDGSSSKIKTNRKRRHSDGLYEYQEVVKILEAFLKRYEEQFNLLCGHVGQQAAVDMGSAKKRENLNGELLKLPNLSLQARLRAATRIICDPSKLDLFYSLNGDDRAEWVSMLLAGIL >KZN06587 pep chromosome:ASM162521v1:2:34176349:34183438:-1 gene:DCAR_007424 transcript:KZN06587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHASLGRRTLEEIRQKRAAERLIKVSSGPDLTKPPLPDDVGIKRSESGGRLNENDVTSLLSQLKDMQHKNSELADENKILVLKLQAKEGENETLKQNLHELEKTVPSLRKALKDVAMGKDAAVVAKEDLSAQLRAMKKRLKEAEEEQYRAEEDAAALRAELNMLQQQAISGSLGSVSSMANSHDQLQALETEVTNLKSQLEQVSLLRQQEQQRFSEVQSQVTTLAYEKQVLEEKYAAVSISDSEKVTEKGTETAPEKTFSLEDKQRLEQQLHDMAVAIERFESSRQKLLLEIDSQSSEIEKLFEENSNLSSAYQEAMTVVAHWESQVSDCLKQNEELRAMLDKLRMEQANITTSTLNENSNQRHLLDSNKDLGDETTPQAIPAEVISLKGQLAKEQSKAEALSAEVMQLSSRLQQATQAYNGLARLYKPVLRNIETGLLKMKQDSSLMVQ >KZN05069 pep chromosome:ASM162521v1:2:19605693:19606916:-1 gene:DCAR_005906 transcript:KZN05069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQILPTAEEHQLEVNESPKAERNVSSKALPLLCSVCSFLKSEFSLSDAKERSKSGTKLCGLIFFYLIVMVVEIVGGLEANSLAVLTDAAHLLSDIAGFSISLFTVWASGWEATSQQSFGFHRLEVLGAFLSVELIWFISGTLIYAAVERMFHKNEMVNGKLMFVVAAFGCFINLVMVLWLGHGHDHGHVHSHHHGHDHNHDHPHSGSHDQGHIHNPIQNLVLDHTHSTYHEIENDHGVAAECTEEEIKTLVPNSPVKTRKRNLNIQGAYLHVITDLIQTIGVMVAGLIIWAKPEWIVVDLICTLIFAIVALSTTLPMLTNIYCILIESTPSEINIDRLEHDLKYMEGVKDVHDMHVWSITVGKNVLACHVIVEAGVSSNECIHRLTDYCQTVYGIQHVTIQIEEG >KZN05408 pep chromosome:ASM162521v1:2:23568322:23568702:-1 gene:DCAR_006245 transcript:KZN05408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKATSVLALLVIFNVLVSSVMGRDIPKDSKTAEVKKPETFNQEGSVLIPGVGRVMIPPKKKCFFKGFNPFTYNPVTGKNTGHGISIPTLPNTGVPGYLPGNDDTFIPNPGFEVPTGFPAPPIRH >KZN05365 pep chromosome:ASM162521v1:2:23086316:23088870:-1 gene:DCAR_006202 transcript:KZN05365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIQTTLKRRFLSSPKSSSGTYIDKKCPFTGDVSIRGRILAGTCHSAKMMRTIIVRRNYLHYVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGI >KZN06660 pep chromosome:ASM162521v1:2:34776980:34778873:-1 gene:DCAR_007497 transcript:KZN06660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFGNKAPTFASTILLFLGFLTVFQATNLVSCTQQPIKTVVVLVLENRSFDHMIGWMKNSINPKINGVTGHECNSVSTNSTSQEKESICYTNDAEYVDPDPGHSFEDVEQQVFGSGSIPSMSGFVQQALSLSLNLSQTVMKGFKPENVPIYAALVREFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKTQLATGYPQHTIFDSVYNSGLDFGIYYQNIPTTLFYKNLRRLKYISKFHQFDWNFKNDARNGKLPNLTVIEPRYFDIVGLPANDDHPSHDVANGQMLVKEVYEALRSSPQWNETLFIITYDEHGGFYDHVQTPYLNVPSPDGNRGPPPSFFKFDRLGVRVPTIMVSPWIKKGTVISRPKGPTPDSEFEHSSIPATIKKIFNLSSDFLTRRDAWAGTFDYIVQDLSVPRTDCPTILPDVVPLRTTEADEERGVSQFQNEVVQLAAVLNGDHFLSSFPDEISKKMSVKKAHSYVSNAVSRFISASKEAIQMGADESAIVDMRASLTTRSSSHNR >KZN05421 pep chromosome:ASM162521v1:2:23680345:23685267:1 gene:DCAR_006258 transcript:KZN05421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKHHVNFMYKSGGSNDNEINVITSVSSSLLPAFGTVVGDQEEYSLLGKYIVAPYDRRYRWWQTFLVVLVIYSAWSSPFELAFKKASTGVLLYIDLVVDVFFAIDIFLTFFVAYVDKSTYLLIHDHKKISMRYITHLWFPLDVASTLPFQHIYQSFSGKKHTSNVFGFLNLLRLWRLRRVTVLAVHSAACFYYWLAFQHKTPSETWIGHHLGDFKEKGIWVGYTYSMYWSIVTLTTVGYGDLYSKNTGEKTFNIFYMLFNMGLTAYIIGNMTNLIVHGAVRTSIMRDAFNELLQYASKNRLPEGLKEQMLTHMQLKYKTAELQQEEVLQDLPKAIRSSIAQHLFRNTLEDTYLFRNVSEIKAEYFPPNVEIILQNEMPTDFYIVACGTLHLEGLNKDMQDEIPSLSDMQDLMNIKHSGLQDHEEASHFGHSEHTKETHIYPTTSSNYTFPLRLIIHGHHPDKEALTESERTGKLIHLPESMQDLLSLAEKKFGKRGNAVVMADGSKVDLCALRENDKLFIF >KZN04897 pep chromosome:ASM162521v1:2:17688907:17690902:1 gene:DCAR_005734 transcript:KZN04897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVDVKLRNAEMNKVRVSVSQFGTGDFLTIREAIASVQLHNTKRIILDIGPGVYREKIIVRKTLDFITFFGNASDPPTITWNDTASTTSGRDGRPLKTFQSATVAVDANYFVAVNIKFENTAPHKIGSQGEQAVALRISGSKAAFYNCSFFGSQDTLYDHKGLHYFYNCFIQGSVDFIFGYGRSLYEKCHLNSVANKVASLTAQKRNIATMQSGFSFKDCRITGSGRVYLGRAWGDYSRVVFSYTFMDSLVLPQGWSDWGKLSRDVNVYYGEYECSGPGANMSGRVSWARILTAEEAQPFIGTSFIDGDTWLTPP >KZN05070 pep chromosome:ASM162521v1:2:19609855:19610688:-1 gene:DCAR_005907 transcript:KZN05070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDLIKLLGDTPSISHLYVNGYTVEVLGQPFYPTLKGVAPRIEILRLLGLQFKNVCQLYNSLSLIRCLSNLRVLMIELKPGTRSLDPTVGQRMEKPCWKDVLLHQLHTLTILDVVVDTRVLSLIKTLLAVSPSLKKIFFHFSNVKADAYAEMSKIKKLFRQCPRKSLSAKIYLSFQNPRNIVTQPNRYSSHLGALWFELH >KZN06774 pep chromosome:ASM162521v1:2:35721185:35727962:1 gene:DCAR_007611 transcript:KZN06774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGYEPILCIVSGILAVSVKWQKELLTGVEIDTSQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWSKLGVKEGQKLMMMGTADEIVKAPEKGPVFMEDLPEEEQVVVAGHSAGLFNLGNTCYMNSTLQCLHSVPELKSALIKYPHSGRSNDLDQPSHLLTVATRDLFSELDKSVKAVAPMQFWTVLRKKYPQFGQLHNGSFMQQDAEECWTQILYTLSQSLKTPNLSENLDAIKGLFGIELVSRVHCAESGEESSETESVHSLKCHISHEVNHLHEGLKHGLKSELEKSSPSLGRSAIYVKDSHINGLPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDIYDLCSDDLKKSLEVPRQILRDEEGKKLGLKSKPKSSSSTVNDVKMSDAEGSSKESGESSMDASEEGGVHDKEMQLTGVYDLVAVLTHKGRSADSGHYVAWVKQESGKWIQYDDDNPIPQREEDIVKLSGGGDWHMAYICMYKARVVPK >KZN05628 pep chromosome:ASM162521v1:2:25740896:25744112:-1 gene:DCAR_006465 transcript:KZN05628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYSRASLNSPSQLRSPTPISLTAQSPTSFHRTIRLQRKDPRFSIRVSIEDDNNCVDDNKHSSLAELASRDSRTHPRPLSTSDLSKSIRDRSRLRGVSGAYSESAAQKAYPDCEAVPCEQFDVAFQAVEQWVVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKFAVRHCLLANHGVQVEDLKRVLSHPQALAQCELTLTKLGVVREAVDDTAGAAQYVSLHKLKDTGAVASLGAAKIYDMNVLAKDIQDDSDNITRFLILAREPIIPGTDRPFKTSIVFSLEEGPGVLFKALAVFAMRNINLTKIESRPRRWQPLRQAVDSNNGSLKYEFATFLRVLGSYPMDTD >KZN05780 pep chromosome:ASM162521v1:2:27162340:27162885:1 gene:DCAR_006617 transcript:KZN05780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSENKPSTRHYHPYQSLNTPIHKLYELPTSPEYLFHEEAAVQRRSWSENLQYYTGSGYLSGAIIGGMKGTVEGIKSAETGESLKLRINRVLNSGGHTGRKFGNTLGVLGLLFSGLESTALHFRGTDDLLNSVVAGLGTGALYRATKGAKSAVLAGAIGGLAAGAAGIGKQAIKRYVPI >KZN04235 pep chromosome:ASM162521v1:2:4909382:4912653:1 gene:DCAR_005123 transcript:KZN04235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFQRLDTGKIRERQAIDVYGGPFGLGLIMKPLRELPSRNEQTATENAKGNDKGKDVPNPAWDDWNAHQNNDILWEEWEKAQRQSVANETNDRENVHDTEQHIQVEEQDDHGQTSGEKDVVESLRSMANELIDTKLMFDTELNLALAKDPTNEQLFDIKNTVFTVFHELGTEQTTYTGPPEQTTNTRPPEQTTNTHPPKQTTNTLPPAQTTFSRPAQESTDSSPPEENTNTEEFQDCYEEEDFQLTIEDVEQLDLLSFVDSAKINAQKTDLFETDTVGDIYPSFSLGLDDEEDIPQITPKPALREKSTRALKISRFGKSPFIERVIDIHSKITNQEFGVWRYMTEIKDPIEQIFLRNDFFCIREDMQSLNIGKHIETMFVDTWAIVLNDAEKFKSNDSPLRLFFTIGCVNATLDEKKSLGTTYKLFAENVDSMLIQCNRTKLDLIDMDGQDHQLIKPRIKYNNAILSSQLNQKKKEILRQVKELYIEAASKKLVNLVINSSQHSQEERRTSTIAAKSQNKKKVTFAKNLITPFDEVSDPPKDV >KZN04281 pep chromosome:ASM162521v1:2:6240782:6242715:-1 gene:DCAR_005077 transcript:KZN04281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKALFNASNLGSPNVSDKASCELLGEEHNHKPTVEKQVLENDEDCVAYDHHPPTEKKNSANNFVLYHIHRHLHSTIKNDRDNDDVFLYEFLDPAITFNLNADFQSYVGSRLRKGNLNHIYFMPHNHNVHWILVVIWDDDVYLLTPLPHHGRFDELEKALSETIKQVNAEIGRGNKAPKIKNLSWASKTRKSYTLEELDAVRFDAINFIQDKM >KZN05859 pep chromosome:ASM162521v1:2:27820347:27821417:1 gene:DCAR_006696 transcript:KZN05859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSLHVTSFYKFLTQGLSNLDHSFISHKFMSIEFLCHVLSCLQSFHSQICILVQELHLPQGGKWLNEYMDETSRLWDICRVLKSALSSLENYYMAGSTIANTLELHQSLDPPLSVQILRDFSQCQINGAIYLQESRGLMQTRIQPLSLKFDKNASTESEFNDFRDFSGVLFMLRNVNSLLLMILLNGLVYFSPDTSFPDEGGICADSHTDSDLNFMVYASKLHQRLKSAVHELRGQQSGVLCYEFLKAGCSMQEVEVELRKNIEADGTIRLGEIRKKIEDLKRCFDGFRSGAENFIVQIDDFFDEIIEGRKKLMNRDC >KZN05966 pep chromosome:ASM162521v1:2:28769665:28775791:-1 gene:DCAR_006803 transcript:KZN05966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGSSAPGDYIYFKSQVPLHKIPIGLKQWRYYDFGPKVVPPVICLPGTAGTADVYYKQIMSLSMKGYRVISVDIPRVWNHQEWVQAFEKFLDVIDVHHIHLYGTALGGFLAQLFAQYRPRRVKSLLLSNTFLDTLSFSSSMPWSPIVGWTPSFLLKRYVLTGIPKGPHEPFIADSIDFVVSQVETLKKDDLASRLTLTVDAASVGPLLLPDSNITLMDTNDQSATPQELKDQVTERYPGARRAYLKTGGDFPFLSRSDEVNLHLQLHLRRVGVEPRADLVQGASNDGGGSSSEQNGGKEDSNDPENRDSDGSPNNDAGDSPSEDAEISESPSNENQPPLMPTSAHMKNLENQGEFVET >KZN06386 pep chromosome:ASM162521v1:2:32417762:32422109:1 gene:DCAR_007223 transcript:KZN06386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAAARADNFYYPPEWTPDQGSLNKFNGQHALRERARKLDQGILIIRFEMPYNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKAACCRHEIVIQTDPKNCQYVIISGAQQKVEEYDNEDAETLALPVDEEKGKLSDPFYRLEHQENDIKKKKEAEPVLVRLQRVSDARHADDYALNKVLRSKLRNQKKRVAEEEAASRKMGLNFRLLPPSEADTAAASRVKFATKFHKNQKEKRALINSSSIFSSTSTSTAKQFELAGKRRKINASAASNLLVGGYKPSSWARSASSGKKRS >KZN04365 pep chromosome:ASM162521v1:2:9303481:9308791:-1 gene:DCAR_005202 transcript:KZN04365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGKSEGIELLSMYADDDEDDVDEPHHQPHLNDAVSPLQNDAQTVKDQGFNHESSTGSENVGAREGAPPNLSENLTPVGVTTTPRGVEVEISRKERLTIVDYGHDEAALSPEAEKGESMYADTDDIEEQPPPDVSNAVSQPTNPQTEEGEMEGTGRVMFGADLHMLNGEYSEQVTLGTVRGLTPSTHATPQSSGQHDDSQPEAMDYTATALVDVPENVEICPKEPEDAALDAFLPPLPKAKCSDELQEKIVKFLTLRKTTGRSYNAEVRNRKEYRNPDFLLHAVTYQDIDQIGTCFSKDVFDPHGYDKSDFYDEIEADMKREMERKEQEKKKSQKIEYISGGTQGGTLPTPKVNLPVPGVSSGSGGGLLSVPAAVDSVVREGRPNKKSKWDKVDGDRRNPLPTGGADPLSATLLSAANAGTGYSAFALTVKNLYRQQRRREAEVRKSGDRKLERRT >KZN06220 pep chromosome:ASM162521v1:2:31091439:31091882:1 gene:DCAR_007057 transcript:KZN06220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRLKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNVDKLWSMVPQEVKDKAVNSQNAPVLDVTQHGYFKVLGKGSMTGPMPIVVKAKLISKTAEKKIKEAGGAVLLTA >KZN04678 pep chromosome:ASM162521v1:2:14560836:14563998:-1 gene:DCAR_005515 transcript:KZN04678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNLQLLFIFFYCCIVFCDASVSTALPNELPNELSTLLSIKASLVDPLDHLKDWTFVSTKTGFQHCNWTGVSCNPQGLVEKLDISSMNLTGHVTDDIQQLSSLTLLNICCNAFSSSLPKSLANLVFLESIDVSLNDFVGGFPSGLGMAENLTAVNASSNNFVGFLPDDLGNATGLQSIDFRGSFFQGSIPKSFKNLRHLTFLGLSGNNLTGRIPEELGQLSSLETMILGYNFFEGPIPSVFGNLSNLQYLDLAVGTLTGSIPAELGNLKKLTTLYLYQNNLEGKIPSTIGNITSLMYFDVSDNQISGEIPEEIANLKNLVLLNVMRNQLSGLVPDKIGELTKLEVLELWKNSLTGPLPMNLGMHSPLRWLDVSSNSFHGDIPEGLCFLGNLTKLILFNNSFSGAIPLGLSNCSSLVRVRIQNNILSGTIPSGFGSLPKLQRLEMANNNLTGHIPDDFAFSESLSFIDVSWNHLKSSLPSRILSNPQLQTFAAANNNLTGSIKNQFQECPSLSVLDLSNNYFSGKIPKGIASCERLVNLNLCNNQLTGEIPVPLATMSALSILDLSRNSLVGRIPESFGNSPALEMLNLSFNKLEGPVPTNGLLVTMNPNDLMGNSGLCGGILPQCSSQRMKSTVHTRNVHIHHIILGFLVGMSVIVSLGLILFATRWAYRSWFLYSNLYIHWFKKGDLEWPWRLVAFQRLSFTSPEILTCLKESNVLGMGGSGIVYKAETHRPHSVVAVKKLWRSNDPDVESGDDLFAEVNLLGRLRHRNIVRLLGYLHNEKDVMMIYQYMPHGNLGEALHGKQRGKMLVDWVSRYDVAVGVAQGLAYLHHDCRPPIIHRDVKCNNILLDASFDARIADFGLARTMLRKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSYGVVLLELLTGKQPLDPGFGDSVDIVEWIRKTSSNGKLDEALDPEIGGQCKHVQEEMLLVLRIALICTAKLPKDRPSMRDIITMLGEAKPRRKSVSLNWGLNNSNREKPVFSNSPVMGLL >KZN06192 pep chromosome:ASM162521v1:2:30874529:30878880:1 gene:DCAR_007029 transcript:KZN06192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLSFQPNPTLSPTTSLTRSAIRPFLPHRTRFGSFSPYRPSPRGTICVSQFGLGQLPDPETAQVLIKGLFGKAEGFLYTLADAAVTASPATTDPVTTSSKTSDWFSGISNYMETVLKVLKGGLSTVHAPYAYGFAIILLTVLVKAATFPLTKKQVESAMAMRSFQPKIKAIQQKYAGDQEKIQIETARLYKSAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTVAARQNGSGISWLLPFIDGHPPLGWSDTFAYLVLPVLLVVSQYISVQVMQTSQSDDPNLKTSQAITKFLPLMIGYFALSVPSGLSLYWLTNNILSTAQQVYLQKLGGARNPASQFSDDSITKELLKDQISAIETTTTKVAIKEEKKQTPEGPRPGDRFKQLKEEEARKRKQREEEAMKADIVAEYNVSVTNGKLETKTNSVEFEDRKMESFHADALLATENSSINDNHSEEFKENQDISSRRTEDDENSSDRSFRKDDQQHLHKNLK >KZN07525 pep chromosome:ASM162521v1:2:41559100:41561490:-1 gene:DCAR_008362 transcript:KZN07525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSAHLKFQTQPIKPKRRRFRETTILSSATNSPPSPTMSPSPSNLNIRYSPTTIYQIMDPTTTLPAGPTPDYYPSSFSKFNSALTAGLLNPMSPPPSSDKLTRSSPTLFEMMANEPDTLSRTQIPITPKSNLPERDKQALIQQRIYNLLSCRSPDSQFNDPDSSDVKLTLSSKDNLSVTMNVHRQILVAHSRFFAVKISDRWSKQVKNCSPYIVEIADCDDIEVYIETIRLMYCKDLRKKLLKEDVPKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLSELRLEAVGAGEVLKRVCADVTAGVEEGNESEEVILKLLHVVLEGKDEKARREMKALVSKMLHENSSHNDLRKESLYSACDGCLQLLRHHFLRVAAADLQDAGQIARQADNLRWILDILIDRQIAEDFLKTWAAQSDLADVHSKVPPIHRYEISTVTARLFVGIGKGQLLASKDARCLLLKTWLVPFYDDFGWMRRASKGLDRHLIEDGLSSTILTLPLAWQQDILMSWFDRFLNSGEDCPNIQRGFEVWWRRAFWRRNGEPERPQQIRITTENS >KZN04248 pep chromosome:ASM162521v1:2:5255004:5255645:1 gene:DCAR_005110 transcript:KZN04248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTENKGGNDVVIDAQRRCEHDENILAEGNELNMDLSENNLIVEDSLHMDEENFEGGSGENEGIDDANSYENKNKGGNDVIIDANGDCKHDKNIWANGNDLNMDINENNLIVELQYT >KZN04832 pep chromosome:ASM162521v1:2:16961597:16962577:1 gene:DCAR_005669 transcript:KZN04832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLQTWSIKPLIMAFLVMNLSKSIAVQIYRPGPWSLAHATFYGDESASATMGGACGYGNLFNNGYGVDTAALSSTLFKNGYACGTCYQIKCVYSPWCYKGSPYATITATNICPPNWSQDSNNGGWCNPPRTHFDMAKPAFMKIAQWKAGIVPVMYRRVPCVKRGGLRFTFQGNGYWLLVYVMNVAGGGDVANMWVKGSRTGWISMSHNWGASYQAFATLGGQALSFKLTSYTSRETIIAWNVAPANWNVGMTYKSWSNFH >KZN04396 pep chromosome:ASM162521v1:2:10201752:10204214:1 gene:DCAR_005233 transcript:KZN04396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHDASLDNKKVEIDTSTPFLLVKEAVVLFGERVLAGEIYTNRLKEFEVQNVFLLYFIAMLDLFNHILFLRFGKARSALEDSLRRVEDIVPQAIGCQGIDFLVDPLEKQVGDDIMALLQQGKKFNSSCSDTNELETFHQAASKLGITSSRAALRERALKKLIERARVEEDKCKESIVAYLSHFIRKYSKLFRSDFSVDNDSRGSTQCSPMIQGSFEEYGGPESNGQAFECQLSKLSSFNFNPNFRRSGQMVVPPEELRFPISLQLMYDPVIIASGQTYERICIGKWFSDGHNTCPKSQQHLSHLCLTPNYCVKGIENYNL >KZN05318 pep chromosome:ASM162521v1:2:22550341:22553909:-1 gene:DCAR_006155 transcript:KZN05318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSEAFLQVLSAPATRLYHKDFCYGNSNVFVPDRDRRGRGCRFTQSRKVNFKNFTGIFASIRPDGMNHKKKKINNLEGLTCKCGRAEGVSEAAAEELRKTLIGGMNNSDVSCFESAQHLKSENGGVFSTSDLGTPGTIHSNLNGVKDSFEDEAWDLLRASMVYYCGNPIGTIAANDPNDSSILNYDQVFIRDFIPSGLAFLLKGEFDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDRSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLSAREMLAPEDGSTDLITALNNRLVALPFHIREYYWTDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWSIISSLATTEQSHAILDLIEAKWAELVADMPLKICYPALDGEEWRIVTGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPGIAENAVKIAERRLSRDKWPEYYDTRRGRLIGKQARLFQTWSIAGYLVAKLLLAKPEAANILVNLEDTELVNAFSCMLSSNPRRKRSRMGVKKSYII >KZN05670 pep chromosome:ASM162521v1:2:26183177:26188522:1 gene:DCAR_006507 transcript:KZN05670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLVSVKTPPEAQPLRITVPDDSRPQIRSTPDRSEPVVPKSNSPANRRPPSPSRAKPSPDRSSGKKKSPEKPLLDEASLDNPDLGPFLLKLARDTIASGDGPTRALDYALRAAKSFERCAIDGEPNLDLAMSLHVVAAIYCSLGRFEEAIPVLERAIQVPEVTRGADHALAAFSGYMQLGDTHSMLGQLDKSIDCYKEGLNIQIVALGDTDPRVAETCRYLAEAHVQAMQFDEADKLCKKTLEIHREHSSPASLEEAADRRLMALICEAKGDYESALEHLVLASMAMIANGQDNEVAAIDVSIGNIYLSLSRFDEAVFSYQKALTVFKSSKGDNHPSVASVFVRLADLYYKTGKLRESRSYCENALRIYAKPVPGTTAEEIASGMTEISAIYESFNEPEEALKLLQKAMKLLEDKAGQQSTIAGIEARMGVMFYMLGRYEEARGSFESAVAKLRASGEKKSAFFGVVLNQMGLACVQLFKIGEAAELFEEARGILEQECGPCHQDTLGVYSNLAATYDAMGRVEDAIEILEYVLKLREEKLGTANPDFDDEKKRLAELLKEAGRSRNKKAKSLENLIDPTSRRTKKETSKKWSAFGFRS >KZN04776 pep chromosome:ASM162521v1:2:15644382:15646652:-1 gene:DCAR_005613 transcript:KZN04776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGTSMMASNSENFQNKGMLEMHKDEAIRFICPNFATDYIPGVLKLPREFCVENSSSIPERVLLNVPPRVLWKGLYRNDTFCIEGLEKMMSSYSIKAYHLIFNPYGVEISYHIENRTHSGVEIDKKLLTTSGVEEEKLCGTLSFNAYESCKGICDVVVQKKDLRKSAGYAVFEKQGWESLGLVESMDSVKLSYKSRSWVVKLHWQNGKLYMGRNWYSFASAGNLQAGDTIAFQKTEIPQKYAICVFEKDLLGKCNLAGVGQKNGIMDWFKIADLQFISTGEMEIPRVFTKLPGFRIPPIVNLVTCDGQTLIAKYSPERNLLFGMTEMIRKYSIRATDVMIFSLSNNCTFVVSLFKISGMESKYIVAERYGAETVVNSVSEQIRNNAQANLEGVELENATDAAVNAEVDNGGMPIHPISFKVTLKPSHVDKRQHGVYFPPSMYPTYQLWSASTMIRLICGAAISMVSVLRSGKVCRFGKGWSEFTVENKLLEGQVIQLTYVDNLTFLVTIVQ >KZN04903 pep chromosome:ASM162521v1:2:17743994:17744496:-1 gene:DCAR_005740 transcript:KZN04903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIESSKKKRLSALVVDDSRVCRMIHDAYLTRNDFETYTVENGREAVDLIRSGEQFDVIFMDLVMPVMNGFEATRELRAMGVTTMIVGIDCPIDLRDDPIQAGVDRVYEKPLTEEIIISVRQALQSNYNI >KZN06191 pep chromosome:ASM162521v1:2:30871908:30873552:-1 gene:DCAR_007028 transcript:KZN06191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYHRQPETLLAFTSTITGSQKQNATNPDIRIRPGYASYDAYIQRQLNKTLNPKLRKIWTTRDWNRKINVFSNFFSELREKGFLSNSTKALCVGARVGQEVEALRRIGVSDSIGIDLVPYPPLVIRGDFHKQPFDDQVFDFEFSNVFDHALYPDKFVGEIERTLKPGGVCVLHVALSTRSDKFSANDLYSIKGLISLFRSSELVHTRKVDGFGLDTEAVFRKKKLKQSS >KZN04679 pep chromosome:ASM162521v1:2:14567910:14574665:-1 gene:DCAR_005516 transcript:KZN04679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSISQIFFVQSRFSFPTFSKTKTFIATCTSRMASTKASTSEVGIANRLWIKFHKESIQALYNPFVLSLASGTLSLDSFRHYISQDFHFLKAFAQAYELAEECCDDDDAKVDFSDLRKSVLEELKMHDSFVQEWSSDPTKEINLSPATVKYTDFILATASGKVEGVKGPGKLATPFEKTKVAAYTLGATTPCMRLYAFLGKELQQFVDSNENSHPYKKWIDNYSCNAFQESALQTEDLLDRLSVTLTGEELNIIENLYYQAMKLELELFSAQPLLQPTVLPLTKACDPSEYQLMLFSDFDLTCTVVDSSAILAEIAIVTAPRSDQDQVYNQIARMPSADLRSTWGVLSGQYTEEYEQCIETILHSQIGEKFNYDELCKALEQLSDFEKKANTRVIESGVLKGLYFDDIKRAGERLILQEGCPGFFQSIIENENWRKNVHILSYCWCGDLIRSAFSSVGLDDLNVHANEFTYEEHVSTGEIIDNVHSPLDKVQAFKDILHECRSDKKKLTVYIGDSVGDLLCLLEADVGIVVGSSSSLRRVGSHFGVSFVPLFPGLVLKQKNWIEESSACWKGLSGTLYTVESWAEINAFILGK >KZN07192 pep chromosome:ASM162521v1:2:38980431:38986890:-1 gene:DCAR_008029 transcript:KZN07192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKNEGDVEKMLDLYIYNHMKEINLQDVAEIFAKEANIETSQLVEIEVNLMRRGWLLFWLTLCSRLLNKAGVNAQSSGQQMAFGKEWDKERELAMKNEGDAQKMLELYIYDHMKKKNLLDVASRFAKEANVETSQLGETDENLLLEWWEFFCPAFCSGARVNAQSSGQAMASWENQGAGSTRQTSMVNLQPDMLAEFSTTGGEDNGSSQMPSRGRVDSDGLNHVLSRTHAPAIYLLLMALYVVRTEP >KZN06602 pep chromosome:ASM162521v1:2:34276480:34279268:-1 gene:DCAR_007439 transcript:KZN06602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTSAFEQNYRCYPVAFIEKAHLEKGDKVIMPPSALDRLAYLQIDYPMLFEVSNPTSGKITHCGVLEFIADEGMIYLPYWMMENMLLREGDIVNIKNASLSKGTFVKLQPHTKDFLDVSNPKAILETTLRSYSCLTTGDTIMVAYNNKKFYIDIVETKPSSAISIIETDCEVDFAPPLDYKEPEKPLKASISKKRPPEDQAEEETDNKVPKFNAFTGSSRRLDGKPSTEPIVAASSSLLKHHQPEAKSNNVPSPSNSSRKHCGKLVFGSNGDQPSHAKPKNETKQKEQSQEEQPKKEEETKFQAFTGKKYSLK >KZN06084 pep chromosome:ASM162521v1:2:30004471:30005022:1 gene:DCAR_006921 transcript:KZN06084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNMVFLLLLSVVTLQVADAQTCKPSGKIKGKKPPPGLCKIGYGSDCCVQGKLYTTYTCSPPVSSHTKATLTINSFEKGGDGGGPSECDGKYHSDNTPVVALSTGWFNHQKRCMNFINVHGNGKSVRAMVVDECDSTRGCDNDHDYQPPCPHNIVDASKAVWKALGVPEKDWGEMQITWSDA >KZN05689 pep chromosome:ASM162521v1:2:26325069:26325272:1 gene:DCAR_006526 transcript:KZN05689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTRQKDGVGDEGQRIDDPEHIGDESTQQTRRQNKKLTTSLSPSTFSSAICSQIFHISVLGFQNRP >KZN07351 pep chromosome:ASM162521v1:2:40239029:40243363:1 gene:DCAR_008188 transcript:KZN07351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGSRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDMNEWLAVNTVDFFNQVNLLFGTLTEFCTAENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESLFPQRLGAPFPPNFRDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFALIDKRELAPLQELIDSIVVPY >KZN07139 pep chromosome:ASM162521v1:2:38634269:38639573:-1 gene:DCAR_007976 transcript:KZN07139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRRKETKGIEENTLAILDTSGFGRKEISVAQHVQNLNDDRLAFLEAVRTASLVPENGTAPTSKMYQAIFQILREESSLDSIMSSYQLLKELDTRFPRVYISEKTENESASASTSPFEIVVVEDAWSPFIFGVDCPFTEKDASREKPPGSLDPSLLRTMLTFQYLVYVLEGDFLPRNRAYKEKMNWSLLRESFLSMILGSRRLPCKALIKDCISVMRMCHVRCGTADDLLLSENSSKDKLESCRSAATIALPEIEKCLCTAMQKLLLMIMELDTLKETADMQGLTTRADGPRTPVPEIILDELSYNRDMLSPFLQAFHEPRWKLEIVMQYIRKYVAKPSVRTRRSNGSANDATFPDILKSFGNGSSTKSILKKISAEVAQLLLAHAFQAYLSLSLRDPSDGLHDFEENLGDCSLAEVCKSMIAAFSSLKKTNEHITILPFGKEALFTAATILSTQ >KZN05010 pep chromosome:ASM162521v1:2:18930231:18930539:1 gene:DCAR_005847 transcript:KZN05010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTMLGAEYPVVIFSKSSCCMCHSIKTLISNFGANPVVYELDELPNGKQMERELKALGRKPVVPVIFIGKELIGGPNEVMSLHVKGKLVPLLLQAKAIWL >KZN06690 pep chromosome:ASM162521v1:2:35042569:35046026:-1 gene:DCAR_007527 transcript:KZN06690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAEQPMRRVAFVLIDGLGDVSVPKFGYKTPLESAALPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSIMGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVISRRADRHFEEEGPILCEALDGMKLPSFPQYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLLLQAEPLDDTDEAKHTAAVINELSKEISRILVAHPINAKRAAEGKNIANLVLLRGCGIRIEVPPFEKKHGLWPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKATAISKALSAPLDSCPNVFVPGQDEHRPGRSDGYDFGFLHIKAIDDAGHDKAVVFKVKGLEAVDRAIGQLARLLWQAQTKGGFQYSICVTGDHSTPVEYGDHSFEPVPFTLCKLKDFVGAVGGESVLLETSLDPFPLPTVASGENLTDTATLEEVQKKIEIKAFSGDSVCEFNEIAAARGCLGRFPGSEMMGIIKKFIKLDS >KZN04387 pep chromosome:ASM162521v1:2:9953811:9956297:1 gene:DCAR_005224 transcript:KZN04387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTQLNVASSKGFSNLNDEPDHLLVLVHGILASPNDWIYVQAKLKKRLGRNYMIYASSCNSYTKTFGGIDGAGKRLADEVLQIVKMNKSLRKISFLAHSLGGLFTRYAIAVLYTPTVTSSDQNIDNLVCPPKEKRAEVPLKQGLIAGLQPINFITLATPHLGVRGRKQFPLVLGVNILEKIAAPVASFVVGKTGSQLFLTDGKPNKPPLLLRMACDCEEGKFISSLGAFTSRMVYANVSYDHLVGWRTSSIRREAELVKPPLQSLDGYKHVVDVEYCPPVSSEGPYFAPELAKAKEAAQNTPTIRNTLQYHEIIEEEMIRGLQRLRWKKVDVNFHSTFWPFFAHNNIHVKSEWFHNAGAGVVAHVADSLRRQENQQDSSLITANL >KZN07154 pep chromosome:ASM162521v1:2:38711628:38713990:1 gene:DCAR_007991 transcript:KZN07154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRVYLHLSWIIVIVAVRVVVGENVSAAGVQECRMTRCSHHGPEIRFPFWIKEKQQPEQCGYPGFRVFCDRGNTLLHLQYLANTSLPDTLFYLSKNVSIHSINYTSQEVFVYVLNGSQFTNNLKLFSASSTSLPSTPHFGKAYSYDFANMYYPDITYTMCFSCSAGVKSFIPDMLTSPGRKTFPVYCLDDQYSAFSGEYSISSCTKIFNSSLPAPLLSQGYDPYAPPGVVDALSISWLAPNCSKCEAKGEYCRRKSNASSNIEAADYSTICFPKGSAVRFSIKPITVITAGSAIFVLSIMLLLYYIIKLYKQRKYDEQKIEMFLTDYRAMKPTRYSYADIKKITRNFSDNLGKGGYGSVYKGQITKEIIVAVKVLNSDPKANGEDFINEVGTIGRIYHVNVVRLVGYSADGCNRALIYEFQPNNSLEKFTYSGKMLHDFLGWKKMQDIALGIAKGIEYLHQGCAQQILHFDIKPHNILLDQNFNPKISDFGLAKLCSKDKSIVSMTMARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGARNNNEVESTSETYFPEWIFHRLEEGGEVTIQIVKEEDSNIARRLTIVGLWCIGWHPVDRPSMKHVINMLESEECPKVPPNPFRSSNVRSFTNDLEVISESE >KZN04568 pep chromosome:ASM162521v1:2:12857523:12857786:-1 gene:DCAR_005405 transcript:KZN04568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGRETLTNVSEVFARDVVDLNASVGNGLYARDVLHHALLGTLHEIMPAQGSVREPDFMTIEQVPAPHGLWCLLCISLVMSWSLLK >KZN06679 pep chromosome:ASM162521v1:2:34957382:34959220:1 gene:DCAR_007516 transcript:KZN06679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLHSLLLVFFLFILFCAPYISAQPTADADTDFSCSSDSPDSCETYLSFRARSPDYVNVGSISDLFGVSRLSIAKANNLSSEDELLKPNQLLLVPIECNCNGSRYFSNVTYQIKKDDSFYFVSITAFENLTNFLLVQDMNPTLVPNKLEIGDEVVFPLLCRCPNKALRDRGIKYLITYVWQPADDISSVSSMFKASPADVLVENNYRNFTAALCLPVLIPVSELPELVQADPFPSGPRSARQRHILSAIVGTIVAFFICMLFSLVVYIHRLCRKKMMLERNLSNSEYVDIIKMKKTAIDEKFELKAIPEKLLPGVSGYLDKPIIYDEKVIMEATKNLSDRYRIGGSVYRALINGKVFAVKKFHDVTEELKILQRVNHANLVKLIGISTDNAGNCFLVYEYAENYSLDKWLFPESLSSSCSVAPLTWTQRLNIALDVANGLHYMHEHSRPSIVHGDLQTSNILLNSKFKAKICNFSTARPATCSLLLEADVFAFGILLFELLSGRKGMEANEKGEIVWKEIRGVLETGKDIEEGLALWIDKSLESFYPIGGALILANLAAVCTAEKSSARPSMTEIVFNLSFLTQSTSEMDERSWAEPEESLRHVSPVVGR >KZN07721 pep chromosome:ASM162521v1:2:43030861:43034385:-1 gene:DCAR_008558 transcript:KZN07721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGAVMAWNVFKFCTALRGLGSIMILLVLGVVGVSYYAVVLSIYFPALISGGLDSLVALLVLLLFHGLLVMLLWSYFSVVFTDPGGVPPNWKPVVDEENGEADLWADSEFIQLPGEPTNLGVRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFLMFFSDEEIPGSPSSLATTFLAFVLNLAFALSVFGFLIMHISLVAGNTTTIEVFGTDKHYWFIPSYSEEDIRRMPALQGLEYPSKPELDGQEF >KZN06550 pep chromosome:ASM162521v1:2:33932869:33933480:-1 gene:DCAR_007387 transcript:KZN06550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYSTSSSPVENVSASGLGSELTKTLKEQHQSSITDAHNVGDQEHIYANVSAEGDGDYEEDEDLTAEILAQSGYGKVVSRNDSSVGDQECMFEDEKEANSELGCAQTSSHSHKSWPSDKKLVSAFKGSREKEGVHVTWAPDVYDPPVTSDDHFVQDKSEKHKGENRKQKGKQKREGKSAREEKGKGKRKDKQTRKHGGSSNK >KZN06765 pep chromosome:ASM162521v1:2:35678463:35678828:1 gene:DCAR_007602 transcript:KZN06765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVRIE >KZN04730 pep chromosome:ASM162521v1:2:15178066:15182826:1 gene:DCAR_005567 transcript:KZN04730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQASLDKMQLRQNYRNLWHSDLVSTIRTDTPCLRDRAPNSPLSGPCVSYLLRKRALYNDMSRYVCCAGYMPCSGRCGESKCPEFCLGTEGFMFCLQQVACIFSIVAMIVGSDELSEASQLLNCLADVVYCTVCACMQTQHKIEMDKRDGVFGTQPMSIPPAQQMSRLDQPYPPTVGYPPPQPYGQPQPYGYPPPQAQPYPPTGYPPQGYPPAGYPPQGYPATGYPK >KZN05497 pep chromosome:ASM162521v1:2:24364387:24368784:-1 gene:DCAR_006334 transcript:KZN05497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMETNHVASSSSSFASFKTYLRALSDTPRRVRRRAASISTSSEETTRLREISGPTLRRTLRWYDLICFGIGGMVGAGVFITSGKASSQLAGPALVLSYAIAGLCALLSAFCYTEFAVEMPVAGGAFSYIRVTFGEFAAFFTGANLIIDYVLSNAAVARSLTTYLGAAIGMSSSETKWRLTISVLPQGFNQIDFISVVIVLILTLIICYSTRESSLVNMLFTALHIAFIVFIIVIGFMRGDVKNFTVPAEPQHSGGFFPYGAAGVFNGAALVYLSYIGYDAVSTMAEEVENPVKDIPIGVSGSVIIVTVLYCLMAASMSMLLPYDMIDPSDPFSAAFKGKSDGWHWVSNVIGAGASFGILTSLLVAMLGQARYMCMIGRSRVVPEWFAKVHPRTLTPVNASAFLGICTAAIAIFTDMSILLNLVSIGTLFVFYMVANAVIYRRYVLVETTNPWPTLSFLFCLSLTAIIFSILWHVAPQGKPKAFMLGICIAMAIGILQLFHYMVPQARQAKFWGVPLMPWIPSISIFLNVFLLGALDRPSYVRFGFFSVVIVLVYVLYSVHASFDAEQNGGLNQKSSDLSAESTVDEEYYFKV >KZN07499 pep chromosome:ASM162521v1:2:41348504:41353473:-1 gene:DCAR_008336 transcript:KZN07499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGGEPGDKITNNPWEVPAVTQVIVAAKGVTDPLVQSIRKFGESNFEAGKRPDLSFGPFGHDFGSNFRNNSGARGSSAKPGVVHKIQSCFSELMMKFAIAQKISGVHSILPASLKSGINILNDPKDKFSIGTITEMTKSVPESISQLSLSQNGKSQTVPSKTSALKGLPLEGPYGSRAQKVIDSFRNNPAFSGEENKVPNDKPQQNAERLESEKLLNMVLKHQQVIEELLEENTKLREIMVEDLKISPSKLQGSCSNSRTSSSTDCSRSDKGLSPKLRNRSLLVRAESEGTESVAVAENEDGEEEEAVVEPEPEIEKRKPIVKLGDIMGILNKQAIDASVSVRPIPDLRSGDIVEIKLEVPENRRRLSIYKGIVISKQNAGIHTTIRIRRIIAGIGVEIVFPIYSPNVKEIKVVKHRKVRRARLYYLRDKLPRLSTFK >KZN07540 pep chromosome:ASM162521v1:2:41706723:41708702:-1 gene:DCAR_008377 transcript:KZN07540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGLTGKSGFSARNTAEQVTKGVDGTGLVAIVTGSSNGIGIETTRVLALRGVHVIMGVRNCKAGEKVKEDICKKIPGAKIDVMEIDLNTFASIRKFAAAFIATGLPLNILVNNAGIMAPPFTLSKDGIEQQFAVNHLGGFLLTNLLLDTLKNTARDCGKEGRIVNIASTLHTYTYKEGILFDKINDKDCYDPNYAYGQSKLCNILHCVELSRRLKEEGANVTANSLHPGLIATNISQNSGILGCYLACTQFLLKNIPQGAATTCYVALNPKVNGVSGEFFQDNNIVKASKMARDPEVAKKLWEFSLTFTEAK >KZN04414 pep chromosome:ASM162521v1:2:10441626:10447369:-1 gene:DCAR_005251 transcript:KZN04414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAGNDLWSEIIAEEENRDINCETKQSKQQPEVAVIYRRKNAVSNQSNDLLILTREKPNLGNENRVSWAQAPVKRVSWNRALSTRGRTSIAVAVFVDHAPQQKNQKKKTKPAIPRGKGLQPTNYDKERAYFEEVDSFELLEESPSPKNIWTKGVKSEDIVKTHLSSVLEKWLIRRRLNHSCGPSRSLSTILGTPRLLNEVPYICDYSSVRTPEKGSALICSSVPPIQECKFCLTGTSVSGNVIPSRKSNNALPSMTEGGCEGIADGVRNLSLASRPSSLFSHRCEDFAALLEFCGQSAPSTLSDVFSKYCDPKSIVKVGEGTYGEAFKAGKTVCKVVPFDGDLRVNGEVQKVCQGQYDNALIRAWEHWDNKHSSENDHPKEFPEDQCYIIFVQEHGGQDLESFVLLNFDEARSLLVQVTVALAVGEAAYEFEHRDLHWGNILLSRKDSATLQFNLEGKSLNIRTFGLLISIIDFTLSRINTGEDILFLDLSSDPELFEGPKGDKQSDTYRKMKEATEDCWEESFPKTNVLWLQYLVDILLLKKTFDRTSKDERELRSLKKRLNSYGSAKEATADPFFRELFVDNAL >KZN06760 pep chromosome:ASM162521v1:2:35645529:35646119:-1 gene:DCAR_007597 transcript:KZN06760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSTSYSSSVLLTLFVLAILLSPALVVPSQAARFRVPETNKKRLVCTNCICCEPAPSRKCACCVCP >KZN04624 pep chromosome:ASM162521v1:2:13652879:13658479:-1 gene:DCAR_005461 transcript:KZN04624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDIFGHLNRKANELWAILAGLRVAFGVHENFIELEIEAADALREWEDCRWFVDPRHSRSQGALVLGYGNEVIGELFDLLDEEEYEMLVNQHQEWQLDDEEFLSDDNDGSMVGDNVFTAKTIAIECGILRPDQDTDGAVVEGEEFRNYTQAERIKKLDTICLMARSSPLDKLLLVKCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKQSSDIIMLDDSFASVVAVLKLGRNVYTNIQKFLQFQLTINVANLVINFVVDISIGETPLTFVQLVWINFVMELLGALALAAEHPGKEILDMQPVSREEPMISNIMWRNLIAQALFQSIVFLTLQFGGKKIYKVDESIKVTMLFNIFVLCQVFNLFNARKLENKNIFEGIHKNKMFLGITGIIMLIQVVMVEFLNNFTGTKKLNLGKWGECIGIAILSWPIGILVKYIPVPHITIFEHSQDEEVGEELDKLGEELDDLGEDIDVLGEVLEGLIN >KZN05084 pep chromosome:ASM162521v1:2:20079533:20082934:-1 gene:DCAR_005921 transcript:KZN05084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQEPSKRPTVVIVSGPFQGHLSPMLDLGNVLYSKGFGVIFAHTVFNAPDPSKQPDLIFLPMQENLADHQILPGDIIALVNILNKNCEEPLKKGLSEIMNRLEPENQVVCIICDMFMYFSESVARDLKLQCMIFRTSSASSALAYHALSRLQTEGYIPRKDSALDDVVPGLPLLRFRDIPTADMGTLQDAIDLTDNICNTRTSSAIICNTVDYLEPDEIVQFQHIYPVHYFPIGPLHMIAPTSSSSLLEADTNCLIWLDKQAPRSVIYVSVGSLAILEKEELAEMAWGLASSNHPFLWVVRSDAVPESEWADLIPEGFMETVGERGCIVKWAPQKEVLGHNAVGGFWSHCGWNSTLESISAGVPMICWPCFSDQKVNSRYLSHVWKVGLELDHKLERGVIKESMQRLMAGKEGEEIRVRANDMQHKMKMSVSEGGLSYASLRAVVRDSAVNRGGNCGGSSNVGAQWSAECAEIAMAFFGLELARQNVVSTVKKEIA >KZN05215 pep chromosome:ASM162521v1:2:21256116:21257546:-1 gene:DCAR_006052 transcript:KZN05215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTLKPGMVVTFGPSGLTTEVKSVEMHHESLQEALPGDNVGFNVKNVSVKDLKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMLPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKAVDKKEPTGAKVTKAAVKKGAK >KZN05472 pep chromosome:ASM162521v1:2:24194750:24197565:1 gene:DCAR_006309 transcript:KZN05472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLIDKRESMSRYMKSAKQKASTDVSSIARKRKSRGLAEFEEVMTEKNVESSPERSKSLVKEERIVGKIKGFHRGRAPLAHRIALEKEKIMEVESFSDCCEDEERGGVDCSIGKDINKMNELDNVGDRRRTERRQATWNKKIFDDGFFYGYWTESEDDEIPTSAKMKKKEIRCSNEIKMKKIGDPEEIKVRSFSDASGVKTKRLPKETTSKSVEPRKSSLYNPSSLFRNSCFSNSTSKIEMNDMKTNIANKKGQACLPSCHQCMRPGRRIVVPCQRCRGKFYCIQCIKLWYPRLSEEEIAEECPFCRGNCNCNMCLHSSGTLKMLKRCPPDREKLQHIQYLINALLPVIKQIRQDQDSEITLESVSKASSVKPEQVNFHNEERVYCNQCATSIVDLHRSCPKCSFELCLQCCWEIRSGRLLGEQSKVRFKYVNKGNNYIHGGDPQPESTSVNTSKSRTQKLSVWFAEEDGSITCPPKDMGGCGSCPLELKRLLPEDCISSLERRAELIISIHGICKDPSNAVCSKTDGEDLCTAAMRHGSDDNFLYCPAARDVQKEEQLLHFRSHWALGEPIIVRNVLEQTSGLSWEPMVMWRALCENLESKMSLDMSKVKAIDCLAGCEVKAF >KZN04505 pep chromosome:ASM162521v1:2:11962484:11972096:-1 gene:DCAR_005342 transcript:KZN04505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVATEYASEWNASSTVRRAETCGSSTRIARLRSGFGKELRENRNEINKENGESSNKGSHGASSYQHVHHASHDKGVSKSTPSSCVTNTGSSRINLNRGGLNVNTGRSTPLSCVTNTGSSRINHREAGNRENIVQDDDLIYDGGKV >KZN06927 pep chromosome:ASM162521v1:2:36915645:36928558:1 gene:DCAR_007764 transcript:KZN06927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKPKRMRTLLPFASADDNVTFNGSSQPSTSSDVDNMTLQFNQTLQGEVYSTGLVQTLHDASRLFELAIKQKSSESKTSWFSTAWLGVDRNSWLKALSYQASVYSLLQAGCEISSRGDGRDRDINVFVQRSLLRQCAPLEIEIREKMLAKQPDAYDWFWSEQIPAVVTSFVNYFEKDQSFIAATTVWGKDISLDAGRAIDKSLLMLALSCIAAITKLGPTKVSCAQFFSIIPDVTGRLMDMLIEFIPIRKAYHSVKDIGLRREFLVHLGPRAAASRVKNDGRTEEVAFWVSLLQKQLLRAINRERVWSKLRTSETTEVLERDLAIFGFFIALGRSTQSFLYASGFEVVDEPMKGFIRHLIGGSLLYYPELSSISSYQLYVEVVCEELDWIPFYPVNKDASKGFHGDRSKREGPPNAEAIPLVLEVCSHWIQSFIKYSMWLENPSNVKAARFLSRGHKMLRGCMEELGIQKKQLTESTLKKSLMGTRSGRGLDSFDKALESVEDAMIRLEELLQELHVSSNSSRKEHLKAACSDLERMRKLKKEAEFLEASFRAKADSLQHVDDLYFQDTFSRGVAEPESDEIQRFELLRNELMELEKRVQDQSDNEEEANKMTTNSAGFAKDAIGTGLVKAQKENIIKKSLDKIKETSTNVLQGTRLLAIDVAAASGLLRRLLIGDELTEKEKQALRRTLTDVVSVVPIGFLMLLPVTAVGHAAMLAAIQRYVPALVGLTAAIPSTYGAERLDLLRQLEKVKEMETTEGKLVEDANE >KZN05147 pep chromosome:ASM162521v1:2:20584276:20585536:-1 gene:DCAR_005984 transcript:KZN05147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASSQPDIKIWILDISEVLPHMNFALPRESLAGSVIERTGSLVTDLNVICTTLNFVTQNIILMLKIKYPINELPKFLDWITLAAAIVSCVGTIASLIKYNNRPLHKGRWFNFFVALALVALTGLSLAVHSDPRVFTLAVSTVSSGLLSVTNANSQRKERCFLLGAKRVPIAILRIEDNVISYLQVSEEDIHLVDPLYQAGRNVAFSVSTGEGVMTECKMREA >KZN04433 pep chromosome:ASM162521v1:2:10744272:10744487:1 gene:DCAR_005270 transcript:KZN04433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKDSVHKTNNYDSMKTEQASLNKPEHNAAKGPNKQTSETILTKTQDRTTAIKLAETNSVQLVSSVETR >KZN05575 pep chromosome:ASM162521v1:2:25217626:25218474:1 gene:DCAR_006412 transcript:KZN05575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFSPVDGYIEITECLAEMMKCLANEPSVGLFYIQQHTQNTVPNLVSLKNNASGKSHELSLQTEDLDESVTMVRSIKECGFPIIDEMVNDITKSLAITSTKKLRKGLVNKSNPRFQLGRTSSWSLRPWMHKADLSPQCSRSTNSYFTTKFESAQERADNLNFLQLDSTEVSQTTGERLPSNLNNALSHAAATTSSTEIEVEELPVSCQIAEELQDDYQTDADLTNGQLPFKPDKFDAFNTDKEAKLEEWLNGTSNHLSRTGKIATENMTTHIDADKVLTSK >KZN06994 pep chromosome:ASM162521v1:2:37452269:37454529:-1 gene:DCAR_007831 transcript:KZN06994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKDYTVDLNKPLVFQVGHLGESYQEWVHTPIVTQEGPRFFGNDVVEFLTRNDWWVIPLIWFPVVCWFETMSFRMGHDLPQIALMIVTGIFIWTLMEYTLHRFLFHIHTKSYWTNTLHYLLHGCHHKHPQDGLRLVFPPAATAILLVPLWNLVKLAATPSTTPGLVGGGLLGYVLYDMTHYYLHHGQPTSKVPRYLKRYHLNHHFRIQTMGFGITSSLWDRVFGTLPVTKAAAKSR >KZN05374 pep chromosome:ASM162521v1:2:23181410:23185846:-1 gene:DCAR_006211 transcript:KZN05374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYVLVARGSVVLAEFSGTTTNASAIARQILEKAPGNDDMNVSYSQDRYVFHVKRTDGLTVLCMADENAGRRIPFAFMEDIHQRFVRTYGRAVLSAQAYAMNDEFSRVMSQQMEYYSSDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTENLQGNTFRFRKQTRRFRSTVWWKNVKLTVMLIVLLLVIVYIVMAFVCHGLLLPSCF >KZN05429 pep chromosome:ASM162521v1:2:23771867:23779976:-1 gene:DCAR_006266 transcript:KZN05429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVENLLEKVDQSPSTQIQNAYSKITKALLAEPLIRHADADVQVSVASCISEITRITAPEAPYDDDKMKEVFQLIVSSFADLYDMSSRSYDKRASILETVAKIRSCVVMLDLECDGLIIEMFKHFLRSIRDDHPKNIFESMETIMTVVLEESEDVSVELLMPILAILKRGNEENSSVACKLGESVFAKCAVKLKPFLKQAVKSSGLSLEDYSQIVTTICNGSNGEVVHEEGNIVAEQLVEESRMTTSSDGMPQDAKELVPEHACEEVPLKHDDNSLSTEPLNTNINKEDKDDIDTVNLGEVDNKQERTEKKRGRKPCSPKPSEPSRIGCDIETEIICQKASDKANSSPSHNQDVPISLDKDARASPDKEELPFSPPEMLDSKVKVSLSPSKSLSKESSRKKVGVSKEKDTLAQELASVDSALKKAIDGTSSTEGKLDSALKKVIDGTSSTEGKLKTNVEKKKLSLALEDALSMDSAPEEAAEVNSDSEIKPQKQSGKRENFVQEHQSGNNLFSDTAATADGGSDLDIEAKGLPRKNGGDSDQEDEHPEGLISPSGGSNDSEKVSGKKKVNLAQEDEEPVSQKVDDKIRDFKRSGKKAIRTKKATSRVKKGNMLKRRGTSKTDTKDDSDKEEELALSASEGDERDSEAKPLVQSGKEVTSGRRGRPPKKSIKVIVSETDEDGSDSDTKVSLHSDKEKTSKPVGQSLKNSGKGNVSEAKLPGKKENKTNMDKDSRKKDATKRTVLDKNKQEKILSQSQTKGMVTSPKSTRKPLIENDPRENSKRKLTPGDYKGSGDIEYGENLVGARVKVWWPDDKQYYEGIIESFDVSYTDGDEEVLVLQKEKWEFVDDGTVQEEGDKDSNPSSSAKSRSWKRARRNPEQLEQKQMDVSPQRGGTAATNKLKGTATKSSQKHDAKAKDKTFKITASSEEDEESEDDSMDRTHQSGNTSSGTRKVKSSLSKLKESNTSKGKSSGSSKTPETAKAKSAGTPKAGKSGGRSGKKRRRGY >KZN06037 pep chromosome:ASM162521v1:2:29484222:29500669:-1 gene:DCAR_006874 transcript:KZN06037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDRPLAPSSNLRASSSFKSKLTPSSSTSNVRRSSPAFLGRPDNSVSGRVRVAVRLRPRNAEELVADADFADCVELQPEVKRLKLRKNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGDEDTSARGIMVRAMEDILENISPETDSISVSYLQLYMESIHDLLNPVNDNITIVEDPKTGDVSLPGATLVEISGQQSFMELLRIGEAHRIAANTKLNTESSRSHAILMVHVKKSVSGKEADFSDNDNSSHFGSNFNPPMLRKGKLVVVDLAGSERIHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRAETSSTISFGQRAMKVENMLKIKEEFDYKSLSRRLEIQLDKLMADNERQQKAFDYEVDRIKLEAQDRISEVETNCAEALEREKRKCQMDYMESIKKLEEKWMSNHQKNGNNGFANGTTSREGQVGSDRSEITELKKLLQNESSMRKAAEEEVTNLKNQLYQFTKPEAGGTSCILELHKLLEEETRKNKRLEEENAVLRSQLSQQTLEAGQVTHSQLRDEYSGEGALMTNLPGQVGLQKILSLLESEDPNICMHAVKVVANLAAEGTARTSLIVTIGPSPRHRAETSSTISFGQRAMKVENMLKIKEEFDYKSLSRRLEIQLDKLMADNERQQKAFDYEVDRIKLEAQDRISEVETNCAEALEREKRKCQMDYMESIKKLEEKWMSNHQKNGNNGFANGTTSREGQVGSDRSEITELKKLLQNESSMRKAAEEEVTNLKNQLYQFTKPEAGGTSCILELHKLLEEETRKNKRLEEENAVLRSQLSQQTLEAGQVTHSQLRDEYSGEGALMTNLPGQVGLQKILSLLESEDPNICMHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRNFDDETICRVAAGAIANLAMNEANQELIMAEGGISLLAMTAGDAEDPQTLRMVAGAIANLCGNDRLQMRLRSEGGIKALLGMVRCRHPDVLSQVARGIANFAKCESRASAQGSRRGRSLLIEDGALPWIVQNANNEASLIRRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRSLARCTLTSSPTFYAEMRRLRIEV >KZN04390 pep chromosome:ASM162521v1:2:10014236:10014673:-1 gene:DCAR_005227 transcript:KZN04390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGRRAPNEAISSSDLKKEGVDSVDQKEEKEKRYLGVRMRPWGKYAAEIRDRTRNGKKVWLGTFDTAEAAAMAYDRAALSMRGPSAFLNFPSRQSSPAAERSTGNSEEEQEVKTSVVVFEDLGADLLEELLTLSDKAPSTSTTK >KZN06049 pep chromosome:ASM162521v1:2:29622576:29623544:1 gene:DCAR_006886 transcript:KZN06049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQESSNIDPYKFFKISLNPDGSLTRPESFPNVPPTPDTNDLADSQLALSKDIHTKNGILIRLFRPLECPTKLPLIVYFHGGGFVFFSATSAPFHNSCNRMTTVCQALVLSVDYRLAPENRLPAAYDDAMEAIMWVRDQAKHTDGCDPWLKDHADFSRVFLMGSSAGGTMVYYSGLRALDEDLSPMKIRGLIINQGYFGGVERTPSELRLVNDRVVPLAANDLMWSLALPEGVDRDHEYCNPATGSSSEKIQKLPRCLVRGYGGDPLVDRQKELAKMLEARGVQVTASFDEEGAHAVELTDPVKATKLYDEIKNFVHSSAN >KZN07006 pep chromosome:ASM162521v1:2:37542550:37546418:-1 gene:DCAR_007843 transcript:KZN07006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVLSVTLDEWSDDELDSIVEVGGNASANSIYEAYIPAGVSKPRPSASQEERVNFIRSKYESQDFLKPSLRILSTSSKASLRTSFSSKITDSFRSSSSTSHKLVLSVTLDEWSDDELDSIVEVGGNASANSIYEAYIPAGVSKPRPSASQEERVNFIRSKYESQDFLKPSLRILSTSSKASLRTSFSSKITDSFRSSSSTSHKLEGMVEFIGIIKVKVIKGTNLAVRDILSSDPYVILKLGKQKVQTTVVKSNLHPVWNQELMLSVPQNYGALKVEVYDYDTFSADDIMGQAEVDIQPMINSAMAYGDASMFDDMQIGKWLKSNDNALIHDSTVNIIDGKVKQEVSLKLQNVESGELDLELEWMPLGQ >KZN05614 pep chromosome:ASM162521v1:2:25641214:25642658:1 gene:DCAR_006451 transcript:KZN05614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFLRGAGEDSSPNHLQIVRCPFLQNINEPTNFSLATSMAFSLPVRGAKGPIFEDGPNFDMAFRLFHGQNGVVPLSERSTFESSKLESESVQPQFNPLAAKAASISFSAFGPGGPFGFDGFSEMWKKHNFNSKSSKKESSSKGGGSKHEAMSNEWLQTGNCPMAKSYRAVSNVLPLVAKALQPPSGMNLKCPPAIVAARAAISRTALAKNLRPQPLPAKVLAIGALGMAVNVPLGIWREHTEKFSPSWFVAVHAAVPFIGMLRKSILMPKAAMAFTIAASILGQVIGSRAERYRLKAIEEKKVTLPESCVSESGFVRESGFVRVDGGRCGDDAVEWKTVPSQTGVASSAKALY >KZN07061 pep chromosome:ASM162521v1:2:38061110:38061532:-1 gene:DCAR_007898 transcript:KZN07061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEEEGTAKQGSSETKEKSETKMTNKVVEPMSRGKYSETTTVTSSGNVIKTTEVITKGNGGISYSYNKTVTSGEDIPFPKCCACCACLGGCAVCILCIPCMVLGMVVNCLLCPVNTAIDCCCPSRAQVAFPAFHDNFFD >KZN06042 pep chromosome:ASM162521v1:2:29536654:29538837:-1 gene:DCAR_006879 transcript:KZN06042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSESDEASPRKWSMDDFEIGKPLGKGKFGRVYLAREIQTKYIVALKVIFKEQVEKYRLNHQLKREMEIQLSLNHPNVLRLYGWFHDDQRICLILEYAHKVCLETLSVISIQCFYIKYIASLTQALAYCHQKDVIHRDIKPENLLLDHEGRLKIADFGWSVQSKSKRNTMCGTLDYLAPEMVENRAHDYAVDNWTLGVLCYEFLYGNPPFEAETQKDTFRRIMKIDLMFPSAPRVSMEAKDLISRLLVRDSSKRLSLQKIMEHPWIVKNADPSGTCSV >KZN06231 pep chromosome:ASM162521v1:2:31192628:31192801:1 gene:DCAR_007068 transcript:KZN06231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSMHTIIPKLFVPRSSSILEDINGAFLTVEQVLALMGFVPGAASSSQSPVDNGTA >KZN06286 pep chromosome:ASM162521v1:2:31700922:31701742:1 gene:DCAR_007123 transcript:KZN06286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKLLGFWASPYANRVQIALNLKSISYEYIEQNLRSKSQLLLESNPVHKKVPVLIHGDNPVSESLVILEYIDEVWTQGPSILPSDPHDRAVARFWAAYITDKWYPLISEYRLAEGAEAKAAVKEKILEGVVLLEEAFVTCSQGKGYFGGENIGYIDLVLGSLLGWLRARGETQGITFLDKTKGPELSAWADRFSSHSAVTGVLPTSEKVIEFIKSFTRPN >KZN06002 pep chromosome:ASM162521v1:2:29050230:29050469:1 gene:DCAR_006839 transcript:KZN06002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKRLVEVEPPSPLRYLIGAAIMMIGVVLPVGYMMFRNKRVPSSSSFAKQT >KZN05382 pep chromosome:ASM162521v1:2:23249969:23252023:1 gene:DCAR_006219 transcript:KZN05382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIKLIVRCTNIVSHICSGDVAFCPRDSVYPNPKEPSLLFPLGHHVDLYMPLLKRSRISASFQFSGETLKEKRTSIDVLPDECIFEVFRHLSGGQERSSCACVSKRWLSLLSSIRKDEFYPAEISRPEEPKEEVVSTKVDKPSETVKDVIVDSDTDEIESLIEDSEVRTDGYLSRHLEGKKATDIRLAAIAIGTSTRGGLGKLSIVGNNSVRGVTNFGLKAIARGCPSLRVLTLWNVSSISDEGLAEIASGCNMLEELDLRCCPSISDKALLAVANNCPNLTSLTIESCSNIGNDGFQSVGRLCQKLRSISIKKCPLVGDQGITSLVSSASFLTKLSLQALNVSDMSLAVIGHYGLAIRDLALVGLQNVTEKGFWVMGNGRGLQLLMSLTINCCQGVTDLGVVAIGKGCPSLKHLCLRKCAILSDNGLVSFAKLCMSLKSLELEECHRITQFGLFATLSNCGENIKAMSLANCFGIKDSNMGFAVGAMSPCKSLRSLSIRDCPGFGNVSLAILGRICPQLHRLNLTGLRGITDESFLPFIQGCEAGGLTHVNLIGCENLTDKAVSAIAKVHGSTLEVLNLDGCRHVTDAGLVAIAENCWFLHELDVSKCVVTDYGIAELARAPWTSLQILSMSNCPLVSDNCAPFLLKLGETLVGLDIRQCSAMSYGMVDLLVEKLWRCDILS >KZN05907 pep chromosome:ASM162521v1:2:28273705:28276863:1 gene:DCAR_006744 transcript:KZN05907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREGIALSGSPPYYFNTGVSESGPNSGSIYGPSEQAELSHPTAFQSLASPNLSIQTNAGGSAYQAGDPSLDFSQGINMGVASSVSLSDSGKKKRGRPRKYGPDGANMSLALSPLPSNPSAGDVMQGEMEKKNRGRPRGSGRKQRLASLGNSAGMAFTPHVIHIAQGEDIASKLLAFAQQRPRALCVMSATGSISAVTLRQPLSSDSTLTYEGHFEILCLSGSYLVSDEGGPTNRTGGLSISVCTPDGLVIGGAIGGRLVAASLVQAIVCSFVYDGPKSKTKTEVNAIGEQASAVPPNESSSPPPPSDATQNMDPIPEVSRWPPSSPPDARDVNTEIDLAQG >KZN05523 pep chromosome:ASM162521v1:2:24683320:24684693:-1 gene:DCAR_006360 transcript:KZN05523 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MATRNTANLSVLMLPWLAHGHISPYLDLAKKLSTRNFNIFLCSTPINLESVKNKVTGKWSESIQLVELNLPPSPDLPPHYHTTNGLPPHLMVSLETAFADSSPNFLTIFESVKPDMLIYDYNQSWAADIALSNNIPAVQFLLSSAIFISLTRHMLYCDSSVTYPFPISIHKYFTEKMQARIKSSPDDAKYIDRARGASKKSRVILLRTFREIEGKYVDYISNLSQKKTIPVGSLVQESLQETGDDCTETIQFLNKKDESSVVFVSFGSEYFLTKEEIQEVAYGLELSKLNFIWVIRFPFGENTKLEEALPIGFLDQVGDRGLVVEGWAPQARILRHSSTGGFISHCGWSSIMESMMFGVPVIAMPMHIDQPFNTVVVKEVGVGQEVERDEDGRFKREEIAKVIRNVVIEKSGETVRRKAKEMREMIREKGEKEIDEVVGELVNLCKEKKDKVTSSTM >KZN05941 pep chromosome:ASM162521v1:2:28578544:28582214:-1 gene:DCAR_006778 transcript:KZN05941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVEVPAWTPGGSPTRTLVLEFMTAEKDAQEETIAPDLHQQPPYPSTNFPFPHAPCPNCVPPLQPEPPLIANASRHEAASLRLYDEKRSWKNRSSRVSDIERVQSAPRDDGARIYITWNDVWVTVPSKKGGRRPILQGLTGFVEPGQSLAIMGPSGCGKSTLLDTLAGKEPXERAETTITDMGLQNAMNTRIGGWTVKGLSGGQKRRVSICIEILTRPSLLFLDEPTSGLDSAASYHVMSRIIRLAQQDKRTIIASIHQPSAEVFELFHNLCLLSSGRQVYFGSTGAANEFFTSNGFPCPTMRNPSDHFLRTINKDFDTEEEQKINGGQTAAEAIDILVKAFQSSEQRNQVQNRVSEICQMNVQTVASKRSQASFVTQCLVLTRRSFLNMHRDLGYYWLRLIIYTALAVCIGTLFHDIGHTYGSIQARGSMLMFIAAFLTFMAIGGFPSFVEDMKIFTRERLNGHYGVAAFVVANTFSSVPFLFLIGLIPGAISYYLVGLQKEFGHFVYYALLLFVCMMLVESLMMVVASVVPDFLMGIIAGAGIQGIMMLTGGFYRLPDDMPKPVWKYPMYYISFHKYAFQGFYKNEYIGLTFPNEKAGGPPTITGDEILRDIWQVEKGYSKWVDMTILLAMVATYRVLFFLITKGVEKFKPVIRDFLSNISFKQQSDSEDSLHQQESSVPFRF >KZN04209 pep chromosome:ASM162521v1:2:3847030:3854468:1 gene:DCAR_005046 transcript:KZN04209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSSQTQFRNTQPPSMVLHLRNLPRECTEEELIELGKPFAKVVKTKCNVGANRNQAFIEFVELNQAIALISYFASSSDAAQVPMAPSAVAMAAAQAIVAAQALQPHAAQAQAQSNHRKDTSGILPLIPWKDDVDVLKLRTRRGNGKTKCNVGANRNQAFIEFVELNQAIALISYFASSSDAAQVPMAPSAVAMAAAQAIVAAQALQPHAAQAQAQSNHRKDTSGILPLIPWKDDVDVLKLRTRRGNGIVAVYIKHPKATATLLYSHGNAADLGQMFELFVDLHAFTHQSFQHKCESHERLEFVGDSVLNILITRQQFNMYLNLPPRMLSPLRSANVDTEKLARVAIKYGLLKYLRHNNRKLSHRYLTAERRGAKKLVVDLCRPSGVSGLAKKKHVILPLIGEGRGHCFVKPA >KZN06232 pep chromosome:ASM162521v1:2:31203737:31205429:1 gene:DCAR_007069 transcript:KZN06232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSQTESNRENNGGFSSPVDSEHKATKLRIQSVAAPHMRAFHLSWISFFACFVSTFAAPPLIPLIRDNLDLTSTDIGNAGVAAVSGAVFARIVMGTLCDLFGPRVASAALMLLTTPAVYCSALANSPASFLLVRFFTGFSLSTFVSTQYWMSSMFSAPVVGTANGVAAGWGNLGGGATQLIMPYVFTLIHHIGATKFTAWRIAFFVPAVFQTLSAYSVFFLGQDLPDGDFAKLQKSGDKHKDKFSQVFYQAITNYRGWILALTYGYCFGVELTVDNIIAQYFYDRFHVNLHTAGIIAASFGLANLFSRPLGGYVSDVMATRYGMRGSLSTSVTVMLVFSVFVQASCGLTYGVVPFVSRRSLGVISGLIGGGGNVGAVLTQVVFFRGSRFKTETGITLMGVTIICCTLPMMFIYFPQWGGLFWGPSSKGITEENYYMSEWSKEEQEQGFHKASLKFANNSKGERGKRVASAPTPLEGTPESYGHV >KZN05862 pep chromosome:ASM162521v1:2:27859365:27861332:-1 gene:DCAR_006699 transcript:KZN05862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSSLLVFSLMLYVSIWVCIGATPVSAAPSASPSTNSSDTCPIDFGYVTKVQWPSSDCKDFQKNHNNSQCCQTLLSLFGIGLSKYLKNTSLFQLPNLPTSVSCLSDFQSNLNSLSLPSSLALGCFDPFQFVTTPDICAGIQSTHDWLDKLGSSTQVDSSCRPDLTDLSACGACVAAGLRVQADLIGIDGNSSHSTDCFYFAILYAAGIVNQLGPESKGSVTCIFGLKATDDSKGKSKSALVFGLTGGLVAILVMSLLVGLYFWYERKKRRDIGKTSRWGGSGEMESRSRMRPNTGSIWYKFHELEMATDNFSEKNFIGRGGFGLVYKGTLYDGSVVAVKKIIESDFQGNAEFCNEVEIISNLKHRNLVPLRGCCITGDDKDKSEDIEMGDEDRYLVYDYMPNGNLDDHLFPSSTRKLDQALDASLLGDGDSDSLNPKGIMERFILVGILCAHVMVALRPTISDALKMLEGDIEVPTIPDRPMSLAHPSAYKDANNFSMSPALSGLQLLSGDMLR >KZN06118 pep chromosome:ASM162521v1:2:30293597:30297773:1 gene:DCAR_006955 transcript:KZN06118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQSRSDNHPLTYDEVSMEHSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYTVRALVNAVDHLGTVAYKLTDLLDQQKSEVSTVELKLSCLDQQLLTCQTYTDNEGLRQQQLLAIIPRHHKHYILPNTVNKKVHFSPQIPADARQTLQSKPRFASGTPPPNTLSWHLASETKSTLKGTPRSSVNTEDLRTSGISSGAFNLVDEAGRTKSSAANIHLPKIGPASAAAMHTLGVTRDPLDSTKPMTAFRSFDEPTKRAIVRTPVRSKSVLSAFFVKQKGKKLKT >KZN06691 pep chromosome:ASM162521v1:2:35051121:35052130:1 gene:DCAR_007528 transcript:KZN06691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLCGFSDGELMRSDCKPCTRLMRQPAGIVTVGGGLGFWVLCRLHYGLFHLSDLFSNPFRKCPRITVPRSLRWAACGAVSASSSTALLVRLFSPECEPQNIAAYDTKK >KZN06886 pep chromosome:ASM162521v1:2:36609286:36609750:1 gene:DCAR_007723 transcript:KZN06886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRRSFLSSLKHHRATGSLYHHCRVLHNGPDTLEELLDRHIIEKKDKSRDNDENEIVARQRLSSTRREALSLYRDIIRATRFFMWPDARGVLWRDILRENARKEFEDAKFEKDPEIITKLLIGGREAVESAIDKLVEKQKQQIEKENSNRDRH >KZN07477 pep chromosome:ASM162521v1:2:41165193:41169863:-1 gene:DCAR_008314 transcript:KZN07477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSSISEELDELQGQVSDIFRALTNGFQKLDKIKDTSRQSRQLDDLTDKMRECKRLIKEFDIEVKEMEDKNDAGTNKMLNERKQSMVKELNKFVAQKKQYASSLENKRADLFERPDNSPTENNGLLASAMSNQELVDHGHKMMDETDQSIERSKQVIAETVEVGTETAATLKAQTEQMSRIVNELDSINFSIKKASKLVKEIGRQLVKPDNQDTQEVPGLAPPVPTNRRLLWNPK >KZN05957 pep chromosome:ASM162521v1:2:28687104:28688000:-1 gene:DCAR_006794 transcript:KZN05957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGNSLPPPFHTRDFNLQQHHHQQQQFLHHQQPQQQNSEDEQSGSSGLKRERDDKNNDDLNTGGTSEGKEGENSRRPRGRPAGSKNKPKPPIIITRDSANALRTHVMEIADGCDLMESVATFARRRQRGVCILSGNGTVTNVTLRQPTSPGAVITLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGALLASGPVVVMAASFSNAAYERLPLEEEEEVALPLQGGGGGGGGGSSSLGSPGGQNVQHSQQHLLPDPSLFHNMPPNLLNSIQLPPDPAFWATGRPPY >KZN06823 pep chromosome:ASM162521v1:2:36107484:36113266:1 gene:DCAR_007660 transcript:KZN06823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEYSSRNHQGKKRGRNDKGNKKGGGDYSSQKNYDGGHEDTFNSRKSSRHQQEFEPQTSFIRKQVDPELSKYFSEISNVIEGTEIDYEERSGICGNALEEARGKEVELATDYILSHTLQSLLEGCSLEHLCSFLHGCSNDFPRIAMDKSGSHVAESALKALAMHLQDTENISLIEDTITSICQAIVLNPVDIMCDCYGSHVLRSLLCLCKGVPIDSAESHGKKSSVVLAERLNLKPAHFDRNVSQQHSQGFPNMLIYLVKEMLNAGRKDITTLQVDQYSSLVLQTALKMLGGNEEELLHIIPILLGCSMETIQEGKVIEVDAANKLLSLMKESAFSHLMEVILEVAPETVYTELLEKVFKNSIFDLSSHHCGNFVVQSLISHAKSHNHMDLIWEELGTKFKELFEVGRSGVVASIIATGQRLQSHETKCCQALAAAVSLANESARYIVPRILFLDNYFFCRDKSNWNWPNDAKMHVMGTLILQIVFKFPSEFIQAYISSITSLEADHVLHALKDFGGARVLEAFFCSNASGKQKRKLVVRLRGHFRDLSLHPSGAFTVEKCFSVSNLSMREVIVSELIDVQSELSKTKQGPHLLRTLDVEGFAKRPEQWKSRQATKESAYKEYFATFGPKAPNTTSSKKNSFLSTAHRSSQPEKVKEMRKEIDNHVATLLEPSLKTAGKHTPEVDTKPARDNNTLKTKNKKRKSVDNVGYEDAVGSKVIDGDSKKSSKSGDKKLKKQHKEGSISKSSRKKMKS >KZN07576 pep chromosome:ASM162521v1:2:41958970:41969497:1 gene:DCAR_008413 transcript:KZN07576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGQSPDWLPAGWNIRSKSNDYGKKIKFYVDPATGRKFYSKAQVEQYLEKTKTETVSESVPEVAIVPAVAICTPTNAVKNTNESTDWLPDGWIVEERARNSGATAGSKFKVYTDPKSGHKFYSKPEVLRYLAKTGGSTTPLLDINVVNKVSSTVVQTKQTNEEMKQTNEEIKQRKEEKKETNESPEWLPQGWSVESKTGDCGKRRKVYTDPTSGLKFYSKPQVSRYLEKINGNSSLQIVISSNSSPRIVTGSANDLCIDQSTESGPLLKPDVSPNHQVNKSKFDEKKLNGGNDLINSGTFERVIDEKFPAGWVKEIRMRKTSDKHKDQFYIDPVSGYMFRSKVDALRFVETGDIKMCKCRPMKRDISDLNLISKSTPNDLDQSRGGRNFSKSDFSEEHKTNQSNISEDPSVVKGSMSNDTFRKNSSEEIPPGWKIEYKTRKMANRIVKDPYYLDPVSGYEFRSKPDVLRYLDTGDINSCKIKPKKRDVNDLKTEENTFPSSAEAKKSGTTTKRQSPGEIMDNTIVSKSVVSPEAGRSRSRRKSVSVPKMDLSSPMMQSSQKISEPMNKESKGEETEIVNLSTANDIILSVINDIFNDDEVLESERIMQETESAIKSRKETESAIKSKKKRVLNPPLRSSKRLAGVNLNNTGKSSLGESSQALAGGDDETKADPLSHINASPREVPQQLKTPTEGEVADPTFISNENILSYGLNGSGEKPVDQSVTENQIGKQVTEKLGNSLQKELAQNAGQQGDASENHLERQEMEKQNDGRNLSEQPPIEYPYMEDPCFEFAFKTLTGAIPVEENLSFQDYFKQEADTSQNEHNGHVGQPIFSSVEVASQSAAIETPVPLQQFPSYSTYPASTSLPPGFANTSVPPGFANTSMPPGFANTSVPPGFANTSVPPGFANTSVPPGFANTSQQSGFVPPGFANTSQHSGFGTGWQTTAK >KZN04606 pep chromosome:ASM162521v1:2:13440840:13441049:-1 gene:DCAR_005443 transcript:KZN04606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSTQTIVAQMKAANEDTASNAVFDILNSMEQVVPGSELYNFAGQKFLANKNNRGFSLESTEQPSPV >KZN06415 pep chromosome:ASM162521v1:2:32744522:32745202:-1 gene:DCAR_007252 transcript:KZN06415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQFQTQVNNNNTAANGRIKLFGFNVTEEDEEVDSTKTPSGSSDSGGVPPTDGRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQMQASRNAAVSYMRNPMVSAFAPPPHLLAPSMPMILPSAAAPPSWMYVPRAAQPFQVSHGSVFPSTSTSSSVGRGAGTLSYAGGVAESTLTSVGPQQGRAHHDGPSLSRFSRGDGGPSFDDAFGLDLHLSLAPAAP >KZN06718 pep chromosome:ASM162521v1:2:35286092:35295235:-1 gene:DCAR_007555 transcript:KZN06718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLWISLSDLKSRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMSKSFEVTELPVRSAKFIPRKQWVVAGADDMYIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSADDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKSSRRVVIGYDEGTIMVKIGREEPVASMDSSGKVIWAKHNEIQTVNIRSVGSEYEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVACGDGEYIIYTALAWRNRSFGSALEIVWSTDGEYAVRESTSRIKIFSKNFQEKKSIRPTFSAERIYGGTLLAMCSNDFICFYDWSECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYMLKFNRDVVSAHLDSGRSADEEGVEDAFELLYEINERVRTGVWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGELEQANQVLPSIPKEQLNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLEIAKEIAAVAQSESKWKQLGELAMSAGMMDMAEDCLRHANDLSGLLLLYSSLGDSEEISKLALLAKDLGKNNVAFLCLFMLGKVEECLQLLIESNRIPEAAIMARSYLPSKVSEIIALWRKDLVKVNKKAAESLADPEEYPNLFEDWQVALGVESKVAETRNNYPPAVEYINHINRSNVNLVEAFRNMHVDEEELLENGGSDVENPENNVNEMQEDLKEGHDEAVVLDADSADGAVLVNGNEAEEQWGTNNAGNPPA >KZN04089 pep chromosome:ASM162521v1:2:1784132:1784335:-1 gene:DCAR_004926 transcript:KZN04089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKPVLVGLLVICLVTMGSLVTVAKANNCLKICKEVCEEGRAKGLYVGSSFDACMNACPEECPPGK >KZN04168 pep chromosome:ASM162521v1:2:2884410:2884697:-1 gene:DCAR_005005 transcript:KZN04168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFLQGRKASRGRIGAQEKFKEKNVVMHEITGLKCLTMTVGTMCPTIRTVGCRTVLPRTRTPKNQNNAPKGVRGRGPGRYHQSYWNEVPIQKKL >KZN04379 pep chromosome:ASM162521v1:2:9846132:9854150:-1 gene:DCAR_005216 transcript:KZN04379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVIKSHDLEHCNSYPRLVGFHAENTPSISREALPCDASVDVYPIFMAVTYWKNLRLFSYETMKRLVVPVYYNIDPSDVRHQTGSFKHAFVKHQSRSGADIDKVKKWRFTPANVATFSGKTMSAKSKKKFEVPDVEEGIKFIRERLCSAKVLLLIDDIDNPRQYESFIGSFASGSVVITTTRDQEILEKIEVEPKFQYRVNELDDAESLTLFTRYAFGSAKSNDTLMALSKVILHLAGGLPLALIVFGAYLSTQSLLGWKSYIEKLQRNPDNTIQQNLIVSLDTLERDDPKLKKMFLDIACFFIGRKKEVVGTEAIEGIIHDNKFESYYAVWKESLNVETFKRMRNLRFLQLSCVHLTGSFEGALEDLRWFCWDLCPLERLPRGFHPEKLVILELTSCSIKMWEIEMVFENLKSLDLSYSMDLSSTPDFRKLPFLETLRLVACKSLKEVHISIGSLKRLVSLNLCNCVNLRSLQDSICNLRALKSLNISGCSSLEALPAELGNIKSLNELNAERLSVTNLPDSIGCLDKLVELRLSYNMNLDTLPDNICNLRLLGVLHISDCSRMKAFPLEFGKLESLKKLNAMELNISILPNSLGNLRQLVYLNIHSNYDVETLPDSICNLRALEVLKVGQCFCLKELPEGLGYLESLTRLDAQSLEISEIPSSIGSLSNLVVLILSCNTNLKTLPDTLCTLRSLEILDISKCEKLETLPDHLFKNTRLRQINARHSTMLRKFPGISQLSNLKHLDLTGCCNLLSIAELPPNLKVIRANGCKSLKSLPDLSNLKQLKRLNLRNCSALTEIQGLEELTSLKVLHLTGCDSSLLACIFTRHFFQIYAEFGHEIRIYTGEFPEWISPSSSSEEPTVSLDLWPDGMHQTNTDTEEFLDCISESCCSGESRGSSDLLPDVSHDFLGMHESSTDTEEYSDGFNDSSESCYSEESMVLSYNLISHNMRGLDESNSHTEEFPDWIGESSSLNEADIYSDLPPNLSHSFLGMILFFVPFQTYTLTYNAKNSSSDFLWESKSFDGSPELVMVIVPRSMFTVRDGDGIELTSETVEIYGIHLFNINLKTMRWVWDANRAKPVQEKATLNFGMDGNLILAVADADGTVAWQTDTANKDVANLYSPLDQTSSSVDCPTQRPQTGLTLTY >KZN06257 pep chromosome:ASM162521v1:2:31440471:31447802:-1 gene:DCAR_007094 transcript:KZN06257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHHSNNNHASPPPPPPPPRPAPHWTTSTSQAELPMPPQSPPLPAPHHWPLMTTSPPMSQAEMPPQYSQPYWPSESQEFYYQPMVMPPPLHYQPAPPGMYDPPPGQYNGDPGMLAPYVPAYEYNYPGYQRTWSTDLCDCRSDCRNCLMTGCCPCVTFGQVSEIVNQGQTSCWEGCFMYGLLSVILGLSTGGICTGIFGGFYRYKLREKYKLRGTVFNDFLVHALCEPCALCQEYRELGRFGFEVPLGRLCHRISQYSTSTIEEPVKPPVEVNYSKLLIDGQFVDAVSGKTFPTIDPRTGEVIAHVAEGDKEDIDIAVAAARKAFSKGPWPKMIAYDRSNILLRFADLLEKHNDEIAALESWDTGKPFKQSALVEVPMLTRFFRYYAGWADKIQGLTVPVDGSYHCQTLHEPIGVCGQIIPWNFPLLMYGWKVAPALACGNTVVMKTAEQTPLSALYVSNLLLEAGLPPGVLNIVSGHGNGAGAALCLHMDVDKLAFTGSTETGKKVLAASADSNLKAVTLELGGKSPFIVCEDANIDEAVELAHEALFFNMGQCCCAGSRTYVHERVYDEFVEKAKARAQNRVVGDPFRDGTEQGPQIDSAQYEKILYYIRSGIDCGAKLETGGDKIGEKGFYLKPTVFSNVQDDMMIAQDEIFGPVQSILKFKTLDEVIERANATRYGLAAGVFTRSLETANTMMRALRAGTVWINCYDTFDAAIPFGGYKMSGLGREKGVYGLNNYLQVKAVVMPVKNPAWL >KZN07060 pep chromosome:ASM162521v1:2:38059234:38059644:-1 gene:DCAR_007897 transcript:KZN07060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCEEASSKQGGGDVMDPVPSGTKLTYQAVEPMSRVHDNATANVAANVYTGAGEQVKTTTRGGGNSSKCCCCCVGLCRCCTACYVGLFYIPCLGVTMVLNCLCCPVNIAIGRCCPSGTEPIHFRVEDFKSCTSMFC >KZN05978 pep chromosome:ASM162521v1:2:28855401:28857799:-1 gene:DCAR_006815 transcript:KZN05978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKSAKSPSSLNNPARSSLNKSGQSLYSSLFPYSQTGGSSFSSTVLTVPRKKPEKLDDALPNGWLESMKSSSPPKRKLVKDHNVEVASDDHDYAYHSWLLSYPSALRSFDKITDFASNKKIAIFLDYDGTLSPIVDDPDRAYMSTDMRSAVRGVASYFPTAIISGRSRDKVFDLVGLKELYYAGSHGMDILFPHKDPKSTDHTVCSELGNTQSKGVTLFQPAKEFVPVIDEVFRTLEKNTRDIKGAKVENHKFCVSVHYRNVSEKSWVTIAECVHRVVDDYPQLRLTHGRKVLEVRPVIDWDKGKAVEFLLKSLGLENSDDVLPIYIGDDRTDEDAFTVLRQKNRGYGILVASTPKESQALFSLKDPSEVKEFLESLVRWKEQSSL >KZN07186 pep chromosome:ASM162521v1:2:38945311:38945616:-1 gene:DCAR_008023 transcript:KZN07186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRPASTPAGTDDDNTNQNNNNSSVHRNQNSDEQPQGEQSDSNGSRSPSPDGDDEEYDFCL >KZN04919 pep chromosome:ASM162521v1:2:17961563:17965175:1 gene:DCAR_005756 transcript:KZN04919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETEVKHLPVNPCCHLLQEQVSRLQGELLESTAKLNESSKARKALRKAIRLLELQNQLLNKESEEEKKRAEDREKELSSLRSEILASRQLVCLGSKGANEKVDEERGKVDAEKCSSEKMCADKEAEDKQKELATRHGWEAEVSALKSQIVLLQQGMAVQNETNVPRLFQAQLSEEHAKINQLKKLLEKERHRADSEAKKAKEAMRKASEAQKMVMTQKSRADEERRLAAIERKEATVQLEKLRAEVEFLRSNLVSETLKFEETNKKLETEKQKVIEEKQRADNEMAKAEEKSRLLEMSERHIVEEKSRSDCLSQKIEEDRHSLRKLQEEIAKYAPVTKNVKAPCGDSVENTVFRTGKLNNLPQLEVISKESGVSKLIRDCVQCRGLSKKLKEAKQKARREKKLANSEMAKAEELRKIVETFGRNAMVNRRQAEELAHELEGNRCKTDEPKNEFMSSGILINPRVNNNTNTDPRTVKLLKKELKICKMQVKHAKEVASFEKSRNLLLQQEIRRIKRECSRISDHFDSLDKCFSSQNVSKDDLRKVSYAIYI >KZN06706 pep chromosome:ASM162521v1:2:35153314:35158099:1 gene:DCAR_007543 transcript:KZN06706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGPSRALFMDEISTGLDSSTTFQIVKALRHSVHILQGTALISLLQPAPETFDLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPQRKGVADFLQEVTSKKDQKQYWINRNQPYRFITSEEFADAFRSFHVGQHVRNDLATAFDKGKSHPAALTTNRYGVNKTELLKAVTAREILLMKRNSFVYMFKLFQLTIMAFITMTLFLRTEFNQDNVTQGGFYMGALFFGVVMLMFNGLAELAMTIAKLPVFYKQRDLLFFPTWSYALPTWIIKIPITFLEAAVWVVLTYYVIGFDPNVGRFFKQYLILLLINQMASALFRMTGALGRNMTLANSFGGFALLILFALGGFVLARGDVPDWWLWGYYSSPMMYGMNAIAVNEFLGHQWKKLNPDGTETIGVALLKSRGFFPYSYWYWIGAGALIGFILILNVGYTLALMFLNPLGKPQAIIPESSDAAEGEESNTENNQTKKKGMILPFEPHSITFDDIKYSVDMPQEMKEQGVVEDKLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNVTVSGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLSSEVDTAKRKMFVNEVLELVELDNLKEALVGLPGVNGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGLELYAGPVGRNSCELIQYFEGIDGISKIKDGYNPATWMLEVTASSQEIILGIDFTEIYKNSDLYRRNKALIKELSTPRPGSSDLSFPTQYSQSFIVQCVACLWKQRCSYWRNTSYTAVRFLFTTAIALIFGSMFWDLGSKMNTRQDLFNAMGSMYAACLFLGVQNASSVQPVVAVERTVFYRERAAGMYSALPYAFAQSMPQWWRWYSWLCPVAYTLYGLIASQFGDIDDKTLTDTNQTVKKFIEDYFGFEHDNVWAVALAVVGFTLLFAVTFAFSIKSFNFQRR >KZN06890 pep chromosome:ASM162521v1:2:36687304:36690349:-1 gene:DCAR_007727 transcript:KZN06890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKFSPFNSAAGIIATADYLPTFGNSSSPRKPIFLLRLYNPTWLLVSLSFIFCLSGVIFALYAVLGPIPTFRCGRVEDTFRPFYSLSQRPGGESMTNGVLTDRIKFVGLVGIQTGFGSRDRRRALRSTWFPSHPDALLRLEQATGLAFRFVIGRTKNARKMAELEKEIEEYNDFLILDVEEEYRNLKYKSLAYFKAAFQLFEANYYVKADDDIYLRPDRLATLLAKERTHALTYIGCMKKGPVFTNPKSKWFEKSADVIGSGYFMHAYGPIYVLSAGVVASLVIARNNSLRMFNNEDVTIGSWMLALNVWHEDNRAICDSRCTSTSIAVWDIPKCSGLCNPETRMKQLHNISMCSKSPTLPPHGW >KZN04268 pep chromosome:ASM162521v1:2:5804274:5806772:-1 gene:DCAR_005090 transcript:KZN04268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSIVHDDKEKSNTSEYEPTNQEESEPLHTDDELVESSDEDELISTIRDRIKKKKEQEESSTAARKRKAKGKAKKDEEKDIPKASSGRDFSNRTRTINSPSKFCEVLNQLPAEQIRWIRGFHELLNFSIKELPHRLGFQLLKSFDEDKCVLNLECGEIPITEEDVHLVLGLPKGTLPIELETDEDSQAEKEKPFRAQFGKSHVRTSDLVSEIKKGTADDHFKTNFLVLMGNTLIQTVSNGLVDQRLVRFKGDINRCNVYNWCSYILQSLKTCKKEWAKDPATKYFCGPLVFLIRTWLLSWLKVEFDAANRQFNKCLKSCIDYNTVNKNEEFQTRVEAAQVFVCTEPEQFENPSGQPEKETEETSQHNSEPLAIPVEETIGTTNSQFDTSIQQTKQKPQRLMTRFLKKEKLKEKNKLRKKDE >KZN04307 pep chromosome:ASM162521v1:2:7337259:7337690:1 gene:DCAR_005144 transcript:KZN04307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISEISAAASSSCSLPVNVANTNPCFSPIRVEIVSKSVSRRLLHKFRDVSDAGLDYLPSRLWSPPFQPSMFLSSPGNSIVTPKDMLLRLRKLAQPPQRRRYRICFNVCYYVHIFLRTYSLNQIMKVIEICLFSCAGILVLC >KZN04117 pep chromosome:ASM162521v1:2:2218859:2219209:1 gene:DCAR_004954 transcript:KZN04117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLRCSEPNCNAVTGEDMVEFLMSGACKKKYREFLFRSYVETKKLQVLEEKLCQRRERLKFITEAWEQIAECRLVLKWTIAEKASPRKELFEYLQGEAEMALERLHDSAENTLKQ >KZN05399 pep chromosome:ASM162521v1:2:23459106:23462201:-1 gene:DCAR_006236 transcript:KZN05399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCNTSLNCNARLSPPHKRQRLEKDAVIAIHDGEYHAREFLFKKVLAKSDVGRLSRLIILKKFAIQNFPSIDVNAPIEDCVFHIDFYDARKNLWTFRYCFWKCSRSYVFTGGWNKFVKAYQLQAGDTVLFYKNHCGDESFFGIEVRYAGARNIVGSLANNLYNGEEFMNDDTVRVKKEHEVSASQLKEKDVMLFGVRIKRASKDFQATMVGLQSVPGLIILYGGAVKKKWAVNSAFMALYAFASFSIWSLEGWLALKGIIDYSGGYVIHLSSGVAGFTAAYWVGPRLTKDRERFPPNNIILMLAGAGLLWMGWTGFNGGDPYSVSTDASLAVLNTHICAATSLLTWLFLDIIFFRKASVIGAVQGMITGLVCITPAAGVVEGWAAIIMGICSGSIPWFTMMVVHKRSELLQRVDDTMAVFHTHAIAGCLGGILTGLFAKPSLCNLFYAKYAHYVGLFYGFREGRVHAGFRQIGIQLLGILFVVVVNVVVTSLVCLLVQLIFPLRMSDEDMEVGDDAIHGEEAYAIWGDGEKLDAASY >KZN04344 pep chromosome:ASM162521v1:2:8659689:8660117:1 gene:DCAR_005181 transcript:KZN04344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTAILDDKLIKMREQFVAGVDEDLEDKLHPGGPSDPLGLADDLDQAALLEVKEVKKTCNVCDARILVAWLSVGIK >KZN07552 pep chromosome:ASM162521v1:2:41777855:41783764:-1 gene:DCAR_008389 transcript:KZN07552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRGLMCWAIVVSVAVLVILNSAASVRASDIVHDDTSAPKKPGCENNFVLVKVQTWVDGVESTKFVGVGARFGTTIVSKQKYANISRLTLSDPRDCCKPLKKKLTGEVIIVDRGNCKFTRKANVAQAAGASAVLIINNQKELYKMVCEPDETDLDIHIPAVMLPQDAGSSLEKLLNERTKVSVQLYSPHRPVVDVAEVFLWLMAVGTIVCASYWSAWSANEASIEHDKLLKDACDEDSSIKTLGVSSVVEVNTLSAVLFIIIASCFLLIFYKLMSFWFIEILVVLFCIGGIEGLQTCLVAFLSRWFKKFAESFIKVPLLGAVSYLTLAVTPFCVIFAVVWAIYRDAPYAWIGQDILGIALIVTVLQIVHVPNLKVGAVLLCLAFLYDIFWVFVSKKLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDQWGGYSIIGFGDILLPGLLITFSLRYDWLAKKSLRSGSPDHICCLKPDGWPWSTSSSVYRPLHTRHIRSAGKEERGFEHLVGKG >KZN04227 pep chromosome:ASM162521v1:2:4513378:4525200:1 gene:DCAR_005064 transcript:KZN04227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPLLIADTDDFCSQIFSKYGSSTAEHHQHLCAVTGAMSQTLKDNNQPLSLVAYFGAACSSLDRISSESEPSGHALDSLLAILSLILPGLSGAVVKKKFELVAELVVRVLRGKEVSEVGVAAGLKCVSCLLVVRGSVSWNDVSQLYGILLSYATDSRSKVRRQTHVCLRDVLQSFQGTAILAPASEAIANIFERFLLLAGGSNASSSEGPKGAQEVLYILDALRDCLPLLSLKSSTNILKYYKSLLELHQPLVTRRITDSLNVLCRHQKGEVSAEMLLDLLVSLAVLISTNETSADSMTVTARLLDAGMKKVFLINRQICVVKLPVVFSALADVMASEHEEPLYVAIEAFKSLICSCIDDTLIKQGVNQINESAKIGSRKSAPTIIEKVCATIESLLDYRYAAVWDTSLQVVSAMFDKLGDSSSYLLRRTLTNLTDMQKLPDEDFPFRKQLHECVGSALVAMGPETFLSLLPLKLEAQDVSQVNAWLFPILKQYTVGSSLNFFTESIFDMIGLMKKKSAVLEQEGKIREARTVDGLTYSLWSLLPSFCNYPLDTAESFKDLEKALCGSLRDEPDIRGVICSSLLILIQQNKKILEGNGDISGTETNNPRERALASYTPEVAAKNLNALRSSAREILSVLSGIFLKTSKDDGGLLQATIGEFASISDKVVVSRFYTATMRKLLKVTEEAGKAQNSKSSNSMEVDSSSSEVPLSVARAQLFDLAVSLLPGLDPKETDLLFVAIEPALKDSDGMIQKKAYKVLCVILKSSDGFISRKLEELLNMMIEVLPCHFAAKRHRLDCLYYLIEHVSKDNLEERRHAVIASFLTEIMLALKEANKKTRNRAYDIIVQIGHACGDENRGGKKENLRQYFYMVAGGLASETPHSISAAVKGLARLAYEFTDLVSSAYNVLPSALLLLQRKNREIIKANLGLLKVLVAKSHAEGLHANLRTVVEGLLNWQDSTKNHFKAKVKLLLEMLVKKCGLDAVRAVMPEEHMKLLTNIRKMKERKERKLAANLEETRSQFSKATTSRASKWNHTKIFSDFGDEEDEDSDNEYMGAETVSGRQTKNVSVFNSKASTLRSKRKRKAAKLLPEDSYDQKDDEPLDLLDRLKTRAALRSSEQKKAESDDELEMDAEGRLIIHEEGQKPKREMPSEPDSESRSQAGSHVSENSKKAQKRRKTSESGWANTGSEYASKKAAGDVKRKNKLEPYAYWPLDRKMVSRRPEQRAAARKGMSSVVKLTKKFEGKSVSNALSVKIKRGKKKANKKNR >KZN05885 pep chromosome:ASM162521v1:2:28075441:28077289:-1 gene:DCAR_006722 transcript:KZN05885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFYKLFNLSCQGVGGWLNHGGRIQNRRFADTETKISPSTVPNLSLKWEFYAGKDISATPAIYNGTIYFPSWNGYIYAVNASDGSLIWKQYLQSLTGIPPTGTFTNVTDTVSRSTPTVAEDKLIVTINGPAFVIAVKCATGQLLWSTKLDNKSLSIVTMSYYVGTSSAEETLPVEQCCLFRGSFAKLNVQTGAILWRTFMLPDNNGILGEYAGAAIWGSSPSIDVERNQVYIATGNLYSVPQHVEDCQENLNNQTTPPTQPDPCVEPENHSNSILALDMTTGEIKWYRQLGGYDVWFWACADHTNPDCPPGPSPDADFGEAPMILSTKINGTKRDIVFAVQKSGFAWALDADNGSIVWSREAGHGGLGGGGIWGSATDIERIYTNIANSGAANFTLAPSNTTTTAGGWVAMAPDTGKVLWTTADPSNSSAPGPVTVANGVVFAGSTYRRGPIYAMNAKSGKVIWSYDTGATVYGGISVANGCIYVGTGYRVCFGTVFPSFSAGTSLFAFCL >KZN06899 pep chromosome:ASM162521v1:2:36744561:36749672:-1 gene:DCAR_007736 transcript:KZN06899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLFKPFRAYCARKEVLAKEIVIDHDDISEALLNFVDDNIITHFVLGASTRSTLQMQWRVPDIPTMINESAPGFCSVYVISKTEIQSVRLAAVPAAILSSISSTPNCQKMLSHFLTKQSEPEEEVSEKQRSVRSERRRWNRKLSVDSIDTLFRGEKNISNNNRLGVHEDSNDHLNFVSHVVTDQNEDVTTLQESTERLHRLQSKNVEAKLRRMKLELKQSIDIYNRTWKGAYTAIKKVKEIHHCKLEESPKFEIAGLLEDAVVNLYEEKEMYMHRAAFEAAKKAEKVAKLEAERRRHAELKAKRNAEAKYRALEVLSHNNVRYRKYTIEDIEAATDHFSDSFKIGEGGYGPVYIGKLDHTKVAIKVLGLGAAQGNKQFQREVEILSRMRHPNMVLLLGACPQYGCLVYEYMSYGSLEDRLFRKGNTPPISWRIRFRIAADIATGLLFLHNAKPEPLVHCDVKPANILLDSNYTCKIGDVGLSRLLPASIADNVTQYRMTSAAGTFCYIDPEFQQTGKLVVKSDLYSFGVMLLQILTARPPMGLIRRVEKSIAEGTFADLLDPSVPDWPVKEALSFAKIALRCVEIKQKDRPDLSSVVLPELNRLKEFGSNNMSELNSTDSSS >KZN05985 pep chromosome:ASM162521v1:2:28915202:28917401:-1 gene:DCAR_006822 transcript:KZN05985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSSSSSSLGPGGLDLTQAFFKPIKSSSQPSPTNRPTKVSVIGVGNVGMAIAQTILTQDLVDELALVDAKPDKLQGEMLDLQHAAAFLPRTKIQASVDYQVTAGSDLCIVTAGARQNPGESRLNLLQRNLAMYKTIIPPLAKHSPEAILLIVSNPVDVLTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPVLSFLERQQIVYEKETLEKIHREVVESAYQVISLKGYTSWAIGYSVASLARDILRNQRRIHPVSVLAKGFYGIDFGEVFLSLPAQLGRSGVLGVTNVHLTDEEAQQLRDSAKTILEVQSQLGV >KZN04131 pep chromosome:ASM162521v1:2:2486700:2488808:-1 gene:DCAR_004968 transcript:KZN04131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTETLIPPPIPTSPDTNPNTTLAEHENTTRTRRKSRWDPVTEPNNPEKKKKSRWSEEEPKQAFQLPDFMKDFTQGMDTDPAVQALNSRLLEISQILQANVQLDDRPDNARSPSPEPLYDNMGIRINTREFRARERLNRERQEIIALIIKRNPAFKPPADYRPPKLYKKLGIPMREFPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRFGQKRDLKFDSSDNEDLHVLVEADNQESLDAAAGMVEKLLRPVDEGLNEHKRQQLKELAALNGTIRDDEFCRLCGEAGHRQYACPSRLSTFKSEVLCKNCGDGGHPTIDCPLKGTAGKKMDDEYQNFLAELGGSVPESNTKHSTPLAIMGSENSGSNPSPWANNSTAGSNGSSLHPGLGSIVPKIGKEIDHTNLYIGYLPPTMEDDELIRLFQPFGDIVMAKVIKDRMTGQTKGYGFVKFSDVEQANQATASMNGHRLNGRAIAVRVAGIQPQPVVPPGPPAPPAPMYPGPNHGYGGYPSQQMQSGGSLGIAPPGSYMGPPPPWRPPPPPYGGYPPPPPPGSNMYGPYQGQSMPPYAMQYPPPGQTATPDQNLANAEPQQIYSAGMQSQSNTSASNNIYGNSAAGMAPNSQPTYPPSSGGYASYYGVNPPPPAVPQSSAEQQSFASAPWAVNPPLPPSVPSADQNQQTTYGADPEYEKFMAEMK >KZN05246 pep chromosome:ASM162521v1:2:21630421:21632279:1 gene:DCAR_006083 transcript:KZN05246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIEGSPGSSMHGVTGREPVLAFSVASPIVPTDPTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKGDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFVIMLTAPTVFCMSFVADASGYIAVRFMIGFSLATFVTCQYWMSTMFNGKIIGTVNGTAAGWGNMGGGATQLIMPLLYDLIQRFGATPFTAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLGALQKKGDVSKDKFSKVFWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFDLKLQTAGIIAATFGMANLLARPFGGYASDVAARRFGMRGRLWTLWILQTLGGVFCILLGRSNSLPIAVTMMIIFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSSQISTAMGLTYMGIMIVACTMPVTLVHFPQWGSMFLPPSRDVVKGSEEHYYVSEWTEDEKQQGMHQGSVKFAENSRSERGRRVASAPTPPYATPNHA >KZN07136 pep chromosome:ASM162521v1:2:38613511:38615084:-1 gene:DCAR_007973 transcript:KZN07136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGWLTKIISSKKEKNSKEKFIKVHSAPKSIDGMNEDISSQEEITYNDKNLVPLAITVEDIAAIRIQNAFRAYKARKVLRHLKGTARLRTLTLGSSASKQASITLGHLHTWSRIQSEIRARRVSMATEARIRQKKMESQLKLDSKLHGLEVEWSGGTETMEESLGKIHLREAASVKRERTMAYAFSHQWRATSNSDSNNSEIGKAIWGWSLTERWIAARPWESRALVQPSPKKALNRQSSKNGKIQKSPTMKLMPSVKSISPNGKGTIKGRKLSYGATDQEATSNKEQTAS >KZN07178 pep chromosome:ASM162521v1:2:38858825:38859436:1 gene:DCAR_008015 transcript:KZN07178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEKAAAESSKAVEASVNAEESSKTLEPKGEEVRYRGVRKRPGGRYGAEIRDPETKNCIWLGTFDTAELAARAFDEAARKYRGDTAKTNFPTPDANHKRRNDGEMMGQERFAAALNPNLAALNGGAGSSLGYRSGGGFPLTYPYYGKPYVPMQGYRLPSNPEFQANIIASGSHLASPSSVIDVNPTRKGLDLDLNLPPPSD >KZN05012 pep chromosome:ASM162521v1:2:18944574:18945776:-1 gene:DCAR_005849 transcript:KZN05012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFISTIFYLFFFIFTTPPCSPHLLAPVTKDYKSDMYTISVYLNNPGQTTNLLLDLGATFSWLNCKSTTYNRTVSHRFGSVVTAASVGTLALHATDGRSAGNLISLSEFVFSCSSNPSLLKGIHNARKFPGLAGLGRSNSSLAAQLSSAAHRPVIFALCLSGSPSAPGVAFFNFRGPYHFLPEIDLAKSLIYTPLLSSPSKRHIISNPLHRTDEYFIGVKSILVNGESIGINPKILTVNHNGVGGTIISTVAPYTILEKSIYKKLTKAFVRESVALNLTVSKPVKPFSVCYLVDDIMETQVGPTVPHIDLVLDGPDVKWSILGSNSMVRIVKDDFDGWCLGFVTKGKFKSMAAIVIGGHQIHDNLLQFDVGNNRLGFTNTVLAKNTMCANFNFTTHGMK >KZN06431 pep chromosome:ASM162521v1:2:32862152:32869657:1 gene:DCAR_007268 transcript:KZN06431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHIERKKTGRWSPYAHFQALEELRTNSQQPPLRDVEAVRNNNNLMLKSLGHNLNSPPLLQDVDVESGRP >KZN04249 pep chromosome:ASM162521v1:2:5269161:5271939:-1 gene:DCAR_005109 transcript:KZN04249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLLNVRYNYDGTFNKTSYSGGKSIIINRQDVDEFSYTVALENVKDCLNCTEIGGLYVLNGKPQQWKLLKCDSDLLQLVDACESGGDINIYVDCVVDKECKPLEPGVPFLVVRPRKNILKEHLQSKQNKRTFVSSHQLQQQRQSKRIPRSPQLQEVEQNKLPKSPRLQELAKKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHLQEVENNNLPKSPRLEDLQKDLSSNPQWKKDVCPNAVSAMVAKRRLHLSKIDTIEPIVQQSIKEKKDRDDPEYVVENETGDESDDTSEGIKSVQKRKAIPGPRTRSRANDKDLGDKDPVDPIDKGKKVAAATEMRREDVGDTDLQNGSEGEEVEADFG >KZN06016 pep chromosome:ASM162521v1:2:29171645:29171935:1 gene:DCAR_006853 transcript:KZN06016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSRPKLSGLQKQVLALYREFLRAARAKSTEDRKQIELIVSEQFRRHSTQVDRKNFLYIEHLLRRGKHQLDQLKNPATVGLSSLNVKVSDAKHS >KZN04914 pep chromosome:ASM162521v1:2:17901683:17903029:1 gene:DCAR_005751 transcript:KZN04914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTRVTPETISSAVSALLKWKETKSASEKAQLLPQNDFFYLTLTLEHIPQEGRRVNPYKIPLPHPLVQDSEICLIIDDRPKSKLTSKEAKKKVQADGINVAKVLNFSKLKSDYKTFEAKRKLCDSYDIFFAAKGLIPLLPKLLGKSFFKKKKAPLPVDLSHKNWKEQIERACGSGLFYIKKGTCSVVKIGRLSMEEGEVLENVVEGIKGVIELVPEGWEGVRSFHLKLSGSPGLPLYEAVPDIKLKIEGVRESVEEGNEIEVEVKEGEKKDGKLGKNKKKKGRIHEVKYMDVSGEDEVANDVDEGEKESEKVNDESELEGKKTKKRGSAKEKAIGEDKFGKKTKKAARGVRVGANEEYVSEETDDKQDLDVKEVELKKKRKKADAGGQVTADKNVEKPAKNTKKKADGDEQEKSQLPVSTEESAEKKGKKKSGLVTTAKKAKISKKK >KZN04128 pep chromosome:ASM162521v1:2:2387599:2393810:-1 gene:DCAR_004965 transcript:KZN04128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRGFHNLPFYPTKPNFNSIYRSQSQRRRFFSIFQKLHHTSQARASFNSGTNGSSQGSSFQLVSGATAATIVMLGALHIRRMYDDKKLEEAREKGIEIEFHPDYKASFLELLPLRTISRVWGSMTNVEVPVWLRPYVYRAWARAFHSNLEEAALPLDQYVTLRQFFVRTLKEGSRPIDQDPCCLASPVDGTVLRFGELKHPGTMIEQVKGFSYSVSSLLGARSSPMIDVEDTQEESSVQEQSLGYKSEKSWWKVSLASPKLREPSPASPMKGLYYCVIYLKPGDYHRVHSPVDWNINVRRHFSGRLYPVNERATRTIRNLYLENERVVLEGQWQEGFMALAAIGATNIGSIELFIEPELRTNQPKKKLLHPERPEERIYEADGVGVTLKKGDEVAAFNMGSTVVLVFQAPISSQKNRALSSEFRFCVRRGEKIRVGEALGRWRNSQPPATISSVVH >KZN05014 pep chromosome:ASM162521v1:2:18957619:18958326:1 gene:DCAR_005851 transcript:KZN05014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGHCTWNTYSIFHTMPTHMASWILFALLLILPSPLCMRFVASAVTAPLSAMNPLTCSLFQSATCNSILYQSNDLQKEEIAVYYSVNATDIRNITNGSKQDYLVPVPCSCQNVNGTTAFFYDTVYTVKVGDTFVNVSSQYYSGQAWPLGGEERTFIPDAEVPIHLLCGCVQDDAEVVVTYTVQQQDTLSEIGDNLSAQVSKIQSLNTNLAQNSTYIEAGWVLFVPMEKNGTSTP >KZN05544 pep chromosome:ASM162521v1:2:24910199:24916556:1 gene:DCAR_006381 transcript:KZN05544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVGCASWIRRPENAHLVVLGTMKQLTHPASLQIFSFDPLTTSLSSSPKASYEFENGSEAVNIAVHPSGDDFVASTTSGDCMLFEYYGQKLLPKELHPLQGVGPQKCLAFSTDGSKFATGGVDGHLRIFEWPSMRIILNEPKAHNSFNDMDFSLDSEFLATTSTDGSARIWKTDDGVLITSLTRNSDEKFELCRFSKDGTKPFLFCTVQKGFKAVTSVWDISNWTKIGHKRLLRKPASIMSISLDGKYLAQGSKDGDVCVVDVKKMEICHWSKRLHSGSSIASLDFCPSERVVLTTSSEWGAMVTKLSVPADWKDWQIYLVLLGLFLASAVAFYIFFEKSDSFWNFPLGKDQTTRPKINTILGDPQSEDWGAFGPLDIM >KZN05826 pep chromosome:ASM162521v1:2:27553526:27562579:1 gene:DCAR_006663 transcript:KZN05826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVADRTKVVLRHLPPTITQPVLMDQIDDRFGKRYKLISFRPGNNSAKHQICARAYLDFQKPEDVIEFADLFGGHVFVNEKGTRFKTVVEYAPSQRVAKHLSKKDGREGTIYKDPVYMEFLESIAKPIENLPSAEIQLERREAERVGAVKDAPIVTPLMQFVRQKRAAKGGSRRFLANGKPARTGGISSGTSVSVAVRRGSEKNKYITRDTAKRTGSKEKSAYIIVPKRNDQQIMEKAISDAASGTKIVGEERGLPGTTEMGKKKILLLKGREKEISHASVDLSLKQTTSSPVKNSIGVTAPRQNNQQEASGKIIKGILLNKDLRKNTSMVQFNTRNQVLYQEKDKKVPHSPKEKTFLDANGNNSVKTEKQEKRARNKGRPERGVWTALSRSEGSNASNESLPSANSVHSQSLDPREGGQGDSKKNMLASKREELKHVGRGHHISVDNSSYKHSGRHGLQHNGKDTDGPMIIGEGKPFKKGLSSGYSSHEKQVWVQKSSSGS >KZN06624 pep chromosome:ASM162521v1:2:34510607:34514465:1 gene:DCAR_007461 transcript:KZN06624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFSLPHDWNRVLRFYKEITSTQIACLALPSHNYPGIPLLLPAKLVKWHSMPWFNPSPDPSRTGYNHLPTWLDEEIALDAIKHALRALRKRHLVEEAAHGPAYIALSRPFISQGSEWKEKAESLETELQQCYKAQSRLSEQLVVEVAESRASKSLLQDKEDLIASLQNECSQSRDECTRLKELLDEKTQAVELLTSENQELKAQLEETRVRADTAQAENKMLIDRWMLQKMQDAEKLNEANAVYEDMIDKLKASSIEQLARQQVDGVVRQSEEGAEYYVESTIPTANKHKISAHQGGCAALVFEYNSNILISGGQDQTIKMWDTSSGSLIRTLHGCLGSVLDLAITHENSSIIAASSSNKLFVWDKDSGRLRHTLTGHVDKVCAVDVSKFSSRHVASAAYDRTIKIWDLQRGYCTNTIIFPSNCNALSFGMDGHTICSGHVDGHLRLWDIQTGKLLSEVAAHSLAITSISLARNGNVILTSGRDNVHNLFDMRTLEVCGTLRASGNRMASNWSRSCISADDNYVAAGSADGSVHVWSISKASIVSTLKEHNSSVLCCSWSGLGKPLATADRSGTICTWT >KZN04744 pep chromosome:ASM162521v1:2:15296550:15299197:1 gene:DCAR_005581 transcript:KZN04744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVEFGRRAMFYVRVLSGYEERRIRSYRLQIQERLAKAEERKAALRKIPEQVILSEVRRMVEEMQNLNKKLEETESAIDQYFSPLDKEAEMIMKVQLEGEERSMKEMVKTMQRQAILEKEEAERLSSVQDAEAKKNIEEKSSPKPPSVAE >KZN04178 pep chromosome:ASM162521v1:2:3134213:3134745:-1 gene:DCAR_005015 transcript:KZN04178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSYKICNTKPISLTCWYFEYVNLNATGIRPVDITLWATTIISVKKTLTRLLLLVVSTGYGVVPSSEPRGLIFLCHGYDMECSIFVKG >KZN06958 pep chromosome:ASM162521v1:2:37131584:37132071:1 gene:DCAR_007795 transcript:KZN06958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQVGKARKKDLFYLYKGALPAMYALESENENANLFNCVQRGHQNSMEWMPLFLIFMTLGGIKYPVISSVLGIVYIVSFYFYLKGYSTGDPKKPLSVG >KZN04003 pep chromosome:ASM162521v1:2:380213:389669:-1 gene:DCAR_004865 transcript:KZN04003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNVLNWYCQPIRYGIWASETQSAFGAYTPCGIDSFVISVSHLVLLILCLYRIWLITRDVMVQRFCLRSNVYNYVLGVITTYCTAEPLFRLGFGVSLFNLNEDSGFAPFEIGLYTYWVVAYIVTHHYSGKAVRCLKNLFVLADRNLGAVGTFFGWITPLMQQGYRRPITEKDVWKLDTWDQSETLRIKFLQCWMEESQKEKPWLLRALNSSLGRRFWLGGFFKIGNDLSQFVGPVILNRLLQSLERGDPAWIGYLYAFLIFVGVSLGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTSEGREKFPPGRITNMMTTDANALQRICQQLHNLWSSPFRIIMALVLLYQQLGVASILGSLVLLLMIPLQTFIVSKARKFSREGLECTDKRAGLMNEILAAMETVKCYAWEESFQTKVQSMRNDELSWFRKSQLLGAV >KZN04272 pep chromosome:ASM162521v1:2:6033421:6035628:1 gene:DCAR_005086 transcript:KZN04272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSYNGSESMISVGDVPSSSDRVLRLLHEIRDDISEQNAVRNELWVTFPRQEQAISYQKEHSNVFIFSYQDHVNGQRRFVVSSYKEFWRRYKNMNPKYRHHYEVIQEGLPCHLYFDLEFNKIENSNNNGEEMVDILLSLVFDFMNEKYSIEGDKEFVVELDSSTEEKFSRHIIICFPNTAFKNNRHAGAFVGESEEDMFMASLICKVDVDVQKILICEMDIGCSNTLQFATQVHVNFHKDYGVPRNLLSNSCIIDSSRIFQTGRSLFPLLDMFLSDTNGIDIY >KZN04106 pep chromosome:ASM162521v1:2:2034690:2034908:1 gene:DCAR_004943 transcript:KZN04106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLEQPKSCIIYNTRSEEGMINGYARLTKKTKERFQKCRDHTVFRSSPVPKSLGDIYANKNTAYTKGSQQP >KZN04677 pep chromosome:ASM162521v1:2:14556542:14560205:1 gene:DCAR_005514 transcript:KZN04677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLCRESEYEDLCPLQQPQPFSLPSPTPQWPQGQGFGTGRINLGEIEVFEVTTFESICSSLVRKKEKGVTFYRPVGIPDGFYKFGDYCQPNDKPLRGYVLVAGELAADRQEDKSALVKPQSYILLWSSDSENGEQVFIWQPRAPVGYKALGFVVTTEPREPELEEVRCIRADLTEDCELCNLMLDTVSTLSSYPIKIWNTRSCVRGMLGTGVSVGTFFCSTYLDSGDDMKIVCLKNLDSSLHAMPNLDQIHALIKHYGPTVFFHPDEAYLPSSVGWFFKNGALLYSKEKAKGEAIDSRGSNLPRGGVNDGKYWLDLPDDDEAQEYIKKGNMESSLLYVHVKPAIGGSFTDIVMWIFCPFNGPSTIKAGLLNFEMSKVGEHVGDWEHYTLRLSNFTGELWYVYFSEHSGGEWVDASSLEFIGGNRAVIYSAKSGHASFPHAGTYIQGSTKLNIGLRNDTARSNYLIDSSTKYEIIAAEYLGKGIIKEPNWLQYMREWGPTIVYDSRSELDKIMNFLPFFFKLSVESIIELFPTEIYGEEGPTGPKEKDNWLGDER >KZN06607 pep chromosome:ASM162521v1:2:34341573:34341746:1 gene:DCAR_007444 transcript:KZN06607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTRSGIEVRVTRPNDVEDEVNKEGATNDAVDGESESCGEESNGEDGNRATGDETT >KZN04682 pep chromosome:ASM162521v1:2:14659439:14661649:1 gene:DCAR_005519 transcript:KZN04682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVLENKKKVEALGLKKLADGLNLKVIKEKVKDDDEHESDVEPRTRSTIIASTQKIEKNIPPEQLAPKGEIYEKTRKRDPKCKYKTKIDEEDDNGEPVKKPSHGPNWLLGRSGKCRTTKKAQEEKLLTTSTANVDELRKTITKEVMAEMDRKICEKMKRIMEKLGDINPDFKNLDVEELWADDASEDDEEDNGVEENKSEEDDIGEEGDGHEDDNN >KZN06566 pep chromosome:ASM162521v1:2:34068973:34069490:-1 gene:DCAR_007403 transcript:KZN06566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIHSRDPLIIVPAEYTAGIISATSITAAANFIPQSLRHQAIHSVQPFMCPPLLVDLIIPFGLAVRLALVP >KZN05586 pep chromosome:ASM162521v1:2:25355279:25361526:1 gene:DCAR_006423 transcript:KZN05586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGNQRDVLTNANVGSNINGVIMRGSNRNLENSNMESELELLLRGRHNRGAIGPERDVNMYRSGSAPPTVEGSLTAAGSLFHNQNFVLVDNNSNNTSGSSTVNGALTEEEIRSHPAYLSYYYSHENHNPRLPPPLMSKEDWRVAQRFQLGGSSFGGIGDLRKNMVDDGVGSLFSMQPGMPVKSTAEDDLMELRKTAARNNISRKNSAEFVDRGSDGVVVGPRAGMGMRRKSFADILQEGIEQPSSLSGHQSRLANRSSFGEIEDASSVSRSHSLEFFNGPTASERLHPGAAATGLPRVQHHGSQLPNSFASAVGSSLSRNRTPDTHLAGRSLSPSIASVSSRNSLVDDKIGRSSLNHSSSMTELSDVAASLSGLSLSKHDLDPLQTQLAHRFDKQCGKQSNMSNGYGQSLPQSNEVSRAEKLGLATNYVDLLKENGLATDMNAVKLGLNEQAIFPRRTSSSANLQSKLNSSRNANFENPNMHYLAENYPQPDFQGHLQTGYFTDQELKAMISNQLNAEMFSYGPLFTNCSLLPAATLSNTGMVRNLRSGNQAGFDLHSPVMDPRYIQYLQQSSEHAMHAAALCDPSRRGNASGPSFGHGDLQSLQKAYLATLVAQQKPQFDLGKNGRLNHQYYANSSFGPMTYQGNLIPNTVPSFGTKGPLLQDDRISNYTSMLQNQMGGSSGSWHSETGMNMERNFASSLLEEIKNNKSKSFELLDVVDHVVDFSKDQYGSRFIQQKLETATEEEKMKIFSEIIPHARSLITDVFGNYVIQKALEVVDVDQQTKLVAELDGSIMKCVRDQNGNHVIQKCIEYVPQDRIQFVISSFFGEVVSLSTHPYGCRVIQRVLEHCDDPKTQQIIMDEIMNSVCTLAQDQYGNYVIQHVLQHGKPHERSIIIEKLAGQIVKMSQQKFASNVVEKCLTFGGPEERQLLVNEMLGSTDENEPLQAMMKDQFGNYVVQKVLETCDDHSREIILSRIKVHLNALKRYTYGKHIVSRVEKLVTAGERHIALSSSNSSRGISS >KZN06484 pep chromosome:ASM162521v1:2:33252629:33271240:1 gene:DCAR_007321 transcript:KZN06484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAPSANNSQSNPPDMDTNHQRASRAYQFHPARPVIIDLFNLYLGRNNRQKADDAREPPNKSQKRVSANRELPPRNEQFLIDFEQLQSQFSDQEQLRAVTESVLISLVIQCSGHAPRAEFLLFALRSLCSIGYINLDTFLSSLLSSVTAAELSVSQGSQMMSPLSGSTSHPGVLPSSGLISNVSNYQSTNPASPLHSVHGIGSPAQSANELSSRGPSIPMNSSDHTSNGQQSMTRVNVNCWQEWLIFADLFHFLMKSGCLDFVDFVDKLVVRLADGDQVILKTNHVTWLLAQIIRVDLVLNALNTDHRKVETTRKMLSFHKEERSSDPNSPQSILLDYISSCQNLRVWSLNTATREILNNEQLQKGKQIDEWWKQTNKGEFMMEYMNMDDRSTGMFWVVSYTMAQPACDTVLSWLTSGGTESLPASNLQANDRITVIREVNPVPVSLLSGLSMNMCMKLATQLEEVMFNGQAVASIALVETYARLLLIAPHSLFRLHLSHLTQRNPATLTRPGASLLVLELLNYRFLSLYRYLGKSKPLMYDVTKIIANLKGKRGEHRTFRLAENLCINLLLSLKDFYVVKKEGKGPTEFTETLNRITIMSLAIIIKTRGVADADHLLYLQPMLEQILTNSSHTWSEKTLRHFPSLLRDTLIGRMDKRSIAIQAWQQAETTVINQCTQLLSPAADPTYVMTYISHSFPQHRHYLCAGAWILMHGHPENINSANLARVLREFSPEEVTANIYTMVDVLLHHLQLELQRGHSLQELMVKACANLAFFIWTHELLPLDILLLALTDRDDDPHALRIVISLLERQELQQKVKFYLNNRGPPEHWLFSGPFKRVELQKALGNHLSWKERFPPFFDDIAARLLPVIPLVIYRLIENDAIDAADRVLQLYSTFLHYHPLNFTFVRDILAYFYGHLPGKLILRILNVLDIKKIPFSESFPQHINASNAAASPPLEYFATLLLGIVNNVIPPLHSLKYGPGDVRVPHNKIPTTSQPGPTNAVEGQKAFYHIPDPGTYTQLILETAVIEILSLPVSASQIVTSLVQIVVHIQPTLIQSSHCLHGASSGVFSVLPTSPSGGSTDSMSASRSTPSVSGMNSSNIVSRSGYTCQQLSCLLIQACGLLLAQLPQEFHAQLYIEATRVIKDSWWLTDGKRTLGELDSAVGYALLDPTWAAQDNTSTAIGMFDTGDIVEWLEGTNLIIKHLRPVTSVAVLRIAFRIMGPLLPRVANSHSLFNKLLSMLLNVLVDVFGKNSQPSTSVEASDITDLIDFLHHVIHYEGQGGPVQQNSKPRVEVLALFGRAXASSFSP >KZN07114 pep chromosome:ASM162521v1:2:38476463:38479177:-1 gene:DCAR_007951 transcript:KZN07114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSRKPWLSPAPTYQTFESFWDSDEDAPGPRCAHTLTAIAPTKSHGPRLILFGGTTAIEGGGGFGIRLAGVTNSVHCYDVLSRKWTRHVSDFTCSIKPSGEPPSPRAAHTAAAVGTMVGFQGGIGPSGHSTDDLYVLDMTNDKYKWHRIVVQGEGPGPRYGHVMDLVAQRYLVTVSGSDGKRVLSDAWALDTAQKPYAWQKLSPVGNTPSARIYATASSRSDGMLLLCGGRDITGTEIDQFSGK >KZN07728 pep chromosome:ASM162521v1:2:43117030:43117260:-1 gene:DCAR_008565 transcript:KZN07728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQAKEKARRVWILKRKMLLQNLKLYTENKSIIQENEKLRKKAALLHTENMALHSLLENTASTHLSLLPDKIEQN >KZN04921 pep chromosome:ASM162521v1:2:17981589:17982041:1 gene:DCAR_005758 transcript:KZN04921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQTQISSGSDGDLRYAKFDERKRKRMISNRESARRSRMKKQQRVDELFGEVNQLQNQNKVVMHKINEATDKFVAVATENNVLRAQMSELADRLYALNSVLSIVEEVSGLAMDIPQIPDTLMEPWQIPDALMEPWQQPIMASVDMFNC >KZN05278 pep chromosome:ASM162521v1:2:22029161:22035858:-1 gene:DCAR_006115 transcript:KZN05278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYLMKCALSANASRRIRTTIDSAGLLASDRKTTSRRAPLPPLRRSTDYSSSNEEKEIERERRDERWRRRESARRKEREEWKKADLDKKNEKCKREDVDVKPHRSDAFRCFVISITTRSEIDRIKLDKSEEDRRFRKRNKKN >KZN04314 pep chromosome:ASM162521v1:2:7667044:7668635:1 gene:DCAR_005151 transcript:KZN04314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNGGRKRVPLSLLSPSISGNVPKRQSVVTSTNNGFTKVNKRKTVAKENHHPNYATQRSMNPGIENGSNAERRQPNPSCASPGSSSTVLIISTTPSPMILDGSNFESRACFSSKVAPSSRLFNQVDLIGDTLCGSSSVTGVALTHKISTGDNVNLKTSWKCDLTPLERRMRFVDLSHRGVDTQQRIILDKRLTDRCKDNTEKHQCPVTKVSVPSLEEIDVPVPGSFYKRAELEHSRVRLDSSRTSSNYEFVNMVRELGREHGS >KZN06849 pep chromosome:ASM162521v1:2:36332928:36334307:-1 gene:DCAR_007686 transcript:KZN06849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISSIRKRTVVSSRPVPSGKTCQLSVLDPIMEKNNVRVVLYYKNLVKIDGGGIMRKLTDSLAELLFNFPMITGRLGKMPEGHWIIKCNDAGVRFVEGEAEGNVEEWLRNVNREKELQLVHWEEMFHKPYFWSPLYIQNTEFKEGGLAIGLMSCSHLFADPICATMFLKAWTETTLGQTMTSPPFFHPLPPRRHANTNQTHRHHHELISRYKKLISSSPAPEPQCVTTVTLQFSHQMATACVAMHQGLTLTPFEALVALFWVAISQVKGSKSGLINMSICSDTRKALGLDKGFFGNCMIHNKVNFPSPLDHNESKVLKAGTTIKNAAKKMDHEGIMDLVEWLEHNNHESALLSIDKWS >KZN06046 pep chromosome:ASM162521v1:2:29598720:29600201:1 gene:DCAR_006883 transcript:KZN06046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKNFKTCFPFVVSLLILIAVVSPLPAAAYTNHTVGAAAGWFFNADTATPAAKYSDWAATQTFNLGDYLIFKTSTNQSVIQTYNETTYKNCSIDYSEDDDTFSFTSGNSEFNQSLTIAIPLTINGTNYYFSDSDDGVQCQQGMAFEIDVKYGSGLPPSLNQPPPPAYVEPSPPLPDVTTPPPSTGFRTNLPWLVSVITLCGMVVL >KZN07033 pep chromosome:ASM162521v1:2:37829751:37836066:1 gene:DCAR_007870 transcript:KZN07033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSVGFNQQSPEGESRCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEVDTHIPNYPSLPPQLICQLHNLTMHADVETDEVYAQMTLQPLNPQEQKEAFLPSDLGSASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPVQELIGRDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQAFMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRSSPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFNGMKDDGLGMNYPLAWIRGDSGAQGFQSLNFQGIGVSPWLHPRLDASMLGTETADVYQAMAVAALQDMRAVETSKQTVPSLLQLQQAQGGSSRSDSLLSNEMMRQSLPQPQYLQNLGENLSVAQTQSHLLQQQNSFINQLQQQQQQDHQQQQHYRQQLQQQQQQQQQQQQQHQQQQQQQQQHQQQQQKQEQQQQPHIRSQQQQFADGRQVSGVVPLLSQFTSHTQSPSFQTISSMQQSFSDSSTNPVPNSVVSPLHSLLGSFPQDDTSQLISFPRSSSLLTSSGWPPKRVAVDPILSSGTRQGAMPPADHLAPLHSNITQCNVSLPPFPARDCSIEQDGSNDPQSHLLFGVNIDSTSLLQNGISSLREVGSDGDSTTIPFASNYMTTAGTDYSLNTMTPTNCIDESSFLQSPDDAGQANPSSRTFVKVYKSGSFGRSLDIAKFSSYNELRSELAHMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKQGIELLNSVPVQRLSSTSCDNYASQQDSRTLNAGIASVGSLDY >KZN06241 pep chromosome:ASM162521v1:2:31292494:31295009:1 gene:DCAR_007078 transcript:KZN06241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAPKKVTIHVTGFKKFQGVAQNPTETIVTNLKNYVEKRGLAPSVTLGSCEVLETAGDGALPMLYKVMESGLSGEYGSNNEQVVWLHLGVNSGSVKFAIEQQAVNEATFRCPDELGWQPQQLPIVVADGGITQTRQTCCSAEAILQFLKKDGHAVTLSDDAGRYVCNYVYYHSLRFAEQKGHKSLFVHVPLFSKIKEEAQMEFVAALLEAIASTC >KZN07421 pep chromosome:ASM162521v1:2:40733187:40735447:1 gene:DCAR_008258 transcript:KZN07421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNNVIGTINFVAMLLSIPVIGSGIWLAMEADNSCVKILQWPVIILGILILIVALAGVIGGFWRVQPLVIFYFIGMIILIILLACLVVFIHMVTARGSGHTEPSRSYKEYHLDDFSGFLRRRVRGSYKWDRIRSCLSSTSMCAELNQSYRMAQDFFNAHITPLQSGCCKPPTQCGYTFVNPTYWISPINNAADMDCLQWSNEQTQLCYACDSCKAGLLDNLKTEWRRANIILIITLVALIIVYLMGCFAFRNAKTEDLFRKYKQGYT >KZN04758 pep chromosome:ASM162521v1:2:15409832:15410101:-1 gene:DCAR_005595 transcript:KZN04758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLNTEVAAVGGATVTVKTGSGDWLPVSWFPRAEKVRSQATXVGGATVTVKTGSGDWLPVSWFPRAEKVRSQATGACSSGRDLLGSCG >KZN04123 pep chromosome:ASM162521v1:2:2323938:2325420:-1 gene:DCAR_004960 transcript:KZN04123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIVKRRRKISDPAMADDLIGNLPMHLISCILDRIPIIDAAKTSILSKTWRNIWRSRSDVNLGRPFMNQLVSGKDRDEWDYIYSRAVKRILLAHEGPIVKFVMHKPPLTFFKPYYGSWMSMMASKGVKHISMISETKFPLDDMPSQFFSCSELVYLLLVRYSLNAPPNFQGFCNLTSVYLISVTFLADMSFGPQLTALSLIFCWGIQHLVCQFTRHNKNLNKFELVRSEKQEVFWAIMYDKDYSLTSTKERINLQRFLCSTPEIATLILDSFCLTAYIYASASVQFLDPEQGMLIRLKTDMENMKKLVLHNVDFYDLRQISSMLCLVRSSPNLQSLKISVGNKGKRLKPVELAAEQYYTSLDCKKMVLHQHYTVEIGEAENSKAEILFRDYIRASSPSLKRLYWHSKVLKEFDYKYFSF >KZN07553 pep chromosome:ASM162521v1:2:41785822:41786994:-1 gene:DCAR_008390 transcript:KZN07553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEGNSRHTKMLKRTQTERNTSSTYTYSSSDEDLTPRKRKLLQNSDLPGSSGKASGERKCTKKNRFRASQKKITTVSVGMHDLEKFSKSLIEDLKVESKKMLAQFEKDLRNVTASNSTGNTTNNRGGLNTSQARSSQAPVQLNPHSEPQQGQRMISSSTRSFIPPAGQQIQGSVFASNTQFYPRRESSLVNAFNQRRYSFNLPAPSSNQNASLMGYGNLSTLHGNSIHTSGMVPGFQPTLDQSLGNTSFHIPNQTLAGNSSGGVDTLGWNVNRAPAMSSGSSHAVSNSVLTNNFRANDFYSNANLGADEGRLPMYGFFQ >KZN06032 pep chromosome:ASM162521v1:2:29451315:29451767:1 gene:DCAR_006869 transcript:KZN06032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGMVIQVVNLQAEISYLQAHRATLEIPTPPPVPPVQAPLLGAPTLSISDLPAALPTNYEWSSLFDPMMQQSWTMQQPPRPLDLQPPRPVDPRLQFGSIGIRAPGDMMPGVSGGVGGDFQELARELFQRHNSPQQPGSDPSTLPPHSRLN >KZN04189 pep chromosome:ASM162521v1:2:3458191:3458702:-1 gene:DCAR_005026 transcript:KZN04189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHWPDASGETNSQGVTGGVYPGQATKAGDLGGNSERKRRGRSGVEEWSTHQTHDLKTAGSFPSFASGPVLGKRKLFKALKGEGKYLGKVKKAVSKPATDLENRSPPFRVKKGVGALRHKPYYSSNSVSSPPQGKEL >KZN05625 pep chromosome:ASM162521v1:2:25712341:25714895:1 gene:DCAR_006462 transcript:KZN05625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWLSAVKKALSPEPKEKKDKKQHKSKKKWFGKNKSIDAGFPQPTETAAAIPDPSPSAEEVKLTEAENEQSKHAYSVALATAVAAEAAVASAQAAAEVVRLTSTARYSGKSNEETAAIKIQTAFRGHLARRALRALRGLVRLKTLIQGQSVKRQATTTLRCMQTLARVQSEIRTRRLRMSEENQALQRQLLQKHEKEVDKLRSPRMLRNSSKSSNPTFMDPNNPHWGWSWLERWMASRPWESRSAIDRELNSDRASVNSATSHAISVGARRDLNFGNNLLSPAGKKLSRPPSRQSPSTPPSKAPSSSSVGGKMRPPSPRGSNCGTEDDSRSFRSVQSERWRRHSIAGGSSAGDDESLASSPAVPSYMEPTQSAKARYRLPSPLGMEKNRTPDKQSPAATAKKRLSFSSSTVGPRRHSGPPRMDSSSVKDIAMDL >KZN06894 pep chromosome:ASM162521v1:2:36717081:36717485:-1 gene:DCAR_007731 transcript:KZN06894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLRLHDGGVRLELTPPTCSLAIDVSESPEMRIHRLISENPVVIFTRSSSCCMCHVMKQLLSNIGVHPTVIELEDDEVTAAGENAPAVFIGGTRVGGFESLVALHLSGHLVPKLIEIGALGSLNRNRMMMMVL >KZN05379 pep chromosome:ASM162521v1:2:23224669:23227077:1 gene:DCAR_006216 transcript:KZN05379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFVLFQPEMCLASVQFHGSIKPGFQGDQMHWIDNDGLFLLSNNSDFGFGFITTPDVTLFVLAIVHVSSSKTVWSANRGTPVKNSDLFRFNESGNVVLQSGESVIWSTNTGKKVVSVMELQNSGNLVLVGDDGSVVWQSFSHPTNTLLSTQEFSEGMKLVSDPGSNNLSYFLEMKSGDMLLYANFEKPQPYWSMGKDNRRTINKIGAGVTSASIDGNSWRFYDKDKVFLWQFIFSNSVTNATWAATLGSDGFITFYTLESGTSSNSSPTKIPADSCSRPQACDPYQICGSNNCQCPSVLASKPNCKPDVVSPCKSSKGSVELADAGDGLGYFALGFVPPSSKTDLNGCKSSCLDNCSCLLMFFENKSGNCFHFDHVGSLQSSKESGFVSFIKISSTNGDGGGSSSGGSSKKHVMIVAIIVVITTLVILVLLFAGVRYYKKSNDLPESPKVDSEEDNFLESISGMPVRFTYKDLQVATKDFSAILGRGGFGSVYEGILKDGTRLAVKQLEGLGQGKKEFQAEVSIIGSIHHLHLVRLKGFCAEGAHKLLVYEFMANNSLDKWLFKRNKAEKLDWDTRYNIAIGTAKGLAYLHEDCDVKIVHCDIKPENVLLDDNFLAKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNYDASETSEKSHFPSYAFKMMEEGKLTDILDENLEIAKTDERVMVAIQVALWCIQDDMSHRPSMTKVVQMLEGLSPVPPPPMSSQLNSRLYSNFCKSISEEGTSSGPSDCNSDAYLSAVRLSGPR >KZN06199 pep chromosome:ASM162521v1:2:30918700:30919674:1 gene:DCAR_007036 transcript:KZN06199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPITIPPNMDETPYKLLEVNVISAHDLPCTAKTLRTYVSARVNDDHNQKTPLDHKGHINPSWNHKMVFRVDEKFMRSRSSAITFEIYNVAWLRDLPIGTTRLPIQNVLNNNSAMKPMALPISRPSGLLKGTINVTINIVDKDVDSEAKQKVKDKSCQSLEEIIAQKFEPSKDLENVQDFKLMLNEKDSKVVKKTRRKRCSSKSTESGTLRPLPSDIVAALNETSRVNSPEHQSFARSATFDNNWMAGVDDESTKEQTKMNSFDWDESDDGKHKKKRPHHQHHNRRHSDGGGLLLCFIKGFEFTFACGGSSRVKKNKAEMVAGG >KZN06814 pep chromosome:ASM162521v1:2:36039591:36042183:1 gene:DCAR_007651 transcript:KZN06814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAAPPEEDIHLSTSLASYLDKKLLILLRDGRKLLGILRSFDQFANAVLEGACERVIVGEIYCDIPLGLYVIRGENVVLIGELDLEKEEIPQHMTRVPPEEIKRAQKAEREASDLKGSMRQRMEFLDMD >KZN06427 pep chromosome:ASM162521v1:2:32833686:32838600:-1 gene:DCAR_007264 transcript:KZN06427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGIKGLVEAIHATPTQAVLYFSGGASQVVGWLMSVPGASSTVLEVTVPYSRMSMIQLLGQVPRQFSSRETAEQMALLAYNRALKLSKPGCPVLGVGFTGSLASTRLKLGDHRFHLSTRTSSRLSVSSVTLSKGLRTREQEERVSSLVLLKAIGNACEIPITIGSELTDSEVPDNLEKQFQEDEELQQLIDGQICFKVYPFSSEKDASNVERRIILPGSFNPLHDGHLKLLEVATSICGNGYPCFEISAINADKPPLTVSQIKNRVEQFQKVGKTVIISTQPYFYKKAELFPGSAFVIGADTAVRLVNPKYYGGDYDKMLEILLGCKNTGSTFLVGGRNVDGAFMVLEDINIPEELRDMFISIPAEKFRMDVSSTEIRNSRGM >KZN07233 pep chromosome:ASM162521v1:2:39317169:39317895:1 gene:DCAR_008070 transcript:KZN07233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQEMGFEYTSLSRSWSFGRKRVFVANHMEVDSCFTTPKKKHCRGDSVLDSEKSALDSLPQDILIRILCGVEHDDLKTLFNVSNSIRAATVIAKEWHFAYSTPTKKLAFRDPVEFENSGDTYEVEAPNAPKQSRVAQSRLNGKNQLSLSRRLFMELETEV >KZN06493 pep chromosome:ASM162521v1:2:33349462:33352347:-1 gene:DCAR_007330 transcript:KZN06493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSGAVNAIKSSRLLSWKPIGKLQQTIANSIQLSGITLHSGKITTVKIYPELAQKGRYFYFNSTIIPASIQHVNSSPLCTTLCKSNHTIRTVEHLLSALEATGVDNCRIEIIDPSSQDNLSTEVPIFDGSAREWVDAIERVGLKVAEDSSGSSCEKLAPFLNEPVHVRKNDSFIAAFPLPKGCLTYGINFPQVPAIGCQWFSTASLDDPWYTREIAPSRTFCIFEEVQTMRNAGLIKGGSAENALVCSADKGWLNPPLRFHDEPCRHKVLDLIGDLSLLAQNGNQGLPVAHIVAYKFSKEPCTVVPGKFQHSAASDQLTNLE >KZN07176 pep chromosome:ASM162521v1:2:38846236:38849448:1 gene:DCAR_008013 transcript:KZN07176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRIQGCIYSLCLKQLEVELEEKNNGKISELDFISQVYCLDDPKTQKLKEDIEKALASQPTEPEDEEMTDPPPSPNTLKMNQRRKALSLIIQVRPPKKGKAILFPRHPVTEVLGAYEAAKFASTQPKQNSTPSQLSTDSVDLVVRVSAEVHRMVRSLEMREVPRSFLNEQMHRLADEAFPDRDDLMQQESWSLYMRLATAFVVDALKMNDKVILEAGPILCMLIDL >KZN07184 pep chromosome:ASM162521v1:2:38931017:38932100:1 gene:DCAR_008021 transcript:KZN07184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMDKLWDDVMAGPQPERGLGRFRKIKTKTNGEGEGSSSLQRSLSTPTSPEAPATPTTPTTPMSPGLGPVHRDNVWRMTEPRSNPATRGIGSQVYDKPEPNSPTVYDWLYSGETKSKHR >KZN04714 pep chromosome:ASM162521v1:2:14994400:14997441:1 gene:DCAR_005551 transcript:KZN04714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIYTWILYSVYIFSNFKDALLDSAITNIPRSRKTTLVLYVNEFGAQGDGFTDDTEALEEIWKVACSSSLRAEIVIPSQYSVLVRPIQLAGPCRSRVTITILGSILAPKSPDVWDGLNKQKWIYFTGVNNLLVQGHGVVNGMGREWWVRSCKVNTTNAMTFHRCENLKVRNLMIIDSQQMHMAFTSCVKVVASRLRVSAPVASPNTDGIHISASTRVEVKNTIIRTGDDCISIVSNSSRIRVRNITCGPGHGISIGSLGKSNTWSQVHDVRVDGAHFLNTENGVRIKTWQGGSGFASQITFKDIWMENVSNPIIIDQYYCDSRSACQNQTSALLVKNISYIHIKGTSATENAIRFACSDTYPCQGLYLEDIQLVPESGGVSKSFCWEAEGSSSGQIYPPPCFSCGGSFIDQKVRSDPMLKSI >KZN04857 pep chromosome:ASM162521v1:2:17345336:17346912:-1 gene:DCAR_005694 transcript:KZN04857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQTSSWFNVENVPQNYIFPEEDRPGSLPIPVCDAIPVIDLGKSPTEEIMKACREFRLFHVTEHGVPEAVISDTMRVVKDFFELPEDEIAKISSEGGCVYTSSNCFAKDGAHLWRDCLKHPCHPLEQCIPYWPQRPTEYRDALAKYVGEIRKLSLKILELISKGLGLEAGYLGALSEVQILTANNYPACPDPTLTLGLLRHCDPSLITILYQGDIRGLQIVKDGQWIGVEALPNAFVVILGNQLEIISNGKLKSTEHRAVTNPNETRRSIATLINPSPNCIVKPADVLVNDLSPSLYEPTLYKDFVLRSKGFGAFTKSIQSYIKSET >KZN05295 pep chromosome:ASM162521v1:2:22274426:22275681:-1 gene:DCAR_006132 transcript:KZN05295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWEHLSCRQNKHRVDVNAADHTGQTVLHWSAVRGAVQVAEILLQEGACVGAAYMYGYQRGHQNSLEWMPLFLTFMTLGVIKHPDISSVLGVVYIFSRYFYFKGYSTGDPKKRLSVG >KZN04687 pep chromosome:ASM162521v1:2:14714533:14748333:-1 gene:DCAR_005524 transcript:KZN04687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADVEWCKEVKGNMYDMVVEGFQLLSRWTARIWEQCAWKFSRPCKDPISTEPPETSASYTDYEKVVRYNYTAEERKALVELVSYIKSIGSLVQRCDTLVADALWETIHSEVQDFVQNTLATMLRTTFRKKKDLSRIISDMRTLSADWMANTSRPELELQSMQHEREEGKGLIFYPRAVAPTAAQIHCLQFLIYEAVSGGNMRKPGGLFGNAASEIPANDLKQLETFFYKLSFFLHMLDYTDWFSLEHDPTFTTSYVVRVVACLMQGSGLQKILYKFWYWSLVDAPSPLVKEVTVTTLTDLGFLWFREFYLESSRVIQFPVECSLPWMLVEYVLESQNAGLFESILMPFDIYNDSAQHALVTLKQRFLYDEIEAEVDNCFDIFVLKLSESIFTYYKSWAASELLDSSFLFALDNGEKFSIQTMKFTTLLKMTRVKELEKLLDVLQLAHELLSKDLSIDSFKLMLSETQENISPVSYSSRLALQIWTEMQNDFLPNFILCNTTQRFVRSSKVPFAPVQKPSVPYAKANFYCGTQELNSAHQSFARLYSGFVGIPHVLSIVRLLGSKSLPWLIRALLDHISTKITTLEPVISGLREALPKSIGLLPFDGGVAGCKRLVKEHLNWQSKSELKADGVCGIKEIGTVLYLMGLLDIVLREEDTAHFMQTAPWLGLIPSVDGQILQSQGSGDSPIVTLFKSATAVTASDMGRSNPTSLHTISKQAEAADLLYKANINTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITTSKDFYRIFSGLQFEYLEESVQVPPTNHDMLGDSVAWGGCTIIYLLGQQLHFELFDFSYQVLNVAEVEAAAITQSHKNPHVGQGWEALLEAMKKARRLNNHVFSMLKARCPLEDKQACAIKQSGAPLHRVKFENTVSAFETLPQKGV >KZN05912 pep chromosome:ASM162521v1:2:28302305:28302490:-1 gene:DCAR_006749 transcript:KZN05912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKAAAEANGAGSKTSKTAAWNTSDDRNKKKKNEHNNSGGTMKSDSNADHTSVVFTCLSA >KZN07179 pep chromosome:ASM162521v1:2:38862866:38863423:1 gene:DCAR_008016 transcript:KZN07179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKENAAESSKAVAASLNGEESSKTLQPCGSGQNVRYRGVRKRPWGKYGAEIRDPETQIYIWLGTFDTAEQAARAYDAAARELRGDKAITNFPTPEANQKSTLESSGRERFAAALNPYLAAHNGGVGSSLGYGSGYGLPFTYPEFRANLIASWSDFVSSSSRVDVNPARKGLDIDLNLPPPSDY >KZN05956 pep chromosome:ASM162521v1:2:28678985:28683740:1 gene:DCAR_006793 transcript:KZN05956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTVKLNGHPAILPPQSSFYRRGKNPLHGFTKNDSCISLGGGSKLLRLRCVSEKFEYGHDSDDSLDHAFKSTQKLKQEESSFLDILKGANRILPHVVIASTVLALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSAKDFLEAFNRPSAIAAGYAGQFVLKPFLGYLFGALSMTLFDLPTSLGAGILLTSCVSGAQLSNYATFLTDPQMAPLSIVMTSLSTATAAFVTPILSLLLIGKRLPVDVKGMVANILQIVVAPVMAGLLLNRFFPQVCGAIRPLLPPLSVFVTALCVGAPLAININSVLSPFGMSIVLLVTAFHLSAFVSGYVLSGIAFSKMPDVKALQRTISYETGMQSSLLALALANKFFEDPLVGVPPAISVVIMSLMGFSLVMLWAKKKEQKLNYSDRL >KZN06967 pep chromosome:ASM162521v1:2:37221545:37221826:-1 gene:DCAR_007804 transcript:KZN06967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHCSSTLLKALFLLSFLVATALIGMSEARQLNENVAHITQTSDSPPSFPNIPFLPPFPTLPKFPQIPGLPPCPLPTLPFPFSSGGTPPPGK >KZN06288 pep chromosome:ASM162521v1:2:31704629:31705256:-1 gene:DCAR_007125 transcript:KZN06288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANQSAVYDDSNDFSGVVMPRRFGRILSFSSSSKWKSHLQVTRKTNKLMVIHFTAAWCGPCRTMDPVIREFAGIYAGVEFIKIDVDELEDVAREYAVQALPAFVLIKKGKAVDKVVGAEKIALQKKIETYMN >KZN07681 pep chromosome:ASM162521v1:2:42703296:42704990:-1 gene:DCAR_008518 transcript:KZN07681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGSIISKQQRLASSKVQENPQNPTPDFINQNFHTTSWEILQLVFVGIAVSYGLFSKRNDETEKDLHSSKFDNAQSYVSGLLQVSSVFDDETDSPSSTYENKVQTWNSQYYRGEPPMVTVAPENPVLDQEKKTTHVGEKTLLLPVRSLKSRVPYPDESSVKGVSLDRSNSNLGSKQFSRSSTTSRTGELGGASTPPPPKIEENVVLRSPIPWRSRSGRLELKEDMENPFYTLPPSMEEANKSNKIESHTFSSQSFRNSIPKSNSPPKNLSPTPSISSDFQSQKVEDLVRRKSFRKSTLPIPPPPPPPPPFFHKSRLSSSTSSDKNDEMFPRKDLKRSVRSVPLKLSGNSPENLKNSSKTEGPEWWTRTSSDASIMNKDDDGDDYDDDELEELSGYANQVVTHNGASDGGPDVDKKADEFIAKFREQIRLQRIASARKSTGQVQKNTIR >KZN04126 pep chromosome:ASM162521v1:2:2378250:2380517:1 gene:DCAR_004963 transcript:KZN04126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAIGSIGDSLSGASVKSYLAEFIATLLFVFAGVGSAIAYGQLTADAALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTLGLAVGGNITIITGLFYWIAQLLGSTAACFLLKFVTAGKAIPTHAVVSGMGAAEGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFSGHWVYWAGPLIGGALAGLVYGDVFIGSYEALPASEDYA >KZN06686 pep chromosome:ASM162521v1:2:35023873:35026717:-1 gene:DCAR_007523 transcript:KZN06686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNNIAANHTPLFHPKFSKLIIFFISTLLLLTLIPLYYPLRAFSSPHPTLPATPSPESSFPPDHANISSIVITEHEDCDIFTGEWVPTDQPPYYTNESCWAIHEHQNCVKYGKPDLNYMKWKWKPDECELPRFNPYQFLELVRDKSLTFVGDSLGRNQLQSLICMLSPVEFPIENSYTSDQNFIRWKYVGYNFTLSTFSSPFLVKTEEAKFNDSTHAGLFNLYLDEFDTKWTSQIEDFDHVIINAGHWFSRPGIYYEKGQIVGCRFCQIEKITDYPMTYGYKKALRTAFRAINSLKKFKGVTYLRTYSPMHFENGSWNDGGDCVRRKPFKSNEVSMEGINLELYMTQREEFKVAEQEAKRTGKRFRLLDLTPAMLLRPDGHPGRYGHWPNDNRTLYNDCVHWCLPGPIDTWSDILLQVMKTEGRRTYEEKLLQSKQDNIGPGSFKFH >KZN04479 pep chromosome:ASM162521v1:2:11548546:11554143:1 gene:DCAR_005316 transcript:KZN04479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVKAALQLLLLGILLAMFRPHCAIVCGVNAIRSGDMESMHHSSKLMSGYVPTNRGNLYTKFEVNSKVIISGSRGGSRGRSDEKAIARVVQLIIGYNQGGPPEVIAKGGYSGIFPESSQAAYTFAMQMSLPNTIMYCNVQLTRDNLGVCIPTVNIDLSTTAAMAYPKGEKTFNINGKDLRGWYAMDYNLADLKNTTNYIQGILSRPNTFDGVYVVTTPDNIIGYNVTRMWLNIEHDMFYNDHKLSAAKYIQDSAQYWVPSHISSPEIGFLKFMGGQVDKNRTKIIFKFLGKEDVEPTNREKYGAILNDLASVKLFAAGILVPKDYIVPVTPDMYSEPPTTLVADAHKQGLEVYAYGFSNDKMTSYNYSYDPVQEYLQFIDNGQFSVDGMLTEFPYTASNSVACFAQSKNFTTKVVKGLIISHNGASGDYPGCTDLAYRKAIADGADIIDCTVQISKDKVAFCLANIDLSAITTALATYVDRSKKVPEIQSQNGIFAFDLDWSEIQALKPTIEIPWKDSGLVRNPKNKNKGKFVTLASFLELAKANKTGGILINIQNAAFLASKKGIGVVDAVTRALTKASFDKPSEQKVLIQSDDSSVLSAFKDKPYQRVLTIKDAKSDAPKSVVNEIKKHADAVVVDRETIVEENSAFFTSAFTKVVDEMHASNISVYVTPMRNEYVFLNFDYLSDPYLQLATYFSEMVDGVMTDFPATAVAFMRNPCSDIQNPKTVLPIRPIEAGDLAKIIDPVALPPAFPPNPPLENSDVNDPPLPPVAKVDDKKAPSPGGHKKKSGASRNVDSWYMIISTIVFGLLA >KZN04527 pep chromosome:ASM162521v1:2:12283306:12283715:-1 gene:DCAR_005364 transcript:KZN04527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSTIPASVLRNLADKLYEKRKNAALEVEGIVKQLTAAGDHDRISAVINLLTNKYTYSPQANYLWWIDWFGSGYCWSHE >KZN06133 pep chromosome:ASM162521v1:2:30429040:30429720:1 gene:DCAR_006970 transcript:KZN06133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLTTMEIFCGSLIKAETSSSNPSPFVIVHFFPFLITFDDLKPLSTTYSQPPLFLPSLTRLLTFPNSTVPFPGPLLSLFPEQHNFLHPSLLRPQFAIIPPACNGANMPPETSLQLPIRFIYIASSLFNHGGTAALP >KZN07699 pep chromosome:ASM162521v1:2:42873005:42873940:-1 gene:DCAR_008536 transcript:KZN07699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFLRIFRTNLLFLFVTKLSSSNSHDNYFLEDLKTLGQCSAVSKRFNALTCLVPTLTIKHLNIAMLCDYCPAILKKFKHIRSLQVTHWSSTEKQMFCEDKAPPNILREAFYKPRNYCLAVVSWKNTFYYNDQDMQSRMLSDVTPKDNEYYESIKSHTRDMICLHHMLVSSIKDHKYLQRVVVTDLKNRAILTLEEDTLAEFKNCNSTNLERVDVHYRYGSLSNLDVPILKNHSRLVLKDVCFNIIEWWEKTMDDHTHKDDDGGIPTGLPGGILLKTVLRSILESPANVEVNDDEAMLEVLSCLKCAGHLL >KZN04443 pep chromosome:ASM162521v1:2:10924124:10927126:1 gene:DCAR_005280 transcript:KZN04443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDEEGEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMEIEGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSVTRWLQELDTHSETTVARMLIGNKSDLDNIRDVSVEEGVKLAEEQGLFFMETSALDATNVQKAFEIVIREIYSNVSRKVLNSDSYKAELTVNRVSLVDNGGDSKKNTSCCSR >KZN06670 pep chromosome:ASM162521v1:2:34888367:34888612:-1 gene:DCAR_007507 transcript:KZN06670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPGRLETLFKNERNHRDWQGRAALFAPAWRIASPRKDIVCALVAVLAQCLQRLGRQLYKRSLLLRIMSYCSFALPVQLT >KZN04374 pep chromosome:ASM162521v1:2:9679856:9681933:1 gene:DCAR_005211 transcript:KZN04374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMKKYTSRTNFIYEKNNFASFVDTKAVEEKEYHRMMEFIKASQLSHAMLSTPTVYHEVVEEIWTSAEFNSEDDNISFSLKNNVHVINCDVMNACFKIPDNTVNSLPSDVQLVNMFYAMNYVLPTDALGKIERRGLRREWSYLCDAFVKSFSGKISNFNAITSLILQMLYMFLTNEYFNFGTLMIHKIGEKLGDRTDRPKNIYYVRFLMMLANHVDNKLVITNQEAKLPSFVQEKRVFKDLLRMNLYPSLEVVYLPIMEAVKEKEVHGFPSTPSQPSSSLLSTIRAVEEAHQQSTQEAQPSKSKSSKPTSGASQKASVVKFKKHKPEGSVVGVSEEEGQGEHKRNPEDKAGEVSDNQPSQSAVSQKTIELNKDSNTSLAVSSQKDVAIENSPHPGTQQKRGRDTPSPIKAYGRKKLKGDKSKHIAHTEISQSQLDVAPKNVESQPPSTSQFILHIHDLTISTVQTQSPTSSVDVELIHTTLVNSPSLDFMEKPLSEIDHHHLDDLLDLSHYIQNQSPQTQLLHQLKASTTYVSTDVPHQLTTAATSTNLPSSTAVDHMVAQTLLGLSEVSSGVERQPWELAKGEGVESLAISSSQEKGEEMSGPLERVSEGEVRCVVSQGEPLMQEQRENERNAGVNEGFSEQEYQAEYRSILDSVSLDP >KZN06274 pep chromosome:ASM162521v1:2:31594832:31595059:1 gene:DCAR_007111 transcript:KZN06274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLWGFPPKQSNHPAPPPPPPKMLGGKSPGRMSKKFEKTKEKASLSMKKVKHGASDGVHWIKIKCHASKGGSFK >KZN07253 pep chromosome:ASM162521v1:2:39430437:39432611:-1 gene:DCAR_008090 transcript:KZN07253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSDPIPEAWKSLWDKWDLRSFIFISLFLQTLLVLVAPLRKRTSRSWIIMPLWSAYLLADWAANFAVGLIASSNDDSTSGSKKSTDADLLAFWAPFLLVHLGGPDTITAFALEDNELWLRHLFGLLFQCVAVVYVFIQALPVKENLWIPTLLMFLAGVIKYAERTRSLYLASASSFRDSMLTEPDPGPNYAKLMDEYYSKKMARLPTWIEMLPEPDRVVKAANIFKPGELTNLEVVQYAYRYFETFKGLVVDLIFSFRERNQSRDFFLARTAEDAFRVVEVELNFLYEVLFTKLPVVYDRLGYCCRCFSFIAVIMSLVLFYHTDKEKFEGFDVGVTYTLLIGAITLDVIAFIMLVFSDWTVVALRKSPDQVTSSTKSRSHRILSWLLKIKTKRLKLDSLPILSRRWGETMSTYNVISYCLNRRPRKRTVLYEYVGLTTFLDEIWYVERIRFYPRLRDFIFEELKAKSLMADDLDTSREICSAKGEWVLRIEDYGCKELLPFVVDVDYDESLLLWHIATDLCYNDEKDKPQNKAYREIAKHISDYMIYLLVMQPNMMAAVSGIGLIRFRDTCAEATKFFKNSNVRLRNSWFISCCGGSIDQEVLLEASRRILAVNTEVPPITVKGDRSKSVLFDAAILAHKLKELPQKEINKEKVDKWYIISKVWIELLSYAATHIRSDSHAQQLSRGGDLITIVWLLMAHFGLGDQFQINEGHARAKLIVGK >KZN04674 pep chromosome:ASM162521v1:2:14535014:14536502:1 gene:DCAR_005511 transcript:KZN04674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINLIDDTALIETTSTKNVLEKDRTILGLRNSASNSIVRQEVIKTSGTHKKRSSRPHVCGNVRMNQENGNVGFTERVKFQENEILGFHCGNKKVTRGCGLKQQESFEVEENVMGKSRESGHLGDIEQERTFRDDENVGSSLKKQECYDGNGFEEEPELMDFTQRVKIQEKVSSRNCCLIGAGNMGGNEKGMLGIDTKQEMNSKTIQVWVARGKVHDNNKTTLKDGKVSTIEMLEVQSENGSGRKIMGTLICYSRKQMEDLRFVNMEEQNKKWCAIYSELGPAVKKEYDGLLDCSHHHHHHSHLDINRKVTAHGILGPVVKL >KZN05801 pep chromosome:ASM162521v1:2:27344042:27345349:-1 gene:DCAR_006638 transcript:KZN05801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFPSLLLYLILTSISLLASPIQSHSSGFTTNLIHRDSPLSPYYNPSKSYYEILRNSIHRSMTRSSHIFSSHPPTIQSPLTAVPGEYLMKISVGTPPRDFLAVADTGSDLTWIQCKPCHVCYHQNIPLFETKKSSTYKKQHCRSKACQAWQPDTSCDHKNFCRYTAQYGDGSVSRGDFSFESFTFESPSGKRFVFPNVTFGCGHYSTGNFDESFDGIVGLGNSYVSIVNQLSDTTKGRFSHCMVPLKFNVSSKISFGSKAVVSGPGVQSTPIFTKNPPIFYNLNLERVTIGGKNLEFETKNYTNKASDGNGNIIIDSGTTITFLPDKFYQKIEDAVKRIIPAKPVKGEQGLRLCYKNEKGFKEKVPTMTFHFTGADWELGGVNMMLDMKDGVLCLAMLPGDFAIFGNVQQMNYLVGYDLDAKTLSFKRTDCRHA >KZN07175 pep chromosome:ASM162521v1:2:38833833:38838138:-1 gene:DCAR_008012 transcript:KZN07175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNANRLDPQRAAESAVVAIGSGYDLTTDLRLSACKVGPSGKGLIEVDRTRSKELVCPGGIVVSDVAASIKCDKGDRTRFHSDVVSFSQMSEQLNQDVSLSGKVPSGLFNAMFDYKGCWQKDAATTKALAFDGWFITLYSIELARSHITLLADVKKEVPSSWDPAALAEFIEKYGTHIIVGVKMGGKDVIHLKQLQSSNLEPTDMQVLLKKLADERFSESENEILTQAPEGKKKETQSVVWDLNPPFAHSFRPPVVSHSKNNDMLSIRIRRGGLDNGQSHKEWLSTISQFPNVISMSFVPLVSLLSGVRGSGFLSHAINLYLRYKPPMEDLQQFLEFQVPRQWAPAYSDLPLAPRHRKFGFPSLKFTFMGPKLYVNTTKVDSENRPVTGIRLYLEGKKSDHLAIHLQHLSTLPETLKLSDDLSYNPANDPIQKDYIEAVKWGVFSHICTQPVQYNGARIDDSASIVTKAWLEVKGMGMKKVLFLRLGFSMVESAEIRRSEWDGPTTSTRKSGVISMLMTSPFSTGLNEPELPPKVDINSAIYARGPPLPKKAPKMSNFVDTKEMVRGPEDPPGYWVVTGAKLCVDGGRIGMKVKYSLLTIMSDETLSI >KZN07329 pep chromosome:ASM162521v1:2:40070122:40071375:-1 gene:DCAR_008166 transcript:KZN07329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIQSSSFLCSHRRITKASINIPKLNMSSVAFPKLHNARGLVHDLELIRNNGYAKQVTEKASPLSSPATSPYVSDPIVITKLYAIMDAVADRVEMHKNICDQRNNWNSLLLTAINTITLSAATMAGIAATTVGSPLLALKMSSTLMYLAATGMLVIMNKIQPSQLAEEQRNATRLCKQLHNQIRTLIAIGNPTARDVDEAMEKVLAIDRAFPLPLLGKMIEKFPGSVEPTVWWPKHRRGQLKGEKIKSNGNGWSEKMEEQMREIVGVLNKNDKADYLRLGEKALKINKVMAKAGPLLTGLAAVGSAFVGSPSHGSWAVVLGVAAGALASVVNSLEHGGQVGMVVELYRSNAGFFKQMEEDIEFNLNESDVERRENGELFEMKVALNLGRSLSELRNLADSSKREGKDFEEFGSKLF >KZN05222 pep chromosome:ASM162521v1:2:21384575:21389368:1 gene:DCAR_006059 transcript:KZN05222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEEVQSTTKKQRIATHTHIKGLGLETNGTAIPLAAGFVGQSAAREASGLVVDMIRQKKIAGRALLFAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEASSLSCIVTELSPEEMESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDAIIKEKVAVGDVVYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKNEITEKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICTVRGTDMSSPHGIPVDLLDRLVIIRTDTYDPADMIKILAIRAQVEELVIDEESLAYLGEIGQKASLRHAVQLLSPASVVAKMNGRDGICKADLEEVCSLYLDAKSSARLLQEQQDRYIS >KZN07256 pep chromosome:ASM162521v1:2:39450181:39451184:-1 gene:DCAR_008093 transcript:KZN07256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKKCPWTREEDMRLVNYISKHGIWNWSQMPKHAGLSRTGKSCRLRWMNYLDPRVKRGNYSEEEDEIIISMRHDGAGWSSIAESLPGRSDNEIKNRWHSRLSKRLASDMVQIMKPYREQIVSDLKAMMNNDDSLIPEASQVEVACEDYPLPSHILNIGGLSSNIAPPTCADPHIRFWRDPYSLENIYDTDEYATYAYPVFGTPKLHDWFRESFYPYYQQL >KZN04153 pep chromosome:ASM162521v1:2:2659899:2660471:-1 gene:DCAR_004990 transcript:KZN04153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSEKCSSSSSSSIADGKHPIYRGVRIRSWGKWVSEIREPRKKSRIWLGTFATPEMAARAHDVAAIAIKGHSAFLNFPDLAHKLPEPASKSPKDIQAAAAQAAAMDDTTTSHETETEAELSGAETRPSEAFGESSSTSPTRRGEDPFLGLPHLFQPNLGPKFGYEFPWSLALAETIDGEIWLDEPLLW >KZN06533 pep chromosome:ASM162521v1:2:33788712:33789749:1 gene:DCAR_007370 transcript:KZN06533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAQLLFLCLFLVFASSCYARNTMFLSGEEVKVGRSLKMIGVDDYSDATANHGHDPRNKPGGGNGNGHRTHDIP >KZN05003 pep chromosome:ASM162521v1:2:18882377:18887686:-1 gene:DCAR_005840 transcript:KZN05003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCIHMLNNSTLDWTIRVRVTRVWPRISSFTDAVRGYNLILLDRHNHRIHAWVHNEVWQSLDGLIVEGGLYEITTFALSNCSAFLRPLSSTRLIRFLNVTTVQPYLDTSLSFPQHDVIGVVENPGQVSTIRTRHGDRRVHKFQVTDGHIFVRVTLLGSILDSSNTLFTANLQAPIVVVLAGVRVRKIPGDRSLDNYHLTASPWSQIFINMESDAARDMRDVSEVNAMFDHLEDLEKSRTDWKIKVRVTRLWPTSNAESGVVKGFNLILLDDDDDIMIPLHKFEILEVGDLIEPGGQSEVDENPEYALDVIGMIEEWEPASRVPTRYGERETLRFKLSNGRFRLLVLADDNSFASTVLLTDRVVKRLEQTTVTNLMNSSKEAPISEMPSVLKNIVGKTVTVKISLSKSNVDGDSNIYRAVDLCEGSVSGKKAAEYSPITKFPSFDQSQTDDYVVCLETPTSSDSVSKKIKMVTYFCTILMHMNA >KZN04063 pep chromosome:ASM162521v1:2:1327883:1328599:1 gene:DCAR_004900 transcript:KZN04063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVAVYLADYGMHHLSEVVETTGDSTFGNMQYLLDRDMGMVFTNPEVEVIQDIGLGEVIDSAPPIIHRKRLRNGSFLDSGVANLYDYHEFGFGSVHGYSHGVAGPSQPVMVGKGKGKNKEYEEFAFWDNGVLSTRAIEILESGALTHYSPAFYDRYLDLEAHVSNGFLVKDILHHACLGTLELISFMLVGNHAPNLAELMPMDNVSPGMGLGTVPEQAVMVAEDVLANKRARRASSI >KZN06331 pep chromosome:ASM162521v1:2:31999444:32000420:-1 gene:DCAR_007168 transcript:KZN06331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRGIFLVTFLAMTSSVLGDGGEGWVNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIKCVDDPKWCLPGSIQVTATNFCPPNNALPNNAGGWCNPPQQHFDLSQPVFQHIAQYKAGIVPVSYRRVPCGRKGGIRFQINGHSYFNLVLITNVGGAGDVHAVSIKGSRTGWQAMSRNWGQNWQSNTYLNGQALSFKVTTSDGRTVVSDNVVPAGWSFGQTFTGAQFT >KZN04164 pep chromosome:ASM162521v1:2:2854962:2857274:1 gene:DCAR_005001 transcript:KZN04164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKLCVSKSLSRPFCNSSHIVGRKAPQLCSCKGNFTKASYLSTSGNGMSLVAKERVMVDTYSFRMNGNNGASPNIYFSKRVLDYLDDEYGGVVINPENLPSNPSVFASVLRSSLSRWMLMGKKGVWLKLPLEKSELVPIAVKEGFQYHHAERRYLMMTYWIPEGPCMLPDNASHQVGVGSFVINENNEVLVVQEKHTAPALTGLWKLPTGFIQESEEIFTGAVREVKEETGIDTEFIEVVAFRHAQNVAFQKSDLFFVCMLRPLSNQIMIDDIEVQAAKWMPLDEFTEQPLIQSDNMFKKIIDICIARLGKKYCGLNVHPVVSKFDGKLSSLYYNIVDAPDSNCQTTSL >KZN06668 pep chromosome:ASM162521v1:2:34873805:34880889:1 gene:DCAR_007505 transcript:KZN06668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIIHKVLNILLPVTSIISAVFVVPPFLLFKLVFSLLRRTCLIEDVAGKVVVITGASSGIGEHVAYEYAKRGACLVLVARREDRLRIVADKAKQLGSPDVLVVKADVSNAANCKNFVDQTILHFHKLDHLVNNAGIAPVCMFEDYEDVSDYKSVMATKAALISFFETLRVKVGSEIGITIVTPGLVDSEITDTEFMSKLKTNFVPLESVEGCAHAIVNGTRRGARFMMEPAWLKPLFYWKIFCPELLEWREDRLQQVAQVARELGAPDVIVIAADVSRIDDCQRMVDQTINHFGKLDHLVNNAGIVSVCMFEEVDDMAAFRSVMEINFWGPVYMTRFALPHLRKSNGKVIVISSANCWFPMPRSSFYNASKAAVTQLFETLRIEFGSEIKVTLVTPGFVESEMTQGKGLFKGGQLQVDQDLVKASTAQVGLTPVISVVGCSKAIVKSACRGDRNLVEPAWIRITRWLKLICPEILDMVLWLCYISKPGASAHESFNKWTADLIKRT >KZN04541 pep chromosome:ASM162521v1:2:12379405:12380799:1 gene:DCAR_005378 transcript:KZN04541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTPHIAIFPTPGMGHLIPLVQFAKRFTSLHKFTATFIIPIGEPLSEAQKIFLDTLPSGLDYVVLPQLKLDDLSEDVKAETRMSIMISRSLPSLRDVLKSMMATKKLVTLVVDLFATDALDIALEFKLQPYIFYPTNAMALSLFLYLPKLDAETSCEYRDLPAPVQIPGCIPIDGEDLLDPVQDRKNDAYKWVLHHTKNYRLAHGIMVNSFEDLEEGAIKALQELGSPPIYPVGPLVQMDHSSSAADRLDCMRWLEDQPRGSVLFISFGSGGTLSSKQITELALGLEMSEQRFLWIVRTPNDESANATFFGIRSKNNPIDFLPEGFVQRTKGQGFVVPNWAPQAQILSHGSTGGFMTHCGWNSILESVVSGVPLIAWPLYAEQKMNAVMLTQGLKVALRPQVGENGLVERVEIATVVKHLMEGEEGKRLRTRMRDLKEAASRALSDEGSSTKAIAQIASKWTS >KZN07498 pep chromosome:ASM162521v1:2:41346049:41346204:-1 gene:DCAR_008335 transcript:KZN07498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFGSFFESQSSSRNSWSYDSLKNFRQISPTVQNHLKQVKSLSLSPPLLA >KZN04885 pep chromosome:ASM162521v1:2:17576125:17578316:-1 gene:DCAR_005722 transcript:KZN04885 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MEKGRLLLFPLPFQGHINPMLQLANILHSKGFNITIIHTSFNSPNKSSYPHFTFQSFSEESLAGCTSLLGNVKDIILLLNLMNKVWFDPFLDCVKRLLSNSSAEDPIRCLISDATLYFTQADADLLKHPRIVLRTSSLSSFHVFRAFPLLLQKGYLSMEDSESEAPVLEAPPLKVKDVSLTFKIEGEALDVMLSGLMSGTKAASGLIWNSFEELEQSVLPNIQQEFPIPNFTIGPFHKYFTASASSLIAQDQTALSWLDMQAPLSVLYVSFGSLAAIDKTEFFEMAWGLANSKQKFLWVVRPGAIRGSEWLEPFPDELLEALPPSS >KZN05042 pep chromosome:ASM162521v1:2:19239835:19252253:1 gene:DCAR_005879 transcript:KZN05042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLQSVVAGSGSGLKDLPYNIGEPYSSAWGSWIHSRGTSRDDGSPVSIFSLSGSSTNDRHLAAGRNGVKRLRTVRHPNILSFLHSTEVETSDGSSTKITIYIVTEPVMPLSEKIKELKLEGTQRNEYYAWGLHRIAKAVSFLNNDCKLVHANVCLESVVVTQSLDWKLHAFDVLSEFDGNNQGASTGPMLSLLPDYQRLLSSTPSRRLNSSKLLETCEYFQNKLVDTIHFMEILNLKDSVEKDTFFRKLPTLAEQLPRQIVLKKLLPLLASALEFGSAAAPALTALLKMGAWLSAEEFNIKVLPTLVKLFGSNDRAIRVSLLQHIDQFGDSLSSQIADEQIYPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTLSGSLLKFLSKLQVDEEPAIRTNTTILLGNIASHLNDGTRRRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDSTEIATRILPNVVVLTIDPDSDVRSKAFQAVDQFLQILKQNHEKTSGGESAGDSSTGMASAPGNASLLGYVILKSSITIFCVEKPDICFNGKQMDKCV >KZN07544 pep chromosome:ASM162521v1:2:41744350:41745072:-1 gene:DCAR_008381 transcript:KZN07544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNIERMLDPRIQYARCLYWGIVFLFCTPPWIWITLSFADQSSIKFSIQEFHIAGVESSDGSNLNQSIIYFKLNLENDYEELGIYYDNLNLTFSYTTQGDIVPLANYTIPGFRQGRDAETDREGYVVTTRGITLQEISNVSVSSNVDFRVDLATAVRFRHYIAGIKSKRLQTMAWCNVEVDRITGKKKNNNAIELQHVVIRHPSAWISFAYIIANLSTLVLVCCLVFICFGVCDRLLQG >KZN07454 pep chromosome:ASM162521v1:2:40961797:40965932:1 gene:DCAR_008291 transcript:KZN07454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLQLSKEASKYKGEEEECTLDGSVDYHGRPAIRERSGRWVAGIIILMNQGLATLAFFGVGVNLVLFLTRVLQQDNYEAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAVFQGIFVIGLVGLSVATNLFLLRPKGCGTPDTPCGSHSSWEVVLFYFSIYMIALGNGGYQPNIATFGADQFDEENMQEAHSKDKGLWALGFWTSAGSAFAALVLFLAGTSRYRHFKPTGNPLSRFCQVFAAAIKKWRVELSPEEENLYEVDGSKDGSSNGARRILHTNEFKFLDKAAFITSVDFKTGLSNPWQLCPISQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVVQGEAMTSKLSNFRIPPASMSSFDILSVATFIFLYKRVISPLVSRFRKTKTESKGFTQLQRMGVGLIIAVMAMVSAGVVEVYRLKYARKDCLHCSGSSSLSIFWQIPQYALVGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTAVMKISTTDHMPGWIPGNLNYGHLDRFYFLLAGLTAIDLVAYIFCAKWYKNIKLESKYDDDDKGKFEA >KZN05414 pep chromosome:ASM162521v1:2:23595261:23598432:1 gene:DCAR_006251 transcript:KZN05414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDYIINPDKIQTFFTQIEEHKNLLTSITDFHKTLATHFTSLSETLAQKSQNLDAQIESFHTQSKKSLELLENRENSIPEREASLVARINEQKGVSISDIESDNVGRNLGELLRMYFRRMDGSGLVKFLLAKRKESVVLRTELGSAVEEAVDVFALVLDVVEEFVGLKSEGGVGMADRRWACGLLVQVVVPLEEGKVGEVMVGSSLKERAMMVLEKWKGMLGGGGESGVGAGEATMFLQIVYGFGLKDRFEEKFLRSMVLEFAGRRDMAKIAAAVGFTGQKMIDIIDELVKSGKEVEAVYFATEFDLTEKYAPVSLLKSHLKNIRKNANSISKNGKYSAAAVDEANNSEMIATKAIIKCVEDHKLESQFPTDNLKKRITQLEKAKAEKKKSASSVSKPSNKRAHGGSSKGGGGVGTSSRPPKAGRISTTSPSFRQRNPSQIHQTPGATRYAAPYSYPNPSLYDVPGTPSYVSAYGGPRIKSPVGLQHAYAAPDVGVAGIRAGTSYAGQGSYGVQSNYAAYDYPPASAYPPTYPQ >KZN06802 pep chromosome:ASM162521v1:2:35942933:35943265:1 gene:DCAR_007639 transcript:KZN06802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLERYSYGDREVSAAAPSGMNTMRSYSTNFASSVNPAPPSFMTGNGQELQMKKAKSNQGSIAKSWSFNDPEMKRKKRVAGYKVYAVEGKMKGSLRKSFRWIKDIVYGWR >KZN05287 pep chromosome:ASM162521v1:2:22182261:22192373:1 gene:DCAR_006124 transcript:KZN05287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVENAEEVSADHLPFARSYQLDAVEMAMQQNTIVFLETGAGKTLIAIMLLRIYAHHLRKPSTSLGVFLVPTVVLVSQVLVMTPQILLNALRHGFIKLETIRILIFDECHHARGRHPYACIMTEFYHRQVESNNLQLPRILGMTASLINTRGSSEETGYWKQISELENLMHSKLSAPPTTDVGQLLTVRTSVNDEWLLHVFTCSSDSEMAEYIATSTPKLKIYRHVDIPRTLSENIAMELKTLKEKFQCDIEKANLEEFQKENTRQRLSKLCSTVSFCLRELGLWLAIKAADSLSSPESEMVVWEKLHKSGERILTDFCSDVCKVLSGYMPSDPNWSIRDDMEANVLDGYLSTKVICLFESLLERRELEDLRCIVFVKRVITANVLCRLLNVLLPDLTGWKTEYMAGNNTPLQLQSRKAQNKIVEEFRKGTVNIIVATSILEEGLDVQSCNLVVRFDLSATVCSFIQSRGRARMQNSEFLLLVKSGDKTSLARVDNYLASAQVMRQESLRHVTVPCQPLDTKIYNEVFYRVDSTGAIVTLSSSVSLIYFYCSRLPSDGYFKPFPRCKIDKELGTCTLYLPKSCPLPSVTVSGNAKTLKQLACLEACKKLHIIGALSDNLVPDLVEVKDAEEMGHLEVHDEHDSYVPSELVGQVLQNGVKTYHCYVLQLERSFSYDIKMDHLILAASNELNFDDGKNIAFELEVDRGSLTVHIKYAGTISLTSKQGLIRNEPFDPKLWIIPGDQMEAFHEVQLCSATNVFTKGVRKIKSKVVADVVEALIGLFLSSGGEIAALSFMNWIGIEVDFSNIPYNRSFSAHPEELLNVSSLQSILKYSFSDASLLVEALTHGSFMLPQVPQCYQP >KZN06661 pep chromosome:ASM162521v1:2:34780788:34785298:-1 gene:DCAR_007498 transcript:KZN06661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSQGGASRRSSMSKKKASETTTSRKSLTTSRSMGLSGERTVKRLRLSKALTIPETTSVYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNLEETPVSKVMTRNPVFVISDTLAVEALQKMVQGKFRHLPVVEKGEVIAILDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGGSTSGPNTFIETLRERIFKPSLSTILSESSKIVTVMPVDTVLMASKKMLECQTSCAIVTVDEKPQGILTSKDILMRVIALDLPPESTLVEKVMTPNPECATVDTPIVEALHTMHDGKFLHLPVLNGDGIVVDVIDVLHITHAAVTTPALTSITDKAQAYLTYEGSRMDILLFTYSMGSTAEVNNEAANSMMQKFWDSAMDSNTVDDDETRSESSLKLLSEATETARPLPYPSTTVPTAFAFKIQDRKGRMHRFTCDTRNLTELITAILQRVGDDIDRSNLPQILYEDEDHDHVVLASDSDLVAAVEHARSAGWKGLKLHLDYSGAPRRRRGSGSGSLDYAQPDAWASAYSSVAAGAALVAGLGVLAFLRRAGN >KZN05609 pep chromosome:ASM162521v1:2:25598920:25601322:1 gene:DCAR_006446 transcript:KZN05609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARRRLLSSPTILRRLFPLYREPHHFLSSVSHPSDVVVHTQKQETLTTDKTIPNTLNSHIPQHHLEKPISKIPTLSQELTDPTRITDPIDPTRLSDALLTYRNDPDSALNYFKSVEMRCSSVFWNGPFCVLFHILASSEAHHNILRKKINALVCRRRGFVGPGIVDQLVGVAKRFDFELNSVVFGEFLFCFINACRFGDAVKCFGRMVENDVVYFNRDVSFLLSRLIDNERFSVARGLYDKLVGRGTHDCGMLLHIVRACENEMKFRDAGNYLKAAIDNGLKPDVELYTRVIFVVCKGPDVNFAYELCNEMKEAGLTPSKEIYMYLLGAYVNHRDMVGALKVKDDMVACGVPLKLRVAERLMKGCILVGNLDSAIGLLEEIVRDGLAPKGRTYSILIRGCCEHGNMKKAKELYSRKKRLGMKPTVFNVNSLIRGFLKANLWEDAVKVFRVECDVINVLSYSLLISWLCKEGQMNKACNLREEMFVKGLVPSVVACRNMVRGLCKVGRTSEAWNTLKKLLKDGISFNRKMYNNVLIGFLKENDFVSTLAVVSSEVRESGFYPKISTYKTLIDGLIECNSINLAINLMNDMRNNSLKLGVTSYGVLIDGFCRCNDMGSAQEVFDELIRVGLSPNTVVYNCMVRGFMHVDNLDAAVTFHKKMIDDGIPCDLETYTILLEGLLREGKLLPASNLYTEMLGNGIKPDVKTHSVLVNKLCNRQQLDNARQVLKEMKSTSIVPTVLIYYTLIAGYFREGNMQEAFDLHDEMLDSGLSPDDTTYDILVQGKVSGDGSLANRYAPVDQ >KZN05982 pep chromosome:ASM162521v1:2:28900490:28901569:-1 gene:DCAR_006819 transcript:KZN05982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIYMICIVISLPPIYACGYCEPRPPYRQPNPPTHPRPHPPEHGGGGPGGKPPGVLPPIVMPPPVTNPPGHIPPIVLPPIVNPPGTIPPPSSSYPPYTSPPGSGGGGGGGGSPGSGGGGHGGSPGSGGGGGSPGSGGGGGSPGSGGGGGSPGSGGGGHGGGGHGGGGGSPGSGGGGHGGGGHGGGGGSPGSGGGGHGGGGGSPGSGGGGHGGGGSPGSGGGGGSPGSGGGGHGGGGSPGSGGGGGGSPGGGGGGPGCGGCGGGPGLVPPPATCPINALKLGLCVDVLGGLVHIGLGDPVENVCCPVLQGLLELEAAICLCTTIRLKLLNLNIFIPLALQVLATCGITPPPGFVCPPLV >KZN05088 pep chromosome:ASM162521v1:2:20108384:20108554:-1 gene:DCAR_005925 transcript:KZN05088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIIIKISSFHVYRGKLDNYQQLKYPKNSAGPTPGKTVLRIEKVKTRKVSGIFKLG >KZN05195 pep chromosome:ASM162521v1:2:21033446:21041857:-1 gene:DCAR_006032 transcript:KZN05195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDLPLLEISGEDDSLIQQTPTSLNDAVKVADSFFSLSPMQLPISPIKLFCRVIKYNCAESMENNVAPKRPSCLSPKAINKENMNFNKAEGPKLTPHQMKRRKRGYNLRKSLAWDKAFFTEEGVLDPDELSMISGTYGNSCGEALSAISEERTKSPYSSSKYRFVSENLQASSANKNINNGAMLLPRRNLVAAESKRPAHVHNTKSAKKDSHLPKIPDYKSGLHSLPRTSKISMLGTSQLKHNPTVITHKNLSLEGSCKIVKSTQNKEKSVSKCTQLPSKASVQPSKENMVNTSSEVNVLTNAQTSHSRKLTRISEPNQNTVIPSSTLLSGEGLNRPKEVAGLLPQRTSITVGNESQLQKMKPSGLRMPSPSLGYFGQNNTQPSNIPKCTAIGSRKFVRDFRAPHAPSNKSMDIPENSVSAQCSELGPSKAAMHKAIKSDLMVSNMKNVNITLVPDKSSESKRSKPDMHGDFVTEETVGRHGKQKYINDITDLLDTNIQITNNLKVLKSGTCEKSREDNHGRRISTHGNFTLTSEQFGEESNLSSLDTMFDPCFMNSVEAYRKDKDASTSTRKQAERGTEPNCTKITQHNPVPFCNDWLASVDGANEEHDEPFMQLPEPVKLFACDANQGSYVEIHSLGCDISKDTSSNMEDNDVKALSSCGTERKSNEFLCKSKHSGQENEATTIVHQLSDSTDLTGQLQDHNDIERKSSILMDNLELQSPCLRVECYQFNSQFYSKEFRGEKVNTSDEFVIQERSRGSKSERNCSEVIFALSPAQNQDAEFDITLLTEQPSLKAQFDLVEVSPAVDIQNCSTDTQFRHEVLPSDNTTSLNAHKPFLGEVCGSLVQCDQALKHESDESVAHSSRDNAIMECVADESWLDSTGEGKTVDVSQNDYSGRIVEESLMLRTSNNSEFANLESAHEDTNGIDNLSSKSCIQISHGQHMNIIATSEQFEEEQNLSTSGIVSDKCSGNSDNTYVNDSDATLSMKHSESGRKCNSLIVPQPDAVPFSDEWLAAIEAAGEDILTKKSGAVQNSPTDKSLPEPSPWSPVKRKINEIGPFDCTKCINTQPADL >KZN07673 pep chromosome:ASM162521v1:2:42604890:42605063:1 gene:DCAR_008510 transcript:KZN07673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQCATCSGICYTGSLEVCDSNFQRRRIEQEGTRSARKFCDPSIPAISFFQPVKLN >KZN04489 pep chromosome:ASM162521v1:2:11708068:11708593:-1 gene:DCAR_005326 transcript:KZN04489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIVSSKKKNLSALVVDDDTVSRMVHVGYCTRYNFETNAVENGREAVDLVRSGRQFDVIFMDYSMPVMNGIQATRELRAMGVKTMIVGIFCDLNFLREDPFQAGMDRVYEKPMTDEIVISVRQALLNNYNM >KZN04226 pep chromosome:ASM162521v1:2:4386699:4388180:-1 gene:DCAR_005063 transcript:KZN04226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERQTPSDTHAREASETALSVREVSAHTDPALFEEQMAKLRAELARMIAENEKLKGAQLVTLQQKTEERPSSSYRDELKEEIHDLAVEMRSNHELYMSKFETIDSKLDQLLRNSNKSDDQPSGEDPSTKGENRDKGDRDDRGNSSNQSNKGNTTSGSDPDKESDKSKGKEPLYQSDNVFNSDSYDDYPNDMDDDDIFDATYRQAEEEGKFDEGYLFQDEEPVDLEHEENVRKFKAENEARKRKLRDFQKLLEDKLITEEQIKIEKQKIYDAAIKQKNLDIRRKEGKSWDIARRIFNGPQREPFDDNKFLSLIYDLREVNPDEDVFMHAFALELNYVTVGVNNLLEQWELIVYTQRNGSFRLSVESLKSFSVSELWVLQNKVKCSSNLNELLRDKLLELAVFNSPQVARNPYCVKFVHKEIFSTVYLNEEALPKYPAKQLALASTLLRTKGFASKAKSDADDVILAYCTRKNVAQYFRRMKNVTKSQPSDFR >KZN05444 pep chromosome:ASM162521v1:2:23912783:23915643:1 gene:DCAR_006281 transcript:KZN05444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWGSASSSVNQTPYSTGPISSGGISQTISTTTSSGGSNVSGNSQFSASSGGSEVCPSGQILPTPNLRVFTYAELKIATKNFRNDTVLGEGGFGKVHKGWLDDKGGSSRAGSGSVIAVKRLNSESMQGYEEWQSEVNFLGRLSHPNLVKLVGYCWEDKELLLIYEFMQKGSLENHLFGRGSSVQPLPWGIRLKILIGAARGLAFLHAAEKQIIYRDFKASNILLDGSYNAKLSDFGLAKIGPSASKSHVTTRVMGTYGYAAPEYMATGHLYVKSDVYGFGVVLVEMLTGLRALDTNRPSAQHNLVDWIKPYLSDKRKLKNIMDSRLEGKYPSRGVVLIAQLALTCLATEQKTRPGMKQVVEMLERVEAVNEKPRPPRVHSSHHTVSRNGQNSATYRSPHHTRQDGNRGSQLPSR >KZN06649 pep chromosome:ASM162521v1:2:34702420:34703868:-1 gene:DCAR_007486 transcript:KZN06649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSVLHGYYTCYKKKLFSLHFPRSYPLVGTLLAFYKNRRRLIHWYTDLLSESHSQTIVVHRLGARRTIITANPDNVEYILKTRFDNFPKGKPFTEILGDFLGSGIFNVDGTLWNSQRKLASHEFSTKSLREFMVNVLEEEVENKLFPLLEKVCENDVVLDLQHVLRSFAFDTICKVALGIDPCCLDDSKPDSKPAQPLASAFDAASEISAMRGAATVSAVWKMKRALNIGSEKKLREAVAVVHGSVDDIIRVKKKDMDSGGEEARDLLSRLLSAGNDDEMVRDMVISFLMAGRDTTSSALTWLFWLISINETAKQELVNEVTSLDDLDFEKLKDMNYTKACLCESMRLYPPVVWDSKHAAANDILPDGTAVFKGDRVTYFPYGMGRMEELWGKDKMEFRPDRWFDEPGLLKMVSPYKFPVFQAGPRVCLGKEMAFIQMKYVVASVMRRFEFKPVCLEEPVFVPLLTGHMAGGFKVKVSKRI >KZN06962 pep chromosome:ASM162521v1:2:37185043:37186789:1 gene:DCAR_007799 transcript:KZN06962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEIGDKAEAECKSNQEIAVTRKPIGYVIPVLRLLTFAATLSATLVMALNKQTKTFVVATVGTTPIKATFTAKFSHTPAFVFFVIANGMASFHSILMLVIELFGHKYDLKGLRFVIIPVLDIFTVALISGAANAAAFMGELGRNGNSHARWNKICDRFKKYCDHGSAAMLVSFIAVLILIIITAISILKLHKRNSTSYSTLH >KZN07231 pep chromosome:ASM162521v1:2:39298659:39302270:1 gene:DCAR_008068 transcript:KZN07231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRYMERSNSIARGKRSLDPGSSGDDQPDKKRPALASVIVEALKMDSLQRLCSSLEPILRKVVSEEVERALVKLGPARLSGRASPKQITGPGESNLQLQFRSKLALPLFTGGKVEGEQGSAIHVILLDANTGHIVTSGPGSSAKLDIVVLEGDFNKEDDDGWTQEEFDSHVVKEREGKRPLLTGDVQVILREGVGTIGELTFTDNSSWIRSRKFRLGLKVAAGFCEGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHRRLNKAGISTVEDFLRLVARDLQSLRNILGSGMSNKMWDVLLEHAKTCILTGKVYVYYPSDVRNIGVVFNNIYELSGLIVDNEFHSADSLSDSQKVYVDTLVKKAYDNWMHVVEYDGSSLLGPKVHGDSGSLGSGIRTGPYLGSTDHQLSLPTLPSFPVAVPPEQPSMNPGHVAGDAYLRSLGGYDDNMMNRYPMELQNVHFNSPLQFNGPSFHLQSHLDSSSQQTQPPQIDNIMAPGPQQSSTSAFVTSETSNHNPYRGFEEFFSEDEIRMKSHQMLENEDMQHLLRVINMGGHGHVTDDGFPYPSVYTPNPSLNYNFHEDRTRSSGKAVVGWLKLKAALRWGIFIRKQAAERRAQIVELEDL >KZN04400 pep chromosome:ASM162521v1:2:10263464:10267182:-1 gene:DCAR_005237 transcript:KZN04400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVKAAIGLTKSQPNPPPSPSPSPSSAKVQKGTSFSRSFGGYFPRSSAQVQPRPPDVTELIRLVEELRERESRLKTELLENKLLKESVAILPVLEEEIARKDGEIELKNKTIDFLESQNDELRRQVDNLHCKLSQKEAEYEENIKSLEDQVSALRKAAQFSEEMASSQRFQSKNLKKSASLVENYNFSKIENEVEKSKNKVTQISEEMTEIVSVTARSRIPRVPKPPPRPTLIIPQVINVSSAALSPSSSNSSCSSENFVTEDSALSGISVAKPRAPPPPPPPPRPVATKSAPAPAPPPPPPPPMKGAKNGAQKVRRVPEVVEFYHSLMRRDSRRDSGNGAAAEVAATANARDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENAAFTDIQDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADTLREAAFGFSDLKKLESEVSSFRDDPRQHCAPALKKMQALFEKLEHGVYNLSRMRESAANRYKGFQIPMDWMMDTGYVTQIKLASVKLAMKYMRRVSAELENVDGGPEEEELIVQGVRFAFRVHQFAGGFDAETMRAFEELRDKARSCHIQCQSQQQQKYICRSTAC >KZN06001 pep chromosome:ASM162521v1:2:29046402:29049633:-1 gene:DCAR_006838 transcript:KZN06001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTRFCSSFPQQTTSVGARRGGINTHNTNRHYNSLNASSSSAADQVPVLDPSSLSPSLTRGIHVFNCPDEVGIVAKLSECIASKGGNILAAEIFVPHKKQTFYQRSEFIFNPANWPRAQMDEDFLKISTRFKAVRSVVRVPDLDPIHKIVVLASKQDHCLVDLLHGWQDGKLPVDIKCVISNHERGPNTHIMRFLERHGIPYHHLGTTKDDKREEGILNLVQDTDFLVLARYMQVLSANFLKAYGKDVINIHHGLLPSFKGGNPSKQAFEAGVKLIGATAHFVTKELDEGPIIEQMVDTVSHRDNLLTYVQKSQNLEKQCLAKAIKSYCQLRVLPYEQNRTVVF >KZN06233 pep chromosome:ASM162521v1:2:31216379:31220672:-1 gene:DCAR_007070 transcript:KZN06233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKKVGFPDHFASVKRKVVSGFGLGLVVSLIFLSVFVFNSSFKTPFFNPIFQGLNTFGSNSSSVTLSWPFSSSSAYSKIVNDTAVTHANGTVAKLPDSLKTPVANVSQVGLNGTFVSDSGKGGDLEGAHVGNSSDLVKDETFGGDGNVIVEKSIEGKVSGSLVGESVGLGDDLSSGNGSSSVSGGESVGSGEGLSSSNGTNLISGVGEISNLTHNGENGASLSSPEVMNPVTTSEKVNATISSNRSQSVEVKKTSLDKCDIFDGRWVRDDTKPYYPAGSCPHIDRDFDCYLNKRPDSEFVKWRWQPNGCDIPSLNATDFLERIRGKKLVFVGDSLNRNMWESLVCILRHSVKNKKRVYEISGKKEFKKKGFYAFRFEDYDCTVDFVSSPFLVRESYFKEKNVSLETLRLDLMDRTTSMYRDADYLIFNTGHWWTHEKTSKGENYYQEGNVVHTRLKVLDAYTRALNTWARWVDKNIDKERTQVFFRGYSVTHFRGGYWNTGGQCHQETEPIYEDVHLIKYPSKMRALEYVIQDMKTPVVYLNISKLTDYRKDAHPSIYRMEYKTQEEQIAAVHSQDCSHWCLPGVPDTWNELLYASLLKIGKGSWKI >KZN04608 pep chromosome:ASM162521v1:2:13450428:13452952:-1 gene:DCAR_005445 transcript:KZN04608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKPFFNILFAANSYKSSSSIPKPKQPPITHKHVQYSHPIFWKNSNNKLYSSSTTTTPPHPDSAKPNGDSDEPIDAGSSIRKPISLWPGMYHSPHCSSDDTLTSPLILVTASVDRIALKKPILVERDVTIVGSVTWVGRSSMEIQLLVTQVAKEGSDETDSVVLTANFTFVARHPVTGKSAQINQVKPETENERLLWEEAEERNKRRKEKRREQEKIGAGEVDRLNALLSEGRIFSDMPALADRNSILISDTCHHNSLICQPQQRNIHGRIFGGFLMRRAFELGFATAYSFAGSAPRFVEVDHVDFLKPVDVGNFLRFKSCVLYTELENPEKPLINVEVVAHVTRPEKRVSEVSNKFYFTFTVSTESLGQQIRNVVPATEEEARRVIERMDAETER >KZN05453 pep chromosome:ASM162521v1:2:24020865:24023750:1 gene:DCAR_006290 transcript:KZN05453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRTLPLLRHLTSSPSRFHPTRSVTYMPRPGDGASRTVTLIPGDGIGPLVTDAVEQVFAAMHAPVAFEKYDCHGDMKAVPQEVMDSIKRNKVCLKGGLMTPMGGGISSLNLHLRKELDLYASLVNCQNFPGLPTRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAHLNNRKMVTAVHKANIMKLADGLFLESCREVASKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGSDYAIFEQGASAGNVGKIEWVEQKKANPVALLLSSAMMLRHLRFPLFADRLETAVERVILQGKYRTKDLGGNSTTQQIVDAVIANID >KZN06934 pep chromosome:ASM162521v1:2:36963089:36964084:1 gene:DCAR_007771 transcript:KZN06934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREQEEIQFLGFLGIFKEAFSVTSSHRKIFSQITLTIILPLSLFYLAQIEISEILFGQIIRSEYDLDHTDQMETQKYSKTSDVLSSELLAFWLFKIAYFIFALVFSLLSTSAVVYTIACIYTAKEVTYKKVMKVVPKVWKRLMVTFLWNFIIVFASIIVLLLVAALWAVTLGTSVVGITVGIIVLLVYVSGILYIGFMWHLAGIISVLEDVYGIQALFKSKDLIKGNTGLCAAIFIINKLCFIGIYLGFRASVVGGESVLGKISHACLWSLLLSMLIHFALVIQTIIYLICKSYHRESIDKLSLADHLEVYSGDYVPLNFKDVQLEYSKV >KZN06395 pep chromosome:ASM162521v1:2:32529850:32530836:1 gene:DCAR_007232 transcript:KZN06395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAITADPTLSPKVAKHYSQQTEGVKEEIRGLIRVYKDGHVERPQIVPCVTSTTLAPELGITSYDIVIDKLTSIWARFYVLNCSGHKLPLLVYFHGGGFCVGSAAWSCYHDFLARLAAKAGCIIMSVNYRLAPENPLPAAYDDGIKALMWVKQQATYPTRESWSRKCDFSNIFLAGDSAGANIAYNVATRLNSSVALRGIILIQPFFGGEARTHSEKYMVQPPHSALSLETSDTYWRLSLPSGSNRDHPWCNPTAKKLAVPVMVCVSELDILKDRNLEFCNGLAMSGSKVECLMSKGVGHAFQVLSNSQISQTRANEMIAYIKAFINR >KZN06144 pep chromosome:ASM162521v1:2:30503541:30519265:-1 gene:DCAR_006981 transcript:KZN06144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFMQCLPLLNSCCENGYAFVYFEDERDARDAIRALDHYPFGYDRRKLSVEWAKGERGRHRDGSKSVANQRPTKTLFVINFDPIRTRVQDVERHFDVYGKVLNVRIRRNFAFVQFETQEEATKALQCTHMSKILDRVVSVEYALRDDGERGDRYDSPKRNYGRHADSPYRRSPSPVGRRGRPSPDYGRRASPDYGRRASPDYGRRVSPDYGHRVSPDYGRPRSPAYDKYRGPSYDRHRSPEYEKFRSRSPVRSDRFRFFLAPVTKMEDKKKWGKRNEKAELSEILRKSWFHLRLSVRHPSRVPTWDAIILTAASPQQAQLYEWQLARAKRLARIAPSTLTLVVPDPHACRIGSGAATLNAILALARHYQLNSDSNLNDKNSLGASSLHETSNNEVSSLMVNFLAKKHILLLHAGGDSKRVPWANPMGKAFLPLPYLAADDPDGSVPLLFDHILAISSCARQAFKNQGGILIMTGDVLPVFDAFTMVLPDDTSCIITVPITLDIASNHGVIVASKTGTFSESYSVCLVENLLQKPSVEELVKNQAILSDGRTLLDTGIIAMSLYEDIVASWVPAKHAWLKQRPLGEDLVTGLGNHKMFSYCAYDLSFLHFGTSSEVLDHLSGIGAGLVGRRHLCSIPATTISDIAASAVIISSKIAAGVSVGEDSLIYDSSISGGIQIGSLSIVVGVNVPGVDGRFHNDTFRFMLPDRHCLWEVPLLEAGRVIVYCGLHDNPKISLAKDGTFCGKPWKKVLDDLNINEIDLWNSVGTQEKCLWNAKIFPILPYIEMLSVAMWLMGLSDRNNDTLFALWKVSTRVSLEELHCSIDFSRMCRGSTNHQADIATEIVKACLSYGIVGRNLSQLCEDILQKEASGVNICKEFLNMCPNLQAQNPAILPKSRAYQVQVDLLRACGNESVATEVEHKVWAAVSDETASAVRYGFKEDFLETSTKNLGIAYHENNLTGCLSEFFTKKVKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAITLEGSLPIGTIIETTKTTGILISDEDLDQVFVEDFTSIKTPFEINDPFRLVKSALLVTGIIHDKILLSVGLKIKTWANVPRGSGLGTSSILAAAVVKGLLQITDGDESNDNVARLVLVLEQLMGTGGGWQDQIGGLYPGIKFSTSFPGIPLRLQVTPLSASPQLIKELQQRLLVVFTGQVRLAHHVLQKVVTRYLRRDNLLVSSIKRLAELAKIGRDSLMNCNIDEIGNIMLEAWRLHQELDPFCSNEFVDKLFAFADQYCSGYKLVGAGGGGFALLLGKDAASAKEMRLVLEENPEFDVKVKHLQQIKRGKDEDPCRVQRPNQCKMEFCPTCGMLAQYELPNMSQPGRFFCPQCPYVCNIEPKVKIKKKYELVTKQIDPVIENDDFKNAATTEAKCPQCGYGKAAFQQFQTRSADEPMTTFYWCMNEVCRARWRED >KZN07776 pep chromosome:ASM162521v1:2:43501203:43502825:-1 gene:DCAR_008613 transcript:KZN07776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSVVLLLVSCVTVYLIWFTFFSRALKGPRVWPVVGSLPGLIENCNKLHDWIAENLSACGGTYQTCICAIPFLARKQGLVTVTCDPKNLEHILKTRFENYPKGPTWQAVFHDLLGKGIFNSDGETWLFQRKTAALEFTTRTLRQAMARWVNQAIKLRFCPILKTAQLEDKPVDLQDLLLRLTFDNICGLAFGKDPQTLAPGLPDNSFASAFDRATEASLQRMIFPKIIWKLKKWLRLGLEVSLSRSLTHVDKYLSEVIDTRKLELLNQKAGDCNKYDDLLSRFMKKKESYSDKFLQHVALNFILAGRDTSSVAMCWFFWLIINNPSVEEKVVREICTVLVETRGDDVSKWLSEPLDYEEVDRLVYLKAALSETLRLYPSVPEDSKHVVADDILPDGTFVPSGSSITYSIYSAGRMKSTWGEDVMEFKPERWLTPDGKTFAMHDMYKFVSFNAGPRICLGKDLAYLQMKSIVAAVLLRHKLTIVPDHKIEQKMSLTLFMKHGFKVNVHQRDLKQVVSGIKQASLGGGGGGEVSEPVEVVG >KZN05812 pep chromosome:ASM162521v1:2:27441548:27448428:-1 gene:DCAR_006649 transcript:KZN05812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDASQALEVREKVHQFLNAATTGNIDVLKKIAAQLDDGKGMAQTVAEVKDANKRGALHFAAREGKTEMCKFLIEDLKLDINVKDEDGDTPLHHAARQGHTATAKYLIEHGADVAASSELGATALHHSAGIGDIELLKFLLSRGADVNSQSDSGSPLLWAAGHAQQDAVKALLENHADPNAETDDNITPLFSAVAAGSLACLEILIQAGARVDVSAGGATPLHIAADNGSADIINCLLKAGADPNAVEDGLKPIQVAAAAGNKSAVSVLLPLTSKIQNVPSWSVEGLIQYMQSEAATEQLKSTNIADTSGGDTTGSKKSVVEAKKKAAEAKSRADDAFRRKDYSAAVDAYTQAIDFDHTDATLHSNRSLCWLRLGQAEHALADAKICRALRPDWAKACYREGAAYRLIQKFEEAANAFYEGVQLDPENKELVHSFREAVEAGRKFHSEKQQELSGKQ >KZN05249 pep chromosome:ASM162521v1:2:21649359:21651418:1 gene:DCAR_006086 transcript:KZN05249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRDAQGLFCTNIQREVFAEEIRYFFESFCGKVLRLRVFENRHYPTCAAFVEFSTPPADGIYSSASSPSYQVPVRALASFVPSRPVALAAVVCASTGIYEHVS >KZN05274 pep chromosome:ASM162521v1:2:21951429:21955866:-1 gene:DCAR_006111 transcript:KZN05274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADRLNSPHTSAIILDVLGHQLHFSQDPNSKHLGTTVWDASMVLVKYLEKNCRKGKFCPSKLKGKRVVELGAGCGVAGFGMSLLGCDVISTDQTEVLPLLMRNVERNTSRIMQKNPDTGSINVAELDWGNEDHIKAIDPPFDFIIGTDVVYAEHLLEPLLQTILALSGPKTSILIGYEVRSTSVHEQMLLMWKKNFVVKTVPRAKMDSNYQHPSIQLFIMSLKPTERCKTIQEMDQQVEEIENGESSQEKDQDDVGSSGVHEVDNDKDGVKSDLLVGLQSGQLSEWEARRYGSMAARLLRDVKIP >KZN04660 pep chromosome:ASM162521v1:2:14313947:14317123:-1 gene:DCAR_005497 transcript:KZN04660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLATFLTGLKSQETLRLLNDDILGLIVFKTDLSDPFSHLDSWNQDDASPCSWSYVQCDPVTGRVSGLLLEGLGLSGKIGRGLQKLQHLKVLSMSMNNISGAISPELGLIPSLETLDLSKNSLSGSIDPSSLTNLVSSVRFLDFSENQLSGPLPDNLFENCSSLRSLSLAGNSFQGPIPSTLTECRSLNYLNLSNNHFSGNLNFVSGFWTLNRLRVLDLSHNALTGPVPNGVAAIHYLKELKLQGNQFSGSVPADIGLCPHLIKLDLCDNVFTGTLPNSLQRLKSLKYLSICKNMLDGDFPEWIGEMSSLEYIDFSSNGFKGFLPSSIGDLKSLTYLSLADNSINGSIPVSLFSLGLSQVDLSGNELSGSIPSASSKLFESLEVMDLSANQLTQNIPEEMGLFSKLRYLNLSYNNLESRIPPELGYSQNLTVLDLSYSAFFGSIPGDICDSGSLGILQLDGNSLTGPVPEAIGNCSSLYLLSLSHNNLSNSIPRSISKLKRLKILKLEYNELSGEIPQELGKMENLLAVNISYNKLIGRLPVGGIFPSLNPSALNGNLGICSPLLKGPCKMNSPKPLVIDPFSYGYQNGGENTEDASKRPKEYPQHKFLSVSAIISISAAVLIAAGVLVISLLNISARRRLTFIENSLESFCSSSTRSGTTLSSGKLIWFNSKTSPDWNLSAEMLLNKATEIGGGVFGTVYRASFGDNGRNVAAIKKLATSNIIQSQEDFDREVRILGKARHPNLVELRGYYWTPDLKLLVTNYEQNGSLQAKLQESPPLSWSNRFKIIMGTAKGLAHLHHSFRPPIIHYNIKPSNILLDENLNPKLSDFGLTRLLRKLENHVVSNRFKSALGYVAPELACQSLRVNEKCDIYGFGVLILELVTGRRPVEYGEDNVVVLNDHVRILLEQGNVLDCVDPGMSDYPEDEVSPVLKLALVCTSHVPSDRPSMSDVVQILQVIKAPVPSRM >KZN06730 pep chromosome:ASM162521v1:2:35408837:35410571:-1 gene:DCAR_007567 transcript:KZN06730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHTKSESDVTSLAPSSPSRSSPKRLVYFVQSPSRDSHDGDKSSSMHPTPSFNSPTESPSHPSYGRHSRNSSSSRFSGIFRSSSGRKGGRKRNDKGWPECNVIVEEGDYDDENGLTRRLQALIALLGFVVLFTVFCLIIWGAARPFKAEVTVKSLAVNNFYIGMGPDATGVPTNMFTLNSSLRLSVYNPATFFGIRVSSTPVNLIYSDVVVATGQLKKYYQPRKSHRTVLVNLEGLRVPLYGAGSALNETSNGFQVPLELKFEIRSRGHVVGKLVTTRHRRHISCSLVVDSTKSKPIKFRKNSCTYG >KZN06778 pep chromosome:ASM162521v1:2:35750649:35754191:-1 gene:DCAR_007615 transcript:KZN06778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLINHPPYDPYSYAAAPPPPEAYAFPDTSNNNINTLFISGLPDDVSVREIHNLFRHRPGFESCQLKYTGRRNQVVAFATFNDHRSAIAVMHTLNGVKFDPETGHVLHIELARSNSRRKKAGSGPYVVIDNRKNSKDDPQDNSSDDGDGESDLPTGPGNPESSDKDDREIQKSGETEPNIGASENEQTEKSVDGLQPCSTLFIANLGPTCTSDELKQVLSQYPGFNSLKVRDRGGMPVAFADFEELEQANVVMNALKDTTLPSSDRGGMHIEYARSKMRKPKEPKGKTT >KZN04184 pep chromosome:ASM162521v1:2:3378371:3383526:-1 gene:DCAR_005021 transcript:KZN04184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSARHGLRIACFTVWNEYIYRGLRGFARGYVTSVEGNLLLQSEPGSVFRSIKFSKQYCSEANREKENPLGTIYKVKKEIKDINGRSVSNPLMERMNYVRKRMQGGRRDKKELKVKEGDIINLMDLLFTENRDYLVKHSDDLKVKAEHLVGKAILLYFVPICSELTSMERELTTSLVDIYYDLLPNNDFEVILVAVNNLRATYSGSHIQTDPRKNFEEIFSQMPWTAIPFSDIASRKRIARRFSISECDFYYTVSFLLDSKERIRDLESGDDRAAKQPSLETLLGSPVPIHTLKDKVVALYFYEDGVTDEELTVKLKTAYKELAKNKEKFEVVLLYLYDTLGTIHSTNEESFWKFFETMPWLALPFKDPNHKKLKRIFGYPNNLYGPEPVPTLVIFGPNGKFVEPCGADILINFGISAYPFTRNRLAKLETEKVKELKLEMLLDPNTFFKVKKDRSKIMKSKLEMLGDRIMFVIVKHVLEFLPNFYLLLKPMILDKKVPFSQLDGKRLLIYFEMGKYYNHLEKLQLMKDIYLRNKGTDDEFEVIHIKKSPSQNKHVEDMPWLVHYYGEGYSLSKELESSIFNFNCHSPEGIEACLLLAFERDGSIVRKTFYPSFDNMAFPFYAGGLEKEFFDQLNHAFGWYYWEFSSQKKQIYKRRLKWERXGLNVKEFN >KZN04917 pep chromosome:ASM162521v1:2:17947681:17949910:-1 gene:DCAR_005754 transcript:KZN04917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLCSTRFVLLTLLISVIPIAILISLESATPSSHQYHFHSSGFLRECSKYDAPNSRFIVSFMEGGLGIIPISNSTTTSVLPEIPVVKDADLYTNATLGLTIDSKRNRVVVVISDLLGNKYSAVAAYDLSDWSRLFLTQLSGPGDKSMGDDVAVDAEGNAYITDLNKSKLWKVGVTGEYLLPIESPIFSPAVWYHKLATLNGIVYHPKGYLIVSHTTTGNLFKVDIMNNNEVKLVKMIGKSLSFSDGLELLSPNRLVVASMSGISLVGSNDDWETATILEKAPVVRHRLATGVTVKDAKVYINHLFGIGYPKKKHVLAEAVFAVL >KZN05946 pep chromosome:ASM162521v1:2:28628364:28629181:-1 gene:DCAR_006783 transcript:KZN05946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLERSNLHSPAPELKRSTLKSSPPQLVRVLETAEAHCGYHFSWSISNFLPLYGGADFHDYHHRQLYTKSGNYSSTFVYMEWYKSSILCNKI >KZN07580 pep chromosome:ASM162521v1:2:41983976:41986443:-1 gene:DCAR_008417 transcript:KZN07580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSAALAITALVVISIMHWIYRWRNPRCNGVLPPGSMGLPLLGETLQFFAPSSSSDVHPFIKERLGRHGPIFRTSLVGRPLIVTADPDFSHYVLQKEGELFQSWYPDTFTEIFGKQNVGSLHGFMYKYLKGMVLSLFGPESLKNMLPEVEQAARRHLKIWSEQDSVEVKDSTATMIFDLTAKKLISHDPEKSSENLRENFVAFIKGLISFPINIPGTAYHKCLQGRKKAMKMLKHMLEERRVTPNRLQRDFFDYVLEELQKKDTVLTEAIALDLMFVLLFASFETTSLAITYAINILVDHPHVLKELTDEHDAILSKRQNLDDGLTWKEFKSMKFTMQFINETARLANIVPGVFRKALKDINFKGHTIPAGWAVMVCPPAVHLNPSAYKDPLVFNPRRWEEMERKGASKNFMAFGGGMRFCVGTDFTKVQMAVFLHCLVTKYRFLLVKGGDIIRTPGLQFPNGVHIKISGK >KZN05199 pep chromosome:ASM162521v1:2:21074019:21074216:1 gene:DCAR_006036 transcript:KZN05199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGVDYDLARAVKCGFGSEYESLEKKRASRESVNVAREALLAAYYEYRKKFVPQKKLNIPTIL >KZN04484 pep chromosome:ASM162521v1:2:11584584:11584877:-1 gene:DCAR_005321 transcript:KZN04484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDAGRALVKEMIELVSKIAEISDYRSSVKKQYSNLARRLKLLTPMFEEIRDSKQKVNRVSVVQLSKLKEAMLLAFELLRFGSQGSKIYMVRICD >KZN06476 pep chromosome:ASM162521v1:2:33207400:33212517:1 gene:DCAR_007313 transcript:KZN06476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQSWRKAYGALKDQTKVGLAHVNSDFKEVDVAIVKATNHVECPPKERHLRKILLSTSVLRPRADVAYCLHALARRLAKTHNWTERLECFRVLKYDIEAENLPKPVQGEEKVYSSRTRDLDGEQLMEQLPALQQLLYRLVGCRPEGAAGSNYVIQYALALVLKESFKLYCSINDGIINLIDKFFEMPRHEAMKAMDIYKRAGQQASTLSEFYDVCKGLELARNFQFPVLREPPQSFLLTMEEYIREAPRMVSAPRETLEFPERLQLTYKPEGDASPSEEKNISTDVPTPISLDIVPVSNVEDPVNPPAPPANFVSDDLLGLNSPTPIASAIEENNALALAIIPSGAGASSSDPSPLQAKDLDPTGWELALVNTPGSNISSVQDRQLAGGLDTLTLSSLYDEGAYRASQQPVYGAPAPNPFEGPDPFAYSNNIAPPPSVQMGSVAQYQDNPFGPYQQPFYAQPQHQPNLMMAPQNPFGDTGFGSFPVNNGAHPQASNPFGSTGLL >KZN07543 pep chromosome:ASM162521v1:2:41737730:41738470:-1 gene:DCAR_008380 transcript:KZN07543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKWEALPDHNFQLIACLTLLSMFCLIPPWIWVSVRMVDQSLINFSIQDFYLTETESTNGSGFNQSVIFFKLKIANDNEQRGIYYDNLNLTFSYYTSESDIVPVGYYTIPGFRQGIQSETDRRDFVEISKNVSVLSLLPSVSSDIDFRVDLATAVRFRYFIMGFQSKRLQVMAWCKVEVDRITGKKANKKAIRLKHMIKNHLGGWVILVGVILLVLTPLSFCSGYLCVAGCSRSRARRVTHAPLN >KZN05346 pep chromosome:ASM162521v1:2:22904531:22904776:-1 gene:DCAR_006183 transcript:KZN05346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKALSLFMESSGVEILAQLWILVKDRDQLKLSTCEHPYLLDHMLARYREISRRFTFPAEVELGSSLGLPNRVYASKISE >KZN05187 pep chromosome:ASM162521v1:2:20941275:20941508:1 gene:DCAR_006024 transcript:KZN05187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATCLRPIARRNKAYRGQIKAQIFESLAVALVAIASKAGEALTKVKLEAGGGAGGGGGGGAGGGGDNEKAGSGSD >KZN07710 pep chromosome:ASM162521v1:2:42960696:42964991:1 gene:DCAR_008547 transcript:KZN07710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLCIDRVDFLNSTQDCFKASWSSHKSIHQKAKLSCENDTGAEQNSVAPNDWLYCTRKGQSRTPKLPIFDWTGTLKPYPISKPRLVPAHIDKPDWAIDGTPKIEPSSDLQRVVEIKTPEQIERMRETCRIAREVLDAAARVIRPGVTTDEIDAVVHEATIAAGGYPSPLNYYFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYFKGVHGDLNETFFVGTVDEASRQLVQCTYECLEKAIAIVKPGVRFREIGEIINRHALMSGLSVVKSYCGHGIGELFHCAPNIPHYARNKMVGIMKPGQTFTIEPMINAGNWRDRMWPDGWTAVTADGKRSAQFEHTLLVTIQL >KZN05952 pep chromosome:ASM162521v1:2:28653469:28659090:-1 gene:DCAR_006789 transcript:KZN05952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEMEETVMYTFTAIEIDLDYEFDAARFFDFSRQESVDETLQAELWFQSRPSYPPSPFVKKPVLRENVLPDNVTASSKFEGFENMDFTESDSDLGGDMEILRMDMSREECGGVNRKTSIELNGCTQLISDQCSNIPAGCEVLPFVFLHYGLQNFVLVNRYLKLENYKSIVPKISPLESQPAKRQKLEGGQLCKFADTHQQTHLVHKEPKKDIKPDKSLAHAKLRLTIPKEPAFETAQRAQRMRSKVRDDEQLTSTAPKFRARPLNRKMLEAPSMLLPKRSIPRKPEFQEFYLKTSERAMQHNVPSSSAHSSKPKVLHHSVAGSIADCSNKDIKRWHVINTPKKEGCELVHKFKALPPNKKIFSSKADIRVSRNNKKDSTLPTLTLTAEIQPSTGPRINLPRPTCTLSKIKKPSQGKATCLKAKQISAHTGVTKIGLPSNMSRSSSVH >KZN06551 pep chromosome:ASM162521v1:2:33935062:33935430:-1 gene:DCAR_007388 transcript:KZN06551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPENSPQPVRKPDADVREGSVFNKQNSSRRGESKLTGTCRFRLPGRLELLPMRYIQNLGHKVAAALRLVSRRRGGDFKERSSSGRAKPLVAPVDSHRAEAIDECIEFIKSSNSVSQKMNSS >KZN07571 pep chromosome:ASM162521v1:2:41911904:41912497:1 gene:DCAR_008408 transcript:KZN07571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVYPCTPSSSSCCSGSSSGKTYTIWMKSLVANGNGFTVYDSCGRVVYRIDNYSKKSCRQVYLMDLHGNVLFSMFQKVFGFGQWNGYNKDDRVKNEKPFFEVKNCRKFLKRRETEYHVTMGSDSCSFYKIKGTEGKCEFNITDQQGRVVAEATQKQSSSGVMLGQDVLSLKLEAHVADHSFIMALVAVHGLITRKM >KZN04550 pep chromosome:ASM162521v1:2:12508916:12520743:1 gene:DCAR_005387 transcript:KZN04550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKNGRGKRDKKKKEEKVLPVLMDVGVNLPDETQVVLKGISTDRIIDISRLLSVNTQTCHITNFSLSHEVRGAQLKDTVDVAALKPCIVTLIEEKYDEKSATAHVRRLLDIVACTTSFGSSGKKEDSGKNVVSKKLPTEKNSSKDVSVDGEIGNSSPSISSFYEFFSLSHVTPPLQFIRRLERQQKDGTFADHLFSLQVKVCNGKLINVEACREGFYSAGKHRILCHNLVDLLRQLSRAFDNAYDDLMKAFLDRNKFGNLPYGFRANTWLIPPIASRLPPVFPPLPVEDETWGGNGGGFRRDDKSDFLPWANEFLFVASMPCKTAEERQIRDRKAFILHSLFVDVAIFQGILAMQNVIEKSSFNGSDVKAEPHMVRVGDLSITVMRDALNASCKLDTKIDGAYTTGVVKKDLVEKNLLKGIAADENTAAHDVSTLGVVNVRYCGYIAVVKVVVDDNIKLSPPSESIELLDQPDGGACALNINRWELGACWIQHLQDEKKSENEKKSSAEKTKSEVEVEGLGTSLRSIRNKKKKPNRSKDNLSLTANGINGEIDNIILPSSESQLEVNTNENEVALRRLITDDAFTRLKESGTGLHCKSLQELIISSQKYYDEVALPKLVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIANTVNINEMAVVIASALNLMLGVSDSEQSDECSGIDPLVWRWLEVFIKKRYEWDLSIKDFKNVRKFAILRGLSHKVGIELVPRDYDMNSPRPFQKVDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHMELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLQKALKCNQQLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEKTTLQILRAKLGLDDLRTQDAAAWLEYFESKAFEQKEAARNGTRKPDASIASKGHLSVSDLLDYISPSTGAKGKNAALQKRKNYISKMKEKAYENSGLESSKGSPKDMAQDFAEQKQFPEPVDDINGKTEFTDPPVGYEKPLEEEPAPSQPLLEESAPEKTAIAVDVLPESQCEGEDEWQSVQRPRSGRSYGRRLRQRKAAMSKVYPYEKKDVNSEPEILRTGNTYQSSKHQMLKKRILSPGSYVEQHSAKSPFQGNKFGRRTVQAVRYRVKSVPSTKDLTFEKLNIGEEEALESRPVSMEREVSLSMKSSIIGLVKSPSYKEVALTPPGTISMLQVRASEDDFPAKEELIVRDEETSKAGDKKFGSTVVNPEKTYSTDKDEDNQSKAVPRNVEMTQSSTAKNFQVAPRIFEGKDPSYSTSQGEKDSNEIPSLPCDIGTRDISKKLSASAAPFNPSPTATQIPPFPLSIVLPPGPGSVPAVGLWPINMNLHQGTAVNFSSPTILNSLQYVYPPYIHSRPLQGPIWSGCQPMELSVPLPVMEPIAEPFLESNEVSESLVSCRSSDLDLSYNIDVTNQTKSEADVSENLNDGLQSEAVQEVPKPDLHGVPCPEYSMDDNNNSHIYAGSSGDNCVPGNINILININILIKGRKNRNQTLRMPTSLLKRPYNSQSFKIIYSRVIKETKAHKSPSFSSDNVSTLCAA >KZN07098 pep chromosome:ASM162521v1:2:38335032:38335799:-1 gene:DCAR_007935 transcript:KZN07098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAFISNDATRKATYKKRKKGLMKKVEELTTLCGIDACAIIYSPYDEQPDVWPDIAGVERVVEKFKSMPEMQQSRKMLNQESFTRQRIAKTNEQLKKQLRDNREKEMTEVMSQCLTGQLGLHNLTLPDLNDLGFLVDQKLQEINKKMNEISLQEATQQEPVVIPEEAPQQQQVVPTTVSDNVGLLAGGVVEEQRLDIAGGSSDVDAYGLVPQWFNDAVVGNNATANDQNLGFMNMFGDENNPAAWDNYF >KZN07223 pep chromosome:ASM162521v1:2:39243876:39246574:1 gene:DCAR_008060 transcript:KZN07223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDHGGWSPAGAPVNLQRDYYFRHFGNSVNAVSCGFVATAILISMFLVMALFERFLRHASPETSPSGDRSHADGVSHSQVGYHGKLSYPSPKGKSCNKLMFHQNAMNIISGFAAASASSLYKTCLRTNFDAQVPTRAIEVSVLMPGEAIPTFIAQPAPVPCPQERVSWPPHNRV >KZN04167 pep chromosome:ASM162521v1:2:2868986:2871657:-1 gene:DCAR_005004 transcript:KZN04167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQRDKWGLSLSLSFPGSSNHHHKLTNNNNQTAHVSSPLELNLVPSATSNPLPENTTQKSHSSGPNMETCRVDRRCSLTGIDLEVDQRCSLTGIDLNGLPAADIGDEADRVLSPNRTQSSLSGNKRSLIREGAGGENNQKTALAKRLGLKHRQVEVWFQNRRARTKLKQTEIDCEFLKRYFHALTEENAKLKKEVQELRAFKLSPQFSIPPTTLTICPSCEHFSKSSSAPTLSVCPSSTHSKQPQVHAMSASTIDSISTCAPNVD >KZN06904 pep chromosome:ASM162521v1:2:36769789:36779076:1 gene:DCAR_007741 transcript:KZN06904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATKQKKTARLSNAEKSSFFARREAAKVLHSVLVGDARRQAVASIKSLVFKPSVRNKKATFALVCQTLKSLPYIKEILKSSDILNSKWKKQEALMYLITYDILFGQSVALVGDAEKFLLRKKDALLSALAQILVRNRVKNVQDLMALQPDVSKPRYVRVNTLKLSTEAASDELGKHYKVHKDDLVPDLLVLPPGTDLHDHHLVKNGSVLLQGKASSMVAVALDPRPGWEVLDACSAPGNKTVHLAALMRGEGKIIACEQNKERVKLLEYTIKQAGATTFGSSLPLHWSRINDLTRILDVEVLHEDFLTLSPVDPSYSKVRGILVDPSCSGSGTAVDRLDHLLPSYQPGHVDPGEIHRLTKLAAFQKMALEHALSFPGVERVVYSTCSIHQIENEDVINSVLPLAISQGFELGTPFPQWTRRGLPVIEQSKHLLRADPVEDKEGFFIALFVRSIHQSEPHQQKEIGSCHNPAVPCKISERKNVPKNVQDIVKPLLRCGEQKS >KZN06604 pep chromosome:ASM162521v1:2:34301202:34304897:1 gene:DCAR_007441 transcript:KZN06604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKSMIAKDVTELIGNTPMVFLNKVVDGCVARVAAKLEMMEPCSSVKDRIGYSMIKDAEDKGLIIPGKTVLIEVTGGNTGIGLAFIAASKGYKLILVMPDNYSLERRIILLALGAELHLTDEAKGFAGVLQKAEEILERTPNGHFLKQFANPANPKIHYETTGPEIWIGSEGKIDAFVAGIGTGGTVTGVGKFLKGKNPKIKVYGIEPAESAILSGGKPGSHGIQGIGAGFIADVLDVGILDEVIQISTEEATETARLLALKEGLLVGISSGAAAAAAIRLAKQPEYAGKLIVVMFPSFGERYLSTAMFDSLRYEISTEEATETARLLALKEGLLVGISSGAAAAAAIRLAKQPEYAGKLIVVMFPSFGERYLSTAMFDSLRYEVENMKIE >KZN06614 pep chromosome:ASM162521v1:2:34406971:34412000:-1 gene:DCAR_007451 transcript:KZN06614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTRFNRNSFANEAKVAAGSPNGLQQKKITGNRRSVAEKQAPDLTDFMNDMFFGTAPKEKKVYNLTGSDKEDGSSEYDYDSSTRSNNSRLTQEWLEEAKRMVASSPTRSESPSRFGGSPKYARPQAQYQAPRLSLSTFDRRDPHNRQRPVDGFGEEIVTKTVKNKNVTNQSVNDNSPTNAVQKWFSNILKPSDPAPQPVPDPSPPLPPRISTHRRSRFEAPPSPSPSQPDPNAQFLPPRTSFHRKTRFQSDPAVTQPLGIPPQPPTKRVFSPAPDPTRLLSPPKHLTESSHRRSISSSTCVLPEKQAQPPAVESGHRRSISSYSDQNSGELLKDINGFLKEQRVKIKKLISGEIDGTAKIVLSGPSNSTSSMLSAICYAWLLGTKMRNNKEGVEGDGSSVVVLPVINVRRGKMWKQRQAAWLFHHVGVNAKALLFSEEVDLEALMMAKKLSILVVGQDVLISNSEVGSQCTILTDNYCEDAYELLDTPILKKLLLAGILLDTQNLNTSTKLSMTRDAEAVQLLSVGCAPNYGNALYDQLMQDQRDGNFLEALRHNYGKPPSESSRVPVERRTPERNSYPEATVQTLDKNLNGKNERTSNATTNSANPKPVATKAVDSSGAKNKFSIGKWFGFGK >KZN04709 pep chromosome:ASM162521v1:2:14954690:14961989:-1 gene:DCAR_005546 transcript:KZN04709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGVLLLFVVVVLSVGVNGDSSKEILVKKVKGHRVCSQGWECKQWSKYCCNQTISDLFQVYQFEELFSKRNTPVAHAVGFWDYQSFILAAAQFEPLGFGTTGGKLMQMKEIAAFFGHVGSKTSCGYGVATGGPLAWGLCYNRELSPSQSYCDDSYKYTYPCAPGAEYYGRGALPIYWNVNYGAAGEALKVDLLNHPEYIEQNATLAFQAAIWRWMTEIKKGQPSSHDAFVGNWKPTKNDTLAKRTPGFGATMNILYGEMVCGQGDIDAMNTIVSHYQYYLDLMGVGREQAGPHEILTCAEQEAFNPATKSKDDS >KZN06582 pep chromosome:ASM162521v1:2:34159659:34161728:-1 gene:DCAR_007419 transcript:KZN06582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHMCILVLMSVALWSLTTFTGDARPVVMDAVGKSSDMVNYCSPISMSPNMGESSDTVKSSPIAPKPVSRSTHMREPMDMSNSEPVDPEFVSKSTNMRESPRMINSEPVGPEFVSTSSNMGEPMDMSHSEPVGPEFVSKSTNMGESPQPVGPEFVSTSSNMGEPMDMTHSEPVGPEFVSKSTNMGESPRMVNSEPVGPEFVSTSTNMGKPMDMSNSEPVGPDFVSKSTNMGESPRMVRSSPIAPEFVSRSTDMGEPMDMSNSEPVGPDFADKFASHDVSSATADTAAEADPGRFN >KZN05759 pep chromosome:ASM162521v1:2:26994631:26998175:1 gene:DCAR_006596 transcript:KZN05759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLILQLHDISAVKFGNFKLKSGISSPIYIDLRLIVSYPTLLSQISQTLISQSTSPYDVVCGVPYTALPIATCISTSSNIPMLMRRKEVKDYGTAKAIEGVFKADQTCLIVEDLVTSGASVLETAGPLRAAGLKVRDVVVMIDREQGGRENLKENGIELHAMVKLSEMVRILKVRGRVSEEMEGMVLKFLEENRKVAVPAGVKRLFGVMMEKESNLCLAADVATAAELLDIADKVGPEICLLKTHVDILPDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIINCHIIPGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLATGDYTAAAVKIAEQHSDFVIGFISVNPASWPGQLNPAFIHATPGVQLVKGGDALGQQYNTPKSVIADRGSDIIIVGRGIIKASNPAETAREYRLQGWEAYKASCCN >KZN06800 pep chromosome:ASM162521v1:2:35922445:35931967:-1 gene:DCAR_007637 transcript:KZN06800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYASHIFRHSKQIKSVPGLLRYDHTLLVRWLSSAALPFTNSREYIVKLGQLGCVPLERDRARSSCTSTLNCYNHSKSAINQSMVTFVGIKHIKSTGTNLKLGSQLVGPVLSRGLSRSPGQNRRSFSSGSGMDHAMVLCLPPHQEIGMPSLSPTMTEASIFSKLRREGDQVSTGDVLCEVETDKATVEMECMEDGYLAKIVHGDGAKEIKVGEVIAITVEDEGDIAKFNDYKPSDAAPAPKDSSASADSAPPKAKSSSNIAFRLEAFTCFSGNIARWLKKEGDQVSTGEVLCEVETDKATVEMECMEEGYLAKIIHGEGAKGIKVGEVIAVTVEDEGDISKFKDYRPSDAAGPPKDSSVSAPPKEDVVEKPKSSPKPESSKPSEARENGGRIFASPLARKLAEENNVSLSSIKGTGPDGNIVKADIEDYMSSRGKEVSPASSKVDKTEVSALDYADIPLSQIRKVTASRLLLSKQTIPHYYLTVDTCVDKLMELREQLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTNDYIRQYHNVNINVAVQTDNGLFVPVIRDADKKGLSKISEEVKVLAQKAKENNLKPEDYEGGTFTVSNLGGPFGVEQFCAIINPPQAGILAVGSAKKRVVPGAGMDDYVFASFMAVTLSCDHRVIDGAIGAEWLKAFKGFIENPDTMLL >KZN04909 pep chromosome:ASM162521v1:2:17861073:17861495:-1 gene:DCAR_005746 transcript:KZN04909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSITGKISLDQVLKDIKKKIKRNAELIKKESSEENMAPPEQFSGDPFSRDEVLAGINQDMHHPYSVQMPSSPYHHPYIVQQLQPSHHHLYTVQQPQPQPPYHHLYTVQPPQPQPPYHHLYTVQTPSLCDRCEYPYHYNH >KZN05701 pep chromosome:ASM162521v1:2:26437237:26439174:-1 gene:DCAR_006538 transcript:KZN05701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKGWRCKAVLSQDAPFAVAIGACILSSLVFQTSPTKEDDDQDSDGAVIDADDTRFAVMGIISFIPYFNWLSWVFAWTDTAKRRYAVYALVYLAPYFRSNMSLLPEDSWLPIASIISCIIHVQLEASIANGDLQSFRLFSEGAKDLLGMKDDTISSEERRKDSQNLPSAQEQSRKVDGWRVNAKSSEDFEKSSQSELDDEEVH >KZN07150 pep chromosome:ASM162521v1:2:38683616:38684534:-1 gene:DCAR_007987 transcript:KZN07150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTRSGIEVRVNRPHNVEDEVSKGGAADDAVDVESDSGGEESNGEDGNRATGDETTNNLYNVTVYYGGHFVHVPYFAYTSNLSKVYNHIDFENLSVNDLKMRFAAVVGDFDSLYYKAADSQIYLLNAENKPGIIELSKECGYNIKLCVYHVSPGNESDEERDGYECSDDEFVRIRKDCREEKKKMDVFEREANLNEMLGMEYDDTSESDGEFYPSSEESDEEYVFASPPADRKKMKTTDAVFNVNTAATNIKFKAGMVFGNKDEKERGLGGCCVQW >KZN05362 pep chromosome:ASM162521v1:2:23051056:23059988:-1 gene:DCAR_006199 transcript:KZN05362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVLGFLHQNDEISDSGQFATQSGISHDEIVNVIKSLSAFHFVDAKDIKRDSLILTDEGRTYATAGSPEVQLVKAIPPEGITPKELENKLGSQIFKLGRQYAIKNKWVEMGKSEVTKKIDTVDDEVQKLLMRIQKGEVMNSTEDAAAIKMLKSRKLIASQIWKGYSVKKGPKYARERRKPATDLTRENLQRDDWKNLEFKEYNFEAKGFPVEGGHLHPLLKARIISVRRQMQMIFLQMGFEEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLKEPSTTKTLPEDYVARVKQVHESGGYGSRGYGYDWKREEADKNLLRTHTTAVSSRMLYALAQVWFE >KZN06963 pep chromosome:ASM162521v1:2:37193103:37199939:1 gene:DCAR_007800 transcript:KZN06963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATINRNCEREVECEKNIKVSARWNPADPCRPTMDAAPVFYPTCEEFQDTLGYIAKIRPVAEGFGICKIVPPSSWKPPCPLKEKSIWENAKFPTRIQQVDLLQNREPMRKKRGRKRKRQRNSKIDNIRESPGIDDPSIDDLIDTEEKFGFQTGSDFTFQDFQKLAHNFKECYFGIRDTMLKDTAGQSPNGQTKRWEPSIEDIEGEYWRIIEKPTDEVEVYYGADIETAVFGSGFPKKSPSLTENISDTYVSSGWNLNNFPRLPGSVLCFEESDISGVMVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKVWYGVPGTHASDLEAAMRKHLPDLFDEQPGLLHELVTQMSPSILMSEGVPVHRVVQHSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPIDWLQHGQSAVEAYSEQHRKTSLSHDKLLFESAREAIRALWELSIMNTENPKNMRWKSVCGKDGLLTKAIKARVEAEKKRIEHLPLHLKPQKMEKDFDLTCERECFSCFYDLHMSVACCKCSPDQFACLRHATILCSCEKNDVFVVVRYTMDELSTLIKALEDEAGALKAWPSVDEGLVCINCEDSISGISSAKQNDDPAKFPKRDETLQEDTADGCMSQVVTNKLKEDVVGKSNDTMTINQSYTVISAVVERMLNHKSDVCYDNMMANEGDACNNVIREAFHKADSRQKLDSLQLGGCPGSSVSYVLPKNVCPSPSMDVADRGASNNDLTFGCMSSNEVVDQLGIKDTHSVRNGSLQKFSFDVHPINIGNAVSGRLWCNKQVIYPKGFKSYVKFFNVLNPTQISGYISEVVDGGLIGPLFKVTLEESPSKSFVDTSAQKCWEMVIDELNQELSRCQSIGKAVFPSLDPQSINGLAMFGFLSPHIIKEIEALDPNHHCSEYWNYKLPMKEKLTDNTYELPDAKKFNYHTGSNLSGGNTTPLTNTEISIGGQAHSINKECMPVLERLMQNANPEELNIVQRVFCVDSQTAEWKAALAKLNEEV >KZN07355 pep chromosome:ASM162521v1:2:40274691:40283050:-1 gene:DCAR_008192 transcript:KZN07355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVHKLEHESGFYFNMKYFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFELRKQKYLEALDKNDRPKAADILVKDLKVFSSFNEELFKEITQLLTLENFSLNWQHQLCKNPRPNPDIKTLFIDHTCASSNGARAPPPTNNLLAGPVPKAGVFPPLGGHNEILSRGQPFQPVVSPSPSAIAGWMSSPNPSMPHAAVPSGPPGLVQSPGAVSAAAFLKHPRMPPGVPGLEYQMGDSEHLMKRLRTGQSDEVAFSSSAHPLNMYSPDDLPKTVVRILNQGSNVMSMDFHPQQQTVLLVGTNVGDISIWEVGSRERLVHKTFKVWDLSACSMPLQTNLVKDATISVNRCIWGPDGTILGVAFSKHIVQIYTYNPAGELRQHLEIDAHIGGVNDIAFAHPNKQLCIVTCGDDKTIKPFQPVVSPSPSAIAGWMSSPNPSMPHAAVPSGPPGLVQSPGAVSAAAFLKHPRMPPGVPGLEYQMGDSEHLMKRLRTGQSDEVAFSSSAHPLNMYSPDDLPKTVVRILNQGSNVMSMDFHPQQQTVLLVGTNVGDISIWEVGSRERLVHKTFKVWDLSACSMPLQTNLVKDATISVNRCIWGPDGTILGVAFSKHIVQIYTYNPAGELRQHLEIDAHIGGVNDIAFAHPNKQLCIVTCGDDKTIKVWDAVSGRRQHIFEGHEAPVYSVCPHSKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGRWCTTMAYSADRTRLFSCGTSKEGESHLVEWNESEGAIKRTYSGFRKRSLGVVQFDTTRNRFLAAGDEFQIKFWDMDNNNILTTIDADGGLPASPKLRFNKEGSLLAVTANENAIKVVANHDGQRMLRMLEGRTFDGSRGFSEAANVKPPFAGSLGHNVSSPIQPVLERAERMQTPLSIGNHATAESSKMADIKPRILDNTDKIIAWKFPDIKESTQLRAIKLPDSFTASKVMRLIFTNSGLALLALASNAAHKLWKWQRSERNPSGKLCVWNIEGWEKRMARPIQTPPNHSAPLVGETQVQFHNDQTHLLVVHESQIGIYDSQLECLRLWSPRDSLSAAISSAIYSCDGLLIFVGFCDGAIGIFDVDGLRLRCRIASAAYIAPSLSRYATGI >KZN06988 pep chromosome:ASM162521v1:2:37386081:37390040:1 gene:DCAR_007825 transcript:KZN06988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISFNNLASYLISFKILVLFSASGVISYPIEDVFYRCLSFNSGNSIPFSTSLYTRNITSFDSVLASTAQNLRLLGPTIPKPLLIFTPSQESHIQAAVICSKQLGIQLRVRSGGHDYEGVSYVSKMGSPFLILDLSKLRAVRVNIQDNTAWAQAGATVGEVYYRVAEKSKFHGLAAGLCTSLGIGGHITGGAYGTLMRKYGLGVDNVVDAQIIDANGKLMDRRAMGEDLFWAIRGGGGGSFGVIVSWKIKLVPVPSIVTVFYVPRTLDQGATKLLYKWQQIADKLDERLFLRVIIQPTDSAKKGQRTITTGYSAHFLGTADELLEVLTKSFPELGVKKSDCSEMSWLQSVLYIAQYPANTPPELLILLSQLYPGCIIDSGIPQRAKTKIYSVHTHSRGTQEMELAILLSLTFSILALLFTAATAASTPVEHSFHQCLSQNSDIPVPFSTTFYTPNNASFTSVLVSNAQNLRFIEPSVPKPELIFVPFNISHIQAAVICSKQLQIQLRVRSGGHDYEGLSYASDTNQPFLLLDLSNFKSIIVNIDDNSAWVEAGATIGQLYYRIAQKSKIHGYPAGLCPSVGVGGHITGGGYGPLMRKYGLAADNVVDVQLVNANGIILDRKSMGEDLFWAIRGGTGGSFGIIISWKINLVTVPSTVTFFNVVKTAEKEKIKLLHKYQQIAHKVDEDLFIRVIFQDVDAATKGEKTVQTSYNALFLGGTDRLLKVMNESFPELGLKKEDCNEMSWLDSVLSISASSGYNTSLEALIEGRPPARLSFKAKSDYVQKPISKTGLKGLLNRFLEEDSPFMIWTPYGGKMSQISESEIPFPHRKGNRFMIQYITGWFTDDKDVEAKHIGWMRNLYSYMERYVSRSPRAAYVNYRDLDLGMNKDRSTSFLEASSWGTKYFKSNFERLASIKSKVDPDNFFFHEQSIPRLM >KZN06284 pep chromosome:ASM162521v1:2:31694688:31696347:-1 gene:DCAR_007121 transcript:KZN06284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITNFSTVENCSSLGREKDTVVADLDGTLLRGRSSFPYFALIAFEAGGVLRLLFLLLCSPLAGILYYFVSESAGIQVLIFATFAGMKISDIESVARAVLPKFYSTDLHPTSYRVFSACGKRCVLTANPRIMVESFLKGFLETDLVLGTEIGTYKGRATGFVLKPGVLVGENKANALREAFGEVQPEIGLGDRHTDFPFMALCKEGYIVPPKPEVEAVTKDKLPKPVIFHDGRLVQKPTPLVALITILWIPIGIILSILRVAAGALLPMPVVYYAFLALGVRVTIKGTPPPPVKKSNDQSGVLFICSHRTLLDPIFLSTALGRPIAAVTYSVSRLSEIISPIKTVRLSRDRVTDASMIKKMLQEGDLAICPEGTTCREPFLLRFSAMFAELTDELVPVAMENRMSMFHGTTARGWKGMDTFYFFMNPSPEYVVNFLHKLPKELTCSSGKPSHEVANYIQRVIAATLSYECTTFTRKDKYTALAGNDGTVVDKSVKANKAMGC >KZN05276 pep chromosome:ASM162521v1:2:21987815:21989188:-1 gene:DCAR_006113 transcript:KZN05276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSETVELVSECLIRPSDLPQKAKHPFHLGPFDLAMLSVYYIQKGLLFKKPTVTNDRENSVEVLVQKLKKSLSVTLAHFYPLAGRLVTKKEESPQSYVVFIDCVNSPGARFVHAKVNLTISDILSPTYVPSVVESFFDHNRAINHDGQKVSLLTVQVTELKDGVFIGCSLNHSVVDGTSYWHFFNTLSEVFMKDIGDDGSEIKRPPIHERWFPDGYGPVFSLPFTHTDQFIISRHDAPELKQRIFQFQAAALARLKAKANAKCINKSTTISSLQALAALMWRCMTRVRGLPQDQITGCKLAMNNRARLHPPLSQNYFGNCIQVVRATTTAGNLLINDFEWAALLVHKTVAEQDDKALKKFIADWMQSPSVYQPGQFFDPCSIMIGGSPRFDMFGNEFGLGKAVAIRSGCADKFDGKVSLYPGPEGGGSMDLDICLPPHFMTALECDEEFLEGLNLYG >KZN05748 pep chromosome:ASM162521v1:2:26899672:26901397:-1 gene:DCAR_006585 transcript:KZN05748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISLLLQIVILPQQAGAVDELVRQVREQTAKSNTTCILVFGDSSVDPGNNNYIPTTNKGNFPPYGKNFDNGTSTGRFSNGKLATDFIAEAFGYRNIVRGFLEPHMEKEDMLHGVSFASAGSGYDDLTANLTNVLTITKQVEYLMHYKIHLSRLIGKARAAETLQKAVYILSFGTNDFIQNYFEEFDRAKQFTVVQYQNYLISRMALAITKMQRIGARKVAVVGVPPLGCFPLIEMIRDDDNKCDGPLDSVAISFNSMIKQKLAVLSKSLHIRTAYIDIYGSIMSAVQNPKQYGFVETAKGCCGTGTVEFGDTCKGLSTCADPTKYVYWDAVHPTETMYRIVADDALRAIVLGDFLAN >KZN05554 pep chromosome:ASM162521v1:2:25011005:25013218:-1 gene:DCAR_006391 transcript:KZN05554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEEGLITSVKEAKNRTEKIDWRSGFNPQTGVYNPLFRLPKGYEIPTSHVTDTATYVMSHIPQLDLAESRLALVDSTTKQQVTYGQLRRSIKSLATALFTGLGIRKGDVVFLLSPNSVLYPTICLAVLSIGAILTTANPINTASEIGKQVRDSGAKIGIAAPECMDKLSPSGIPTLLTTRPDNDTTLSVEKLIDDCEPLDLPKVHITQSDTAAILYSSGTTGVSKGVILTHSNLISIMAILRWSVDVSSSQNDVFLCFIPMFHIYGLVFFGLGLFCSGITNILMHKFDFKAMLEAIQTYKVNNLPAVPPVILALVKYGSCGYDLTSLRRAGTGAAPLSKELGAEFRMKFPWVELRQGYGLTESCGAATFFPTDKLALAHSGSSGMLLPDFSAKVVGTESRISLPPYKQGELWLKSRAVMKGYLGNEAATNVTITSDGWLQTGDLCYFDEDGCLYIVDRLKELIKHNGYQVAPAELEAILLTHPQILDSAVIPIEDEETGEIPMAYVVRAPASDLTEEQVIQFVANQVAPYKKVRRVAFISAIPRSTAGKILRKDLVSQSQKVISKM >KZN07279 pep chromosome:ASM162521v1:2:39630099:39632000:1 gene:DCAR_008116 transcript:KZN07279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRCPVKCTIIFSVLVCSISLGHSDRLGYYCTSDGNYTSNSIYKKNLDSALANLYSAANASNSGFYNASVGEGSDRVDAFVLCRDDVQPDICRSCVKDSTDMLRKSCPNQKEAFEWYQECMLRYSNNSILNKVVTEPTWRLRGDYVEDGAQFNQNLRDLLDDLKAQAIQRKFATGKTRGPQFKTIYGLVQCTPDLSSIQCSNCLDNAIGYLSTCCSGRIGAQILKPSCRLRFEVVQFYNETTGTDAQPPSRSQLPRPVAGKEDNSNQTLIIAAVVIVGCAILLLVLVCIIKRKKNQMTATGTLLGKCYIAEFSAYSYMSGTLQNGEEIAVKRLSNGSNQGQQEFQNEVILVAKLQHRNLVRLLGFCFEGTERLLIYEFVPNASLDHFIFDSARRSYMDWEKRYKIICGVARGLLYLHEDSRLRIIHRDLKASNVLLDTEMNPKIADFGTARLFNMDETQGITRKIVGT >KZN07645 pep chromosome:ASM162521v1:2:42405453:42412669:-1 gene:DCAR_008482 transcript:KZN07645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYAYGCKHCEYGVCVFCPFEQRVLNHEGHPAHTLQLMHKEASFNCDACFGDAKDSSYVCTTCEFWIHKRCAFAASMIPDPSYHHHSLNLIYSIPDMHRYFQHFCYICGELVRKNSWLYYCHKCTFFVHMTCSASTDSVSTSSDFEEQGDGHECDLVEFPLPSEESVLDLILTQCGKFQVDNAIEISKSTNDPQIIEEHWSHKDHPLEKLQFSTSENENSNKNYDEVLICDGCIQPITESHPTYYACIQCDFFLHSFCATKIPLELPVGGSSFHPQHSLQLRKTDKFYNHVKCGVCWYKTNGFYYHCEPCDIKIDIRCAFLPARIKHKSHKHHSLVQRPCHSLVQNHRDHASCSSSRIRIDGGVGYACETCTNFQIHILCLFYASTIKHRYDDHPITLRYPPFFYEGVIRCDVCEEQVHNQGLLYHCGNCDQSFHYKCLRLADNVKLGRTMKLTLNNQPHTLVYVVKMSERNESPMYICGICRTSYESSFFLECEGCGLLVCHCAFEERVLCHEGHEDTLQLMHRKVLFSCDACYEEAKDSSYVCTTCEFWIHKECALAPLIIQTSPNHHHSLHLIYSIPDMHRYFKRFCAICEGVVQKNSWLYYCHKCTFLVHMKCVTRSDSGSTSDNIQDPYNESDLVEFPLPNKESLFDLILSQCGSRFQVVAGEGDNTICAPSTLPYEPDIIEEHWSHKNHPLEKLQYFSIRENANSDNGDDERVLICDGGIQPIPATYPIGSQDQHDCSPQLTGSYSTQHCRKEDTLTEL >KZN07696 pep chromosome:ASM162521v1:2:42837470:42841391:-1 gene:DCAR_008533 transcript:KZN07696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSERQWNFQTRLIGVQVRSMLTAAIYQKQLRLSTAAKASHSPGQILNYVIVDAYRIGEFPYFFHRIWATSLQLCLALLVIYYTVGKATIAALLAVILIIVGNSPMVKLQHRYLTKLMVTQDIRLKAITEAVTNMKVLKLYGWETHFRHVVEGLRKEEERWLSAILTQRGYYLALFWSSPLVITVVTFWACYLMGVPLDTSNVFTFLATIRIVQEHIRLLPDVVGSFIEAKVALTRIQQFLEESELQKRSEGHCKEYVMGALSEKTVMLVTHQVDFLPVFDIILLMSEGKILQADKYQQLVHSPDFQNLLIVKSDATNPEGRMSYSSPQSPTVSNQEIQEIDVEEELHKGLGDQLIEKEQKETGDRGLKPYKQYLKQNQGFLYLSLSVIFHMLFIVGQLGQGVWLAAELQNPAISIVILNAVYTGIGCVMSVCLLIRSYVVVELSTKASESIFSKAVVSIFRAPMSYFDSTPVGRILSRLSSDLSIIDLEVAIKFATAIGSALNTCLSFGILAVLTWPILFLIIPTVYITIVLQKFYLASANELMRINGTTKSSVASQLAESIAGAVTIRAFKEENRFISENFRLIDANSIPYFHSFSANEWLILHLEGLCAVIVSFSALGMTLMPLGASKSGYVGMALSYALSMNEFLVYAINMHCMLSNFIISVERLEQCMHIPSEAPEKLEDNRPPLNWPVSGRVEINNLKVKYRQNAPLVLRGISCIFEGGHRIGIVGRTGSGKTTLISALFRLVEPTAGKIIIDDIDISKIGLHDLRTQLSIIPQDPTLFSGSVRYNIDPLFEHTDSEIWQVLEKCHLREAVQEKEEGLNSLGKKTVL >KZN04708 pep chromosome:ASM162521v1:2:14947607:14950053:1 gene:DCAR_005545 transcript:KZN04708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRVSVLHAKTAFLKKSKHKRRRQFSRPVKVLTDASGHDIFSLYKFGKELGRGEFGVTYECENIENGEKMACKKISKNRLKTAIDVQDVRREVDIMRRLPCHPNIVSYKEVFEDKEAIYLVMELCAGGELFDRIVARGHYSERGAALVTKTMLEVVKVCHEHGVMHRDLKPENFLYANQDENAPLKAIDFGLSIFFEPGQLFREIVGSPYYMAPEVLRRNYGAEVDIWSSGVILYILLCGVPPFWAETEQGIAQAIVKGKLTFIRQPWPKVSEDAKQLVKGMLDPNPYSRMTIEEVLGHRWIKNANKVSDIPLGDDVKTRIKQFSLMNKFKKNVLGAVAATLPDEQVQDIKEKFYAWDTDKNGDLTLEELKSGLINNGHDVSNIDVQSLMEAADLDGNGTLNIDEFVTIAVHVQRMSSEEHLKQAFNMFDKNQSGYIEFEELREILFEKHQEPNSDQVAHDIIFDADLDKDGRISYSEFAAMMKTGMDWKMASRQYSRVMLNALSKKILKENPTTKGK >KZN06338 pep chromosome:ASM162521v1:2:32027062:32031793:-1 gene:DCAR_007175 transcript:KZN06338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGGSSGHSAAGDAAPDAKKQSKAKVSRTSLILWHAHHNDESAVRKLLEEDPKLVHAIDYDNRTPLHVASLHGWIDVAKCLIEFGADVNSQDRWKNTPLADAEGAKKHGMIELLKSHGGLSYGQSGSHFEPKPVPPPLPQKCDWEIDPSELDFTHSAMIGKGSFGEIIKAGWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTDRKPLMLITEFLRGGDLHQYLKGKGALSPSTAVSFALDIARGIAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVKNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVYSFAMILYEMLEGDPPLSHHEPYEAAKYAAEGHRPMFRAKGYTQELRELTEHCWAADMNKRPSFLDILKRLEKIKETLPSDHHWKIFTSSHVKHDPTS >KZN04989 pep chromosome:ASM162521v1:2:18690487:18693513:1 gene:DCAR_005826 transcript:KZN04989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIFNRNFKRLCSRLRWPVRRRPKPKILIKKLEKSSSKLQLEQKESSIINSSAAIHPNGSKSRKSVRIATFNAALFSMAPVIPKTEMFSSSVYENGDFSKVKSPGDVNFRTNSMADRPKSILKQSPLHPTSVSSEDNLSRQQKFVKSKLRVSINLPDNEISLKRSGQLSFVEDDKMDSSKGKGQLRSSFSMPTNGMPVINGQSFRSTRTVVEVLRELDADILALQDVKAEEEKDMKPLSDLAAALGMNYVFAESWAPEYGNAILSKWPIKRSRIQKIFDDSDFRNVLKATIDVPQTGEIEFHCTLLDHLDENWRMKQINAIIGSNDTPHILAGGLNSLDETDYSSERWSDIVKYYEEMGKPTPKLEVMRYLKSKQYTDAKDFAGECESVVMIAKGQSVQGTCKYGTRVDYVLLSPDSPYKFIPGSYSVFSSKGTSDHHIVKVDVTKVDMNSQQQIGSRRRHKPKQQRIVKINNSSPSTKGIWKTNTVKR >KZN05026 pep chromosome:ASM162521v1:2:19041796:19042149:-1 gene:DCAR_005863 transcript:KZN05026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHQKSVAVLLVCVVLATAIHVTEGGLEHKEKAYKKCLENCQNKCRKDNNRTFCEQKCDEDCSMVEAKVNLAAAKRNSTNSTG >KZN07043 pep chromosome:ASM162521v1:2:37892047:37893396:1 gene:DCAR_007880 transcript:KZN07043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDDIQNQGCKFPRSRSGGNKIGQKGDDEDEGDAKRIASGNDIGRFCGWPSSRIVRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLLKAAESSISELPSLNTSSFPDTPKQLSDEKRSSGGTIEHGFDSNDLEMDMDPNHHYQNQNVSLSKSACSSTSETSKGSGGLSISRSEIRIKARERARGRAAEKEKEKETDSLFPAHHHSVTPNSSFTELLTSGGGGVNNHNTSRNARAPHLQKSSPSSRQWPNAPMDYFGTGLNHPSPNYPGHIQLGNSLPQPMMISPFTVTSGDQQHHELQQFSFVQDNLVPTASGGSDYNLNFSISSGLAGFNRGTLQSNLSTMPQLHQRFSPMDGPHSSPFFISSAVPNGSTTETHQQHPQHHPQFPAGLQLYYGDAGRHSEQQQHHHYSSTSQVLPHYSPVYII >KZN04686 pep chromosome:ASM162521v1:2:14710702:14710866:1 gene:DCAR_005523 transcript:KZN04686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGARVFGVISNSGMSLNFDKRVEYKFEFYKIHFDRPRPVRHLADYIGYSTSAI >KZN06479 pep chromosome:ASM162521v1:2:33227134:33227864:1 gene:DCAR_007316 transcript:KZN06479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEIVSAPAPLPPLPQYPELILAAIEAVDEKNGANKTTISRHIEGIYGQLPAAHTTLLSHHLNRMKQSGELVLYKNNYLKPDPNAPPRRGRGRPPKAKALPDPDAVASPVRPRGRPPKVVDPEAPAPEAKAKSPSVSGKKRGRPKKSEKKDDDVVEAETETVAEAETETVAKAETGAAPSAPSRGRGRPPKVKPEATAVVEALL >KZN04161 pep chromosome:ASM162521v1:2:2809102:2810579:-1 gene:DCAR_004998 transcript:KZN04161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFRTPGHLYCDFLHEEAGSIQMPVCWEKFARWDTPIHVDAASGGFIAPFLYLELEWDSGFLHNLAVLHIFLSCQLLCHLAWECVKLLEDLLDICNQAFSRIP >KZN05275 pep chromosome:ASM162521v1:2:21957072:21959803:-1 gene:DCAR_006112 transcript:KZN05275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTLSSLSVLAFLVFLHASPFASAITHDDSNIFESSTLQTQAEKLIRGFNLSPEHKINIEPRGSSFSTSPRLVEKKFKFAAGGDSGASVEDLGHHAGYYRLPHSNDGRMFYFFFESRQSKADPVVIWLTGGPGCSSELAMFYENGPFHVANNLSLTWNDFGWDKVSNLIYVDQPIGTGFSYSSDDDDIRHSEEGVSNDLYDFLQEFFKAHPQYVKNDFYITGESYAGHYIPAFAARVHQGNKRKEGIPINFKGFAIGNGLTDPEIQYKAYTDYALDNKLIKKSDYNEINKLIPSCERAIKLCGPADERSCLTAYRICNNIFNDIMSLVGNKNYYDIRKECEGDLCYDFSNMEKFLKQKSVRDALGVGDIEFVSCSSAVYDAMITDWMRNLEVGIPALLEDGIKMLVYAGEYDLICNWLGNSKWVHAMKWSGQKDFVSASTIPFLVDGAEAGQMKSHGPLTFMKVHNAGHMVPMDQPKASIEMLTKWTQGKLVKTEQQSRPVLM >KZN04818 pep chromosome:ASM162521v1:2:16769287:16770691:-1 gene:DCAR_005655 transcript:KZN04818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVRKNSKKIGGNIYEILKNRAFRILRNLEILAGKRRIKLQDGSSFVDEDSKVTVYQNVQWSSRYQVVVRPNGTDVNFFRD >KZN07022 pep chromosome:ASM162521v1:2:37735576:37737706:1 gene:DCAR_007859 transcript:KZN07022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP707b-2 description:abscisic acid 8'-hydroxylase 4-like MLASPEAARFVLVTHASLFKPTYPKSKEKLIGPSALFFHQGKYHARLRKLVQNSLSPEAIRKLIPDIEVTAISTLDTCVKARLTNTFQEMKKFSFEVGILSIFSHLDTSYKTELKKNYCIVNKGYNSFPTRLPGTAYQKATKARDRITHILSKIIRERRDKRLSQKDLLGHLLDFRYDNGSQTLTDDQIADNIIGVLFAAQDTTASMITWILKYLHDDRKLLQAVKDEQMSICESNNGGKLPLTWAHTRNMPITLGVILESLRMASIISYTFREAVVDVEYNGYLIPKGWKVMPLFRNIHHNSEFFTDPQKFDSSRFQVAPKPNTYMPFGNGVHACPGNELAKLEILILIHHLVTKYRWDVVDSSDMIQYCPFPVPLHGLPARFRKDQTQSVAITPSNTS >KZN05825 pep chromosome:ASM162521v1:2:27547387:27550436:-1 gene:DCAR_006662 transcript:KZN05825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKSLLALFTLFTILVACSARKTPSTTPRTSTIIAKKTFGNFSGHVCDAERYSQLGMEIKDFAFCDSSLSYQVRVKDLIDRMTLEEKVRQLGDTAYGVPRLGLPLYEWWSEALHGVADVGQEGSKATYFDDIVPGATSFPNVINTVASFNETLWKAIGEVVSNEARAMYNLGHAGLTFWSPNINVVRDPRWGRTLETPGEDPYVVGVYAYSYVRGLQDIEGTENITDLNTRPLKVGACCKHYAAYDLDMWLGANRRTFDARVHQQDMVETFQRPFEMCVKEGDVASVMCSFNEIDGIPACADRNLLTDTFRGEWNLHGYIVSDCDSVKVMFKNEQWLHDTPEEAVSQALNAGLDLDCGFYYKNYTGNAVLQGKVRESTIDNALTNLYTVLMRLGWFDGNKKLEALGKRDICSKENIELATDAARQGIVLLKNANNSLPLNPDKHKTIAVVGPHANATEIMIGNYAGNPCKYTSPINGLSNYAKVEFHRGCGDVACKNESMIYQTMEAAKNADATIVLVGLALEFEREEHDRTDLLLPGYQHQLIEQVSKLSKGPTIVVVMSGGVVDLSHAKHSNDTQAIIWAGYPGQEGGQAIADVIFGKHNPGGRLPLTWYPANYTDMLPMTSMQLRPDDALGFPGRTYKFYNGSTVFPFGHGLSYTKFAYNLKKASRKVDIKLNKFQHCRDLNYTAGMFKPSCPAVKVEDMHCDEHIEFEVEIQNKGKMDGTETVIVYSVPPENIEGVHIKQVVAFKSVFIRAKETATVKFVLNVCQSFFVVNYNAYKLLPSGGHTVMIGDKVLSFPVQIKIKDH >KZN05996 pep chromosome:ASM162521v1:2:28990791:28991609:-1 gene:DCAR_006833 transcript:KZN05996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQLHQCQLCTRSFINGKALGGHMRSHLFPLPLPPKDPQPQDRESETESRNPTRKRSIRTRRTIKVVELESISKKPSSTESGPELEVVSSVCDDTTSDCFEDEEDVASCLMMMARDKWRFNSVSQTRERYQCESCSKVFGSFQALGGHRTSHKKVKKRLDDGEKSLEEKPRKKMIGNKNVVKKLMHECPVCFKVFGSGQALGGHKRSHFLGSSSTSASTTSSTSPTKHPLLDDEEHGKNENENFRFSFIDLNLPAPMEFEDSSPLEPLHLV >KZN05824 pep chromosome:ASM162521v1:2:27545928:27546353:1 gene:DCAR_006661 transcript:KZN05824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIIRQLQTMNIEYVTAVVSEGGVMVDQLFFHDPDGYMIEICNCQNLPILPLSGPASCPVAKSSTPNKNMPNCGKMCSEGKMCREVEALMMDNLVVGMMDLYF >KZN07131 pep chromosome:ASM162521v1:2:38566734:38569097:-1 gene:DCAR_007968 transcript:KZN07131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGNLLHLSSSNKPPHFALPPYGETYFHTATGRCSNGRLIIDFLAQYLRIPLIPPYIGTKNKRNNFSSGVNFAVAGATAMNKKSFEEKGVHIMVKNISLETQLGWFKHLLPSLCQTVSDCDKLYKRSVVLVGEIGGNDYNHALLAGISKDVVRTFVPSVVGQIASAVTVLVKLGARSLVVPGNLPIGCSAAYLTHFMANSSKQDYDPKTGCLIWLNKFAEYHNKMLRNELNKIQELHPHANIMYADYYNAARPLFLNPKKYGFSNGALRACCGGNGPYNQNSSVECGYPPSTVCENPSLNVNWDGLHLTEAAYKFIFERLFRGRYTVPAFKTLCKVS >KZN06999 pep chromosome:ASM162521v1:2:37500764:37505215:-1 gene:DCAR_007836 transcript:KZN06999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLPSQDILTLLEFKKGITHDPTGYVVDSWNEESIDFNGCPASWNGIVCNGVKVAGVVLDNLGLSANVDLSVFSNLTMLVKLSMANNSISGKIPNNIGDFKGLVYLDISDNLFFSSIPPGIGDLGSLQNLSLAGNNFSGSIPDSISGLSSIQSLDFSRNSLSGELPSSLIELTNLVYLNLSLNGFEKKIPKGFDAMVNLKVFDLHGNSLDGNLDAEFLLLTTAVHVDLSGNLLSSSSSQKQKFLPGLSDTIEYLNLSGNQLTGSLLSGGEPQVFENLRVLDLSHNDLSGELPGFNFVYELQVLKLSNNKFSGFIPNDLLKGDSSVLTELDLSGNNLSVLDLSNNLFEGNLTKLLKWGNIEYLDLSGNRLTGPIPTVTAQFLRLNHLNLSHNSLSSSLPKVITTFPKLTVLDLSYNQLDGRLIPTLLTFPTLQELHLENNKLSGSVEFPVSSSAEPSLHVLDLSLNQLSGYFPDGFGSLTGLHDLNLAGNNFSGSLPTSIGDISSLSSLDISQNHFTGPLPKNFPDSLEHFNASYNDLSGVVPENLRKFPSSSFFPGNSDLQFPNPPPGSTPTEAGHHHKKPIKKIVKVLVIIACVIAVIFFILLAVLIHYMRIRKTRQQSVTSKDIHRQVQHNPSGMGARVGGGGLVVSAEDLMTSHKGSSSEIISPDEKMAVITGFSPSKNSHFSWSPESGDSYTADLSRLDVRSPDRLGGELYFLDDTISFSPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQRKDFAKEAKKFSNIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLSSFLYDRPGRKGPPLTWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNVLLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELAASKKPLPSFKSDVYAFGVILMELLTGKCAGDVVSGDGGGVDLTDWVRLRVTEGRGSDCFDAALAPELINPASEKGSKEVLGIALRCIRSVSERPGIKTIYEDLSSI >KZN06826 pep chromosome:ASM162521v1:2:36123181:36123867:-1 gene:DCAR_007663 transcript:KZN06826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSARVTSGKVDDSAAVELSGIIIIVTIMLLFLLVLFVIIFRLYSVRHHFWNRRTSREATSSDLPTLQQRQGLDPSILSSIKVVQISAAEDKLGLECSVCLCQVSEGEKARFLPKCNHGFHMQCIDMWFHSHSTCPLCRNTVSNSPDDLEAAPPVDAGGLANSQPPIVSSSAPSSSRVRNDGHLAIDITNLRTEGDDQKSPVAVTPTAALYRSLSRLLSRNTRTSSP >KZN06683 pep chromosome:ASM162521v1:2:34987193:34990075:1 gene:DCAR_007520 transcript:KZN06683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSQKKLWSDWSLSEPAGQDYDENLDKESNVDEQSMMNMRKKLSELENEQLYDYQYQMGLLLMERKEWIANYEKLQQAFAETRDSLNQKQAAISDMEKREEDLRKALGVEKQYVVDLEKTLHKMHSQHAEIKFTSDLKLVEANALVRGIRDKSLEAESKLRAADAKDVDLSRKNLEIDRKLNEVDVKEDELQRERLSFNSEREAQTSDICKQRDDLWKWERKLQEGEQKLEDVQRLLDQREKLADIRKRVEELTFREKASWESDAKRKGLEIKEKELLELEEKLNVRANSMEEKVSKREQDLYRKTARLKEREKDFESKLTDLKEKEKSLKVEEEKTEKEKEKIILEEERLFSLKAQIEKSREDMEEERQRIKNESEILKLTEEERLGHSLLQLELKQEIDKCKSQREQLLEEREDLKQERDRFEKEWEHLDERIRLSDEERDKEIKEINYLKGVVAKEMEEVRLESSRIENEKQEIKTNQMHLDEKWIEMRRNNDELVSLSNKLKELREQFFKEKEQFLTFVEKHKSCKNCGDPFPEFVHSNLQSPASLDAMKTPTLPHLTDLYSKGHGSIHDRPGSEATSRAVDSGSPASTGTMSWLQKCTSKILTLSTGKKNDPAYTNHNFSTKHVHLESPLERLYSSDDSELQFGVAEDNLDLKQMQSNNSIREVGNGSNPDVNEQSKSNGEPHNVVEASQHSDQKLDPPRRGKRGRGKANVRHTVKTNVTDVKLRGNVPELNKNEHTKRDQAGRKMQRKRSRPYSSRRKQSDSDYTYSGGDSDSNITLGRRKRRNIVVPPLQTTSAQPYNSPVQTTSGQRYSFRQRGT >KZN07418 pep chromosome:ASM162521v1:2:40720332:40721261:-1 gene:DCAR_008255 transcript:KZN07418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDSTETLVPYMKTTDCSQGFCSSYCPQWCYVIFPPPPFVFSDENSSPSFSPLVVAIIGILASAFLLVSYYAIVSKFCGSMDSTRREIHNYPSVDLEGNYGPSNHEPWHVSGDGLDEVMIKSITVFEYKKGDGLIEGTECSVCLSEFQESENLRLLPKCSHAFHVKCIDTWLRAHSNCPLCRGDVVNLSTLTLQPPLPVPEAPMNPGIYFQGHRETDIAEADVAEEELTEADEIPKTPARADRDLGNPADGDPIPETGEAEYQQIRRSVSMSNLCQARVSIADVMCMDQDEEDSEFMSEDAGSSIAE >KZN05488 pep chromosome:ASM162521v1:2:24300180:24302550:-1 gene:DCAR_006325 transcript:KZN05488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTHEERENFECYGNSSEQIISYDLVPAPNVTGLMRRIIPNQGEISADAVISIQQCVIKFIRYVTAEANARCCEGMRTTMTAHDVLIALNKLGFHHYIGPLFIYMNRLQEFQPEQGDPLVIRRTLFQPRGMGIGGPGFDVEGVMTMGGFVGDDQNDAPSGSAAHSTADAVISIQQCVIEFIRYVTAETKTSGSTIVGVGSPDPPQFVYDDEEYQDVFLSEASFITREPNQWYREPYASSAGADSTADFAQSV >KZN04367 pep chromosome:ASM162521v1:2:9545103:9547358:-1 gene:DCAR_005204 transcript:KZN04367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSDESIKVKLDDIFAEWDLWASLLLSLFLQIILSFGGTFRRLVSHKWIVIILWLAYLLAEVIALFGLGLIASRQSFIFLSSNYTWRSLNFKVSFSGSKDRFYFFWAPFLLVHLGGPDTITAFAPEDNELWPRHLFILATECIAVAYAFYQSEHLKRHLLMIPMLLMCLCGIIKCFERTAALYYGSANSFRNSMLSKSLDAKLKKESKLPTIKIGDELSHLQVLQYAFVYFTAFKGLVVNLRLSIHERNQSREFFLATSCKDSFNLVEVELNYIYDVLFTKIPVLHQKLGYCCRVLSFSTVVASFTLFHLDHYAFNQGEDRPQDRVATLERPVHNDNCDVVITYILLIGAVVLEVIAFYMLLFCDWTVIKLRPLSHANHNGKSWKDKFLSCILLVNKTRNALLHRLLHFVGDSDHHTKSDSKDSRWANSLSTFNLIYYCLHRCSKGTEIFYGYFGLVSFLNGVLYVKPQPLNDHMTGFIFDELKRKSKMASSLAVAKDICSSKGERILSEMEQDCSTFLDFVVNFNYDDILLLWHIATELCYNDSQDQIADNKLRDTAKKLSDYMLYLMVVKPDMMSGVSSIGEIKFQDTCTKVSKFLDSELPQLQKKRFCNFFRRKSKEEKETLQRKACETILSIDREVEPGSIQLDTDIFTNASVLAKQLQLLPSETKWLMISKLWVELLSYAATHIRSSAHAKQLSRGGELITVVWLLMAHFGLGDEYEINQGTQKSQLTVEKVRSLSINPGTQESQ >KZN07363 pep chromosome:ASM162521v1:2:40338741:40341735:1 gene:DCAR_008200 transcript:KZN07363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSLAVTRLGDLLISQVQFSYQVRTQVEEIQTELTRMQCFLADADKRQHADSRVRNWVAEIRELAHRTEDVIEIYALRVEKNGRLFREISRRFACILCQCVSLYKVGKEIEIIKCKLRSVTASLQTYGVRALEGGDASNSVKFEVPLLPRISYSHLIEKDFVGMEEEMDTLVSNLKNKTDVFEVISIWGMGGQGKTTLAKKIYNHVDIRGHFKAFAWICITQQFDREKVLKSIFKQLVPGRREEISDMEDSELVRELYNVQKDTMCLVVVDDIWMLAAWECLRPAFPVGEETVGSKILLTTRNIGSVAGLGSTYKLRSLTEEEGLLLLSRKAFPQGVDAKEMRPVLEIEDVGRKIVQTCQGLPLAISTIGGILKGKGLRDWELINKDISLYLSRGGGLKEDEYYTVRQVLALSYDNLPQCMRHCFLYLGNFKEDEKIYVEELYQLWLAEGLVKSDDQETGEMMLDKAERYMVEMAQRSLIEVEQRDVGESWSTFRTCRVHDLIRDMCLFKGKEEKFLNLIDFQHTNDMLLSSESKSSRDVARILSLYSYDDSHRSSFKVFDENVIRQLRSLLFFRNYKSSLREWPGKILCIKKFKLLRVLMLTDFDFSKRKCSPLRRIGELVYLTYLSFLNSSLEDLPSSIGDLKNLQTLDLRVRGDINIPNVFWKLKQLKHLYLPLHKIRKLDAVKKLRMDGLNELELMRYFDTTYCETNDLMKLRKLRVLHVRVIVKDLEEVMSFISSNSLRHSILEVKDSNLCSEDGSSCLVQLLECPFINSLWIDAHVGILPKNYDHSHFSRLLTRIVMVNCEFEEDPMILLEKLPNLQTLQMGNNSYLGRELVCSAQGFPQLKRLWLHRLPHLTMWRVNKGAMPNLSSLQINNCPNMVMLPHGLIFLSLLKELMIVNMPETFKDRVRVIGRVEGQDFYKIRHIPYISI >KZN05134 pep chromosome:ASM162521v1:2:20443415:20445723:1 gene:DCAR_005971 transcript:KZN05134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTGFNGSVSSPKVDVYVDTGSQFLNHTVDGFLKIGSVAVARAAAEETYNVVVQGNFDLEKSLTKMCKEGVFFGTVAGVYVGMDYGMEKIRGTRDWKNALLAGAATGALASAVGNNKRDKIVQDAITGGAIATAAQFINYLT >KZN07500 pep chromosome:ASM162521v1:2:41359983:41361545:1 gene:DCAR_008337 transcript:KZN07500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDSKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASESVNKLLVGNKCDLTSQKVVSTETAQAFADEIGIPFMETSAKSATNVEQAFMAMTADIKSRMASQPASSAKPPTVQIRGQPVNQKAGCCSS >KZN06376 pep chromosome:ASM162521v1:2:32326098:32327580:-1 gene:DCAR_007213 transcript:KZN06376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKGGKKSSSSSKSLFYEAPLGYSIEDIRPNGGIKKFKSAAYSNVSFWITIDMANEVSAIGFEGYEKRLEICFSEPSIFADPEGMGLRALSKAQLDEILEPAECTIVASLSNEHVDSYVLSESSLFVYAYKIIIKTCGTTKLLKSIPPILKLAKSISLTVHSVRYSRGSFIFPGAQSYPHRSFSEEVAVLDSYFGKLGSGSKAYVMGSSDKQQKWHVYSVCAASLHSSNPMYTMEMCMTSLDRSKAAVFYKMDSNSAALMTDSSGIREILPHSDICDFEFDPCGYSMNAIEGNAISTIHITPEDGFSYASFEAAGYDPKDVDLSQLVSRVLRCFEPSEFSIALHADISSSSLEKTSSLEVKGYNLEEKSCEELGMDGSIVYQKFVKIESCGSPRSVLKCCWKDEEKEEKEY >KZN04905 pep chromosome:ASM162521v1:2:17779457:17783624:-1 gene:DCAR_005742 transcript:KZN04905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERKRKVSLFDVVDDSAISKLNKTNGAVSNSVNSLINRWNGRPFSQRYYDILEKRKTLPVWHQKDEFFKALKENQTLILVGETGSGKTTQIPQFVLEAVELESQDKRKKYIIGCTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSSRTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFFGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVIQIHTCEPPGDILVFLTGEEEIEDACRKITKEIGNFGDTAGPALVVPLYSTLPPAMQQKIFDPAPPPLTPNGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFQNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTRLGEIMSELPLDPQMGKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNSDDQSWCYENFVNHRALKSADNVRQQLARIMARFNLKLCSTDFNSRDYYINIRKAMLSGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTTRNFIRTVTDVRGEWLIDIAPHYFDLANFPQCEARRVLEKLYKKREREADAKTKK >KZN06575 pep chromosome:ASM162521v1:2:34125087:34126813:1 gene:DCAR_007412 transcript:KZN06575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYEDLVHISRCGEDPKNSSLSPDRVYLNDFQQSLTNKITEGLKLLSSNILTLLAAASLSLETPPLSSASKHLANWTNSSTNKDNVHLLISKRDDETNKRVISLSADDALDTFVDQNSPPFINETFGHIRLLGPCNGLVCLYGYPDSIALWNPAIREFKKLPKSSIPRPLGKFVLGGDVGFGYDSKTNDYKDNETELILSFNMNNEEFKKKALPIDIRDLEGEDRVTRAILPFGESIALLVYGIKQVEKVFDIWVIKSLDDDECWTRLSSIGPVSRVERPLGFWKNGELILENSCRELVLYDDGDGKVRYLGVHGKRDRLEVLVYKESLVSVN >KZN04986 pep chromosome:ASM162521v1:2:18634920:18635483:1 gene:DCAR_005823 transcript:KZN04986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQRSQKSSDVCGKLYNAIRWRTYSPRPSSPAPAPAAAHPQTISVSAAGDHTARLKAAARRMPSSGEVIPIEIHPHLLPKLQNPEQNTTNYPDSFHGTGQVQPQKKLKVQESMNDRFTAYISRVKHGMLRTSSNVGGAKISSGQDSFDDKVRVNDHSSEYIRRAHMKMKNSSNVAVAGGKNVTFK >KZN05156 pep chromosome:ASM162521v1:2:20663008:20663319:-1 gene:DCAR_005993 transcript:KZN05156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KZN05483 pep chromosome:ASM162521v1:2:24269901:24270323:-1 gene:DCAR_006320 transcript:KZN05483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIIPNQGEISADAVKSVQQCVIKFIRYVTAETKTRCGEGMSTTMTAQDVLIALNKLGFGHYIGPLFAYLNRFQEFQAKQGPSGEPLVIRRTLQPGGMGIAASFAPGFEVEGLMAMGGFMGDGQNDAPSGSAADSTGDA >KZN04593 pep chromosome:ASM162521v1:2:13132246:13132473:1 gene:DCAR_005430 transcript:KZN04593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSFKGHTYLRVMLGLECLLRDIMSYKSGPYILSFKFRFYAYSGVKTEYNTIYTDMNKVQKIWVESPHFAWQAR >KZN04697 pep chromosome:ASM162521v1:2:14841456:14847258:-1 gene:DCAR_005534 transcript:KZN04697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPFVASLKMFERYWINDEKVDQVVGLDAKFGADLPQEKEHATKLPAKNPNPSDCCSTLSEKLSGSIAVCPRGTCEFSVKAEVAESGGAAILLLINDDPDELPVIDCPSNKSVDIKIPVVIITKSDGDRFTNSMGGKNNVELLLYAPERSIVDPSVVFLWFMTVGTVACAAVWSEFTASKQSEESSDEFSPKKSSKVGADDDEDEIVEVNLMSAVTFVITASVFLLLLYFFMSASFVWVLIILFCIGGVQGICLMITVLQLAQLPNIKVATALLSCAFCYDIFWVFISPYIFGSSVMISVAKGDNSGGESIPMLLRSPKFHDPFGGYNMIGFGDILFPGLLVAYAFRYFLLFKSFLLLTNNMFDSLTLIVIYRHNFLIVLQ >KZN04110 pep chromosome:ASM162521v1:2:2138123:2138482:1 gene:DCAR_004947 transcript:KZN04110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHRRQSTPDPDVVNPPEMMEEVFTFIPTPVFRPESFSRRRRPRSHVSESKSNLAETLIKDATESMTTPESQPGLSTSPVDKRFKKKRTKRSRRGESSEAEASDISNTTGIEGHLMKE >KZN05969 pep chromosome:ASM162521v1:2:28797161:28801344:-1 gene:DCAR_006806 transcript:KZN05969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESAVAATSTMSTLPTSSSICNARRPIQFSPRRFLLRPISRRSSVSSSSSCSQFFGPVVLTSSRNFSSVLPRRGFSVSAMATPEESKRAVPLEDYRNIGIMAHIDAGKTTTTERVLFYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPVVLQIPIGAEDTFKGVVDLVRMKAIVWSGEELGAKFEYQDIPEDLLELAKEYQALMVETIVDMDDEAMEAYLEGIEPDEATIKKLIRKGTILNSFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDVPPMKGTDPENPELIIERAASDDEPFSGLAFKIMTDSFVGSLTFVRVYSGKLAAGSYVLNANKGKKERIGRLLEMHANSREDVKVALAGDIVALAGLKDTVTGETLSDTEHPIVLERMDFPDPVIKVAIEPKTKADVDKMGAGLVKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREYKVEANVGAPQVNYRESISRIAEVKYVHKKQSGGQGQFADITVRFEPMEPGMGYEFKSEIKGGAVPREYIPGVMKGLEECMSNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGIRKAAPKMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLATKEDPVAA >KZN06253 pep chromosome:ASM162521v1:2:31384897:31386636:1 gene:DCAR_007090 transcript:KZN06253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKSYTEPNDDNGNNSDDSSPDSSPIRFSIRPKSAAPQVDDTSLALSISSNPQSTKPLDPTQHVVNYNPTYDQLWAPIVGPAHPYAKDGIAQGMRNHKLGFVEDAAIEPFLFDEQYNTFYKYGYAADPSGGIGSNYVGDLDAVKQNNAISVYNIPQREQKKRKLEKKKEAQEDNEGGNVGEGVDDPSTDEWLKKNRKSPWSGKKGELQTELTEEQKKYAEEYAKKKGEEKDGKKDKAEVVADKSTFHGKEDRDYQGRSWIAPPKDAKASNEHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDSKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGSKFLTASYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDDDKQNILLAGMSDKKIVQWDMNSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEYGIPVVIKYISEPHMHSMPSISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHVIAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFKSFKCHEGVTIGCEWHPLEQSKVATCGWDGLIKYW >KZN06780 pep chromosome:ASM162521v1:2:35762024:35763225:-1 gene:DCAR_007617 transcript:KZN06780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYGTIPTASSEGTNESISTATQQVSGGIRNGRPWKEMVRSLNLPSGFGDTSDRIKTNLVYFRTNYIAIFMLIIFLSFWIHHFSNTVFLAILIDLILVLYFSNSKLIIILVMISVILLLFTEAAWNIISSLLIEAVVVTAHAIFRKTDDLSLDEESAPAADILFFIYYLYREPSSVLHGSLRKIIIVFIMIGVIALSEAAWINVISTLLIEALVVAVHASFRKTDDLFLALDEELAQTSAALTVSSA >KZN07479 pep chromosome:ASM162521v1:2:41182491:41183862:1 gene:DCAR_008316 transcript:KZN07479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSANTVAVFQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSRYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTAAAVTVRSTIRRLREQTES >KZN06812 pep chromosome:ASM162521v1:2:36026830:36031058:-1 gene:DCAR_007649 transcript:KZN06812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKEIMGFSVVKNSKSAFVTSSNILMLNNHFPQRDAKRHSVNPVVCSEEKRFQRTTPLAAITESVVKMAPQQPGTGTPKKSRESVVRDWSEKWNVKEEMVNYTAEFEVDSNFGVAGAITVVNKNRKEFFVVSITIEGFACGPVHFPCNSWVQSNKDLPGKRIFFSNQPYLPSETPAGLKALRQKDLRDLRGDGKGVRKLSDRIYDYDVYNDLGNPDRGIDSVRPTLGGDKNPYPRRCRTGRLPTMSDVHTESRVEKPLPMYVPRDERFEECKANTFSFSRFKGMCHNLLPSMMVKFSPENPNFKGFEQIDSLYSDGLFLKLGQQDKILEKFPMLKGSSHAYFLKFDIPKISLLDKFAWLRDDEFARQSIAGVNPVAIERLAVFPPVSKLDPELHGPQESALKEEHIAGHLNGMTVQEALEGNKLFIMDYHDIYLPFIDRINALDGRATYATRTIFFSTPFGTLKPIAIELSLPGPCSRSKRVVTPPVDATTNWLWQLAKAHVCSNDAGAHQLVYHWLRTHACTEPFIIAAHRQLSKMHPIYKLLDPHMRYTLEINALARQNLINADGVVESCFTPGRYTMELSLAAYKDWRFDLEGLPADLIRRGMAVPDPTQPHGLKLLLADYPYAADGLLIWDAINDWVRTYVDRYYPDLDTVCNDRELQAWYAESINVGHADKRTESWWPRLATSEDLISILTTLIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLVPDENDPEYVNFVSDPEKYFLASIPSMLQTTKYMAVVDTLSTHSADEEYIGERSHSSIWTGDAEMIEAFYKFSAKIGQIEKEIEARNRNPKLKNRCGAGVLPYELLTPSSGPGATGRGIPNSTTI >KZN07718 pep chromosome:ASM162521v1:2:43012449:43015268:-1 gene:DCAR_008555 transcript:KZN07718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVCIGWRRLLLLLPVIFLFPHIISVLQLHKDSTIEHQHQKLTKKFDHLVFGPAAGEGLHDRLQCQGTKALNKTHFPSSPRNSNYGDGISFVTVFTTYNSTADSFIKSRSSEQVSVGKMSYNKVERSMAVLNVFVNFLEVTMPRSNVLILTDPASTLPIQRNRVTLYPIKGEYSRDKLMLQRIRSYIVFLEQKLEEQSQLQGRISHYIFTDSDVAVVDDLGQIFKDYPLFDLALTFRNNKEQPLNSGFIAVRGSRSGIQRAKVFLQEVLEVYSSKYMKASRMLGDQLALAWVVKSHPSFNTKRFTRQQPFEEKIGGASVLFLPCATYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLESWNFLNSSPSPHISDMLCLILSSGRTKYDF >KZN04240 pep chromosome:ASM162521v1:2:5081366:5081551:1 gene:DCAR_005118 transcript:KZN04240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKLANAFTMVVMVLLVFASMGAAQVGAPAPAPGPVAGATSLYVPAAFAAVAAIFVWLF >KZN04056 pep chromosome:ASM162521v1:2:1255960:1258766:-1 gene:DCAR_004893 transcript:KZN04056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGKTTTLLRRVRAESLDGRRVAIIKSDKDTRYGLESIVTHDGEKFPCWPLSHLSSFKQRIGPDVYDKLEVIGIDEAQFFGDLYDFCSEVADYDGKTVIVAGLDGDYLRRRFGSLLDIIPIADSVTKLNARCELCDKRALFTLRKTGETKTELIAGADVYMPVCRKHYVSGQVVKETTRTILEKQKDQCGSLLS >KZN06299 pep chromosome:ASM162521v1:2:31796091:31798124:1 gene:DCAR_007136 transcript:KZN06299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVREENGRLKLVLSNIMKDYKTLQQQIDNITRTDHHQQQEEDNRSCDDKDAADSSQEEDDHDELVSLSLGRSSSLEAKNKDLAVHKVIKKDEDGDDDMERGLALRLDGRSYDFSGDDEIASGVSRNQSSREVEGDGASDQTTRYPRKDSKTMSTSGDDENVLQQSPAKKARVSVRAICSGPTMNDGCQWRKYGQKKAKGNPCPRAYYRCTMSPSCPVQRCHENMSVLITTYEGTHNHQLPPAATAMASTTSAAVSMLKASSSTSTSQPSFSFPSTTSTTINNTPPFYFPNTTLSTLQSHPTITLDLTTPSASSRYNNYSSTFFPTPQPIAYSPPACLNFSAPSLSSSPSFEHWRNHNVQSSPSTFSTTQLPLPSKTPFYQPYSYSIPNKNTSDQTQHQPQFSTEKIAAATKEITSNPSFQSALAAAISSIVGRGTGGFAGSMESHSGAASASSLLNAISTAESSISEQGEKNLRFELSPLASSKGPLAGFTHQGEHNK >KZN07625 pep chromosome:ASM162521v1:2:42278540:42281057:1 gene:DCAR_008462 transcript:KZN07625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFAAGNYSDAIKHFSDAITLSPANHVLYSNRSAAYASINNFSEALVDAKKTVEIKPDWAKGYSRLGSAHLGLHNYVEAIEAYKKGLELDPNNEALKSGLSDAETRVRTRPGFGNPTRPGASPFGDAFGPEMWAKLTADPTTRAFLQQPDFVNMMKELQKNPSNLNLYLKDPRVMQALGVLLNIKLSTRGSDDKGVEMYDVSSERKRPAEEEPVNVKEKKREAEPMQEEVEVSEEEREKKERKEKARKAKEEGNVAYKKKDFETAIRCYSEAIELDDEDISFVTNRAAVYLEMGKFEECIKDCDTAVERGRELRSDFKMVAKALTRKGTALVKMAKCSKDYEPAIETFQKALTEHRNPDTLKKLNDAEKAKKDLEQQEYFDPKIADEEREKGNEFFKQQKYPEAIKQYTESLRRNPKDHKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFAKGYTRKGAAQFLMKDYDKALETYQAGLKHDPHNQELLDGVKRCVAQINKASRGDLTPEELKERQVYA >KZN04169 pep chromosome:ASM162521v1:2:2903197:2903379:-1 gene:DCAR_005006 transcript:KZN04169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERENKLGLTPRLLRSHTQESNRTQVSHPRRVIKLNSFQSASNKLSTRIYSSLWNFLAE >KZN06931 pep chromosome:ASM162521v1:2:36943601:36945733:1 gene:DCAR_007768 transcript:KZN06931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGPRGVAALIVTWLAIFDAFFLHVANGHFDYHDALTKSLLFLEAQRSGKLPPNNRVPWRGDSALQDGQEAHVDLVGGYYDAGDNVKYGLPMAFTITTLSWAADFYAAELEAAGEMDNVRCAIRWGTDYFLKAAGKEKLHVQVGDPVKDHECWVRPENMNTPRTVLTIDKDHPGTEIAAETAAAMAAASLVFRGVDHTYSRRLLNKAKSLFKFAKAHRGTFDGECPFYCSYSGFNDELLWAATWLYKASRQPTYLKYITDEAIGANVAEFNWDLKYAGAQIVLSEVTLTPGGMVHLRDGANAQYVTGTSFLFSVYGDLLTRNKQVVQCGDKQITCAQVLDFSQKQMDYLLGANPQGRSYMVGFGTNPPKQAHHRGASVPPLAANEVVNCGMSFANWFNPNVPNPNELTGAFVGGPDKNDFFEDLRSSSSYTEPVTYANSLAVGALARLANRSHPI >KZN06372 pep chromosome:ASM162521v1:2:32299193:32303414:1 gene:DCAR_007209 transcript:KZN06372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVVATTTDPASLGPAKALLAMPGWQPGPTFQGVSSFLNGEVRLLQHDQGIVEEDDLDRRWEEVTGQVVDEIMFLSKHIAVSNRPALTLHPIGIPHLKDGDVPPQGGKPGWAALPSPRMGPWLLLLKKIAQSHNLVPEFEITLEATHHGPVTSKPTLFVEIGSTEEQWKRQDAANVIAQLVWEGLGLGGADAVGNWNSKSGSNKVLLGLGGGHYVPRHMDIVWKKGAWVGHLLSGYALPMEDPSQAKVDTNSKDIGGTWKVAIKVSYEATKAAFPGGEILAHLDQKSFKGWQKNAITEYLHEMDIRIGKPGDF >KZN07397 pep chromosome:ASM162521v1:2:40582276:40582908:-1 gene:DCAR_008234 transcript:KZN07397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKDCGHHDDEKKKLYRRLFAALLAFIILVLFVILLIWLILRPTKPHFILQDATLYNFNVSTSTNFLTSSFQISLSSRNPNNRIGIYYDRLDVYATYRSQQITLPTLLPPSYQGHKDVSVWSPFLSGNNVPVAPYVGESLTQDQMAGTVLINIKVYGRVRWKVGTFVSGKYHLNVNCPAYITFGGKDDKGFGVGPGIKYELVQNCNVDV >KZN05576 pep chromosome:ASM162521v1:2:25220285:25225321:-1 gene:DCAR_006413 transcript:KZN05576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSSSNQNPLQFSILYPQSNPNPNFTPNSISDTQNDPDYYPSSISNPNLTNYISLSIPKKRRRGRSRTNAASSPSHDQVYQMPNLISSGSNVINGTNGVVYGNSGNGVVDVSDEIIVINKDATNEALIALTSGFPADSLTSEEIDGGVVSVVGGIEQVNYILIRNHIITRWREDVFSWVTKEMFLDVIPKHCRGLLDKAYDYLLSRGFINFGVAPAIKEKILGEASRSNVIIIGAGLAGLAAARQLMSFGYKVTVLEGRKRAGGRVYTKKMDGANKTAAADLGGSVLTGTLGNPLGILAKQLSYPLHKVRDKCPLYNVDGKPVDPDLDTKVEIAYNQILDKASSLRQLMGEVSQDVSLGAALESLWPVDGIAGNEEATKLFNWHIANLEYANAALVSKLSLAFWDQDDPFDMGGDHCFLPGGNGRLVQALSENVPIQYEKIVHTIRYGGHGVQVISGGQIYEGDMALCTVPLGVLKSGSIKFIPELPQRKLEGEAAHKFESMPPTDAVTQVLQILKGIYKPKGIDVPDPIQTVCTRWGSDPFSLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTKRYPATMHGAFLTGLREAANMSHYASNRAMRTKVQRNTLKNTHSCACLLADLFREPDLEFGSFSVIYCKKNSDPKSVAILRVKFGEPQKKNEGSRPDQQHSSSLLVQQLQTHFNQQQEFHVYTLLSRQQALELMDVRGGDEMRLNYLCENLGVKLIGRKGLGPSADSVIASIKAERGNRRPASTSLTLKSGTSKFKPANLKQKMIRKAKVLGNSSNGSTISNKGLGVKMVDHGIDSSSSNLSSTSNKGVGLMMVDHGIDSSTSNFSSSSNFSSTTPNKGPGLKLVDHGIDSSTSNVSSTTSGKGLGLRIVDHGIDSSTPNFSFASSNKGLGLKIVDHGIDYSTPLSFPVGTKAISNENITTPFPGSNGGAKEVMCISSGPTPDNTKPSSMTPPSSSDMETVNYFGGLQ >KZN04920 pep chromosome:ASM162521v1:2:17968263:17979154:1 gene:DCAR_005757 transcript:KZN04920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEIEARDVIKIVLQFCKENSLHQSFQTLQNECQVSLNTVDSLETFVADINSGRWDAILPQVSQLKLPRKKLEDLYEQIVLEMIELREMDTARAILRQTQAMAVMKQEQPERYLRLEHLLVRTYFDPHEAYQESTKEKRRAQIAQALAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVEDMYPTSLGHTIKFGKKSHPECARFSPDGQFLVSCSVDGFIEVWDHISGKLKKDLQYQAEETFMMHEDAVLCVDFSRDSELLASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSVVFSRDGTQLLSTSFDGTARIHGLKSGKLLKEFRGHASYVNDAIFTHDGLRVVTASSDCTVKVWDLKTTDCLHTFKPPPPLRGGDASVNSVHLFSKSSDHIIVCNKTSSIYLMTLQGQVVKSFSSGKREGGDFVAACLSPKGDWIYCIGEDRNMYCFSHQSGKLEHLMKVHEKDVIGITHHPHRNLVATYGEDCTMKLWKP >KZN06698 pep chromosome:ASM162521v1:2:35094334:35096417:1 gene:DCAR_007535 transcript:KZN06698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEEKNGSTWARICDTCRSAPCTLYCRADLAYLCTACDARIHGPTGLASQHERVWICEACERAPAAFICKADAASLCTTCDADIHSANPLASRHHRVPVAPIPGCVYGPQTAKGAILGLGTQLDNGYLTPETDEVIADDDDESEAASWLLIHPAKDNPQQMTNGLLFGGEAVDEYLDFVDFNSCQDNQFTAQQQYDVPQKAYGEQYNEQQKYYVPQKSYAGDSVVPVQNQQMKTHQFQPPQQAQPKQNQNFQLDVEYETSNPGYCYPTSLSHSVSVSSMDVGVVPESTMMDISATHPRPSKGTIDLFSSQPVQMPTPLTPLDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRADADTEVDQMFSSTLMAETGYGIVPSF >KZN05259 pep chromosome:ASM162521v1:2:21801885:21802520:1 gene:DCAR_006096 transcript:KZN05259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLARPFGGYASDVAARKFGMRGRLWTLWILQTLGGVFCILLGRSNSLPIAVTMMIIFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGENFGSGLTQLLFFTSSQISTAMGLTYMGIMIVACTMPVTLVHFPQWGSMFLPPSRDVVKGSEKNYYVSKWTEDEKQQGMHQGSVKFAENSRSEHGRRVASAPTPPYATPTMLDGMWES >KZN06165 pep chromosome:ASM162521v1:2:30695834:30696010:1 gene:DCAR_007002 transcript:KZN06165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLDKHHQHPDDSGTEFCHTGQYKYTTHVICKVVNIQKSSLYTTLLSQHCIMRVAVL >KZN07362 pep chromosome:ASM162521v1:2:40330592:40335822:-1 gene:DCAR_008199 transcript:KZN07362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSMANHTASPSISLYSFSLVITGLVLFSSFIDGNAAVNRNLMAPRTHNFHTIKVSSLLPDSVCDSSSQGYKLPSSSSLKVVHKHGPCNKQHRTNSPPSPSQILAHDEARVQSIKARIIALNSVGNTFTTSESVTLPAKSGLSLDTLNYVVTVGLGSPKKDLSLAFDTGSSLTWTQCQPCVESCYKQRDPIFDPSESSGYTNVSCNTPECNQLQIRGCNGTTCLYQVGYGDNSYTIGYLAKDTLTLSSAETITDFYFGCGQNNHLLGSDQVAGLLGLGREESSIISQTSTKYGKIFSYCLPSSSSGSGYLTFGNSGISNSVQYIPLGNSNKFYVIDITGIYLNDQKLAISPTVFSTKISIIDSGTVITRLPSEAYTTLRDAFRQQMSMYPMGRPESLFDTCYDLSKYTEVNTPKISIEFGGNQKIELAMTGVLYAVSSSQMCLAFAANSDTSFPTILGNVQQKTMQVVYDLAGGKLGNLVAAQTHDFHIIKVNSLLPDSVCDSSSQGRKLPTSSSLKVVHRHGPCHNRHKTESPQSPSQILTRDNSRVDSINSRISAKSVENTLTSTRSVTLPAKSGLSLGTGNYIVTVGLGSPKKDLSLVFDTGSSLTWTQCEPCGGYCYNQHDPIFDPSDSTSYTNISCKAVKCKQLKPSACRGANCIYGVQYGDNSFTVGYLAKDKLTLSPTEIINDFYFGCGQNNQGLFGQVAGIIGLDRNKFSVFSQASKKYGKVFSYCLPSRSSGSGYLTFGKSVVSNSTQYIPLANLKGFYSINIIGIYVRGQKLAINPTVFSTYGSIIDSGTVITRFPSEAYTTLRDAFRKQMSAYPVANPTKVLDTCYDFSSYTGVTVPKISIEFGGNKIIDLGITGILYVVSLSQVCLAFSTNTGVTNLTIYGNVQQKTMQVVYDVAGGRLGFGPNGCA >KZN04198 pep chromosome:ASM162521v1:2:3617281:3617787:-1 gene:DCAR_005035 transcript:KZN04198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKAPELYFVFMNYDPQYDRLRSFRTKKGGNKLDLYLSKKHDELLENYLQPGSYNKTLSLVIVDGFAVEITEDQANVLRSAENVRLVEKNQELA >KZN07655 pep chromosome:ASM162521v1:2:42471419:42474799:1 gene:DCAR_008492 transcript:KZN07655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVHRDLIPEFLLEQNFLFSTTDENSQLVAKYFELSDFIRPVVHFFSEHNTKRGNSCYSGDHDLYVVGGLYHDVLTPAMTVGPRRRLIFIRISLSEILTSISGRGSSTGMPAAWLSEDELLAKATDSVDFSKFSPQGPSLFFEVVSST >KZN06954 pep chromosome:ASM162521v1:2:37111814:37115318:-1 gene:DCAR_007791 transcript:KZN06954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSNRGRQGRGRGRGGGRGENNYQYFRNGGAGDHSQNGQFQNGGGGNGYCHQYNQNGGNGYCHQPAGRGGYYGGGGGGGGRGGKQLRSNQPPCQWTQKVQNGGGPPSAVVGTAVLAPAHPQTSDAKILTDVKQVQVSDSPPCSEYKLVPMRRPDKGGLVAVRPSRLLVNHFPVMFDSQNTIMHYDVTVKQEVAPRSRAAKKAIPKSDLILIREKLFSDKSKGFPMQMTAYDGDKNIFSAVVLPTGSFKVELSEGEDKNSRTYTFTIKLVNELKLSKLKNYLCGNLMNMPRDILHGLDLVMKENPSKHRISIGRSTYSREFRREDDLRCGLAAFKGFQQSLKPTSQGLSLCLDYSVLAFRKPLPVVDFLKEHLRGFVGVNDIRRLKRDVIFALKGLKVNVTHRITKQKYTISGLTDQNTKDLTFPLEDPEGNNPPTRVSLVDYFRQKYRKEIMYKDIPCLDVGKNHRKNYVPLEFCVLVEGQRYPKENLDKDAALLLKRISLAPALERKNTICQMVRADDAPCGGEFIKNFGMDVVKNMTSVVGRIISPPDLKLATPAGKIQVIKVDKEKCQWNLLKNSVVDGKSVDHWALIDFSSSDRYNRLNKENFVRSLRGRCKNLGIEMAEPLASRATDMHSFTDVNRLRELLEKVIDEARRKSSGQLQMILCVMSGKHPGYKYLKWVSETQIGVITQCCLSSHANKGNDQYLANLALKINAKLGGSNVELNDSLPCLGGADPVMFVGADVNHPGAWNLTCPSIAAVVASVNWPTPTRYAARVSPQTHRKEKIVNFGAMCLDLVNTFARLNNVRPNKIVVFRDGVSEGQFDMVLNEELQDLKSAIYDDNYHPTITLVVAQKRHHTRLFLEDQGGDGRRNISPGTVVDTVVVHPFEFDFYLCSHYGSLGTSKPTHYYVLSDEHNFTSDQLQKLIYQLCFTFARCTKPVSLVPPVYYADLVAYRGRMFQEVVMEMQSPRSASSTTSFTSSTCSSATSFDDTFYKLHAELQNIMFFI >KZN06654 pep chromosome:ASM162521v1:2:34746757:34751500:1 gene:DCAR_007491 transcript:KZN06654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRIHHRNAAPVKMKKKVKEEKNEYELCKVCKINHNLGRRHNFYPNHIKSLSSFLSRFQTKLSDVRFFLKNPTILLPEHAARNRLWCVFCDFELDEIDSSFACANAINHLASADHLKSVKDFLWRYGGGMDRIDSFRIVELDLAKWEKKCKLLNEAANNGSQRLLTGPVNDIHNELNSENFYNCDRNTIHNLNSSFTNGVVPLQNHTLEEYQVSGIAEFDGHVHGANSCTSTSSGTQFGYMDNQHASILNGRIGSHPNGGMKQVIQHERTNGERSFQGLQKLHRVPSIAGDNATGNVHSGGPPPWFSSTDKNLPNDKQRSGKSSKLNPKRVGAAWAERRKMEMEMEKRGEIVTTKFDSSWLPDFGRVWQSGSRKESKKEFQVEINTSHKDESQAVTPAELQPYVSIRQVSSNSHLMAPSAATYPILKKDILILPKFGEYMLIFM >KZN04363 pep chromosome:ASM162521v1:2:9260875:9263551:-1 gene:DCAR_005200 transcript:KZN04363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLKEAMRLFSQPEKSSLDSKTCGAILQLCAEFKALSDGKKVHDFVCGNGGEIDEFVCAKLVFMYVNCGDLEEGRRVFDTIENEKVFLWNLLISEYAKIGKYEESVGLFMRMRHLGVEADVYTLTCVLKCYGTLGRVVEGERVHGYLLKIGFGSNNSVVNSLIGFYFRCERPDSACKLFDILTDRDKITWNSMISGYVANGCARKALMVFVEMLSESVSVELAVMVNVLVAIGSLERLDLARAVHGYGIKGSLFSETNFANTLLDVYSKCGDMDGSIKIFRNMGQKNVVTYTALMGSYARGSLFIEAIKLFDDMKRDGIKPDVFTATSILHACACNGSLEIGKDVHRYIRESNMQLTLFASNALIDMYAKCGSMEDALTVFSEMPRTDIVSWNTMIGGYSKNRLPNEALDLFVKMQKDLKPDNVTISCVLPSCGSLSALDRGREIHSFVLKNGLSSDQFVMNALLDMYVKCGALVLARSLFSIMPDKNLVSWTVMIAGYGMHGFGREAVSTFSEMRRAGIKPDSASFISILYSCSHSGLLDEGWSLFNIMRNDCKIEPTLEHYTCMVDLLSRAGKLAKAYSFIKNMPINPDCFIWGVLLRGCRIHHDLKLVEKVAEHIFELEPENTEYYVLLANSYAEAEQWEEVKKLRQKIGLDGLKKERECSWIELKGKVHIFVAGDNGHPLAKNINILLKKLQENMKQQEDGEFKKNNFPLTFPS >KZN05878 pep chromosome:ASM162521v1:2:28018137:28020194:-1 gene:DCAR_006715 transcript:KZN05878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKDRNLTTSHRFSRLFSRKYRKKYKRSDLCNSKEPSGELEDKYKDEDDCTDDLHDTSSEEESCTSSDMLRCGSCNFRTWNVAGRSPVDSLAVDLDEWLNLKEAADLYVLGFQEIVPLITKTVIGSEDTTEATNWNLLIGQTLNDRHGNPWLTPMVNPMASENYQCDFQERVFVTDAEPDQVIGQPNIQDSSSAYKIIASKKMVGVFLSVWMKSALVKKYCISNVKVSSVACGIMGYLGNKGSVAVSMTIEGTNLCFIAAHLASGEKKGDEGRRNYQVSEIFRRTTFSRMPHDGDRHHPLTILGHDRIFWFGDLNYRLYLEDNLARQLIEEKNWTALQEFDQLKKELEEGGVFEGWKEGNIEFAPTYKYSTFNCNRYSGGFPGKAGEKQRTPAWCDRILWYGEGVKQLSYFRSESKFSDHRPVSALFSTHIELLKLGSPRLILVPSSSIPPMSYCDHTGEMQADVKSPQPH >KZN07761 pep chromosome:ASM162521v1:2:43408858:43409205:-1 gene:DCAR_008598 transcript:KZN07761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFTKLESALNSLKSSLKAVEERENELQSAMEKATDEIDHWKTEAQDWKLKSEECEKDIQEWKKKISASTTNISKLNHQIKTKEAQMEQLKSRKQEISEKCELEQIILPKSEQ >KZN05606 pep chromosome:ASM162521v1:2:25549943:25552998:1 gene:DCAR_006443 transcript:KZN05606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPSEMHEPSIDTDKLSYEIFSILESKFLFGYDDRKLWIPKISPTSEEKAADVSPAVISDGAASNFKNQRGKVCILSVDGGGLRNIIAGKALAYLENALRRISGDSDARIADFFDVAAGTGVGGIFTAMLFGTKDDERPIFSAEDTWRLMTEHGNKIYGKGKPKSKLSRIFNGDGKSISGTAAMEKVVKSAFIDNGKSLTLRNTLKPILIPCFDLSSSAPLLFSRADAIESDSFDFRLWEVCRATSAEPGLFEPVEMRSVDGAKRCLAVDGGLVMTNPTAAAITHVLHNKQEFPFVRGVEDILVLSIGSGVVQENYEFEQVRKWKAKDWARPMARISSDGSADLVDHSVAMAFGSGHSSNYVRIQANGSSLSRCRANVESDPSPKNLKMLSEIGDEMLKQKNVESLLFEGKRIGEQSNFEKLDWFAGELVSEHQKRSCRIAPTVAFKQANQKPT >KZN04782 pep chromosome:ASM162521v1:2:15812975:15814564:-1 gene:DCAR_005619 transcript:KZN04782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLRIAYSLLYGKRIDIGSLLLDEFAYKLGQVQNRDPVIYYARFLMIIANYLCKDLVIDDRNDTLPVPVQPKKLFATLVAKNLNAEVQFVLPEHIRVQLSNLYSSPSPTSSLLLSPTTEDVREGYTSPTLLALPSPHQSGTEAAASVSQSDKRINSTSASMTKDGNDETETAPEFVLPEQVQVQVSSLYSSPSPTSSLLLPPTMEDVIEGFSSPPQEALMSPHQSGIVATTSVTHSGKRKKTASPSITKDGNDETETATHPPQKKNGASNIRPSSSHFVVDQEMPPADIRDAIPVVEIPSSPEEERAKNDLLLLEPAREQEDRQVLMNAASILKSQLVTTLTRQAERISTQEMMTLADKCYNALEGLGDDFSSFRCSISKLIAHNQKLQSAAKKIEDWNECDIEARYIHQEQSLIEEEQGLSSAQGKLSTTETHADSLKIKREELKGMIRNLTEELNEVEETVKTLRAETDQREEARSIAKAELRKLEAEKQESRSAIKAIKDQYNADKKVLERMSDHLLQQLQQVRK >KZN04497 pep chromosome:ASM162521v1:2:11916131:11919541:1 gene:DCAR_005334 transcript:KZN04497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQYPAYRNNDQSRGILWRIICALDYHHWQNNVRKMLPHKRHNKQNNAKNSKIPKTTPGADCAGEKLVGAETSHSLVNPRTEASPTHKRSLKARVKALVAKMIRKEDDNKRTGLNFPALLQLERTLSVKHLDTSNDSFRKTGKDWRSPIIFFPRKDGATKLQRPAMMMASDWNKFDLYTKESLMDYIEHQRLSQNYSFSGAREISVDQAHATQFIQNASQQQLKEHADVLKLLQINEDLFQEYQQEVDLCKENDFWMPKNSNAKARLTKSGTFPAANLSYLRRKNYKPATLEDKQTETWSSSRGKNLHNETPQTVGSEVFAHMEDQRQKLKLQNNTDKDEVPCDKADRNKLPCSSASLLDQVSNEYLPSMREKQLTRICDTRTVRDDDFKDLNSCNITFGPINNLRSTLRHRRTSSLNDSLHRYARLFDYSFSMTNKLDISKSVRFTNEYDTSSTGNAPISFKRNQSLPHGKSPWPNHDEDSLETLYPYVSSMHSLVCTTATEDNSLSESKPVEQSVGKNSEKCLRLVKNEGNLHSETSVEDVKSNQEEKYLESSTRVIDVCGTTALMNGHCEEIVGPAFEKSSCYKDLQVNSMEIDDDKDTRTSLMDSTLISCLQEDVASPTELSVSEGLEHTCDHSYERESLLTLLNNSDKDSVSMTSTACPENLNNTRKNFKSHMDTKLDKDEESDLSYVRDILTVANFNDKGFHGEWYSSEQPISPLIFDEVEESWWPHESECSQENLILLYHHQLLFDLINESIIQIYETAFTYYPRQLSTSCQVHSLREPSNEEEVLKNLLKYIGFKSELDQPPDDVVERDLSKADGWMNLQTDSECVALELEDLIFDELLEELICT >KZN07284 pep chromosome:ASM162521v1:2:39708947:39710347:-1 gene:DCAR_008121 transcript:KZN07284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKISAVWLLLALVIAMANGQGLKVGFYVKTCPSVESIVKKTIDDVIAVSPSLGGPLLRMHFHDCFVRLKGPSWNVETGRRDGRVSISNEALTNLPSPFSNITTLIQGWQRKGLTPKDLVVLSGSHTIGTSHCPAFTNRLYNFTGKGDTDPSLDSNYIAELKKKCAPNDQTTLVEMDPGSFKTFDKNYYALVRKRRGLFQSDSALLNSSKTRIYVRHQAEHFKSSFFEDFGNSMVKMGRIEVLTGSAGEIRKVCGRVN >KZN07664 pep chromosome:ASM162521v1:2:42542255:42542626:1 gene:DCAR_008501 transcript:KZN07664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIQNHLLRLILSCRKITAQVTNPSSESIVAMACSSELQFAQHYRCQLNRHPRRHHYWDAKVAARIGDNLAARLKLIGVSDVCIDVDEELRRPLHQRKMVVPFFQSVERAGVHVDGARLLGS >KZN06895 pep chromosome:ASM162521v1:2:36720129:36722715:-1 gene:DCAR_007732 transcript:KZN06895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFISEASHRLAELGLMLTRLPVSSAICSLLALLAAVLCYCYGPYWRVRRIPGPPSFPFVGHLPLLAKYGPDVFSVLAKRYGPIFRFHMGRQPLIIIADAELCREVGIKKFKDIPNRSIPSPIASSPLHQKGLFFTRDTRWSTMRNTILSVYQPSHLAKLVPVMQDYIVSATQILPSKEEDIIFSDLSLKLATDVIGQAAFGVDFGLSKPQCTASVKNSTSNDNDKGVEDFIKQHIYSTTTLKMDLSASFSIILGLLLPILQEPFRQILKRIPGTLDRKVDRTNQNLSSRLNEIVMKKMAQKDNGSKDFLSLILKARESGTVSKFFTPDYISAVTYEHLLAGSATTSFTLSTIIYLVAAHPAVEKKLLEEIDGFGPREKIPTADDLQDKFPYIDQAIARETSAQVEIGGYIIPKGTWVWLALGVLAKDPKNFPEPEKFKPERFDLNCEEEKQRHPYAHIPFGIGPRACIGSKFSLQEIKLSIIHLYRNYVFCHSPNMEKPLGLDYGIVLNFKHGVKVRAIKRTSKS >KZN04681 pep chromosome:ASM162521v1:2:14635369:14635692:-1 gene:DCAR_005518 transcript:KZN04681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSYPAWNEKLDVQMTMHVHFLNIDVQCKVSASTDRFIGRARIPASDFTGPQNYLHILSYNMERNGIINFSIRIKASGARRRITPMAASKVSNYGMDGHGCARLE >KZN06040 pep chromosome:ASM162521v1:2:29525057:29529722:-1 gene:DCAR_006877 transcript:KZN06040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEDLPNPIASNPSPNPRNNDLRTSQASNSCNRDNEDVTPSPVDSQKRPKEIILSVALNIASQPLQNTDPDVWAVLTAISDKARKRPQGSNMILTSDEHQIGRVVEDRHFIILSTQISAQHCKIYRKKIAGEDAENPSKLCTSIFVKDNSTNGTYINWEKLTKQSPEMKLHHGDIISFSAPPHHELAYAFVFRELDKHTSPNAGLLLKRKAEEHRSWHKRQRGIGLGASEGPISLDDFRCLQRSNTELRKQLEDNVKSIDALQTEVRAAVDRHETEKKELRDSVSKSYTDQLNKLNHVLESKQKELAELNKISAEQKHAMEDLNIRLSASMQSCNEANEIIDSQKASILELETLLDEERELRREEREKADMNLKTSIQKVQAESQEEMRRLSDAASKREKEQKELIHKLQESEKERSSLVVILRSKLDDTRQKLVNSENKIRQQDIQICEEQRTSASCKKTIEELEQGMRKLRKELEDEKVRKSTNID >KZN06677 pep chromosome:ASM162521v1:2:34944790:34945518:-1 gene:DCAR_007514 transcript:KZN06677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELQPPDGQINGETGALVVASTENVGTKRQRRPSVRLGDIGGDQSHDSHLNIRRSSKWKHQQPPYTTHKSNQSSKTRPILNLSGSEFLEGDDKEGNLDSVAIGSWKVRDSKSKRRNTKRIRSNWASKVDGGDDESGGEDGDGEGGFRDFGDSDSPVKGNSGMNSLDNLGNWGNCNGERNGVRVWLNQLGLGRYAPVFEIHEVDDEVLPMLTLEDLKDMGINAVGSRRKMFSSIQKLGKSFS >KZN06772 pep chromosome:ASM162521v1:2:35704544:35704795:-1 gene:DCAR_007609 transcript:KZN06772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGPHDPDLLEVEMVEEELEELEVLMADMAGGPLAVGLAADFIAAAGFQMAAVVPGQQEPEIQDFVFEDDLDVEEGGVIMQA >KZN04597 pep chromosome:ASM162521v1:2:13325006:13325377:1 gene:DCAR_005434 transcript:KZN04597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISAVVELEKRRGGDGLSDDFECGRYGLEFEGCSEVQKVSLLRFVKDDIPGITSPMVYIGMLFSWFAWHVKDHDLHSLNYMHTGERKTWYGVPQNAAAAFEDVIRDHGYNGEMNPLCEFGYY >KZN04759 pep chromosome:ASM162521v1:2:15418349:15429019:1 gene:DCAR_005596 transcript:KZN04759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESVELPSRLGILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWQKEEKGLIGILPVRDAAEATSVGPAVLSSGLGPEIGDRNPKTQVGASDAQKIDGKNQQEVIQWHTRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVQELSTRGTYYTARITSLDMTKPEMELVEQDPDFIALSLQFKASAMDLISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDVKVRLSKATELVNRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVSALEKKMQSAGMPANIWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWQTASEEHELDLKAAKERLDNDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIASALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKKVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPYDLSKVIFVATANKAQPIPPPLLDRMEVIELPGYTPEEKLRIAMRHLIPRVLDQHGLSSNFLKIPEAMMKLIIERYTREAGVRNLERNLASLARAAAVRVAEQEHVLPLKKDVHQISSPLLESRLGESAEVEMEVISMGDNHEISSDFRINSPLIVDESMLEKVLGPPRFDDKETAERVAAPGISVGLVWTAFGGEVQFVEATSMAGKGDLHLTGQLGDVIKESAQIALTWLAAAKETNLLDGRDVHIHFPAGAIPKDGPSAGVTLVTALVSLFSHTRVRSDTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLVEVPSAVLTSMEILPAKRMEDVLEHAFEGGCPWRQTSRL >KZN06509 pep chromosome:ASM162521v1:2:33526889:33529790:-1 gene:DCAR_007346 transcript:KZN06509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILITRLVHYVLRPLKQPRIISEILGGLIIGPSCLGHNNVFSTYLFPDNSEFVMKTFGVLGFMYFLFLCGVQTDFTVIQKASRKQIYIALYGVLIPLTATLIVAICLRDTLTEELQTGASIWGVASSLSITAFPVIYTIVREFNLLSSDIGRMALSTAVISDMIGINGVTAFEAAKQGEGRPMAALYYLISLAIVNAAIFLGIRQLMLWIVQTTPEGKPVDELYIVLILLGVAVAGFVCDMTGLAIANGPLWLGLAVPDGPPLGATLVEKSETFLLEILLPFSYVYIGLYTDVFSLHGRWVSLRPLFYMVACAYLTKLVATLLVSRCVYQMPLRDSFALGLIMSLRGQVELILFIHWTDLQMITQPYFTMLVLTTTAMTAIASPLINIVYDPTRPYMLNKRRNIQHNPPNMEFKIILTVHDEESVPGFISLFEVSNPTPSSPIVVFALRLIELVGRATPLFIDHDNQEHNFNYTSANPIHNALKSSHDSMCGFIKIHPFTSVSPKRSMYQDICELALLKKSSLILLPYNKDLLKTQSSIEGGDQIKHVNPSINSNILAHAPCSVGVFVDKGFFQKPHSSVSNSKSVNHHFAMLFLGGPDAREALAYADRMVGHPEISLTVVRFLAMDGEGDNLMEKKLDDGLVTWFWVKNEGNRAVAYREVVVKNGEETMAAIQSINDVYYDLWIVGRGNGVNPVLLDGLTTWSNSDELGIIGEYVSSSDVSATASVLVVQQQILRDQGQVRHKTAFTSRNL >KZN05347 pep chromosome:ASM162521v1:2:22915046:22917032:1 gene:DCAR_006184 transcript:KZN05347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNIVNEQNSGPIGRAWSWLKALPVNLWLSIADTGCKAKKLGEDDPRRIIHACKVGLAITLVSLFFYFNTLYDGFGVAAMWAVLTVVVVFEFSVGATLGKGVNRAIATLLAGSLGVGAHHLAVLSGEKVEPFAIGTTVFLIAALMTFIRFFPKMKARYDYGLVIFILTFCLISVSGYRDDEVIAMAHKRLSAVFTGGATAILVCILIFPAWAGDDLHKQLATNMEKLATFLEGFGDEYFNISESEITEDKKASLQAYKSVLNSKGTEETLANFAKWEPRHGRFRYRHPWDQYLKVGALVRQCAYSIEALNGYLNLENQIPYEIREKVQQHCTKMSTESSFALTQLALSIRTMTRSPVADSHILKAKIAATSMKSSLKTELWPDTDLFQIVPAVTVASLLADVVACNANLGEAVNELATLAHFKVTTGSNNRKPKVIHRGTSNLSAHHAIAIDA >KZN05242 pep chromosome:ASM162521v1:2:21598528:21604861:1 gene:DCAR_006079 transcript:KZN05242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILIDRACRIMIQNALYREMDKEVMTKVLYVVVVDDVKKSEGIDESFRYTRAVLQSTLQLMGCKARHAFKISQRVFELMKSECVGDASVSAGLLISESDALKMCPPRENSCSTNQFSDKTSKGNHLVLEVDNKRKSQPFESYKKRTTIVVKRKTFLDVVCEALAEYKYLGPNQRADLVLACRIRERKESITVLLCGTSGCGKSTLSALLGSRLGITTVISTDSIRHMMRSFVDEKENPLLWASTYHAGEHLDQVAVAEAKAKKKEKKSALPLSHSSNDIVYGSMSEKAPPKGPSTTELISSKQMAVEGFKAQSEMVIDSLDRLITGWEERKESVVVEGVHLSLNFVLYDATTNTVTVIDEEYRNQCVANSVSSKGMFQLIQRQGSNRHMMALLNTDGSVAKAWPVESVDGNGMPITGHSAENGAGNPMYGPLQIGKAEPINLQFGHFGISAWPSDIGGTSYASSIDESRGEGTENGSKYYSSCCSSPRFSDGPTKELMEEQSVHGSDEEIDDPPDVDSDEDLTDEEHKENQDEIEGSVDEESTKSDEEYDDLALQDIDGNTYWSGNDESHENTSALSLANQPGRGHSNIRRDRYIKNLDTFLRTKSDTMSVSSRKNVAAIGNVKSKRRTRSISSTDKDGGSISEWSASYSNAI >KZN04472 pep chromosome:ASM162521v1:2:11440439:11442415:1 gene:DCAR_005309 transcript:KZN04472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANAASGIAVHDDCKLKFLELKAKRTFRFIVFKIEEKQVIVEKLGEPAESYEDFAASLPDDECRYAVYDYDFVTVENCQKSRIFFIAWCPDTAKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVFKSRAN >KZN05094 pep chromosome:ASM162521v1:2:20137374:20141719:1 gene:DCAR_005931 transcript:KZN05094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNEQQGGQGNGNGIDPVVLDDIINRLLEFRQARTARQVQLSENEIRQLCAASREIFLQQPNLLELEAPIKICGDIHGQYGDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNITRPTDVPDSGLLCDLLWSDPSREVKGWGMNDRGVSYTYGQDKVAEFLMQHDMDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADKRPRFP >KZN05516 pep chromosome:ASM162521v1:2:24545186:24551749:1 gene:DCAR_006353 transcript:KZN05516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVGMRRSTRVFGARVLRSGRRLSTAEPGEVWKQQHQTRSAGHVEDDLADIFKDSNSVEGKKLRKEKNGRHNVMVLVDDEPKEMDVDEKTVEGAEGRRWGAVYQRKRKGLNVKDDFGKRYGRQFSRQRVKRRRGSEAVCQVQITRTGVNCFAVFLDSVLSYMSRVNVTSQQLSAFLLSEPICSVYDLHGIHFLQDSTFNARPGVCRIMDSRCSVPMFALDYSALPSVFMFFHTSLLIRSATLPITNGMEVNCDSMSDDEEISSHRTCGFDFIGGETGVAVYSTSERRALPSGAPKSVTRLTASSTMADEELDITQAKMNIGATRAHGIVSAITRSSENVAATAVDFKNFSRNVKKQIGKHDTYTVQGYSRE >KZN05315 pep chromosome:ASM162521v1:2:22534079:22536992:-1 gene:DCAR_006152 transcript:KZN05315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKIHEINLNLSSGVHIGTMGISGGNSEIEPVVELDYDASREKHYLQKPGQKYSIEDDINRLFEAIGFQASGRGMGPSQTLRENLHKKYMKRPVRVSSSQALGIGISEPASLKQALRGLCISQASEMAATKRLSKLGGSSQISEVGTNKKMYRSVVVEAGKFGNPINEVTGNLLEISLFPETSTSDISETLPKSQQALDAEVSEKNATSTRLADVSFQKDSVTRMSKQSETVSLTAGIGSRISYLRLGRRAKKSSHSALVPHSGKQLPVLDEIIPDSDESLIQSTCKGNDQKNQCHIADSLPGSETSKKVSKSKKIDPNLIKFALRNKKFVKRKLKQEPDSAETSGTHSRGVSDNDSVPCLGKLISHTPDTVEKTPCKEKERESPASSSTNISSKLSLSSVDSSAHKLGFSSVCSERQKTIVLRADEKSHSGDKGDFSQSSKSSIGEYSSSTTFSEESNLSGSHACYRPHMSKDTKWDAIQRVLNQHGSLGLRHFKLLRKLGGGDIGNVYLGKLTGAECLFAVKVMDNEFLAARKKIPRVQTEREILQMLDHPFLPTLYAQFMTNKFSCLVMDYCPGGDLHVLRQKQLSKSFSEPAVRFYIAEVLLALEYLHMLGVVYRDLKPENVLVREDGHIMLTDFDLSLRCAATPTLLKSSPPIKSPKRVSSPCTDSRCIDPFCLQPAWQVPCFTPRLESRTRKLKSDLAAQSSPMPQLIVEPTSARSNSFVGTHEYLAPEIIKGEGHGSPVDWWTLGIFFYELLYGKTPFKGTGSDDTLCNVVSSECVKFPESPMISSQAIDLMRRLLIKDPKSRLGSVKGAAEIKQHAFFHGLNWALIRCAVPPELPKFCDIRSATLDICLHNKDDVDTGEHFEFEMFRQNF >KZN04348 pep chromosome:ASM162521v1:2:8874634:8875131:1 gene:DCAR_005185 transcript:KZN04348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKVIESLDCLWFFSNVMHAPKTHPIEVPSSKEEPKVENVEKVKVETEPIMILEAKRDASSFEMISKNGGLRSRDEKESSGERKVRKATKKRNKKDVKVNVGDYEKELGFDFRFDLSELLMMIEGYERFNKKKCGEVMPPLSDGMAMKQHLKSWAYAVACNVR >KZN05314 pep chromosome:ASM162521v1:2:22523541:22527064:-1 gene:DCAR_006151 transcript:KZN05314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPWISDDLADDLESLSFNSTATTTTTDINRSISSSSSSTFTTKHHSSDPCWDAIRRRTSSSSAADPPAPLLLSDLKFIHKLGAGDIGCVYLAEMKCRQNNAVFAAKVMDKKELASRNKEGRAKTEREILEMLEHPFLPTLYGFLDSPKWTCLLTEFCPGGDLHVLRQRQPAKRFHESAVRFYASEVVVAIEYVHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDSSTSTPAQIISNQDPLGKPFRSEYHIDPPPFASSSCILPNCIVPAVSCFNPKLKRKKKPIHHSGPEFVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELFYGVTPFRGMDNELTLANIVARALEFPKEPTVPATAKDLISQLLVKDPARRLGSTKGASAIRQHPFFQAVNWALLRCTSPPFIPPPFTRDVFSDESCPETPVDYF >KZN06775 pep chromosome:ASM162521v1:2:35729410:35730042:-1 gene:DCAR_007612 transcript:KZN06775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPSSYSQMKPQITEHEHLKCPRCDSSNTKFCYYNNYNLSQPRHYCKNCRRYWTKGGTLRNIPVGGGSRKNTKRMSSKRASSLSSTSDHPKQEVTSSASSLLYNPNVTRYMNDQHQFGSLLDANGQFGNMLEGLNSNGSMQLGDQFTENQINTGIGFGLNPATVDEFGSGKIDSEGFAEAQNGVDSSCWTGSTSNGWPNLAIYTPGPNF >KZN07674 pep chromosome:ASM162521v1:2:42613508:42613714:1 gene:DCAR_008511 transcript:KZN07674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADIPYCGTEFVLYVFASLGLVLLAGLMAGLTPGLMSLGLVDLKVLIKSGRPQDRKHAGEYHLLLIS >KZN06077 pep chromosome:ASM162521v1:2:29952573:29955729:1 gene:DCAR_006914 transcript:KZN06077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKKVLVLGGTGYLGQHLLQHLSKQPFDLAFTYHSTLPPQPLLQAIHSDCIAFPLDSQNEAVFFGISKTFGQPDVVVNCAALSAPSACERDPATAMSVNVPSALVKWLLDFGNDNTLLIHLSTDHVYEGVKSFYKEEDETAPVNVYGQSKVESEKFISVNWSNFAILRSSIIYGPQTVAPVHKSLPVQWLDNLLAKGKEVQLFHDEFRCPIFVKDVVTIIQTLTSRWIADGTRMQVILNVGGPDRLSRLQMAETVVAVRGYELSLLKPVSASTIDRGVKSPADISMDIKKLIQTLDFSPLRFEEGVKLTLADPTDVTS >KZN06474 pep chromosome:ASM162521v1:2:33191330:33192970:-1 gene:DCAR_007311 transcript:KZN06474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDHSAGASSSMTGDIYSPGKSKMKWNDAEQDAGVDELLAVLGYKVKSSDMADIAEKLQHLETAMGQAQQQEISQLGSDTVHYNPSDLNSWLNSMIGEFNPNQPDDMFAQSSSSKIIFDDSDYDLKAIPGDAVLSNSNKRLKTEPSWEAPVSQSPSETQNQAVQVLVDSQENGVRLVHSLMACAEAVQESNFKLAEVLVKQIGVLAVSQIGAMRKVATYFAEALARRIYRLYPQTQKDSGFSEMLEMHFYETCPYLKFAHFTANQAILEAFADKKMVHVIDFSMKQGMQWPALMQALALRPGGPPTFRLTGIGPPSQDNTDHLQEVGWKLAQFAETIQVKFEYRGFVANSLADLDASMLDLREGETVAVNSMFELHQLLARPGAIEKVMAAVAQMKPEIVTVGEQEASHNGPLFLDRFTESLHYYSTLFDSLESCGGGVDGGAAVSTQDKVMSEVFLGRQICNLVACEGVDRIERHEPLTQWRDRFNLARFEPVHLGSNAFKQASMLLALFAGGDGYRVEEHEGCLMLGWHSRPLITTSAWKLSG >KZN05047 pep chromosome:ASM162521v1:2:19282381:19285877:1 gene:DCAR_005884 transcript:KZN05047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQYGDSYLAHQRLAIIDPASGDQPLYNEDEKIVVTVNGEIYNHEELRRSLPNHKFKTGSDCDVIAHLYEEHGENFVDMLDGMFSFVLLDTRDNSFIVARDAIGITPLYIGWGLDGSIWISSEMKGLNDDCEHFEAFPPGHLYSSKTGGLKRWYNPTWFSEAIPSAPYDPLVLRRAFEDAVIKRLMTDVPFGSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCHKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMNIDPEWKMIKPEEKRIEKWILRKAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAELHVNDKMMLNASHIFPHNTPVTKEAYYYRMIFERFFPQNSARLTVPGGASIACSTAKAVEWDSSWSNNLDPSGRAALGVHNSAYEKQLSSTVNKNLTNNIIDSVPRMMEASAPPLTIRS >KZN04629 pep chromosome:ASM162521v1:2:13718332:13718634:1 gene:DCAR_005466 transcript:KZN04629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLLSCCQKSNDPEMLPEIKNATAVRRDANLLRLVVKLMKVMFFTVVYVMELMMRSLWVKDKRTALSGGAGVELWPRCLVTAKFRLDDMKIVKNIVAMQ >KZN05145 pep chromosome:ASM162521v1:2:20568688:20570053:-1 gene:DCAR_005982 transcript:KZN05145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSTTTPRLHLQPSNMRISYMIPLRHLVGYQIRNTSTVVFDLNVICTLVNYVAQNTILVLKIQRSIEDYHSSLDWITFAAAMISLIGTVSSLLKYKDRPHKRIWFNVLVSLLLVVASCHALAVHSDPKVWANVLSILLLYVTKTNSKYKESYYLLGEKMVPIAGFCVQGDGITAVAVSAEHAHLVNSRYQDDRNLKLLVSSGEGLMANINMREECPAPPVFIPITSLAY >KZN04397 pep chromosome:ASM162521v1:2:10239239:10240385:1 gene:DCAR_005234 transcript:KZN04397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNHELYMAKFDSIDNKLDQLLGNSKSDDQPSREDPSTKGENRGDKGNKDDKGNSSNQSNKGNTTSGSAPDKEYEKSKGKEPLHQSDNVFNSDSYDDYPNDMDDDDDEEPVDPEHEENVRKFKAENEARKRKLRDYQKLLEDKLITEEQIKIEKQRIYDVACMQKNLDIKRKEGKSLDIARRIFNGPQRESFDDRKFLSLIYDLREVNPDEDIFMHAFALELEYLTVAVRGFLEEWELIVYTQRNGSFRLFVEFLKSFSVSKLWVLRNKVKRSSNLNELLLDKLLDSAIFNSPQVVKNPYCVKFVHKEVFCTVYLNEEALPKYPAKRLALASTLLRTKGFASKAKPDADDVILSYCTR >KZN05120 pep chromosome:ASM162521v1:2:20290806:20291971:-1 gene:DCAR_005957 transcript:KZN05120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIMFFAIDGHNLTAVATDAAYSKPLTREYMVIAPGQTLDVLLHADQKPDQYYMAARAYSSSPVIPFDNTTTTAILQYIDNQNPPSELPRLPYYNDTNAAFSVLGALKALASEEHPVSVPNKISTKLFFTVSINAQPCPNTRCQGPNGTHLAASVNNISFVNPSVDILEAYYYHITGVYKNGFPSISPLMFNYTADYVPLNFNAAKRGTKVNVIRYNSTVELVFQGTNQVGSSIDHPMHLHGYSFYIVGYGFGNFDKDKDPLNYNLVDPPLRNTVVVPRNGWAAVRFKADNPGVWFMHCHLERHLSWGMNMVFIVRNGNHPKSRILPRPADMPPC >KZN04670 pep chromosome:ASM162521v1:2:14453447:14458365:-1 gene:DCAR_005507 transcript:KZN04670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGKVVLTYKRKRSSVRTSPVREDGCPRSYSSDQISIESYENRVCELQDQSNDSEHLKTRNWLSTVIEAQDTPESLEVCKSSTRNLKKHVEESAFRDTSSSHDKLGISCERISEKNISTELSSNDHPSMMELCMNSVRSCADGLNHESSASQSMNTYIGKNSDILSIKSLNEKNCASKCVDGSELNELDVKERALLAKGITANICGNILKRTRFSPLFIFRRRSKIEKIANVIDEEKKSLLEEDKGASLDKGVSSSQYKTSICEAVTGEDCLVDPLRDLNQPEVARQDICSPYAQADSIAGILTANHVEETPCMEKDISKDITPLATDQADPSATCARISSNIGNEDLFCAAAAETEQQTSSIKNDFVNNKSQFVSSDNVEGKIFDRTRSEGSPGYTELAAIPPGTDCLHWHSINIVYIGIPSILALNLNKSKYLQFRVKVECYVICSTLRLFWCQVMAGSCGVLNCNVSLDLRSNENRIPTISEAHRDSKDSTSSNAPILQQLAPRGQLLDLLNPKVGELSFVHHADVKGSSTLVKDGSGEFISEYMGAASENNYQQHEAIAYRASEESRLFSLEQGRSQPRFAARSADFLGLSLLPEPRCVYAPNACNFVGRTSEFVQEAHSQLSSVQRSSLVRHELMLDNVVTRAGAVNGKRSCFFDNFQRPTTWSEEELDFLWIGVRRHGRGNWNTMLMDPRLHFLLWRSPQELAQRWDEEQSKHFSGISPFHGKHFRPTDIHKRNYFHSRAGFPMEGLADEVQFSLGDSNACHLDNNVPVNNCQINGIEQIRGPYTSMNTLHTKHYDKYCRGKYGSTSISGTEISSADGATSGLTTKGNLPHWLREAVNVPPSIHPPGMQFVNQTYPAYSAVGNLTEACTIGTLGSRNGVSASSGTDQCHANGQKDDLIVIDSDASSEETISDDHNTRH >KZN04851 pep chromosome:ASM162521v1:2:17270664:17272235:1 gene:DCAR_005688 transcript:KZN04851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDEAIYFKPASDVQEEIRESRDAETPFSLETKNSRKNALLELRVRVGNAILGKSILGGEKNGNQSEKTQENLRDLSLWGVPLLPSKGHAATDVILMKFLKARDFKAVEAFKMLQKTLKWRRRLQIDQIIDEDFGHDLENAGYICSRGKNGHPVCYVAHRISKWKNLCKKKSFVKVDKREMFLKWNIKFMEKCIQHIDFRPGGANSVIRIVDLNHAPANAMKELHFFFRRISILFRENYPGIIFRHVFINVPLWILAYHTLQLKHLSLKARNKFIFVKPYKVTKTLLKSIAPESLPVEYGGLKREVDDDFSVHDKAIQLKVRPNATISIHIPVDKAGITVFWDITVVGYEVHYTEEFIPDDECSYRILVQKEQKMKRSVRNSFHIREPGQIVLDVENPTFKKKKIFYSGVG >KZN05440 pep chromosome:ASM162521v1:2:23852797:23852952:1 gene:DCAR_006277 transcript:KZN05440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPGDRTCKKSELRKRGQTNTAEILKCFEEMLKEGSASALTLENCKLQYK >KZN05745 pep chromosome:ASM162521v1:2:26881431:26884070:-1 gene:DCAR_006582 transcript:KZN05745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNRHWPSMFKSKPHLHQWQQHEHHRTLLSSGCEERSNEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRRIRVQLQEYGQVGDANVFYWFQNRKSRSKNKQQRSNKTNPHAHTPISSALSTMVPPSSSSSSSDKSSHKSSTIAPFSLNISPDGSSLNSPTPSVNQPFSHHFHPNGEFVQEPFFFPVLSGGDHGGAFTQGFSFPEISTNVITQASPVSDEQTGRSYSNLLLTDLMMNVGPVNYKKAEDGKNKALQQQEICSYSTPPATMSTNFTSLSPNGVPSPINHVQGAGKSTVIINDVGFEVASGPLNVKEVFGEDAVLIHSSGQPVVTNEWGFTLQPLQHGAFYYLI >KZN06063 pep chromosome:ASM162521v1:2:29844573:29854585:-1 gene:DCAR_006900 transcript:KZN06063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETENTLEGSVENILEQDTLKWIFVGGKGGVGKTTCSSIISILLSRVRSSVLIISTDPAHNLSDAFQQRFTKTPSLVNGFTNLYAMEVDPTVEHEDAVGTDGMDGFISDLSNAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLGKIMSLKNKFGGMLGQMTRLFGLGDEFGEDAILGKIEGMKDIIEQVNKQFKDPGLTWLLPERFSESEIGPEAVTSVLGIITAINEHIIDTTPTQLHERSASPSSFPYSLCITLLKDSETFVEVAGQQYYGEDKKWNFIAVTEAIKALVRLALLRNHGYKMLLHGGENSNEGHELDSSKLHAGYGNRTQNRQHLGQTHLEGRALSALSRFGENARRVSEPAWLRRVQHQQAIMEPPAVVDKRPTLSSILSEKGLPGGLFVLGEAAYILRPLIYVLLIRKYGVRSWFPWSVSLAVDLMGMSILSLATTSWRTKQNSNIQLSNPEKNELNRRKILWALYLMRDPFFCNYTRQRLEGTEKLLQPIPVIGFLAAKLIELMVGAQTRYTYMSGS >KZN06612 pep chromosome:ASM162521v1:2:34388524:34390434:1 gene:DCAR_007449 transcript:KZN06612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNLLASASSGAEREAKNAEIMKSYAWIQTADGSIQEVEREVVTLWPTIDPEMKKGLGSSQNSPIILHPRVAPTTLSLVIDYCRFHLAHKSFQEHETFVEKFLQMDARRLADLLNVAVYLRLGPLLNSTKKALGQKIGDKSKEEIWDMLHLYDDLPEVLLVSSILSYKIPG >KZN07189 pep chromosome:ASM162521v1:2:38968774:38969709:1 gene:DCAR_008026 transcript:KZN07189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNMGVDKPTQEQIQQQQQLALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPAAAASTSVPVDSSPNSSSLLTSMTTMKLNNPLFYGLQQNTGMNPNTSEFNLSFQSRVDAGSHHQFYEFDNYQPQIASLGFSSSGQIGPSNDLLSKPMLSSYHHLPNFGSLSSSVSAAPATMASYLSPNLQPQKIVSSNVIGDTRAAGGSNFIGFSQYEDHMQMQGSHDHDGGIATKEFKTEESQNRINNNNQIREMRSSDTDSVFWNSNGAGSWLDPANLVGSSVPSLI >KZN07257 pep chromosome:ASM162521v1:2:39459525:39460853:-1 gene:DCAR_008094 transcript:KZN07257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFRKCLWTPEEDMRLVTYISRYGTWNWTQMPALAGLSRSGKSCRLRWYLNYLDPRVKRGNYTREEDEIIINLRSIGAGWSAIALRLPGRTDNEIKNRWHSKLIKKQIANDMAVETMAPIIERIEAEIDADLFPEAPDLEEDYPISSDILNMNVYLNDKPVPPTFADAHTSFWREPFSFENIYDIDEYAAYVDPQIGMTYPQNWFGGPFNSYYGVL >KZN05111 pep chromosome:ASM162521v1:2:20239040:20243192:1 gene:DCAR_005948 transcript:KZN05111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVAAAGTLAPLNISSTPRRSYRRSSTSTSLSAAPFLPQIRCSISPQNPNPSPQNPNFPAKSPFSLSRSAPLALTAVSVGSGFLIHLLAAGNGGIGGGFGGSGGGGGGGGSGGGDFWSRLRSYLVANAKEDENQAEWDPHGLSANVVLQMNKLSGFKKYKVSDVVFVDKLTSESIGSDDSFNEMVTIRPGGIYTKAQLQKELETLATCGMFEKVDIESTTKPDGTIGIAISFVESSWSVADRFKCINVGLMAPSKTTDKDPMEMSEKERMEFMRSQERDYKKRMDRSRPCMLPASTHREILDMLAVEGQVTARLLQKIRDKVQRWYHDNGYVCAQVVNFGNLNTREVVCEVVEGDITQVVVQFQDKLGNVCEGNTQFGVVRRELPKYLRQGKVFNIEGGKQALRNINSLALFSNIEVNPRPDEKTEGGIVVEIKLKELEQQSAEVSTEWSIVPGRGGPTLASIQPGGTVSFEHRNLKGLNRSLLGSVTTSNFLNPQDDLAFKLEYVHPYLDGLNSSRNRTLRTSCFNSRKLSPVFTGGPGMDEVPPIWVDRAGIKTNITENFTRQSKFTYGIVMEEITTRDESSNICANGQRVLPGGGVTADGPPTTLSGTGIDRMAFLQANITRDNTKFVNGAIVGERNVFQLDQGLFIGSKFPFFNRHHLTWTKFLQLKAVEEGAGKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNILELAAELRVPVRNTHVYAFAEHGNDLGSSKEVKGNPTEVYRRMGQGSSYGVGVKLGLIRAEYAVDHNSGTGAVFFRFGERF >KZN07464 pep chromosome:ASM162521v1:2:41050816:41052317:1 gene:DCAR_008301 transcript:KZN07464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGFVRPNHYSFPIIVKVCGELGCVREGEKVHGRIVKHGFEFDLFVRNALIHMYCVCGRVWSAEKVFGLSCELDLVSWNSMIDGYVKNGEVGLARGLFDQMVERDVVSWNSMIKGYVGVGDMERAKELFDGMPCRVLVSWNCLIDGFARVGNVVAACEFFDQMAVRNVVTWNTVLALYVRVNKYGECLRLFDRMMAEGEAGPAFWGALLSACRSHSNVELGEVVAKRLIDLEPEDIGPYVLLSNIYAAEGRWDDVDNVRRRIVEKGFQKASGSSVFQVGDTRGESLQVSSSVHKRSMVYSMLSDMGTQIKMSSRYRQ >KZN05430 pep chromosome:ASM162521v1:2:23788095:23789913:1 gene:DCAR_006267 transcript:KZN05430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEWPDFAKRVSGEWDGFGADFSPEGKPFELPENVVPEAYREWEVKVHDWQTQCPTLAEPEDLIAKYKLIKLLPTVGCEADAATRHSIDERHIGGAENNVSAFAYQSNGSYAAVWCVKTQDTYNLLELEHCLVHPQDQESRVRIIQGIRVENSKFKMQNIKVFIEQWYGPFRNGESLGGCSIRDTAFACTEALNSSEVAGIWQGLSSVAIFHNSQEKNIQEFVQNKTQNLTRDGSNLVLLPRKLWCSMKEDKGETHCEVGWLFSEGNAITSKCTFSSNAELKEVAAVYMDMIPSM >KZN05376 pep chromosome:ASM162521v1:2:23203074:23205537:-1 gene:DCAR_006213 transcript:KZN05376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDTKDDIAHRRSSFSCAVPFVIISSLSRRSLLYNKLPQKPLKITVLKLDDSSFEIEVAKTATIAELRNAVEDAFSHLPRQGSGTVSWSHVWGHFCLCFDGEKLLDDNKYIADYEIRDGDQLLFARHLSINYDMKRKRSPEQIGDFEQSNISNTGELDEEIDPGNHESCDDKNPRQYDEEDRFSVTTNEHKLAAMLKGWFSYRRVSLSPESN >KZN06571 pep chromosome:ASM162521v1:2:34106657:34107916:1 gene:DCAR_007408 transcript:KZN06571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIIFIVLSFFLQGALGEIICEQLPVQMCTFSVASTGNRCVLESYVSNAGSTELQCKTSDVFAENVAQWIEDDHCVEVCGVDRKTVGISSDSLSEPRIIASVCSTACYDNCPNIVDLYYNMALAEGSYLPNLCKAQNAMSRREMSQVNAWAPAAANAESDKSDAWAPAPVGAIPIIPILFPPTPAPVSVIPVIPILFPPAPAPSHAESAESVASAPPSTIPFVPLPLAPTPLVAPPFPISWPPAPVPASDN >KZN05037 pep chromosome:ASM162521v1:2:19180415:19180895:1 gene:DCAR_005874 transcript:KZN05037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITGCYLASGVTRAGPAGLLQKAPTVRSVLGLPVMPKAGKVRCSMEVKSRSDSKVGMGASLAVMATAAAMSSPAAMALVDERMSTEGTGLPFGLSSNLLGWILFGMFGLIWSLYFVYASGLDEDDDSGLSL >KZN04146 pep chromosome:ASM162521v1:2:2589413:2591670:-1 gene:DCAR_004983 transcript:KZN04146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSAIVEHIRRTKAIEQGFRENPSGVVNMSAYWLVPQHVLSGLGEAFNSIAQTEFYYSEFPNSMSSIASAMFGLGMAFANLLASAILSTGNNVSSKGGKESWTSSNINKGHFESYYWLLAITSSVNLFYYLVCSWAYGPCVEQWNGFRPDDKKGSASSEEELLQLFVLRAESELQTVMGDNHAHNMACLDSIKAQMGCLFGNSTPRNTQAVPETRENQHPRDEIFSPRMIRNKKQTGTKYRGDWLKRPVSNDEIAWLAKLLVTLSCWLNEKLGLNQSGTTDNQGAAWSYVEVSGGTRSVNGPADTMKVVFLSVVFWLISVIRATVKLMRDHGMKVNLRILASKKIMISLLMLVAFSVLKRAVSQS >KZN07784 pep chromosome:ASM162521v1:2:43564108:43564578:1 gene:DCAR_008621 transcript:KZN07784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKWEGKVSTRLRRARAKQIWSLFKDFFNLHKWFSGLETSYGIYGSNGELGCIRYCLGGSIPSSDGCAAWSKEKLIAIDEINLSLSYEIVGSNIGFNSYVSTIRVSPADNGDGCMIEWSFVVDPVNGLNVEDLVTKYKLGLESMAKKMEEALNY >KZN07432 pep chromosome:ASM162521v1:2:40794093:40794350:-1 gene:DCAR_008269 transcript:KZN07432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCCWSIIIELSSVYSIIMASSSNIIQAMSNIILEDEDGGIAIEAVEGGEKTELAHGFDAKHGIMKARKENIYQRDTHPKSSQK >KZN04490 pep chromosome:ASM162521v1:2:11828551:11829732:-1 gene:DCAR_005327 transcript:KZN04490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITPRYSHSTPTLLLYFLLVSATTTPSLSLNLTAILSPFPDLSLFSSLLLSTAVYDDLSTVTSLSLLALPNSLLSPPPPNIADILRYHILLEYLSPSDLRHLPPSGRLIRTLFSTTTPISVNITRDTVNNSVNITPSDDGATSITVTSCVKTMPYNVSVYVVNAMLIPSSVDIMASESQPPLGLNITKALIDGHDFNVAAALLEASGVSDELESAENGAGITLFIPTDDSFADLLPSVKIQSLTADKKTVLLKYHVLHSYYPLGSLELIVNPVEPTFATDLASAGDYTIGISRLNGSVALKSGLTQASVTQTLFDEKPIVIFGINTVLLPKEIFWNITINTAVKVVLPETKDELESPTQLSALNESGALRRVGSGRYIAGMYCILGFLYVLV >KZN07095 pep chromosome:ASM162521v1:2:38320599:38321366:-1 gene:DCAR_007932 transcript:KZN07095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAFISNDATRKATYKKRKKGLMKKVEELTTLCGIDACAIIYSPYDEQPEVWPDVAGVERVVEKFKSMPETQQSRKMLNQESFTRQRIAKTNEQLKKQLKENREKEMTEVMSQCLTGQLGLHNLMLPDLNDLGFLVDQKLQEINKKMNEMALQEATQQQQVVTPEEAPQEQQVVPTTVSDNVGVLAGGVVEEQRLDAGGNTDVDAYGLVPQWFNNDAVVSDNVTADYQDLGFMTPFGDENNPVAWANFF >KZN07003 pep chromosome:ASM162521v1:2:37532177:37533025:1 gene:DCAR_007840 transcript:KZN07003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIWTQSWCPYPNSTPRLCGIVCMIHGYASESSWIFELTAVAIARAGFIVCALDLQGHGYSDGCAGHIPDIYAIVDDCIQFFDAVRGSNSTLPAFLYGESLGGAIAILVCLKQAGTWNGLVLSGAMCGVSKRIRPMWPLEKLLPVAAFIAPGWRVKITKSPGSKSYKEDWKRKLVSKSPNRGRLTSSQPPAATALEFFRVCKIIERDCHELEVPLLVVHGGQDEVCDPGSARNVFKSASSTDKTFKIFEGMWHMLIGEPNEGVETVFQTILSWIGERADKEG >KZN05373 pep chromosome:ASM162521v1:2:23168146:23169144:-1 gene:DCAR_006210 transcript:KZN05373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVSAQPTGNNSSIRWFFKDKGFDDKSINVMLKKCKRLEDVQSEKADENWAYLTSIGIQERKLPNVVARCPKILSLGLNEKLIPMVQFLGTLLGTKPNDIASAITKFPQLLAHSVEEKLCPLLAFFESLGVHEKQLGKMLMFNPRIISYSIESKLAHIVEFLSSLGLSNEVIGKVLVKNPSIMGYGVDNRLRPTSEFLLSLGLEEKELQTLAVNYPEVLCRDAKKVLNSHVTYLKNCGFEDQHIAALVTGYPPILIKSIKNSLKPRIKFLVDVMGRRIEEAANYPEFFRYGMKKRLESRQKLLAQRSISCSLSEMLDCNEKKFLLRFDLAD >KZN06971 pep chromosome:ASM162521v1:2:37238505:37241753:-1 gene:DCAR_007808 transcript:KZN06971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGGMDFVDPKKKSSYVGKILLAASLTAFCILVLKQSPTFNTPSAFSRHEPGITHVLVTGGAGYIGSHAVLRLLKDSYRVTIVDNLSRGNLGAVKVLQGLFPEPNRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTLDPLRYYHNITSNTLVVLEAMAAHGVGTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFHTNSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTADGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGRGRSVKEFVEACKKATGVTIKVDFLDRRPGDYAEVLADPTKILRELNWTAQYTNLQESLQVAWRWQKSHLNGYNS >KZN05509 pep chromosome:ASM162521v1:2:24489149:24494127:-1 gene:DCAR_006346 transcript:KZN05509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIVYIKQAVEQDEAGNYPRAFSLYMNGLEYFRTHLKYEKNPKVKEAITQKFTEYLRRAEEIREVIDNGGAAPSSNGDAAVAARPKTKPKNGEGGDGEDSEQAKLRSGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLVGQRGEGNESEASRRIKTELLVQMQLIGGCLCNK >KZN04500 pep chromosome:ASM162521v1:2:11928473:11930401:1 gene:DCAR_005337 transcript:KZN04500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRGESIGSAFQSWMGEGLPVQRADIFHTINRLRKRRFNKRALEVMEWVIRERPYRPKQLDYSYLLEFTTKLHGVSHGERLFSCVPLEFQCELLYNSLVMACLDKGKITLSLAYMRKMRELGYSISHLCFNRLIILHSCPGRKKAIPKILKQMRADKVTAHVSTFNILMKIEANQHNIDRLVNVYEDMKRAKVEPNEISFCILGTAYAEARLYTVCAAYVEAIEKCMTGNNWSTTDILIMLYGYLGKRKDVERKWRSLQELPHLMSKSFELAIEAFGRIGEVKRSEEIWHDMKTKQGLKSTEQFNSMISVYCKHGFVSEATEVCKEMEQIGCNPNAVTYRHLALGLLKAGSAKEALRTLELGMQHSAIMKIRQSTPWLETTHSMVEIFAEKGDVENAEKLFEELKKANYTKYTFVYNTLIKAYVKAKIFDPKLLKRMILGGARPDSETYSLLKLTEQFRT >KZN06011 pep chromosome:ASM162521v1:2:29125311:29126993:1 gene:DCAR_006848 transcript:KZN06011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIHQREDHLGLTLSLNFQTGTHQPSLSSSPLQLNQTPSLASSSTHSHFNLHNTTTLTKQTSDRSLFETCRVETRSFLKGIDVNRLPTMTVDMEEEAGVSSPNSTISSVSGKRRSLERSELGNNSDDLIDCDDEDGDNSRKKLRLSKDQAAILEDSFKEHNTLNPKQKLALAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQDLRALKSSPQFYMQMAPPTTLTMCPSCERVSAPVSSAASPSTTPVQPLHSGSSHHRVPFNPWAIKPAAQQLLNATHHRP >KZN07390 pep chromosome:ASM162521v1:2:40519575:40520836:-1 gene:DCAR_008227 transcript:KZN07390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKDLTLAHVKSHLQMYRTVKTTDRAAASSDLFENGSSGDTSEDLMFDNIQNPRRSHDDESSQQGKSKAHQLDKEYQGQGLWTNSSSR >KZN06400 pep chromosome:ASM162521v1:2:32571832:32573109:-1 gene:DCAR_007237 transcript:KZN06400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKEVSNAEKGGIEVDNYKNGLVPRITLASVESLTLPLVQEVVLLADFGCKGCQEKVATMMSRMNGETVSIEVSLSEKKVTLTCIYPRSVKDPTQVAKIHKSPVSKSSLIKRLFRSSCT >KZN07374 pep chromosome:ASM162521v1:2:40422357:40422875:1 gene:DCAR_008211 transcript:KZN07374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNYNSAKLVIVVSTLVFLFGSLPQGHAQVQPQLVTGLTGLLNITQIQFTTVQLACTPTGNPPSTGGGVAGVAGALLSGTCNGASGSLGRVFTNASGFAQGILTLAEGIVIDPSRGMPCFINTRLPVTGTTCTVLPPTGLLEAVFQLVSVVTSPLGGLLAVATTGPWVIMP >KZN04204 pep chromosome:ASM162521v1:2:3733340:3735158:1 gene:DCAR_005041 transcript:KZN04204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLCLFVPGPNAGISGSFTFKGKVREMVLDQSPDLALLIQNKGKSPLDVKIIAPNFVLLEKQQIHLVENKHERVKVTVKNGGTDNLIILTAGTGNCTLSFKDLITQNLKKETVYTSQFNRSIYFNWTTAAVFIVLMALILLASVWTCISFRRRHYPSNSSKYQKLDMELPVSNGVKVDTELNAGWDDSWGDSWDDEEAPKTPKTPSMPITPSFSSQGVSSRRINKDGWKD >KZN07416 pep chromosome:ASM162521v1:2:40700221:40709273:1 gene:DCAR_008253 transcript:KZN07416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASNTTYHSAVPHDHSNTAITLILTVSLFIIIIVGFLSIYFCQYFLERLGHFLSLQQSPAGNLVGQGGAAPVKGLDPAIINAFPSFVYSTVKEYRKEKYGLECAICLCEFQDTDVLRLLTSCSHVFHQDCIDLWLGSHQSCPVCRRIPEAPVTDQSPDDVSRRMHDDMSSEHSVRITIEEDDHDHEGESEGRKEISLDSIQEANEPRTSNAGQTEDQEENEGEKFSRSTTTGHSIIKKREVEENQHTLMLPDHINLPPLGSLEARRLLITVALARCWNYLREMVACLIATPMSMRSLGISAEHNTPTSSIEAKQTSIILTDSSARESRSSCCSRLVASADQRSSSLYHGDTDSSLKKNDDAIVATKKWSSYMVTTAVDFVFVVIPLIIFPTVLADWTYINAILLLTVLLLCFTYRRCVSSVSLEGVQPVRTYISSYRVTMMLVTCFCILAVDFNIFPRRYAKTETYGTSVMDLGVGAYVLANSLVSRQARGIMKTSLRNALQSASPLLFLGFARIAFTSGVDYQVHVGEYGVHWNFFFTLAAVSILTSVVNIPPNYCGILGSLILIGTLALLNWYEYIIENNIQLITPRLLTLFLNQYVEKASRRMCNLAYVTYVLAANLQFLAMAMLADYIPGPKISILEEAFSKNLLGTFLLANLMTGLVNLSIDTIYVSPLPALIILSIYSLILSVAVVIADFYGLKLKFW >KZN06615 pep chromosome:ASM162521v1:2:34413606:34422068:-1 gene:DCAR_007452 transcript:KZN06615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVAFNPSSLLSRLPSLNPNRLLPSHPSFYKPVLIKSIHTPIHTTAASKFPFKTTSLSCSAVTSSPPPLTDLAIDKLHRLASEFESLEPIDRVKLLLQYSALLQPLDESSKVVSNRVMGCTSQVWLVAELDEAGKMRFKADSDSEISRGFCSCLVSILDGADPEEVLSFKTDDLAPLSLASLQNSRVNTWHNVLISMQKRTKALVAERQGKKFGELFPSLIVTDDGVQAKGSYAEAQAKFLFPENSQVQELANLLKERKIGVVAHFYMDPEVQGVLTAAQSLWPHIYISDSLVMADMAVKMAKEGCQYITVLGVDFMSENVRAILDQAGFPDVGVYRMSEEKISCSLADAAASPAYMDYLATAPVSSPSLHVVYINTSLETKAHAHELVPTITCTSSNVVPTILQAFAEVPNLTVLYGPDSYMGANIAELFRQMTMMTDEEIAEIHPKHNRNSLKSLLPRLHYFQDGTCIVHDIFGSEVVERIKDMYCDAFLTAHFEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQKLQEALDRNVSDHLQFVLGTESGMITAIVALVRKMLSVNSSRENKVSVEIVFPVSSDSVTRTSCSSPQGISLTEVGDFMKVPVIPGVASGEGCSINGGCASCPYMKMNSLASLLKVCQHLPNDSDRLSAYEARRFSLCTPNGKLISDVGCEPILHMRHFQRRYPDLPPQLAMGSQNTFVNAERNPLLSFGVITDVQYADIPDGHSFRGVPRYYRHSILVLQRAVQNWNKCQKLKFVINFGDIVDGFCPKDQSYNAVQKVVNEFDKFSGHVYHMIGNHCLYNLPRHKLLPLLKIPAPDRHAYYDFSPIPEYRFVVLDGYDISAIGWPEDHPNTEKALKFLSEKNPNSEKNSPEGLVNLDRRFLKFNGAVGEGQLEWLDRVLQDATNLNQKVVVCCHLPLDPGSSSKEALLWNYDEVMNVIHRYNCVKVCLGGHDHKGGHSIDSHGVHHRVLEAALECPPGTDAFGYIDVFDNRLSLFGTDRMDSTDMVFTP >KZN07533 pep chromosome:ASM162521v1:2:41636907:41639894:-1 gene:DCAR_008370 transcript:KZN07533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIREESSRFESLPSMTLRNLSSSSSAFYSANQSPFFSPRSPSCQSTHYDIPCDAVSSVPATCASSDLQKIDPISSSTGISNNNLSIGVEHNNDPYSRHKEKDRRIGRSQPTSFTPTSTSFASSRLRSCDVYIGFHGRKPLMLRFTNWLRAELEVQGLSCFVADRARCRNSRKHNLVERAMDACTFGVVILTRKSFRNPYTIEELRFFCYKKNLVPVYFDLGPDDCLVRDIIEKRGEMWELHGGELWLLYGGLEKEWKEAVNALSRVDDWKLEAHDGKWRDCILRAVTLLALRLGRRSVVDRLSKWREKVEKEEFPFPRNENFVGRKKELSELEFMLFGDVSGDAEKDYFEFKARPRRKNLTIGWGSNSSIDKTRKERQPESSKRKGKEPVVWKESEKDIEMQYEEVPNTHHQARKSKNTRKNGRRKRSMKVVYGKGIACVSGESGIGKTELLLEFAYRFQQRYKMVLWIGGQSRYIRQNYLNLWSYLEVDIGVENGLEKSRIKSFEEQEEAAIARVRKELMRNIPFLVVIDNLESEKDWWDHKLVMDVLPRFGGETHVIISTRLSHVMNLEPLRLSYLSGVEAMSLMQGSVKDYPVEEVDALRVIEDKLGRLTLGLAIVGAILAELPINPSRLLDTINRVPSREITWTGRECSSLRRNNFLLQLFEVCFSIFDHADGQRSLATRMVFASGWFAPSAIPGSLLSVAAHKLPEKHHRTRLWKKVLHSVTCGYTSSYGRKSEADAISLLIRFNIGRSCTKEGYIHFNHLTKLYARKRGVSGSALAMVQAVISGGSIVQNADHIWAASFLLLGFGTDPIVVELKVSELVLLIKEVILPLAIKTFVTYSRCSAALELLRLCTNALEAAEQAFVIPVEKWLNKTICWRPIQTNAQLNPYLWQELALSRATVLEIRAKLMLKGRQFDIADDLIRKAIFVRTSICGEDHPDTVSARETLSKATRLLANVQNHSSS >KZN04999 pep chromosome:ASM162521v1:2:18841516:18842043:-1 gene:DCAR_005836 transcript:KZN04999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRKNWDWHKVVDISPFLRYEATGDSDQVNNDLNMDHAEGKSYEADDALSCCSDSYDNTIFNKSYYCDREEERAKRPKAKSMKKEECYATCHKKSRKKGQDFWYDPDCRNCDDDGDDDDDGGSINQVRRSCGNRGYVASSQQKEKSGKSYALEDSSMEPKVENDKLFWDTCLDN >KZN06918 pep chromosome:ASM162521v1:2:36873750:36874190:-1 gene:DCAR_007755 transcript:KZN06918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLAQQEKLIQVMKPDGKILEYKSPMRVHQVLSEFAGHAISQTLPVIKPLWPEAEMHAGQLYYLLPLPVPSLEFRNTRKTAGNEESRGLRIKLVIRRQDLEMLSKGGVSVEDMILQLQNQKLDGNCNRNYKGWKPALQTIPEAC >KZN04749 pep chromosome:ASM162521v1:2:15321072:15337679:-1 gene:DCAR_005586 transcript:KZN04749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSIDSLLNSFRRVPPAAIPAMFDCILCLTPSSPSTLFFSLLDAFPQSTKEIDWSEKLDPEHRNYVGSYVSALCYLLKKSGDNMAAVQSFIWRILITLMKLAYLNNREAITQALGSFFDLVIETSSWAVVEATIVTYLLRAIGCSMGMPQSADLAICTWTVEPVDANEQLADFEIIEDRMPSHRSSFPLPLSCQLLTSILDAALQCKDAQKNTSALILESGRIAEIFALNLLWDLCNLTVTMVLQSQEHRSCAIKYLLPVMFKSFNSRCVSEITVRGQTCLLSRKQFLIKIWNCCKTLFSLGSLERRDGYTILSLYISFFSFIERCDNADTGVSQVFDLRTEMEFWDEIKRGLVDKESLVRKQSLHILKASVLKREKNQHLSGVSENLSSDITSAIQTMTKKGRWADKEAKSLGVGMVCSSADSGSDSQQKWDAFFLLYEMLEEYGTHLVEAAWNHQIALLLCISSSYLSSPNPGTARVLPAKMETLEEVFNWLAVLWDRGFCHDNPQVRCLIMESFLGIEWKNSGNCANLVPEDFVLGPFIQGLNDPVHHKEFGIKGIYSSKTIEGAAQFLSQYTSHLSSRHKIAFLNSLASVAKKYSFGRTGLMSLAECIAYAACGDQTCKSDKFEHSGDDISRSNQTRNALDIKSYNYKEELLDVLRFVMESSRQHFNPNYRLKVCEKVLHAAASVVSVFDVSLEFLLQFISSLPQDSTNYGGPLRVKVQEWLWAYDENQYTSVDQINRQVLKRLDDFPANFIKNHYLEHGCVNYDDEDLEVWEVEAKRWARMLFLVIKEEHHLYPTLMFIQNHGRDLRTQTNHLEWVPVKFHILILALINEFQAMYRITAESGTNRRTDLCLNGNAGDRNSEQAIELIEKLIKSLFGTLENLISFAQSSCSILWSRVAKDDTDMPSSIKGRLGGRTQRRLSVSTTTVILQAIISIKSIASITSFVAKLGSEDTLNSAFMYLWELFWNIIQSPECNSETEAEICIGAFEALCYILKAIVSVSSTLSLELVMESFKISSPKCQDEPILDYLFETFLGSINNIIGYAELVRSRRAVLMNWKWICLESLLQIPNYAVQKGDYSNLSCSLLSDAMTRHIYGDLVESLENAGEGSVLPMLRSVRLLLQLFSIGRMGHVVSSCSGMDAQMMWNLVRSSWILHRSCNKRRVAPIAALLSSVLHHSVFNDKSMHEVDNAAGPLKWLVEKILEEGVRSPRTIRLAALHLTGLWLLYPNTIKYYIKELKLLTLYGSVAFDEDFKGELNENVDARSEISLLAASPDPELTEVFINTELYARVSVAVLFNKLADLAHTSVSNHEYEKGCVALEAGKLFLLELLDSAVNDKDLGKELYKKHSAIHRRKVRVWQMICILSRFVDHDIVHKVTSSLHIALYRNNIPGVRQYMETFAIFIYLKFPLLVGEQLAVLLRDYDMRSQSLSSYVFIAANVILHSSEAVWYNNLNELLPPVIPLLTSHHHTLRGFTQLLVHQVLSKFSSALDSSTETISLEKRCFLDLKSFLEGNSDCTKLRSSMEGYLSDFNPEISASPVGIFSNRVSEVEFECVPKSLMERVIDFLNNVREDLRFSMAKDAAALKNENFQVNGGPDNDGFSVNVNKEDLVTNMRKDMLPDFQKKVTFSKNGWDDTNSNFLGDDGTYESLVDMENEEQLLNQVLQSRSLAADKSKSGRQQIILVASLLDRIPNLAGLMRTCEVFKASALAIGDANVLHDKQFQLISVTAEKWVPTIEVPTSSVKLFLEKKQQEGFSILGLEQTANSIPLDKFVFPKKSVLVLGREKEGIPVELIHILDACIEIPQLGIVRSLNVHVSGAIALWEYTRQQRS >KZN06057 pep chromosome:ASM162521v1:2:29692728:29695515:1 gene:DCAR_006894 transcript:KZN06057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKEKNEEEEEIICLESFFINDNYQLTTFTFGSQVLELLCLQSASTDFDLTGQLVWPGAMLLNNYLSKNAEMLQGCSIIELGSGVGVTGILCSRFCREIVLTDHNEEILKKNIELHKSFGTSNSSNWMTAEKLEWGNSDQLNQILGSHLGGFDLILGADIYILIKKFVAQNSIPLLFDTVEKLLRVRGKDQCKFILGYISRAKVMDLMVVSEAVKHGLHVTEIAGSRSVIGNLGGVIYDITLQ >KZN07162 pep chromosome:ASM162521v1:2:38765036:38767053:-1 gene:DCAR_007999 transcript:KZN07162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQVIVDLLVIMLVLTIRGVEAAKAEDSDTEECWNKRCSHRGPDIRFPFWLKDRQPAHCGYPGFGVSCHRGQTLLEFQYLANTSLDETQLFLSKNISVQSINYSSQEIVFVSHQLTNNLKLVNLSNSSLFAPTHFENIRPSRYAEQIAGGHYIYSTATFVSCSSRIKDNYAFYGLLPGMLTSVSGQTFPVYSLGDVRRRLFLPSVTSCTKVFDSTLTYELLEAYNSFVMKWSTPNCGKCEARGQYCKLMKNSNNQTAGLSTICFSRGRRHRDSVEPITSKIAGAIILVLVLVVLLYYLIRTYRQRKYDELKIEMFLADYQAMKPTRYSYVDIKKITGNFRDKLGQGGYGSVYKGQITSEIFVAVKVLNSDPKANGEDFINEVGTIGRIYHVNVVRLVGYCADGCNRALVYEFQPNNSLEKFTYSGKTHQNNFLGWEKMEEIALGIAKGIDYLHQGCAQQILHFDIKPHNILLDHNFNPKISDFGLAKLCSKDQSIVSMTMARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGARNNNSVENSSETYFPEWIFHHLEEGGEVAIQIEKEEDSKIAKKLTIVGLWCIGWHPVDRPSMKHVVHLLESKECPSMPPNPFGKSSVRSFTEDLEVISESN >KZN07606 pep chromosome:ASM162521v1:2:42150440:42151032:-1 gene:DCAR_008443 transcript:KZN07606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISTSKSTSSEAIHIPKQNRRRSMRNKIQIHGLNRRKASEEKSETEIEVQNLKLYMENISIFEENEKLRKKATLLHQENLYLMSEFQKRVILKGDGISTTLSQLLHDQ >KZN05008 pep chromosome:ASM162521v1:2:18920567:18920881:-1 gene:DCAR_005845 transcript:KZN05008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKISRLVSENGVVIFSKSTCCLCYAVDILFQELEVRPVVYEIDKDPEGREMEKALYRLGCSAPAVPAVFIGGKLVGSTNEVMSLHLSGSLVTLIKPYQAVLSN >KZN04996 pep chromosome:ASM162521v1:2:18805419:18808028:-1 gene:DCAR_005833 transcript:KZN04996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDATVSFAIEKLGEFVAQEVKVRIGVKDGVRWLKDELIYLQSSARYAESRQDEEQIRNWVKNVRDVANDAVTILRDFSAFQQEQEATKQSVVDCFKASVCMYKKEVKLYDIGKHIESLKERIIVIKNRRIEYGIDNILATPNLQQKQRSLLRTTAINNQVDVVGFDDDFKTLMGELDSKDTSLRVISIHGMGGLGKTSLATKLYNSSKLRQFNTHAKVCVSHEYNIADVLKRIIKSFKGLEHEQYMSNMDEHDLLQYLPQLLREEGRYFALIDDIWDVQVWEQIRIAFPDQKNGSRIIITTRNRIVAQMAEDKCFVHQLRFLREDESWQLFCKRAEPITPNMEKLGKEMVGKCGGLPLAIVVLSGLLLHNMSYEYWSNVKAHIWRHLKEGGSFQIQEILSLSYNDLSPKMKDCFLFLGRYPEDHEIYPIELKLLWIAEEFITEADEGDGVVLEDLAEDYLKELINRNLIQVESLQFNGQASRCRVHDLVRELAINIAKEQKLLAVFDSSKHNPNLIQFLEGQRRHVIYDGIGEYLKLLEHRSDALYMHSLALDNYGGRVELEEMKLMYTRFKNLKVLDMTSVESYRIPEEIGDLVFLKSLGLMGGKGGLLILPIPQSIGKLKKLQILFGSNRRGYRRYTVPREIGELHELRYLDMHITGSLNIGSRQTKLHTLCWIDRNQMLQIDVVKLTNLHTLCIQIQKEKEKGVYGGYTLKSIGKLKSLRTLIIFSPYTSIPTTKPLSSCSRLKSITLSGPIEDPSELSSLPDSVMNLKLTRSAFTEDQMPNLGNLSNLTALELDRVYEGKEIVCGYKAFPSLQILRLHRLPNLEEVKVEDGALPSLKSFQTIDCGKLKRIPLQLECFWIKDPKL >KZN07449 pep chromosome:ASM162521v1:2:40934543:40939277:-1 gene:DCAR_008286 transcript:KZN07449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGISQLFPCINPNPKKHPDDVIFSGTEPDETLGHSFCYVRSSTRFLSPTPSDRFVSPFQSLRFSPPRDPTRPEPGFKAISGASVSANTSTPRTVLQLENIYDDATGLVGGSGGVKASIVDGFESTASFRSIPLQPCSGAEPASGFFMSGPIERGAFSGPIEPGGNGGGGGDGVPYSAPLGGGIYVKKKKMKGINGMKKKFRRNFSQKNRPWVVPVRNKEECDNNNGNDFKDNGNVGSDVGPGTDDVQWALGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPEFLMGNLYKAVFRELEGLFWDCVDSGNVGEVGNVDDLNVNAVEGRGTEECLDGGTDSEVNVKKVTFDVDEIRVRRKRLWEYLAEDPEDGVDLSGSERFAFSVDDAVSVNKDSSGASRRSLLLSRLKHGLVRSKDGGKLFSWRFGLEDKEEKAGLDENVGVGEEKNGNIRSSRKRKVGPVDHESVLRAMSRALEYTEAAYLDMSNKVLDRYPELALMGSCLLVALMRDEDVYVMNVGDSRAIVAHYEPREVSSGAKSKGLGVIGSAAEAIVEESVDGCKREKEMAIEVPADALRLTALQLSTDHSTSIEEEVIRIKNEHPDDRNCIVNDRVKGRLKVTRAFGAGFLKQPKLNEALLEMFRNEYIGTAPYLSCSPSLLHHKLRNQDQFLVLSSDGLYQYFSNQEVVSYVECFIEKFPEGDPAQHLIEELLNRAAKKAGMDLHELLDIPHGDRRKYHDDVTVMVISLEGGRIWKSSGKYL >KZN04454 pep chromosome:ASM162521v1:2:11147697:11150874:1 gene:DCAR_005291 transcript:KZN04454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCFGDSNKRSNKKINTTHNQHNDQADDDARHSVHLVALYSLKHHHPCALYAKIFVANLSSPSHLMFGSSYMFLEIVKTTPKETQKKEESKNAVVVQQLETDQSFGGHGEMEEIKAKPFTFDEMEYATENFKPDHFLGEGGFGKVFKGKLRDTSQVVAIKRLDRNGTQGIREFVVEVMTLGLVDHPNLVKLIGFCAEGDQRLLVYEFMPLGSLEDHLHDPSRKSLDWNTRMKIAAGAARGLEYLHDKMNPPVIYRDLKCSNILLGEDYHPKLSDFGLAKVGPTGDNTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLEIITGRKAIDNTKSAKEQNLVAWARPLFKDRKKFHQMVDPLLQGHYPVRGLYQALAISAMCVQEEPSMRPLVADVVTALTYLASQIYDPQIHPVQNC >KZN04839 pep chromosome:ASM162521v1:2:17063977:17067503:1 gene:DCAR_005676 transcript:KZN04839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLANYSESSTVPCNCFKVAGLTETILESTQVSNFKDRYVLGEHLGLGQFGIIRACSDKLTGEVLACKSISKERLVTAEDLRSVKLEIEIMTKLSGHPNVVELKAVYEEEDYVHLVMELCAGGELFHRLEKQMKFSESQARILFKHLLQVVMYCHDNGIVHRDLKPENILLATKSSSSPIKLADFGLATYIRPGQYLYGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGVPPFWGKTKSKIFDAVRAADLRFSSDIWDHISVCAKDLISGMLCVDPSKRLTAKQVLAHSWLKDPVHSSGEQLKQLNLNFGQSEAITSCLSNPQLAMNEVYYCSDVSPAVVDTNLSLEISPVFTCKSSFSSFLVDQRTPCSISGGFSFSSSYEPNIPDFSSPIPSMPSFTFFSPCSTVQQSNNSLAREGKLPTSDKDPGVPKFVLPDLEDELGVVELRTERQRGEWKSEPMPTSIRSKRNHTIGLGELDPIDLIVTESVIRWASCTNIVGSSSLRSSLVC >KZN06692 pep chromosome:ASM162521v1:2:35053172:35054828:1 gene:DCAR_007529 transcript:KZN06692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRISSLPASKQAVRSIHSRVLRPDASSSSASAVSSVDIPGKQQDPNTKVLVPSCTVVENGRGLFASKSSAKLLKSFLTLEMAASEPFVDLGTWIYSSRLMKSPLFRQMVFGVTKVTFFDHFVAGTNNQEAGETVKMLWNEGIKGMLDYGLEHAFDNASCDKNMEAFIETIESTKSLPPSSVSSVVAKVTAICPIDLLRRMSDLLRWEYKERSYNLPWKMNTLPLLSESSPLYHTLQKPEPLSLQEERDLELAYNRLQKIIQKCHDANVPLVIDAEETWIQPAIDYFTYSASIMHTTVDSPLIYGTIQAYLKDAHERLVLAKKAADKMRLPMGVKLVRGAYMSAESQVAASLGYESPIHNSIRHTHRCYNDCASFMLDELAKGPGSLVLATHNCESGKLAATKAIDSGMGKDAQKLQFAQLYGMSEIMSYELKKEGFQVSKYLPFGPVEQIMPYLVRRAEENKGVLSTSSLDRELMKQELQRRLRAAFLRR >KZN06552 pep chromosome:ASM162521v1:2:33938291:33939116:-1 gene:DCAR_007389 transcript:KZN06552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKQQQEVYHEKQRLQFCLLHSLNNLFQEKDAFTRADLDAIAEKLVLDDPTRGKWTPLSLIFKPHHNAVTGNYDINVLIAALEGKGKRVVWHDRRNGVASLDLDGSQGKLMGIVLNVPERKYGGLWRSRHWVALRRIEGVWYNLDSDLAVPSTYKDTEEVRESLDRAISGGAEILLVMNE >KZN05096 pep chromosome:ASM162521v1:2:20149079:20149697:-1 gene:DCAR_005933 transcript:KZN05096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNSAIILVAVAFFSVISAKDFMVGDNKGWTINFDYQAWAQDKEFYVGDKLVFRYPVGVHNVFKVNGTGFQNCIKPALSEALITGNDTIVLATPGRKWYICGVGQHCAAGGQKLLIVVNPAVMSPSVAPSRSLGAPTQDLPATGSANGIALASFQSIMAVALAIFLVIAV >KZN04523 pep chromosome:ASM162521v1:2:12261221:12262295:-1 gene:DCAR_005360 transcript:KZN04523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAYLIDIVCVDESFCMLAHPKDKNLRDGESDDYEDLQTAVGGGVVIGKNLIGLGNVTNARTLKASEVQDMCIDDLSYDLEVFEQNNSPLMGSPKVFELPKKKLPTKRGRSKYEGGSNSSSNNPKSGIFEQLAKLTTTFEGVYGLLQRRENEKMYTAWDAIKEVPNLSEDVRLEAFNLLDTKTKKDDFLRMTPEERANWILGMTDKY >KZN05398 pep chromosome:ASM162521v1:2:23398741:23400305:-1 gene:DCAR_006235 transcript:KZN05398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGQREPKRLLFSATPLVFLLLVCLLYTQLSASTSPSFNKWMQQLANWKGRGNKFDEARRYQELYKPILRRLVKGEDQSKLEDHGFACDYDYYSVVCVASEPSLRIDTRRMIVQMQLNKTSLQDRTATIRPYAWQHSVEILENTTPVQIIHRNTASLPACQYIHDVPAVIFSSSGFRGNLFHEFDEVLIPLFITSRHFQSDVQFILSDYNPPLVSRYAEIFSHLSHYQIMNPASDGSVHCFPAGAVIGLKFHSFLSINISSNINPGGYSMLDFKQFLRESYNLKKFNVETQRPKLLLISRVKSRTFLNEADMVNTMEGLGFRVFIARPDQMANLTEFSKLVSSCSVMVGAHGAGLTNELFLPVGAAVIQVVPLGLEWASAFYFGEPARVMGVHYIEYRIQPEESSLIDTYRRDDPVILDPQSIFDKSFEAGRAVYITGQNLKINIPRFRKTLIEARQLLGRDTLAPSD >KZN05742 pep chromosome:ASM162521v1:2:26859290:26867930:1 gene:DCAR_006579 transcript:KZN05742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQRSLSPLHTPLFLSLSAPKSLLFSLPKLPFPSLKSSAHTLSLTRASPNAQNDAVLEILSSFDGSDNKALPAVRTYENELTRLSLVGAVDFDQAVVAAAADGGEAAEEHVVSGSNAMVVETVFPGGGDEKGTVSTRLFLPASKVKEKSKKLKRILIDDILSSTSSRNILAMTFRQVVVEQLWNWEMVIFRPGTQRNMDDLMKPREQVPASFTLSSSDELSISVLAEVVCLAVLDSTERHFCDKSLGRGYASFFNLFRKTERISSKDRSVSIQVLVEDELVRNAKILLEKFNFEKTNNKLMGVNPNYNWWMLSAFSELTKIGGPEFSTWVSQYVPAYKLQIDHNKLKNIKFDGWKNTSDNWWEVLLTHSQMVGLANILDMYYEDLYTLPNKELSCGAINKATDLFNKGRISFLKILSTFVVVSVLSLVAISTTGKLRLPHIRNGRMYLRDPKLTKASDTEPIHQQSADSAKIQIILSAEGKITGIQPTSSTAFDHWATNPLAKELYVGKNVLPGLPNPRLENIGPTEMVVLDLFIPVNTGITSYLKMLIPGGPGPEQIIYSTGYSSPAKEMS >KZN06404 pep chromosome:ASM162521v1:2:32606796:32607992:1 gene:DCAR_007241 transcript:KZN06404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFHRLNHNSGKKSRNKPVSVEESKMMMRKVRIICHDPDMTDSSDDEGGNDVKNSRYGPKRIVREVLIPMADCPQPVEESSCQGSNNGEKVAKKKRVVTRAPPSQARASSKFRGVRQRKWGKWAAEIRDPFQSKRVWLGTYNTAEEAARAYDLKKIEFDSMLAAASSGRTSGNSNSHALQQIKNQPAASEESGSVISRASPSSVLELESSSSVKKTVEDIINNNTCPATETLSLAEIGKGLDLGLELGSSQNEQGLDFGMEFIPQIEQGLDTEMAVIPQANGAGVDVGVELDSQIAQGLKLEAEIGQELDFGLDLDAFFLDDFVQPLEFGDLDDIQILGLDDADPSELPDCDFDEFESDELAWLNEIMDVQPTKNEAPRMNEQSRMNDQQSFNIACL >KZN07551 pep chromosome:ASM162521v1:2:41772878:41775529:-1 gene:DCAR_008388 transcript:KZN07551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRVGANKFSERQPLGTSAQTDKDYKEPPPAPLFEPGELTSWSFYRAGIAEFIATFLFLYVTVLTVMGVARAPNKCASVGIQGIAWAFGGMIFALVYCTAGISGTCVNRGCVPSKALLAVSGRMRELQNEHHMKAFGLQCLGAICGAGVIKGFEGSSRFELNGGGANVVNHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWVFWVGPFIGAALAALYHQIVIRAIPFKSRA >KZN05428 pep chromosome:ASM162521v1:2:23767363:23769359:1 gene:DCAR_006265 transcript:KZN05428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQLFKRLPRKSLKSESTGSPSNGTAPLGQRSGNAGTGRANATKRTSSAVFPAGVMSGIEPLLPFKDVPNSEKMNLFISKLSLCCVVFDFTDPTKSVNEKDLKRVTLIELQEFLSTGAPKYTEPAILAMCKMCAVNLFRVFPPHYRSNTRSGENDDDEPTFDPSWSHLQLVYDILLKFVSCPSVEPKVAKKYINHSFILGLLDLLESEDPRERECLKGIMHKIYGKFMVHRPFIRKNISNVFSRFVNETDRCNGIAELLEIYGSVITGFALPLKEEHKIFLRMGIIPLHKPRALGAYFQQLSYCVMQFIEKEPKLASTVITGLLKYWPITNSQKEVLFLSEIEEILEIIKMDEFQKVMALLFRRIGCCISSSHFQVAERTLFLWNNEHIVNLIAHNRRVILPLIFPALENNVHSHWNHSVLNLTLNVRTIFSEMDDELFLDCHSQFMEEQFKLTRETEKRKEAWKHLENAASRQPIAGNTAVLVNP >KZN04694 pep chromosome:ASM162521v1:2:14817344:14818294:-1 gene:DCAR_005531 transcript:KZN04694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNSSAMNPPENPNNEKNLKTTLSSLKTLIYLSHSITTLLSPPTTTISPQPPSSPSPSSSFFYQNCPSVVSLPPPTPHPLSLPPFLHHKPPHALSHTSHNRFLPSDYMFLLKETNGWNDYPLHYSCIIRRLLLCLASTRTKLLVDVVSRWVLVNAPSYGLAIDVFVKDHVVLLLRSCLRPILTEAVALGDGDVNQFYFSCPVLCQVLGWYGTQVGILFGEMNGKLFALGLFKHLVLNVTMNLLMFSDKTIESGKDDFVCDGEVSVSQVEDAVAAFRERSLLEQKMKAARTLRQLNRSQRYNTSVLMAVYFTSSF >KZN05417 pep chromosome:ASM162521v1:2:23634534:23635349:1 gene:DCAR_006254 transcript:KZN05417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKDTELLSRVVANHLFLGQFEPFRATLLTLRSRNPNLARSILQTIVSKAGRLDNLLFSPSCPSPAHLTHLCTLELLQFNDPCLDVWSFGVDSLRLRAEFLLCVQIISSRVADSVKESVSLGENVEGEGEDRVLAGNEEVFRVLERVLEVGVSRLRPSVVDEGDEGVGVEFEEEEFGCLKKAVLENAEMFEALCDNVEKQVGLVESDELGLGRKVDGDFKVFRMIQRVVQVAHLDAMNECLNEGDEDGMIGHVRFLHLDHGVEESRYRYA >KZN04701 pep chromosome:ASM162521v1:2:14885254:14891561:1 gene:DCAR_005538 transcript:KZN04701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDYNSIGINTDLDRIINLNNSPLCTFPSQNQVKTTNTHLRNSLNLSLKCSKVENFETHQKILSRIMSDPHRIDQDLLQKLVYDALVWSSLHGLVVGDRNIQRSGVVPGVSMVHAPVALLPTSFPESHWKQACELAPIFNELVDRVSLDGKFLQDSLSRTKEVDDFTSRLLDIHSKMLQMNKKEDIRLGLHRSDYMLDEQTKLLLQIELNTISSSFAGLSCVVSELHRSLINTYKKQLGLDSNKVPINTAVTQFAEALARAWTEYNNPRAAVLFVVQHEERNMYDQHWFSLILNEKHKITTVRKTLAEISAQGKLQPDGTLVIDGEAIAVIYFRAGYAPTDYPTESEWRARLLMEQSSAVKCPSISYHLVGTKKIQQELAKPDVLERFLDNKDDVAKLRKCFAGLWSLEESNIFKDAIERPELYVMKPQREGGGNNIYGYDVREALLRLQREETNEDAAYILMQRIFPVVAPTILLRDGSCHKDSAVSELGIYGAYLRNKEHVLVNEHAGYLMRTKVSSSNEGGVAAGFAVLDSIYLT >KZN05603 pep chromosome:ASM162521v1:2:25537907:25538410:1 gene:DCAR_006440 transcript:KZN05603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVCCFVLIITLIIQDSTILCATSPLHAPTYAPAYSPTNAPTDAPAYTPTNAPTDAPAYTPKLAPKLSPKPTPPSLPSPSKSNSGDIPHSPPSLPSVSPPPQSAKSRGGSNGMKGGQKAGLAIGVIAGAALVGFGGMVYAKRRQNIRRSRFMDSVQLSTPFRRVSP >KZN04373 pep chromosome:ASM162521v1:2:9649449:9652149:-1 gene:DCAR_005210 transcript:KZN04373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMMLLIFMIFTSCIVLGDSTCNITTDQELLIKAFSSVSGFKSYWFSSHDLNCKSQHITHVNLSSRNLNGSVSWKYFKKLSYLQTIDLSNNSLKGYVPSWFWAIPTLVELNLSKNKLVGTIGFKISSESGPFSSIRHLNLSENRFTNLANISHFPNLTALDLSHNNLKFLPLGLNMLINIQYLDFSKCNISGSLNPISNLRSLTYLDVSINHMIGEFPSEFPPLSGLKFLNISFNNFSGNLTSANIEEFGHSAFVHAGKFNTSSKNINRSPTTVPHSIRIPPENDAQHQENLKKSNHTSKHKHLALAVSVSATILVIAMFMYIFYMHRKRKLAKKNRWAISKPAQIPFKVEKSGPFSFETESGTSWVADIKEPSSAAVVMFEKPLMNYLTFKDLIAATSHFGKESLLAEGRCGPVYRAILPGELHVAIKVLESARYIDIGDMKAIFDDISRLKHPNLLPISGYCIAGNEKLVLYEFMANGNLHQWLHELPAGKTNVEDWSTDTWEHSNEIANRHHISSPDKMEWLTRHRVAVGIARGLAYLHHGLSKPVVHGHLVPSNILLSDDLEPKIADFALGPDQVGRSPEADVYDFGTVLIELLTGQISSDETVNTVRRLVREGHGLNALDSRLRRGGDTVSEMVESLRVGYLCTAEVPRKRPTMQQVLGLLKEVNPLMEELN >KZN05910 pep chromosome:ASM162521v1:2:28286772:28287146:1 gene:DCAR_006747 transcript:KZN05910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRLANANPLRFFSSFREERDTFGPIQVPSDKLWGAQTQRSLQNFKIGGEHERMPEPIVRASNMEYGLDPSIRKAIMQTTQEVAEGKLNDHFFLVIWQTGSGTQSNMNANELQWKSIYDLYRS >KZN04369 pep chromosome:ASM162521v1:2:9635709:9637862:-1 gene:DCAR_005206 transcript:KZN04369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVPIHKILLTDLFDEWELRSCILLSLNLQIFLIVAGTFRRLASHKWIVVLLWLAYLLAEIIAVFALGLIVSRQSSFSKNCKDGEPDYCYKDHIHLYWAPFLLVHIGGPDTITAFAPEDNELWLRHWFYLASQCVVVAYALYESLLSNHRLIIPALLMFFVGIVKCFERTAALYYGSANSFLNSMLTKSDKDDRITRRESRLLIRKNGNDLTHLQVLQYAFVCFKAFKGLVVDLDLSINERNQSREFFLATSCKDAFWLVEVELNYLYDVLYTKLFLLHHKISYFCRTLSFVAVVASSLLFHYVARDYYTTLDVVITYILLVGAVGLDVVAVFMLLFCDWTVIKLKPLSDDNPKNKSWKDKFVNWILLVNRSKSVFIEWLLRFVGDKYDNPEPKFTDSRWADSLSKFNLILYCLKRPSKKRENFYSSFGLVRFLNWIWYVKPQPLTKHMAEFIFDELKTKSEMAESLDKAKQICSSKGEWVLRENKTFLQFVDNYNYDDILLLWHIATELCYNDSQVQETNNAQRETAKQLSDYMLYLMVMKPDRMSAVCGIGQIKFRNICSEVCRLFDTGESLQLKRRSFCFSKRESQGEEALLTKACERILSFNRESEPVTVKRDRNILFTATVLAKELKMLPSEKKWLIIGQLWVELLSYAAANIRSNAHAVQLSRGGELITIVWILMAHFGLGDEYEINSGTQESGTQESQLTVERVRSLSI >KZN04760 pep chromosome:ASM162521v1:2:15432579:15442936:-1 gene:DCAR_005597 transcript:KZN04760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQANNLFETASQPDTGNDAYTFLEFNTHGDDDFDYPDFQQLSQPIRSSAWPTPSDSVADNINLTSDHHSDSSPSNKTRNQSAVEALAAGMSGLTFEETGDDDAAYDFGKGAFTEHACRYCGVQNPACVVRCNVPSCRKWFCNSRGSTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKSNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNLTIRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVVKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNALPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPSLSEFPSNSFYEGTLQNGVTINERQSTGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVIPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGMVPNDSLGSASSSANADRRSSRSRGSFMPPGPLNGTHKPGLHPAAYPMPRVPIPPYHGAPPQPYAIPARGAVHGPVGAVPQLGSRGFGAGRGNTGAPIGGHLPQQQGTQQPIGSVGSHYNYSALESPSSQPSPGGPLSQPGYTSVQPNQSFRDGFSVGGMSQDFLGDDFKSQGSHVPYNVADFSTQASQSGYTVDYVTQGAQGGFPSSYLNQNSQAGYSRFGSGNEFMSQDYMGHGSQGLFTQVGFNDPSQDDTPQSHFGLGNASQLQSQALLNPLYSQPFTNYNTQPHNIQASQQQQGQPGQGPQNQKLHYNS >KZN06703 pep chromosome:ASM162521v1:2:35123060:35125756:1 gene:DCAR_007540 transcript:KZN06703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAVVYLVARLEDCIYKEANKKRAAKLTKLQTEFNRVRPFLKHADWRWIIMEDHVYEKWVTDVIDLACEIENAIDSDFCTKRDTKEFSVTSFMDLVGESINYFSEKKITDNSYLDISNFLERTSKKLKEVEIMKSLPFISLLEDAGGEGQMWHTDSFTRKLPLDCMVGRDKEIKILEEWLLNENVDTALIVAVTGTIGIGKTAMSSTVFDHLKIHFDCAAWIYVTSRPAIDLLRDMYTGFHRSSLEISGKKHLDDLDEEELTKIISSYLVEKKFLLVVDDLDALDAWEYVKRALPLNCKGKVVVTTRNPEISAILCHHVLHLEPLSTEDSLILLRRRSCWQQYDLDDVLWPSSGELLVEEILQICQGQPLVIATIGGMLSTVNIKEPKEWDKFLGILRASVGSLCQFNIIQKALLVSYFSLQPMLKCCLLYCGIFPLHHEISCKKLIRAWVAEGFIEKQLLGMTAEEIGKLLLDDLIRRNLLEVARVGANGEVVSCRLLRLMQHFILKTLNRDYISILSNELVSPSKVTRILVIHGVINNLMPGVNTNSVRSLLLVRQNGLSTPSVLQNSFSNIKFLRVLELQNSPIDVLPDSIGDLVLLRYINLRGTRIHNIPPTIKSLRELQTLDIRDTCVRALPGGIDGLKMLRHLLLADSFSNRLVKLDGDVMFCKDLQTLAGIKLTQQIAYGLPYLPQLLKLSVGDVEGRATSLQLSKSIDMMKNLNSLTIKCAWRKEIQIQASNPLENLEKLRISGWIKNLLGWVCRLKSLKYLYLRDCMLIDDPVSSLQGLPSLCVLSLYNAYKGSHIHSVDASGFPNLKELSLQNLAGLEEWMRIEEGSMRSLYTINIAKCPLLKLPPKGMENLANLQKVQVKTMPAEFIMKTRELLLHTNVHFSVVC >KZN07290 pep chromosome:ASM162521v1:2:39752736:39755203:-1 gene:DCAR_008127 transcript:KZN07290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEKCEKKLGKVIVPDKWKDGASNTTEGGGRKINENKLLSKKNRWTPYGTTKCIICKQQVHQNGKYCHTCAYTKGVCAMCGKQVLDTQLYKQSNV >KZN06842 pep chromosome:ASM162521v1:2:36261510:36267881:1 gene:DCAR_007679 transcript:KZN06842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSLVKESDAGVSKKNGAVDDQRVGRGRGKGKSGVGDDGIRKRKSGGYVGLDGVESKVKRTRKGFSDGGGSSSVKSDVPCMDSGQKLDGSYGLGGFSPSLGGDGNDIQVPRKQRVFGRIKNSLKKPLVGSSSVVDQNDELNSDYKSGQTDAKGVFWSGSKCDKVNGGDAYFRRARRYEKKRKGLESGSIKDEEKVKPADDHGRCISDDFQDDEENLEQNAARMLLSRFGPWCTDPSSGSKNELSHPGEESVSHTGNLQGGSNCPSADNDSRILRPQHDSKEKGYPRKRRHFYEIVYREKDARWFLKKRIKVFWPLDERWYHGLVNDYDEERKLHHVNYDDRDQEWVNLQDEQFMLLLFRSELTDVKNALHSTDGKLIDKIGKDLKMNGLETNSDIHMDSEPISSWLTHSSSLAKSSSGLKKQKKSHSSHNELPQLSRNIANAHRTCNICFLEKEKSEVRSSKSGDRLAVDANGRESMLDKFTNMKENGSPIVYFRRRFRKKGTSSLEAVSNFSSSCLPVNSNGQRSVMSVADKFQIWEDKNICSHSHVLGPDKLMWSIGCDGLLKLNPAFLPFKEFVTQLSLPVRPLLGISVIGSETLCFIHYLLLRESGSIVTTWPNVYLEMLFVDNEVGLRFFVIEGCLKQAVAFVSLVLTVFCEANQLMESIDLQIPVTTIRFKLSCIEDPRKQYVFSFYSFSKLNHHNWLYLDSISQKRCLSYKKLPLTECTLENIKVFKGRSKHISSGVCEPPFQNIIPFGLSDPGDRYVRQSLGNDARHPVLPLALSFSAAPPLFHHLHFNLLMKSSIASVSLMDCDPACFLDHQGNTDQSTTNNQISDQCSVKVAPKGTSGTSSSHELCFGCLSCSKAQPGTNPLPASVNCDRKMSSLQFENQSSGGTETDVIEESKNPECYDLESARTFTKTKMLASFNQASPVISGDSNCHSLSSMSVEIPATEQAKSNVDGKWPGVRRASDLTSNISDDIIFSPDTSGMRNLWTSNENNLTSSPFGEASPVSHGRRTNVPKSVSSNGCRRARTQVHYALPFGGSEFSSKHKPIDPNDHPFRRTRQASEKRTPNGLKGSLRNLEFLACYANVLITQGDRGWREPGGQVVLELVCRNEWRLAVKFSGSTKYSHKVDHVFLPGSTNRHTHAMMWKGGKDWALEFPNRSQWMLFKEMHGECYNRNIHVPSVKYIPIPGVRLVEEFEDNTTDCSFTRSSGRYIRQIETDIDMAMNPLKNFYDMDSEDELWIVKNEKSFKTLDSNLVITDETFEKTMDMLEKLAYAQKCDHLTSDEIEKVMVGVGPTEVVRAIYEHWQHKRKRIGMPLIRHLQDLASEPPIPQPLSLSLVTALGQPPSWERYQQEVQEWNQLMVKGNSITSCGGKRKAPPVEKPTMFAFCLKPRGLEVPNKCSKHRSQKKFRASGHAFVGDQDRIHTSGRRFNSSTVRDEKAAYLDISPENSDNFSMLQTSTMTYMTSDAGSPEYVSLNDDASDLDYYPKPCKNKSKKIGALMSHSNLHVRRSHKQRTPGKKNGVQQQSMYLHDWPSQKHHQTEVYSRHEIMQSGVQDLDELRVREASGAAKQASSIARFKRNKAQKLLSRADFAIQVAVSAIMAADAVKSLLSPEL >KZN06783 pep chromosome:ASM162521v1:2:35800961:35804861:1 gene:DCAR_007620 transcript:KZN06783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLCFLKEPPFLLLLSLFFTLSLLSLLILVSSLFYFHNYTTKTTRKDRVFIDNDTNSVADDDVGRPKWASLIDGDDPGANGSGLDDEVVGSECGKKKKKRVKKKKGDAKVEDEGENVNKKQVVCLYPFTTSSSATQRRIKQHYDQLVKAHESKCLTLAQVGHFVNILTEARNELQHKSVAMKRKYTITKALLSKADRSSFDRLRRQIYKLEIEQKRLEEDVSVYNWLQQQLKLSPAFKKMLEIGACMELKAKSSELEDSADTRFTDISFEELLAKEKKDSFWLVSHLKFLVSVAFQLKFSFVLLISV >KZN06337 pep chromosome:ASM162521v1:2:32022081:32025144:1 gene:DCAR_007174 transcript:KZN06337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKFLIFLATIHGFLTSITESVRFDLESGNTKCISEDIKSNSMTVGNYRIVNPSEPHHFHPSSTEQAHQPLPESYKLTVRVTSTSGNSYHYADHVQNGQFSFQAVEAGDYMACFWAVDQTPPATLTIDFDWKSGVATKDWSNVAKKGSIDAMEIEVKKLYETVTSIHEEMFYLREREEEMQELNKATNSKMGWLSLLSLMICLSVSGMQLWHLKSFFEKKKLI >KZN04194 pep chromosome:ASM162521v1:2:3584819:3588819:1 gene:DCAR_005031 transcript:KZN04194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVENGSIAKVARQEEDAGAAFVLESKGEWWHAGFHLTTAIVGPSILALPYAFRGMGWGLGFFSLTVMGAVTFYSYYLMSLVLEHCEKSGNRHIRFRELAADVLGSGWMFYFVICIQTAINTGISIGCILLAGDCLQIMYQEINPAGTWKLYEFIAIVTGVMIVLSQMPTFHSLRHINLASLFLSLGYTFLVTGACIYAGTLKDAPPRDYSLEDSSASRIFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLVMCYSVIFITFYSAAISGYWVFGNKASSNILNSLMPDEGPALAPTWVLGLAVIFVLLQLFAIALIYSQVAYEIMEKKSADVKQGLFSKRNLIPRIILRSLYMIFCGFVAAMLPFFGDINAVVGAIGFIPLDFILPMLLYNITYKPPKSSYTYWINNFIMIVFTCVGLLGSFSSIRQLIHDASKFKPFSSDVVD >KZN07285 pep chromosome:ASM162521v1:2:39719926:39722017:1 gene:DCAR_008122 transcript:KZN07285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNLSKKGSYRGEKKMNSNADNEKDATTFVPVSSSPKAAQLIDSSTHEKPILVTVGATNHPFSPQVHHQITITTPATTTAATESKLGSRRNSFKRSSWTIDPRRMLLVFATMSSMGTILLIYLTLSMKNVGGDANTMEQ >KZN07738 pep chromosome:ASM162521v1:2:43183556:43188419:-1 gene:DCAR_008575 transcript:KZN07738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLEHFSHPKHPLILFDTFLKEYFIFEKNAACVNCQLPVEETPAYTCRSHDRTFYVHKSCVTEQVLRCYGCSKTVIGLPTYICHDNHNIDCRNFFLHKTCATLPNQINHKSHTQHPLTLVPRRDCVCKVCRRTWKLFTYACMVCDFDVCVFCAFEQKQRALGHEGHKKHRPAMLLLEQHKVRQEHFSHPNHPLILIADEIMVDDATCYICNTPVRGTCTYICPINDIDCRNFYLHMSCAELPREIYFQNHKQHCAFLKPCSDCVCDFCGVDVNFGYACGVCSSFIVCVFCASEEIERTKLLTWTPPAPILRARDYSYRPPPKVEHFSHPKHTLRLVRESDDVGEDEICHLCNKPVIGSPTYTCSKDAIDCERFYLHKTCADLPMELNHSLDRHLLGLMPRPDGHLCAVCASKVKYAYACDNCNTNVCVFCGFEQRVIYHEGHHEHNLTLQREAEFKCDACSVKAQDYSYVCTPCDFWIHKTCAFAPSTIPAPAYHHHPLSLIYSIPEMHRYFSRNCAVCSKLVERHHWLYYCHKCTFFVHIKCSTSKYPLGLQGLSVWFANDIGEPNLVQFPLSSKESLFGLIVDQCRKLQIDFQGEGENSFTATTTDGPHIIEEHWSHPQHQLKQLHFTENDDDDMDSDTTRVLICDGCIQPITAHHPCYYSCVRCEFLLHSFCATKLPRVFPSEAFPSHPNHSLVLQTVELAYIFVKCGACNSATNGFYYHCETCDMTIDIRCAFLPSRIKHESHKHHSLVQCPPSNFICSASKLRVIDRVGYACKSCPFFRVDILCAFYPSSIKHRYDDSRDITLRHPPFFHEGVIYCEICEEQVNNQWWLYHCSESAHSYHHNCLQWHENVKLRSSIVTGAHTLSMVFKRTKRRNSPRLVAKVIKLLLGLDSERFKLVQDRFDLKDFLLILTASASVSGIILLTLSVVNIVQIRIGLYSCGSAEARRAIWALGTIVGIALAIYVLALIIAIYASITTDGQYSVNSKTNSDEKKGDNQKDESSGLIEGRSAV >KZN04503 pep chromosome:ASM162521v1:2:11949291:11949868:1 gene:DCAR_005340 transcript:KZN04503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSSTCFPAHQCQLVCLNSHNPVVRFRIEITVQAHHLYRYVYGVDISTEHYNVTAYWKNRDYINIYSGDNAWRLQVRSRGGKSRRTTIHDGWIQFRDDLGLRLGDVVLLEGVDNFRTHFAVQVMRNDSA >KZN06586 pep chromosome:ASM162521v1:2:34173180:34175732:1 gene:DCAR_007423 transcript:KZN06586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFQGHQILQKHPVLTHYSPPVSSISTNPSSLSTRPSNNQSIQSLCLKNNLKQALQLLYKEPNPTQHTYELLILSCSRQNSLSAAGTVHRRLIHDGFDQDPFLATKLIDMYSQLDSVERARKVFDRIRRRTVFVWNAIFRALSLKGNGEEVLNLYRKMNAIGARSDRFTYTYVLKACVAGEGLSWGLSKGREIHAQILRHGYESHVHVMTTLVDMYARFGCVDDACFVFNEMPERSVVSWSAMIACYVKNGMSLEALELFREMMGESDDLLPNSVTMVSVIQACSALAALEQGQLIHAYVLRKGLDSVLPVTSALVTMYARCGELELGRRVFDQMDRRDVVAWNSMISSYGSHGFGRKAIDLFNEMQCESHA >KZN04746 pep chromosome:ASM162521v1:2:15305807:15308587:-1 gene:DCAR_005583 transcript:KZN04746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGATIASSRFSPIIYPPSLSSSSCSSSPTRCSSLKKTTPRAPNFLSPYTSGSVSGDFSGQKIRPLSLNPHAPCSSSPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEGKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMRL >KZN04854 pep chromosome:ASM162521v1:2:17309478:17312147:-1 gene:DCAR_005691 transcript:KZN04854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSHDAAPTFKSFGVPHDSTDYSPESSFGSPSPRSWEDLDRFLEHGSLPFNFNDSEEMLLFGVLAEGARETSEANSCPRIKEEDVTSTAKITNPIKEKSYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDDAEAAAMAYDQAAFSMRGSMAILNFPVERVKESLKDMKYICEEGSSPVVALKKRHTMRRKSLSRKSKAKETKMDNVVVLEDLGAEYLEQLLSSSESNFSW >KZN06597 pep chromosome:ASM162521v1:2:34244044:34245152:-1 gene:DCAR_007434 transcript:KZN06597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFMDEKSKLRKNYYDSSSSHSYKSSTSSSLKSSLMRSISQRSSTSKSPLLRSLSQKNSKLSRSCSQKCTSASIDGQVENWLVILPPTGAGGACCSVKPASHGAVLSKDQEQKAWADGP >KZN04650 pep chromosome:ASM162521v1:2:14207596:14212871:1 gene:DCAR_005487 transcript:KZN04650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSIKKSWKDILNSREEAAINEALNQIHMRSLEGKSKQRSMDDGSAKKYSEGEPSNPHFGDTPSNSQFGYTSYNPHFDYALLDPQFSYAPANPQFGYAPSSSNFGYAPSSTQFGSAPADPQFGCAPTNPQFGSAPTDHRFGYAPTYPKFGYAPSNPQFGKYSNTHYEDSFNIFNQTGQSFSNPFIESSNMFNTFDQHNTNEDKDDEIEDEEESEENLAEWQTVCTVAAYTLVYYYENFMNKEPCRTSIRTGSILINKILQGNENRCYKDVPMNKNLFLHLSRMLVDKYELIPTCGMSEYEEVSMFLMTVAHGTGNRVMQEMFNHLEETISRLFYSVLNAICRMARDYITPATNFNDGDAFHKPQDSKYYPHFKASSEDV >KZN06717 pep chromosome:ASM162521v1:2:35270309:35271185:-1 gene:DCAR_007554 transcript:KZN06717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAVGLELRLGLIPWSADKNRGECSDGNAKMMKKKKKRAFAEIINNVSKMSGEDGVVGWPPVCSYRKRVSVSSGLGKMYVKVGMDGAPILRKIDLSVHKDYAHLSLALQKLFASFRLGDELKDGDGNEYVTIYQDRDGDWMLVGDVPWEMFTKSCKRLRIIKRADIKDTGLHFIMRNDASPKQRSQLNG >KZN07127 pep chromosome:ASM162521v1:2:38551449:38552304:-1 gene:DCAR_007964 transcript:KZN07127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCNPCESLDLGSANLYGQLVTHLGQLPNLQYLFNCIL >KZN05023 pep chromosome:ASM162521v1:2:19011129:19018034:-1 gene:DCAR_005860 transcript:KZN05023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSQEELEHKYSWWWDSHICPKNSRWLQENLTDMDSKIKSMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHTTGVLHQAHRTMAEAFPNQIPFLLLDDAPTNTGSEAEPKIPNMSTPPQASFCTDELQNNAPGLSFSHSHTNKRKEVQSDSAISKGSLEQFNGLFRYAESKKSEEGRVRRSLNFNDDVESEHVNKFEEEILTLNDAFAKLEAEKDGLNQYKQRLEHVQKLEVAVSHAEKDSRELREQAIRDKSEIQALKKVISEFEAEKKAGLLQYELCLEKIGNLENILFQAQKNVVDLDKRATKAEADAHTSAKELAKVEAERDTIVNQYERSLQMRSDLEKKVLLAEERAEVEVQTSKFAISKLIEEKEAAGIKNEQCLETISSLELEISAAGKEVQNLKGEMNCKIEKQFSQVEISNQSLHTELETLVLKVDTQSEELTQKQKELGRLWACIQEERLRFMEADTAFRTFQLAHSQAQEDLRSLASELQKKSETLKDIETHNDSLQNDILKLKDENKSLNELNLSSTIFMTGMQNDIYRLRERNGKLEAEIDLRLDQGNTLQQEIDSLREELRDVNSKHHAVLKQVDAVGLNPESFQTAVKELQDENLKLRNSCGRERSGREALVEKLRTYEKLLDKNAVLENSMSELGTELENVRRKISVLEASCQSLLQDKSTLFDEKASLMTQLQLTADHMRKLSERNTISENCLSDTRDELEMLKEKSKILEDSCLLLVNQKSGLVTENGNLSSQLKLTQQLLNDIEKRSMELEENCSALEKDKIITLDEVKCLKVHLEVQKQEQYNFAQLSSAQFAGLVDKVHLLHEESWNRIRELEGELDKALDCQIETFVLHECVHDLRDKNSIMLIECQKLLEASKLSEKLISELEEENLEQQVEVKCLFHQHNSLRISMSQLLKAFNIVPDHACKHEDMQGKTSLDHILSKVEDIKSSLSITQDENQLRDVEMSVLITLLSQLRSEAETIQIEKNITDKELRIRSEQCMMLGSECQKLSKLTEELIIKVTDGYHKEEELMKRVENLLGKLLVVEGAYDNLQEEKTRVCEEKEVLVKELLNLKKKNHTVEEEEYAIYGEMVFLHVLSLIFMNFLDERSKEMKRLSDDVDKLHGINDALEKKLSITQEELDNLQVRNLCLKETLSKTDDELRETTYHVGYLKQDIANGKDLLHQKERDLFEAEQKFIIWENEKLHFSKDMDTLKREYDGVQMIREDQEKYIAKLSGDNDRLSNENQFLAKAKRKFEVELCLLRDLQETSRSKEEHLCSELQNKNDEIDLLQTQAVALFVELQSSTVARTLLEEKVNELVKKVISLQDEKNINSMKNALLDEKFGILEGQNEELKSQYVKLGQAVTSLMESVSSLEKHTFMHKNIQEPTNEEVKEVHLLDRDAIIPYASSNLHSLQVRIKAIENTVIEMARLATQDNLNAYSKLETTVKQMEELRTANRSLKGKLKPLSEISELPGIPPKDIMLDHRSESSSYGLGRRHYVGPDNQMLELWETVDEDSSINLSVGKGKKVVGAPTKKEWSEALKHKGASFSSDFIVEKEIGMNILEISRKFKETRQEGNKRKVLERLNSDVQKLTNLQITVQDLNRKVGIMEKSRSGKALNGNDSIKLKLEEAETAIQKLFDLNDKLTKNVEEISTPSAKIATMKSGDSGSTRRKRISEQARRVSEKISELQLEIQRIQFDLMKVDNGNESRGKFRVTETNRRILLKDYLYTGARKSPRRKKAPFCACMEPPTRGD >KZN04707 pep chromosome:ASM162521v1:2:14943028:14944098:-1 gene:DCAR_005544 transcript:KZN04707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPYQMIANLRPQTTLAWKLKVRVTRLWPAINRQGDTVGIHCIFVDELGGRIEAWINAANMNQIQNLITEGGTYVVHNFVVRQYGTMQTQRCFQNDVFIELYNMTEVFVAEGVDYIPRHVFHFTDFSALIDIARESNFLIGEPKLTNYPATRFFINLQHEAVQDLRDAFRLVVQDLM >KZN04826 pep chromosome:ASM162521v1:2:16886818:16886982:1 gene:DCAR_005663 transcript:KZN04826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFSLLIEKVSLLRNSEGELIEARTVVHKMLTSPVTAEALAMDEVRSWIASRR >KZN05738 pep chromosome:ASM162521v1:2:26752474:26753010:-1 gene:DCAR_006575 transcript:KZN05738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKERTAPPLTTKPSTTLKQPHFRGVRKRPWGRYAAEIRDPNKKNRVWLGTFDTAEEAAMAYDAAARSFRGVNAKTNFPRADEIFDINYNLTGIYTTSHCMKDVHGSVSQSSTVESESDETLDLTLGFTGEWAVNGGSCKVNGCSLNDSEESSVVIDLKLSMGEFNIDLNALPAPEL >KZN06401 pep chromosome:ASM162521v1:2:32574658:32578442:-1 gene:DCAR_007238 transcript:KZN06401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPAYVKWKEAFVLSERGTKEVRYYLKRKDGGSDLVVVGKKKQSLIPLPYRYRVCNEALLSPAYKAASSVLNLKSRNQVVRWLDSIVSAASSHHLQPPRSGSGCLEVNNDCRLSSDKIEGGRLWKLGKHNTEFLLPGSVSTCKDKRKRYQSFQLRGVEISIHNFVYVSAKENKPRIAYLDDMYEDSGGNKMAVVRWFHKIDEVCIALPEIYDREIFFSLCFQHLNIECIDGLASVLSPQHYQIFLREARHTQFKPFVCDKQVDKNDVKPIDITQVKGYTEQKIFECMFTSSASKNVKNHYPAKDGLNLDVECGNAVGNKPKKRLRISYNSSKVIRPGNNLQAPLTNPTVPKLADGSIICRSGNQINPSKESISVVSLPNKGVVMKTAQVLTIGAQVEVLCQDSGVRGCWFRALIIKKNKDKVKVRYLDIKDAADEVHNLEEWILSSRVALPDEWCLRINGRATVRPAPVFNKVQDALVVKDGSVVDVWWHDGWWEGIIIRKEAEDNICVYFPAEKRDSIFCQNDIRPSQEWLGTGWKHIDDRPDLLASVLSNLSRKQDTVKSSDRRSSPCEFVAFDDKVQFGFDQGDTSSNGYKVDDEFGVRDIVKDDVLANLRWMSSRKRKRSSRISSKKPCQSGTKNKGSIRTFGTRTWEKFFISSSVKVDQENCKYTREPAFSSSIVSPLSNMVLSQ >KZN07369 pep chromosome:ASM162521v1:2:40402487:40402987:-1 gene:DCAR_008206 transcript:KZN07369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFSSTFLLTSTLAILLLATPSASQGTPLNLPAANLSSITQLQVLGFLACPLTSIPLVGSILGGSGVPVSVKCNGIDIGDPSALTDAFGFFSIPVPGFNTSALNLQPQQISCSVTVDFPLSKPSSCQTFPSTGSLQAPLSFGSLLQNGLGKLIALLNPGPFQYRA >KZN07191 pep chromosome:ASM162521v1:2:38976114:38976884:-1 gene:DCAR_008028 transcript:KZN07191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAMSNLMTKMSFLNVSKAFPQPSFRPKVSRVCFTSASKYSQGRSGDTSEDTSDSDREFDELAQKTRENVKSGMDKTKNKAQEVKEKTKDQAYDMKEATKETANKMTEKAKEGADRAADTAKSAKDKTKDQAYNVKEKTKEAAETVSDKAAKTARDATETTKDAADTVADMTKKTVGGMWEAAKDTTQKIKETVVGKDDKDDYVEDHIPKTMDEDVVEQRRKAGEKKY >KZN07466 pep chromosome:ASM162521v1:2:41059529:41065062:-1 gene:DCAR_008303 transcript:KZN07466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGILSRRFGTPSRRSSLLASSGKSSVLESFVGRDFLPRGSGIVTRRPLVLQLNKIDEGQQDYAQFLHTGNKKFTDFSAVRKEIEDETDRVTGKKKQISPLPINLSIYSPNVVNLTLIDLPGLTKVAVEGQPDGIVQEIENLVRSYVEKPNCIILAITPANQDVATSDAMKLAREVDPKGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGVVNRSQADINRNVNVNAARLSEREFFATSPDYAHIHGRLGSEYLAKLLSKQLETVIKARLPGITSMISKRIEDLEAELDHLGRPTAIDAGAQLYTILELCRAFDRIFHEHLDGGRPGGDRIYEIFDYQLPSALKKLPLDRHLSLQNVKRVVCEADGYQPHLIAPEQGYRRLIEGALNFFRGPAEASVDAVHFILKELVRKSVGETKELKRFPTLQADLAAAANAALERFRDASKKYVLFLVDMESEVERVGNPTAAAVDRYTEDHFKAISTNVSSYISQVCDSFRRTIPKAVVHCQVRQAKQALLDHFYTKVGRYETAEFARLLDEDPVLLERRQRFARRLDLYKSARNEIDSVLWAR >KZN06223 pep chromosome:ASM162521v1:2:31106184:31106672:1 gene:DCAR_007060 transcript:KZN06223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNKLNIIALLLIFLLSACRAPCSSASSLHQREKHNPRWFSNCRHGYRKMGHMNCENAGGITNTGRVAWSESLRKALPPPPAPTQRMASPYGGGPPPTRR >KZN05561 pep chromosome:ASM162521v1:2:25065155:25065778:-1 gene:DCAR_006398 transcript:KZN05561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHLQSLLIKTIQERDDAQAKCHMLSVENFKLQQMLQTSSLTGSIENLEAPKKEDSPSSNCDENFSASPSPLPLPPLGQMQAPAAPPPEIIDGAHKLVMGKALPEYGKFLQAVMEAGPLLQTLLLAGPLPQWQHPPPQLNSGEIPPVTILSPPPKPGVLLMQQQEPCVSTSACLFTKKRSLAQCEDFDFCPSAKNQKVVQQYSLTSF >KZN06851 pep chromosome:ASM162521v1:2:36343201:36344271:1 gene:DCAR_007688 transcript:KZN06851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQLHPTAATSTAITVHRAAAKPRQPPPENTTSPKLTTSLYQTEIGLFALTWSKNVMSRSLHVHYTSHDVVSDQSFPSFTLHLKPFLFWKKHGSRKLAVSPSPHAKNPRIFYDFSNAKFGSRPEPESGFYIAVVLDGDMILLIGDSPKQAYSRTKSSSSSSTSNPNPNPNQSMILKREHVRGAHRVYATKATFGDKTRNILVDCRLVNNKSSLILTIDNKRVLRIKHLEWKFRGNERIQVDGIQIQVSWDVHDWLFDEKDKDDGHALFMFKFEKLGFSDDDDDSSSLWSQQRQSCGIGYEARKLKKGVLTSSTSSCSSSSLSSASSNSVMEWESIEENQLKLPSGFSLLLYAWKN >KZN07660 pep chromosome:ASM162521v1:2:42519856:42523436:-1 gene:DCAR_008497 transcript:KZN07660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSVAQGGERACRRESGVSETSTHGHPQHKRSKSASSKNDLSRGGGTNTIRNHNEFHVRDLRPSKNNVSPRSPLHESPNSSSISAASKHRDSLEKDIEQLQSRLQQERSMRMVLERAMGRASSTLSPGHRHVAAQTKELISEIESLEEEVANREQHVLSLYRTIFEQCVSRSTSEQSSCIASPAHSKNESKKHPSIISSAFCSSKSFPFRPFQALANINGSAKRNSMHSTTRQDSLYAGRSNTHFQHGSPRHGRASDQLSGSEKTSMLRTLKEHLHQCPSKLSEEMVKCMAAVYCWLRSSESTNPEKYQSPVSSRSPANVINPKNGIGQEKERFSTSMVEISWISTDKNNFSRASHALNSYRVLVEQLEKLNLGSMDINAKTAFWINIYNSLIMHAYLAYGIPRSSIRRLALFYKAAYNIGGHIISATAIEQSIFCFNTPRVGRWIETILSTAFRKRSGDERQRIKSKYGLQIPQPLVCFALCTGSISDPVLKVYTASNIKEELDMAKKEFLQANIIVKKSKKVFLPKVLERYAKEASISPEDLLQSVANSVDKKLHDSIHKCIVHSDNKKASQCTNWLPYSSKFRYMFSRNLTEKPWWV >KZN07791 pep chromosome:ASM162521v1:2:43602669:43603727:-1 gene:DCAR_008628 transcript:KZN07791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQESQRPLFPFGNPFRMILPKGSHLSPRLIALLNSFEEALAERLRKLKPKDSEDVLTLSWMRLAMDSLCAVHTDIKTLITALELPVSDWDDKWIDVYLDNSVKMLDICIGFSAELSRLKQGHLFLQCVMRNLDSIPSKQFIRARSSLDDWKHHITLKSSKTENCFSVLDNLVATLNEPKVKNSSKGKVLLHAMYGVKVLTVFVCRIFAAAFSGSSKKLMDLHVPEKYLWAEAFTSLQAFVNGEIRTIYSSGGVTVLKELQAIHVVVKKLYPLVEDGPNSMEAEEYKNCISNLGKSASSFSEGLDILLKEVDGFFQILLTGRDALLCNLRVGTDVSSPVQRNSNLEQVVR >KZN04858 pep chromosome:ASM162521v1:2:17350854:17354111:-1 gene:DCAR_005695 transcript:KZN04858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVSSWSSNQHLPEDYVFPVEQRPGEETTIPVCKAIPIIDLEKASHGRKDIIQQIIDASQKFGFFQVINHGVSGVLVHETMSVVKEFFTMDDAEKASVYSDDHTKSCRLHTSSPNYDTEKSHFWRDYLRHPCHPVEDFIHLWPEKPATYRNLSLRILDLISEGLGLEPGFFQGELSNDLLMSTNYYPPCPDPSLSLGLPKHSDPNLITLLLQEEIYGLQVYLDGQWLGVEPLSNAFVVNIGHQLQIVSNEKFRSVEHRAITNSREARTTIASFISPCNSCIVEPAKALVNEANPPLYRAFEYQEFLKSYVAKAGKKETALQAYKIELSGKKPDFRVLKLNVDAAVRLGAPSFLMDLTIRDNAGAFVIGKMVCKAMVTTVFEAETLAMLEGVIK >KZN06544 pep chromosome:ASM162521v1:2:33873320:33873595:1 gene:DCAR_007381 transcript:KZN06544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHALIATLDVAYGINWPCNIGDMILSNGLKGRGSAARALTGSSLELTTAVANIDMIPVKFFKKNGFGQPMVEGTPLLREVAIDMVHLQCK >KZN05891 pep chromosome:ASM162521v1:2:28124593:28126134:-1 gene:DCAR_006728 transcript:KZN05891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDAGMFTVQQTVGSVLCCKCGILMAPNAANMCVKCLRSEVDITEGLQKHVIIIHCPECDTYLQPPRTWIKAQLESRELLTFCVKRLKNLNKIRLVHAEFIWTEPHSKRLKVRLRVQKEVLNGAVLEQTYMVEYVVQDQMCESCTRVQANPDQWVAAVQLRQHVSHRRTFFFLEQLILKHEAAARAIRITQMDQGIDFFFANRSHGVKFVEFLGKVVPVKSRTDKQLVSHDTKSNSYNYKHTFSVEICPICREDLICLPPKVSIGLGNIGPLVICTKVSNNIALLDPFTLRYCFLDSDQYWRASFRSLLSSRQLVEYIVLDLEFVSSEVSIGGSKYRLADAQVARVSDFGKNDTIFFVRTHLGHLLSPGDNALGYDLHAANTNDMELDKYRGLDLPDVILIKKSYEEKRLKKHGKPRSWKLKSLGMEIDDSARGKLDEEKLNSEYEQFCRDLEENPELRFNISLYRNKDYQPSEMTSVADGEDDPSVRLEELLADLDLSEAEPDTADDSMKE >KZN04111 pep chromosome:ASM162521v1:2:2144100:2144309:1 gene:DCAR_004948 transcript:KZN04111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYRQLFLLKNGAYILVRIVLKGRIYYDPFDLKIHMNDKEYGPDDFLDELSDTLSWAEYLELQFFKLTA >KZN07064 pep chromosome:ASM162521v1:2:38074097:38074876:-1 gene:DCAR_007901 transcript:KZN07064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPSFAGKAVQVSPSSSELFGNGRVSMRKTVKAPVSNSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >KZN05943 pep chromosome:ASM162521v1:2:28596907:28598309:-1 gene:DCAR_006780 transcript:KZN05943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQQGSVTTIVASSADMARLVLQKHDADISGRIIPDAISTLEHPSHAVAWLHTGQEWRMIRRVLTTFLTNSHKLDSLCELRHGVMDQMVRHVEKISKSEGEQGVEIANKGFKNAVATIMDVAMKFNVADYFPLLKAFDLQKLRPMANAAYGCLEELCDDYIHQRLQHREKKLPKHGDLLDSLIEFSQENPSDFTLKHIQVLLVELFLGGTDTSTNTTEWAMTELILHPDKMTKLRNEISESVRAKGRIQESELLKLPYLQAIVKETMRLHLAVPFLFPHKTETDVNLNGYEIPKNTPVLVNAWAIARDPTSWEDPTSFKPERFLDSEVDFKGQHFSFLPFGSGRRICPGIPLAHRVVSLMIASLVYHFEWKLPHGMKPEELDMNQTYGLTLVRAVPLVPVPVPVTQVSSYK >KZN05150 pep chromosome:ASM162521v1:2:20603415:20613690:-1 gene:DCAR_005987 transcript:KZN05150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRSISNALALLVLLLCCLIIYAIYDLCLKAKILRAKLRRQGIDGPRPSLILGNVPDIQKIESKELDSDASTSNLNEPLSLDCRSVLLPHISQWTNQYGDSELVKEISLCRSLDLGKPSYLQKDRGPLLGKGLLTTNKEVWTHQRKTIAPTLYVDKVKDMLSIALESGNTLVKAWEKLVETEGGIADIRVDDDVKNFMSSIFSRVMFGRYDIAEKGLFSKCRDLMEASASPDEPNGRPFYRFYPTKINRERWRLEKEIYRTILEMTKKCGVSEAKSMIHTLVDGSKHGKLGPSTPQQFVVDNCKELCVVSLFVPGTTAIWGLMLLALHPEWQDRARAEILEVCGGQIPDTERLSKMGVLNKALIKDAKILRAKLQKQGIDGPVPGLVYGNISDIQKIKLKVSAADASTCNLNKPLSLDCRSILLPHISQWTEQFGKTFTFALGKVQILYVGHGELVKEMSYCKSLDLGKPSYLQKDRGPLLGKGILTTSKEVWTHQRNTIAPTLYVNKVKNMLSIMLESGNTLVKSWEKMVETGSGIADIRVDDYVKNFTSSIFSNVMFGRYDVAHKGLFSKCRDLMEASGSPTVLDGRPFYRFFPTKRNKEQRRLEKEIYWLILELAKKCRMREGESMIQTIVEGAKHGELGSSTPQEFIVDNCKELCIVAMDVPGITAIWGLMLLAMHPEWQARARAEVMDVCGGQTPDAEKLGKMRVLKMIIQEILRLYPGVGFTVRESLADVQIGKKLCVPKAKILRAKLQKQGIDGPKPSFVLGNIPDIQKIRSKVLEADASTYNVNEPLSLDCRSILLPHISQWTKQFGKTFTFALGKVQILYIGDGELVREMSQCKSLDLGKPSYLQKDRGPLLGKGILTTSKEVWDHQRKSIAPTLYMDKVKNMYSIVLESGNTLVKSWEKLIETEGGIADIRVDDYVKKFTSTIFSNVMFGRYDAATKDLFSKCRDLMEASGSPTVLDGRPFYRFFPTKKNKDQWRIEKEIYRSILDLTKNCDVSESKNMIHTLVEASKYGELGSSTPQQFIVDNCKELCIVAMDVPGITGIWGLMLLALHPEWQARARAEVLKVCGGQIPDSEKLGKMRVLKMIIQEILRLYPGVGFTVREALADVQIGSKVRVPKGVNTWIWPIAMHRDPQLWGPDALKFNPERFCNGVSGACKIPQAYIPFGLGPRTCPGKNLGMMELTVMFSMVLANFSFSLSPNYYHVPNFNVLLEPKYGVKLIFQKL >KZN05721 pep chromosome:ASM162521v1:2:26637998:26638954:1 gene:DCAR_006558 transcript:KZN05721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIRSKESSSDELSTSPTWKLYENPYYSSKLEQHKHPKEQIEAHTQKPPNSHRINLPISTRKIASAFWDLTFIKPFMESELDVARAQIMELKANVERERKARKKVESINKKLVKELCEMKKGREEAERVCSEVCKEMALNKEEISRAKLELQEERKMLRVAEVLREERVQMKLADAKFILEEKLLELEAIKRLQTKSPSRSPPMYAEEDVHQITEVTHTFYGESTSQCTARDALGCDYDKPGGSEAVQWVQRGASSGEAENPHIKRGIRGFVEFPKVVRAIGSKSRQIGTKLECQKSQLMILLKQKSIIRSNNLIMS >KZN07502 pep chromosome:ASM162521v1:2:41385243:41386367:-1 gene:DCAR_008339 transcript:KZN07502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAEEESVDALSSLEQKLHVKDFIWCEKEWPSLSYDEFEDGEDIPGISLQEVLESKKGDNYEKLCQLMVAASARWGFFKLVNHGVPSETTENFKRRLHEFFDLPMEQKLKGARSASLPLGYSATNPDYGENLPWAEIIQLLQSPQQALSFAKNVLGDQHQPFSDAMMEYMDALDKLGMIIFEMLAHGLGMDKWKIEECGSQSSSK >KZN04998 pep chromosome:ASM162521v1:2:18836672:18839758:1 gene:DCAR_005835 transcript:KZN04998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGMMMKRKLSVCGGGKELRTTNATEEDQDVSVSLVASLVSAIRKSMVSCRFEDADKQDRGLSSSSAMEIGWPTDVQHLTHVTFDRFQGFLGLPAEFQVDVPSKVPSASVSVFGVSAESMQCDYDSRSNSVPTILLLMQERLYTQKGLTAEGIFRINPENSKEEYVRDQLNKGIVPKDIDVHCLAGLIKAWFRELPSGVLDGLFPEEVLQCNTEEESVELVKQLKPTETALLNWAIDLMADVVEHEDSNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIIKTLREREETTIEGYSPMSNCSSARHSDEEIDNQHEMNTSCELIGPHSEGNADLHYNDNSESESEIGSFGEIEENFLKQLDDNVNTNSFREFKGHR >KZN05974 pep chromosome:ASM162521v1:2:28822462:28826831:1 gene:DCAR_006811 transcript:KZN05974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSAGKFADAIAHFSDAINLSPTNHVLYSNRSAAHASLGNYSEALVDAKKTVELEPYWAKGYSRLGAAHLGLRNYKEAVESYKKGLDFDPNNQALKSGLADAEAAASARPDPRPSGSPFGDAFGPDMWTKLTSDPKTRGFMQQPDFVNMMKDLQRNPNNLNLYLQDPRVMQSLGVLLNINIQTTTPEEMEVNDAQTERKRPAEEKQEEPVKVKETKKEPEKDELSEEEREIRERKAEAQKEKESGNAAYKKKEFEMAIQHYSKAIELDDADISFLTNRAAVYLEMGKYEECIQDCDKAVERGRELRSDYKMVARALTRKGTALVKMAKISKDFEPAIEAFQKALTEHRNPDTLKKLNDAEKAKKDLEQQEYFDPKIADEEREKGNELFKQQKYPEAIKQYTEALRRNPKDHKVYSNRAACYTKLGALPEGLKDAEKCIELDPTFAKGYTRKGAAQFLMKDYDKALETYQEGLKHDARNQELLDGVRRCVDQINRTGRGDLSPEELKERQAKAMQDPEIQNILSDPVMRQVLVDLQENPKASQEHMKNPSVMSKIQKLISSGIVQMNYGSANSNVEWERQCIPNGKSSHLGYKVYTSCAV >KZN04347 pep chromosome:ASM162521v1:2:8841569:8842958:-1 gene:DCAR_005184 transcript:KZN04347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVYCDHRHINFADDLGWTLLHHAAYHQFDSVLAVLVSAQKRFGCRFVYQERVSTPFHVAAEKGYTSTLILLMELWPPSSSAIPAVNSKGENILHIAALRSDKEMIRMILNYCPPKYINVIVNKQDDSGNTPLHLLIGRGCFVPEFLKYKELDVMVRNKNNLTPLDHFYIEEEIIADQAQIKIMLDEIVTDQHWKFWRIRNKRKSYSRKSGLFPSIREAKDVKFETVKKSIMDEKHRRRKKELERYKERTNTQIIVTALITTVTFTVGFTMPGGLRQSGEIDEGLVVLRKKTVFNAFMISDALAFLLSTCSLFLYFLQSMYEDAREVSKLNAASVGLNIVSIVAMMLTFITGTYVVLSHSLALAITVCLIGSFFFLFVIVLLIKMVYDRQVKRNED >KZN05995 pep chromosome:ASM162521v1:2:28974299:28986485:-1 gene:DCAR_006832 transcript:KZN05995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPPFELEDQTDEDFFDKLVIDDDDDFVVEASSSAVSKLVSGSDSDDAKAFANLSVEDDDDDVKAFGGLSVGNVVAEVSVVEDSNRGSLSLGEGAVGEGIVGDGKKVSLVGKKGENGGSVDALSLVDFEDVGESKNVDLGSELAFGLDEVRAGSSFSNIGGVINSDAGTGVSSDVSGSGISSSASGLINSDAGIAGVYDSTEVNGSSISNDVGGLNSGIAGIEVASSLAEVGGSSISSSFSGLNDGNLGTAAAYDLTASINSGSSASGVKEVQWTAFSSDVLQDGGNGFGSYSDLFGELGDGANAGDFVESASSNMVVSDGDMFNAAYLGNVHNDSQHHDAQVSGAEGHKIADVQDLSNSQTWENMYPGWKYDHNTGQWYQVDGYGTTTDVQESFDLAVQENSEVSYLQQTAQSAVGAIPQSGTIENVTSWNQQDSIENVTDWNQQASKAGDTSVANVSNMNEISQGNNAYPPHMIFDPQYPGWYYDSIAQEWLSLDAYILSTQSNLQAENHLNQNGFHSYHQSDAQNKDVPGNDLVYSSGKYDNQVEDHNFGGSFSNYSQQNLKIWQPDPVTSSFGASDFGENQQLNNHHDSVFSVNNNVSQQIPYSIGEAVSYNGKSSQDRSQGFVPRNFSQQLDQTKFEQDAQTFTSNNYYGNQNKASYMEQQQQSGHQFSYAPAAGRSSDGRPPHALVAFGFGGKLITMKDNNLVHNSPYGAQDSVGSSISVHNLMEVVNGSTDAMSTGAGVLDYFHSLCGQAFPGPLAGGSVASKELNKWTDERIAYSESSDMDYEKGEVMKLLLSLLKLACQHYGKLRSPFGTDINLKEGDGPDIAVARLLASNSNNQKINMYGAASNCLQKLPSEEHMRATAAEVQTLLVSGRKQEALQCAQENQLWGPALVLAAQLGNQFYADTVKQLALRQLVAGSPLRTLCLLIAGQPAEVFTAETAVGGAFASAVNMSQQPAHIGSSAMLDTWKENLAMLIANRTKDDELVLIHLGDSLWKERNDGGFSTNMAPAKLVGKLLNLFDSTAHRVVGGSPPPAPSPTVNSFQGSENYNQSNFRVSTSQSTMAISTLMPSTSMEPRSEWHATENSTMPNRSVSEPDIGRTPSQTESLSSKDANTSNSQGKASVSAGTSRFSRFGFGFGSGSQLLQKILKPRQDKQAKLGEANKFYYDEKLKRWVEEEPLNQEPNQQFQEDEHNKTQTDPSHSPLVSAQDFQNPPQSDQNNDTQQAVGPEKPPEIAEPPVESGSITPEVLGEGQVQIQHQQNAYYPQNVVGGDQQNLGLQGLNPAAAAAVAALSQLTQFAGNMGDVERAMVELQGLIAAGGLGAFLGAAPFMGQGPPHYAPISHPPYRGGGRRGVDRYRRGGRGNFGNRGRGRWTPRENPQQILSSGPGNLSSEATNSVEARTEASAVNIDQGAQADMPTVQPNVTTSGPQRHIQVYRCEICTVDCNSLEILEQHKGGKKHKKNLQKLEEQKTCQPVFNVQSNAKSADSYPAGKLQPENMQNSEGNKETISENLHSETVPVENNLENQPQNPETQQLDNQKSGMKRKRKMRTGRGGGKRSNTHRWPKEPKVAAPLICNLCNVTCDTQEVFNRHLSGKKHKSKYKRFESHQAMYGPAELQVLYPPNPVMQTLSQPQDPLQNIFASQSSNLFPPGAHTTPQIHPAGAPSQSSDGNQDHQLNLSGPQDSNPLPPGVDAAPQFPQVHQQ >KZN06825 pep chromosome:ASM162521v1:2:36120523:36121518:-1 gene:DCAR_007662 transcript:KZN06825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTKQTPSSPSPIPTTTDLKTLINDHSLFFDKLVQLIPAQYYLSTDDDSKPWYQGLSKAAKASAKQQTRENIKKARRARLDPENSNTTTLDILNKSIENENEKKSEVVRSKASVTYEELQQRLRAKLNDLRSNRGQGKRSVIDRKRKREYDHAEEAGKEVVERGEGGVEKDVEKEIEAAAQGIEFGKVRIENEVGRDKKKKKGSKAVELERARKLEEAKKDPEKGEVVAKQHSWKAATSRAMGIKVHDSTKILKESIKKEKKRHEKNASKWKERVETTNKLKSEKQQKRAGNIAERAKQKKDRKIAKREKKLMRPGFEGRKQGYINGDK >KZN04104 pep chromosome:ASM162521v1:2:1941124:1942020:-1 gene:DCAR_004941 transcript:KZN04104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFICMICMLTALPPIYASGYCPPPYPPYRQPNPPNTPRPHPPHHSKGRSPGGSTPSHKPPSSHKPPTHKPPSYKPPTQNPPSYKPPTHKPPSYKPPTQNPPSYKPPTHKPPSNKPPTHKPPSFKPPTQNPPSYKPPTHKPPSHKPPVVLPPIVNPPIVNPPIITPPIVTPPIVNPPVIISPPSTPTYPPYTPPPSGGGVPALPPPPTPPQTCPINALKLGLCVDVLGGLVHVGLGDPVQNVCCPILGGLLELEAAVCLCTTIRLKLLNINIYLPLALSVLLTCGKTPPPGFMCPPLS >KZN04716 pep chromosome:ASM162521v1:2:15007493:15014354:-1 gene:DCAR_005553 transcript:KZN04716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAIASNCCSLKNTVDYKTFVGGSRLKRSCSINHFLKPTKNSTRFVVASSGNTETAQLTQARGRFYFNITGFPFPLGPFLNRRTIRTEAVKNVIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIQLVKELGAPVECIILPTFAYEHKIFVGPFSRKFPQAQVWVAPRQWSWPLNLPLEFFGIFGSKTIKDDDISTPWAGEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPNCISKESLLSSAKNGLAVKILSKGKEVSDEPVVDNKMNRQKGWERMVLQILFLGPSNLLEPNSSFAQMSQKLIVSPIVKTLVFSKVPEKAIQVKDWIDGIARDWRFKRIIPAHFSAPINASRSDFLAAFAFLDDLLDDRYDTRPSLSLLFASLMGKAASYFPPDDMKTLSSLDDFLVSVGAVKKTVSGRKR >KZN04137 pep chromosome:ASM162521v1:2:2523532:2524556:-1 gene:DCAR_004974 transcript:KZN04137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDGILLLFVLRAESELQTVLGDNHAHNMACLDSIKAQMGCLFGNSTPRNTQAVPETRENQHPRDEIFSPRMIRNKKQTGTKYRGDWLKRPVSSDEIAWLAKLLVTLSCWLNEKLGLNHSGTTDNQGAAWSYVEVSGGTRSVNGPADTMKVVFLSVVFWLISVIRATVKLMRDHGMKVNLRILASKKIMISLLMLVAFSVLKKAVSQS >KZN04264 pep chromosome:ASM162521v1:2:5604344:5606317:-1 gene:DCAR_005094 transcript:KZN04264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREKSEFRNSNFKISNEQPGDDRNSREGQWFRISRHPTTGKRVIVPDNGATSGQQHTAYGDYGREQTYAQVLTGSVPARRKEPCSEDPIKQKITRNGCLSVMINNLPEHTNIREMWLRFNGKRYIKKNVLPRKKDKFNKRFGFLIVAKLSEAQELITDFNGRWMGSNKLVVYLARDSHRRVQASPTWKWSGRQELESVDGKLSQNKHYVKEVKSNRVPNIDKEKVDLVKQPSFRTVQGTITPNCSKLLNRSFIGTTAETVQEDVLQEKILNRGFTFIQVRHLSDKTFLISYILDEDKDLEIDGIKDMFTSFKKVEDSDLVLPRTTWILCDGLPLSAWNKETWELILGDWGSLVSTFPENMEMFNKHNRMVCITTWKVIPIEETLKVMVRGLGYWVKIKETNIVVWGHDLGQRHKEPESASQTSSQLPESEKEVSRSSNQSIENSFHPEEQSSYILDMQDNRRPKSQSNDLSARDHILHLDQWEYGKWNLREPADNSSSDSSKRQDGLFSACMNKAYDLAEVEEVRGDEIYFFGPQEQLDTQFVTSMSQVNLGKKVGRPRKKVEFRNVFDIKSFKKRKRKNTKVQSDKLVKAFKSPDKRGKRKMAQEPSHKPGGVQLLESGKDMTSQILETAEMMGLVLQEDRQQAYEKIQKMVLES >KZN05401 pep chromosome:ASM162521v1:2:23480412:23481953:-1 gene:DCAR_006238 transcript:KZN05401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAKVEQQQPLIPPSYLRKLPDFKQSIKLKYVKLGYHYLITHGMYLFLSPLVVVLAAQLSTFSLQDIYLLWDHLRFNLISVIVCSTLLVFLSTLYFLTRPRPVYLVNFSCYKPEEERKCTRQTFMEKSTLTGNFTDANLDFQRKILERSGLGEDTYLPEAVLRVPPNPCMAEARKEAELVMFGAIDELLAKTSLKPKDIGILIVNCSLFNPTPSLASMVINHYKLRGNILSYNLGGMGCSAGLISIDLAKDLLQVHPNSYALVISMENITLNWYFGNERSMLVSNCLFRMGGAAILLSNKRSDRHRSKYQLVHTVRTHKGSDDKCFSCVTQMEDPVGKVGVALSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFRMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRIKKGDRAWQIAFGSGFKCNSAVWKALRTLNPAKEKSPWMDEIHKFPVDVPKVSAV >KZN07529 pep chromosome:ASM162521v1:2:41604303:41607710:-1 gene:DCAR_008366 transcript:KZN07529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGGEDGEVDEGSHFTKRLKTSSQLHCDQFPISPAQYNPLDEPSPLGLKLRKSPSLLDLIEMKLSKTITSAPGETFEVEKKKDVKGSANCGSIDKLKASNFLASSLQIGGWKYASRHEGDLVAKCYYSKHKLVWEILEGGLKHKIEFQWSDIIDLKANYPDDQLGILNIVLSRPPIFFKESDPQPRKHTVWQATTDFTHGHASIYRQHFLRCPQGVLKKHYERLIQCDMRLKCLSQQPDIVLETPCFVLQTSSSERNISRNQVATQVEVADVFPISSVQSVALPLSASSKVEQMSILGMSLPDRSKEVSSLNSGAVEANGLCHGHNLLDLKDQGQSKVMGLHRPMSVNDLVNHTGHTISEQKTYGENFECKDMLGNIYRLLFSDTHTMDASNENSIMTRINSFGCLLQDHVVDSPDEPDQRTSMNEHTQFEQKDMCDSTPVTMSEIDAMGHEKDITLGTSHEQQPDMPRSDSFEDLMLHLRRIGSLPNILSDSENSNYHT >KZN04078 pep chromosome:ASM162521v1:2:1656519:1659930:1 gene:DCAR_004915 transcript:KZN04078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRLSLVVMVLIVPWSCAFRVGPRAGSVNRFESMLRSPVELDDEEEMRNGTNWAVLVAGSNGYGNYRHQADVCHAYQILKRGGLKEENIIVFMFDDIANSELNPRRGVIINSPRGEDVYAGVPKDYTGDQVTAANLYAVILGDKNSVKGGSGKVVDSGPNDRIFIYYSDHGGPGVLGMPDMVYVYANDFINVLKKKHAAGTYKEMVLYVEACESGSIFEGLMPNNLSIYVQTASGVDENSWGTYCPGMDPAPPPEFMTCLGDLYSISWMEDSETHNLKKETIEQQYKKVKDRTSNSNTYTAGSHVMEYGTKEIKKEKVYLYQGFDPASKNFPANRAQAKGQMGTIDQRDADLLFLWQMYKRSPENSIKKTEVFKQISETMAQRAHLADSINMIGKVLFDPGQSNSILNSRRAPGSPLVDDWNCLKSMVQLFEAHCGSLTQYGMKHMRAFANICNDQISLSAMEDACTAVCGRESIAWIPRISKEGGYSS >KZN06858 pep chromosome:ASM162521v1:2:36412529:36413957:-1 gene:DCAR_007695 transcript:KZN06858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTRLRDSIFECLADAKSDSDDVKQKALKTLVSITKVSPQNRNLLAQTDEALPTLLSSLESSSPLLQTLTLSILFNLSLNPNLKQSLTDTETIYCLNSFITSPVSPESSKIAASLICSLAMLDKNKAKFGVANTIQCLVKAVSGSRSLAAHHLLSSLTELVQFHGNCSVAVRAGAVPVLIKLVEESRADGEDLAGTSLAILSLLARFNEGLSALRKTDEIVNIMLEVLKGRCMLSKEGAAEVLIRLFEESEGCVRDALRLEFSSVLADLSSWPATQEDDGG >KZN04787 pep chromosome:ASM162521v1:2:15973399:15977861:1 gene:DCAR_005624 transcript:KZN04787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRLHIMQTKELGSCPVRQIGGCSFLYMRISNVYIVIVVSTNANVASAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEMLKLYITQQGVRSPFSSKPKEKIVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLSCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPAKSGKTIELDDVTFHQCVNLTRFNTEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGQARVEVNVKVKSAFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRVKYNAAINCLVWKIRKFPGQTEPTLSAEVELISTISEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVCG >KZN06428 pep chromosome:ASM162521v1:2:32840522:32842787:1 gene:DCAR_007265 transcript:KZN06428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALFLFILLVFNSQNPVYCMNDEGYALLSFKQSISEDPEGSLTNWNTSDETPCSWSGVTCKDQQVVSLSIPKKKLKGFLSSSLGSLSQLRHVNLRNNKLFGSLPFQLFRAQGLQSLVLYGNSLSGSIPFDIGKLSYLQTLDLSHNFFNGSLPASLVKCKRLKTLVLSQNNFSGPLPDGFGTSLAALEKLDISINRFSGNVPRDLGNLTKLQGTADLSRNLFSGSIPASLGNLPEKVYIDLTYNNLTGPIPQTGALINRGPTAFIGNPGLCGPPLKNQCDTSASPPSSIPYLPSDFPPQYGGNAAGRGAKRRGLSKGSIIAIVVSDVIGICLVGLLLSYCYSKVCGMRKGDNGYGSDKKWKGKRECLCFRNDESETLSENTEQYDLVPLDTQLGFDLEELLKASAFVLGKSGIGIVYKVVLEDGRTLAVRRLGEGGSQRFKEFQTEVKAIGKLRHSNIVMLRAYYWSVDEKLLIYDYIQNGNLATAIDAKPGQVSYTPLSWSARLKIMKGVAKGLVYLHEYSPKKYVHGDLKPSNILLGQNMEALISDFGLGRLANIAGGTPTLESDRMPFEKIQKKQQDSAPLEVIKVNSPVNIDSCYQAPEALKMIKPSQKWDVYSFGVILLEMITGRPPIVQVDTTTIDIVHWIRLCIEEKTPFLEVLDPYLTQDGDREEEIIAVLKIAMACIQSSPERRPAMRHVSDALERIGVPSE >KZN04013 pep chromosome:ASM162521v1:2:603328:612565:1 gene:DCAR_004811 transcript:KZN04013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEEGTFEELSRNGLLFQKLMVNAGKMVDHVNENDMRPAVDCNSSTPTANGGDIDIQNGARYEKAKKGGEAVLIKKEERETGVVSWNVLARYKNALGGLWVVLILFSCYISTEVLVTLANSFWIITSSLYASKCLHDSMLYSMLRAPMVFFHTNPIGRMINRFAKDLGDIDREVATFGNMFLSQVFQLLSTFVLIGIVSTISLWAIMPLLILFYAAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYERMANINGLSMDNNIRFTLVNISSNRWLTIKLQTLGGLMIWLTATFAVMQNGRAENQVAFASAMGLLLSYSLNITTLLSNVLRLASNAENSLNSVERVCTYIDMPSEASTVIETNRPPPGWPSHGSIKFEDVVLRYRPELPPVLHGLSFTISPREKVGVVGRTGAGKSSMLNALFRIVELEKGRILIDYCDVAKFGLADLRKVLTIIPQIPVLFSGTVRFNLDPFNEHNDADIWEALDRAHLDDVIRKNAHGLDAKVSEGGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVATDALIQNTIREEFKSCTMLIIAHRLNTIIDSDKILVLDAGQVVEYDAPEKLLSNENGAFSKMIQSTGVANAQYLYSLVLGCEGVKNSKRNQTAHLDRQRTLAFSHWAAAAQFAVLNNICSLQNNLRPLESEYENNILNKAKDSVDTLKVILEGTHDKLINEALDKYQVPADNWWSALYGVVEGLAIMSRLAQNRLGHTGNGLEDAPVYSELDEI >KZN04542 pep chromosome:ASM162521v1:2:12395610:12398071:-1 gene:DCAR_005379 transcript:KZN04542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDYTKQKALINIELTQGRELANQLKSYLDDHNTEKSGEICEALLEKILSSYEKSLAMLKSGATKCQEPKETPQCLSSDNPTSHTCDQSHQNAQKKRKALPQWSKIAQVLTLTELDDGYSWRKYGQKDILGATFPRAYYRCTHRHTQGCLATKQVQQSDEDQSVFHITCKGRHTCNQTTKSSNMPEKKSEKQKKEECIREAGTENETMTLLFNCETSSLKTEKLEAVLENFPSFSFPSTAVETPGMENYIFSSSLWENDSPTYISQEASEPDYFSLSPCHLNEFGDSQHLPHSESDYMEMLSVPNSVSNSPVEDLEIVLDRAEFDPDFPFDLVEYFH >KZN04949 pep chromosome:ASM162521v1:2:18276422:18278160:1 gene:DCAR_005786 transcript:KZN04949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPYVSTSVSTTFLIFLLPFLFSSEALAAHVCDSTPYPSLCRSSLPNNNNAKVHDYGQSSFTTSLSTARAFLSLTQKFLDRSSGLPSGAVAALEDCRLLAELNVDYILESSTITKQAETLSVAQADTVHTLMSSVLTNTQTCLDGLEQTASTWSLKDGVSVPLVNATKSYSLSLSLFKTGWVSKTKRVSSHTPRRRGAFAQGRFPFKTSDKNKAIFEKIGRRHLLQDDGDYIVVSDIVVVSQDGTGNFTTINEALTLAPNKTLASDGYFVIYVTAGVYEEYVSIPKNNKYVMMIGDGINQTVITGDRSTADGFTTFNSATFAVVGQGFVAVNITFRNTAGGADHQAVAMRSGADMSTFYSCSFEGYQDTLYTHSNRQFYTECDIYGTVDFIFGNGAAMLQDCNIYPRLPLANQFNAITAQGRTDLTQNTGISIQNCNIRAADDLASSNGGTQTYLGRPWKQYSRTVYLQSFMDSLINPVGWHEWSGNFALDTLYYAEFSNTGPGSNTSGRVTWPGYHIINATEAADFTVANFISGDSWLPQTGVPYSSGL >KZN06897 pep chromosome:ASM162521v1:2:36739929:36740441:-1 gene:DCAR_007734 transcript:KZN06897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLSKPTFGTSSTISPAEGLFCISDCESCPVICAPPPPPLKSTASPPPSPPKPPPPHHSSPPEQSYYFESPPPPKKSSPAPTKTSPPPSYIAWGESPDSPSPPPPPPSPDLNYVPVPGGQGTFPSYNTGQKNSSNPYYYFYASKGCLTTLDLSFFLVVLLFSHAIVWCC >KZN07299 pep chromosome:ASM162521v1:2:39831000:39831620:-1 gene:DCAR_008136 transcript:KZN07299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLKVYSTNESDVEASTDHPQEKRFDYSQRAQWLRGVVLGCNEGVMSSSILVMGMSVFIDNTNDLVITGLMGLIAGALAIATAEYISVYTQIDVVEAQNERDRRSGRVGGGSAPSPTQIGLAASLTYLIGGFVPILTAFFVRHHVLRFIAVAFAACLSMSGAGYFAATLGKAPVLRSCARVLMGGWIEIAIMIGKRKVLECYGL >KZN04461 pep chromosome:ASM162521v1:2:11240259:11240745:-1 gene:DCAR_005298 transcript:KZN04461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMIMPWQTLGNYKDCGIFLIRHMETYKGEPKNWITDLKAESTIQSAQLIKLRAKYCHAILTSPLNEKRQHVLNESKLLYNKMASDKVMSIVLAASEKKNGAVFRRNDIKGKVLFPEDQDPTEDDTPEK >KZN06028 pep chromosome:ASM162521v1:2:29407432:29411953:-1 gene:DCAR_006865 transcript:KZN06028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSGAVMAWNVFKFCTALRGLGSIMILLVLGVVGVSYYAVVVSSYFPALTNGGLDSLVALVVLLLFHALLVMLLWSYFSVVFTDPGSVPPNWKPVVDEENGDADLLAGSEFSQLAGEPANSRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFITFFSDGEIPGSPSTLATTFLAFVLNLAFALSVMGFLIMHISLVAGNTTTIEAYEKKTSPKWRYDLGRKRNFEQVFGTDKGYWFIPAYSEEDIRRMPALQGLEYPSKPELDGQEF >KZN06535 pep chromosome:ASM162521v1:2:33815831:33828341:1 gene:DCAR_007372 transcript:KZN06535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNGTEYYEVDMEMGGGGDRFALPSNAEEVARDEDELLWAAMERLPSQKRTNFAVLRREISEAEGKREIRTVDVRKLDRFNRELVVKNALATTDQDNYRLLAGIKERLDRVGIKVPSVEVRFEDITITAKVKLGSRALPTLINYVRDLCEKVFTGLGIFRSKRHPLTILNDVNGIIRPGSFIFILAYMDELTRLEKEKNIRPSPEVDAFMKASSVGGRTHSVSTDYILKVLGLDVCADTVVGSDMLRGVSGGQKKRVTTGEMVVGPRKTLFMDEISTGLDSSTTFQIVKCLRNFVHEMEATILMALLQPAPETFDLFDDLILLSEGYMVYQGPRAEVVQFFESLGFRLPPRKGVADFLQEVTSKKDQEQYWADSSKPYEFVPVQKIAEAFKNSQNGRSLKSSLSVPYDKSKSHSSALAKTRFAVSKRELFRATLAREVLLVKRHSFLYIFRTCQVAFVGFVACTIFLRTRLHPTDVSNGNLYLSCLFFGLTHMMFNGFSELSLMIFRLPVFYKQRDNCFYPAWAWSFSSWILRVPYSVVEAVAWSCVTYYTVGFADSPGRFFRYMFLLFAMHQMALGLFRAIASISRDMIIANTFGSAGLLIIFVLGGFIVPKDMIKPWWIWAYWVSPLSYGQNAISVNEFTARRWMEKPAAGNTTLGSFILHEHSIPNHNYWYWLGVCALLLYAVFFNILVTLALTYLNPIRKAQTIIPLDAEAENSAATDVLTIWYTTRKLHEKYMTRFETDMGLRSVTRIRNGSDMASSQTTHLNPTKNKGMILPFQPLTMTFHDVNYFVDMPKEMQLKGIPEKKLQLLCKVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIDGDIRISGHPKEQRTFARVSGYVEQNDIHSPQVTVFESLWFSASLRLPKEVTKEKRHLLLMKRGGRVIYGGKLGEKSQTMINYFQGMDGISPIPEGYNPATWMLEVSTPAAEEKLGIDFAETYKSSDQFREVEASIMQFSVPPEGSGPLHFESTYSQNMISQFKICLWKQNLVYYRSPNYNAVRILFTVGSALIIGSVFWDRGSKRSTTQEVTVVMGALYASSIFLGVNNASSVQPVVSIERTVFYREKAAGMYSPIPYAIAQGLVEIPYIILQTILYAVITYFMIHFERTARKFFLYFIFMFLTFTYFTFYGMMAVGLTPSQHLAAVISSAFYSLWNLLSGFLIPRPNIPGWWIWFYYICPIAWTLRGIVTSQLGDVETVLVGPGYEGTVKDYLKSSLGYSSDMVGVSAVVLVGFSVLFFSAFAFSVKFLNFQKR >KZN06538 pep chromosome:ASM162521v1:2:33847357:33850099:1 gene:DCAR_007375 transcript:KZN06538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKIQSLAVNSSPRGLIAGNYCHDVLIKDEVVIAESLGGAASFISAVLDGLEIPADYVCKVGPDFAYAVNHKPLISYSCETTVFHAYFCEEPARNDRVLKRVGSCDPIFPSDLPDLRFDFGMAVGVGGEIVPETLEKMVNICNVVFVDIQALIRVFDPIDGTVSLVNLNETGFYRLLPRIGFLKASAEEALFMDLEEVRKCCCVVVTNGKEGCTVYWKDVELKVSPFPTVQVDPTGAGDSFLGGLVAGLVQGLVVQDAALLGNLFGSVTVSHIGLPKLDFRLFEEVRSEVQRRKVQCMNRTQRHDDEDNFMKPVGHEQFLLSLKTAKSMHTCPIQASEGEPQSTLKVDHGNSLHCPGQQKLLLNSMYKEPIKSAETNP >KZN04493 pep chromosome:ASM162521v1:2:11869156:11869830:-1 gene:DCAR_005330 transcript:KZN04493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMERLPVNMIGELLEAALGHGFQFFYNLFKAWAQSQRTPLIREMLGNACRSRLFRFWKCGSSEDMASFEELMDVACHMGIGDAIVFTSCRDIFRNPGNSNAQFVALEELSATGRFLAQVATFITKILFRRHSSVSALNALLSLHQNNLYRQRIVSDVESVEVIYRLAVPLGIPPTVDRLMSCPLHSTGDAKMFISNCLESEVCLFCDMACMINKFAIPGATK >KZN05944 pep chromosome:ASM162521v1:2:28605407:28606990:-1 gene:DCAR_006781 transcript:KZN05944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYLSYLFLSLIFLLAGYCSSYFQRRKLPPGPRGLPILGNLLQIGPLPHQSLARLAKTYGPLMTIRQGGVTTIVASSADTARLVLQKHDADMSGRIIPDAITTLEHPSHAVAWLHAGEEWRLIRRVLATFLTNTHKLDSLCELRHGVMGQMVKHVKKISELGEEHGVEIAKLAFTTALNQMSNTCFSTNVDEYNYQDSKGFKSAVATIMELAMKFNVADYFPLLKAFDPQRLRPRANAAYGCLEGLCDEYIYQRLQHRENKLPRHGDLLDSLIDFNQENQSDFTLKHIQVLLVELFLAGTETSTNTTEWAMTELILHPDKMTKLRNEIAESVKARGRIEESELLKLPYLQAVVKETMRLHLAVPFLVPHKTETDVNLNGYEIPKNTQVLVNAWAIARDSSSWENPTSFKPERFLDSEVDFKGQHFSFLPFGSGRRICPGIPLAHRVVSLMIASLVYHFEWKLPNGMKPEELDMSQSFGLTLVRAVPLVAVPITFDQ >KZN05949 pep chromosome:ASM162521v1:2:28644620:28645349:1 gene:DCAR_006786 transcript:KZN05949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCNPSSKIARIAEHGRESRICDKGRPRSNCSFTFLQLEELKDQVLIYKYIENAIPVPHHLLVPICKSVAHSLNGLKGLEFVGGLDCCNYRKNMEPEPGRCRRTDGKKWRCNRDVARPDQKYCDRHLHRGGSRLKQQHNSGGEMLPAKSVSCIPNTTDALN >KZN04912 pep chromosome:ASM162521v1:2:17885865:17888064:-1 gene:DCAR_005749 transcript:KZN04912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLATKYPMCIVNAIEEQPVNISGVSFPVDTTKPNPNGIEYDNLYLDMNNIIHPCFHPEFGTSPKTFNEVFRRIFEYVDRLFSIVRPRKLLFLAIDGVAPRAKMNQQRSRRFRAAKDAAKAAAEEDRLREEFEKQGRKLPPKEESQVFDSNIITPGTEFMAVLSTALQYYVHLRLNNDPGWKAIKVILSDANVPGEGEHKIMSYIRLQRNLPGFNPNTCHCLYGLDADLIMLALATHEVHFSILREVSVY >KZN07740 pep chromosome:ASM162521v1:2:43204729:43206738:1 gene:DCAR_008577 transcript:KZN07740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATLEKYQRCNLNPMANSIGTETQNWCQEVSLLNAKYESLQRTQRHLLGEDLGPLSLKELQSLEKQLEGALGQTRHRKSQVMVEQMEELRRKERHLGDVNKQLKIKQESSGVHEYRGLPCPWGTGLPMHQQHAQSSHSNPMLSDHEPAPLLQIGYEQQYVQGEGSSDIPPNNNIAGESNVTYGWVL >KZN06568 pep chromosome:ASM162521v1:2:34084552:34085889:-1 gene:DCAR_007405 transcript:KZN06568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQIVPATGEYELEVAESPKGIEVSSKALPLSCTVCSFLKAEFSLSDAEERSKSATKLGVLICVALIAMLVEIVGGLKANSLAVLTDAAHLLTDIAGFSISLFTVLASGWEATSQHSFGFHRLEVLGAFLSVQLIWFTSGTLIYAAVDRMLHKKDAVNGKLMFIVATVGCVINLVMVLWLGHSHDHSHGHGHGHNHHHSHDHSHIHINRHNPGHESNHSHDEGHSHTHSHNHDGHTHSWSRDHGHSGNPDYDQGPNYNPDHAHSTCNESENGHHGVESCEWTEEEITGLVPNSPVKSRKLNINIQGAYLHIITDLIQTIGVMIAGVIIWAKPTWLVVDLICTLIFAVVALSSTIPMLRSIFCILLESTPSEIDVDRLKEDLKCMDGIIDVHDMHVWALTVGKTVLACHVIVEAGVSVNETIHKLADYCQTSYGIDHVTIQIEEG >KZN05652 pep chromosome:ASM162521v1:2:25990138:25997012:1 gene:DCAR_006489 transcript:KZN05652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRSKSHRQSSRHTKELVKEHSEDCEVDIVNVYEPNSNDYVSAARVSDELARVEKRKFDMFGDSDGDFSGEVVVSRRRKERVEKSKEVKVEKSRGKEVEGEKLRGVEGEKSKEVEVEKSRGKEVKGEKLRGVEGEKSKGKEVKVEKLRGVEVGKLRGKEVEEIGEVERKRRGEVEERSEGRVELKRKCDKNGNDCRKDVEVVKKRLRSDGSGDREVRRGRGVAAKDDIRNYEVEKEIEKKVQKRVDYLGDKNKHQDDIYNAFDKRSLRGDCSKDTRHKDERRRDKYQEDDDKDIQQREDKLQKESDRSSRYKDAKYRDNSEKKYRHRDEKYSEDRFIDSRHRDDEYCKDSGIYTRHKEEKYPKHVDKYDNNDRHNGCQYGKDGKTIPQKEEKRYEHIERDNRHRDAKQKAETDRDREKRAQDVKFMDRYTLKDHDSESEMKHTRNESDTIDPNQRKSSNHDSSPTCDDRVTKYKSDMVKKRLTDREGYGDVRYKSINKQHSDVEKKSMNNDEVYIVTEKGSSNSRKTDVDTSLDYSYRRNSPNSSTRDASDHYRHVILASIHYIKPNPREYGCEEKARHNRKSSREFLRAGRTESTSARPVEKYTQNDDSHFDELSHDRLDARASPLSMADKSLSSTNTERRHLNSSEIRKALDTEQSAMRRGSSRDYSGRKEAGGLDMQKEMHLVDELSQVDGESFSNLPPLKRNSNSTRSFVPPSPFRKGLDFSPFGYSEDDTRGRSNNHYRRNSNSKTGSRVNAWDGVPNWPSNVPSGFMPLQHVPPVNFLPAMQQLHTPQIFDLRPTMDFNNMLPFNMPVTKNFPVHGCPIGWQNPVDDSCPPVNGWDAHTHGYMRSDWDQNRGQTNKRGRDTNADLRSGKDNGLSGDLTSIPQKEDLGAANNVSAGQLNQQAQSELFHPYSQECSSDVHSPYPLGNIIAEAPMGATKESRDRSEIFADNNASSYHIYLSRLDISADLTQPDLYNQLTSLTNMDQIKTEEDKYMMIYMEEIFECDLANEIWTDVPFSNDSVFQNAMSLYKKQREDIGSMNKMLAFSSNMETPKVIQRQNNSKAPSGYNNLEKEVLASLNDAHTSSAVSDYEGVETSSVLLEAQASSLTALQRSDEPCVTNIVVVSKDQACMPNEKDMGVHPVFRQDPGDFTKENKSAMQLAELSKARGILEEMKKADELSASNSKDDKLIDTKGPMLYSDVSSEADLVEFEAVHIVNLFALLAWASASSVNNQILLISASLLGAPCL >KZN04813 pep chromosome:ASM162521v1:2:16713052:16713563:1 gene:DCAR_005650 transcript:KZN04813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIISSKERNLSALVVDDDTVCRLVHVAYCESHNFETCSVENGREAVDLVRSGRQFDVIFMDFSMPVMNGIQATRELRAMGVKTMIVGIDCDPDFLGEDPFQAGMDRVYEKPMTHEIVISVRQALLNNYNM >KZN05468 pep chromosome:ASM162521v1:2:24151679:24154542:1 gene:DCAR_006305 transcript:KZN05468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIKPPSLPIPQLHFSKPSSPPTNSSSCSHFITSSSHSHSPFTEKHSINRYKRDSWVFKNQLDPLSSCPVPPDSSSIRDYDIALQLPELKKMLEVLREKRESGGGGERGDRKVGDVYLVGTGPGDPELLTLKAMRVIQSADLLLYDRLVSNDVLDLVGQNARLLYVGKTAGYHSRTQEEIHELLLCFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGVANSVRFLTGHSQKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLVMKLMHHGLPHDTPAAAVERGTTPQQRIVFAEIKDLADSIASAQLISPTLIIIGKVVALSPLWPISSKDASTLVEARQI >KZN05573 pep chromosome:ASM162521v1:2:25205451:25206452:1 gene:DCAR_006410 transcript:KZN05573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQKKVVEEVSGWLRVYDDGSVDRTWTGPPEVSFMAEPVMPHENFVDGVAVKDVDTDSGTRVRIYLPEKKATDSETLPIILHFHGGGFCISEADWFMYYAVYTRLARTSRSIVVSVYLPRAPEHRLPAACDASYFALLWLRSIARGKLHETWLNAHADFTRVFLIGDSSGGNLVHEVSARAGAEDLSPLRVAGAIPIHPGFVRATRSKSELEQPQSPFLTLDMLDKFLNFALPIGSTKDHPITCPMGDAAPPIGSIKMPPMMLCVAETDLIIDTEMEYYEAMKKAGKDVELYLSGGVGHSFYLNKIAVDHDPSTATRTEELMAAITEFINRH >KZN07398 pep chromosome:ASM162521v1:2:40586535:40589666:1 gene:DCAR_008235 transcript:KZN07398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLHTPLSLPSKTPTAVAGTHRLFPTTPRTLSFPITPRPHKLTFTAPIRFSRPYPDDPISGDDDEEPDRAPTSNIPDEWGEKSDPDPEPATKLADSDPPKNDDEWGATSERDFVVSGNGNVGGDKSDESGSERLEELKRCLVDSVYGTDLGVKASSEVRGEVFELVSQLEALNPIAAPTDAVVELDGNWVLLYTAFSELLPFLAAGTTPFLKVDKICQSISTSNLTIDNTITLSSPFATVSFSALATFEVRSPSRIQVTFTEGSFQPPDIKSSVSLPENINIFGQTINLSPVQQSLNPLQEAVAGIARGISGQPPLKVRIPGERSNSWLIITYLDKDLRISRGDGGLFVLAKEGSPLLDQLSG >KZN05050 pep chromosome:ASM162521v1:2:19337482:19338982:1 gene:DCAR_005887 transcript:KZN05050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSYNSSPSSASYTTTNYDESGCQSKPATAGCIHGIIRRFLCFNTSIPTHPSDHFNAEAATACRSLDDASSGIGEASAATPGLVARLMGLDSMPMSDHRDFNSVRRTKSMDSLRDVELVQSKHRHVKSTLSFRDGPSFLELEDEEFFILSFESGGKNKDLRSNSRKSDLGFSEMRTKRSEIKHGDKNRSRQRAENCDKENQGTNQVSDKNSRHPRSVLCAIKNCHENLRSPETVKQQIKKAINNEEPKGGRLRKKKKDQKCSQVKNVETECDSENSSPVSVLEFSELPIEFGVPSAVHKAKLTSSNSRRILFEELKNYKSNPSVIIDDQEAKKQRDTCPEIWKRLIKHSHNYAEIWEEERKFAETDMKQSKWMYRGVLDNEESDEITATFEFEILDQLLQELVDQVFSL >KZN06123 pep chromosome:ASM162521v1:2:30331108:30332001:1 gene:DCAR_006960 transcript:KZN06123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNIIWMMFYAYLTPLLMIRGTLAKESDPRSLDEFLHVHAQKIVKWPRLGAFYNIPLHSNYSGIEISYIQINTSSLWTSGINSSFIHIPPKTRIRPSVERLDIVFHHLGNLSSYYFSLPHHKFITPVIGFTAYNGDRNASAANRSSVHKLKLEGNNTILVQFEDRSIKKGENGSKKCVRFHPNGKIEYSHVVSSNVCHVRGQGHVGIVVRRAKPFWKRRATKCWAIGLGVGIGVLFFAILAGILLCNFARRKEVKSMQRQSEQSEALETVWVGGTKMPAAGRMRTQPVLENDFLP >KZN05558 pep chromosome:ASM162521v1:2:25044069:25048059:-1 gene:DCAR_006395 transcript:KZN05558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDFMAAPAAASPPIVSPAPVNKSLAPGFRFHPTDEELVRYYLRRKACGKPFRFQAVTEIDVYKSEPWELAGHSSLNTRDMEWYFFSPVDRKYGNGSRLNRATGKGYWKATGKDRCVRHKGETIGMKKTLVFHSGRAPDGQRTNWVMHEYRLVDIELQQAGVAQEKDAFVLCRIFQKSGLGPPNGDRYAPFIEEEWEDDAALFVPGGEAEEDVANASEGRTGVNDIEQIPDEDKAPLPSESLIDHQTIPFVCKRERTEDQPMNDEADPEPLSMLFEPKRAKQSDPNSSHQNGSEDSTTSQEPTTAPMSLALMVFPLLENVGTETSTQQVNPPTFDADNLEKSVPPGYLKFISNLENEILSVSMERETLKIEVMRAQAMINILQSHIEVLTKDNEDLKRAAQSK >KZN06623 pep chromosome:ASM162521v1:2:34478080:34479000:1 gene:DCAR_007460 transcript:KZN06623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFELISSQDQFLIPQELLEAFRLFNSLFANHQATEPIPRKISWIPDHMNSVASHMAEHGLLNMAGLVELPGASTMGNLKFLLDRDMGRVVSFPEVEVMPDMGLGEVVDGPPPPLKRKCYECSPVFPVPAAKKVKDSRWSIVSSPQLLSKKGKERLYAEYAFYDNGVLSEKAEKILDAGYLGEYAATFLDNNLDLEAPVGNGLFAKDVLHYACLGILELAVPKRSMPSPQSASEFMPVEQLLVEMELENTTLGVADAAGVAVGPGQAYAIDVGNVSDAAEVGNIDGSDGSVDGEGLSAGVAAPAV >KZN07712 pep chromosome:ASM162521v1:2:42975970:42977238:-1 gene:DCAR_008549 transcript:KZN07712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTGGRDSQPFDINNQKIHPQPMEESTNQNPEAVESMISKIFTNISSLKSAYIQLQAAHTPYDPDKIQAADKLVIAKLKDLSELKHFYRENNPKPLCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIRNKDSEITQVQQQISEANQRRTKLEKNLKLRGLSANESEGMGMQSDFGSLELTVDLFSSAVEAASKAIHDFSKPLINMMKAAGWDLDAAANAIEPNVVYAKRAHKKYTFESHICQKMFTGFQQESFSLIIEDRMATEESFFHQYLALREVDPLDSVCQNPDSDFGKFCRSKYLVVVHPKMEASFFGNLDQRNHVTDGGHPRTPFYLAFLKLAKSIWLLHRLAYSYDPAVKIFQVKKECEYSETYMKSVVNNFSTDENDPKPRVGLMVMPGFWIDGHLIHCQVYLTGVKFAE >KZN04972 pep chromosome:ASM162521v1:2:18517262:18521468:-1 gene:DCAR_005809 transcript:KZN04972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAISEEEDFESNVHGGWSISLGEATERKGRGQVLSQLDMLRDAHRLEHVTGPSNTPQKRDKCIQDEVDNKRRLSCLTDRVSPCTSDEENISDEEVIMENNMLLARSTSSGCGNFPKVNFPVSGIDRNDEKCAWAAVNREVEELVHVNENAMCSSSPGVFSKVSKSGKGVGGKAKPKFSFHLQSHKHVNDNTFMSSNGIPLLEASEFIKPINAEQSMSDLLESFKGGKIEQSIIHAGQEEVSVRGNDTKHSMAALLDSFQENNVLPHGDAEVISRTKERLQLVVKRNICSLGDRTLDGDKSPDMVDSDSSSDDKVFQQNLEHIIPNSKRIKSMADQFQEAIGEATARDKEIPFALPTHMGKEVRVMEKDEIVILSTYFSQIHLINEC >KZN04441 pep chromosome:ASM162521v1:2:10868253:10868702:-1 gene:DCAR_005278 transcript:KZN04441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVTEEIRAKATEKYTGHEICQEKSKTLLVEMGLPNGLLPLQDIVECGIIRETGYVWLIQKKKVEHKFEKIGKLVSYATEVTAIIEKGKIKKLTGVKTKELLLWVTLSDIYLDDPPTGKITFKTPTGLYRTFPTSAFEVADGVAVKEV >KZN04316 pep chromosome:ASM162521v1:2:7699771:7702074:-1 gene:DCAR_005153 transcript:KZN04316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPTFSDDDDFETPIPKTKSKPYTTNLRTEDGVRKEIRLKFAADNSKLTPKALSLRNEKGNRLKKRATKLKRTIIDKHGVQASDVEEERGQLPKHAEQKKQLKKRNAINKLVSNVSIKRAQLKRGRQSTQENQRKGTPTRKGWTRQALKQRQAAELKAKVFGRGDIIKPRWQEQGKNVESTAEKEATVSSPTTREQEQ >KZN04944 pep chromosome:ASM162521v1:2:18213366:18217320:1 gene:DCAR_005781 transcript:KZN04944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQNDQTGDSSVENLRRTLRITSLDDDDDEDDESPPNNTTNLINRMDEEDEDDEEDEEDEMTVTIGFVEKPKHDWSLLPHLFPSKAGGFPAWLDPINLPSGSSSVCGICGDPLQFLLQVYAPLFEKKSTFHRTLFVFMCPSMKCLLQDQHEQWKRPSDNPSRSVKVYRCQLPRSNPFYSSEPPKHNGTDKPSEVKAALCSWCGTWKGCSVCGNCKIARYCSEKHQAAHWCKGHNNECRKLAIDPQNSSSCSGKIASNSIWPQFEIVNEDEPEIDTMSENGGYATALASVSHVDEGLNSLIDSFEADADKKSWATFQERVSRFPEQVIRYCRYARAKPLWPMSSGRPSNTDVPKCSYCGGPRCFELQILPQLLYYFGVQNDVNSLDWSTIVIYTCEASCDEGVVYKEEFAWVQLPSQSTTTVG >KZN06375 pep chromosome:ASM162521v1:2:32320994:32322722:-1 gene:DCAR_007212 transcript:KZN06375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATTSSIAAKLAFFPPEPSYKLDTDEQTGKLKLIGVPEGEKGEVSKLQTKKGHSITVVYVRNLSAKLTVLYSHGNAADIGQMFKFLTELCDQLCVNVMGYDYAGYGQSTGEPSEHNTYADIEAAYGCLKEKYGVKDEDIILYGQSIGSGPTMELALRVPALRAVILQSAILSGLRVMYRMKRTLWLDIYKNVDKIQYVHCPILIIHGTEDEVVDISHGKRLWELCKEKYEPLWLTGGNHCNLHVFPEFFRHLKKFKLAIERLPGENSGAERSLSNQPMNEDQREKSRLSVSCISSRPTVESRDTLRFSVDSREKPRTSSDQSEKARKSADCYYGKPRISTDQSERGRNSIDRLGDMMRSVAFCNVDCLKQTIRED >KZN05690 pep chromosome:ASM162521v1:2:26346862:26347212:-1 gene:DCAR_006527 transcript:KZN05690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDLAPNMAHNYLGMILCFKCQAGGGSFLIDYSLKTSASNFIKSDTEMEVYGACMIIVPRSIFSVRDGDNRIELTAGGYAEISGLHLLYKTENCRRRKRGTNMLNSRKTWKVNEN >KZN05418 pep chromosome:ASM162521v1:2:23637877:23643560:1 gene:DCAR_006255 transcript:KZN05418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQDLVKRVLLEKDEYGDAWLAIRRKLLSLYAEALSSACTRLVQMIQVIIQDDLLAEEIELYRASGSNQILPPIERLLNYISGLKSETGDTTSSLKMATYSCMRDLYHYARVSGVHVLESVMNIALSAVKREQLQEASDVLSLFPRLQPLVVVMGWDLLSGKTKLRKKLMQLLWTTKSQVLQLEESSQNGNSHKVSCVEHLCDFLCYQLDLATFVASVNSGQSWSLKSSLLLSRRELSQNEVDIHFDPFVENLVLERLSVGSPIRVLFDVVPSIKYQDAIELISMQPITSNLAAWNRMQDVELMHMRYALESAVSALEVMGRSVADVKEIYQVALCYLKDLRSHLEAIKITPRKILMINIIISLLHMDDLKATPLGASHSELPNTFSVDYADAESHGEGNEMVVSFTKLILKILQQNLPLAVAEQDSSLNANQRQGLEWRSLHAKRFIEDWEWRLSILQCLLPLSERQWSWKEALTVLRAAPSKLLNL >KZN07735 pep chromosome:ASM162521v1:2:43162721:43167917:1 gene:DCAR_008572 transcript:KZN07735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCYDTSLNSDARLSPPHKRQRLEKDAVIAIHDGEYHAREFLFKKVLAKSDVGRLSRLIIPKRFAIQHFPSIDVNARRWNKFVKAYKLQAGDNVLFYKNYNGDEGFFGIEVRYAGTRNVVGSLANNLYNGEEFINDDTVRVKEEHEVSASQLKEKDVMLFGVRIKRASKDFQGESNDVIKEEEDYLVHKADYRRVKEEEFEGLKITMMCYDTSLNSDARLSPPHKRQRLEKDAVIAIHDGEYHAREFLFKKVLAKSDVGRLSRLIIPKRFAIQHFPSIDVNARIEDCVFHIDFYDARKNLWTFRYCFWKCSRSYVFTGGWNKFVKAYKLQAGDNVLFYKNYSGDESFFGIEVRYAGARNVVGSLANNLYNGEELMNDDTARVKEEHEVSASQLKEKDVMLFGVRIKRASKDFQGKNLVIM >KZN04957 pep chromosome:ASM162521v1:2:18344889:18345884:-1 gene:DCAR_005794 transcript:KZN04957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEVNRCQIQEWYPKFRSVSIRTIIHELPESFVQYLLDDEGPFLLPLSISNDDALPNRIHNPEEEEDFVVQEGSEDEAEQTSPPPSFEELELKIKESIESLGGGVFPKLNWSAPKDSSWISSTGTLKCTSFSEIALLLRSSDSLVHDLCHAYDSCSDKTLSRPSSFFLALRKWYPSLRPEREFRCFVRKQILVGISQREVTGFYPTILEDKNHLETVIQDFFRDKVNLNFESENYTFDVYVTTSGTVKLLDFNPWGAFTLPLLFDWEELEQTADEEGNELDFRIVESPCGVRPGLKTAVPYDYIDTSQGSGWDQFLRNADEERQRQAGA >KZN06834 pep chromosome:ASM162521v1:2:36174408:36181713:-1 gene:DCAR_007671 transcript:KZN06834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVYGDRLTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWEFQPKKIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYVAPAGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKALESFYEKFDSDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERGAGMDGQKISYTLIKHRLGDLFYRLVSQKFEDPAEGEDVLVGKFKKLHDDLTSGFRNLEDETR >KZN07269 pep chromosome:ASM162521v1:2:39568723:39569656:-1 gene:DCAR_008106 transcript:KZN07269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGSRHSDDQDSEFKSPNLMAERKRRKKLGSRLLELRALVPKITNMTKPAIITDAISYIHELKSHVQELSDEILSMEATSNEHEQKMEMSEVGSAQEMENWGIEPEVKVSKIDSNKLWVKLLFQKTRGGLITTIFEAMNVLGFVPIDMSAITSKGAVLITSHIEVMEDGMQATEKIKELLLEIVTTY >KZN06518 pep chromosome:ASM162521v1:2:33619809:33623783:1 gene:DCAR_007355 transcript:KZN06518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICAYDSGRELWCRDGKAAAHLKLISIFIIFFTSVIGISLPVLFARFFQGKPAYDKAVLLIKCFAAGVILSTSLVHVLPDAFNALADCQVSSHHPWKDFPFSGLVTLVGVLMALLVDLTASSHVDSHSERDVSEYKPIGAAEMKCCGGKNKCTIRPLVAALAFHQIFEGMGLGGCIAQAGHPGTVKAPYLILAKDPNSWGHALYNGMQYFRWSWNAKIKNTIP >KZN04945 pep chromosome:ASM162521v1:2:18218209:18222139:-1 gene:DCAR_005782 transcript:KZN04945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLVTLLLLLALSHVVEHCGADYTGQCKSNGPLKPRPHSVSILEFGAVGDGKALNTVAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKDAIILGSQDIAHWEVVDALPSYGRGIELPGGRYRSLITGNNLVDVVITGDNGTIDGQGSVWWEHFSSHSLNYSRPHLVEFISSKDVVVSNLTFLNSPSYSIHPVYCSNVLVQNVTAYAPPESPYTSGIVPDSSEYVCIEKSNISVSYDAIVLKSGWDEYGIALGKPTSYVHIREVRLQSTIGAGLAFGSEMSGGISNVLVENIDLHDSFIGCEVKTSIGRGGYIEDICISNANIRNVKIAIQATGQCNSHPDDEYDPHALPIVNGLTYKNIIGTNVTIAGNFSGIPESPFTSICLSNISFTTTPYASASWLCSNVSGISENVYPEPCPSLQNSYRSSASVCSFLFYPSRRAADL >KZN05205 pep chromosome:ASM162521v1:2:21157630:21180174:1 gene:DCAR_006042 transcript:KZN05205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTTAETEDVSNRLREMSVAENSGESHASVSSSQFGTVGAIKHVPAQGVKSIWKPKSYGTVSGTPTIVAKDEGGSKTATAEKSDTAASKFDGKLLEDFTVDKSTYSRAQIRATFYPKFENEKSDQEVRTRMIELVTKGLGTLEVSLKHSGSLFMYAGHEGGAYAKNSYGNIYTAVGLFVLGRTFQEAWGSHASKKQSEFSDYLERNRMCISMELVTAVLGDHGQRPLEDYVVVTAVTDLGKGRPRFYSTPDVIAFCRKWRLPTNHVWLFSTRKSVTSFFAVYDALCEEGTATPVCHALDEVADISIPGSKNHIKVQGEILEGLVGRIVSYESSKYMEQVLRDSPPYQLEGVDLDMGPSLREICAANRSDEKQQIRALLQHVGTSFCPNFVDWFGREGTNSHSRIADRSILAKFLQSNPSDFSTTKFQEMIRLMREKRFPAAFKCYYNFHKINSVSRDNLQFKMVIHVHSDSAFRRYQKEMRHNPGLWPLYRGFFVDLNLFKAKEDGGPKFDKDCVDLTNHVNDSNESEKGGLADEDANLMIKMKFLTYKLRTFLIRNGLSILFKEGPAAYKTYYMRWLELDSIFILYVMDFQKSVRFPYIGPPWQMKIWNTSAVKQKELSKMLDEWALYIRRKHGHKQLSSSIYLTEVEPFLENYAKRSPQNQTLIGAAGNVVRSEDFMAIIDGGRDEEGDLEKEKEVAPSSPSPSVVKDAVRKNEGLIVFFPGIPGCAKSALCKEILSSSVGLEDDRPVHSLMGDLVKGKYWQKVAEQRRKKPYSIMLADKNAPNEEVWRQIESMCRSTKASAVPVVPDSEGTETNPFSLDALAVFTFRVLNRVNHPGNLDKASPNACYVLLVFYNLYDGKNRREFEAELIERFGSLVKMPLLKPDRSPLPESIKSTLEEGLNLYRLHSNRHGRLDSTKGTYAQEWTKWEKQLRDILFGNAEYLNSIQVPFESTVKQVLQQLKNIAKGDYTAPTTTPNTEKRKLGNIVFAAINIPVTEIQSLLHNLAEKNSNVDAFFKDKNMAISLKKAHLTLAHKRSHGVIAVANYGSVLHQNVPVEMTALFFSDNLAALEGRPGSSDGEEVISKNAWPHVTLWTAEGIAAKEANNLPQLFSEGKATRIEIDPPITVTGTVEFY >KZN06470 pep chromosome:ASM162521v1:2:33170365:33171197:1 gene:DCAR_007307 transcript:KZN06470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMCDVSATEAAIIYGLEPVWGAGFAWFLLGERWGVSGWIGAALVLGGSLMVQILGASSASLSEKNKVIGKIADPMVIFEKQSNLSASPIVVSSRKNTLNKKL >KZN03988 pep chromosome:ASM162521v1:2:222361:222943:1 gene:DCAR_004850 transcript:KZN03988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKVDKLGRSLQVPSVQELAKEKSATVPSRYIHSDQDPVILSSTNLPEVPVIDMEILLHGDLMDAELNKFHQACKEWGFFQLINHGVSDSLLEKVKTEVVEFFKLPLEEKRKFGQLDGDIEGYGQAFVVSEEQKLDWADMIYIITLPTDLRKPHLLPQLPHSFR >KZN07096 pep chromosome:ASM162521v1:2:38324984:38325751:-1 gene:DCAR_007933 transcript:KZN07096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAFISNDATRKATYKKRKKGLMKKVEELSTLCGIDACAIIYSPYDEQPDVWPDIAGVERVVEKFKSMPEMQQSRKMLNQESFTRQRIAKTNEQLKKQLRDNREKEMTEVMSQCLTGQLGLHNLTLPDLNDLGFLVDQKLQEINKKMNEISLQEATQQEPVVIPEEAPQQQQVVPTTVSDNVGLLAGGVVEEQRLDIAGGSSDVDAYGLVPQWFNDAVVGNNATANDQNLGFMNMFGDENNPAAWDNYF >KZN07427 pep chromosome:ASM162521v1:2:40776065:40776751:1 gene:DCAR_008264 transcript:KZN07427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYKVSSLVFVVLLGLGICSATRALFGYEESVAGYAHGGVDVGLSGGGGGGGGHGGGGGAGYGAGGEHGAGYGSGGGEGGGAGYGGGKGVGGGGGGGGGSGGGGGGGAGGAHGGGYGGGEGGGSGSGAGYGGAGGAGGGGGGGHGGGGGGGSGAGGAGGSGYGSGGGSGAGGGSGAGGYGGAAGGGGGGGSGGGGGGGYGAAGGEHGAGYGSGSGSGEGGGHGGYAP >KZN07833 pep chromosome:ASM162521v1:2:43901614:43902921:1 gene:DCAR_008670 transcript:KZN07833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSIEDHVYVYQLFKVPELNENLQENQFYRKVSTYVNSLSSSIEDSDFTNLFSSEGKDIILYLDDNQIVHDTFLGARISWKYQVLVEDDYQKKKTFVLKMKKRDKRRILQSYLQHIDTVSDEIEERCRRELKLFINTESHQHRRWRSTPLAHPATLNTIVMDSDLKIRIMSDLESFLESKEYYHRLGRVWKRSYLLYGPSGTGKSSFVAAMAKSLNYDVYDVDLSNVSDDSDLKMLLVQTRRKSLIVVEDLDRFLNDSSSSSVSLSGLLNFMDGIVSSCSGDEKIMVFTMNYKELIDPPVLRPGRVDVHIYFPLCDFNMFLTLADTYLGVKDHKMFPHVEETFEITGATLSPAEIAELMVMNRSSPSRAFKSVMTALQINKVAASSKLQGRWIGDSSDQSESSSGILNWKDVSSPAIKEIRKFYGVLSAKIGDT >KZN05664 pep chromosome:ASM162521v1:2:26121537:26123710:-1 gene:DCAR_006501 transcript:KZN05664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTLKYLLGWKGPSGYGSKSTADQVTSSVDLRSSTAIITGATSGIGAETARVLAKRGARLVLPARNQKAAEETKARIQSEFPGAEVLIMPLDLSSLSSVRNFVSEFESLNLPLNLLINNAGKFSHKHAVSEDGIEMTFATNFLGHFLLTKLLVEKMIETAKTTGVEGRIVNVSSSIHGWFSGDLIPYLEHITRNKSDYDATCAYAISKLANILHTKELANRLQGMGANVSVNCVHPGIVRTRLTRDREGFLTDLVFFLTSKLLKTIPQAAATTCYVATHAKTKGITGKYFADCNEASPSKLATSSIQAARLWSASEAMVTSPDPKFGAYPFNGFD >KZN05403 pep chromosome:ASM162521v1:2:23503049:23503804:-1 gene:DCAR_006240 transcript:KZN05403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSKSKQSDKENQSYEYLLKRINSEVKNNEQLLRDNGKEKRLLTAKLESLAGTVEELQKELRQKEKEVEDSRNLQEELRQQIDLNGLEMLKSEQELEGLHKERSLHMAKLKVFEEKVDQLQLELRERSMESAEGIELHGKLLKQIESKDCELLSEKKKRKNVVDSYKRLKSQYNFLCARNGLTPENMLTPNKKEDETVSVRHSPDPLTSPGTPFGPIKFGLFENLSVIFNCVEQYFSKILLLNKFVPAI >KZN06378 pep chromosome:ASM162521v1:2:32338668:32342895:1 gene:DCAR_007215 transcript:KZN06378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPSPNSSSPSSSAAADPPVATTAAPQPQPFPPPPDSNSAAQPNAAPATPSAITAKPPSEAPTSSTNSTSSPPPPANSPPPSSSPPPSTPIPLSPPTLTPPTSTPPPAPPAGPPNTTPPSSPPTPIPPKSPPIPQTSPPPPPSPSPPSVPPPTPATTPPAPPSNPPTPPASPPTPPTNPPVSPPTPPASPPKPSSSPPTSPASPPKPPTNPPTPPASPPKPLPSPTPPKPLPSPTPPASPPKPPASPPPTPPSDPPKIPAVPPLNSPPNLPSPLPGKRLSPPPSSIPSPPSPPQVPVPPSGNPSTNNSNKPSTSNSEDNGGIGIGGTVAVVLIIGLILLSACGTVIWCVRKRKKKSSAVNGGHVLPTSRSSSPKSDSGLLKAQASAAHGENGSGSGFVYSPAEPGGLGNSRSWFTYEELLEATNGFSEQNLLGEGGFGSVYKGYLPDGREIAVKQLKIGGGQGEREFKAEVEIISRVHHRHLVSLVGYCIFENQRMLVYDYVPNNNLYFHLHGEGMPVMKWETRVKVAIGAARGIAYLHEDCNPRIIHRDIKSSNILLDNNFESRVSDFGLAKLALDANSHITTRVMGTFGSVEGLARPLLGHALETEDFTALADPGLENNYVDIEMFRLIEAAAACVRHSAVKRPQMGQVVRAFDSMVTADLTNGMRVGESEVFNSAEQSAEIRLFRRMAFGSQNYSTDYFSQGSKNSHLP >KZN04329 pep chromosome:ASM162521v1:2:8243931:8244182:-1 gene:DCAR_005166 transcript:KZN04329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQVFDPNLFPHEYSKTLSWSNFLELQFFKLVVRLEKRRRVEEMEQRRIAAIVLRENIISLAGSMSKIYNRRKLMEKSPKGE >KZN04463 pep chromosome:ASM162521v1:2:11288975:11290276:-1 gene:DCAR_005300 transcript:KZN04463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRKRRQACYILDYQCYKPTDDRKLSTELSGKIIRRNKNLGLDEFKFLLKVIVGSGIGEETYGPRMVFHAREDSPTYEDGILEMDEFFHDSIDKLLARTGVSPQDIHVLVVNVACITTIPSLASRIIKRYKMREDVKIYNLTGMGCSASLISINIVESIFKCQENVNALVVASESLSPNWYTGNDKSMILTNCLFRAGGVAILLTNKKSLKDRAMFKLKCLVRTHHGARDEAYTSCIQMEDEHGRVGINLSKNLPKAATRAFIENLRLISLKILPLREILRYALLSFVGKFVMINFKTGVDHFCLHTGGKAVIDSIGKSLGLSEYDLEPARMTLHRFGNTSASSIWYVLGYMEAKKRFKRGEKVFMISLGAGFKCNSCLWEVVRDLDGENGNCWNECDIDKYPPTSFSNPFMDKYGWLNHKDETTFDRNDFE >KZN05630 pep chromosome:ASM162521v1:2:25754992:25765238:-1 gene:DCAR_006467 transcript:KZN05630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSNGTVMNQFGDTTLTKVFVGGLAWETPKEAMKEHFDKYGEILEAVIISDKLSGRSKGYGFVTFKEPEAAKKACEDATPVINGRRANCNLASLGARRPRSTSTPPPPQHGPVHNVGPRSVAAAPANNQVQWYYSPAAAAATPALPYHHPHQQQHHTLQAAAVPYYGYSPSYIAADHVNYNHKLGYTTGSYMNGHYSQVYLGQPMMGTANTLMPMYPFYHFHQTQAMGHPAHYYSPTATATITTGPALMTKPTSVLPNPDLPKRTRPKSFPRTKLTSTILKMDKHDDDVGVSRVSESSGNATVLVAGETLDEEKLGCGGDESGELMVGLVGSDVFFDGVSGVGVGGNGELGVQGDGFVDDQGRGDGVEELGEIAGSSGDKVFEGDGVVSEDVVMEEVGIVAKEVVVEENVKVAVSESGLERGEELTEREGVSCESTVPFPCEDSDMHGDAMNCDTKVVEEDVKVVMNEDSKNYGEVTESDRVSCELATLSAHEDSDMHGDAMKSNTKVVEEDVKVVVDEDSKKCGEVTERDCVTCELATLTDLDGSDMHGDAMKCDTKVAKEDVKVVVDEDSLKYVEVTERDGVSCEMAISSAGEDINMHSYVIEEDVKVAANEESLGRGEIVIERDEVSCKLATTSAEEDSSIPREAVNGADEVGAGETLVVTESSSVSTHIAEELDSVVNEDRAEAVKSSVEVLENQLMKPVIGVLGDEASDAHVLYDIVSSDKSVGTAKRTGLIEGVIEEDMKVPGSESGLKRDEEVTVRGDVSSVLATPSACEDGHMHGDAMDSDTKVAEEDVEVVTNENSRKCEEVTERNAGSCKLTVTSSCENTDIQVDTMNCSSKVIDENPKIAPIENAFGRGENVIDRDDVSWGLESGIHAVAENGTDEVSAGDKLLVTGSYNADTSGAAETLTSVANEDGAEEAVKPLGKALENQMMPNIGLLGNEATDAHVLDDMDTSDRSGETAMRTGLIEGEFDIDEGTQTSIDLVSTIERSGIVAGVTEAIDDKILNSDGDVKFGDHKFLTTASEEILVENAECRREKELKAEPLHGSSRSDIAVCSDPESGGKQILADTQLILNDSLLVNPIANIDRHETICSDTGKNVEQFDILGTNVSGDGFKEAIDSKENGSVADASNHTLSGCIEGVMAGNNSEIDVGIHTYPISSSQENHDTEATMMSINVADSNMEVSTTAMDAIFGSEDIRGLKFDPSRKDGDVPINEEKINKHVTDCIDSGVQETEGDSDLPIPKFLDATSTGNFVEGTSGQDHILEVEEEYNDENQADASKMEETDGLDKAMDFEQSDAFDEKFPEQMSPGDGSLFSDCHSHYLLPPENEGEFSASDLVWGKVRSHPWWPGQICNPSDASEKAMKHHKKDCFLVSYFGDRTFAWNDASWLRPFRTHFSLIKEQSNSEAFQHAVSCALAEVSRRVELGLACSCIPKKSLAGIESQSLENAGIHQEAAIRYGVDESSAASCFEPKELLEHIRSLAVLPAAGSDVLLQLVISKAQLSAFSRFKGQCPLPEFQSYGGLLEKDANTDGIQQHVNQIISENNFSSKRSHDFVDSLPPRKKERSMSDLIEDKPHSSDVDDEFNSNITDKSFATSAGIKRKAQNSLTEGSDKRQSYYAAKVSTTASQSPKPSFKIAQDPIKGYSFLKNITTFFTGFRHSVASGQNSRMRNLSTGRGGRKKKAPQSILNSPEEFEFDDVNDSYWTDRIVQNYGEDELLNNAQNGEADYQLLLHQADKPVKSSRRSRKQFSNGEHLVVAEEPIAEQIDKKQDCSPTELILNFSEGHSLPTELNLNKILRRFGPLRECETEVDRETRRARVVYKRSSDAEVALSSAGNFNIFGPMHVKYELSYVPSAPYKPLPVAALEGELNASSFI >KZN06500 pep chromosome:ASM162521v1:2:33407377:33408009:-1 gene:DCAR_007337 transcript:KZN06500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSGRDKFKGRWDGTNFSFERDRSYGFSWPQRNYNCTFCKKEFRSAQALGGHMNVHRRDRARQLTQHSSSSSSSEINTAPHLHSNPNPKPNLNHDHKLLFPSPSTRTSSPKAPATRFLTYTGRARDFDDNVVLDQDVSPQIMEIRKKLAVEVNNRNEVRGVVKQDQKDEARVHNKENDHELLGLDLNMAISTGRDGSEDLDLELRLGSF >KZN06510 pep chromosome:ASM162521v1:2:33553009:33553600:-1 gene:DCAR_007347 transcript:KZN06510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTPDFTQDDSGGSDSDSNAGDSPDYYLPISATTEDDGNFSDHTSDHDHSESPSFHPLSNGYANCVENGVASLDLTDDEEEETAIITSETAIQRAFIEDENRRNAPLPAENATRVMEAMRGISFAGVAPDWSGRVREDMWIDQLRNMRRQSISDATANRPG >KZN04563 pep chromosome:ASM162521v1:2:12830365:12833480:1 gene:DCAR_005400 transcript:KZN04563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNDLYTELWRACAGPLVEVPSRGERVYYFPQGHMEQLRASTNQELDQHIPKFNLPPKILCHVVHVQLMAEQETDEVYAQITLHPEVEQAEPTSPDPCVPDPPNPPIHSFVKILTASDTSTHGGFSVLRKHANECLPPLDMAQATPTQDLVAKDLHGFEWRFKHIFRGQPRRHLLTTGWSTFLMISSFALRDDVGELRVGIRRLAGQQSSMPPSVISSLSMHLGVLATASNAITTHTLFVVFYKPRTSQFIIRLNKYLEAVSHKYSVGMRFKMKFEGEDPPERSFAGTIIGVGSISPQWSDSQWRSLKIQWDEPASIHRPEKVSAWDIEPYTASNSIDLPPPAMKIKRPRPIEIPLTDATSCAATAPFWYSGSNTTVEHCNLGGAPESERSESQAVCPPKQKDNNGTCIISGGLTDGSWKSSMNLFREPVGDKKYVIHPSVMPEYNSAVSSRASNNLFKDQLELDTRTEPSNSCRLFGIDLRNSNIPSPAKEVKDSSAAADSGKKTFSAAQLEANTAADLDLNKENEKVLLETIEEENMNKHGTCASKRTRTKVQMQGIAVGRAVDLSLLEGYAELVTELEKMFEIKGELKERKKWQVVYTDEEGDMMLMGDHPWPEFCTMAKKIFIYTGEEVKRMCPNSKVSSLDGDRRTVSIEPAIKSDI >KZN07371 pep chromosome:ASM162521v1:2:40409298:40412809:-1 gene:DCAR_008208 transcript:KZN07371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFDSHLYDDENDEPVMCSDDGGGGRRRRSPTPWLGSAGDADVKEMWRTVAGGDSEDDREVAKMVDTAYCYGTDTKQQSPGDAPQQEDIAEFTNPFNRGRKKKKREKSAAEIALLVEQVLAELEFAAEEDVLLNIESKPAVNKLMKLPFLTDVLSKKQLQHEFLDHGILTVLKSWLEPLPDGSLPSINIRAAILKILTNFPIDLDQYERKEQLKKSGLGKVIMFLSRSDEETASNRKVAKELVDSWSRSIFNKSTRFEDMRKFDDERILLQGASGKRESKCGKWSSRQRTFRPEAMPLDFVVRPPSKIDPDLVRARAEHVVQDQCHLKMKKKLEQLKAPKRKQLQATKISVEGRTMVKYL >KZN04821 pep chromosome:ASM162521v1:2:16792628:16802899:-1 gene:DCAR_005658 transcript:KZN04821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVLLPAEEVEVTAQKYEFQQIKAPHLTGFMLKLFVKLLEAPVIGPLIADHLKKQNQINEVLRNTAIPETPMFKPEYPFQEPEVGITTLDKCVTSEDRVELALKCLPQYDPSSTWTGDMSPFRYWKIRDYAYAYRSRLATPAIVAERIISAIEEFSHKIPPAPMLISFDPEDIRRQAEASTQRFEKGSPLSILDGIFMAIKDDIDCGSSWYHEVRSVRKDAVCVSRLRDCGVIFVGKANMHEMGCGTTGNNPNHGQTMITAKTACLLLICVSEYCSYGRTHILFTARNPHAPDRYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKSTYKRTSMKGATCDIGTVEIIGPIASTVEDVMLVYSVISETAPDKISLNPYVTSLPQLSTHESSNALGSLRLGKYTEWFNDVFSPEISGKCDDILDMLSKTYGCETVDIVIPELNHMRIAHLVTFGSETASALSPEFEDGNSIKFTHDTRINLALFRSFTASEYVAAQRLRQRMMYYHMEIFKKVDVIVTPTTGMTAPVIPPAALDFGETDLQVASNLMRFVLAPNLLGLPAISIPVGYDKQGLPIGLQLIGRPWAEATILRLAAAVEELSATRKKPQSYYDVLKGN >KZN04434 pep chromosome:ASM162521v1:2:10759432:10763000:1 gene:DCAR_005271 transcript:KZN04434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKALVSVADTIYELQKHLLDGIQTDTQLHAAGSLMSKNDYKHVVTERSIAKSCGYPLCPNDLSCNHVKSKGKYHISLREHRVYDLEEMRMYCSMKCLVESKAFLGTLQEERSTVLDVSKIEEIMGVFGVRGNDGVGNKRRLRVEGLTIRENEEVAIGEVVVGDANAIEGYVPKGSRGLDGKNQKQVIKPSKKKPAEKNDLNFSEFDFMSTIITQDEYSVFKVSSQLLAAGSGSNVNSSGSKVRFEEMNDQFAALGMSSDHASSSSKTKFEEPNQDGDVVDMIDNLSISSGCQGGPGVNVIEARKGALSGKTDERNVGMLKPSLKSLSSKNVTRSVTWADEKSAGNRRLFEGSELEDTKQDSEGLNRTNMEENDSLRLASAEACAVALSQAAEAVASGDSDVPDAVSEAGIIVMPPPTYDERRDADMDTDMEPAAIKWPQKQGITASDFFDNSEDSWYDDAPEGFNLILSPFASMFMSLFSWISSSSLAYIYGRDESSHEEYLSVNGREYPRRVMAIDNRSSEIKLAVAGCLSRTFPELVNDLRLPVPVSTLEKGMEHKTSPPTLKLWSKSYLLDTMSFMDPVPPFSTKQWHVIIFLFLDALSVSRVPSIAPYIKSKRKLLQKVLDGAKISEEEYGLLKDLVLPLGRVPYFSAQSGG >KZN07084 pep chromosome:ASM162521v1:2:38221503:38235348:-1 gene:DCAR_007921 transcript:KZN07084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGRPPIQRLLVVANRLPVSANRRGEDSWALEVSVGGLVSALLGINEFETRWIGWAGVNVPDEIGQKSLTLALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYRRANQMFADVVNEHYEDGDVFPIGIDSDRFIRALELPQVRNDIQQLKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLIQIAVPTRTDVPEYQKLTCQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALNMPADEREKRHHHNFMHVTTHTSQEWAETFVSELNDTVVEAQLRTKQIPPPIQSIKGFNATLTETVDANGRRIDQFTEMQLKLHPDLKDPLKKLCDDPRTTIVVLSGSDRSLLDENFGEFNMWLAAEHGMFLRSTQGEWMTTMPENLHMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQSKDMLQHLWTGPISNASVEVVQGGRSVEVRAVGVTKGAAIDRILGEIVHHKDVNSPIDYVLCIGHFLPKDEDIYTFFEPELPAPSPSISRVNSPSPVNGLVPHPSANKGGSNISCSSLKHPFSAPDKKPCSNGNGNGTGWWSALREKITVHEGSSVLDLKSENYFSFTVGRKRSSARYLLNSSADVASLLDELAESLQS >KZN04794 pep chromosome:ASM162521v1:2:16031047:16032813:-1 gene:DCAR_005631 transcript:KZN04794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHYHPFPVATTTTTLSTLDPFVSSTDTWAPAQESLQHGLQSLIENAKQPWTYAIFWQPTSSVLGWADGYYQGDDKQKKSQPISAASAAEQQHRKEVLRSLNSLISGAEASSSDDNGDEEVTDTEWFFLISMTQSFGSGSGLPGRAFQTNSFIWVSGGDRLMSCECDRAAQAQSFGLQTLVCVPVGNGVVELGSTDLIFRSSGLLNQVNILFDSNGGQSGDWTLGLDHSECDPSEGFVREVDLSKSKSVVVENHSSSTLTENFNPSFSSASHVQSQQFDRNQGGRSAVVIEEVNFSHSGIDGISSTNVGNGCKGKSSEILNFGESKTSNGNEFTGNSQFGGVVESKKRSRDDGMWSFSGVKSSGGGVGDSDHSDLEPSMLKEVESLQVVEPEKKPRKRGRKPANGREEPLNHVEAERQRRERLNQKFYALRVVVPNVSKMDKASLLGDSIMYINELKSKVQAADSEKDELRSQLETLRKQLSIKEAQCLVQSGPKKDSNLSKVHGSKLIDLDIDVKIIGWDAMIRVQSSKKNHPAAKLMAALEDLDLDVIHASVSAVKDLTIQQATVKMGSRLYTQEQLRVALTARVS >KZN06306 pep chromosome:ASM162521v1:2:31830165:31830815:-1 gene:DCAR_007143 transcript:KZN06306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSGQSSGASRISDAQIADLVSKLQQLIPEIANRPTGSAQVSASKVLQETCEHIRNLRKEVSDLSDRLSQLLESIDSNSAQAAVIRSLLM >KZN04366 pep chromosome:ASM162521v1:2:9416848:9419074:-1 gene:DCAR_005203 transcript:KZN04366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNTVSTPFVKDISFVQCVHILLVVHNVSLLMSLESRFKEQEYQVTTEESACSALARLKKRKSCHNIVIADIDMPEMEIFEFVRKVRHECTSIPVILIAGDVTMEFVSEAFNNGVCYLFSKSPSNIDIRNTWQHVYRETGKLPALTDNTSPIDEEKYFNQSNDINRCDSAIKPNPGNSKRKMIITVEENKTIEDDVGNHKKSRMTLSTSLHSKFLDASNILCKQGNCYLLEAGAGLNSILGRMKESGTYSQLSGKLQGYGGPIKVGTNSQVIAPAFISKPPIRLNASHFVCYTMEEVKRKLEAMSYNVHNTIKENNKDDQAAHVGKQSPLFPHDFAHMNMKGGQDTRNCFQAKIVEPVGVQVDDGNGGKTSEGTDQNYISKEHTLLGPHNFSDGIMQASGQLNTGVRDLNQEPTSDLGMGIVGFKSVGNQESVNHVNFEEGTSVQGMLGFKNVGKPTSANHVNFGEGTSDAHHKFSEVFEDDGL >KZN05007 pep chromosome:ASM162521v1:2:18908919:18912418:-1 gene:DCAR_005844 transcript:KZN05007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETLPNDGVISMDEGEDENHDAATHKFKFWWSEAQSFYSKIMAPALKIGAVRSATLFCIVMFFGGLYATRWLDISIFTGAPFQKSSLVLNHNKITYQLDCSAGNATQTCPAKNPILFTTDDSSARQCPEYFRWIYEDLRPWKRTGITKEIVESGMHKASLRIIIVDGKLYMEKYKDVFQTRDVFTIWGILQLLKLYPGKIPDLDFMFQCGDTPVIPMRDYMGVNASSPPPLFHYCGDDSTLDLVFPDWSFWGWAELNIRPWASLKNEMKNGNKKTTWRKRIPYAYWKGNAWVSKNRRALMNCNVTNKNDWNARLYQVDWGAESKKGFKQTNLANQCTHRYKIYIEGRAWSVSEKYILACDSMALVVKPQFYDFFTRSLQPLVHYWPVNNNNKCRSIKFAVDWGNSHHKKAQRIGRAGSNFIQEGLKMENVYDYMYHTLNEYAKLMKYKPTIPPKATEVCSETMTCREHEEVNKRFKIESMVKHPSDSSPCVLAPSYSRNDVHAIKNKQESARKQVEVWERSGDATKVKF >KZN04293 pep chromosome:ASM162521v1:2:6879637:6884535:-1 gene:DCAR_005130 transcript:KZN04293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLGSLEVPRRERRQRNLDLEATGEEEEEELLESESFSNDRRWNCFRVIALVIFMEKSKDSACGPIDRSLLFMQEDHISTSIWEGDDRLRLSAYRDEWDSFVSTGMPIITEGAYVPISEYMHWFRLHSKLRIVSCLAPPPDIIQPRDWFPQQSMVDEDRVYTEWKGMAYERPSFVRQQPPLSHTELHPPPPPPRTELPPIPQAPSHMEVDSSAPTSSLQPTLPASHQKHPRDDDTEADTSGPQTSIHVSHKRPRVGADIQVSDQTRPPEETPIVISSPPTTQVPDQTRPPEETPIVISSPPTTQLCSHINHQHSAIR >KZN04326 pep chromosome:ASM162521v1:2:8132719:8132883:1 gene:DCAR_005163 transcript:KZN04326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTRLAITRTQATPRLTANLKHRTKRPNNADKTQQKEECDSHDFKPSKIQNP >KZN06334 pep chromosome:ASM162521v1:2:32013113:32014531:-1 gene:DCAR_007171 transcript:KZN06334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METALAASITNLNSSPSVIKRHHLYPCYTSINSQKSPKYNCRYKNSVSFLTSCSTKPDTNKDNVTDPSSIDDPNLSSSIQKLPVPTSTQALSSVNSLFSRGLVFDLGQKNAWDSAEVGSPVVRRFLSDEEERWYMWYHGNSGKNPGSDHIGLAVSSNGIHWERGEGAVQSSVDVGLVMNCSKDWWAFDTRGIRPGEVVVMSSSKIRANSAVYWLYYTGFSSEEAEVSDALLKFKLENPERLLTCFGENNENGGIGKIYKSLPGLAMSQDGRHWARIEGEHHSGALFDVGPDGDWDSLFIASPQVVFHKSGDLRMYYHSFDLKTGHFAVGMARSRDGMRWVKLGRIIGGGGSGCFNELGAVNAQVVKNKKDGTYVMAYEGVAADGKRSIGLAVSTDGLKDWKIQDSPVLKCSEEDGWDDKGVGSPCLVEMDGEKDEWKLYYRGIGKAGQIGIGMAVSSGSDITSFRRCQGFHL >KZN04077 pep chromosome:ASM162521v1:2:1613020:1615207:-1 gene:DCAR_004914 transcript:KZN04077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAQVNYKEGVEMAKSSFKQEHEFEKRRAEAGRIREKYSDRIPVIVEKAEKSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSSIYDEKKDADGFLYVTYSGENTFGY >KZN04546 pep chromosome:ASM162521v1:2:12453109:12462955:1 gene:DCAR_005383 transcript:KZN04546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYISNYNGDAKEKKTLEAMEAELKSFENLNQALTVKERGSNDEFQDAREELIDFAFAVNQGHRQNTKYLVISQTAMNFAQQKYARFENSTSDKSLDYTKNQGLQGFKAAVSSIVGRGLEKSCRGIRNSRNLDDSKDNSTSCGKRFIDPQGQFLQRWNKIFVLACVLSVSLDPLFFYIPVIDGKRRCLNIDKQLATTACILRSFTDIFYILHILFQFHTGFIAPSTRVFGRGELITNHVAIAKRYLSTYFIVDILAILPLPQVVILVIIPKMDGPVSLTAKDMLKFVVLCQYIPRFFRIYPLFNEVTRSSGLFAQTAWAGAAINLFLYMLASHVVGAFWYLISIERKDKCWREACKGDCDITYLYCRNGVGIHNSILSASCPHLEPEDIRKPSDFNFGIFLDALKSNVVEMENFPRKFLYCFWWGLRSLSSLGQNLKTSSFVGEILFAITISIVGLVLFSLLIGNMQKYLQSITIREEEMRVKRRDAELWMSHRMLPESLRERIKRYEQYKWQETRGVDEEFLIRDLPKDVRRDINRHLCLALLTRVPMFASMDEQLLDAMCDRLKPVLYTEKSCIVREGDPVDEMLFVMRGKILTMNTNGGRTGFFNSVTLKAGDFCGEELLTWALVPNSSSSLPISTRTVQAVSDVEAFALMAEDLKFVASQFRRLHSKQLQHTFRYHSEQWRSWAACFIQAAWRRHCKREFEKSLRENEDRLQNALAKEGGTIPSLGATIYASRFAANALRALRRHLVPSANLPQTLLIQKPDEPDFSAQETRK >KZN04002 pep chromosome:ASM162521v1:2:359135:365905:-1 gene:DCAR_004864 transcript:KZN04002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSILRAPMVFFHTNPIGRMINRFSKDLGDIDREVVTYGNMFLSQVCQLLSTFVLIGIVSTIALWALMPLLILFYAAYIYYQSTAREIKRLDSITRSPVYAQFGEALNGLSTIRAYKAYERMVNMNGKSMDNNVRFTLVNISSRRWLAIKLQTLGGLMIWLTATSAVMQYGRAGNQVAFASAMGLLLSYSLNITNLLNLPSEASAVIETNRPPPGWPSHGSIRFEDVVLRYRPELPPVLHGLSFTVSPKEKVGIVGRTGAGKSSMLNALFRIVEVEQGKIIIDYCDVAKFGLADLRKVLSIIPQIPVLFSGTVRFNLDPFNEHNDANIWEALDRAHLKDVVRTYTHGLEAKVTEGGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVATDALIQNTIREEFKSCTMLTVAHRLNTIIDSDKILVLEAGQVLEYDSPEKLLLSETGAFSKMIQSTGVANAEYLYSLVIGSDGVENSKRKQTEHLDRQRTLAFSHWAAVAQFTVLNNVGSLQSNLEPLESKDEKNILNKAKDSVETLKVILEGTHDKLIDEALSRYQVPADNWWSALYGAVEGLAVMSRLAQNRLGHGGNGLEDTTGYSELEER >KZN04376 pep chromosome:ASM162521v1:2:9734256:9739659:-1 gene:DCAR_005213 transcript:KZN04376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQGAFRDWNPDDCNPCMWSGVHCVDGQVQMLNLNGLSLEGLLAPDLGKLSHLRILILSQNQFSGSIPKEFGGLAMLEIMDLRNNSLNGTIPSEIGAMPALKCLLLHNNKFEGVASSQHENIEMPSELQSDGSPVTGAAAEYGCLNRKFGHWFKSEEGSSSENAEDCCENLTSAIEPHIKSAVNSIRRRLAEESTNLAATPASGSFPEEPIIALPSTRSSGSFPAVPKEKKNTSLAHAETPQVPHHAAAKPKKLEEADRHSGEKWKYLVGVLVALFVVLVATIIFFVCRTRAVKNITPWKSGLSGQLQKAFVTGVPKLNLHELETACEEFSNIIETHESCTVYKGTLTSGVEISVTSTTVPSRRRWSRRSEIGYRKQIDSLSRINHKNFVNLIGYCEENEPFVRMMVFEFSPNGTLFEHLHIKELEHLDWNARVRIIMGTTYCLQYMHDLIPPLPHSNLKSDAIFLTDDYAAKVAEIEFWNDLHYKSRVTTADDSGNCELPPLPDVETDVYKYGILLLEIVSGRLPYSEEQGSITKWASEYLEDSRKFKLMIDPILKSFKNDELEVICNVLQECIQQDPRKRPTINEIIPKLREVIKVSPDAATPRLSPLWWAELEILSAEAA >KZN07804 pep chromosome:ASM162521v1:2:43682364:43693960:-1 gene:DCAR_008641 transcript:KZN07804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWSTSLASFKENLNKIAQDVHDDDDEYEDSSIYNSNDRNDSPVSNRRFSDRSFSYTNSPLSNGFDSAHNSEIEQYRAQIRKLQDSEAEIKALSVNYAALLKEKEDYISRVNEENSSLKQNLANEQSANRQYKAAIRKHSSGSPTQNGSASKHDIISNGIANITHTGGSQSTIEPRRSSIQGNEKDLALLEERNRQLEAKQSSQELKITQLGMELDKERNRLADINRKFQDERTMGLSIQEELHNLKADKDKTCVEMNQIRNDLNHKISEIGRLQVELNKRDNTEADVLVENLKHAITSLEEENSNLKKEKDQLFALKATSQNVTPGVPEKSNTLSNSVNEVAQSRDFPGKEEMELSIRKMARDLKDACREKEKALQQLNRLKQHLLEKESEESEKMDEDSKIIEELRGHNEYLRAQILQMEKALKQAIASQEEVHFKYNEELKKSKEINDDLNKKLSSFRNTLNAKNDELLNLQTALGQYYAEIEAKEDLEEKLASAREESAKLTERLKDAYQQAEIMKSDKEEILAKLSEAERLLADGKSRVNKIEEDNAKLRRALEQSMTRLNRMSMDSDYFVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFTDEDKQKIGVAQQGAGKGVVRGVLGLPGRLVGGIMGGSPAGSSASTASDNQAISFKLTIQSLSFADLWVDFLLKETEERERAKNESAQDQHGADPGTAGSPISSRTDTVPVAASGLSRWNSPLNQEQTTLLPHGNLQIENTDSEFSTVPLSESNPQLSRLLPRY >KZN04336 pep chromosome:ASM162521v1:2:8420235:8421169:-1 gene:DCAR_005173 transcript:KZN04336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLYVPQFVKHLDCIDCSVDEMPIPSSFSSRFGHRVSDSVKLKFRNGYKIRVTFDRDGSEFLGMHDVFVDFGLFGGEVLIFQLCRRDCFKVYIIGVDCCEIEYPAIVHSAQKCTPKTVCVRPDGWRFVKHLNLANGFGDAVVPPQSFLGKFAATLPTRFKYFVNNGAEFRGHYNREDGILTGLSSIPEYLRLEDLNFFDLVVCSGKPGF >KZN04785 pep chromosome:ASM162521v1:2:15952737:15960280:-1 gene:DCAR_005622 transcript:KZN04785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEAKEKNTQVMYYGPPDNSHVPNYKAVLHKFSDSFNRDKWTEDEDINLVKGIKQQFQDMLLQKTYQNEEILRQRSTGLVSDVEGFPGESNNFDSIIASISDHDITDENMREFLPKVNWEQLASMYTKRRSGAECEARTPFQCLAHYQRSLNPSILKREWTEDEDDKLRAAVATYGERNWQSVASVLEGRTGTQCSNRWIKSLHPMRKKKGKWSPNEDKRLKVAVMFFGEKNWRNIAQYVPGRDHVQCRERWKNCLDPSVKLDEWSEEEDLRLKEAFEEHGPSWNKIASYVSQRTDNQCLRRWKTLFPHEVPRFQAASRIKKVALISNFVDREARRPALGPSDFVQLQLLDSVTETGKTDSCRKGEKKSSYVAKLRSAKRKADAERSCESVLRLTNGKKRRFNAKKSSTQDQETASVKGKLSEVNSVAKSSAHDVHTARKQAPGRKRKKLSRTPDASQQAATGVKEHTSKPSSCENECVDTTNKEVGSLSEVDATKNKKSRKHIRKKNKLMEQVNEAQVHTGTDGIDVSKKSDALETCSSDHAYLAISEDCPPSPRGSPERMLINGDVAEPFVRDSNDERPPRCPTPDILDNLNLRAFTVSRKVVVNRSRHRGRTLKQRRNADYEDDMTLAAFINQSRKARKILLSSIKASKPLPKCIDAED >KZN04263 pep chromosome:ASM162521v1:2:5563749:5576015:-1 gene:DCAR_005095 transcript:KZN04263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVEYHAHFEQMYPALLGIIPLIYKIMDKEVGGMLKLLTQAYEKGIERARYIFQMPYERGIEHALYIFQMPELISAIRGRQKGHLRDAKSRVTFKEDNGWTPLHYAVYHEFDSILGAIIEAQEDIGQQFVYENLVPTPFHVAVIHGYTSTVTRLLQSWPDPSSEVSADNSPYTVVDVNGRNTLHLAAAANNKEMVLDILKYCPKEYKDQILKQQDDNGDTPLHLLISCGCFIPELIKYEVPDTKVKNKNGWTAPDMLYFQNLIVGDQVQIKVALENMETDQWYGNSFLKSMEKNVFGSLFKKKPNSMLKSMKKNIFGMLFKKKQNVSERSVLPIRSTSLRLPNKSESLVLPSRRQRKDVLFNECKISLNDAKEKQKKKELQRYREGNNSQIVVSALITTITFTVGFTMPGGLHQSGEVDEGSAVLSKKAAFNTFMVLDVIALLLSSCSMFIYFLESIYDDPDQVFSLHATTTGLNIVSVMLMMLIFITGTYVVLSQSPALAITVCVIGSLFFIFVIVHLMKFVRSRRAKKNRD >KZN06307 pep chromosome:ASM162521v1:2:31841116:31842087:1 gene:DCAR_007144 transcript:KZN06307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVASLMFVGGMIHQYSPYFRHLLKRCIKKIDSGCYPYIEITFNEHSSGQLSENCNAFVAIQHYLEANSLHTVKRLKADSITRGQILVLSMDDNEEITDEYHGIKLWWSSKTKEVPISRSHHKQRCYTLVFHSRAKDETNGRVIASSSQG >KZN05691 pep chromosome:ASM162521v1:2:26363270:26366888:1 gene:DCAR_006528 transcript:KZN05691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHAKSVGKCDFFQDDSEFQYQFASGIKTIAVIPVEPQGVLQFGSTQEIPENEEFVNQTKRIFREMASCHGLNISEFIPTSLNYESYGSSGVFPSLAPSGSTNVENNKFHESDGFQGLMASTCFPVDSFITKMENFGDHWRNGGAESQVHQTICGGAWSGGLSTLTSLKHQDSALRSQPSQNVFNVKPETAVTCGNTVQNFQSSAFASLNNSECSSGSLIKLQHSTPPLYEIDSELLGKNILPTLNEFFESPDYNTNHVKACSMDSFYQWFDSSLDQKNKTDFTTMDDDLLSEAMRFVSQPSHVSSATNAISHTASSVHSSITNTSTSTGKEKCPDVFKVEHDLFDSVEADLGYGQAGNTNDILMPAIDGGQMDFKNALQSTSQQHVGSTLVQRKGLFSKLGIEQIVEGISSGTSSSFAKPLSEDQLSSTAKRKRTGISLGTSDQVNLAGSLFQQKEDITTQGSCSWVSDSYRTLVGHTDLQEKKHVSTAKTVKKKAKPGARPRPKDRQLIQDRLLELRELTPNGEKMSIDCLLDRTIKYMLFLQSVTKHADTLKEAAKFKDNEIFNKDNFSRRGGGVTWACEYGDQSTMCPLLVEDLSTPGHMLIEMLCEDQGFFLEIVDIIRGLGLTILKGLMEVQENKIWARFIVEPEGNGNRRVSRHEIFSTLIQLLQLTAPSGVKANKQVGNIIDGGTNLFNNYPHCGVSVPLR >KZN05535 pep chromosome:ASM162521v1:2:24817070:24818730:1 gene:DCAR_006372 transcript:KZN05535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLTSLPNPQTPFPISLNQSHPTPKSPFPRFQSPPFKTHPQKMQKKFIVHANQDKDSAKNGFENGGVGDKEDIKKENEMPKFNFRWVDLVLDPDPQNIVAVALTGSIFS >KZN04515 pep chromosome:ASM162521v1:2:12145548:12146216:-1 gene:DCAR_005352 transcript:KZN04515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESREWQLNASEYIHHVISSEALRVMQVTHHQLPSPHRTYRRLTPEIQELQIWLYHLARQEGRGCRYFSPLPLETDPSPGRMLSGDPRI >KZN05167 pep chromosome:ASM162521v1:2:20788891:20789797:1 gene:DCAR_006004 transcript:KZN05167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDEVRKGPWTEHEDVQLAFYVNLFGDRRWDFIAKVSGLKRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVVELQSKWGNRWSRIARKLPGRTDNEIKNYWRTYMRKEAQEKKKSMPSSPSHSNCSSSISSSSLDPAADSKPIPNTMERNFFDTGGLDEGYSMDEIWNDIDLSPEENVKPVYGGFNDEILASPIWDYCPDSLWSTDQEDSKTILPTSEPYHSWFGQGSAFFTEHL >KZN07519 pep chromosome:ASM162521v1:2:41498226:41499374:-1 gene:DCAR_008356 transcript:KZN07519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATFHQGSFLNRISMRRNQVVSMTDNNIKIPEFDRFQNIVAENFAGIVPGEDGDDAVLSVAWFRKLLDVFLRCEREFKDVVLLSRDPVELGKAPMDRVLGEYLDRVVKALDICNAVTHAVDLVRHWQQLAEIGVEALKQGPIGGGQVRRAKKALNSLLTSMSFDDKEDFSAKSADRTWSFGRRATAETAVVRARNRSSFRSLSFPFANSWSSAKQVQLMSANLVVPRGSDAGGLGLPVYVMSMVMTFTMWALVAAFPCQDRIGLPAQLSIGKQMVWAEPILMMHEKIGDEMKKKDKKMMNCGLLEESLGLSKLAVFLVEYDFSTVAEDQMAANIAELAEICRKMDKGLGTLEQELREVFHKLAKSRIEVLNHIDQICNLST >KZN05234 pep chromosome:ASM162521v1:2:21495544:21513606:1 gene:DCAR_006071 transcript:KZN05234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRINVSVRARPLSPEDAKSSPWCISGNSIFIPNHSAKFEFDRIFGEETKTVEVYESRTKDIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSAAEPGVIPLAVHHVFDIIQEVMDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIYVAGLREEIVASAEQVLELLAFGESHRHIGETNMNVYSSRSHTIFRMIIESKDDSQDDDTGTSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGSHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCVIVNEILTDAALLKRQKREIEELRAKLQGSHSEHFEEEILNLRNTLLQTELERERIALELEEEKKAQAEKERRLLEQDKKIKNLSSMVLCSNRDDNRDRYKKEKRRDTWCPGKLSRETVKELNSSSTLKKDSASKPIRSGRDMGPLLPFEELVNEASTDDFCKEEKSCSNNSQEECALPQPHALLHVTSRKKASTKKKNLLECTDLEHVQKEYEDLLLQFEAQKTTSDLKISYLTRRLEEAGLCVDNTADDSTIHTNKNERLDESEAILVIKELQEKINMLEMDKSSSQQNLDDVVQLITEQQISADVKFEEIYEELKNAREEAKVARESVNSVESFSCDEGSEYFSKASREVQEILAEFANSRHGIEAIFSVVDELFQNLSLMLSCFTDLKCQASEDNSLLKGMIYDHTKIWSCMKHNISELEKGKIDMYNQYVDRQGKIEELSLDVKNSAKAFDELSEQREIEKCSLLSEIQALQKEISSLSSSSLAKEKESMRKDLEKTKAKLKETESKLKNMIQEKTKLEGEKACAEREMKKLHAQKITLERDISKQGQISERRRDSMVDRRSSIFDAKRPKGLSIAFDQVMQEDYRKLEVLAFEMETTIASLEEELSTARAEKEHATSTIEGLDVEMQSLSDKLVMSNSELSVFEEAVSTLISDLEESKSQNEKLECSLRMLVEEKEELATQLTDALLAMEEVKAVRSSIEKSSLQSIKEASESYNSKITLLSSEVSQVRSELESSKEEYKVLMDKLLASEKNAESEKSWSMEKCQENERLRDELKVAVNASQKLQEASFSQLSKLKSEIEELKKKLTSLETENHIDQISNRTEKAKLRMRLRGTQHKLEAALFRHSEAVDELDLMNRNYEAASQKLKEQLSIYCTEVLTLKKQLAAKGQ >KZN05268 pep chromosome:ASM162521v1:2:21901194:21902908:-1 gene:DCAR_006105 transcript:KZN05268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKLVLLTYFYMSVMIEKQRIYFSSRRPQGPRATVIVMSDQARGMHHPILGEVDNKRAYQAAEVIAAVNKINRSTIVPEYSFSDARGPYLALAPELAISRKKSKTISSSSE >KZN06644 pep chromosome:ASM162521v1:2:34648623:34653037:-1 gene:DCAR_007481 transcript:KZN06644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLQALSSCSSLKLLASQPRRELSCRGDKKLSLFQELGGKVSEFRGNVNVSGSTTKTHTLSCNRQSIQAVLTSDKETETKPLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGIKELEGLEAKAKASGACQLVVKDLQEEFVRDYVFPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAREVGADAVSHGCTGKGNDQVRFELTFFALNPELSVVAPWREWDIQGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPNQPEYIKIGIVSGLPVSLNGEDLSPASLLSKLNDIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILSAAVRELESLTLDRESMQFKDMAALKYAELVYAGRWFDPLRESLDKFMEEITATTTGSVTLKLYKGSVSVTGRTSPYSLYREDISSFESGNIYDQADAAGFIKLYGLPMKVRAMLEKGI >KZN07108 pep chromosome:ASM162521v1:2:38434158:38434988:1 gene:DCAR_007945 transcript:KZN07108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELPESSPFPSKSPPFREDCWTEEATATLVDAWGRRYIDLNRGNLRQKDWQEVADAVNSRHGHSKKTLRTDVQCKNRIDTLKKKYKVEKARVVDSDGAAASNWMFFDKLDFLIGPKMSETKSPPTAIPLPIRRGERIEPLAVALPQKRSAASVADDSYFRRNYSAVAAAAAAVDEDDDEEQEQEVSEEIGGNGREQGLQMLAKAIDRFANMYERVERDKQLQVIEFEKQRMQFVKDLEVQRMQLLMDTQVHLEKMKRANRSGSGSDGEISCVLNI >KZN06151 pep chromosome:ASM162521v1:2:30577506:30578570:1 gene:DCAR_006988 transcript:KZN06151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDVDGNDPEWFYLNSISRSISAGGAAAKAFTSGNYVWLDGYQELGSCECERAREALIHGVRTMICFPTAHGVVEMGSSSRINQDLILVEKIKSLIAISTTPGLVQEQPQDKGLLLDVYTNNVKKLDNHPEKLQTPKIEIISFANTNRNDNIVKIQKVGKKRGRKPSVGKDRPINHVEAERQRREKLNSKFYALRAVVPNVSKMDKASLLGDAVSYINDLKTKLEDLESHKNSPPPPPPPPQLNACSTSTSAVSDVDEATTMSTDDDEVEVKLVGTDAMIRVRCKNNNYPGAKLMDALRELELEVNHASMSSFDQLMLIDVVIKVPSEGCLRSEDALREAIVSSLSTQPPNI >KZN06987 pep chromosome:ASM162521v1:2:37379349:37381830:1 gene:DCAR_007824 transcript:KZN06987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNAAETSDYTTFIECLVKNSSPDDQASVSSIVYSPQNSSFNSVLQAYIKNKRFNTSATPKPAIIVTATKESHVQNTVICAKNMGIQLKIRSGGHDYDGISYVSDTTFIILDLFNIRKIDVNIATETAVVEAGATLGELYYRIWEKSDVHGFPAGVCPTVGVGGHLSGGGYGNMLRKFGLSVDNVIDARIVDVNGKILDRKSMGEDLFWAIRGGGGASFGVILSYTIQLVKVPKITTVFRVMRSLDENATDIVHRYQFVVDKLDDGLFIRMLLQPVSGKVKGQKTIRATFIGMFLGDSSTLKGIMDKGFPELGLKKEDYSEMSWIRSVMWWANFDNTTSLDTLLSRNSDGVNFLKRKSDYVQTPIPKDGLESIWKKMVELGKVGFVLNPYGGKMSEISSSATPFPHRAGNIYKLQYSVNWHEEGAEADKNYMSQIRSLYSFMTPYVSSSPRGAFLNYRDLDIGVSDHGKDSYTEGQVYGEKYFGANFDRLVKVKSAVDPENFFRNEQSIPVKCLISIVGITDIPIILSSIYRVKYITCTTLAKTNLKLGIVKLPTPSRLGNLYIGNGMHEWMTESIIRSQTHL >KZN04197 pep chromosome:ASM162521v1:2:3605438:3606931:-1 gene:DCAR_005034 transcript:KZN04197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSEFEKSTIASELIQGMEVAKQLKFHLTTASSSPENLLTLLQKILSSYDTALLLLNWTESSAGQPQTLPAMLAQLPESPISTYESGDFKDHHRDVSKKRKALPTWKDHVRISSDNGGENSADGYSWRKYGQKDILGAAHPRSYYRCTYRKTRSCQATKQVQRSDNDSAIFEITYKGKHTCHKAGNSVPPAKPVKQEQNRSDHRPQPPNEALINFRANLRVKTDDCRIHEAADSFSFPSGMEYNSQLSSFSQLVNEDLMGTFSPSFISPDTTGSSYFSVSPYQMNSFGQLSDSDYTEIISANTSTTTSPILDLDFQIDEVNLDSNFPFDMPGFF >KZN04881 pep chromosome:ASM162521v1:2:17526618:17528687:-1 gene:DCAR_005718 transcript:KZN04881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILERERVRRENFSNFQISKPRERVSDLQAQHHQWFKVVRDNRNGKRKYVPLDTEREGNMKQSWSYADAVRSTGSNPVGSIRLKEKIAKINCLSVMIVNLPLEATVKDIWLYFNKQRNIKDIVLPKRRDRNNNRIGFLIVESKKEVEYLITSFNGRRMGRNHLVVKMAQNNGSRAKMQQSENNSVRKGEELGDKAGNTIAKPQNGANHECMENLVTQPSFRTIVGKVDDEFKDILGRSLVGITKEIEWADSIQEKIIGLGCSFIRVRGISHKSFLLTMEEDIFKANVNIEFLYEIFTGVYKATHSDLIVPRLAWLDCDGLPISVWNNSTWSSIIADWGYLVTENNKPLISSMFSKLKLCIATCKVERIKETLKVVIDGEGYWVTIQESGGLQEELKGWTYNSLLKPDKEIWNQENVSGIYKIGENGLEEVKRKNKDGERVRSPTTYPDSSNKRGADSVEKPANDVEDCRRVLNDPLVETVNEVVNSLAVEENEGINENLNSLPGGDGKGSNEHTTVEEVRKNIWQVRDKNTSLSSLSREEESIKILDSEETDFVGVTGSSCLVNERFLNRVGMMNIGKKRGRPRKHSRVYNFMKVGMKKKTGNQNKVKESETVKTVKKKGSTAKEARKKLRLDKLNETEHIDIDKGLQVMQEKDLALQVLEAGELMGLVAYKDREETLERIRLHLED >KZN05189 pep chromosome:ASM162521v1:2:20959860:20961001:1 gene:DCAR_006026 transcript:KZN05189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDGSSTGQAPGDDSEVIVKYTHQLMSQFLQTTDVMRLRFSAEVPWFGIEQEYTLLKKEEFDEGKISCCKGLAGHNRRRRKTHPENAANAVNSNEEQYL >KZN04702 pep chromosome:ASM162521v1:2:14892369:14892683:-1 gene:DCAR_005539 transcript:KZN04702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSVFCVQTGKDDPEMNTEMTKDIITLLTEEISLHKSVGEVNLAHTKALVMDARKSSSQYQKEAEKCNTGMETCEEAREKARAALSEERKLQALWDNRARKNG >KZN06792 pep chromosome:ASM162521v1:2:35873608:35877387:1 gene:DCAR_007629 transcript:KZN06792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNRLPPGLVSNLQQVLLNRKVTETETEQPSTEPSVCSDNVETNQDDDDSGKPVVFVTNADGIDSPGLRFLVQALVARGLYSVFVCAPQSDKSHSGHSLTVGESVAVYSSELDGATAYEVSGTPGDCVSLALSGVLFSWSKPLLVISGVHKGSSCGHNMFHSGAVASAREALSSGVPSMSISLNWKKDESQESDLKDAVSVCLPLINAAISDIKKGDFLKCCSLNVDVPTSPLKNKGFKSTIKSPWRSSSNWQAVSASRQPSAARFMSNQQSLGMQLAQLSRDASAAGAARRSTSQQKNVEVDSVGVSKKSDFNRTVKYFIQELVDKDLEDANEELDFRALENGYVAITPISLAPSTDADTQRATSSWIASAIEEPQ >KZN07183 pep chromosome:ASM162521v1:2:38908153:38916043:1 gene:DCAR_008020 transcript:KZN07183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPVSNHRPAAIDNQSESDHQSLADDEEYEEIEVEEETEVEEDETDDNDEDEEEEDDFGEVLSPINQDQTRDASPDNVILEHEDQSHPVLLTQENAVNVKSTDMHEVEGDKELGKDVVATGDHKYIEPNPHSRSRTYKDDVEQKNTALPNGNGILTAQTIESARSAGAESSAAVSMKDNLGGKTTALYYSEEKKKQSLQNEDFKLNETRFSRLEIPTLKPSSLSPGVEFKAGTDKPAIICDFFAQGWCIKGKSCRFLHVSNKTTQQHSGAEADASHKTERRTSEGSDCSSKKPRLATSPDEVAPAVSRSLDRLLSHELGQSLKWKNESEEASPYKDNSSSAGREYLRGENWYLNNHGKHGSEELAATRSQFTLDERMPPLRRSWEANFNSGKLPPTHVPSWTKSSLPFGSTWNLDPLKSHKCLDVEREYGASRSASLQKTPSPFSGSESNNPSRMPVSGDSQHPAGYKTEVPSFRWEVSAPFRPSFDLTKRLLSSVYQYDPIRDSIEQSNLADVYSRFSGAGQESIVSGYNVGEQSFGRNQNILDSNLNKINYGKDLFSAETGTPLSAITEMQNKVAAPKEEKLLESLYIQDIKKRNTPNTENDEYATQTDSSRQKLKSGDMAGRISEMEVGVKVSEDIAKETKALKHFRAALIEFVKELVKPTWREGNLSKDAHKTIVKRAVDKVLSTIPAHQIPFTSEAVDLYLSSSQSKISKLVEGYIDKYGKI >KZN06031 pep chromosome:ASM162521v1:2:29430430:29431430:-1 gene:DCAR_006868 transcript:KZN06031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNDDNHMVTVRGEGSDHLGTSHFAAVHKVFGASNASKILLQIPPHKRHDAVVSLCYEAVSRVSDPVYGCVSHIFTLQQQVDELQAELAYIQARLSVIRCRPTVQTPSSIDLQSSLELQASADIKNSQQLANSQPELTSSNTASNRNPREDINEEEDDDLQRLARELVAKYLPGLNFGSGD >KZN06563 pep chromosome:ASM162521v1:2:34029933:34038006:1 gene:DCAR_007400 transcript:KZN06563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKKANGAPKKIPAVANPLAEKPLDIASNINYHAQYSPHFSPFKFEPEQAYYAAAESVRDRLVQQWNETYLHYHKVDPKQTYYLSMEFLQGRALTNAIGNLDIQDAYADALNKLGHELEEIVEQEKDAALGNGGLGRLASCFLDSMATLSLPAWGYGLRYRYGLFKQRITKAGQEETAEDWLEKFSPWEVVRHDVVFPVRFFGHVEVQPDGSRNWAGGEVLQALAYDVPIPGYKTKNTNSLRLWEAKAISEDFNLFQFNDGQYEAAAQLHSKAQQAELTERLLMVQICDVLYPGDATENGKLLRLKQQYFLCSASLQDIIFRFKERKDGSLRPWSEFPSKVAVQLNDTHPTLAIPELMRILMDEEGLGWDEAWDVTTKTIAYTNHTVLPEALEKWSQIVMSKLLPRHMEIIEEIDKRFIAMIQSKRPELESKISGMRILDHNPKKPVVRMANLCVVSAHTVNGVAQLHSDILKNELFADYVSVWPTKFQNKTNGITPRRWLRFCSPELSQLITKWLKTDQWVTNLDLLTDLRKFADNADLHAEWESAKMANKQRLARYILRVTGVSIDPNSLFDIQVKRIHEYKRQLLNILGVVYRYKKLKEMSPEERKNTTSRTIMIGGKAFATYTNAKRIVKLVDDVGAVVNSDTEVNSYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEVGEENFFLFGATADEVPRLSSATASHQIYEMSPEERKNTTSRTIMIGGKAFATYTNAKRIVKLVDDVGAVVNSDTEVNSYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEVGEENFFLFGATADEVPRLRQERENGLFKPDARFEEAKEFIRSGAFGSYDYNPLLDSLEGNSGYGRGDYFLVGYDFPSYIDAQTKVDEAYKDRKRWTKMSILSTAGSGKFSSDRTIGQYAKEIWNIEQCIVP >KZN06320 pep chromosome:ASM162521v1:2:31931237:31932714:-1 gene:DCAR_007157 transcript:KZN06320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLDVQLKQSKIEARNNTRTESPKIRNRRIRKKFNGTPTRPRLSVFCSDKQLYAMLVDDHNKKNLFYGSTLQKAMRDDPSCSTVEAAKLVGEELVKACIDLNINEISSYDRNGCVRGAKMEAFEIAISHHGFLTE >KZN04538 pep chromosome:ASM162521v1:2:12352420:12356021:-1 gene:DCAR_005375 transcript:KZN04538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRQIGEVVGSLKALMVFREEIQINQRQCCLLLDMFTCAYETIAEEMKQHLKYEEKNTKWKVLEQPLKELHRVFKEGEVYIKQCLDTRDWWAKTVTLYQNKDCVEFHVHNLLCCIPVVIEAIEVAGDMSGCDQDEKQKKRVLYSMKYHTQNKDPKIFQWKFGKQNLVSQDFISRLETVWEEDRWILLRKIQEKGNLSSENSTKRIQRLKELLFKNLNDGGERLEGKLLPCSILVGSKDYQVRRRIGGGSQYKEIQWLGESFCLRHFFGDIEPVIPEISQELCLSHPHIMHTFCGFTDEEKKECFLVMELMTRDLSSYMKEISGAKKRVPFSLPAAVDLMLQIARGMEYLHSKQIYHGNLNPSNILVKARNPPLDGYLHAKVSGFGLSSSFSLAQKSSAAQNESNPSIWYAPEVLEEQDQADDIKSKYTEKADVYSFGMICFQLLTGKVPFEDGHLQGDKVSHNIRTGERPLFPFQSPKYVMSLTKRCWHSDPTQRPSFSSICRILRYIKRFLLMNPDHSQPEAPMPVVDYTEIDAKLRQTYAYWESSDLLPISDLPFQIFSYRIVEKEKSVSSQRETSESGSDVTSTAGDDNLILDESFSPVIERRTVISPDSLAPRPKRISSLKKIPDLKQSKSPGTPKSRSVRPPKTTSAGRALRMNSERVMSPRPRRSSGHVSDSELC >KZN06116 pep chromosome:ASM162521v1:2:30268029:30270437:-1 gene:DCAR_006953 transcript:KZN06116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVISSFLPYMLSLLIIPISLSLFLILRKPNAEGSNLNVPPGSSGWPLVGESIKFALAGPQEFIKERTKKYSPDVFQTSLLGEKMAVFCGAQGNKFLFTNESKLLTSWWPQSMKKALLFPEFVEASLKEVSALKRSFMHDILKPEALKQYIPVMDLMARDHVDSEWAGTEVVKVFPMSKKYTFDLACRLFMSIVDAEHVTRLAKHFTLVTSGMFSVPIDLPGTAYNGAIKGGRLVHDSSRIAENSPIVDSANTSNLSEMEVCYTSEVGYDVVGFICSPNKAEEWRYSMAPIPAYKILPACNDSDSEASEDDQTAYFETEVEETFLRAVDKMLKKTRCISLVCLNSSRMLY >KZN05710 pep chromosome:ASM162521v1:2:26520965:26525431:1 gene:DCAR_006547 transcript:KZN05710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLAPSGGGGGNGVVSPTETTTATSSQDPKQNLDETINSIQKSLGLLHQLYLTVSSFNLASQPHLLQRLNNLVLELDNMSKLSEKCNIDVPMEVLNLIDDGKNPDEFTREVLNKCIAKNQITKGKADALKGLRKHLLEELDQSFPDEVETYREIRSASAAEAKRVAQAQSMLPNGDVKVKSEKHQETLSESSEVALPVVSY >KZN07781 pep chromosome:ASM162521v1:2:43538709:43540977:-1 gene:DCAR_008618 transcript:KZN07781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIRLSSDVKLEYQIYARYGGLSSLDNWDYYYANATSSSSSSMFFKVYESSEVTVSFYILYVRGGTWSIALRNLMPPGSANKSQTTMSISIERCPRRCSSPHGSCQTVMDANGLTLYSYCHCDNDHGGFDCSVEIVSRQGHIWQSISLIASNAAAVLPAYWALRQRAYAEWVLYTSSGISSGIYHACDVGTWCPLSFHVLQFMDFWLSFMAVVSTFVYLATIDEASKRTIQTVVAILTALMAETGATRSSNIILVIAIGAAGLLVGWLMELATNYRTLSLSPLFSANILHRWQRVKDWTRAVIKKVIKRFRWGFILAGFTALSMAAISWELETSENYWIWHSMWHISIYTCSFLFLCSKAKLVEVENQRPVALDYELTRQNSFSRE >KZN07503 pep chromosome:ASM162521v1:2:41389396:41389956:1 gene:DCAR_008340 transcript:KZN07503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSNLISVPHTKLSFILTLFLAIFSCSEATLDHGLLQETNITLYIHDYFTGPNATNIAIGNPPDDHWAVDDFGTMYCKDSPMTETADPESDYVGRAQGTFVSAALDGSSSQVVMSLVFETDEYQGSTLQIQGAGSQNHRVKELSVVAGTGGFRYARGYATSETIYYDREANYSVAEWNVTMEHFK >KZN04298 pep chromosome:ASM162521v1:2:7161638:7162729:1 gene:DCAR_005135 transcript:KZN04298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTKGRKGLTLHRKLRVLKHLTSSKSGFRLVNGLLKLMVWEVSGGQTEEIHVKANTIIKDAFCYMCKLKLQLAIHKEHLYVMQHLQEVKVIKTETGQIEIKVACKMGEDILVPVLEVLEKMNLNVINAKVSCECVFSMEAIVEQLDKAPLDLGRVEKAILVAIQTQA >KZN07294 pep chromosome:ASM162521v1:2:39786085:39787969:-1 gene:DCAR_008131 transcript:KZN07294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNTIRGIYRGFKFITQIFAVKDREMEMEIGYPTDVKHVSHIGWDDHSGKAPSWMNEFKTGPDFASKSIANSGSSFSPWSSQDFGERLGRQTASDMFADIPPTDMPNFPKKQKHKKHKSTSSTKSSSSSTRSSRLAMLKA >KZN07522 pep chromosome:ASM162521v1:2:41527746:41528767:-1 gene:DCAR_008359 transcript:KZN07522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGASGNGRGRNKRFWTADEDKVLVSALLELATDPHWKCENGFRNGYMVRLEEIIGKALPRCGLKATPHIDSRLKTLVSKFRAIAQMLSTSGFMWDDDKKMISVDRSVYDEYCKTHTTCKNLYGVAFPHFHELMTIYGKDYATGKPAEGFVDAVNNMEKAAPIQVTLDSSDDEIDVSGDVTQTDELEAPPSKKAKVEKTSNKKAGKKIEGVATSEISSLQSFMKDMNVHLSTMANVMSRADEKEQELAEKSEKVIEELLSFDLEGVTATQVFEVADILTSQPNKLMIFNKCPPSLKVTFVKNLIGENRRRTD >KZN04015 pep chromosome:ASM162521v1:2:624515:629171:1 gene:DCAR_004813 transcript:KZN04015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKIGDAISDQDAKTIKIKGRVVVMKKNVLAFNDFNSSFVDTFDELVGTRVCFQLIGSQHPDPSEKGSKGKLGSPAYLEDWIATITVINPGESAFDITFDWDEAHGVPGAFVIKNFYDSEFYLKTLTLLDVPGNGDIQFICNSWTYLPSETPGPLIGYREEELANLRGSGTGMRLEWDRVYDYDFYNDLGDPDRNIKTARPVLGGSTEFPYPRRGRTGRPPTQTDPATESRLPRFVLDIYVPRDESFGHLKESDFLYFGLRALVQFLLPAFEAIFDSTPNEFDTFDDVLKLYEGGFKLPEGPLLDNIRKNIPPELLNELIRIDAQGFAKFPMPAVIKEDLTAWRTDEEFAREMLAGMNPVSIALLREYPPKSKLNVEVYGNQDSSITKFHIHNQLDGLTVDEAMETNRLFILDHHDALMPYLSRINASTKTIYATRTLLFLQNDGTLKPLVIELSLPHPEGDEYGAINKVYTPAAEGTVEGSIWQLAKAYVAVNDQGVHQLVSHWLNTHASIEPFIIATNRHLSVLHPVHRLLHPHFRDTMNLNASGRQILANAGGIIELTMFPGKYSMEMSSAVYKSWVFPEQALPADLIKRGMAIEDCNSPHGLRLLIEDYPYANDGLDIWSAIKTWVTEYCNLYYKTDDMVQNDNELQRWWTEVREVGHGDKKNEGWWPKMQTCQELIDSCTIIIWVASALHAAINFGQYEYAGYIPNRPTLSRRPMPEPDTPEYEELKTDPDGVFLKTITPQLTTLLDMATIEILATHPTDEVYLGQRDNPDWTKDTEALEAFERFNKKLEDVAKNIDDRNKDGKNKNRNGLVKIPYTLLYPTSEPGRTGKGIPNSISM >KZN05890 pep chromosome:ASM162521v1:2:28119509:28120663:1 gene:DCAR_006727 transcript:KZN05890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSKKDQVCNNCHEPLARRSYSVHVHHPPQRVGDTYHVVALTSSTLGSIKLDEPYLQNQLVDEEEGGGGIGEKKKGNEDFSMGMIEAKSWSRMIDEKITRVVPRTPIQTPPGEPEVIDAFELMKGLEDSSPLHRHYRSFSFCVNSNPVEENDRLNLDATSIISEFDPDIIAQFRRSLEELPPANPFHLKPMAGVVVDFKNLNGGYKDDVFGKQRVVLYFTTLRGVRKTYEDCCHVRVILRGMNVKVDERDVSMHSGFREELKELLGSNMGGGLPRVFIGKKYIGGAEEVRRMNEDGQLDEVLAGCEKVDDGDGRRHSSVAVCEGCGDVRFIPCETCSGSCKIYYEAEEYEEEVEDEEAEFGFQRCPDCNENGLIRCPLCCN >KZN07239 pep chromosome:ASM162521v1:2:39344779:39345564:1 gene:DCAR_008076 transcript:KZN07239 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MSSVLGGCLAGSELELKETALALEGSGHRFLWSVRPPWEKLKANYTALDEILPPGFLQRTASVGKVTGWAPQVTVISHPAVGGFVSQSGWNSTMESLWHGVLTASWPIYAEQQLNSFELVHELGLALDVGVEYSNEFSMVNSGIIKATELEVVIRKLMLDENENNIRKRVKEMKGLSRAAMEENGSSYSSLGKLVEDITRKV >KZN04864 pep chromosome:ASM162521v1:2:17400032:17401201:-1 gene:DCAR_005701 transcript:KZN04864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPISRSLNKLYPSSTDHTIQDFNSLFSHLNLTFLDQPISKSSRIFKPCLKFCSTSISFETENTNLDKSLCLNWLKSGNKDATVANSLFQNLSVFEKALIGAGGGGLAGAFTHVCLHPLDTIKTKLQTKGAKELYKGPFDAIFKTFQSDGLFGFYRGISAVIVGSTCSSAMYFGSCEFGKSILSKLEYPSVLIPPTAGAIGNVISSAIMVPKELITQRMQTGAKGGSWEVLLKIVEKDGVLGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLSRTKQTDMNPFQSVICGALAGVIAASLTTPLDVVKTRLMTQVRRDASAGVSATLKQILMEEGWVGFSHGMAPRIVHSACFSALGFFAFETARLTLLHQYLKRKQLLVDDLSLVLK >KZN06666 pep chromosome:ASM162521v1:2:34853788:34854778:-1 gene:DCAR_007503 transcript:KZN06666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMPLYAMLPASAQLQVFNKVNDGESLVVIATNVAETSLTIPGTKYVVDTGRENVKMYDSTNGMETYNVQWISKASAAQRAGRAGRTGPGHCYCLYSSTMFNNFHKFSNAEISKNPVDGVVLLMKSMGIDKDTVIYESCHAGWMPIAECLSNHTVTKDSYCAHCATLITQPHWNSSRWPGTCFEAFMAIVSFTRMRWVIKKISGNCLNLQEIVENEF >KZN04536 pep chromosome:ASM162521v1:2:12336275:12337108:-1 gene:DCAR_005373 transcript:KZN04536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRAYDSIVFDEFVREYAEKAVKRPRTGTLYNISLPSNYSGVEVSYIRLKSGSLWNRGRNSTLFMIPKRISPEPYVNRVDMIFQNVGNLSSFYFDVPNYTFVAPVVGFHVYGADANYSGLDGSRMVTFRLREDPIVVRFPSISLGENDNVTMKCVRFGTDGSEVEFSSVTAPNYTCNAYDQGHFSIVVPSLPPPSMKKRKKRGKVWLWLIIGGGGFISLILSGILVFKMLKTRKIETMVKESEKSEALDIIWIGKSKMPSASIIRTQPVLENDYFP >KZN05963 pep chromosome:ASM162521v1:2:28752378:28755025:1 gene:DCAR_006800 transcript:KZN05963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIRATSSIIMRDVFSYSSQSSLKSLPMFRTMMFPEFSIALCRVPRVRTISHTPVIRCAAGEDGRKKTSSRLSQVQQILHEAQERAKSAGNDPIPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKDAHWLSDRVKERIMQVEKNRINKDGEIVISSTKTRTQKGNIEDALGKLQAIIDAASYVPPPPSEEQIKKIAKIAAIGDKKRLQSKKILSDKKAFRRSRDSYD >KZN04513 pep chromosome:ASM162521v1:2:12135869:12137140:-1 gene:DCAR_005350 transcript:KZN04513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKISCIASIMLPLLISLAEARIPGVYSGGSWQGAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGQSCGSCYEIKCASDPQWCHPGSPSIFITATNFCPPNFAQPSDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLISNVAGAGDIVRVSVKGSRSGWMSMSRNWGQNWQSNSVLVGQSLSFRVTGSDRRTSTSWNIVPAHWQFGQTFSGKNFRV >KZN04612 pep chromosome:ASM162521v1:2:13464702:13480792:1 gene:DCAR_005449 transcript:KZN04612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSVFKCPKRTHDPPVSSKKRKRIDVDSTLMTSNADSLDAHAFSSCFGCARPTYSTPSSPPHAECRSETSVHGTQTTAGAAYTYNGGLSIGECPATTTTSMPNKKKHSKASKWQREKRRKLLASDEACDVCPEVKSFSNKDNNGKRSRQYRWQRHQKRRLESSQEASNLSQCPKQNTNGDLLTDPRKGHLSMKNDYAEVKAGVKFVKDWSARESGCVDANSAEKDFGGTAVHFSSSKSYCTKEQVTSFIWAVCRSIVPINLLGTPKAQRSICRNISKLIKLRRFEKFSLKQGMLKLKVSNFPVLSNPHALCYFSGHVEEQKVKTKGCNTADDAAYIMKQRILQSWVLWFFSSLVLPLVQANFYVTESQHGKQDIFYYKHSVWKKIVNVNIACLEGQRYELLNNASVLKIIWNRSFGFSKVRFLPKSTGVRPLANLKASSKFSRSLPLKKSACKIFSSVNSVLHDVHAVLKAIHLKRPERLGYSVFDYNDVHRKLISFLSVLKRGSGIMPRLFIVVADVSKAYESVNQDKLLSVMEDFFSSDKYLLEKFHRVVCTKKSLRVSEHIISGLQDTSAGLRKSMSDVAGPSNCVIVDKEWRKYIKKEELFSTLYEHVKQNVLQIDKRFYLQSVGIPQGSMLSPLLCSIYYGDMENNKLHPYIRKICESEREFFSAEHDSSNVVIWEDASSGCPRYMVLRYIDDFFFVSTSKKLALGFFSRLQRGFPAYNCTMNEGKFGLSFDVGNMLKIQQSRFHVLDDGASYVCWSGLLINCCTLEVQADYTRYLNSHLSSTLTVRWDDKPGHSLETKLFDYLRPKLHPIFYDSNINSAAIVRLNIYQAFVICAMKFHCYVANISVICSLEPRSSIKTIYSALRYMTNLIKKRMNAVHLDSNLHPVLELKNSEVEWLGLTAFIKVLKRKEQRHKVLLSLLKKQLQRSDRPEVSSAMKYAVDDSHSSLIWKIRYHSLLKHLKELIRKAHLCQHGSFLEKHCTIPSFDQYPCEYTSSKVVGHLSMKNDYAEVKAGVKFVKDWSARESGCVDANSAEKDFGGTAVHFSSSKSYCTKEQVTSFIWAVCRSIVPINLLGTPKAQRSICRNISKLIKLRRFEKFSLKQGMLKLKVSNFPVLSNPHALCYFSGHVEEQKVKTKGCNTADDAAYIMKQRILQSWVLWFFSSLVLPLVQANFYVTESQHGKQDIFYYKHSVWKKIVNVNIACLEGQRYELLNNASVLKIIWNRSFGFSKVRFLPKSTGVRPLANLKASSKFSRSLPLKKSACKIFSSVNSVLHDVHAVLKAIHLKRPERLGYSVFDYNDVHRKLISFLSVLKRGSGIMPRLFIVVADVSKAYESVNQDKLLSVMEDFFSSDKYLLEKFHRVVCTKKSLRVSEHIISGLQDTSAGLRKSMSDVAGPSNCVIVDKEWRKYIKKEELFSTLYEHVKQNVLQIDKRFYLQSVGIPQGSMLSPLLCSIYYGDMENNKLHPYIRKICESEREFFSAEHDSSNVVIWEDASSGCPRYMVLRYIDDFFFVSTSKKLALGFFSRLQRGFPAYNCTMNEGKFGLSFDVGNMLKIQQSRFHVLDDGASYVCWSGLLINCCTLEVQADYTRYLNSHLSSTLTVRWDDKPGHSLETKLFDYLRPKLHPIFYDSNINSAAIVRLNIYQAFVICAMKFHCYVANISVICSLEPRSSIKTIYSALRYMTNLIKKRMNAVHLDSNLHPVLELKNSEVEWLGLTAFIKVLKRKEQRHKVLLSLLKKQLQRSDRPEVSSAMKYAVDDSHSSLIWKIRY >KZN06555 pep chromosome:ASM162521v1:2:33960052:33962156:1 gene:DCAR_007392 transcript:KZN06555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVMLPDGGTEFPGKLTWNVVLCTVIAAFGGLMFGYDIGISGGVTSMDSFLEKFFPVVYRKKHQAKEDNYCKFNDQYLQLFTSSLYLSATICCFVASKSCNKFGRKRTMQAASAFFLLGAVCNGLARNLEMLICGRLLLGAGIGFGNQAVPLFISEIAPAKYRGGLNIIFQLLITVGIFVAGLVNYATSHLPNGWRISLGGAAVPALFLLVGSLAIVETPTSLIQRGKKERGLKTLQKIRGKGIDVDKEYQEIVATTEKAQQVKHPFKILMKTSMPQVICGSIIQMFQQLTGINVIMFYAPVLFQTMGFENDASLLSSVITGLINVGSTLVAVFYVDRWGRRALLLEAVVQMLICQVVVGIFLQVDLHATNTMPKIPAYIVVVLICTFVAGFAWSWGPLGWLVASEIFPLETRSAGFFCAVAMNMIWTFIIAQAFLSMLCAMKAAIFFFFSSWIVIMGLFALFWLPETKGVPIDQMVDTVWKRHWFWKRFFHHDQTADAKA >KZN07128 pep chromosome:ASM162521v1:2:38552779:38553518:-1 gene:DCAR_007965 transcript:KZN07128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMMGGDPGISAFPEEDNIFCWKGTITGSKDTVFEGIEYKLSLSFPADYPFKPPKLKFETGCFHPNVDIRGNICLGILQDKWSSAYDVRIIQSLLGEPNTSSPLNTQAAALWENQEEYRKMVEKLYKPAA >KZN05367 pep chromosome:ASM162521v1:2:23107874:23113995:1 gene:DCAR_006204 transcript:KZN05367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSWKDFQTLQFIKERQFKGSAHNRSECESSCYFDLDYFSGLILDGNWEKVEMYLLRFLENGDSELSRPILNLIRMLKHCQDLKETSKEELDEIQAARLKLVNYMKDALPRILISQRLDLAAITTCAVTRTIPSNDPVAINYSNTGQSATEVQRARRGFPTSVAQTLDQSSSITTMDFNPVHSTILLVGTLVGDIALWEVDSGKVALRPPQPWDTESLSPELLLAFEEASISVCRVRWNQDGTLFGVAYSKHIIQLYSCPGTGKIVRHKEINAHIGSVYDLVFAGKLLFTCGSDILIKATDTLIFILPRLFTSGTDEHGKAYIVEWQVRNGERKVKRSFRGLNEYSGHLHFDLNKNSILAAGYNHQIKFWDIDNGNPLGVSIADGNLPDVPLIKFNKDGSLLAVTAKNNRINILASRDGMQLLETRKVPHRAASEITANTSSKRPVHEICDEISPYPFALIPANDDIMNNNGVETGWQYSGGD >KZN04906 pep chromosome:ASM162521v1:2:17836353:17838317:-1 gene:DCAR_005743 transcript:KZN04906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSAAEDRDLESGGTGSEDEIHSNIFSGNKRAKRMLGRLRSGILGYDRHANSECSSSTYSKLDTFCEDSYDNIDLVVDKDLEHSIEQLPLLEKKHMNGKRKNSIRKSSKPPRPPKGPTLNVSDLKLVMEFSELAMKKRARMKRIKALRKMKAEIASSSSSSAFSSSSFSGSLAAMVVTLLFFVVILFQGILARHGSSASLPGSPESTTVARGLISVSFLNSASVREGIAKSSVVHKYVFFFLCSFSLHVLSNFQNLAYQQLKWSGYYKGRVVIGQSEFCRFPSLQMFTVSSYIIYVQL >KZN07356 pep chromosome:ASM162521v1:2:40286056:40286378:1 gene:DCAR_008193 transcript:KZN07356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSWCPKLRSISAASRPTTTVNPLTDSHRHLHYSATHVLQKPITYFPTYKPILTYPPTTYLSLYNHAFPLNISKTN >KZN07406 pep chromosome:ASM162521v1:2:40646503:40647654:-1 gene:DCAR_008243 transcript:KZN07406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRQRRHQRALSLSLPAPLPSCEFLHHNNLSSSSSSPLSSTSSTNSFTVDNVLDLEKVAVLGHGHGGTVYKVRHKHSANVYALKVLRFDEGEMKIQQEAAVREAEILKRVDSSFIVKCYGVFDNEVLDTDNGGDLCFVMEYMESGSLLDILNICHTLPEKVISVVGRRVLEGLHYLHGMQIVHRDIKPSNLLINSKGEIKIADFGVSIVAKTNEEHEWCVGTCAYMSPERFDSERWNGGDCDGFAGDIWSVGVVLLECFVGRYPLIDPGQKLDWATLMCNICFAEKMVLPDKASPEFRNFVWRCLEKDWRARGTVEELLDHPFVTTCCSASVEGLLGNASETSTQKSEPSLAKWPD >KZN07475 pep chromosome:ASM162521v1:2:41158361:41158632:-1 gene:DCAR_008312 transcript:KZN07475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSFTLLQTVGTAGVFSAVSFWYGFMFGRESARKELGDLIDSLRRNDPTSSSPSHS >KZN04992 pep chromosome:ASM162521v1:2:18728265:18731688:1 gene:DCAR_005829 transcript:KZN04992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVNQLQRQYVDFLSSLYREGLLDDQFLQIQKLQDERNPDFVNEVVTLFFEDSEKLLHNLAIALDQQHVDYQKVDACVHQFKGSSSSIGA >KZN04822 pep chromosome:ASM162521v1:2:16824126:16825822:-1 gene:DCAR_005659 transcript:KZN04822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTAEEDKKLIDFILRNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTEAEEQLVIDLHARLGNRWSKIAGRMPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHKPLHTEETASQTETLSRCLESSAPVENQEILMQQTNIMAGSEQKTNVMASSENQTNGRACSENSSSPADQNSSGDESNSLSAICDQIDDSLINYLFEDEMTPELDHSSWDLSLATENFSKVELPPSWDETCNWLLDCQDFGIQDFGFNYFTDVEMTMFNHIDVKSN >KZN04009 pep chromosome:ASM162521v1:2:526248:527787:1 gene:DCAR_004807 transcript:KZN04009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPFDWYCQPVADGVWAKSVENAFGIYTPCVDSLVIFFSYVIVVGLCLYRIWRIKKDFKVKRFQLRSNYYNYVLGILAAYCTAEPLFRLVMGVSAVNLDGQNGLSPFEIATLIIKALAWCSMLIMLVVETKVYILEGRWFVRFGVIYALLGDTILLNLIWSVKDFYERSVLYLYISEVFIQGRVGISRHLSCVKLKNIE >KZN04514 pep chromosome:ASM162521v1:2:12140270:12141450:1 gene:DCAR_005351 transcript:KZN04514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDSDIVKPNNRSSCQPWHMLAIRSTPNSFGPSVDYHFNCLPAQCTHRRCFNNDTDKAIFAAPVSPASVCGPYHCPPASLHWSSGRCGEANGEWVALAIPQLVSCSLLRWVLPPLSVYLKEVAFEVSCALPSRHTSFFLFVLRVIMSRSLDECDAILADMCDCYRMSVERTRWYGDNIGCHFRECAEDVVVYERRWEEEKKNFKETLAGLSIPCDVVKNLVLEATDPVEPVEPAPARHPPMEEWE >KZN07586 pep chromosome:ASM162521v1:2:42018029:42019582:-1 gene:DCAR_008423 transcript:KZN07586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGKSVTHDHHHQGLILKHEEITKNTSTTCTDVTDHLSIFIKESKCIANISLPMILTSLLLYARSMISMLFLGRLGELSLAGGALAIGFANITGYSILSGLAMGMEPICGQAFGARRFKLLGLTMQRTVVLLLVTSVPLACVWCNMNKILLFCGQDGEISAQAQVYILYSLPDLFTQSVLHPLRIYLRSQSITMPLTYCAALAILLHIPINVFLVSMLGLGIRGVALAGVWTNLNLLGSLVVYVLVSKNNEKTWGGISMECLKDWRPLLNLAVPSCVSMCLEWWWYEIMVLLCGLLMSPRATVASMGILIQTTSLIYIFPASLSFGVSTRVGNELGASQPEKAKLAAIIGLSWSFVLGLCALVFAVSVRRIWASMFTEDAEIIALTSLVLPIIGLCELGNCPQTTGFGVLRGTARPKLGASINLGCFYLVGMPAAIWLAFFIGLDFMGLWLGLLAAQGSCAATMLLILSRTDWDHQAQRSRELTGTTTEHIKEEVKNVDDETNAFVKDQAEKLNLV >KZN05060 pep chromosome:ASM162521v1:2:19547528:19548827:-1 gene:DCAR_005897 transcript:KZN05060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSPFSYLSSSSWLLEESKSTRWTAEENKLFENALAKFDKDTPDRWQKVAAMVPGKTVADVNRQYKVLEDDVSSIEAGLFPIPGYSQSTFTLEWGNSTLGFDGFKASFGANGNRSSGNKLSDQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNYVISRTPTQVASHAQKYFIRQHSGGKDKRRASIHDITMVNLNGNQSLSPDNKRPLMDPYKPLCQHENSTQMPGTPYQWNQPNNGAAAMAFNPTNERMFMSSYRLNSHGVKVEDHNFQTESDYESYLGSQDMVFQVQSGLQYRHG >KZN06956 pep chromosome:ASM162521v1:2:37123478:37124868:-1 gene:DCAR_007793 transcript:KZN06956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSKLLTLILILLIVISAVPTISGETNTTTPPPECSRPVGGCHNKSHALKLKIVAIFAILITSMIGVGLPIITRAVPALSPDRNLFVIVKAFASGVILATGYMHVLPDSFDALKSECLPEKPWHAYPFTTLVAMFSAVLTLMVDSFAMSFFKKHYSKELEEQKATKIDNENAAELQNTALHSCHSGAVKEFDSTLLRCRVVAQVLELGIIVHSVIIGLSLGASDNPCTIRPLVAALCFHQMFEGMGLGGCILQANYRAKTNAIMVFFFSATTPFGIILGIGLSNVYTDNSPAALIVVGLLDAASAGLLNYMALVDLLAPDFMGAKLQGNIKLQAWSYVAVVLGAGGMSVMAIWA >KZN05448 pep chromosome:ASM162521v1:2:23977114:23981625:-1 gene:DCAR_006285 transcript:KZN05448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGSPLNMFKSVSNNNMDLDLMDGLFDDGCWLEATPSSFWQQSPSPNASDFSSYFPIVSANNINHLVPYANEKDIQDEKEKLNSSNNTRFVYPLLDESDGSEKNRWDASASTTQSRSFLVQAGKLNTRLWIAPSADPNPASSVKNRLIQAFEYLKNITRDDFLIQIWLPVKREGKIVLSTINQPFSLDPKSKSLECYRYVSSSYHFAAEENSKEFFGLPGRVFLKKWPEWMPDVHLLRREDYPRVDYALQYNVKGSIALPVFERGNGACLGVVEIVTTGPQFLSTLSHSISLNMEDGSPLNMFKSVSNNNMDLDLMDGLFDDGCWLEATPSSFWQQSPSPNASDFSSYFPIVSANNINHLVPYANEKDIQDEKEKLNSSNNTRFVYPLLDESDGSEKNRWDASASTTQSRSFLVQAGKLNTRLWIAPSADPNPASSVKNRLIQAFEYLKNITRDDFLIQIWLPVKREGKIVLSTINQPFSLDPKSKSLECYRYVSSSYHFAAEENSKEFFGLPGRVFLKKWPEWMPDVHLLRREDYPRVDYALQYNVKGSIALPVFERGNGACLGVVEIVTTGPDVNCLPEVDDVCKALEAVDLRSSEMFTPAKIMESHESNLTELTEIKEFLNSVCSTYNLPLAQTWAPCNHKDTDQGENSACLSIVTSAFCVLNEQFLAFHEACCKHHLLKVEGVVGRALGTNQPCFATDISAFSETEYPFANHAKMLKLKGAVAIRLRSIYTDATDFIIEFLLPLGCKNLEEQKQIVSAILSEIQQSCSNLRFISDQELEAATDLLPEREEANTSVGKLFKENKHKLVLSSSSEEATQYEASWISQMMDSQQKSKGILVSLGNPKEESEDELQGKTDWITTTGDLYPGIGHSGHQEVLQDGSGGSVKEVADMSFIKEPRSSGAKKAGQYRRIKAESNISLQVLRQYFAGSLKDAATSIGVCPTTLKRICRQHGISRWPSRKIKKVGHSLKKLQLVIDSVQGNEGAIQLSSFYNNFPELNSPNISGISSLSTFKTDNHLEPQNQQTGSLLCPATTASKSTSSSSHSTNSSYCCSTEAKEMNANVSAPGELKRALSDVKFHESGQQDAKFLVRSHSQRVLSSHSPLQNNNNIQAKQNCRAFRVKATFGQEKHRFRMPEYWRFSDLQEEISRRFNKDIEDVNKVDLRYLDEDSEWILLTCNDDLEECIDIHRSSKARTIKILLRDARFPNLGSSLDSCGPS >KZN05637 pep chromosome:ASM162521v1:2:25870906:25872973:1 gene:DCAR_006474 transcript:KZN05637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWNLCTGRGISETRSASKLHLMICEIEGFPIGDITNKKFYIKVQIKWKAKKSYFYGGARDKSNTTRQCVESDGCVRWNEGFEHNCNFRVKDHSSSDWKIELKIQGQDAESISKPSLLAKVSIDTAEFAGLTNNTEKKLELPFACFISESTVGARLIVKLRCPEAKPQQINGSVHPVSPTQKLVSSPSFFSFEDQSTNRKCSLSSDSEDDEQSYKRIIETNLQNTGLADDRVIYNKDESWEENHPSGYQEMSKPSLLRLMSWNGKSHGSKVPDHPRDTPLLNKAYSEDGGDDIDHARRCLSLSLELKDRKAKNEFQSSEFMGSDRFEVGKWEKRKFKSRDGKLELVTEMFLASIDQRSDKASGEGACSVLAAVIADWLHQNPKFLPLKCQFDKLIKEGSLEWRKLCKEESHKRKFTDQHFDLDTVLQAQVRPLMVVPEKSYIGFFELENMPNQLDFLQGAMSFDSIWQELQQSEPALEDQIYVVSWNDHFFVLKIEKDAIYTIDTLGERLSEGCRNAYILKFNKDSKVYRVQPKSGNLKKGSNSSNLKKESEDNQQTELSNSEKSNKRERHELIGEGKLCCKEFIKGFLAAVPLEELQGNIQKGLGNKDPLHRLLQIEFQYMTPYPLSTQ >KZN05631 pep chromosome:ASM162521v1:2:25770624:25771749:-1 gene:DCAR_006468 transcript:KZN05631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTRFIYPLPASWFLTAMTVISGAASVIAGLMEIKGKSMQYSKFFNTNNKNVEASNTKVIKFSGRNGMILAYAPAFVASAASVAFMQDKGLRFTLVTSALAFHFFKRLFEVIFIHKYSGSMPIDTAIFISAGYFLSSLSLIYSQHLCGEFAEPIVDLKYAGLTIFLVGVVGNFYHHYLLSKLRKEGEKQYKIPRGGISCISQTVYGLSFAAGTICYLTGRSFATRKWYLSKFEDFPNDVKALIPYIF >KZN05321 pep chromosome:ASM162521v1:2:22577759:22579799:1 gene:DCAR_006158 transcript:KZN05321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNKKLCFNGSNFIQWLKPASPSPSPSSSSSTISTQQVNVDNIPSTLSFFKFPVYLHQAHDHQEKEDDGPIRCLPLLNQLSDHQIRPLKEESQQEIRDREEKVTVSLHIGLPNTSSAHDHSSGDNKTALVKGLECCKEEKGGEEDIDEEDESIKRSNFIHGCNFNAKSRFWIPTPAQILVGPMQFVCSICNKAFNRYNNMQMHMWGHGSEYRKGAESLRGTQPAAMLRLPCYCCAPMCKNNINHPRAKPLKDFRTLQTHYKRKHGSKPFACRKCGKAFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHNPHPSLEGFEDEKECITGSEDETN >KZN06154 pep chromosome:ASM162521v1:2:30642762:30645359:1 gene:DCAR_006991 transcript:KZN06154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRELLEKEALVRGPSTGQAIDIEIPPPRPKRKPSNPYPRKTSVGSLSLQAGTKDGNHLISDFSQSSAAHVLDLEKKQTLEKQSANQQLEIVKKNQNGVDCAEAFSFSQEALSGPLCSENKSTVSKTTSTNHCNFKEFVPNFKEVVNQDASHESYLTLKASGGRNDKEHDTKQLVGDLPPSETAKLGNYHPTHDKLLQTQKVNELKPPVNYGILSSYDMQAAAHYPRHVPVHIVNGSLEIAQTGASLYGNVAPALTTEHENNASRSSVHHFPPFHSPFTPVQNPQSDCHSLLPIPATFTSLVASALLENPAAHAAASFAARFWPVANVDPSADPHVGKMENTGPSMEALAAATVSAATAWWASQGLLPLCTSFNATCSAAPTITTTMENNEARVSNDKSGENPADLSLKDQQLEPKCSETLLDHQTAVKSPPLSSSESAEGESEKLNIKLSNVDPEQAEAVTKAQDLSKTKDQKLVDRSSCGSNTASSSEVETDALEKHDKEEANEPDLSLQISDSTNRRGRICSNLNESWKEVSEGGRLAFQALFSREVLPQSFASPYCHQKYSIGEDKQHLYKEENERLQIDINSKACGSNEFVEHNVSLTGGSNEGEGTLSTGLGCLKFKARRTGFKPYKRCSVEAKESRVAAASTINLEEGKAPKKMRLEGGASL >KZN05085 pep chromosome:ASM162521v1:2:20087271:20088943:-1 gene:DCAR_005922 transcript:KZN05085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQEDRRPHRLVLVLFPLQGHTTPMLQLGSLLYSRGFSITIAHTSFNPPNPINHPHFHFLLLPDKTHYSLNSGTPKIKDLESINLNCQAPLKEFLELQKQRTYSQVAGVIHDSLMYFAAAVANDYKIPSLVLRTSSAAFAQTYVALPRLLAEGSLVFEDSMLHELVPQLNPLRFKDLPVSRENMEVTLKLMDILRNSKSSSALIINTLDCFEQLPLFQLRQHFQVPIFGIGPLSRMASASSTSFLEEEASCIAWLNTQAPKSVLYISKGSLAMSDEKELREIAWGLVNSEQPFLWVVRPGSVSGSDWTESLPQGFIEHVGERGLIVKWAPQKEVLAHCAVGGFWTHCGWNSVMESLSEGVPMICSPQFFDQKVNARYITHTWKVGLELEGELERGDIERSIRKLVAGTEGVEIRQRAIEWKQMIDVSILEGGSTYNALNDLTEFLLTNKILPN >KZN06185 pep chromosome:ASM162521v1:2:30834954:30836556:1 gene:DCAR_007022 transcript:KZN06185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLTVKLYSVIFKFLFKRRLQTLLQQSKTPVKSSYGVVSRTDEPIAAANPVFSDGVATKDIHIDPLTSLSVRIFLPDTALSLTGRKVNDFPVEQAGSYGGYLPPADRNCRKLPVILQFHGGGFVSGSNDSVANDLFCRRIAKMCDAIVVAVGYRLAPENRFPAAFEDGVKVLNWLGKQANLAECRKLLGKSYSINHSWEIVDNFGASMVEPWLASHADPSRCVLLGVSSGANIANYVARKAVEAGKLLDPVRVVAQVLMYPFFIGNIPTHSEIKLANSYVYDKSMAVHAWKLFLPEEEFDLDHPAANPLIVIPERGIPSKFVPPTLTVVAEHDWMRDRAISYSEELRKINVDAPLLDYHDAVHEFATLDVLLKTPQAEACAEDIAIWVKKYISLRGHEFSY >KZN06638 pep chromosome:ASM162521v1:2:34606927:34607097:-1 gene:DCAR_007475 transcript:KZN06638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAQAAPINPAQYQQQQQWYHQQSQYQQPPQPPQQYAPPPQQQYALPPQQPVYYQ >KZN07772 pep chromosome:ASM162521v1:2:43481630:43482867:1 gene:DCAR_008609 transcript:KZN07772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQNMAGFCAPALKSDKSRAHVKLYSNSDHQIDGFYPPITYSRLRDLVNRIRGFSAFRESLGHRY >KZN05847 pep chromosome:ASM162521v1:2:27724808:27725899:1 gene:DCAR_006684 transcript:KZN05847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEFAYEELEEATQNFSATHLIGKGSHGSVYKGVLRDGRIVAIKTQSLGLQKLQDNSKLDNEVRILSSLPKASKCLINIIGISHDSFSNKVLVMEYLPNGTLHDFLHLSPTPPAWPKRIEIAVQIARAVQVLHERKPSVIHRDIKASNILFDEIWDAKLADFGLATEMASDSSSQRGDSTCRPAGTIGYLDPCYTSPHKLSVKNDVFSFGVVLLEIISCSKAIDVNRVPATIVHWAMLLIDENRILDLCDKRLAPARHMEPMILHVVNIALRCVSPKPYNRPTISEIVTDLQICLVEPTRFPIWMTSFFRGIIFLQKKRRKIGTKRYKTTTTIVCAAHEASKQVDISSKKLLLREILADATLR >KZN07262 pep chromosome:ASM162521v1:2:39508424:39511630:-1 gene:DCAR_008099 transcript:KZN07262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFKQMLPAKKTDSFKLLMLAFLCTFSSFKTTLGLDEISSGKTGTGNQTFRSDTWLPSGKLGIDKSLINQRQLLTSWKNPDDPALGKFSFGMDPRGSPEFFMWKNQKQILWRSQVWNGNNFAFFPNLLTNFSYIINGQAKYFTYNISAPIATRYVMTYNGQINQLVWSERYQKWELIFSQPADSCNNFAVCGPNGFCNITSSPACNCYDGFQPHSQEEWQSANWSAGCIRKKPLQCSSNGFNPVSGISMPANSQIMDLESAQVCQFACSGNCSCTAYAYNGGRCSLWTGDLLDTRALVDFQGDLYVRSADVILAKGKKKSSVLVALSITIPLLVCISLTYLLWRICRRKHNKKEAGETSENLLFLNLGFSSKQNTDSNNIITGSKPGGEKKVFNLPQFSFSSISAATDNFSPANKLGEGGFGPVYKVMHGNLFDGHSVAVKRLSTRSGQGLEELKNETVLIAKLQHRNLVRLLGCCIEQDEKILIYEYMPNKSLDLFIFDPSKKYLLDWRSRVQIIEGITQGLLYLHQHSRLRIIHRDLKASNVLLDDDMNPKISDFGMARIFGEDELQANTNRIVGTYGYMSPEYAMEGLFSVKSDVFAFGVLLLEILSGKKSTGFRHSDCLSLLGYAWELWISERVLEFIDSDLEIPSSFLPLRFMHVGLLCVQESPSDRPTMSDVLAMFSNEHMQLVFPKKPAFTTSGSLGLGLVSTGNYSVNTLSTSVMDGR >KZN04745 pep chromosome:ASM162521v1:2:15300680:15301327:1 gene:DCAR_005582 transcript:KZN04745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQGLKSTSEMTRSLKQKLKKYGLALKKRAIGVGPDPDPSQSNREGTVDLGKDSDTLVFSELSVNVVCDSESEFGESVLNDFDLSAEKIELTEPIDVYVEEKIEFTEPIDVNVEEKLESTEPIDVDVEETEVVDKMMVEENCKWVRLSGNSEKVDQGANSATIFKEPVANPAPASSTNTNFIEIAKKRGLTFDRPRWWPSDEVIARDWKRRLAG >KZN05589 pep chromosome:ASM162521v1:2:25370059:25370751:1 gene:DCAR_006426 transcript:KZN05589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGPPLPSEPPTPTDTRPKNHPLFAQIRLANISDVPYLHKLIHQMAEFEQLTHLFATTESSLAATLFPTTHRPPPFHSFTVFILETSPNPFPPIQENPNFTPIQKTLILDNSVADPEKELFWSMGNDVVVSGFVLFFPNYSTFLSKPGFYVEDLFVRECYRRKGLGKMLLSAVAKQAAKMGFGRVEWVVLDWNVNAIRFYEGMGAKVLPEWRICRLSGEALEAYNTN >KZN05950 pep chromosome:ASM162521v1:2:28645631:28646603:-1 gene:DCAR_006787 transcript:KZN05950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKYFVALVLFSAVVGPASATDFIVGDSDGWRTNFDYKTWAASKEFHVGDNLIFRYSPGLHNVHRADLVSFQNCTPSATSVALTTGNDKVALASSGRKWYLCTKATHCATGNMKLAITVLPQVGSPALAPALAPAYSAATRHGAATICLAWIAAALGTFIMMMIMN >KZN06512 pep chromosome:ASM162521v1:2:33565675:33568722:1 gene:DCAR_007349 transcript:KZN06512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMVSLMCSWVKKLIEEKNEVGDVVDLLVDMDCVGLKPSFSMIEKVISLYLEMGEKDGAVLFVKQILRKGISYSDNDGQGHKGGPTGYLAWKLMEEGNFKDAIKLVIDFKEADLKPEVYSYLIAMTAVVKELNELAKALRKLRSFRKAGLITELDGNNVQLIEGYQSDLIADGVRLSDWVMQEGGPSLQGVVLERLLAMYICAGKGLEAERQLWEMKLVGKEADGNLYDIVLAICASQNESDAIARLLTRVEATSSLRKKKTLSWLLRGYVKGGHYSDAAETLIKMLNLGLSPDFLDRAAVLQGLRKRIQQSGNVETYLKLCKHLSDASLIGPCLVYMYFKRYKLWITKMI >KZN04848 pep chromosome:ASM162521v1:2:17234346:17235331:-1 gene:DCAR_005685 transcript:KZN04848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGDEQIRIRRSVESKEIKKQVLQEVKSLRNPRFSIIGILMDSSIQEMNSFNPNHHAICSTTTTSISTAGTSSSPSNPSRYENQKRRDWSTFGQYLKNHKPPLALSRCSGAHVLEFLRYLDQFGKTKVHTPICPFHGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEINPFGARAVRLYLREVRDVQSKARGISYEKKKRKRPLQELAKHSSGPSEN >KZN05451 pep chromosome:ASM162521v1:2:23989708:23995092:-1 gene:DCAR_006288 transcript:KZN05451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGESTQSVTDSGSEESVQINVRCSNGAKFSVQASLRSTVLDFKQVVSQNCDVPAVQQRLIYKGRILKDDQTLDSYGLQADHTVHMVRGSPPLSTTPGTGAASVGNLNATQDSTQRVNPNDGGGLGGAGLGASLFPGLGGLGLGAGGNTGASGLFGAGLPEFEQVQQQLTQNPNMMREIINTPAIQNLMNNPDLMRSMIMSNPQMREIIDRNPELGHILNDPGILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMGGGAGNDPGSNPFAALLGNQGGGNQGTNASNESTNTGSETAAGTTIPNSNPLPNPWGGTAGSQTNTAARSNPTGGAAGIGGLGGLGLPGLDRTMGIPDPSAMNQLLQNPGVSQMMQSMLSNPQYMNQFLGTNPQLQSMFDMNPQLREMMQNPEIIRQLTSPEMMQRMSTFHQALLSQQNRQQSTQEPAQTGGIAGAGAQNNMGLDMLMNMFSGLGASGLAVPNTPDCIFPYY >KZN04703 pep chromosome:ASM162521v1:2:14897439:14903907:1 gene:DCAR_005540 transcript:KZN04703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGQTVRDLKREVNKKVLKVPSIEQKVLDATSNEPWGPHGSHLADIAQASRNYHEYQMIMSVLWKRINDTGKNWRHVYKALTVLEYLVANGTERVIDEIREHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVVLVNDKERIQEVREKAAANREKYRNTSTGGMYKPGSYTSGGGYGERYEDDRYEGSYGSRDEDPNGYGREREWRSRDDDRYSKYGDSSNRDRDYYGRESEERSGRDGYKDNSSRGRSQNGDDYNYGVRSKSSERDRTYEDDSQYSSRVSGAKADDHYQDESVAGRRAEQIRAAPPSYEEVVGENRSPTNVERNSEASKSVAPKLSAPPQNASDRDSTFSSPTMAPALATSAAPVPATSVAPAPATSVAPAPATFAAPAPNHKEFDGGFNAFDPRGTFSAAPTPASNSDVDFFGSMSDSFALNSLAIVPTAAETTESNAFTNSGSNQTSEDPFGDGPFRAISSVQNVPTQVPIVESSPSFQNGINNSETPILTSQNTETASNFGFGNTDILADILPPSGPSPTGFPAQMMQPTSQLGFASHSGPPPVHTGFQGQPDQYSSLAGYPPHLGQASSPAAFPAQGQVGVQTSFPLQSGQPGSFTSFQSQMGSTQTSSFAAPSQPSLPGANFNGNSGHQSGSAVPALQPMPPQRFAGAPANYNHMNSQYNLQTTSQMQQAGTTSTDSLAIVPQPASDKFQTKSTVWADTLNRGLVNLNISGSKTNPLADIGVDFDAINRKEKRMEKPSQKPVVSTINMGKAMGSGSGIGRAGANALRGAPSPMVGNGMQVGIGGAPGIGLGMAGARGPGMNMIHGPSAGMGIGMGMGMGGGYGNQPMVGGMNMGMGGGMNMGMRPGVAMQQQPSGFPQGPAMGGYNPMMGPGVYGQQPYNGGY >KZN05959 pep chromosome:ASM162521v1:2:28728238:28731039:1 gene:DCAR_006796 transcript:KZN05959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGVTVVRSDAPSEYHVAPRTETTVTGSVTHVTPHVGVSPVPAPVMVVPPVGVDVTAVKKKRGRPRKYGPDGSVTKALSPKPISSSAPPPVIDFSAEKPRGKVRPVGLTAKQHQPKMQMEGLGEWFSSAGANFTPHIVTVNAGEDVMMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFDILSLSGSFMPTEVGGIRNRSGGMSVSLASPDGRIIGGSVAGLLVAACPVQIVIGSFYAGSRQDQSAKNFFAQTAAAPVPITQSDDLRGQQNSAPPMQNLSATSLGGNGWATYADESRSKPTDINVTLPAPFKPADMNTTLHAPFKPTDINVTLPAPFKPTDINVTLPESFKPTDINVTLPA >KZN04829 pep chromosome:ASM162521v1:2:16913767:16915250:1 gene:DCAR_005666 transcript:KZN04829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPVSMFYRHELTASSNNRSSGSGDTQDSNSNSGLQREGPTTKLLQHTGSSNGNKALTKILVHVNVERSFGPIHVVLPVEDTVGDLIKTVIKVYLKENRRPLLEYTDARCFDLHYSQFSLKCLNPEEKLINLESRNFFLYPKPHNMINSSTATTDNSSTCSHKTKGTTMITNADIRGQNIPFPCTTFMDFLL >KZN06764 pep chromosome:ASM162521v1:2:35672914:35674119:-1 gene:DCAR_007601 transcript:KZN06764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSWECTSPAEADVASPWSNQLNDHMGQSFANIISGSNVESSHEFTCSSSKQKSEQVVQQVASFDYMRSQEALRLAAEKLLAKSCSYGVASRNAEYFSSANGPQIMDPFSGLMADIGLPVFDRPQYVDVKATASTNTSSFESLDCLKTTTTSNTDTSVEDDDIPSMLFSDCSKLWNLSSMPSADSEKITAKYCSTSSLISETNDAISQCSTKSTNQFSGLISKSQPKLKKPRLEKHQNSSHINFQQPVDSSNIDEEPDLEAIAQMKEMIYRAAVFRPVNIGEPEASEKPKRKNVKISSDPQTVAARQRRERISEKIRVLQRLVPGGNKMDTASMLDEAANYLKFLRSQVKTLESLGHKFDFLNCPITTAQFYPFPQHSLLPFNHSFPMQNIHNFSFPKP >KZN04666 pep chromosome:ASM162521v1:2:14393023:14399429:-1 gene:DCAR_005503 transcript:KZN04666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAAAHWSLTAGIDGGSWKDTTTATRRISRGAATLGFGSGSGSGSQCSKHFQSTNNGVAAAASTLTHSSVSLAPSSVKRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTNKVLQQVVEQLNKLVHVLKVEDLSKEPQVERELILVKLGASPSTLPEIMWLVDIFRGKIVDTSDQALTVEVTGDPGKIAAVLRNLSKFGIKELARTGKIALRREKIGETAPFWNYSAASYPDLEKKVHAFSSVENVNQSLHDTSTSSTGDVYPVEPNNEFAFQKVLDPHWGVVYDEDSSGNQSHTLCMLVNDSPGVLNIVSGVISRRGYNIQSLAVGPAEMEGFSRITTVVPGTDDTINKLVNQFRKLVDIHEVKDITHSPFSERELMLIKVAADPDARRDVLDIARIFRAKPVDVSDHTITLELTGDFEKMLALQKLLETYGICEVARTGRVALVRESGVNSKYLRGYSLPF >KZN04693 pep chromosome:ASM162521v1:2:14810509:14811123:-1 gene:DCAR_005530 transcript:KZN04693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQVMRDIIEEYTEEIKAAGGFGHLQHVAEESGKTASDSLPVSHTSADNVSEKGSAATYNRTHSHSYKEIKSTMIVDQYPKDFNQFRRNQKADTNRYDRSHNSKSPEKLRNKGYTGTVEQSSVRGQHDGKEGHKKSSRRSSESNYSSSREHSRHRKERFKGNEDKSRPERSVGRSHRSDSVAHKKFKDRYDPSESWITDEDNL >KZN04377 pep chromosome:ASM162521v1:2:9757470:9758060:1 gene:DCAR_005214 transcript:KZN04377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYDVVHIPCTGCNPVGKALAEDDGNNEKEGNFDEDWSIESDEDGETRPPPNVRSKGGPVIKG >KZN07167 pep chromosome:ASM162521v1:2:38787085:38790113:1 gene:DCAR_008004 transcript:KZN07167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLTEKENNMRRKILPSVSPISTKHSLLFIFIFTIIVSSLSSYCCEAAASPSSCGNIRNISCPFKLQGDRQKCDSSFFTQELSCRNNRTILYLLPDKLLYYVQSINYDNLSIRIVDPGVRKNNPSSRPLRSLTEEDLQQSAYRAVFYEDGSISGTGPMLSLSELNQPIAMIECPSPVTSNRYINTTSPTSSYTSSVFGKDYIYAYAYMVVGFIPISELKVNCRISKLAWVSLRAPSRPSISSNMSAIHDAMLYGFEIPWSYFYCLKCDATLSGEDSCDTQNRDYHHWACKNYDSHCNVGHLSSYNLTISCIRKNIHDIVTSRKNQRAIGLLLLLAVVAYRARRRHLSMYNTIEDFLQAQNNLMPIRYAYSDIKKMTNNFGDKLGEGGFGTVYKGKLRSGLFVAVKILGKSSSTGQEFINEVATSGRIHHVNVVELLGFCFEGSKRALIYEFMPNGSLEKYIFCKEGTEEEIASLSWIKMYDISCKVASGIDYLHRGCDMQILHFDIKPHNILLDKNFNPIISDFGLAKSYATDDSIVTLTAARGTMGYMAPEMFYKNIGGISYKADVYSFGMLLMEMAGRRKNSNPFVDHVSQVHFPSWVYNQFSEGKELEMEDVTQEERKLVKKMIIVALWCIQMKPSDRPPMNKVIEMLEGPVEHLVMPPKPFLYTKEDPAEIKSSSTQSFLKSLQTEE >KZN05608 pep chromosome:ASM162521v1:2:25584418:25596673:1 gene:DCAR_006445 transcript:KZN05608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVATASLPVLCVTGADWKTNKKNSNTNSENADNNYRQRDQIRVAKTNSSHFGQVVSKDAEFLKSKIIKGLDWANGAFRVPPQVTRGLEWANEAFHVPKISKTIDDFIWMKPLEDPHAPPFQFPSWPLPHYPGLTGIDLLVADLKALEAYLNYFYYLTKMWTKPLPDVYDPQEVADYFNCRPHLVALRLLEVVSSFTAAAIKIRISSVINTYTSSGYTDDDRSISQYKYGLVLKETMLNLGPTFIKVGQSLSTRPDIIGSEITKALSGLHDQNPPFPRTLAMKIIEEELGSPAENFFSYISPEPVAAASFGQVYKGRTIDGSSVAVKVQRPNLRHVVIRDIYILRVGLRILQRVAKRKSELSLYADELGKGLVGELDYTLEAANASEFMDAHSSFPFMSVPKVLRHLTQKRVLTMEWMVGESPSDLIYLSSKTPAPKEANYLVKEQSDARQRLLDLVNKGVQATLVQLLETGLLHADPHPGNLRYTSSGQIGFLDFGLLCRMEKKHQHAMLASIVHIVNGDWASLVLALSDMDVVRPGTNMRRVTMDLEDSLGEVEFKDGIPDVKFSRVLSKIWAVAFKYHFRMPPYYTLLLRSLASFEGLAVAGDPKFKTFEAAYPHVVRKLLTDNSLDMRRILHSVVFNKKKEFQWKKLALFLRIGATTKGIKSVDENYIGRSPTLPTSIFGVTNLVLRLLQSEDGAVLRRLLMTVDGASLIAAMVSKEAIIYRQSLSKIISNVLYESIYDAVEGRRAAHQYGSKATLASGAEKFSRLSTPNSSYKSIMRDRRLKVIFFKILESARKDRILMLKFCWASFIMLLSASALACHRMVVSLCESYIGPISLPRRQLAYS >KZN07753 pep chromosome:ASM162521v1:2:43347838:43352901:1 gene:DCAR_008590 transcript:KZN07753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSYSSTNILPHNLTLFKKKHINLYYTAIVTILCSMFYIIGVWQHGGGATGDTVLLSTLPCIPRENTTLRIDPLPELDFSSHHKAEDMQPAEARVKHFPPCDSKFSEYTPCEDAERSLKFDREMLVYRERHCPEDDEVLSCRIPAPFGYKQPFRWPESRDAVWYANVPHKHLTVEKAGQNWVRFEGDRFKFPGGGTMFPRGANAYIDDIGKLINLRDGSIRTAIDTGCGVASWGAYLLSRNILTVSFAPRDTHIAQVQFALERGVPALIGVLASIRLPYPSRAFDMAHCSRCLIPWGKNDGLYLIEVDRILRPGGYWIYSGPPINWQNRWKGWDRTPKDLEDEQNLVESVARSLCWKKLVQKDDIAIWQKPTNHVHCKLNRKVFKKPQLCQAPNPDKAWYTKLETCLTPLPEVKNIKETAGGQLAKWPSRLNAIPPRIASGSVEGVTNENFTKDSELWKTRILHYKSLDHQLAERGRYRNLLDMNSYLGGFAAALVDDPVWVMNIVPVEGQIDTLGVVYQRGLIGTYQNWCEAMSTYPRTYDFIHADSIFSLYKDRCGIEDIVLEMDRILRPQGSVIIRDDVDVLLNVKNILDGVEWESRLVDHEDGPLVRQKLLIATKQYWTSPAAIEQDPQALNRAS >KZN04308 pep chromosome:ASM162521v1:2:7421495:7421794:1 gene:DCAR_005145 transcript:KZN04308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSFMFLLCLLTLASFVTTIHARKLHDHMREAKKKQQPPPPSVPDSLYLSSLPKGTVPNSAPTKKGHSLIVDEKLIARHLAAIDRILRSVPSPGVGN >KZN07221 pep chromosome:ASM162521v1:2:39230301:39234073:1 gene:DCAR_008058 transcript:KZN07221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWLVIRELAEIQAMATTKKVITREEWEKKVSNVKVRKEDMNKLVMNFLVTEGYVDAAEKFKLESGTERYAFPYGYIAEIDLATITDRMAVKQAVQSGDVEVAIERVNDLNPEILDTNPKLFFHLQQQRLIELIRKGKVEEALEFAQEELAPRGEENPSFLEELERTVALLAFEDVTNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPGLLKMLLWAQNQLDEKANYPRINNLSTVMLEDPAV >KZN05337 pep chromosome:ASM162521v1:2:22855798:22858629:1 gene:DCAR_006174 transcript:KZN05337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPIDSSQDDSFQIDPLIGPDSFFFTGTNQTLLPIQPSEQADQKPVVLNQIPSSELDPICDDVEALVLNPIPSSELGPICDEVEALVLNPIPSSEADLISGQEPLVLNPISSSEPNPICGEVVQATEQPLKLYPIPKTLLRSTGLNNWTMTSETQNSGASATNIDREKSSSKKTGTGKKEKQTGWNFDFENPPEETTWSLVDGTWKPSIGGDPVPEETVQQWAETFELTEEELILYYLRRKLDGFQKCVQVIAEIDINQHEPWDLPEKSVIWSDNEWFFFSTRRKKYPQGFQSRRTTESGYWKATGRERNVKSGARVVGTKRTLVFYKGRPPERGKNRMDNA >KZN07271 pep chromosome:ASM162521v1:2:39577396:39580105:1 gene:DCAR_008108 transcript:KZN07271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGSEGMMLSANTVQEIDDSILSSTYKEWEPDMKSVHAQESILGSVIVGVTGSLSDKYNTKRTFAQTFLLVPQETGGFYVRNDFMMFLDMNDEPTETVSVSEAVPASLTSLSLKESDVPSNEEKRSSVFDPTPKEALEILKKDGAPGSLKTKSEILVTKPVENAQEDDPKKISYASILAKEVSLSTSADVSSPPVTVLPKAARMAPSKASAPPTNGTSSVNGSLSAEAPTGIHIKNLVPDVTQEDLLKAVRKYGIVKPRSIRIREYSEDGYRYAFVEFESPISASRAVKDGEISIGGRKFEVQYKRLPNQGVNHGKSGTGGHSNDNSWGHALEGCGGSNDGESGKSNWRNSSQNQRHGGSSGAARQNGGSSIRM >KZN05519 pep chromosome:ASM162521v1:2:24628802:24629257:-1 gene:DCAR_006356 transcript:KZN05519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLNAVTKELLRKHPPTYFVLSHAVTEPSKLAGYDIPLGTTVEFFTPPIGNDPKIWSDPEKFNPDRFLTGGEDADITSVTGVKMMPFSVGRRICPGASMATIHLNLKIARLVQEFEWSAYPANSKVDFSEKLEFTVVMKNPLRAMIKPRV >KZN06225 pep chromosome:ASM162521v1:2:31113903:31118024:1 gene:DCAR_007062 transcript:KZN06225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFFLDIKGRVLVWRDYRGDVTAAQAEKCFTKLIDKEGDADTQNPVVYDNGVTYMFIQHNNLYLMTASRQNCNAASLLLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYKMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSEVIGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLTRFENDRTISFIPPDGTFDLMTYRLSTQVKPLIWVEAQVERHSRSRMEIMVKARSQFKERSTATNVEIELPVPSDAINPNLRTSMGSASYAPENDAVLWKIKSFPGGKEYMLRAEFNLPSITDEEAAPDRKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLM >KZN05065 pep chromosome:ASM162521v1:2:19581619:19584047:1 gene:DCAR_005902 transcript:KZN05065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEAAKQQQLLNMGFSQDLVSQALEATAGDSTLKATEWILSHSQNPSSPPPLSSPFQPKINHFFAKPTPTPPLKTQSKNTHLREEENIMAPQKRLKVHPLRQPQKKHNHKPPLYERMRPRTINEVVGQDHLLANNSLLRSAMQCKLIPSFVLWGPPGTGKTSIARAILDSGSSGCDLMYRFVSLSAVTAGVKDIRDVVEESKKLKLKSNKRTILFVDEVHRFNKSQQDSFLPVIEDGSVVFVGATTENPSFHLITPLLSRCRVLNLSPLQPQHVCMLIRRAADDLERGVVQSLSNVGCGVIRIELSDEVVEFLGSNCDGDARVALNALENAAVTAAARVGDSGGSEENDITRSVVLMVTLDDAKEALQCKHLAYDRAGDEHYNLISALHKSMRGSDANAAIYWLARMLEGGEEPLYIARRLVRFASEDVGLADPSAITQAIACYQACHFLGMPECDVNLSQCVAYLALAPKSIAVYRALGAARKDYIYPPDNPSSTQTYLPPSLHGYKFLHWPDTAGALDANRRTDRC >KZN06620 pep chromosome:ASM162521v1:2:34460243:34467684:1 gene:DCAR_007457 transcript:KZN06620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKRAAPSRYSSFGSSSNSNFWNHNEYPQSSYPMPPQTYASAPPPQSYGGWASDSKRRTEQKFSKIDDNYNSLDQVTEALARAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHIGDDQNPYEEAISIIGRTLASFDEDNLIPCFGFGDASTHDQEVFSFYPDEKYCDGFEEVLTRYRELVPQLRLAGPTSFAPIIEMAVTIVEQSRGQYHVLLIIADGQVTRSVDTDRGQLSPQERKTVEAIVKASEYPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQASFSRKEAEFALAALMEIPSQYKATLELNILNAQRGKAIDRIPLPPPQYGMASRGASKPSQSSSFRPSAPSSNRPASYVGSREPPVSSSDVNLCPICISNPRDMAFGCGHQDKALLDELCLQRCLRCFKNRIFYENIWDDGFDVFDIELKLGVSSFRRTLLQEEYEENLDDQEWAQGDEEEEVFVDAEEEWVPSEDCKVYVGNLPYDVGSEQLAGMFQEAGVVERAEIIYDRETGQSRGFGFVSMHAVEEAETAVAMFSGYELNERLLTVNKASPKGTRPERVFRPPNRIYVGNLPWEVDNAGLKEMFSKHGKVLNARVVCDRESNRSRGFGFVTMSTTSEMNDAISNLDNQNLNGRSIIVSVAEDKKPSY >KZN07320 pep chromosome:ASM162521v1:2:39981803:39984949:-1 gene:DCAR_008157 transcript:KZN07320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSPANAIKPEIFKHSPIHYAVVVADHATLKRLVLTVPKLADPTQIQSESNSVKQERLAEEVSAILDRRDNPNRETALHLAVRLNDAFAVKTLATAGADISLQNAAGWNALQEAVCRRCTEITSILVQHHHIAAWCKWRRRLPRLLGVLRRMRDFYMEISFHFESSIVPFVGKIAPSDTYKIWKRDGNLRADTSLAGYDGLKIQRANQSFLFLGDGDPDLSIPAGSLLVLNHDDHKIFDAFENAGAPLSDADVAAFCAQTSVYRPGMDVTKAELIGRTNWRRQEKTESVGDWKARVYEIHNVVFSFRSRKIAAGESDSPGSEQILPLELEEDSDDGFLVAENPRYSVSNNVNNRRRHSSFVREDRDFVSVSRKSVDIIPERRRPPCPVVVAAAPPPQTKEKEFVKSLRPSVWLTESFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPPGTFPVKIAIPVVPTVRVVITFTKFVELQPIEEFYTPMSSPAQFGSGRISGDEMGSGLATGSSRSWFSLNAYKSGSASKHHWEQPEQANPFAIPSGYTWSSFDDKSWDKLDSGRCVRGGEAEQKPEALLGGVVVTALFTRVSLWVISVGAWMIDDVVNSERVVIIAQHCLVFHFFTVAIVFITENIKGNGMDQNQVIAITPFWLI >KZN06577 pep chromosome:ASM162521v1:2:34141603:34142043:1 gene:DCAR_007414 transcript:KZN06577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGMAPPGQNGTGNTHNSVMHMTFFWGKNVDILFNDWPGQNSGGMYVLALFVVFVLVMIVEWLSHSNLIKQGSNHVVAGLVQTLMHAMRVALAYIVMLAVMSFNGGVLIVAVAGHSLGFLIFGSRVFRKASDGDDKVSDLPPLSTC >KZN04571 pep chromosome:ASM162521v1:2:12886073:12886252:-1 gene:DCAR_005408 transcript:KZN04571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFSCYTTPTAFSTPPPSATINPQRQSTRHRNQSNTVMNTTINPGYTCTYTYMILSQA >KZN07757 pep chromosome:ASM162521v1:2:43381306:43381633:-1 gene:DCAR_008594 transcript:KZN07757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCIVKQILGTTVTNMLSKMKKAPATSSVSEMYKKIIGKEISAKIILTQGNKNGDSNIYEAVELFDKTVNDSSSGDK >KZN05600 pep chromosome:ASM162521v1:2:25507115:25508260:-1 gene:DCAR_006437 transcript:KZN05600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHWDNILLRHIWSLHFVFGCAVAQQSENSSQKVNIDEQEHVTVARALQKYRIRAKMSNTPFPIRYPMPKPRPASTQQSPATTSKILPLICAKPTPWNRTIGTTTNNASTCPKTSSQSRYINPSRNDLLGGSSLPVKPPATSVNEWPTDSSRFLPQKFPAAGAAPYIPLRHFRPHHGMAPPVTIRHAIPAYSAPPFPPIQPVNAMRTPHMGMAPPVSIRQVVPAFAAPVRTEDSPAFRAPLTQVTACAEDLAAFKAPVSTPSARVEDSLPASKVTMPPITPPGQEETAPAFKSHAAPAHIHLENPSTAKVPCHPVSVGAENESNLEFSIKTSTFHAETQQTSELSVRPPLVSHMTAETDKEVESKLPESLMVEELNELKV >KZN06478 pep chromosome:ASM162521v1:2:33220505:33224154:1 gene:DCAR_007315 transcript:KZN06478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKPKSFLQAFINPFKFHSKKEKEGDLETLAAQEQQKQFSFDTLVAATNNFHPSNKLGQGGFGPVFKGKLEDGREIAVKKLSQNSSQGKKEFMNEAKLLMQVQHRNVVTLLGYCAYGVEKLLVYEYVANESVDKLLFKSGSRGEYDWKKRYATIRGVARDPDCQSLLDWAYKFYKRDQVLEIVDKKLASSVVPDQAALCIQIGLLCTQSDPQLRPTMGRVVIMLSKKLGSLEVPSRPGYVGARYRSSHRGNTSSSTAGISNKSSSQTSCLTNNTSSASASATASASATASTSTLTNDRPVNHRGKRPMQG >KZN06389 pep chromosome:ASM162521v1:2:32477905:32479364:1 gene:DCAR_007226 transcript:KZN06389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITPKFVKFIGESDLAEDEMLLPPLFRAKVSNLFPTAVQLFFRNGFNVWVGYDNDDGVFKGVGKIYRKFGLKKGQTLLFEYVSMFNFKVFIFGADLTEINYPVNPPILDWSHGTEDVKHDGGLKFLYVLSCGQEIVDEVGVPWGLVAGFGNAIPPTVEFILNDGTMFLGKFIQQECKLTGLYRLTKLNLSKIQVLVFTYNGDRTFKINIIDISMTEGLQSAVEGEDVGTSVTPPNVSLEDPDQCTYFEFEIKPFHMLKYAHGVDIPSFFKGLTDLWGKNDVINVSKGRRCWALAVKKRFDYKRPSILDGWIEFRDALKLEVGDVCVFSMKGRNIREFSVQVIRKM >KZN07598 pep chromosome:ASM162521v1:2:42109724:42111219:-1 gene:DCAR_008435 transcript:KZN07598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVQTESLPLVFHHRSPQFSYTLTDWLQTRFQLLEPDDPNFPNLSHSVRALAVAGPSPLTAENIRQFPSLELVVCGGVGVDHVDLAECKRRGVKVTNAGDAFTADVADYAVGLLIDVWRRVSAADRFVRAGLWPVHEIFPLASKLGGKHVGIVGLGRIGFEVAKRLEAFGCEIAYNSRRKRTQVPYSYYANVSDLATNSDALIVCCASTKETYHLINKDVLTALGKNGVVINVGRGALVDEKELVKFLVQGEIGGAGLDVYENEPHVPEELFGLDNVVLSNHTAVCTPESMAALKSVVIGNLEAFFANKPLLSQIVIE >KZN04477 pep chromosome:ASM162521v1:2:11539960:11543263:-1 gene:DCAR_005314 transcript:KZN04477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALDKSLDDLINDNKKSSRGGRGRGRSYGPGPARRSNNRSSNRPAPYSSKAPDSAWQHDMFSSDQAMANQGYVDRNRASSIETGTKLYISNLDYGVSQEDIKELFAEIGDLKRSVVHYDRSGRSKGTAEVVFSRRQDALSAVMKYNNVQLDGKPMKVEIVGTNIVTPAAGQPFINNNVSNFNGVPRSNQERGGFGRPRGGGGRGRGSGRGRGSGRGRGEKLSSDDLDADLEKYHASSMQIN >KZN06341 pep chromosome:ASM162521v1:2:32053664:32056417:1 gene:DCAR_007178 transcript:KZN06341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEGPIFRDIRRYYCEYCGICRSKKSLISSHVLSHHQDKVNEIKADDNGEKEGLKSNFCDDCGLSFRKPAHLKQHVQSHSLEGYMFLQLVTNGNKLDRPFTCPIDDCNSRYTRKDHLNRHLLQHQGKLFTCPSTYCNRRFSNQGNMKRHVKKFHKDSLPIKVDAPKEHICPEPGCGKVFKYASKLKKHEDSHVKLESTEAYCTDPGCMKYFTNEQCLKAHIQSCHRHITCEFCGTKQLKKNIKRHLLTHDVKPPSERMKCSFNDCLHTFSSKSNLNQHIKAVHLQQRPFVCSIHGCGMRFTFKHVKDKHEKSGCHLYTQGDFVETDEQFRSRPRGGRKRVCPSIETFTRKRVVLPSSESASIIDQGPDYNSWLL >KZN07705 pep chromosome:ASM162521v1:2:42930395:42933442:1 gene:DCAR_008542 transcript:KZN07705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAKANNPENGSVVADNSLRDDAGAAFVLESKGEWYHAGYHLTTAIVGPTVLALPFAFRGMGWALGFFSLTVMGAVTFYAYYLMSLVLDYCENSGRRHIRFRELAADVLGSGWMFYFVVSIQTAINFAVSVGCILLAGNCLQIIYRLITPTGSIKLYEFIAMVTVVMMLLSQMPTFHSLRHINLGSLLLCLGFSFLITGACIYAGSSKNAPPRDYSLANSSSSKLFGAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLTLCYLVIFVTFCSVAISGYLVFGNKSSPNILNNLLPFEGPSLAPKWLIALTVSFVILQLFAITLVYSQVTYEIMEKKSADVKKGLFSKRNLIPRIMLRSLYMLLCGLFAAMLPFFADISAVVGAVGFIPLDFILPMLLYNMTFKPSRSSFMYWFNNTIMIVFTCVGLLGAFSSIRQLVLDAKTFKPFSD >KZN05574 pep chromosome:ASM162521v1:2:25207254:25214235:1 gene:DCAR_006411 transcript:KZN05574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHLISLLILFSLSTISTAISSELPQSQAQKLIRDLNLFPSELINIVDANHSAPPLLSHNANRLVEKRFKFPGLATAGVSVEELGHHAGYYQIQHSYAAKMFYFFFESRNSSNDPVVIWLTGGPGCSSELALFYENGPFTIANNLSLVWNEYGWDKVSNLLYVDQPVGTGFSYTSDKRDIRHDENGVSNDLYDFLQAFFAEHPEFVKNDFFITGESYAGHYIPAFAARVYQGNKAKEGIHVNLKGFAIGNGLTDPEIQYKAYPDYALDMGLITTAEYKRLNLALVPVCEASIKLCGTDGTVACVASYVVCNLVFSTILSHAGNGINAMLVDWMRNLEVGIPALLEDGIQMLVYAGEYDLICNWLGNSRWVQAMEWSGQKEFVASSEVPFEVDGSKAGLLRSHGPLSFLKGHDAGHMVPMDQPKAALEMLRVHDAGHMVPMDQPKAALEMLRRWTRGSLSEETSDSGNLVASM >KZN06462 pep chromosome:ASM162521v1:2:33110024:33111568:1 gene:DCAR_007299 transcript:KZN06462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSLMELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAVRPLAEKYRKGACDNCGAMTHNAKACMYRPRKLGAKWTSKNIAPDDKIESFQLGYDGKRDRWNGYDAAASDDEENEDDFRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDMDPNDKFYAGDNQNRVSGQALEFKQLNIHAWEAFEKGNIDLHMQAAPSQAQLLYKNFQVNKEKLKSKAKDTIMDKYGNAATADPLPKELLLGQSEKQVEYDRGRIVKGQEMALPKSKYEEDVYINNHTTVWGPGGIITKEVDAPAEEKRFATWGTDIPDDMVLDQAKLAEALKKEDARRREEKDERKRKEDFILCLIVEQQLYTMQSLYTSKTKNCRGN >KZN07107 pep chromosome:ASM162521v1:2:38428090:38428578:1 gene:DCAR_007944 transcript:KZN07107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTLRCCISCILPCGALDVIRIVHTNGYVEELSGTVFADEIMKLHPKHVLKKPSSSSPYEGSNEIYPQVMIIPPEAQLQRGKIYFLMPVASTSSSSEKSSRRRSSTKKTRREAERENVNDNYLAEILSEKVSTQRDRRRGRAGVWRPHLESISETPILYDS >KZN05565 pep chromosome:ASM162521v1:2:25113276:25115018:-1 gene:DCAR_006402 transcript:KZN05565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSCAPCSFVMQVLRGRWFMMFASILIMAAAGLTYLFGVYSKEIKSTLGYDQTTLNLIGFSKDLGANIGVLSGVLDEFAPTWIVLLVGSLMNFAGYFMIWLAVTKRIAKPSVWQMCVYICIGANSQNFANTGSLVTCVKNFPASRGHMLGLMKGYVGLSGAIFTQFYLAIYGDDAKSLILLIAWLPALISILFIFSIKPMKISRHPREGNVFFQYLYVSLALAFLLMGLNIAEKKVSFSHAAYLGSSAVVCVLLFFPLFIAMREEFLTWKLRQEQINSHDGTKVIVEEPPLPVSKSAILECSEGDSEKLKVTWWESVCNKPKRGEDYSISQALLSFDMILLFIATFCGLGSSLTTLDNLGQIGESLNYPPLTISTFVSLASIWNYFGRIFSGFVSEKLLTKWKIPRTLVMTFFLVTAAAGDLMIALPFPGSVYVASLLIGASFGAQLTLLFIIISELFGLKHYAVLFNCGQLASPLGSYILNVQLVGRLYDNEATRQLALKGMTRAMVNDLTCTGKECYRLSFLVLAAVNAFGAVATFVLVRRTQNYYKSDIYDKYKAEFEANERLEMAKLPAETGKQ >KZN05324 pep chromosome:ASM162521v1:2:22638071:22638496:1 gene:DCAR_006161 transcript:KZN05324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTASRPQSPLNVAKGPTSIAAVKSISKSFGLKSSNGFRTSAMTVYKVKLVGPEGDEKEFDAPDDTYILDAAEEAGIELPYSCRAGACSTCAGKVVSGAVDQSDGSFLDENQMKEGYLLTCVSYPTCDSVIHTHKESDLY >KZN06681 pep chromosome:ASM162521v1:2:34979184:34980951:1 gene:DCAR_007518 transcript:KZN06681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSYAELAVISVRAHKPPGPISSSTLGLESMTGAPENFGVEDNLNDPRDSEILRLADMHHGLEVRLGLSKGPVCPSFL >KZN04895 pep chromosome:ASM162521v1:2:17672484:17675246:1 gene:DCAR_005732 transcript:KZN04895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSVVPTLGTREPSENSAAVDRLPDEMNDMKIGDDKEMEPAIVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSTTEKEELYLNLVLEFVPETVHRVIRHYNKMNQRMPMIYVKLYSYQILRALAYIHGSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALDTLIHPFFDELRDPNTRLPNGRFLPPLFNFKSHELKKVPTEVLGKLIPEHARKQSAISLSNS >KZN04105 pep chromosome:ASM162521v1:2:2031704:2032279:-1 gene:DCAR_004942 transcript:KZN04105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALASTSFFLVLNLLFLTLVTSTHHTPCPPPPMPKPKCPPPPMPKPSCPPPPTPKPKSPPPPPPTPKPKSPPPPPPTPTPTPTPPKPLCPPPPPHHHPITPPASCPRDALKLGVCAKVLGGLIGTVIGTPPKLPCCSLLEGLVDLEAAICLCTAIKANILGINLNVPVDLSLLINYCGKKVPKGFKCV >KZN06724 pep chromosome:ASM162521v1:2:35332084:35336476:-1 gene:DCAR_007561 transcript:KZN06724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTQSKIEKEETVTRCKDRKHHMKEAVAARNAFAAAHSLYTMALKNTGAALSDYANGEFQLSTSSSGPAVAAGVIPPVSQPSYDSLPPPPPPLPNFPPAPLQRAATMPEFSIPKPDLPRSDPIIEEGEEDEEDEEEENLYEESGHDLKHRSTRSAGGGVQSGKSSGVELPVNPPVAVRNREPPPPPSPEMNGMSWDFFFAPMENVPNPSLAEVDEGRIEREEMERRAFEERARMAEAAERKAKEAAAEKAAKEREREKERERERGGGLGERERELELPPQPPPPEAAAKVVKKVRHVAAPMDVKRSGKGGGGGVNLLQVFSDIDDCFLKAFESAHEVSKMLEANRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGLINTEEKDDDFDSEEHETHATVLDKMLAWEKKLYDEVKAGEQMKLDYQKKVASLNKLKKRGASTESLERAKASVSHLHTRYIVDMQSMDSTVSEINRLRDEQLYPKLVALVDGMATMWETMQMHHQSQLRIVQALQSLFISEEAAKETSDHHHERTVQLWGVCQEWHLQFEKLMGQQKRYIKELSNWLGINLIPIDNNLREKVSSPQRPQNPPIQNLLHVWHDHLDKLPEELARTAIFNFAAVVNTIMQYQEEEMKQRDRCEDSRKELSRKQQQLEDWYNKQMQRRTAPDEMDADSGIDRDHIAERQFAVDVVKKRLEDEEEEYRKLCIQVREKSLTSLKTRLPELFRSMTEFSYSCSEMYRNLRSVSIARNLNGIS >KZN05552 pep chromosome:ASM162521v1:2:24987501:24997907:-1 gene:DCAR_006389 transcript:KZN05552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLHRHKSNSSSDHHKSHTDDKIDFKFSNIQAFQVPKGWDKLFVTLISAKKGKTISKTGKASVRNGSCRWTETLSDSIWVARESDSKDPEKYLFKLVVSMGSARSSILGETSINLAVHMSSRVSVPVSLPLKKCVHGTTIQVEVQCLAPRTKLGTENCSEVHSVTEDVTADDDIDSMSNGSSYTFTKSFGSSSGNLSHRGEHGSRETSFSLGSEDSLSRESFSPQSNLTGGLNNVIGRQDSTGSQSSASYGSYHVYDSSTSNHSPYHLGKIPQTQKEDRGQILHSIGTSPLQNSDSSNNFLEPEEVKTEELRAEAGMWERNARKLMVDIELIRKEYEDQTKRHTDLVLELSSSQTECAHLKQEIKHLKEEAAKKQNDHENMKLQALGKDSIQNELEDEIRFLRESNGNLALQLNKTQDSNLELVSVLQEMEETIEKQKVEIDNLSTLKSKCAYTEYESNSEHQYIAEVKSSIEQVSAEKMIKRTCHSDPRSCIEHVKADIHTELNSESLNPFVGQIPDTRKYEISSEQDILAQDFKEQSRCKSTVKVGMNLETELSIAHISQELRLPDHDNRDNLDLINEIESLKEKIQELERDCNELTDENLELLCKLKESKNFLSNDSQEDTFNGTSSSEERNIESQLWQLREDIKKKEIHRQEVDSDLQSRFNVIESKCSNLELQLQGSEDKVCYLENELHKKCAQIEQQECKIAALKQKLLVQREVETENDDPVLHKKAETVLDSLVPRNVLLDSKELKSQTLQASGQGIENLHKELELRVADLEEELLTKDSEIEELKADSLLKEIEIEALRHQQCDLKAHISDLQKFKTELEGKIEAMKQEGSSMTSESSNMLENDTVIVKSSTNSHIFANKILEKKVVELENCRHDLEINLSELEIENVQLSERVSGLEAQLRYMTEARESSRLEAQHSETRIMSLRDEINRLVNETESQKVEMRQKLEEMQTRWLEAEEESAYLKKANPKLQATAENLIGECNFLQKTNGELRQQRLELNKLCSVLEAELKESQNRSAGFVMRIDALEARFSSMLSEFSSKEEILVSELNAIHVLDNEYIEKLDLGESLLNQMYLEKAAECEKLQQEVAHLSTQISATHDEREKRGSEAVLEMHILRANNDKLEATLQEVHGKLELSEKKLNMIQLEYDTKVLHLTGELAVSKQNHENLVGKHEKLLGLFKDVRDNEERLKGTVDELESKFKSTDCEKLQLEEETSSLRSRLQEISFLQEEVLCLKTSFNEMKIDNQRLSASLQLVSGDYEEVKVVRDQLIQKISSMQNTVSELEDNKRIKVALEEKILRLEGDLSAREALCAQDAELKNEVGRIKRTSSQLQWKIRSLEEEKEECLDKVRALEEELKQERGITANSDQLPRSYGTYTDHEAPTSSEVGNSPNLDNDMASRIQFLENELAEALEANDMYKAQLKSMLSDSSRIDADSEKINEDIDQRMSLLETELKELRELYLHKSLKCAEVEAQREQLVMKLKTTNSHRRNWFS >KZN07423 pep chromosome:ASM162521v1:2:40745167:40747307:1 gene:DCAR_008260 transcript:KZN07423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLETTAKKQGHVVCIPFPIQSHIKAMLKMAKLLYSKGIFVTFVNTEYNHKRFLKSGGAQALDGLPGFNFESIPDGLPPLSDSDATQDIPALCHSVLEKEMLLPFQNLLAQLNTGTNQVTSILSDGFMPFAADAAHSHEVPIILLWTVAACGFMGFFQFKNALERGLVPFKDRFLISYKVNVQQAIPDWKTSSSRYFFTTHFFSYLFFVSLTVKML >KZN05461 pep chromosome:ASM162521v1:2:24070837:24071235:1 gene:DCAR_006298 transcript:KZN05461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNEETEFKAVPESNLALCINRGVTGPKLSENTGRQALIIASPAAPQADKDEVTKESGSEMIEVVKREVNRCSGCRRKVGLAGFKCRCGKLLCSQHRYSDRHECGYDYKAAGREAIARENPVVKAAKIVRI >KZN05970 pep chromosome:ASM162521v1:2:28803373:28807899:-1 gene:DCAR_006807 transcript:KZN05970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLSLGLSFSGAGVTTYRKTSAFPKPTSYTTKIRCIGWDPEGVLGPPSTGHIARREFQQRLQKDAGAREDFKRQILEEQERLRNLRAARVVPDTPAGLIEYFLDTEAQELEFEIARLRPRLDDEFFACVKFELGQLRFAVNKTQDMEDRVLELEALQKALQEGTEAYDKLQTNIVKARERLTKILTSKDIKATLLEMLERNELNKSLLTLLDENIASAQRSNQKEAADFMEKLRGAMLKYITLLSIYAGKIQQNEHELARAALSVSLAKAQSTTVFVSRMPKVPGIKPREFQAVKDCIENMLNTVNQLNHSMKEFDLMNRVRVQDFAWHMSNVQTWVSAAVTYENTCIDGFSGPLLEGRVKAAVKRRVFTVVQVTTNALALVNQFMERHQATIAPINIP >KZN06883 pep chromosome:ASM162521v1:2:36590656:36595462:1 gene:DCAR_007720 transcript:KZN06883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVQIEELTSGASGRIIPVFRNIRRSLPRYESLRRSLIFVQSILLWFILLLRRHRLSPASSPPLSPNSSASSSSKRKLAVRREEEDILRRRALAEGFRMLSGDESEFYESATSLFYGHRGNALFCRSWLPVFGEMKAILIIIHGLNEHSGRYADFSRQLNSCNFGVYAMDWIGHGGSDGLHGYVPSLDHVVSDTGAFLEKIKFEYPGVPCFLYGHSTGGSVVLKAASYPHIEKMVEGIILTSPALRVKPAHPIVGAVAPLFSLVAPKYQFKGANKRGIPVSRDPAALVAKYSDPLVYTGPMRIRTGHEILRISSYLMRNFKYVTVPFFVLHGSADKVTDPLASQDLYNEAPSKFKDIKLYEGFLHDLLFEPEREEIAQDIIDWMEKRLSVSSLTDIDSLW >KZN07340 pep chromosome:ASM162521v1:2:40137064:40138518:1 gene:DCAR_008177 transcript:KZN07340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSCVWPSSYAFSAVLKACAEVSGLLLGRVIHCHVLAFGYGLNVFVQAGLVSFYAKCGELGVARKVFDGMLVRSVVAWNSMISGYEQYGYANEAVGLFGRMRDSGVEFDSATFVSVLSACSQLGAIGLGCWVHEYVRNHGLYLNVVLGTALINMYAKCGNVSKAREVFDSMEEHNVASWTAIISGYGMHGHGKEAMELFKLMKFRGPPPNSVTFIAVLSACAHAGLVQQGREAFAAMTRDHGLVPKMEHHVAMVDMLGRAGLLDEAFQHLKDLDSVGPAPAMWTAMLGACKMHKNVNLGVQVAEHLLAAEPEISGHYVLLSNIYAMAGRTDRVEVVRNMMIQKGLKKQVGYSTVEIDQKTYLFSMGDKSHVETTAIYQFLDELMQQSRAAGYVPVSESVMHELEEEEREYALRYHSEKLAVAFGLLKTKQGMTITIVKNLRMCEDCHAALKFISVICSREIIIRDKLRFHHFQNGSCSCMDYW >KZN06171 pep chromosome:ASM162521v1:2:30748007:30749634:1 gene:DCAR_007008 transcript:KZN06171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQGQKLAEQLMQIILVAFAVVAFFTGYVLGSFQMMLLIYAGGVVLTTLITVPNWPFFNRHPLKWLDPSVAEKHPKPQAAAATTSKKKGSKNMEAIRIKQTVPRARSFREEGYTNRRIFLRSYPLHWGGDDRSKELEKTAPEGANEAKKHLKKIIISIFNWGEERVIIFRRYKNKIVVYVISCLPAGFKPPAMISAR >KZN05223 pep chromosome:ASM162521v1:2:21391651:21397752:1 gene:DCAR_006060 transcript:KZN05223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HDS-1 description:4-hydroxy-3-methylbut-2-en-1-yl diphosphate synthase MATASVPSSFTGLKSNDNVTGFTKSMDFIKLSDSQRVRFRRTRVSVIKNSKSEIVELQPASEGSPLLVPRQKYCESTNKTVRRKTRTVMVGNVAIGSEHPIRIQTMTTTDTKDVAGTVEQVMRIADSGADLVRITVQGKKEADACFEIKNSLVQKNYNIPLVADIHFAPPVAMRVAECFDKIRVNPGNFADRRAQFEILEYTDDDYQKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLLAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTESPMEEIDPCRRLANLGMRAANLQQGVAPFEEKHRHYFDFQRRTGQLPVQQEGEEVDYRGALHRDGSVLMSVSLDQIKTPELLYRSLAAKLIVGMPFKDLATVDSILLRELPALDDNESRLALKRLIDVSMGVIAPLSEQLIKPLPNAIVLATLKDLSSGAHKLLPKGTRIVVSVRGDEPVEELEFLKDIDATMLFHDLPHTEEKVGRVQAARRLFEYLSENSLNFPVIHHIQFPKGIHRDDLVIGAGANAGSLLVDGLGDGILLEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIRERTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKHPSSGSNVISCLASFHLP >KZN07779 pep chromosome:ASM162521v1:2:43529564:43531518:1 gene:DCAR_008616 transcript:KZN07779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPDSFHGGRDRSSGTPSQTPDRRVQISAARSLKGVFLACFTSSEPINSSELCSPPAESSGGSSEKRHRSIYGSSNDSTHLRDPGTTKFTIGEILQATRNFSPNLKIGHGGFGTVYKGRLEDGTFVAVKRATKSVHDRHLGLEFQSEIVTLKQVEHLNLVKFYGYLIHEDEKLVVVEYVPNGTLREHLEGMHGAVLDFAKRLDVATDVAHAVTYLHMYSDHPIIHRDIKSSNILLTENFRAKVADFGFARIVADGESDATHISTQVKGTAGYLDPEYLTTFQLTEKSDVYSFGVLLVELMTGRRPIEPKRELKEKITARWAMKKFTEGDAILVLDPRLERSPANNVALEKILELALQCLAPHKKSRPRMRRCAEVLWSIRKEYRQILGSETPSISSDSQRSTSLKEE >KZN04081 pep chromosome:ASM162521v1:2:1712028:1713051:1 gene:DCAR_004918 transcript:KZN04081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTISHIPPGFRFHPTDEELVEYYLKNKISSKRMDLDVIKDVDLYRIEPWDLEELCKLGTEDQKDWYFFSHKDKKYPTGTRTNRATKQGFWKATGRDKAIYSKHSLAGMRKTLVFYKGRAPNGHKSDWIMHEYRLETDENGQPQEEGWVVCRVFKKRLTTVRKMDEHEPLSNWYDDQIRLLPDFESRRQITDNPYTPFHNDQNYTCKQELGQLQHFRSHERYLQPPQLEGQEVLHSAASVSFSSGVPYGFEQSLMLTQEDYKLQHCSQLTD >KZN06621 pep chromosome:ASM162521v1:2:34472740:34474635:1 gene:DCAR_007458 transcript:KZN06621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFHPLSFLIFFFCSLLFTHAAIYLDPSDHIALLTIHKSLGITSQRQGLESNPCNSPGIFCERRISNESYVFRVTRIIFDSRKLGGFLSPAIGKLSELKELSLPNNRLIDQVPAQIAECKKLEILNLYNNQFSGEVPSGISSLSRLRILDLCSNEFSGELDFLKYFPDLEKLDLCNNMFSGEVPTSLRSFTNLRSFNISGNKLLKGEMPVMKGIKLSSARLFGEEAPRRYRFVENSPQRSGNDARAPLGSRYSEEAPAPSPQVAKRKHKKSKSKKVKGWLIGFFAGVVAGALSGFVFSVLFKLVMAIIKGRKKDTGIAIFSPTIKNLDFLDKEDGLASFEVIGRGGCGEVYKADLPEAYDKTLAIKKIIQPSKNASELTEEDTKLLNKKMRQIKSEIQTVGTIRHRNILPLLGHVSRPDCHYLVYEYMKNGSLQDMLNQVSQGNRDLDWPSRHRIATGVAAGLEYLHNHTHRIVHRDLKPGNILLDDDMEARIADFGLAKELPNADTHATTTNVAGTIGYIAPEYHQTLKFTDKCDIYSFGVLLGVLVMGKLPSDEFFQHTNEMSLVKWMRNVMTSDDPKRAIDPKLKGNGFEDQMLLVLKIACFCTLDNPKERPTSRDIGVMLAQIKH >KZN05068 pep chromosome:ASM162521v1:2:19600662:19601315:-1 gene:DCAR_005905 transcript:KZN05068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVRSRKIKNSKFLEPKKSKNTVCNKEWTKSITDETSKAVIPVASYFQADVPDWNDQIRKNYSDESKWLRSVVWQPSYATRTIVEEEGNTIGKGRPDSCLCTFPGSTECVKLHVRIKHNQLRSDLGPAYYKWRFYEMGEVGRRKSWDFDERKTINALARTCNMKSKHTSFLDLVSVHFPAKCWKNVVSYYFNVYVPRRISTKIRSGALEVDSDED >KZN05198 pep chromosome:ASM162521v1:2:21069342:21070728:1 gene:DCAR_006035 transcript:KZN05198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDALRAQHEQDMSSQETLESMLVCTKAASQVLDNKKPRPQDQQAQKCPRCDSSNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNNKRSSSSSSNSSASSKIRTVYQDHPHHQLPQPPLITSSHSHSLLPYDSTDLSLAFARLQNGQLGFDHDHNNHLSLLSNYAGNEHGSFLEAMRYGNFMEAPPINNGLQNVYYGDQDHHFENAGNMSHEEMGNLPYEDLMSGGGAGSSGATTTAVSVTTVKQERPNVGGDATEGRGMVFCGYPWQIGSGGGDHGGSNVGDVDATGRQSSWINGLGYSNWHGLINSPLM >KZN06371 pep chromosome:ASM162521v1:2:32294084:32296313:-1 gene:DCAR_007208 transcript:KZN06371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNFFLSSKPLIISFSAISPICPSLLLYTGKQQLGKNDKRERVVKASRDITINSKKVIFEVHRISKHNKEKVLEKANKNLAAVVDQHISRLVKELNGTDFWKLRRAYSPGIQEYVEAATFYNFCRTGSLLTLDEMNAALLPLSDPFSKPLKINVLDYLLGLADLTGELMRLAIVRLSDGELEFAGKICRFAQEIHRHMTVLAPNMDGDTDMTFKMDTMLQSVMKIENACYTVHVRGSENIPLLGSMDPSYPMMLPDLE >KZN07574 pep chromosome:ASM162521v1:2:41932934:41933191:1 gene:DCAR_008411 transcript:KZN07574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQYLQVSFLFIMIMISFFELSNCRDIHRSTTEGTTTNMKPGSHSSFPSRFPAKAPVADSNPQTDPIYGMSKRTVPGGPNPLHN >KZN05929 pep chromosome:ASM162521v1:2:28480532:28485516:1 gene:DCAR_006766 transcript:KZN05929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKDRQIQEWQGYYINYKLMKKKVKQYARQIEVAALERRHVLKDFSRMLDEEIEKIVLFLLEQQGLLASRIAALGEQQEALREQPDISKICELREAYRDVGRDLLKLLFFIEINAIGLRKILKKFDKRFGYKFTNYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLSDLQDRQGSYLSIYDEPTLPLQDSVIDSITVAADRLNHSTNFLDFLGQHALIMQQEELPTPSEEQIDDDRYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNKSYFKPLVFSSIVLFVGNAMYAVAYDFNSIAILILGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKIYKLTINQNTLPGWVMSVAWLFYLVWLWISFREPALPSEENDMPQEANADTGPTENEELEKGLVQPLLLTSTDNQQDDNDGDQEYDASEEAPEDSRRPANSMRSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSKVAIFLACIGLTVLPVNIVVGSYISNMFEDRQILLASEIMVCVGILLSFQVFVAYSVPQYVLSGLIMFVSAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTIARVIADATITLAGYLGESKLLNVTLIPSLVICVSSIVATCYTYNSLY >KZN04724 pep chromosome:ASM162521v1:2:15061356:15061721:1 gene:DCAR_005561 transcript:KZN04724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQAYRDKGKDKVLEHFSFNENGVFAQKAVRIMDEGKLSRFSPAFGSSVVNMNAAVGKEIYARDILHHAILGTLKAVIPKLYVSNPSFLHNDVDYLMSVDQVLELMGFSGDKATSSKNPV >KZN05536 pep chromosome:ASM162521v1:2:24821023:24821232:1 gene:DCAR_006373 transcript:KZN05536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQRVRNNTPKHGWSSKANMWTAPPNGSYKLNVDASVATDALIFLGETDKFEFMEELKKCFTGNNFKV >KZN07437 pep chromosome:ASM162521v1:2:40828691:40832706:1 gene:DCAR_008274 transcript:KZN07437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPIISRSSNPVTTAFCSCRASISDFPASFRSPVRRRGRRSCKVGSLKMVAEMGGDGIDGGDRKTSGGGGYTSSAMEVTTFNQSFPDAEFPVWEKIGAVVRLSYGIGIYAAMGLAGKFLCSVTGTDCTGGFDLSLDAIVQGLGYASPPIMALLFIMDDEVVKLSPHARAIRDVEDEELRNFFYGMSPWQFVLIVAASSVGEELFYRAAVQGALADIFLRGTDLMTDARGMASLTGVLPPFVPFAQAFAAVTTAALTGSLYYVAASPKDPTYVVAPVLQSRSGRRDLKKLFAAWYERRQMKKIYSPLLESLLALYLGFEWIQTNNILAPIITHGIYSTVILGHGLWKIHDHKKRLRHRIQKVKLEGKQSKF >KZN04583 pep chromosome:ASM162521v1:2:13031483:13031785:-1 gene:DCAR_005420 transcript:KZN04583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLKSTCVQARLHGFTTPFQSHLRYGSSQITSPLLRMVNSVRNLNPSLNKRVYFCTDSSDGSSVVMESKGVEEGAAEADSKSSSAMVPTVFRPEDYLX >KZN04109 pep chromosome:ASM162521v1:2:2091814:2093150:-1 gene:DCAR_004946 transcript:KZN04109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVFVLLVFLVSLHACNSRGLSLSADRKTDSIFHTIGKDVHSRKLRSLFINSEPTVVQTEEHISTSTTGTDEFEDEVMGKDSAADSLKKEGKKGGKVALSYLEETAQIEKWRQARSTLESSPNEVKETAKSEDDETMDDVVSMDYAKPHRKPPIHNKKL >KZN07051 pep chromosome:ASM162521v1:2:37953364:37965539:-1 gene:DCAR_007888 transcript:KZN07051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPHSSSSSSTNSNKRSSSSSSSNLAAGEAPYFSPMKKAKSQAVACSLDSNKNGHVRFDDNDAMIDDPNPNDPSPSVIRASAGGITSNLARKKATPPQPAKKFVIKLNKAKPTIPTNFEETTWETLKSAITAIFLKQPDPCDLEKLYQAVNDLCLHKMGGSVYQRIEKECETHISAALQSLVGQSEDLVVFLSLVEKCWQDFCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLALASEVEHKTVFGLLKMIESERRGEAVDRTLLNHLLKMFTALGIYSESFEKPFLEGTSEFYAAEGAKYMQQADVPDYLKHVEIRLHEEHDRCVLYLDIGTRKPLVATAERQLLERHISAILDKGFTMLMDGSRIEDLQRMYVLFCRVDALESLRHALNSYIRKTGQGIVMDEEKDKDMVSCLLEFKASLDTILEDSFSKNEAFCNTVKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGMLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGRKELAVSLFQTVVLMLFNDAEKLSFQDIKDATSIEDKELRRTLQSLACGKVRVLQKLPKGREVDDNDSFSFNDQFAAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPSDLKKRIESLIDREYLERDKSNPQIYNYLA >KZN04107 pep chromosome:ASM162521v1:2:2080810:2081097:1 gene:DCAR_004944 transcript:KZN04107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETALTELERLQTRILQRISDLELRAGLPLPYTPQNDNVSANETRLSEILLNNGVKYFAFKKVGFDFYDLSLELRRDALCAASVHHLCKSIVMV >KZN06071 pep chromosome:ASM162521v1:2:29893392:29895071:1 gene:DCAR_006908 transcript:KZN06071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEVGNAAVINQGAEDWLLHAQEYVPIALEKAKVVKVFPGRWKVIISKLELIPPRLSDLSSHPCFSKNALCTEQLQAVAKTLDEVIELAEMCMKEKYEGKLRMQSDLDSLSCKIDLNLRDCGLLIKSGVLGDVTFPLAASNSSTEAEASTHSSIKELLARLQIGHLEAKHKAIDSLVEILQEDEKNVLAVLGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSAVGKEKSTISLQRLSMSTETARSIVGHGGVQPLIDICQTGDSVSQAAAACTLKNISAVPEVRQTLAEEGIFSVMINLLDHGILLGSKEYAAECLQNLTSTDDSLRKCVVSEGGIQSLLTYLDGPLPQESAVGALRNLVGSVPEDILISHGVLSILVHVLKSGSLGAQQAAASAICQICSSTEMKKMIGEAGFITLLVNMLEAKSNNNREVAAQALSSLMSLSQNCKEVKRVGKSVPNLVQLLDPNPQNTAKKYAITCLNLLSSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLLERLERGKLRVFMNLV >KZN07151 pep chromosome:ASM162521v1:2:38693551:38699176:1 gene:DCAR_007988 transcript:KZN07151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRLIDLNTVNEDDDETTPYSFDSSASSSSSTPVPVNVTTSSPAVCLELWHACAGPLISLPKKGSSVVYLPQGQLEYMKDVPDAAYHLPAHVFCRVLDVKFHAEAATDEVYAQVCLIPESQIEVKWWEGKSDAQAEDEETEFVVKSMTPHMFCKNLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGLEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVCGDAVLFLRGDDGELRLGIRRAGQAKSGAKFALACTLQLNDIASVVKAISTKSVFNLCYNPRSSSSNFVVPFYKFSKSLSNSFTPGMRFKMLTETEDATERRCPGLIIGISDVDPLRWPGSKWRCLMVRWDDMEVTQHNRVSPWEIELSSYVSGASGLVLHSMKRSRIGFPTQPDLPVSRGAHAQKHHPPDKRGWYPGSVSCDSAIGSGARSNLRNSEKSCDGVDFGESLRLNKVLQGQETYSKHPYGKCPQATLGNGDPGHMKGVQAISDGTGCPFLMPGYSTCVRPSTPLVKMSSLSVPKFHESSISDPKFGAISSLNSWEKLESNNYGSLNRRFDGRITPSSPCVIDSKFQTESRQGLASIGLPNEQKQLALLKRPLETQLSNKGSSNLLSTCENSCRLFGFPLTESSHVHKECNPNWVQSPYIQQSSHLPQRDEQFYSHSLSTTKMVGGSCTKASDIYTARDMLLDIAM >KZN05112 pep chromosome:ASM162521v1:2:20243984:20245611:1 gene:DCAR_005949 transcript:KZN05112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVKRAVCNKIITDHCSLHTLSSDEYVKIIEIYGRDRALQSGKALHGHLVVNGLSRLTHYASKLVAFYTECRQLNDARKVFDKIPQTNVRRWIVLVGAYARHGFHQEAMDVFCEMQVEGVEPNKFVFPSILKASGHLSDKRTGEKIHTVVLKNGFETDAFVVSALVDMYAKCGKIDKARSVFDNFGEKDLVTLNVMVSGYVHHRCVEEALNLVKEMQLTVIKPNVVTWNILIAGFSQAGDESMVGKLFQLMQDSGVEPDVVSWTSVISGFVQNFRYMDAFDTFKKMFGVGMLPTSATISSLLPACATLADLKHGKEIHSYAVVRGFEEDTFFGAIKFVHGCWKLERFCQSEKEDEEKKTGEVARLQLDQ >KZN05260 pep chromosome:ASM162521v1:2:21814052:21816994:1 gene:DCAR_006097 transcript:KZN05260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLPDDLWFQIFLHLPVKDLLASKCVCKSWLANISSRRFVTYHLRRSISSGEDNETLIVHHSVNRARHGWGPFSLTHLTSGDVLEQLDSPYEALKVWRVAPMRPRCFNSADSTLQLSQMYLLTHMPVFAEEIIYFFESFCGKVLRLRVFENRHYPTCAAFMEFATIVISVSQPPADGVYSSASSPSIQGSLK >KZN06465 pep chromosome:ASM162521v1:2:33133048:33135570:1 gene:DCAR_007302 transcript:KZN06465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTHLGNNNGPLGNRKNVADLGQDDGCDERNRDKEGWTTSILDGDKHSQLAKVFKHWFLLEPDLVEALRKGNHALLAMALARVDFLLKVVPSELLAEGFVGEEEGLCRVHRFLLNNGWWERACNLKINLPMGRGQGMSKDPLFDFLQAHENMIHPNNRVGALKGENEAVRMALNQIHYGTIEARRQTKSKEAKQPTPRRSSKNLSQVRILNEFLEAFSTLVEPSVLKDALSGNDKALSLALGQIHHKTLPSLGVDTRKKSFKAALLSPAKRSLTKAAFQARSSSIHDAPNGKISIFFTGFDESVHARELWQMFKRVGKIVDIILPRKRDKYGNRFGFVIALNHSEASKIIETLNGRQSGASKLYLVVAKKSKLKEYASVRSSRKGDSRQDSSHTNIGVDPKENGVVSNKSSGKHPASQPIVGERIGCVSLATEQLQVDTDSQPDYSSDGHIYVEENMDIKEADNGTADNWQVQETVVQIENDMTTELDIHTEEEGEDGSCINPVTPRAVPEVPLEIPSLNRDDQPEVELRTSNWMPREKDSSISLQVTSSDKIEQPNLDDSEVVDSDEGMECLDVLQDLQNLKVQIKRGRPRKFNKKQVNKHFKLPRKKKVRGEGLKQVSHFFLNANVDEAEAVFETGVLMGLLPLNSKQRSMELIKENLQ >KZN07573 pep chromosome:ASM162521v1:2:41924651:41930224:1 gene:DCAR_008410 transcript:KZN07573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGPRTFPGGLNKWQWKRLHEKKAREKESKLLDQEKQIYQARIRSDIRAKIDDSSRPIESNYSPISPKDHVKALADRFMKEGAEDLWNADDGPVKVPTYKPNEGPGAQNEGLTNGRNLARNYGVGGFGGLGGVSKPRFYSVGASVRKRPGRYRFRGDDSSSSDADDGSEIEADGNAGRNVKWPRFGVNGIVEDGEGEEEGSGSKKMMVSSAALGNYDRKTTKRIPLKFLENEEDLSQHVAMIRKEFIERSSVKVEEKSDEEESIMSEKRFDEFDVSPLTVKALTSAGYIQMTKLPAIETVLKASRLKSRLRVPPVYVLILCPTRELASQLSAEANVLLKYHEGIGVQTLVGGTRFKVDQKRLESDPCQIIVATPGRLLDHIENKSGLSTRLMGLHLLVVDEADHLLDLGFRKDMEKIVDCLPRQRQSLLFSATIPKEVRRVSQLVLQREHAYIDTVGLGLETHAKVNQSYLVVPHEQHFQMVLHLLKEHISQVVDYKVVVFCTTAMMASLMFALLRELRLNVREMHSRKPQLYRTHISDEFKESKRLILVTSNVSARGMNYPDVTLVIQVGIPSDRANYIHRVGRTGREGKAGEGILLVAPWEVHFLDEIKDLSLAKISLPHLDPDMKSKVEDSMAKVDASIKEAAYHAWLGYYNSNTEIGRDKTTLAEHATKFCSSMGLERPPALFRKTALKMGLRGIDGIRIRK >KZN06960 pep chromosome:ASM162521v1:2:37153958:37163910:1 gene:DCAR_007797 transcript:KZN06960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSQKSNETNSDVIGNVVEAIATDSIGDAPLYQVESLCMRCQQNGMTRFLLTSIPNFRKILLSAFECTHCGERNNEVQFAGEIQSRGCNYCLKIQPGDRKIPELDFEIPPEAQRGSLSTVEGILVRAGDELQALQEERKKIDPHMAEAIDSFLLKLRACASGDSPFTFVLDDPAGNSYIENPFAPSLDPSLAIKFYERTPEQQALLGYLANPSQSEEHGGEAPAEGTNDGVAHVIREPHGSVGARAGRRAIAQGAGTEISDALFRYSAPEEVMTFPSTCGTCSVSCETRMFVIKIPYFQEVIVMASTCDACGYRNSELKAGGAIPSKGKKVIVSVKNIKDLSRDVIKSDTASVMIPEVELELASGTLGGLVTTVEGLITKISESLERVHGYSFGDSLDDNRKNKWQDFGAKLMKLLSVEEPWTLILDDALANSFVAPVTDNISEDHQLTFEDYERSWEQNEELGLNDMDTSSADAAYNDAPMERID >KZN05681 pep chromosome:ASM162521v1:2:26263054:26263254:-1 gene:DCAR_006518 transcript:KZN05681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIIGHRAYLYSMVEKALWIKLAQNDHVPGPYHGEGRGPNSTYLSLFVSQRFRFGSVRFECRKVI >KZN04492 pep chromosome:ASM162521v1:2:11863895:11865909:1 gene:DCAR_005329 transcript:KZN04492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRPPSVWPPPTVWGLGLLGYGYHTCKKIIRIIRIWDTFSFRTPYDAVMNFLLLDTENEEYWVVAPILDKGKLFPEACAGLLYWVHDFKIVHTAPAVNPIKTGKMLLFGMNTGLLDFVGGNSIIPCKLDMCERPMDSSTLENDNVLTGKVYLSSTAATTFDFNPKCAHAMHLSSKVADAVHEFICDVPSETPIQIRGTANTDQLYKSLNALVTETLWAHQKETDPGARKGWRHSSQTIDHGKGPKQLTGMHVNDYIKYYSMAKDVYAVPTRLKKIRGATCSIRVELFPSNYSNTTGNIIIREICQYTQLIKSFSMGGHVD >KZN07352 pep chromosome:ASM162521v1:2:40247417:40257126:1 gene:DCAR_008189 transcript:KZN07352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVYEGWMVRYGRRKIGRSYIHMRYFVLESQLLAYYKRKPQDNVVPIKTLLIDGNCRVEDRGLKTHNGHMIYVLSIYNTHEKYHRITMAAFNIQEALIWKEKIELVIDQDAFGVTDNVNYMQHQESLAANGKKYQSFGYKSGRDNGRNGSSSDQESQFSAAEDEEDSQPDLPRRTTIGNGPPDSIFDWTQEISDLSKHNTNSQALSTKHWRLLQCQNGLRIFEELIEVDLLPKSCSRAMKAVGVVEATCEEVFELVMNMDTTRFEWDCSFQYGSLVEEVDGHTSIVYHRLLLDWFPTFVWPRDLCYVRYWRRNDDGSYVVLFCSREHEKCGRQPGFVRAHIESGGFNISPMKPWNGRPRTQVQHLMQIDLKGWGVGYASSFQQHCLFQMLNSVAGLREYFSQMDKINAPPRIPVMVNLASASVPARKGLKLQKSGNHYRSQSLDQISAYNKKSLLDDSDDDEEYQLANGEASTTILENDVRRIAIEEEPKDELDLSCFSGNLRQDDSENGRDCWRIADGNNFRVRSKRFCYDKSKVASDKGYFSLVVNLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVIGVMTSLVVEMAFLVQATTTDELPERLISAVRVSHIELSSAIVPKLELDPPE >KZN04046 pep chromosome:ASM162521v1:2:1185271:1188746:-1 gene:DCAR_004883 transcript:KZN04046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVITLWCKQDMIRTFKPSSFFSRHKHTPRGQDFLESPEQQRRLIRTINRDYEENRYCRFSSNKIKASIESISSSCSDKPTRDINQLGQTFGASTLEHEFILQPKDDEKAKPQNDLWRTWDAFYRFCRLYSVIGTIIGVSSVSLLPLTSVGDLSLGFFVGSLKAVLPLAFVNIYVAGINQLVDVETDKVNKPYLPLASGEYSMGQATTIVSAFGFMLPLLRWKTNPYLAALCMVGASGLTIQLSVFCHIQRYVLGRPIVFTKSLSFSVIFFSLFAAVLAMFKDIPDVDGDQEFGNRTFSVRHGKKKVFTLCITLLLIAYGSAALLGASSSLLLNKLVSVIGHCMLASSLWLGANSLDLNDNASVQSFYMFLWKLFNAEYVLIHFIG >KZN07144 pep chromosome:ASM162521v1:2:38658982:38659157:-1 gene:DCAR_007981 transcript:KZN07144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKRHWPSMFKSKSSVSSQGHQSQHDTSSLISTPTSTVSSDVP >KZN04900 pep chromosome:ASM162521v1:2:17708807:17710195:1 gene:DCAR_005737 transcript:KZN04900 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MAHQRHILLITFPAQGHINPSLQFAKKLTSMGAEVTFATSLSAHTRMAKTLAATKGLHLASFSDGYDDGFKLTDDANKFMSSIRSHGSERVREILRSSAEKGRPVSCVVYTLLLPWVAEVAREIHVPSALLWIQPAAVLDLYYYYFNGYGDAMKNCLDDPEWSIQLPGLPRLHARDLPSFLLPNCHEMYSFALPSFKEQLDVLNADEEPKVLVNSFDALECEALRAVDKLKLIAVGPILPSAFLDGKDPSDTSVGGDLFQKSRNYIDWLDSKARRSVVYISFGSILTLSKHQVEEIGRGLLKSGMPFLWVIRKKEGENGLEEEKLSCMEELEQQGMIVPWCSQLEVLSHPSLGCFVTHCGWNSTLESLVSGVPVVAFPHWTDQTTNAKLIEDVWKTGVRVNGDEKGVVDGDEVHRCLELVMGDEVLRRNAEKWGDLAREATKGGSSDKNLKAFVEDFDQQVC >KZN05720 pep chromosome:ASM162521v1:2:26633524:26637050:1 gene:DCAR_006557 transcript:KZN05720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHLCPLIMFSMLLYSLAFSSAFTPQDYYFSSAQEKSGFSPQDYSSALEKSLLFFEGQRSGKLPPNQRVKWRADSGLSDGSAANVNLVGGYYDAGDNLKFGLPMAFTTTLLAWSVIEFGSSMQNQLENARAAVRWGSDYLLKAATATPDTLYVQVGNPYHDHSCWERPEDMDTSRDVYKVSSSNPGSDVAAETAAALAASSIVFKQSDPSYSEKLLQTAIKVFQFADKYRGSYSGSLSSVVCPFYCSYSGFQDELLWGASWIYQATQNPTYLSYIQYNGHVEGADDDDYSFSWDDKRPGTKILLSKNFLETGIEGLELYKTHSDNYICSLIPGSPNFQAQYTPGGLLYKGQGSNLQYVTASSLLLLTYAKYLDTSHGVAHCGSATFAADKLRALAKQQKIAHAIVIALAISVSNDVTSKPSTQWGQEK >KZN05817 pep chromosome:ASM162521v1:2:27471863:27472090:-1 gene:DCAR_006654 transcript:KZN05817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALLGSVVIGLVGGLILSYWELQYHDLNSELWMVPVGLILFFTPLIVWSSVAVAEMINGPTDVDISSQAKILN >KZN05924 pep chromosome:ASM162521v1:2:28388241:28388789:-1 gene:DCAR_006761 transcript:KZN05924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSSAGSASRPATGKHPVYRGIRSRSGKWVSEIREPRKTTRIWLGTFQTPEMAAAAYDVAALALKGSEAVINFPNHVHWYPVPGSASPTAIRNAAGAAAALIGSESAERSLVSAAEVEYSRASNVCTEMGDHEFIDEEALFDPNLLADMAEGMLMTPPRLNSPPQQNSPPDYYDSLWGHF >KZN05098 pep chromosome:ASM162521v1:2:20163276:20164472:1 gene:DCAR_005935 transcript:KZN05098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSLKRSCTSSSQEVVLRDEDLLTLILLRVPRTRHNLLKSVSKQWQCLITAPHFRNLLPPLRASGLFIQVPVEVSSGDNVYFVPLDDPRTAPLFRNLEKVCILQSCNGLLLCSDALRTHYVYDSSTDQLASLPRHGLDTGNGFRYVGLAYDPSKSLHYKVIAFVTRRRAQLFHHERDFYVYSSETKTWKSSVQSFISGPGMYFNDGVYWKGRMHWLSSLNYVSEPESALSECLYFNVDEERLGTFPRPPIRVWSNSRRSLYFGESEDHLHVVEARPYANSLSVYEMKSDYSEWFVKYRIDLDPISRDFPEIKEKDMFDEENDHEPVVNVLSLIRRENFHEDSFAVLEIPGKAIRYNLVDRSFQLIRDFGADLDFDPKINDYSLCDFTACQYIVNLNV >KZN05053 pep chromosome:ASM162521v1:2:19364791:19367251:-1 gene:DCAR_005890 transcript:KZN05053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSKSKPRSLFLYPRYVYPFAAFLSLSLFLLFQVHNYVSRTKTVAGHNLEPTPWQIFPDTEKSNFETGFTKASKVIQCSYFTCGSGNNVPVQSKLSMSSKYGENCPEFYRWIHHDLEPWHKSRISLAHLMAAKETAAAFRVVIIDGRLYVDSFYGCVQSRALFTVWGFVQLLRRYPGQIRDVDLMFDCMDKPIINRTEHALWPFPLFRYCTSAQHFDIPFPDWSFWGWEEVNVSPWDEEFKSIKKGANSKSWKKKIPYAYWKGNPDVVSPIRLALLECNDTELWGARIMRQNWTEEAEHGFSKSKLSNQCKNRYKIYAEGYAWSVSLKYILACGSVPLIIHPEYEDFFSRGLFPKKNYWPISPTNLCPAIKYAVEYGNKHARQAEAMGKAAQNLMESISMDGVYDYMYHLLNEYSKLLDFKPVRPSTAIEVCYQSLLCYADEKKRKFLERSTAFPSLNPPCKLPPRDDNYIKSWMEKKSKAIEEAEIIPP >KZN04266 pep chromosome:ASM162521v1:2:5711541:5726245:-1 gene:DCAR_005092 transcript:KZN04266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTLFDAAMAADAAAIAQLEMEADTLFQDEETILHLQSQKGNTERVRFILREFPHKNLLTKLTRYKHSALHLAIYRGGHKQVAEILIDAARHLPPPPSDGDDNSVTSFQAFLRQGDKDMDTALHAAVKYGHLDIVKLLVEADPTDTHIQNDDDKTPMYIAVEEGLNDIAEIISTTCTAPSLLGPHGSTVVRIQNLDQAKSPGGSLYKIMDKDALYAAAIAGDADAIAALEMHADKLNGYEETILHTESENGNTEHVRFILREFAEKNLLVKLNKFKQTALHLALSKVHTEVAETLIDAARYLPPSANDNPVTSFQAFLRLGDKDMDTALHIAVINNHIAIVKLLLEADPGDTHVQNHKGKTPLYIAVEKGYNDIVEIISTTCPAQSLDGPDGNTALRIKNLDQGKNPGGALYEIYDIKAVLYAAAIAGDADAIAKLETEADRLNKDEETILHVESKKGNLENVRFILREFAHKNLLVKLDNQKETALTWAAYFGHTEVAEVLIDAARNLPPSDDDNPVTSFQAFLRLGDKDMDTALHIAVINNHIAIVKLLVEADPSDTHIQNNDGKTPIYIAVKKGYKEIVKLICTSCTAPSLKGPGVKTALHAAIKDPDHDNEVVEILIGAARLLSSSDDDDSQSPVSSFQAFLRQGDKDMNTALHIAVMQGNLATTKILLEADPSDPHLPNNKGETPMYIAAEKGYSDIVKMICTTCTSPSNLDGPCGTALHAAIKNLDQDKKEDIDVIRVIIKAMKWMGSGEDSDSDEWDEDTYEHSVELFRKTDENRCTCLELAVDRNYANIVELILAENPIYQSWNAPDFIDLMPLIYRAMDKEYTDIVKLLTSSYERGADITYSYDDMAALISAIKGRKRESVFRLLDDCADELVTFVDNLGWTALHHAAYHEFDAIIYPLIKAQKEVENQFLYEDMILTPFHVAAEKGYTSTVILLMQLWPSSSSAYIAVDKNGQNILHLAALQSKKEMIEGILNYCPEKHKDELVNKQDNSGNTPLHILIRRGCFVPELMKYEGLDIVKKNKEKWTPPDMLYFEDQIIDEQVQIKVALDEIQINQKEDILVSSVLPSKRETKDRIFNKQTKLMMREKHARMKEDLERYKNTHAVAHWFPDAIAGDANARAALEMEADILNEEGETILHVEAKKGDTERVRYIVREFANKNLLVKLDRLKQTSLHLAAHHGHTEVVEVLVNAARHLSSSSANEDPVGFLNAFVRQVTAQKRNTALHVAVNKGNVAIVKLLVEADPSGRHFPNDEGKMPIYIAVDKGSYDIIKVICTTCTALCLDGPAGSTTALHAAILNIHRAKEEDRDVIGMMIDAAKRWSNAEDRPNVSFEALFNKTDMSGHTVLELAVDRNYVDTVELILRENPAYQHGRGSKNSLMRLIYKAIDKEYTDIVRLLSETYEAGITTGHKGVVALIIAINRRDEDSVIRILGEAKHLVTFADDQGWTPLHYAAYQEFVSILDVIIKALKDVKYQFVYGDMVSTPFHLAAECKYTSTVIRLMQSWPASSSAYTAVNKNGQNILHLAATNNKKEMIQGILKYCPEKYKDKILEQQDANGDTPLHLLISNGCFIPELIKHKGLDTMAKNKKKWTPRDMLYFQEEIIADQVQIKIALDDVQTTKSWKFWVKNMEKKTDIDESSVRPSRRETKDVIFNEEKKLLMDAKHGRMKKDLERYKKRTNTQIIVTALITTVTFTVGFTMPGGLHQSGEVDEGLVVLTRKTAFNAFMVSDALALLLSTCSLFLYFLESMYEDPHQVSKLNAASVGLNIVSIMAMMLTFITGTYLVLSHSLAIAITVCLIGSFFFIFVIVLLIKLVYDRQVKRNED >KZN06121 pep chromosome:ASM162521v1:2:30319140:30323544:1 gene:DCAR_006958 transcript:KZN06121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSLDLFDPRTEVMDSNYSSEAVRDGDFGFAFNDSNFSDRTLQIEIIAESPETRDDADGCQSLADWARNRKRRREDIKKETAVDINMGPEEQIVNCNQPDVDDENEDEEAVAMNEEPNSGDEAADSNDSNWSMECSEVLRVKVLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRITVSEEAALMELLNFMYSNTLSVTTAPALLDVLMAADKFEVASCMRHCSRLLRNLPMTPESALLYLELPSSVLMAEAVQPLTDAAKQFLAVRYKDMTKFQDEVMNLPLAGIEAIMSSDDLQVASEDAVYDFVLKWSRAQYPKLEERREILGSRLGRLIRFPYMTCRKLKKVLTCNDFDHDLASKVVLEALFFKAEAPHRQRSLAAEDSPTTNRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECNNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVTFAVDYEFAARSKPSEEYASKYKGNYTFTGGKAVGYRNLFGMPWTSFMAEDSPYFINGILHLRAELTIRQ >KZN07604 pep chromosome:ASM162521v1:2:42143825:42144497:1 gene:DCAR_008441 transcript:KZN07604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINIFVVLALVSAIIAPALATDFVVGDAAGWKTNFDYKTWAAGKEFHVGDKLIFNYPVGVHNVHKADLASFQSCTPSATSVALTTGSDVITLASEGKKWYLCSIASHCASGNMKLAITVLPQGESPAPAPEVSAASGFGGSTHMLWIAAALATLMTMI >KZN06940 pep chromosome:ASM162521v1:2:36984760:36993164:-1 gene:DCAR_007777 transcript:KZN06940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVARPESSDSRVIAHVDMDCFYVQVEQRKQPELRGHPTAVVQYNAWKGGGLIAVSYEARSFGVKRSMRGDEAKSVCPEIQLVRVPVARGKADLSIYRNAGSEVVAILSRKGRCERASIDEVYLDLTDAAEKMLSETPPESLEVIDDEVIKSHVLGISAERRDVGQLNDTHSTTHQPPKLKTQVESKEMFMGRVIAFLLEPSDVRQAVREWICRSNADRRDKLLACGAFIVAELRMQVLKETQFSCSAGIAHNKMLAKLASGMNKPAQQTVVPFVSIKSLLEPLPIRKMKQLGGKLGCSLQDDLGVNTVGDLLQFSEGRLQERYGINTGTWLWNIARGINGDEVESRVLPKSHGTGKTFPGLNCLKTIAAIERWLSKFSEELAERLEVDLEQNKRIAQTLTLHASAHKATESQSQKKFPSKSCPLRYGTTKILEDALNLFQAGLREYLSSSSKAYGNGCSGWGITNLSLSASKIVDIPSGTCSIMKYFHTQDQSCSSTKEFNDKEIFEAATLSTSGTCAVKKNEPQLDSVGEDAVIKDAAGGNRSRDQKGKALKEKEPKEESVNDMQETTESSCSGKADGTVPSESGGIRSLHRGADQSRVDCIYKIDEIDPNVVDELPREIQEEVRAWLRPQKRANNTMRRGSSITHYFSPNKNL >KZN07204 pep chromosome:ASM162521v1:2:39078249:39082700:1 gene:DCAR_008041 transcript:KZN07204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPFSDCDSYSYSSSSSDDQEGSEYMYGGQACSILSSLEETIGKIDDFLSFERGFVRGDIVCSVEDPSGQMGKVINVEMIVDLENVYGAKTRHVNSNRLGKLRSISVGDYVVGGPWIGKVENIVDHVTILFDDGTKCEYTAMGPDKLIPISPDLLDDPQYPYYPGQRVRVELSSTAKSSRWLCGPREGKQNEGIVCAVDAGTVFVDWLACALVGSAELAPPLRLQSSKNLTLLSCSSHSSWQLGDWCILPLIDEMGVTEYPSLNSSKVGVAQTERAFERSSALKLQNLYVIVKTMTKADVLWQDGSQSFGLDSQALFPINIIDAHDFWPDQYVQDSDDHHNSDNPRWGVVRSVNAKERTVRVRWETSRADHVNDPEAMEEEIVSAYQLVEHPEHSYCIGDAVFMMHKGHLSEIVGGKEYKDHEIGKGFKHEAADRSADPCHREDQNEFSSNRYLSRFGIIVDFYNGSIEVKWGTGSKTKVAPHDIFRVDKDEGLSVTSVLPNENAEELNGTEHNDHSMGHEEKELLNSNVDGNDCQRNSRDTSSLSFPTAIGFFTNLAASLYSSLGFASTLNTSDLVPRNQAQSEMPNEIEVVELSDLCTADQPLVAIELQTSAETNLEQKRGQFLLPSGSKSPKEFGQFDMVTDCLDHHFVDGSGKSLTFSQMKRGWLKKVQQEWNSIYVRVYEERIDLIRAAIVGAPGTPYHDNLFFFDIYLPAEYPHEPPHFEELVKEHFTRRCNYIVEACNAYMKGVPVGSISGHKIVDKEIGKGSSTGFKIMLAKLLPKLVEAFSENGMDCSNYIQSKE >KZN03983 pep chromosome:ASM162521v1:2:206046:208887:1 gene:DCAR_004845 transcript:KZN03983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSIVVGRLTDLLTEEAQFLHGLRDEIQQAVNELMRMKTFLRDADSRIDEEKIRVLLGEVRELAYDAEHVVETFLLKAYSASERNRTRQAIKYSKKIEEIEMKMSRIFDCFVEYRVKSTSESSEPSDSSYGASGTLKRFYSYATREPEIFVGFQEDVDRLVGHLVNESEDAYRLISICGMGGLGKTTLAEKIYHHSTIKTYFGGLAWVSISQKWQRKQVLQRILIGLVPERRNEILNFDKDKLVENMLQIQQSKNCLIVLDDIWSIDAWDSLKEAFPAGKSRSRLMLTSRNVDVAEHANPNGFIHRPVLLSPDQSWELLGLRALPKGGDCLDITRDVKRMEELGRELVKNCGGLPLAIVTLGGILVTKPSLIEWEKVYNDSLLSLKSGEAGLGKKYQSQLLYVLNWSYNDLPPQLKLCFLYLGKYSEDESIDAETLYQLWIAEGMVLSSDKREGETMMQVAESYLGELVHRSMVQVKFNNEKSFINFKSCSLHDLMRDLSISQAEAEEFFKVIDLREKKDFHLSPLADFKPSNTRQLVVHFDDGYRGKRSHPYFSKKFNQQKYRSVSLFNELGTRSLPPALGSRIANFRRLRVLSLEVAADMYICCSPSGINLGKVLGTLVYLRYLKFDIYFPPWLSMNILSMLGRLRHLYLPSQGVHSTSKKSKLRFNGLSQLETLANFDTVWCEVKDLVALIRLQKLKVIAICDDMEEMMENLAALALSSSSCLQYLELFISTHNQTLDNSKDMLRKLLWNYNFNLQKLEIAGKLPELALLLEQQPQQLLHTHMDVSVIRITRLTLWDSCLKEDPMPVLEKISTLRELHLNGFTFMGEEMGGERKHALSISVDDFWMF >KZN06015 pep chromosome:ASM162521v1:2:29160723:29162919:-1 gene:DCAR_006852 transcript:KZN06015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFRSQGFVVKPTLNSVKNLHRTVHLGGDGSAADCADTTLRLDFPGSSVSAGLSASKGIKRKWSLSGGSVEQQIELPLCLGLGRASSSSDSKGSSATACTTVSSAKETDEGSSMDLELDFSLHLGNEKAPYLNNCVTSNNNAPILRPKVNLDLSLSFMPSESDVSTTHPCLSPSHHVIEYPKAVGGTNNKGEKSTSSHWKRRNHVPPSLFIEQSLKELKPTPTTPEISSSIVTTPKSSVTCTSGITQQRSSNTKTCQFQGCGKGARGASGLCIAHGGGRRCQKPGCHKGAEGRTAYCKAHGGGRRCEFLGCTKSAEGCTDFCIAHGGGRRCSKEGCSRAARGKSGLCIRHGGGKRCTKENCTKSAEGLSGLCISHGGGRRCQYPECTKGAQGSTMFCKAHGGGKRCTFEGCTKGAEGSTPFCKGHGGGKRCSFGGGGVCPKSVHGGTLFCVAHGGGKRCAVPECTKSARGRTDYCVRHGGGKRCRFEACSKSAQGSTDFCKAHGGADSPCHTLARGKSGLCTSHSGLVQDKRVHGGATAGVMFQDSNTSGIMNKVITTEDTCGDYMMMDNTGVQIAGCIGSTWRDGSLSVGLGVTSAPALIPEGRVHGGGLIALLSGSSESMLDSNRNFIVGHPSEPGKFYVRPTRV >KZN03967 pep chromosome:ASM162521v1:2:30929:38228:1 gene:DCAR_004829 transcript:KZN03967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGITQPQGQVMPKVEEGVEAEMMEMDKDFLCPICMQVIKDAFLTSCGHSFCYMCVVTHLENKSDCPCCAHFLTTSHLHPNFLLNKLLAKTSARQIAKSSTPFEQLCQALQQGCEVSVKELDSLLAMLAEKKRKMEQEEAETNLQIMHDFLQCLRKQKLDELNGVQTDLHYIKEDIGAVERQRIELYRARERYMVKMRMPTEDTSAKPAWHSLIDKRSSAIMSSPNVQGQSWMGSGSLQNRRADAKALENPQMLQRKDACSGSDSPHSTQSAVSVARKRRIHAQFNELQECYLQRRRHQDRKAHKQEDGDITAVSRQGYCAGLEDFQSVLSSFTRYSRLRVIAELRHGDLFHATNIVSSIEFDRDDEFFATAGVSRRIKVFEFSSVVNESADVQCPVVEMSTRSKLSCLSWNKYTKNHLASSDYEGIVTVWDVNTRQSVMEYEEHEKRAWSVDFSKTEPSMLVSGSDDCKVKIWCMKQEASVLNIDMKANICSVKYNPGSSYHVAVGSADHNIHYYDLRNISQPLHIFKGHRKAVSYVKFVSNNELASASTDSTLRLWDVKENIPLRTFRGHTNEKNFVGLSVNSEYLACGSETNDVFAYQKAISKPAAWHRFGADSEGEEDPASYFISAVCWKSDSPTMLTANSQGTIKVLVLAA >KZN05280 pep chromosome:ASM162521v1:2:22051856:22052164:-1 gene:DCAR_006117 transcript:KZN05280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGLVYTVYAVAVDPKKGDLGIIAPIAIGFIVGANILAGGAFTGASMNPAVSFGPALVSWDWTNHWVYWAGPLVGGGQLAGIIYELIFIGGSHEPLPTTDY >KZN04748 pep chromosome:ASM162521v1:2:15313847:15317091:1 gene:DCAR_005585 transcript:KZN04748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSPPSHFPTLSPPNSLSKTHFTLKSTFPLSLRGKRHFPLLSTRVSDNGSGVVVVEEDEKKEEAAPVVESEQKVESSEGSVETNGSPAVAAGAPVEVVVGKYENPKWVGGTWDLKQFQKGGTTDWDAVIDAGLFEASGVDELTYIVHLNLYVVRFLNSFVLGKVKRRKWLEDNPESSNNDFPVVFDTSIVPWWAWVKRFHLPEAELLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLIRKNEDIDNLKKLIDETTFYDKQWQATWQDENSTNSKKDF >KZN04911 pep chromosome:ASM162521v1:2:17870821:17882421:-1 gene:DCAR_005748 transcript:KZN04911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRVSFNIVQTVLDDQAQKDKCFICGQLGHFAADCDGKAKRKAEESYVVPKKPFLFLNIWTLRDYLEYETKILGRHIKIDFERIIDDFILMCFFVGNDFLPNMPTLDIREGAIDLLLAVYKRKFEDLGGYLTDGHKLDLSRVERFIQTVGTYEQKIFEKRARLHQRLAKRIKRDKAHVQTDKGDDADPPLQPDMVPVARFHGSGLTSGVSPAPYADMPVHERPTKAARLSSGSSVGVATVEGESNPMKEACDNKEELEIKIKRILREGSDAFNCENPQEDKVKLGEAGWRERYYDEKFSAKGRKQRESIRKNLVLSYTEGLCWVMNYYYKGVCSWQWFYPYHYAPFASEMRELAKLNISFELGTPFKPINQLLAVLPAASAHALPREYQNLMTDPNSPIIDFYPADFEVDLNGKRFDWQGVAKLSFIDETRLLAEVAKLEHTLTDEEVKRNSTMHDMLFVASSHPLSSFISSNQYNCKQLTVEERNQLKVQLDPEISGGMNGYISLCDGLPCVPISKSPVEGIDDIMNTQVICAIYRLPDEHRHITHPPVGVKLPRKTVTIGDLKKEPVLWHEDSGNNSQKIKKQLFINKPLLLQIPKGAISGQQLADAARTLVLNCLPVKTAGIGCGDQNAHLPPCSASTILEFPVHQSNEHVHELCRIVPPCEPDLPNNSLTSIVITASPQAKSSPHTARKYKEQQQQKGKGKEACGTEVGKEACSAEVIPVPSQSQLAGQKC >KZN05571 pep chromosome:ASM162521v1:2:25199110:25200111:1 gene:DCAR_006408 transcript:KZN05571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEKKIVEEVSGWLRVYDDGSIDRTWTGQSEVSFMAEPAMSRENYVDGVAVKDIDTESGLRVRIYLPEKSDTDSEALPIILHFHGGGFCISEANWFMYYAVYTRLARISGSIIVSVYLPRAPEHRLPAACDESYSALLWLRSLARGNMHEPWLSAHADFTRVFLIGDSSGGNLVHEVLAHAGAEDLSPLRVAGAIPVHPGFVRATRSKSELEQPQSPFLTLDMLDKFLNLALPIGSTKDHPITCPMGDAAPPIGSLKMPPMMLCVAETDLFMDREMEYYEAVKKARKDVELFLSAGVSHCFYLNKIAVDLDPLTSTRTEELIAAITEFIKSH >KZN06413 pep chromosome:ASM162521v1:2:32728175:32728606:-1 gene:DCAR_007250 transcript:KZN06413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEELEQLEKSLENKLSRLVKKKF >KZN04862 pep chromosome:ASM162521v1:2:17394940:17397910:1 gene:DCAR_005699 transcript:KZN04862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTFESFKSKKILNSVSKTPSLPEAVKAPIESPWSSKTPEKSVNPTRRLRNRGAALSLKEVRQCAKKLQNRDPKTRPDPVTASDEQISSEPVQSPVAKPKRSVKLPEQYEILDKLFSSLDSSIRLLRIKGTVSTFANISPKMECLTDRRFSHGQLAQLKFIFPEAIEIEKILMRDERTCCMKPDLHVTLNFDAIESDGKSKSSSSNSGSLLLRKAFRARLFKFLEAHPEGDEVPEATLPEPFNKPPQGSLKMDTIDASNVSATKQKSVLFSQQPVPPSHLPPSFRRGFSKRTLSTEAVNTSQVQPIAVCPAKFLPVSKQFDLSSEKETSASIQCIDFPVRSALSPKELTSGGSRACPLSSRPPATPIKQFSSTKNEVSSTVSDSIQVTPVKSFSKSSKLLSTPAKLTSGEETPEKLMSATPVLQPPKRCYMSPDADTMSSPNKLVRRPQRSRSLKFDTPKKKERIDEVFDTPMKKRRVEETTTTGGGKLSLDDDIFDILPQNLLLSIREKEKKVLEDQDPAISQAKRRQQMIASLPKLFDMVHYFFQSIKRSAITKDELLHKIVASHLDIVDKSKLCRLEVAQNK >KZN05021 pep chromosome:ASM162521v1:2:19001508:19003261:-1 gene:DCAR_005858 transcript:KZN05021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDAKPALATSSVFERGNLEVKGIKVEKSAERKALFIVSPTKDGKYPVFLFVHGCCVSNTAYSQLLQHVASHGFIAVAPGDPLVSSLLCCCGDQELDSDANVLDWMPDGLPALLPDNVTPDMTKIAVGGHSRGGKIAFALAISTTNLKISAVFGVDPVAGGSVDNRPQPKIFSYIPRCLDLNIPVAIIGTGLGSQAKCIIPPFAPCGVNHAEFFNESKPPIYYFLAKDYGHGDMLDDGIEYWFTKLLTKHGQGSKELMIKACGGIIVAFLNAKLKDEEEDLRIIGEEPSVAPIKLDPVLYVEN >KZN06379 pep chromosome:ASM162521v1:2:32343890:32351151:-1 gene:DCAR_007216 transcript:KZN06379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFQGQLVPEWKDAFVDYGRLKKDLKKIHLLNVENAPSNHHESSIRKTIFSSIRKISSFGNKRRDHGIIHVHKKLESSESKGDMYETELLEQFADDSEAALEFFSCLDLQLNKVNQFFRNKEKEFLERGESLKKQMEILLDLKIAIKSQRANEDDLKEDDSISGTISCDEESPRCITEQEREQGNEKDDQFDQNDIDFSGSSKFDEAMKSIKMKRVDSNSRTPSGRFFSSQGKNLKIHIPLTNPTRAFSYLLWDDLIKQSSRKGAMNGKNVHINKTKINHAGKMIKGAFIELYKGLGHLKTYRNLNMLAFAKILKKFDKVTNKQVLPIYLKVVESSYFNSSDKVMKLADEVEEIFIKHFAEDDKRKAMKYLKPTQRKESHAVTFFIGLFTGCFIVFFVGYGIMAHIAGMYRPQSDTVMFSLLFLHFFLYGCNIFAWKKTRINYSFIFELSPTKDLKYRDVFLICTMAMTAVVGVLFIHISLIAKGYSYSQVQVIPGLLLLISFLLLVCPVNVVYKSTRYRLLRVIRNIVLSPLYKVAMLDFFMADQLCSQVPMLRNLEYVTCYYITASYKTQDYGYCLRTKNYRDLSYAISFLPYYLRAMQCARRWFDEGETSHLVNLGKYVSAMLAAGAKVTYEKERTTGWLFVVGLNFVLRLAWLQSVLHYNIGSIDYRITGLILAALEIIRRGQWNFYRLKISQKQSWALRCVMSASVVSCFDDNAEAVPGSVNSVDSNESAQEVSIDLKLPRRSLLVQFTCNICGERSQRLINKLAYEKGTVYIQCAGCSQYHRLVDNLNLVVEYDFREDGNGGPDADQV >KZN04893 pep chromosome:ASM162521v1:2:17651888:17657862:1 gene:DCAR_005730 transcript:KZN04893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVQIIYEPVKPKATSISKCEATGSGKDLRSCDVLTRPIRKNSYQTKQADKLQGSKFSAPAVEDTATTQHMKRVAPIWLSLVSAVNQEGVRALPQIPHRYLMIKVPPHMFLMLNKGNSSGPYSFERRRGANGRRIFSSQGSDSPLDNPQKSTDGRSQGSRSDMNLCKSDSFNKQFSAVESSKQQIRRKSRDKTQKNKRMDLVSNLLKPLTEVENSRNNAKTVIKGEISGKATDISKCEATSSGKDFRSCDILARPIRKTRYQTKLADELQGSKFPAPAVEDTATTQHTRRVAPVWLSLVSSVNQEGVRALPQIPRRYLTIKDATVPISFIKKYLSQKLGLDTYEERRRGANGRRIFSSQGSDSPLDNPQKSTDGRSQGSRSDMNLCKSDSFNKQFSAVESSKQQIRRKSRDKTQKNKRMDLVSNLLKPLTEVENSRNNAKTVIKGEISGKATDISKCEATSSGKDFRSCDILARPIRKTRYQTKLADELQGSKFPAPAVEDTATTQHTRRVAPVWLSLVSSVNQEGVRALPQIPRRYLTIKDATVPISFIKKYLSQKLGLDTYEEVEITMRGSALPSSLELHAVVDLWSQTMSSSGKLPAKVGESAENFVMVLSYGRKYLPV >KZN05684 pep chromosome:ASM162521v1:2:26285290:26287667:1 gene:DCAR_006521 transcript:KZN05684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNTSFYQFSDQLRVQTNNFQNLSLNDSIWSTSYGSKKPETRRNFDIRVGGGLNSTPTDSVSQTDNNPKSDFNGFNHSWKIESPQVGSQFGSNSNGSFNKGVYSKPSFNFNSVNGVNSNVVSNLKNGFNGKMIDQEEGNFNFNFNQPQQQQFHGKSVKKNKNKNNNNNSESGNKNGGENKNGVEKRFKTLPPAESLPRNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPTAWEDKKNQGESRFPAQVRVMTRKICEPLEEDSFRPILHHYDGPKFRLELNIPEAISLLDIFEETKA >KZN06466 pep chromosome:ASM162521v1:2:33140139:33141134:1 gene:DCAR_007303 transcript:KZN06466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHWEIPEGGYKKINVHCVILDAPLPNGNQVSIASLIRDSTGAKLWGALGPLPLQSEEQAIMTGIQAALIHAQEKGWELTHIETTSMQVYDTVTHQEHFFLDDVQLEAYGAFNTIYANHYEKDRTKRVIACIPARMNGSAAYMANYGLNHASEFGEISGLVGELEYYLARDMGMTLPRPTLEVALNIGDGEVIDGPPPSKKRKCAQQDAMQKRVFKDKGKDKVYEHFSFNDNGLISSRALHALESNHLSDHSPEFSKDVINLDAPVGTGIYARDVLHHAVTGSLQSIIPKLYVSNPFSRDFDLKKLMSVDKVLALMGFTKGKASSSAGPV >KZN06633 pep chromosome:ASM162521v1:2:34571905:34572153:-1 gene:DCAR_007470 transcript:KZN06633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKVNEATKKMRKQQEETGKENQEIMFQMYEHHQNMNAQQQTVLLQCNKGKASKFKRSSSNLEEDGVNSAILLLAAIVCTR >KZN07321 pep chromosome:ASM162521v1:2:39993966:39997580:-1 gene:DCAR_008158 transcript:KZN07321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNQFISDSPKSFRAYPRGEFDLESGTVRKTRKHKKSRFHPMRLFKNLGSKIRYYYKLHPYVVFFIIVAFGLAVVLVLSFYETRFRVVGDFKKVEVELGQYPFDKLRNLVMVAGHSVYTSSSCEKVDKENSWFLESYQNHPGQAATFVRHIKDGVDITANDDEALLLFSGGETRKDAGPRSEAQSYWTVAESKGWFGKPEAVKWRALTEEHARDSFENLLFSVCRFRELTGSYPHNITVVGYDFKEERFKDLHRSAIGFPETRFFYAGTPASLTSKEAALKGEALVRAQFLDDPFGCSGSLHRKKIGRDPFHRSIPYPNGCPEIKGLFGYCGKTPYHGYLPWV >KZN05294 pep chromosome:ASM162521v1:2:22268192:22268833:-1 gene:DCAR_006131 transcript:KZN05294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAHRKLLQRDENETLTNSTTGRDESESVASPFNSSMTLTVVVLLTALFFLGFFSVYIRRFSDEPPPLPRRRFRRAPTITASSPGSSRLSHSKSGAVSSAVRSLPIVSYCGPASQQIDCAICLGEFEEGEKVKMIPCCKHVFHPGCIDTWLSGNVTCPLCRSTKMDVIEEVERRWTVDERDTWRMEGVVNFRRTRSLSSLSTCVALQRSNSF >KZN07280 pep chromosome:ASM162521v1:2:39634876:39639947:1 gene:DCAR_008117 transcript:KZN07280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHIKSLIFFSVLIGCICVSQSDYLFNVCGSNGNYTNNSVYKTNLDKAFDNLLFAANTSNSGFYNASFGEESNRVYTLVLCRGDVQPDICRSCVKDSINMLKEQCPHQKEADEWYQECLLRYSNTSILNTLVTEPTRMHSGTVASDKAQFNQDLRDMLDNLKAQAIQQKFATAKQTTQDRTIYGLMQCTPDLSSTQCSNCLDKAIENIPTCCSGRTGGQVLKPSCRLRFEIGPFYDGTPSVDIQTPSAKQPPAVAGTDNNKKQTIIIIVVVIVGTVTLLLVLFCIYKRKGKPRTAAGILPKDMVNLQTIQSIQYNFGTLEVATNCFSGGNKLGQGGFGAVYKGTLQNGERIAVKRLSAGSNQGQQEFINEVVIVAELQHKNLVRLHGYCYEGTERLLVYELLPNASLDHFILGMYLEFWMVFSVVTEFGDSLGSSDAFKEHGFIHIHHPTYLTKSGSCSLSGSKACREKMRRDRLNDKFQELSSILEPGRTSKTDKAIILSDCIRMVNQLREEARKLKDSHDILQDKMNELKAEKNELRDEKQKLKAEKEVLEQQVKGLHTQPGFLPPAVVSSPFAGAGQFFGGKMVPFMGYPGVPMWQFTPPAAVDTTHDHVLHSPVA >KZN05914 pep chromosome:ASM162521v1:2:28307919:28308272:1 gene:DCAR_006751 transcript:KZN05914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNVVGMLMFGKEGSVVGMVGNDAGRGGNTILGTVVGIVGSVGIVGSVGRGVVGNGGNATFGSVGTAGIGGNETLGKAGIVGSAGAEVCSKWRAPELTSMTESDSAATKDRMKQCL >KZN06580 pep chromosome:ASM162521v1:2:34150196:34151665:1 gene:DCAR_007417 transcript:KZN06580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQPSSSRFRYLCYILVYLSTFSSITFIYWSYSSDFHVENMFRDEQQQLSIDLLSYRSAWNHLSFPSTPPQKLLKIALFVKKWAIKGRAGGLERHALTLHLALAKRGHELHVFTTSPPNTSFPEYPMIKSLFFHLTKPTAAGYLDQASVWNQFVKENSTGKPFDVVHTESVGLRYTRSRNISNLAVSWHGIAYETIHTDIIQELLRSPEDSQNHAMTERVTKVVEEVKFFPSYAHHVATSDHAGDILRRIYMIPEERVHVILNGIDEEIFKPDFDMGNDFKKKVGILDSKKLVLGIAGRLVKDKGHPLMHEALKQILLENSTFRDDVIVLVAGDGPWGSRYEDLGTNLLVLGPLEQAQLASFYNAIDIFVNPTLRAQGLDHTLLEAILSGKPVMATKVASITGSVIVGEEVGYTFSPTVSSLKESLYKVWKDGKQNLQQKGELSRQRGLTLFTATKMAACYERLFQCISYDEKQNHGYCLYKPRPVH >KZN07527 pep chromosome:ASM162521v1:2:41592080:41595794:-1 gene:DCAR_008364 transcript:KZN07527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQASYEKMQLRQNYRNLWHADLIAALPCGEYRNAARSGPCVSYLLRKRALYNDMSRYVCCAGYMPCSGRCGESKCPEFCLCTEGFMFCLQQVACIFSIVAMIVGSDELSEASQILNCAADLVYCTVCACMQTQHKIEMDKRDGMFGGQPMGVPPAQQMSRLDQPYPPTAGYPPPQPYGQQPYGYPPPQAQTYPPAGYPPQAQPYPPAGYPPQGYPK >KZN05044 pep chromosome:ASM162521v1:2:19259636:19260133:1 gene:DCAR_005881 transcript:KZN05044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDNFGPPRDYFSINIISFSSKSHSPATLIVAVFFFSIILLAALLSLHYFCRRSNASTTTVAIPVAVPTIALGLDAAAIDSIPIFLHGSVLGKNDNNNNNVPRDQKEECSICLAMFEDGERVKVLPECLHAYHSECVDKWLKNKSSCPLCRSSLDSTATEFANP >KZN05255 pep chromosome:ASM162521v1:2:21687013:21689877:1 gene:DCAR_006092 transcript:KZN05255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKLLNLPILPDSNRLHVFGTIILINNEENASSRFGIRLHTFKSTIKTPDSADMDEGEDYTQILSSAHVDDIDLLIKYPPLDDRALNSQAREIDGMPNEENDVLVERSVQGGVYLSWRTRVPHIHQQHLIVVLQSGNTVTEELEDSEANIDCLV >KZN05361 pep chromosome:ASM162521v1:2:23046744:23048691:-1 gene:DCAR_006198 transcript:KZN05361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKIVVCASLICDRGLTLGDLQGVLQDFFSRLGMSKLRFKPAYNPYTEPSMEIFGYHEGFKKWVEIGNSGMFRPEMLLPMGLPEDVRVIAWGLSLESLATSTRKESRKLYSNYNFPRFKWILNFWFGLISSQDLSYRSDHISVLCLEFANLNYLLVAVSLDNRPTMILYGIDNIRDLFGHKVDLGLIKSNPICRIGIQ >KZN04079 pep chromosome:ASM162521v1:2:1665276:1666284:-1 gene:DCAR_004916 transcript:KZN04079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNYIKETGGDDAHFVCVNEKVIGVTDGVGGWAGIGVNAGLYARELMSNSVGAILNEPKDGIDPFRVLRALDRHKQTPFSTADKEVGFRYYGGKLDDITVVVS >KZN05270 pep chromosome:ASM162521v1:2:21927521:21927871:1 gene:DCAR_006107 transcript:KZN05270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSIISKKKNGIVKLKVVVEKLQRSFLLGKKSWDHEGVPEDVKEGHFAVIAEEENEYKRFVVPLRYLSHPTFLSLLEQAADEYGFDHGGALTVPCRPAQLQKILAQESRRLGLM >KZN07153 pep chromosome:ASM162521v1:2:38708569:38711180:-1 gene:DCAR_007990 transcript:KZN07153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVTWKHYSVFIIIFALLSLINSSCCKPSSPSSCGDGLNIKCPFRLKGDNQKCDASFSQELSCLDNRTVVYLNELWFYVQEINYDDHYIRVVDPGIQKNNSTSLPFHSITQNDLSSVGSFLSFDNLPITMIECPSPVTSTHYINITSPNSSSFSASVMGKYYVYSYAYIVIDGYSSEELEDNCRINKVAWVSDQRYRELSSMSEIHDALVYGFILSWRYFYCPKCDDMVRTLKGYCDTDNTDYRRWACTDYNMCNILDLRSYHLSVSYPYIRVQIGKIAGKVAGIFLGARFSLGIPFLLALLVYRARRRHLSMYDTIEDFLQAQNNLMPIRYSYSDIKKLTNNFKDKLGEGGFGTVYKGKLRSGLFVAVKILANSKATGKEFINEVATSGRIHHVNVVELLGFCFEGPKRALIYEFMPNGSLEKYIYSKEGTEEEVVPLSWEKIYEISYKVATGIDYLHRGCDMQILHFDIKPHNILLDENFNPAISDFGLAKLYATDHSIVTLTAARGTMGYMAPEMFYKNIGGISYKADVYSFGMLLMEMAGRRKNLNPFVDHISQIHFPSWVHDRFSEGKELEMEDVTEEERKLVKKMVLVALWCIQMKPSERPSMNRVIKMLEGDIEQLVMPPKPFLYPQEDTTEIDDPIHSSPNFLLDED >KZN03998 pep chromosome:ASM162521v1:2:301523:303166:1 gene:DCAR_004860 transcript:KZN03998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKVEKIGRSLQVPSVQELAKDESATVPSQYIHSDQDPVILSSTDLPEVPVIDMEILLHGDLMDAELNKFHQACKEWGFFQLINHGVSDSLLEKVKTEVVEFFKLPLEEKRKFGQLDGDIEGYGQSFVVSEEQKLDWADMIYMITLPTDLRKPHLLPQLPHSFRSATVLQ >KZN07524 pep chromosome:ASM162521v1:2:41538059:41542674:-1 gene:DCAR_008361 transcript:KZN07524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSREDYSCFEDQTAPHLVRQLQSFNDHQSNNPTEIYNLTTSMEMINFPQTSKNLDTNSVFWKGFSGDAGGSSSSSKALVSINEPTTTSHDIYHQQQDEFNTKASFGNESNFMLMDDQNDPANMRSCHVFLCGANERPSQGLSLSLSSNNPSSIGLQSFQLRHQEHDQAGNDHMRFDHEFLGPKSVQHHHQQQVMQDGYLEKVAPTNILQYQHQQQGGHQFQIRSSKYLGPAQQLLNEFCNLGTSRNGHDHHHESKMQKTQKLNQWNQVDDENIGTSSSLNKHSLYSLEFLELQKRKTKLLQMLEEVDRRYKHYCDQMKAVVSSFEAVAGNGTATVYSALASKTMSKHFRCLRDGIVGQIKATKKAMGEKETAPPGGTRGETPRLRVLDQTLRQQRAFQQMNMMETHPWRPQRGLPERSVSVLRAWLFEHFLHPVRLWKPMVEEMYVEETKEHENNMHGNADSPDHGVPNLDPHDNNDLINDMNPHNEDHKPTQDQLVRIDSECLSSIINHPERNEAKHIQDHLHQHHQQQFGRISDTFGAVELDFSAYNHQNNFTNASGGNGGGVSLTLGLQQHGGLAFSPTSQSSLYYPREQIENCQQVQVQYSSLLDAGETQNLPYRNLMGAQLLHDLAG >KZN05936 pep chromosome:ASM162521v1:2:28546039:28547657:-1 gene:DCAR_006773 transcript:KZN05936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQETQNSHSSTTKNEHLPLVYYHRSPSFNFSINQWLEPSFRLLEPDHPEFSSLSQTVKALVVVGPTPLTGETLDKLPGVEIVVGTSAGVNHMDVAECHRRGVKVTNAGDAFSEDVADYAVGLLVDVLRRVSAADRFVRAGLWPLNGVYPLGSKALKSLEMQLGGKVIGIIGLGRIGSEIAKRLEAFGCVIAYNSRNKKPHVSYPYYANVNDLAANSDVLIVCCALTKETHHLINKDVMTALGKTGVVINVGRGALIDEKELVKFLVRGEIGGAGLDVFQDEPRVPEELIGLDNVVLSPHRAVATPESMVALRNVVIGNLSAFFADKPLLSQIMPE >KZN07536 pep chromosome:ASM162521v1:2:41680832:41684737:1 gene:DCAR_008373 transcript:KZN07536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTLLGTQGFRKGMDLYFKRHDGQAVTCEDFYAAMRDANDADFSNFLMWYSQAGTPNLRVSSNYNAEAGTFSLKFSQEVPPTPGQPEKEPMFIPVAVGLLDSSGLDMPLSSVYHDGKLESIATNGQPVHTTILRVTKKEEEFIFCDITQKPVPSILRGYSAPIRLDSDLTDSDLFFLLAHDSDEFNRWEAGQILARNLMLSLVDSFQHNRPLVLDPKFVNGLKSILCDPSLDKEFIAKAMTLPGEGEIMDMMKVADPDAVHSVRSFIRKQLASELREELLNTVKNNRSTEKYIFDHANMSRRALKNTALAYLVALEDQEYTELALHEYKTATNMTDQFAALAAIAQNAGKTRDEILADFYNKWQHDYLVVNKWFSLQAMSNIPGNVKNVQSLLNHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYRFLGDLVVQLDKLNPQVASRMVSAFSRWKRYDETRQGHAKAQLEMIVSANGLSENVYEIASKSLAP >KZN05737 pep chromosome:ASM162521v1:2:26747379:26748496:-1 gene:DCAR_006574 transcript:KZN05737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTTITGNEEQLLLGIRNADVAGCELLWYGF >KZN07229 pep chromosome:ASM162521v1:2:39285466:39292077:-1 gene:DCAR_008066 transcript:KZN07229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQSLALSLLVVVIFQVLWFENGISVKVSYDHKALIIDGKRRILQSGSVHYPRTTPEVWPEIIRKAKEGGLDVIESYVFWNYHEPVKGEYYFEGRFDLVRFIKTVHEAGLFVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTTNNLFKNEMKIFLAKIVDLMKEENLFASQGGPIILAQVENEYGNVEYAYGIGGELYVKWAAETAISLNTSVPWVMCAQEDAPDPVINTCNGCYCDDFTPNSPLKPKIWTENYSGWFLSFGYAVPYRPVEDLAFSVARFFEKGGTFQNYYMYFGGTNFGRTAGGPLIATSYDYDAPIDEYGFTRQPKYGHLRDLHLAIKHCEEFLVAADPKHQQLGTNLEAHVYYKTSNHCAAFLANYGSKSDATVRFKGRSYFLPAWSVSILPDCKNVIFNTAKIVSQTSLEFTPNRGLSECTIPSSAWSWYEEKVGVYGKDSFTTPSLLEQINVTKDTSDFLWYTTSVNVTDDDKYGETLEALLVIESLGHAALVFVNKKPVGFGFGNHDFASFILTKTVSLKSGSNTIDILSMMIGLQNYGPWFDIAAAGVFSVTLVGLENGKRDLSSTEWTYQVGLEGERLGLDNMSLANSSLWIQGSTVPKNKSLIWYKSTVLAPEGNGPISLNLSSMGKGQAWINGHGIGRYWSAYLSPSTGCTEKCDYRGTYDSFKCLKKCGEPAQTLYHIPRTWVHSGENLLVLHEELGGDPLKISLTTRTGQHLCGHVSDADHPSADSWKPNVDFQSLKPEVRLACDQGYTIVSIPFASYGTPEGVCGAFSHGSCHANILSTVQQLCIGKQKCSVPVSAATLGDPCPGMLKSLAVEAVCRA >KZN05254 pep chromosome:ASM162521v1:2:21682308:21684155:1 gene:DCAR_006091 transcript:KZN05254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIEGSPGSSMHGVTGREPVLAFSVASPIVPTDPTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKGDVGNAGVASVAGSIFSRLVMGAVCDLLGPRYGCAFVIMLTAPTVFCMSFVADASGYIAVRFMIGFSLATFVTCQYWMSTMFNGKIIGTVNGTAAGWGNMGGGATQLIMPLLYDLIQRFGATPFTAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLGALQKKGDVSKDKFSKVFWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFDLKLQTAGIIAATFGMANLLARPFGGYASDVAARRFGMRGRLWTLWILQTLGGVFCILLGRSNSLPIAVTMMIIFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSSQISTAMGLTYMGIMIVACTMPVTLVHFPQWGSMFLPPSRDVVKGSEEHYYVSEWTEDEKQQGMHQGSVKFAENSRSERGRRVASAPTPPDATPNHA >KZN06390 pep chromosome:ASM162521v1:2:32479836:32491770:-1 gene:DCAR_007227 transcript:KZN06390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESSVQLVNDSSTPQTDRRKDKNPVTQKRAVFAPLCTNTPDIRLHSSHDKDGNHKRKNIGTTSTPPYVNPQGRDGYNVLGSPAALTANTLPRSNKIHHGSPHKKKLRQSVGFDGNNEYSPHTPDSLGRDMHQKPAFVTPLTNVEARKSKSNSRFQGFAAAEKENVRVPNQSMQKSNKSKKASLRVHDQNSSMNSTRITPLTPSMAGRPFSLDSGVSASRNYSNSESTVMHEKLNGNQFGIPKRVLDFNECSRENPDPDVYNQTEIPEIHGFEDSDSDISADDDIDYVNEDCDENINSGHEQLYLTHFPATRFYLNIDHPSVHKLRKRYGQPNFYTKKDISKDEEPEPVLPTMKISELKKLTEDYIELYTLCSDETGIIPVIWPNEEIVRLIGKEIYEVELDIEESGEGDKFPQLLKFPLKKNYNFTIALTKENLKEGSKVYKALQIYEVMDVCATHSPKQQQNEIQAQTEVTNVLENHSKGGSPQTGNSTNKTRPRFMVEKESEKEVNEHPPKLKNIKQEKNCRMQAYAPAHLADKIGRIIQIGKIYMIKNFDVKEYTEKDKFRPVPMDRQIIFTVDTRITDLDESQTFVPKNVFDFFQFSDLKHAAEQVTYLTDVIGIIPKTDKIKDFNNRHGKPQKNIKFKLSDGRSKEPGFGKHILSGKAKSNKLCKISDILQLGENFIEDDVVTKITVQAVQEKSQWMHFVCTSCYETCSMRNQDFYCKSCDRIVPEPNKKFTLEIIGSDETGSIKIKLKDRAFRRLLEGTAFDMEAEHLSFPKEFKVMIGQGYTLKLQISKENIEKNSDLFLAVDMYPGFEYEEPKIQQGYSVQQSDSYTAQPSSSSCHLDDISQLDYTGQ >KZN06976 pep chromosome:ASM162521v1:2:37284644:37285652:1 gene:DCAR_007813 transcript:KZN06976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVAAASAAANRRNSATTTAKKTNYTTPNNYYLSLSASPPCNNRYEIYKCQDWNKFLHYLKNYSQGRGQPPLSVRRCSGSHVLGFLKYLDQFGGTKVHKMSCEYYGQAYSSVACSCPLKEAWSSLQGVVGRLRVAFEEYGGSRETNPFGSPLVWLYLEEVKVAQAKARGCRNEENIYGYLTQMNYRVCKKIMLQQY >KZN04068 pep chromosome:ASM162521v1:2:1371480:1372052:-1 gene:DCAR_004905 transcript:KZN04068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLALLFIFCVVVAAALPVFSSNGQGAEDLEKWFRGKGQNTEKLTKLHFYYHDLRGKTSVLVAQQQNSSPKSPKFFGMTSIMDDPLTAGPEFKSKLVGRVQGLFSSSAINEPSHLLCVMNFVFTDDKYNGSTLTVVGYNPTLQKHRELPVVGGTGVFRLARGVAQLKFFYFDVPGGNATVEYNVIVQHY >KZN06537 pep chromosome:ASM162521v1:2:33841765:33845171:-1 gene:DCAR_007374 transcript:KZN06537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQENITWDVEEAGEIRVSSREHHYRSAQTLSASLLRTKSDPELVNKVRFRCLKNFVANLQQVIFGTKLIVLFPAIPAAIAAESYNLGRPWIFALSLLGLALLAERISFVTEQVSFYTGPTVGGLLNATCGNATELIIALLAIRQGKIDVLKYSLYGSVLSNLLLVLGSSLLCGGLANLYKEQKYDRKQADVNSLLLFLGLICHLLPLMFKYAIKPSSDLVQGSILQLSRASSIVMLIAYVAYLVFQLKTHHQLFEAQEEDEGNKETEEKPEIGISSGLVWLVGMTITIALLSEYVVGTIEDASTSWGISVSFISIILLPIVGNAAEHAGSVIFAFKNKLIPLCVLVGWIMGISIDLDFGLLQTGSLALAILLTAFTLQDGTSHYLKGAVLCLAYIVVAACFFVQKLPTSNHIASRNSA >KZN05752 pep chromosome:ASM162521v1:2:26930946:26933771:1 gene:DCAR_006589 transcript:KZN05752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKHLLAAFCLWVFAFTILHASAEGLLRIGLKKRQLDLDTIKAARSTRLESTYGKSADTRNNLGDAAGDILPLKNYLDAQYYGEISIGSPPQKFSVIFDTGSSNLWVPSSKCYFSIACWFHSKYKAKKSSTYTEVGEDAAIVYGSGSVSGFLSQDNVEIGDLVIKDQVFIEVTKEGSLTFVLAVFDGILGLGFQEISVGNVVPPWYNMVDQGLVGEEVFSFWLNRNQDAEEGGELVFGGVDPKHFKGNHTYVPVTEKGYWQFEMDDFIIGNMSTGYCDGGCAAIVDSGTSLLAGPTAVVAQINHAIGAEGIVSMECKEVVSLYGDIIWDLLVSGVVPDAVCSQVGLCFLNAGNTHVSSNIKTVVENKTVGVQDDLLCTACELIVSWVQYQLKQSETKEIVLNYANQLCESIPSPMGESAINCKSISDMPNITFTIGKQAFSLTPEQYILKTGEGPAVTCLSGFIALDVPPPSGPLWILGDVFMGVYHTVFDYGNLQLGFAEAA >KZN07641 pep chromosome:ASM162521v1:2:42386654:42387034:1 gene:DCAR_008478 transcript:KZN07641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNTASLTLLLSLNLLFFAVVSATDSVPNLPGSATSSYYPGGKCDLLKLGVCANVLNLVDVVVGSPPTLPCCSLIEGLVDLEAALCLCTAIRANILGIDLNIPIALSLVLNNCGKQVPSGFECY >KZN05872 pep chromosome:ASM162521v1:2:27961610:27962557:1 gene:DCAR_006709 transcript:KZN05872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVIFQYLISCILLSALFSEAHPSPFEFLKNLQGSQKGDKPQGLHQLKNYLTKFGYINSNIQPNVQSLESESNNVDHIFDETLEAAIKTYQLNYHLKPTGILDAKTVSSMMLPRCGVSDITNGHSRMRAARKRAPHHRHHHTVSHYKFFSGSPKWPAFKTNLSYKFENNTPADAASAISRAFDKWASATHFTFTRIENDTLASSSSTSDITIGFHCRDHGDGFPFDGPYGVLGHASPPTRGSLHLDADEPWSIGPMAGHIDLETVALHEIGHLLGLEHSSVEGAIMFPSVSDDMTKDLHGDDVQGIRELYRTT >KZN07523 pep chromosome:ASM162521v1:2:41531785:41534350:1 gene:DCAR_008360 transcript:KZN07523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKASQKDTEKLLVWEHMRSTRALPKLMVWLILFVIATYAVYTLKLVLNSRSCDDELFPAVSHKSLLMHKIDSDNFTMLLENREIHRKTEVKDIVFGIAASAKLWKKRKNYIKLWWKPGKMRGFVWLDNPVKGEKDEGLPPLKISGNTSQFAYTNRQGHRSAIRISRIVSETLNLGMENVRWFVMGDDDTVFVTDNLVRILNKYDHNQYYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALVKMQDKCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNVTRVQALRKLTIPMKLDSAGLIQQSICYEKIKTWTISVSWGFAIQIIRGVLSPREIEMPTRTFLNWYRRADYTAYAFNTRPVARNPCQRPFVFYMSRVRFDSFTNQTVTVYDRHRVTHPACKWNLTSPAEIERVVVYKKPDPHLWDRSPRRNCCRILESKKRSMVLDVGLCREGEISVI >KZN04565 pep chromosome:ASM162521v1:2:12848403:12851359:-1 gene:DCAR_005402 transcript:KZN04565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMERLRIFVAQEPIVAASCLIAGFGLFLPAVVRPILDSYESSKQVPQPALSDVVAGMTGKKQG >KZN04743 pep chromosome:ASM162521v1:2:15287880:15294732:1 gene:DCAR_005580 transcript:KZN04743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANTTHSQLAFHSQLTTLVSDNSAADLQKAWHLTSLVHANGSPIRSQQLASQCNKLTLCLIEFLCSMPGSPLCFTPNRLVSITREAVVGFTRFLWFLRSGLAVVPRSLRYVGPKISLLQNVTKVYFRKRKRNVAGIQILPAADIRAFGEKYPPIVLNISTETQTVCAKKLKQNYIENGHPESSSTFPKNQVQLKSLPAFEGFTVEEEEGLGNKAWHLLSLVHANGSPILLKELASQCKFTLCLIELLCSMPASPLFFTPNRLVSITCSAFVAFTQFLWFLRSGLAVVPRSLRYNGPKTSLQNVTKVYFRKRKRNDAGSQILLGADICAYGGKYPSLVLNISTETQTACAKKLKQNYVENGDHESNSTFLKNQLQLKSLPAFEGFTVEEEEGSGGSGTVYRAKRKCDGVIVALKCPHANASRHHIRKERKMLKKFRGKNFIIEYEGSFKHGSADCLVLRHVEHERPEVLMKEIDLFNLQWYGYCLFRSLASLHKQGVIHRDVKPGNFLFSRRVTKGYLIDFNLAMVLLKSPFQSPKIDVWSAGVTLLCLSTGTSPFDGDPDQNLIDIAKLRGSEDLWEVAKLHDRESSFPVQLLDAKYLLSKKLRDWFKLNTNRPELLEDLPRSFFDLVDKCLTVNPTWRISAEDALKHQFFATCHEELQLQRWLRRQRLG >KZN07038 pep chromosome:ASM162521v1:2:37861520:37861729:1 gene:DCAR_007875 transcript:KZN07038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTARLAPMMFSSKVLRPSIFQPKVELPQSSTRTPFSAVAPPCRHDQLPQPFFRIQPQKNLETIFEE >KZN05762 pep chromosome:ASM162521v1:2:27011217:27025524:1 gene:DCAR_006599 transcript:KZN05762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPAIPHSILQPPQTSTSLTNFGPRYWGPVPSFQVPQGLPGPPRLPGPPGLAPVSSSNMNVPSPFVNSSSPYATPMGSNASISSIPAIQPRPYPAYSSGPPMVATPQGLWVQPQTMGVLSRPPLLQCPPAVSGPFPLSAQGMQLPYVPLPDSQPPGVTPVGPPGGSFVYPTTSGNQSAVFSVPEPELPPGTSNDKPVIDASVRVGPPAGEQLDAWTAHKTETGAVYYYNAVTGESTYEKPAGFKGEAERVATQPTPISWERLGTTDWTLVTTNDGKRYYYNTKTKLSSWQVPTEVTELKKKQDLDATKEQPTSVPNAVAVTEKESAPIILSAPAVNTGGRDAAPLRSPNVPGASSALDMVKRKLQDSGTPATPTPVSSVTGTVASELNGSRTLENAGNGTQVEIHKDKHKDDNGDDPMSDSSSDSEDVDTRPSKEECIIQFKAMLKERGVAPFSKWEKELPKIVFDPRFKAIAGYSARRSLFEHYVRTRAEEERKEKRAAQKAAVEAFKQLLEEAKKDIDHNTDHHAFKKKWGHDPRFEALDRKERENLLNERVLPLKKEAQAKDQAMRAAAASNFKSMLRDRGDITASSRWSKVKDSIRNEQWYKSVKHEDREVLFNEFISDLKSAEQEAERIVKAKRDEEEKLKEIERQTRKRKEREEQEVERVRSKARRKEAVESYQALLVETIKDAQASWTESKLKLEKDPQGRATKYHLDQSDLEKLFREHVKMLNERCTRDFRALLAEVITAEAAMKERDDGKTVFTSWSTAKHLLKADVRYTKMPRKERESLWRRHVDDMQRRLKLSLNEQTEKHSLEAKNHPAVEAGKHHSGSRRNHEKR >KZN05220 pep chromosome:ASM162521v1:2:21370580:21375431:1 gene:DCAR_006057 transcript:KZN05220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCNCFGFSFRRKSKTVVRHNNCYHDHTDTSQQLLLDEDVEDDVEEDEGDYDLNGDVTDSGNGDDDFQSLVKRAEEIIHYRIQKGLHCREFPVKDTYILIRSEDDDGNKMVNEYVYVRKIAAGGYGKVVLYRNSIDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKIVEHPNIVNLVEVIDDPTTDHFYMVLEYIDGKWDWEGSSPPGSCGEIAARKYFRDVVSGLMYLHAHNIVHGDIKPDNLLITSSGTVKIIDFGVSQVFEDDNDVLRRSPGTPVFTAPECCLGLTYHGKAADTWAAGATLYCMVLGNYPFLGDNLHDTYDKIVSNELFLPDNMNPLLKDLIQGLLCKDPDQRMSLANVAEHPWIVAEEGPIPPYLCWCERNSIQRGMMP >KZN06984 pep chromosome:ASM162521v1:2:37350493:37351011:1 gene:DCAR_007821 transcript:KZN06984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELVSPTLAFNPYGGKMAKIEASARPFPHRAGNLAKIQYATNWAEDGVEAADRYLNLTRQLFHYMTPFVSKNPRQAFLNYRDLDIGVNRNGKNSYIEGGVYGVKYFKGNYERLVKVKTSVDPQNFFRNEQSIPVYPYIRQQNKTSVSVWDRIMGVDSMLGRSSELCYNLKV >KZN05416 pep chromosome:ASM162521v1:2:23613343:23613743:1 gene:DCAR_006253 transcript:KZN05416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTDDRKLAPSSVIDHRTKLRRRCELATVLNFLTVFEPLLKSKMKVTAEDIEMAIIEPNELLSKLHVMLLKVYITGLLICL >KZN05127 pep chromosome:ASM162521v1:2:20362253:20363494:1 gene:DCAR_005964 transcript:KZN05127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVKKPAHRPAGNYYDHTDQEEPNNEHENEEQPQEEEQSDKETEEQNLEEPEAEQNLEEDEQADEQEDEMPEANEKPKITKFKRKAFYPDTNLPKKKKPTIILPTMRYNNKESVVIEGAKHINKQKDEVKLRVSPRLFSEVIYFLTPEQRKWVQKAGFSLLLEFQLEMLPAKLSYNVLQIFDHNSVSLKIKDKEINITEDDVFDVLGLPHGDLSIRLGTEDEYRERIDAWLAQFKYDKDQITAQRLVQVMRGQPVTENFKLNFLLLMSNALLGTTTSSYIDRQLLRFDDDLDNLRKYNWPEFLLDYLVLATENWNRTTTTFFRGSLVFLTXFDHL >KZN04286 pep chromosome:ASM162521v1:2:6473383:6473577:-1 gene:DCAR_005072 transcript:KZN04286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKLHTRRENKTRSENKEVSTWRRKFLLVGIFFKPCTLEAQLLHRGATSLTWAQIHLAQVLVN >KZN05897 pep chromosome:ASM162521v1:2:28147503:28152866:1 gene:DCAR_006734 transcript:KZN05897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWPKSSSKDGNKKSNIGSLINTIHKKFRIVSEGKNNSGSGDSAGKQHCDSKHASRSPISPSSQVSRRESFGERPQALPLPLPGLQHNRKHRDVSSNIEATEAEGSNGSKSFMFCPLPKPPYGPDPEDAEADIGTASVSSDKSSDSDNTSDSCFPSPQAFGHKSGKAARNSPSSVIKKNQSPKSVKNLNDPVPASLKPSGKNSHLPNLQIPRHDAYFRDPDSKMSSPSPSQVRLCGPELVMNSGACAENPCADLPMLGTGHCSNPGSGHNSVGKNLADQFMIWRHSRGSPECSPIPSPRMITSPGPSPKIQSGAVTPLRPRAGKAIELPAHWPDGKHEGHRLPLPPINYTSYSTETTPVPRSPSRASHGPRWKKGQLLGRGTFGHVYVGFDSENGEMCAMKEVTLFSDDPKSKESAQQLRQEVALLSRLRHPNIVQYLGSEVVDDKLYIYLEYVSGGSIYKLLRDYGQLGEAAIRSYTQQILSGLAYLHARHTIHRDIKGANLLVDPNGRVKLADFGMAKHISGQPCHLSFKGSPYWMAPEIIKNSSSCNVAVDIWSLGCTILEMATTKPPWSQFEGVAAIFKIGHSKELPDMPQNLSDDGKDFIRRCLQRNPLDRPSAAQLLEHPFVKNAAPPPMLVPCLQPLQTMPSVQGYGHAQNPPHLDRKGAARKHSRGPNIFSGSSNNAYVPRNIPLPSAVPQPTSSLLHPSSPWHPTPMLCASPGVSRVLTSGRLHEGMAMNSRSQESLNSNDRMSYKELQPELF >KZN04001 pep chromosome:ASM162521v1:2:346512:350039:-1 gene:DCAR_004863 transcript:KZN04001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLGSKADAFQRKGQAWFCTTGLPSDIIVEVGEMSFHLHKFPLLSRSGMMEKLIAEASGGEGACVINLPDIPGGDKTFELVAKFCYGVKLELLATNVVYLRCASEHLEMTEEYGDGNLISQTESFLNQVVLRNWKDSLKALQSCEDVLPLAEDLNITKRCIESLAVKASSDPNLSGWPISEYGGPMQSPGGSVLWNGISTGARIKNSSSDWWYEDISCLSLPLYKRLISAMESRGIKQDVLAGSLTSYAKKYLPGLNRRQTASESKNHLEPAHSGGLPSEEDQKLLLEELDNLLPNHKGLIPTKILFSLLRTALILRASPSCISNLERRIGMQLDQASLEDLLMPNFSYTMETLYNVECVERILEHFLAMDQVTGGMSPTSIDNGQLMGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQSLAAAVPDYARPLDDGLYRAIDIYLKAHPWLADADREQLCRLMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSISSCFQSDNIDGSRQLRSGLVASSDAGWNTAVRENQVLKVGMDSMRRRVSELEKECSNMKQEIQKLGRAGTSTWGNMSKKFGFNLKSQMCSAQEGSVSNHNLETGKGEKTKEKHGKHKNKQLSLK >KZN06249 pep chromosome:ASM162521v1:2:31355469:31357804:1 gene:DCAR_007086 transcript:KZN06249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGALERAVEWLRPLVKTQEWEYCVIWKLGDDPSRFIEFVNCCCGGGDGVNECDGIVKEEGGGDGLCRDYNFQHRIGSDACVKLAQYPFSLPLYSGIHGEVAMSNQPRWLSYGSTTDLNNETVGTQVLIPVTGGLIELFSKQNVPCDQKLIELITAYYNVSVKQEIMSAHSHNDKSLLEKLHKHPFVVEQLNDQCHFNSMSRLQSLVTGSQASPYGIEGSSAGSTPSNEYLLLQSGSSHVSPNLSPIKSIGNPTKSWKRKLNEEMPKDEVARVANHVFDKKDKVKGKQKTGKEQYYSKNLITERNRRTRIKEGLFTLRALVPNISKMDRAAILGDAIDYIKELENTARNCQAELKEMEEDGCSKDNGASEILELKGSYEDNKRSLANETLQGSAVADQNQPIQQMPVEIEVNQISQKDIWLKVVHSQKRDGFLRLMKTVHSLGLQVIDANVTTCKGRVLNIFKIEATQKEFEPQKLKDLLLTNWTL >KZN06810 pep chromosome:ASM162521v1:2:36021962:36023342:-1 gene:DCAR_007647 transcript:KZN06810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPRLLRRLLSLYILITTYILSLNLPSFPFFLLIDVIATVYFKLCNLSSTTVDLDHSTTMHFWISAHRRFNKPNLLLIHGYGGNSKWQFLRQIRRLSQHFNLYVPDLLFFGESVTTNKDRTVEFQAECVSEGMRRLGVERCSVYAISYGGYVGFRMAEIRGEMVEKVVIMSSGIGATAEQMKEQVKKIGRNAVDLLLPQKPQDLRLLMDLAIYTFDPFKWLPNCMLNDFIRMTCNDHRREKQELVEELMDSKNDSKPLSVLTQDTLLIWGDKDKVFPLSLAYQLQRHLGPKSRLEIVKDAGHAANIDAPNSLTDLIIPFVLGTTTQSLHP >KZN05792 pep chromosome:ASM162521v1:2:27280568:27283174:1 gene:DCAR_006629 transcript:KZN05792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPSQSENPDPYSTQLQQHQFPQSQNPISSDHENNPQTPEVPILQSPQKSDPTQLDEGQEEREEEEECSGMVYKSNTKLANRRNLNRRKKAFVYQKQKAIDKKVHNLLQLGTLVPFVPPERFDFDKHAALLKRLGLWDFVHIEFDEVIRDDLVGQFIVSYDSAKKCCFVNGIRVDVNRTKFARVLKLPSPIKKDKVVGSVAEAVIDLEVSEECVEFVENFVWSWLVLRGGEWIMPNEVNVSLGLIRDGHLEKIDWATLIWVMVENELKQKDQLPVCYYASHMQYFIKSQRKDFFDEESEDFVINETMKEEEKALNEEEIHKVKVEDIKKDEDLLEEVVKVNDEVVDDKDLLKARSQEVNEKEVKKGLHEVEVEVAELQEGPPEEAVDAGVKKDLVGSFHDLSMDRDKFVTIEPNIGLTSGWQDIAQKEEIKDNMMMTGEAGLEMKNDGKHSLQPCTFREGSGYNGNKEIKQEVRLLETEEEEHMEEGEGMQEWENREEGEHMESDDVDELEGDEIGEQLEDEDEDEDDEDEYEYEDEDVDEEEPEDVVECDMAPNHCSVAGTDLTGDPLQGFETTQLPLNLQGQQFQKNSSLDLFTSNAETQVMMGCPSMLGHGHKRVFEYEQGTSHLDGSKRIRHDVGCGQNTSDFSFCMDQVRQYMEKAKIIYEEKSQDCNQVNENQQYLLHELQRRDSIIENLRRNKNEELQKKDREIYRLERELGLLGELVTGYREALKVNRNMFFEYRQRCKLPEEPIYKDAGSGGLVLSTTDLENQRQQQENEDRLKRLLIEQKYNEALEGYVNQFQVLFRKVEMIYVNRLTPVVNEVELLKNLYAARRRAPETPVCVPPETTCHLHSNLENDSVFGHQSS >KZN06525 pep chromosome:ASM162521v1:2:33726418:33727956:-1 gene:DCAR_007362 transcript:KZN06525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVQRSWLRGACIGQGSFGTVNLAVDESDGRVFAVKSVELTSSNSQLLALENEIKILKSLSSPYVVNYLGDDVSRENSTAYRNLHVEYMPGGTAAELAKFPGADVDEGIVGPVTRCVVSALKYAHSLGIVHCDVKGRNVLVGSSKGVAKLADFGSAVESKEWKLPRGSPLWMAPEVIRGEYQGPESDVWSLGCTVIEMVTGKPAWQDKGFDTVFRIGYSDDLPKIPSQLSRLGKDFLDKCLRRNREERWSCDQLLQHPFLLTTCLSDVDAEVSPRCTLDWLNSNFSDEDDDQNLEIFNFEFGTTKIDSNVANVKSSDFLGKDRISKLASKTGAIWEESDGWVSVRTLADEKEQAAGSNWSNGADEKEEERAIMEVDDLTEETTKTCSVSKVCGEISGAINCEYSDSKDNNNVLLNQEFHECFPDKFTARAEGVLICPHVIQHKSYSYCTGNHMFWLSYFYCHKCKHRSYMTILCFTFCVQLRFITCFLTESDKLELKIKANHCLHRYTSHS >KZN05273 pep chromosome:ASM162521v1:2:21942667:21943713:-1 gene:DCAR_006110 transcript:KZN05273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSLKKKIELFADCMIKSELIIAAHLPGRTDNDIKNYWNTKLKKKYMAAVTNLNHINMNYLNPPSQFFEAPQFRNQYNSQPNFSLFMNNMDTLSLPGIPQTLPINTSHHQSLGHYNPLMTESRSFGLTSHHQALSSGSSGGSFMSQITQDHNMVTRQPQTYSSSSNNTFSSVMQLDYGLMGGVCNTNSPVMQCYDNLEEIKPVISNNIMNTSYLGLDDGGKRNYGREEMYYPSY >KZN06130 pep chromosome:ASM162521v1:2:30394573:30397983:1 gene:DCAR_006967 transcript:KZN06130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKVEKAFDPEEFIEEFEVLTRDAGRVQNETLKKILEENRRTEYLQKWGLDGKFDPKSFAELVPIVTHKDLEPFIRRIVDGDASPILTGKPIKTISLSSGTTQGKPKFVPFNDDLVESTMQIYKTSFAFRNREYPIGSGKALQFIYSSKQFKTSGGLPAGTATTNVYRSSQYKKTMKAMQTPCCSPDEVIFGPDFHQSLYCHLLCGLISSDDIQVVSSTFAHSLVHAFRTFEQVWEELCNDIRNGVLTSRITVPSIRTAMSKLLKPNPELADMIYEKCSRLSNWYGLIPELFPNAKYIYGIMTGSMEPYLNKLRHYAADLPLLSADYGSSEGWIGANVNPNLPPELATFAVLPNIGYFEFIPLRQNLDNLAVEGSDATFLGLEPKPVGLSEVKVGEEYEILVTNFAGLYRYRLGDVVKVMGFHNSTPELQFVCRRNLLLTINIDKNTERDLQLSVESAAKLLAAEKLEVVDFSSRVDLSTDPGHYVIYWEISGEANDEILRECCNCLDKSFVDAGYMSSRKVNAIGPLELRVLRKGTFHEVLDHFVGMGCAVSQFKTPRCVGANNSRVLQILSNNVVKNYFSTAY >KZN07249 pep chromosome:ASM162521v1:2:39392244:39393772:1 gene:DCAR_008086 transcript:KZN07249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHTGIEVSFIIFQVDNFIHADMHPGNILVRVAQSNSSKTRLFKTKPHVIFLDVGMTAELSGGDRVNLLEFFKAVARRDGRTEAECTLRLSQQQNCPNPKAFIKGWQRKLDPDYDMMHTLQTLLLKDDWAKSLSYTIEGLMAP >KZN04518 pep chromosome:ASM162521v1:2:12201055:12204350:1 gene:DCAR_005355 transcript:KZN04518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAKLFKKNNSTIPSSYYYSSSISRSKLFSTEPLVDSFTQRIRDLPKDLPSTKIRREVSQLIGKTPLVYLNKVTEGCGAYIAVKQEMMQPTSSIKDRPALAMINDAEKKKLITPGKTVLIEPTSGNMGISMAFMAAMKGYKMVLTMPSYTSLERRVCMKAFGADLILTDPTKGMGGTVKKAYDLLENTPDGFMLQQFSNPANTQIHFETTGPEIWEDTNGNVDIFVMGIGSGGTVSGVGQYLKSQNPNVKIYGIEPTESNVLNGGKPGPHWITGNGVGFKPDILDMDVMDEVLMVSSQDAVDMARKLALKEGLMVGISSGANTVAALELAKRPENKGKLIVTIHPSFGERYLSSVLFQEIRQEAENMQPVSVD >KZN05739 pep chromosome:ASM162521v1:2:26764248:26767465:1 gene:DCAR_006576 transcript:KZN05739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQNSWIRRTKYSHSVYQRSGSARPDPGSFHHSSQFLGVRSRVVPGSHLQPIESSVSEVQKSLEMNKHSSQFSGVRSRVVPGSLLQSIESSVSEVQKSLEMNKIKAVSPHVSPPQTAFEDKFDQNKLSTETLGTDSPSQQSGSGAPQLRRSSGRSKFRAVSPITQTKIPRTFKEARSNSKRFSTPQPVRRATEKGVLAKFLHKDSCEKRAPIYMSSTNIGELGHFAAMNINDKKKGRKESTWTKYFDHAGGRVTAIESADEWMVDLSKLFLGLKFDHGAYSQLHHGIYKDEPVAVKIIKVPDGDENGDLGAKLEKQYTREATLLSRLHHQNVIKFVAACKKPPVFCIITEYLSDGSLRAYLRKREQKPLSLGKLNSMALDIARGMEFIHSQGIIHRDLKPENILINQDFQLKIADFGIACDEANCDSLTDDPGTYRWMAPEMLKCKYYDKKVDTYSFGLILWEMVTGSLPYKDMTPSQAAFAVLNKNLRPMIPEDCPPNMGALIEQCWSLRPDKRPEFWQVVKVLEQFESSLARDGTLNMVPNAICQDLKKGLLYRMQKLAPVRPNSLPMPKPRFA >KZN06726 pep chromosome:ASM162521v1:2:35384940:35386073:1 gene:DCAR_007563 transcript:KZN06726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQGGKAKPLKAPKAEKKEYDEDDLAKLQKKKEEEKALKELKAKAQQKGAFGGSGLKKSGKK >KZN06933 pep chromosome:ASM162521v1:2:36952512:36957385:-1 gene:DCAR_007770 transcript:KZN06933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSPSKYLLQILSNRLKNLEKGVELDCQWVEFDDVRYHVQASMKNPQLLLLSLSLPTPPPETVFIGGLPPGAIEAVKVAYGAVVKIIDPPKDGYNLTVKLNFSKLPPDEENNKALLIKIASVREVVLGAPLRVVLKHLGARTLAPDVENLMALVHRPKESFFLVPRAEKVTIIFPMRFKDSIDTVLATSFLQEFVEARRAAGLNNAPSCSWSASPPSELKDGPAESLCVNAGFITFVILPRHVEGKKLDRTVWSLSTFHAYVNYHVKCSEGFMHTRMRRRVESLIQALDRAKPDSLKTKRSGHSRSFKRLVCDKDRPTTYYLRYLYSMCPNFASFMTVIEPQGRKGVKYIIQMEFNWSRPVNSART >KZN07633 pep chromosome:ASM162521v1:2:42353869:42356076:-1 gene:DCAR_008470 transcript:KZN07633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPETQADKTLQDAWDYKGRPAVRSTTGGWTAAAMILGVEACERLTTLGIAVNLVTYLTSTMHLGSASSANTVTNFLGTSFMLCLLGGFVADTYLGRYLTVAIFATVQGIGVTVLTISTTIPSLRPPKCIPGSPSCESAHGMQLVVLFTALYLTALGTGGLKSSVSGFGSDQFDETNKDEQKIMTSFFSWFFFFISIGSLAAVSVFVYIQDNLGRRWGYGICACAIVLGISVFLSGTKRYRFKKLVGSPLTQIATVFVAAWRKKNMEVPSDLSLLFDIDDIENEGSKKKQRLPHSKQFRFLDKAAIIDTNNKTNANTSNAEDKWSLSTLTDVEEVKMVIRMLPIWATTIMFWTVHAQMTTFSVSQATTLNRHIGKSFQIPPAAMTSFFVGSTLLTVPIYDRLIAPYAKKMLKNPQGLSPLQRIGVGLTLSVLGMVAAALTEINRLNIAREHNLVHTHNAVLPATVFWLIPQFILVGAGEAFTYIGQLAFFLKECPKGMKTMSTGLFLSTLSLGFFFSSVLVTIVHKITGKNKPWLADNLNEGKLYNFYWLLAVLSALNMVLFLFAANWYVYKDKRLAEVGIELEEEEAIVHH >KZN04811 pep chromosome:ASM162521v1:2:16680443:16680952:1 gene:DCAR_005648 transcript:KZN04811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVISSNNLSALLVDDDIVCRLVHVGYLRAHNFETYAVENGREAVDLVRSGRQFDVIFMDFSMPVMNGIQATRELRAMGVKTMIVGIDCDPDFLGEDPFQAGMDRVYEKPMTHEIVISVRQALLNNYNM >KZN06722 pep chromosome:ASM162521v1:2:35320112:35321263:1 gene:DCAR_007559 transcript:KZN06722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETEDPYAATQGFEPSPRSKLAVCDASYSQNVDDQYVEGDDDPEVDEEDEEEGIDVNEEATILTNAKVEDVNDEDDVVDEDGDEQSDDDNSDDDYRRRIESNDQHRHPKKRKMMSLLSSYEFAPRVTAPLALTSAASKPSHGGRNALSDWTEQETFVLLEAWGDRFIQRGRKSLRSEEWQEVADKVSQRSKLERSDTQCRNRLDTLKKKYKKETAKIHEMGGTTSQWVYFEKMDMLLSLTPQQLRLSREVNTLNSKKHVQNPGVYLNRACRSDEMSDSSENSDSVEAEENGSNFRPPKQTNRSVGASFRLIAESITKFSDIYVKVENNKRKQMLELEMMRMNFHRDLEMQKRQILEKARAEIANIWREDDNWNSGSAENLSG >KZN06296 pep chromosome:ASM162521v1:2:31775286:31778143:-1 gene:DCAR_007133 transcript:KZN06296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGPGLYSDIGKKTRDLLYRDYQSHHKFTVSTVAANGVAITPSATKKGESFLADVNAQWKNNNITTDLKLDINSNLCSTITIDELAPGLKTILSFVAPDQRSGKVELQYFHEHAGISTSIGLAATPLVSFSGVAGNNTVALGTDISFDTATGNFTKYNAALNFSSGDLIASFALNDKFDTLTASYMHAVSPLTSTAVGAELSHCFSSNENTLTIGTQHALDPLTSVKARVNNNGIASALIQHAWRPKSLVTISGEVDTRAIEKSAKVGIALALKQ >KZN05875 pep chromosome:ASM162521v1:2:27981917:27982822:1 gene:DCAR_006712 transcript:KZN05875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKVEIGHTDTIHDVVMDYYGKRVATASSDGTIKITGVSNNGSSQHLATLTGHQGPVWQAAWAHPKFGTILASCSYDGRVILWKEGNPNNWTQAHIFSDHKSSVNSIAWAPHELGLSLACGSSDGNISVFTARTDGGWDTTRIDQAHPVGVSSVTWAPSMSPGAVVGSGILDPVQKLASGGFDNTVKVWKLDNGNWKTDCLPSLQMHKDWVRDVAWAPNLGLPKSTMASASQDGTVVIWNVAKEGDKWEGKVLNDFKMPVWRVSWSLTGNLLAVAAGDNDVTMWKETVDGDWQQVPIDQ >KZN04452 pep chromosome:ASM162521v1:2:11109698:11111220:-1 gene:DCAR_005289 transcript:KZN04452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWMVGPVAVSNVWDDLCSGRCLLAQDVLSLTEFDLMELLDIGLSEVVSAIAHISEITCPPYQTALSLWKQHSQTENHPSFLPTHLNGLDAALCGGIPNGVLTEVVGPAGIGKTQFCLKLSLLASLPKTYGGLCGKVIYIDVESKFSSKRYQQKFITLLY >KZN05661 pep chromosome:ASM162521v1:2:26098196:26101512:1 gene:DCAR_006498 transcript:KZN05661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNYYEGEDDFVDACEEVSSLSSGCSDDCCGNNGSCVCNTFKYDYWTKNMENVHERRERFLRWMGLSVNRHPSESEELGDCDELKLNKGGVGKDGHSGLIDRFMSCRSFLSCQTNEDSESAEADAMVENPMNRIRNLDDGSEFQVDELGPDGMLSKLRVVGTNRMVTAEEFQKAVGSSTFVQQFLQKNNETCKLVDAKKKGKSSWLEKLGAMARKVERKGEVKSKHGNRSDSVRVRLHKKQLKELSAVHTEQDFPAHEGSILAMKFSHDGQYLATGGEDSVVRVWKVVEDERPDTFEILDNDPSCLYFSLNHVSKLTPLNVDKEMISRVKKLSKSKSSCVILPPKTFRVMEKPVYEFYGHSGEVLALSWSTKGHLLSSSVDNTVRIWQVGHRQCLGVFSHNNYVTCVEFNPIDDNHFISGSIDGKVRIWEVERCQVVDWIDLKEIVTAVCYCPNGKQGIVGTMDSNCHFYDIIDHRLHMGAQICLQGKKKFTGKRVTGFQFCPSDSSKVMVTSADSQVRILSGVNVMCKFKGTRNSGSHLSASFTADGKHIISVSEDSNVFMWNYNSKDQGPKPKNIVSSESFLSHNAAVAIPWSVPRILPFPTFSGGDHTGTVSKNKHINEDLQHKIPGTSPDCFSMSRGFSLESLYRSPPTWPEEHLPSSSPKAVSSSLRKFEYKFLKTAYQNTFSSPNLWGLVIVTAGWDGRIRSYLNHGLPILL >KZN06196 pep chromosome:ASM162521v1:2:30895631:30896041:-1 gene:DCAR_007033 transcript:KZN06196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYNFNGRACTVEFASPQTERQMADAYRNTSQVRPQSQSQPQGRRSLNDNAVIITCRTNDPTGDFGRGRGLPRGSQGGGNWRHGPNWGIGTSTRPNMPNARGSVAGASSGGFMNPHPMMGAGFDSSYMGRGVLCD >KZN06439 pep chromosome:ASM162521v1:2:32917236:32919828:1 gene:DCAR_007276 transcript:KZN06439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRDAVVFEVPDGTSNGAVQNTDSENGSALYNFLYPSKELLPEDKEMTIYDHLEELRERIFVSVLAVGAAILGCFAYSKDLIMILEAPVRSEGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFILPGLTRSERRFLGPIVLGSSVLFYTGVVFSYLVLTPAALNFFINYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAIVTPSTDPLTQILLAGPLVGLYFGGAWTVKLIGR >KZN06309 pep chromosome:ASM162521v1:2:31852630:31853184:1 gene:DCAR_007146 transcript:KZN06309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLAKRHREEEGIDIQDFDENREAKLHKSYNNTENKQHALVSFLEQEEEETVVSSQDLSLFFSTLQQELFSDPHGDHQTLLVDPSTEDWNPCSYSVAEKEDSIGDDDKESVIRHLLEASDDELGLPNDAGVDRTARSGTSDEDCNGVDDDCKQSSSLFCDGLWELEDEAANYYTLLQSQLFM >KZN05452 pep chromosome:ASM162521v1:2:24002442:24004408:1 gene:DCAR_006289 transcript:KZN05452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLRQKHTECIIRMLNLNQPLNTTNTNEEVYKILIYDKFCQDILSPLIHVKDLRKHGVTLYFLIDKDRQPIHDDVPAVYFVKPTEQNVKRIVLDVSRSIYNSFQLNFSSSIPRSLLEELAVGTLSSESIHRVGKVYDQYMEFVTLDDNLFSLGDKSCYVKLNDPSAGDKEIEEIVERIVSGLFCVLVTLGVVPVIRCPRGGPAEMVASLLDQRLRDHLLAKNNLFSEGGSFGGSFQRPILCLFDRNFELSVAIQHDFRYKPLVHDVLGLRLNRLNVQGEKGGTKSFELDRFDPFWVANGSLEFPEVAVEIETQLNKYKKDVDDVNRRTGGSGGAEFDGTDLIGNTKHLMSAVNSLPELAERKKVIDKHTNIATVLLGEIKERSLDSYAKKESEMMVRGGIDRSELSAVLKGKGTKMDKLRFAIMYLISTESLPPAEVEMVEAALRESEVDTSAFQYVKKIKSLNISLASANSANRSNIVDWAEKLYGQSISAVTAGVKNLLSSDHQLALTRTVDALMDGKPNPEIESYLVFDARAPKSNSGSSHLKGPFKEAIVFMIGGGNYVEYGSLQELAQSQQPVKHVIYGTTEILTGGEFVEQLAVLGQKMGLGKSSGGAGGPAI >KZN05753 pep chromosome:ASM162521v1:2:26938738:26941117:-1 gene:DCAR_006590 transcript:KZN05753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRWAKMEARMRDERQQIPEEADEALVLEGLAEDFRLPINHRPTENVDLDNVEQASLDTQLTSSNVGFRLLQKMGWKGKGLGKNEQGITEPIKSGIRDPRLGIGKQEEDDYFTAEENIQRKKLDIEVEETEEIAKKREVLAEREQKIQTEVKEIRKVFFCELCNKQYKLAVEFEGHLSSYDHNHRKRFKEMREMHGSSSRDDRQKREQQRQEREMAKFAQMYTCIFT >KZN07072 pep chromosome:ASM162521v1:2:38126944:38140783:1 gene:DCAR_007909 transcript:KZN07072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVEVFSEGEFQRQLREMICKGSLDFDAWTAVITHIEKYYLDDIDTISLVYDSFLSKFPLCHVYWKKYVDHKTRLCSVDKAVETFEQAVQSATYCVSLWVDYCTFSIAAYEDPSDIRRLFKRGLSFVGKDYLCHTLWDKYIEFECSQNQWSSLIRILIQSLRFPTKKLHNYYDNFKKFAAILDEERESMNISSMEVKAEILPCGTIDMSESDIDNIIKNMQDPSNNSGCTKALQNYLATGEQFYHKACQLEKKIHHFEASIGRPFFHMKPLDDNHLASWHSYLDFVEKQGDFDWTLKIYEKCLIPCANYPEFWMRYVEFMESKGGRELSKFALERATQVFLEKAPVIHIFNARFREHIGDVHGARAAFLQGDKDSNSYFVESVIKAANMEKRLGNLAAASSIYENALYMAAERQKLHTVPLLYIHYSRLKHLELLKLLMMHEGSKYMTVVDSIIANTITSRPDISQSLSTKDKEELSCLYLEYVDLCGTNDDVRKASKRHLKLFPHLIRTTTSNNNPNATHQLLHMAIEARKMKTFYQLPKSNGPGHLIQIPVEEQELSTPESNGFVPDLVVAEKVPKGDGNGAQEEHLSLGNELLSIEDVAEVISKQNFVHQSDHDFIGAMESTQDLTCNPKIDESELLNFTNGSAKQSEQATSRSEELIPEVEQSKVTNIPSEFLQQCSSSSDIHEMEANELENHPKPVHIMEKASPSSWEKESHDITTMELQDHGVAQRSSISDGQILEIGRLEEIHVTSNAKGSPPTNPSSIKNNVQNNDPVNLLSSTSHQSLIRRQTECEVPANSGENSPQISISKASGGTSPEYHEHLNGVRQHDDLHEQCSTDKMAHISALDVNQGGHQYPSGAAPGNVTGHARPVKNVENPSHVSAPLSESSPQHGQGPIPQCDTDAAGLSEDHTQTSPEGMEEPQNAVNCRPDFSLRPRRHNQSSHRASRTVNTPLSPTLSDQSPLSRSKS >KZN07661 pep chromosome:ASM162521v1:2:42529622:42530614:1 gene:DCAR_008498 transcript:KZN07661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKITIGSLGDSFSHGSLKCYLAEFIATLLFVFAGVGSAIAFNNLTADAGLDPAGLVAIAVAHAFALFVGVSIAANISGGHLNPAVTFGLAVGGNITLITGFFYWIAQLLGATVASFLLIFVTGGKAVPTHGVGAGLGAAEGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFSGHWIYWVGPLIGGGLAGFIYGDVFIGSYAAVPASEDYA >KZN06207 pep chromosome:ASM162521v1:2:30980705:30984750:1 gene:DCAR_007044 transcript:KZN06207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESCRLILELILGIQFCLVCKKGLLLWLNKDLVTLPSLWPCLLASDIGEFKLYEAEVWTLEDLIELIVFVIYSIGMTSIMGRAGANANIKQKRCQLWALALKKSGDLKNQKAGVYQN >KZN06949 pep chromosome:ASM162521v1:2:37073966:37076222:1 gene:DCAR_007786 transcript:KZN06949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLLILTLVFSPLIILFSPLIITLILTVIKSRRRRKHAVGFFHPYTNDGGGGERVLWCAVKGIQELSPDLDCVVYTGDHDASPESLKARAIDRFGVELVSSPKVVHLYKRKWIEDTTYPRFTMIGQSLGSIYLSWEALCKLVPVYYFDTSGYAFTYPVARVFGCKVICYTHYPTISLDMLSRVNARTSMYNNDQLVAKSVLLSHVKVIYYTIFSWLYGIVGSFAHLVMVNSSWTRAHIVKLWGNPDRIYRVYPPCDTSGLQVLPLKRPATPPIFISVSQFRPEKAHSLQLEAFALVIMNLDSALPTPKLQFVGSCRNEADEMRLHKLKDQAIELKVDGHVEFHKNVTYRNLVELLGAATAGLHAMTDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLTEDGKKVGFLAQDVEEYKDAMLQVIMMSESERLEMAEAARLRASNFSEQRIAGKPDREKLGRKMPVARPESSDSHVIAHVDMDCRGK >KZN07117 pep chromosome:ASM162521v1:2:38500248:38501180:1 gene:DCAR_007954 transcript:KZN07117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLTLPKEKNTFGADIFRYNGFWFPEVPLHGMIESGKHFQPRQNDVFLVTAPKSGTTWLKGIMYTLLNREIHHPQDPYHPLLTQTPHQLVPFHELLKRSEYNSVSNSPDSSSRIFGSHMPTVSLPKSVIEDSESLNCKMVYLCRDIKDTFVSSFHFLKQNLEPSNNCMENLFDLYIRGVSPGGPVWDHIMGYWKESLERPNKVLFMRYEDMKSKPHFQLRRLAFFLGKPFSEEEENSGMLDQIISLCSFDNMRNLEVNKSDTTKLGIKNHTFYRSGQVGDWKNYLTAEMAGKLDQITQEKFRASGLSL >KZN05660 pep chromosome:ASM162521v1:2:26076000:26077405:-1 gene:DCAR_006497 transcript:KZN05660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQWPQEIVVKPMEEIIVTNTQKPCLTSSSSESCKKAKTTPKEVQQIINCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNNKRSLNSSPSRSNSSASSKKIIVPSDNQDRLVAVPVSNSCRNADKNVGNVYDHHQDLNLGFAHEPSDFKALSQLIQVPNFDSIGSAMGNTFSASSPTNSTTTHHLSAMELLTSRGLGNSFMPMLSNMSSDNNIQSSNAFLGFPLSTADQYHQFMKPGSGLSFSLDNELEISTNTGSTGTGRHLFPFEDLKLQAPAGNATNAEDHASDDHRDSGRDQGGDEQSNGFWNGMLGTGGGSW >KZN04966 pep chromosome:ASM162521v1:2:18461534:18466210:1 gene:DCAR_005803 transcript:KZN04966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAEAASNSLLSADPFTGSVETSSFLDKNVVNDTNVLNGSDDIDSISSVSDDGFVSGEEDFDVEKKSFLDYPDDKYKTVDVNSGDPFVDFETPIAGGEEKSFKDVVLESGEGLNGVVERIGDGVEKSFKDVVAESGESLNGVVEGNGDVVEKSFKDVVESGESLNGVVEGSGDGVKESFKDVVVESGDGVLGNVDVVTEGGLREDKVTEFSEGLGSDLNGVVEKNGGVGKSFKDVVVEGGEGLTGNVDVGAEGGFVEEEVRGFTEGLGSGVEENSLESEEVLEVKKMEGVSQVVEKHVVESSESEQVGDVVVESGSGVEVTREGDTVVETINVDLLEPGVAVVGEMEGNGTAYRSMNLNAESDQVSAGTVEEGSGENIIVSAESDNPSLENGSDKVIVGTFEENEVQNIGAPSNSQLSDIDNAKITLDGDSVGKDLHVNMAVSGVAVGIEKEEVDGVLSGAGQVHGEIVSFVKDGNFLHSNANIVEPNTFADVKTTPEGDSVVENIKVDMSEPGVAVVGNIEEDGQADANVGNNQENEKEHVNQIEGVEVVSTRNFVGDDGSDAAVDALLPVSSIPTNAEEHKRDDDLSLSVQDEITENGVSEKSLANDSAESNPLQNKEIEVKDDANFVKNETSEDDLEGSGSDEGDSDGMIFGSSEAAKQFIEELERGSEGNSNSGAESFHDNAQRIDGQIVTDSEEEDSDEEGEGKELFNSAALTALLKAATGAGSDGGNITISQDGSGRFSIERPAGLGSSTHSLRPAARANRSNFFNPSIFAGGEETEDNLTAEEKGKIEKLQSIRVKFLRLVQRLGMSSGDSVASQVLYRLALVAGRQTGQLFNLDNAKQTSLQLEDEKADLDFSLSILVLGKSGEEKAPVDAFQPATTAVKEIIGVVDGVKIRVFDTPGLKSSVVDQSFNRSILSSVKKFTKKNPVDIVLYVDRLDAQTRDLNDIPLLRTITSSLGSPIWRSVIVTLTHAASAPPEGPSGSPFSYDSFVSQRSNVVQQSIGQASGDLRMLSPSLMNPVCLVENHSSCRRNREGQKVLPNGQSWRPQLLILCYSTKILSEANSISKPQDSFDSRRLFGYRTRSPPLPYMLSSMLQSRVHPKLSSEQGGDNGDSDVDLADLSDSDQEDEDEYDQLPPFKPLRKSQLAKLSVEQRKAYFEEYDYRVKLLQKKQWREELKRLKEMKMKGKDSANDQGYNEEDPDAGSAAPVSVPLPDMALPPTFDGDNPAHRYRFLEPTSQFLARPVLDTHGWDHDCGYDGVNLEQSLAILSQFPAVVSVQLTKDKKEFTVNLDSSIAAKHGENGSSMAGFDIQNMGKQLAYIIRGETKFKNFRKNKTAAGISVTFLGENVVTGLKVEDQISFGKQYSLVASGGTVRSQQDVAYGANIELQRRELDYPIGQVQSTLGLSIIKWRGDLALGFNSVANFSIGRNSKVAVRGSLNNKLSGQISVRTSSSEHLSLALASILPVAVTIYKKLFPVGDKYSTY >KZN07530 pep chromosome:ASM162521v1:2:41610313:41617044:1 gene:DCAR_008367 transcript:KZN07530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLDALKDLISDNNPMVVANAVAALAEIQDNSSKPIFEITSHTLSKLLTALNECTEWGQVFILDALSKYKAADALEAENIVERVTPRLQHANCAVVLSAVKAISPYFIVQMILQQMELITSPDAVRNPYKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPSILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRRAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPETWKSLPDSNEVIKDFPGIVINSVEATLDRLAASNMFFIAKRKHANQEVLYLSAKTPQPIPFLIELTTVVGIPGLKCAIKTPSPEMAPLFFEALEILLKN >KZN06704 pep chromosome:ASM162521v1:2:35129060:35133097:-1 gene:DCAR_007541 transcript:KZN06704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGKEVSIIDDNVPGSILFSGNVMQDTESVNDIRTIVELAAYSSEKSNNLSMLMMHVATKESEYEAFILDKELMLNDSSEKVLYLDLLCGILDSEVSEIEHFISMVQTDIIAARKFMVSYKYSGDDLESLKRMSQDAEGSLRQSLEQVSDIKAQSSDIQRNLLRSVGEDTWRGGKVIERLEDDNISVPRKDLKMLERSLAKELDFDKSISESREIKEVLKLSLHSSDHGNLFIEEEDIVVLERLFEGENAAAVLMGISKELLGQIQKLIFSLNASRNREDQLKTKLKDFIHKLKEKDASLQRTESSNLKINEDLLAKKDILEGNLKEVKDELVLVDSEAFTLREKVSSLENELKEYELQLMTAKIAGDKDQNLLQKLHEMRSTQDDLKEKIRKEEDRAARAESKCVLLTESNIELTEELNLLKASGNTTVMVDSLERKMSESDIVLQRAMASAEASQEKENMLNSTIKDMDNLIENLKSKVLKAENLAESAEDKCIELSERNSELTEKLHSLKGKMDCLKVSLHQAEESKKATAKDIRARTKLITEMVVQLAFERERLQKQFCPVQISSLTKQNKILVNQSQQTSKNQVNMSHNVKEDTDNLTSTINTRNSNSANMDRKDDVDGEPDDCRLDFETVKNIDARQLNVKYLIIMVLVLVISIFAALLFKPPDSGF >KZN04507 pep chromosome:ASM162521v1:2:11977986:11980053:-1 gene:DCAR_005344 transcript:KZN04507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNVHRMHGVKQPRNPWSDGPEYITQCPIQPGKVQVQKVIFSTEEGTLWWHAHSDWSRATVHGAIVVYPKLGTSYPFAQPYQEVPIILGEWWKTDVRKVLKEALLKGGNPRTSDAFTINGQPGDLYNCSKRGTFKLNVEHDRTYLLRVVNAAMNHILFIAISRHNLTAVGADGSYIKPFTKEYMVIGPGQALDCLLHANQELGRYYMAASAYSTGVHVEFDKTTTTAILQYSGSYPKNVAPLLPYLPSFTNTTAAFDVLGQFRSLASQDHPIFPPTNYLRTKLLFVISINAFPCLNNLCQGPNGTKLAASMNNISFAYPNVDLLQAYYYKTSEALLGESIPSNPTVVYNYTADYQDLSSELTRKGTSVKFLEYNSDVEIVFQGTSLVAGMDHPMHLHGYSFYVVGWGFGNFDTEKDPPAYNLVDPPLLNTVVVPKDGWTAVRFRADNPGVWFLHCHIERHQTWGMDTALIVMDGNQDEAKMLPPPPYMPPC >KZN07063 pep chromosome:ASM162521v1:2:38069920:38073572:1 gene:DCAR_007900 transcript:KZN07063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVPQNVSFIALLAFSVLLGTVLTAEPSLDVELEALKAFKNSITEDPLGALQDWNDSSHHCNWTGITCDTFVVSISVVEKQLQGSISPFLGNLSNLQVLDLTSNSFTGHIPSQLGYCSQLTDLIFYQNSLSGSIPPELGKLENLHTADFGNNLLTGSIPESLTNCTSLLALGLNSNNLTGEIPSSLGELVNLQVFVAYDNSLTGSIPPSIGNLKYIQDFDLSENKLSGPIPPEVGKLSKLQTLQLFTNSLTGTIPKELGQCTNLVLLNLYGNHFTGAIPSELGNLASLQALRLYDNKLNSTFPLSFLRLKSLINLQLSHNELTGSIPSEISSLASLQILMLHNNSFTGEIPSSVTNMTNLTYVSMGYNLLTGSLPSNMGALHNLKNLSFYFNFLDGVIPPSITNCTKLLSIGLSSNKLTGKIPYGLGKLPNLTFLSVENNHMFGDIPDDLFECIGLTTLVLTGNNFTGLLKPSIGNLTNLETLLLGTNSFSGRIPKEIGNLTQLLKLSLRTNKFSGFVPPELSKLTLLQGLALENNLLEGLIPGSLFELRKLSVLLLHNNKFTGTIPDALSKLEQLTELNLRENKLSGSIPKSLARLNRLLTLDLSRNNLTGSIPGSVISSMRTLSMYLDLSHNLFSGKIPPEMGMLEMVQVIDISNNNLSGSISALRGCRNLLSLDASSNKLSGQIPTDIFTQITQLTNLNLSSNQLDGALPENLASLKDLNSLDLSHNMFKGIIPENFTKMSTLKYLNLSFNQLEGRVPETGPFRNISVANLQGNPSLCGGKFLQPCINQKKSKGPLSKKRLIILASVGSFGIVLLLLIIVPLFLRCIKKSKTKELEDAAPNYTSKSILKRFDPKELEDATNMFDEVNILGSSTMSIVYKGTLEDGQLIAVKNLKVHQFAVSSEKSFNRELGTLGKLKHRNLVKLIGYAWESSKLKAIVLEYMENGTLESIIHDPRVDQARWTLLGRIDVLVSIARGLVYLHSGYDFPIVHCDLKPSNVLFDGKWDARVSDFGTARILDIDLNSSSSTSGSAFEGTIGYLAPEYAFMKKITTKVDVFSFGVIMMEFLTRRRPTGLSLENGQPITLPQLVQNASADGNERLLHLVDPQLTSDISNKQQLVEIFKLALLCTCQEPEGRPDMDEVLSSLAKISKMAAQNGITEDSRSKKGQTSNAAPQIVRTAS >KZN04207 pep chromosome:ASM162521v1:2:3806107:3815223:-1 gene:DCAR_005044 transcript:KZN04207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRSLSMRVRLFNQNRYTPPLSYMHHRNDSEESNNPLNQEVKPLHGFLQNRNFSSSGGFSPVFRDPGVSRLENSMLNGSFLARNMSSAIGDGTGKMEYMTDVAGVLSDGSVEVMTQQVVTQQAPVLSEVAVAAADSYFPVAALQYVIDGIHSYTGLPWWGSIVATTFLIRGLAVPLMINQLKATSKLTLMRPKLEEIKQEMQDRGMSPTAVTEGQQRMGEVFKEYGVTPWTPLKGLLIQGPVFVSFFLGIQNMVEKVPSFKEGGAFWFVDLTIPDSFYIFPILTALTFWITVECNMQEGLEGNPAGGTMKKVMRVFALVTVPLTMSFPQAIFCYWITSNLFSLFYGLVIRAPKVKKFLGVPIIPVARATTAQQPAFSFTEALKKYVQAQQRRSLPPANPSNATSLPTETEKPTNTNITKTSTSSILSQRIRSLENQVKGRKKGKKR >KZN04808 pep chromosome:ASM162521v1:2:16380236:16380769:1 gene:DCAR_005645 transcript:KZN04808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLREGTEIVPEIQILMRWLLHSLLNLLLPRIILYVSCATRVFLGNRTCNSTEEGTICPGSSRKRQIRRCRRRCTSAPKSVVRAHHDPARALGDLTGIKKHFSRKHGEKKFSCTRCHKRYAVLSDLKAHSKICGTTEYKCECGTTFYV >KZN05830 pep chromosome:ASM162521v1:2:27589416:27593107:1 gene:DCAR_006667 transcript:KZN05830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAQIHVLGSNYTSLSPNNPTHNHPKSKFFGNSVSFTNPKSCFHTLKSSRRSNKLRIVAEKVVGIDLGTTNSAVGAMEGGKPVIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMIEVDEEAKQVSYTVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVSKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLALSFKNDEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVENSLRDAKLSIKDIDEVILVGGSTRIPAVQGVVKSLTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPSDEVERMVSEAERFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKVPVDVKEKVEAKLEELKKAITDGSTQVIKDAMAALNQEVMQLGQSLYNQPGAAPGGPAPGGAGADGPSEASGNKGPEEGDVIDADFTDSK >KZN05079 pep chromosome:ASM162521v1:2:19981599:19984374:-1 gene:DCAR_005916 transcript:KZN05079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESESDDSSTSLAEDNTARRHSYVDPHTLGRKTLAELKDELSKHSVAVDHVDPTPQPGPTCMWDQPACGTNVWDQWGHLDSA >KZN03979 pep chromosome:ASM162521v1:2:150996:152142:-1 gene:DCAR_004841 transcript:KZN03979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSSAVGRLTDLLIDEAQLLLVVKDEIEQVVTELRRMMTFLRDADSRIDEDNVRNLLAGVRELAYDAEHVVETFVLKASFSGKTIQWITKFKFSRNIKELQRQMSLLFTNFHDFNIKSTLESHELSSSLYRTAGRLKRFCSYTTVEPELFVGFHKDVDSLVGHLVDESDDCHRLISICGMGGLGKTTLAQKIYNHSTIKTYFSGLAWVTISQKWQTKLVLQRILICLVPEKKREILESDNDKLVEDLLQIQQQRKCLIVLDDIWSADAWDSLKAALKVENSVSKLLLTSRSIDVATYVNPKGYIHRPEFLSQEQSWELLQLKALIPRGDYLASADLVPCEVNIIEF >KZN07760 pep chromosome:ASM162521v1:2:43398967:43400972:-1 gene:DCAR_008597 transcript:KZN07760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQHIAIFTTASLPWMTGTAVNPLFRAACLSKDERWDVTLVIPWLSKRDQDYVYPNKITFDTPSEQEIYVRQWLDERIGLKSTFQILFYPGKFSRKMGSIFGVGDITEIIPDEEAEIAVLEEPEHLTWYHHGKRWKVKFRLVVGVVHTNYLEYVRREKNGRMQAFLLKNMNSWVVNIYCHKVIRLSAATQKFPRSVICNVHGVNPKFLEIGRRKKEQQEQLGNKAFRNAAYYIGKMVWNKGYKELLQLLCSHQKELKELEIDLYGSGEDSDQVREAFQKLDLKVQVHPGRDHADIVFHDYKLFLNPSTTDVVCTTTAEALAMGKLVVCANHPSNEFFKQFPNCKMFEDGIGFVEATCKSLAEDPSPLSDAERHKLSWEAATERFLSAAELDIALKNKLPETPNHFISKSFFVLRNLEYASALMHYIGSGLLSSKPDEEQRKELGLATPSTQKEFSFCACGFGGSWKRKDGR >KZN07109 pep chromosome:ASM162521v1:2:38449133:38451517:1 gene:DCAR_007946 transcript:KZN07109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYICVVTVQTLEAIAKKLNVKHWDVKRSSCSGGGGLSVVFNISQALSNVTCDCKFNNNSVCHVTNIQLRGLNLTGELPSEFSELAFLQELDLMQNYVNGTIPISFGQLPLKTLTLLDNRISGSIPREIANIDTLEELILEDNQLGGTLPPELGNLRSLKRLLLSSNNFIGTIPETYSALKNLSDFRIDGSGLSGQLPNFIGNWTKMTILNLQGTSMEGPIPPSISLLKNLQELRISDLKGPASRFPNLQGMANMKYLILRSCLIRDSIPAYIAKMISLNTLDLSFNRLSEPIPDSIQPLESSLSFLYVAVH >KZN06050 pep chromosome:ASM162521v1:2:29624268:29625315:-1 gene:DCAR_006887 transcript:KZN06050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGELGCTYACLILSDDGIPVTSEKIATLLKAANVSVESYWPSLFAKLAEKRSIDDLVMNVGAGGGGGAVAVSAQPAGGAAAEAKAPVEEKKEEPKEESDDDMGFSLFD >KZN04439 pep chromosome:ASM162521v1:2:10851168:10851473:1 gene:DCAR_005276 transcript:KZN04439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTDLAWKAVNLAEKRLPAENWPEYYDTRNGKFIGKQSRLYQTWTVSGYLTSKLLLENPDKASLLFWEEDYDLLNICVCALSKSGRKKCSRDAAKSHILV >KZN06503 pep chromosome:ASM162521v1:2:33462146:33467055:1 gene:DCAR_007340 transcript:KZN06503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNKKLIDVATLGSLCVDIVLKVPELPQSSPQQRKAFLHQFSNTPPPKQYWEAGGISNTAIAAARLGLDCIAIGQVGDEIYGNFLLDVLHDEGIEMVGMVEHDGVIGSSSTSCETHICYVLVNPLQEHAFCSPSDFVNKPALSWMTSLSTKVKMAIKQSKILFCDGFAFFVLQPDLIISALEYATEVGRSIFFDPGPRGKYLANGTPAEQKALDKLLTMSNVLILTSDEAEALTSIRDPILAGMELLRKGICTEWIIVKMGSKGSILITESSVSFAPAFKVDVIDTVGCGDSFGAAIAFGYIHSLPLLHTLTLANAVGGATAMSSGAGRAVATLEKVIEIMTRSQINEDDFIHELLNKDLNVQEITVISNMTNNGNSNKPKHVPLQKVTSELLLKFDPDRIKYSGTIG >KZN04501 pep chromosome:ASM162521v1:2:11931401:11933456:-1 gene:DCAR_005338 transcript:KZN04501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTGFGASLSSPKVDVAIDTGNHFLNHTLDGFLKIGSVAVARTAAEETYNAVSQGSISQHKFEQSLKKMCKEGVYWGSIAGVYVGMDYGVERIRGTRDWKNALIGGALTGALVSVISSNKRDKIVKDAITGGAVATAAEFINYLT >KZN05655 pep chromosome:ASM162521v1:2:26014166:26015832:1 gene:DCAR_006492 transcript:KZN05655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSSEIGRALDTEQSAMRRGSSRDHSVRKEAGGLDLQKEMHLVDELSQAEGESFSDLPPLKRNSNSTRQLHSGNGWTSVHLSAILKMTPEAGQTIITGEINAMSLYKKQREDMGSMTFSSNVEIAKVIQRQNSRKAPFGYNKREKEVLESLNDPRTSSAVSDYGGVQTSGGLLEVEASSMTAFQKPSEPCVNNMASMLNEKEMGVHPVFRQDPGDFTKENKSAMQIAELSKARVNLEEMKESDDLQGNLSASNSEVNKLTDTKCPMLYSDMSSEAELAESESLYLSRIHHYSKSTH >KZN06930 pep chromosome:ASM162521v1:2:36937845:36941122:-1 gene:DCAR_007767 transcript:KZN06930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASPLSQISCCYSSSNSISSLSQLHSSDFPSRKLSPAFSVTCALSRRESSRRLVSVSLFCLYCTSSIPKLAVAGGLDKYLKRKKLDPLEAYVPAVILTQLQIEDLGKILDVDKPQYATYRNLLRSGPAASLRVNIRAVAQYAAEAGYEKTAYSNVDQCLGALEELDSLLLRASRNDGETSIKSMKLKIDIAVDALNSLLKTVPSEVLSKGKEVADAYLTPEESVPTDKLDPGLKQLESIL >KZN04680 pep chromosome:ASM162521v1:2:14611414:14634268:1 gene:DCAR_005517 transcript:KZN04680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAAFKMMHSPTSIETCASGYITHSNQLPKLPSIQTEDSDWLSIKPNVTASIPNLVVTAANVLEVYVVRVSEDSGGSGKGSVVDKRGGVMDGISGASLELVCHYRLHGNIYSMAILPCGGADGRRRDSIILTFDDAKISVLEFDDSVHGLRTSSMHCFEGPEWLYLRRGRENFPTGPLVKVDPQGRCAGVLVYGLQMIVLKASQAGGFVGDDSTLGAGGASCARIESSYIISLRDLEMKHVKDFVFINGYIEPVLVILFEHELTWAGRVSWKHHTCGISALSISTTLKQHPLIWSASNLPHEAYKLLAVPSPIGGVIVISTNSIHYHSQSASCILALNNFAVSVDGSQETTRSNFSLELDAANATWLSNDVAMLSTKTGELLLLKLVYDGRVVQRLDLSKSKASVLTSGITTIGNSLFFLASRLGDSLLVQFTSGVGASTLPPGMKEEVGDIDVDVHQAKRLRRSSSDALQDMVNDELSLYGSGPNNAESTEKIFSFTVRDSLINVGPLKDFSYGLRINADHNATGIAKQSNYELVCCSGHGKNGALCVLQKSIRPEVITQEPIPGCKGLWTVYHKTSRSHTIDSSSKMASDDDEYHAYLIISLENRTMVLQTVNNLEEVTESVDYYVQGSTVAAGNLFGRLRVIQIYARGARILDGAFMTQELNFKAPNSESGSGSESPTVSSVSIADPYVLLRMNDGSIQLIVGDPSSCTVSTSFPAVFENSKKSIASCTLYHDKGPEPWLRKTSTDAWLSSGVGEAIDGSDSTLDQGDVYCVVCYESGILQVFDVPNFCCVFSVDNFESGKAYLGDTFVQESANDSQNHLRKNSEETENGRKENNQRIKVVELAMHRWSGQHSRPFLFGILTDGTVLCYQAYLYEGSESSVKIEEIVPVHDSVNLNNASSSRLKNLRFARVPLDTYIKEEILPETPSPRITTFKNVGGFPGLFIAGSRPIWFMIFRERLRIHPQLCDGPIAAFTILHNVYCNHGIIYVTQQGTLKICQLPSLLCYDNYWPVQKIPLKGTPHQVTYFAEKNLYPLIVSVPVVKPLNQVLSSLVDQEAGHQIEHDNFSSDGTYAVEEFEVRILEPEKSGGPWQIRGTIPMQSSENALTVRVVTLFNTTTRENETLLAIGTAYVQGEDVAGRGRVLLFSVERIAESSQTTISEVYSKELKGAISAVASLQGHLLIASGPKVILHKWTGSDLTGVAFYDAPPLYVVSLNIVKNFILLGDIHKSIYFLSWKEQGAQLTLLAKDFGSLDCFATEFLIDGSTLSLTVSDDQKNVQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLPTPDRTNAAAVPDKTNRFALLFGTLDGSVGCIAPLDELTFRRLQSLQKKLVESVPHVAGLNPRSFRQFHSKGKAHRPGPDSIVDCELLCQFEMLVLEQQHEIANQIGTTRSQSGTNLNDLALGTNF >KZN06405 pep chromosome:ASM162521v1:2:32612439:32612903:-1 gene:DCAR_007242 transcript:KZN06405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINVRDSTMVGPAQETPRRSLWNANVDLVVPNFHTPSVYFYRPTGSANFFEARVLKDALSRALVPFYPMAGRLKKDEDGRVEIDCQGQGVLFVEAESDGVIDEFGDFAPTLELRKLIPAVDYSLGIESYSLLVLQVKTFPSVELLKDRKIVRE >KZN04494 pep chromosome:ASM162521v1:2:11872205:11874983:1 gene:DCAR_005331 transcript:KZN04494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISALATNSDAYRVTVRVGRIWEAINRKTRTVLHTNITLIDEKGDHILAVIGNNQKSIYLPQLKEQSVYTITNFKTVIKEILDKEVIPRYKFELKPFENVSKLVGEVKSLIDVIGMITTVGKLEIRTNGAQKLDVALVNERQSYVFCRYKPFSQYYKASLSSTDATGTFVNIDYPPLNQLRDSMSVASEKRGAALKRPATMHFVAMDEQSVQQLGISAVLEL >KZN05663 pep chromosome:ASM162521v1:2:26114084:26119572:-1 gene:DCAR_006500 transcript:KZN05663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTGVLATASMPLSRNLEVCKKHSTFVNCCIDNTTMLDTNSVCNDQENSREFSYSRARPNVRWPHLKITEPHLVKSHFEVDDADLGIDGSEMSGKNDVLEGNEGGFESVNDEKQEVLGRPSRTRAKKMTKLALKRAKDWRERVRFLTDRILKLKSEEFVADVLDDRKVQMTPTDFCFVVKWVGQSSWQRALEVYEWLNLRHWFSPNARMLATILSVLGKANQEALAVEIFTRGEQGVGDVVQVYNAMMGVYARNGRFSKVRELLYLMRERGCEPDLVSFNTLINARLKSGSIEPNMARELLSEVRRSGLRPDIITYNTLISACSRGSNLEEAVEIYNDMLGSRCQPDLWTYNAMLSVYGRCGLSTEAEILFNDLESKGFSPDAVTYNSLLYAFAREGNVEKVKKICEEMVKMGFGEDEMTYNTIIHMYGQQGQHDLALQLYRDMKSLGREPDVVTYTVLIDSLGKANKITEAANVMSEMLNAGVKPTIRTYSALICGYAKAGMRLEAEDIFDCMLRSGIKPDHLAYSVMLDILFRSGETHKAMMLYHNMVRDGYSPDVGLYEVMLRVLEKENKMEDVQDVVKDMEELCDLDPQTIAYILVKGECYSNGDEMFRSAIRQGYDVNRDTLLSMLSLYCSCGRHLEAKELLEFLKEHAPKSQQLVSEAMVVTLCMAHQLEAALSEYRKSMTYRLVDRSLIMYETLIKFCEEMNLLAEASQVLSDMRFVGLEPSSELCRQMALVYCRMDYPETAHHLIDQAEAKGVRINDMSIYAALIEAYGKVKLLQKAESVVGTLRQSVSTVDRKVWNALIQAYAANGCYEKARAAFTTMMRDGPSPTVETINGLMQALIVDERLNELYLLVQELQDMGFKISKSTIVLMLDAFAKAGDIFEVKKIYNGMKAAGYFPTMHLYRIMIILFSKGRRVRDVEAMVAEMGEVGFKPDITIWNSLLRLYAGIEDYRKIAQVYRQIKEAGLKPDEDTYNTLIVMYCKDRKPEEGLSLMHEMRKLGLDPKLNTYKSLLSAFGKLQMLEEAEELFEMLQSGGYKLDRSFYHIMMKTYRSFGSHSKAQNLMFTMKEAGIEPTIATMHLLMISYGSSGHPTAAEEVLKNLKLTGENLSTLPYSSVLDAYLKNGDYIIAVEKLLEMKEDGLEPDYRIWTCFVRAASLCQDTSEAMTLLSAIRDTGFEIPIKVAEKDWGADFRKLSAGAALVGLTLWLDHMQDASLNGFPESPKSVVLITGTAEYNQVSLNSTIKAFLWEMGSPFLPCKTRSGLLIAKAHSLRMWLKDSPFCFDLELKNASSLPEENSMQLLEGCYIRRGLVPAFKDITERLGLVRPKKFSRLALLSDEKRDKVIEADIAGRKEMLEKVSKYGPTRNRNNRKLRKSMDSVRY >KZN06403 pep chromosome:ASM162521v1:2:32591710:32597891:1 gene:DCAR_007240 transcript:KZN06403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAALFVCYTNSSASTPTIPTKIGKGYRLVSVAESPDGGLVGHLQVKQKNNIYGPDIPLLQLYVKHETDGRLRVHITDAEKERWEIPYNLIPRAQPPTLKQSITSKSTNEPITVSEYSSSGLIFSYTTDPFGFAVKRKSNGQTLFNSTSDGSDPFGNLVFKDQYIEISTKLPKDASLYGLGENTQPHGIKLFPNDPYTLYTTDISAINLNADLYGSHPVYMDLRNVGGDVNAHAVLLLNSNGMDVVYRGSSLTYKVIGGVLDFYFFSGPTPLAVVDQYTEFVGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVESYKKAKIPLDVIWNDDDHMDGHKDFTLNAKNYPRPKLLAFLDKIHKQGMKYIVIIDPGIGVNSSYGVYQRGLANDVFIKYHGKPFLAQVWPGAVNFPDYLNPKTVSWWADEVKRFHELVPVDGLWIDMNEASNFCNGLCTIPVGRICPNGTGPGWICCLDCKNITNTKWDDPPYKINASGLQVPIGYKTIATSATHYNGVLEYDAHSLYGFSQSVATHKALQGLQGKRPFILSRSTFVGSGKYAAHWTGDNKGTWNDLKYSISTMLNFGIFGVPMVGSDICGFYPAPTEELCNRWIELGAFYPFSRDHANFYSPRQELYQWKSVAVSARNALGMRYKLLPYLYTLAYEAHISGAPIARPLFFSFPNFTRSYGLSTQFLLGKSLMVSPVLDKAKTKVHALFAPGTWYSLFDMTQVIVVKEGHYRALDAPLHVINVHLYQNTILPMQQGGLRSKEARMTPFSLVVTFPLGASDGEAKGNLYLDDDELPEMKLGNGYSTYVDFYATVSKGKVKVWSGVQESKFALEKGWYVEKVTVLGLNGIGAELEVEVDGIAAVDTSNVEFTATEHKFPDSLEEDVNDDMKKSMMLEVRGLKLSVGKKFAMSWSMGIRG >KZN07081 pep chromosome:ASM162521v1:2:38196330:38196731:-1 gene:DCAR_007918 transcript:KZN07081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQEFQESDILFEQNCSEDHYDHRRNSHPKKLKRNKKKKENSRPVNIPENASAPAKNSSCFRAMDSKNLFEESYEGDAQVGITPPHVILGRRMNGKMAFSVCTGNGRTLKGRDLCQVRNSVLRMTGFYEYYA >KZN04054 pep chromosome:ASM162521v1:2:1248109:1249725:1 gene:DCAR_004891 transcript:KZN04054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFHGSQSSGTTQRLYYQPMQQVEPYWIQNKKASSDNSSQETAHSSPHDEQFFTLDSSPADYVTYDSPSVASISSNRSPFSSQYSQSYRSDQHQSPENTYGSPVSGSSMIDDGNALKHILKELESKLLGPESEADDVCSYSFNSATPEVTSLTKYDRLLQMVPSLDVKQVLVACAEAIADGDVSSVAPLINVLERMVSVTGDPMQRLGAYMMEGIKARMLSSGSIIYKKLKCNEPTSSELMSYMGVIYQIVPFYKFAYMSSNVIIKEAVEYEPVIHIIDFQIAQGSQWVSLIQALSSRPGGPPIVRVTGVDDSNSAYARGGGLQLVGERLTKVAESCGVPFEFHGAAINGSEVKRENLRIRQGEALVVNFPYVLHHMPDESVSTANHRDRLLRLIKSLSPKVVTLVEQESNTNTSPFLLRFRETLDYYTAMFEAIDASRSRDDRQRISAEEHCVARDIVNMIACEGEERVERHELFGKWSSRLRMAGYTQCQLSHTVGGVVQDMLKEYSGYFRLEHRDGALYLRWKNRDLVTCSAWR >KZN06367 pep chromosome:ASM162521v1:2:32256593:32258267:1 gene:DCAR_007204 transcript:KZN06367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILERYERYSYAERQLISSDPDSTGNWTLEYTKMKARIELLQRNHRHYMGEDLDSLTMKEIQNLEQQLDTALKHIRTRKNQLIYESISELQKKEKAIQEENGKLTKKIKEREKTMVQQAQWEKQNQGPNLGSFLMPHENPYLNIGGTFQGESSQGMTRNELDLTLEPIYPCHLRCFPA >KZN04022 pep chromosome:ASM162521v1:2:697893:698411:1 gene:DCAR_004820 transcript:KZN04022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLIASHRENAVVVTDKAAIKKKISEVLKNAKFPLGVMPVDEAVELGYNPSSGFLWIIRKKKLEHFFKGINRKATLDAEVTGFLEERRMKKITGIKAKELMIWVSLTDFRIDDPASGKIIFTATAGITKTFQISAFEEEEEEEEVKKVEEKVAEPGKKMEEAKKEVEVKN >KZN04280 pep chromosome:ASM162521v1:2:6170597:6171277:-1 gene:DCAR_005078 transcript:KZN04280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKERGKNPTRLEVCNRTHTRVGSDPDHPVYTMPAAMATAEKEHKLAVEKDYTDPEELSEEEGGGAEAGGVPHLTRLVFILVYMFFGF >KZN04485 pep chromosome:ASM162521v1:2:11613775:11616765:1 gene:DCAR_005322 transcript:KZN04485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCRPRNEQALFVALQFGDVETVRALLDKDPAARLNHTTTLYDRQSPLHIAAANGQIQILSLLLDRCVNPDVVNRHKQTPLMLAAMHGNLSCVEMLIQAGANILKFDSLNGRTCLHYAAYYGHSDSLQAILSVARTSHVATSWGFARFVNIRDGKGATPLHLAAHQKHPDCVHTLLDNGALVCASTGGYSFPGSTPLHLAARGGSVDCIRKLLAWGADRHQRDSSGRIPYIIALKYKHGACAALLNPSSAEPLVWPSPLKFIAELNQEAKALLEQALMEANRERERNILKGTAYSVPSPSHSDVEIDDNMSETSDAELCCICFDQVCTIEVQDCGHQMCAQCTLALCCHNKPNPTTACHSAPVCPFCRSNIAQLVVANVKVDTDTDPKDLAFSNSRKSRRFRNYSEGSSSYKSLSTVGSFGRMSALGSGRVAADNEWLDKP >KZN05067 pep chromosome:ASM162521v1:2:19597305:19597649:1 gene:DCAR_005904 transcript:KZN05067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTCSKKIKRSKFLKPRISNGVVSSKEWLKHITDESSKAVIPVGPRFQADVRDWNGQIPGNYQDESKWLGAKVWQPNFTILTAVEEDGYTSGKGKLEPLNEQSYMSGIRIIS >KZN05292 pep chromosome:ASM162521v1:2:22242636:22243064:1 gene:DCAR_006129 transcript:KZN05292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKGEKKPAEKKPAEAAKTPAEKKPKAGKKLPKDAAASGADKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAGEASKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSG >KZN06382 pep chromosome:ASM162521v1:2:32384523:32384816:-1 gene:DCAR_007219 transcript:KZN06382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKLSCSWWRILCILCILLLASDSCAAIRMGRSLKMGMTMDKEPEAAAAPSNEMATNGKGSLDFHVLGKGIVPPSAPSCRHNDPLAMINLHSQLG >KZN07214 pep chromosome:ASM162521v1:2:39158406:39164717:1 gene:DCAR_008051 transcript:KZN07214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLPTSTTTATTAYSSPSSPFLAKPSQITVTKRSSQGLKFTCKAANGDKNSEDNSRKEQYNATRFDRRDLLIGLGGLYGATSLGSNPSAFASPIKAPDLAKCGEADLPAGAAHTNCCPPFSGTVLDYKLPVPPKTLRVRPAAHLADKAYEAKFKRALELMKALPDSDPRSFTQQANIHCAYCDGAYEQVGFPELDIQVHNSWLFFPFHRWYLYFFERILGSLIDDPTFAIPFWNWDAKEGMPMPSMYTDRSSPLYDQFRDAKHSTSGFMVDLDYNGRDENITKEQQLNQNLTIMYRQMVSGSKSASLFLGSPIRAGESEQGGGNFESTPHGPVHIWSGDRTQPNLENMGNFYSAGRDPMFYAHHSNCDRMWSVWKTLGGKRRDYTDKDWLDSEFVFYDEKAQLVRVKVGDCLDSKKLGYVYQDVPLAWTGSRPTPRLKRVLNKLKKSDVANAAEFPMVKDVFPIKLDKVVKVLVHRPKKNRSKKEKENEEEILVIEGIEVERDAFVKFDVFINDEDEAASAADKTEFAGSFVNVPHKHKHGKNIKTRLRLALTDLLEDLGAEDDDNVLVTLVPKPGKILKLLPVKYILRCRGVQKSWYYLVGSEMFISLQLNYQKIRNDHYPKYLFFENSYTDVLTLRYDDVQCEEYCRPKYPPGLTDKSAWRAVSYGLICVSTMIFEFHESTKEIYLWNPLVEKYKTLPDSPLLNIETRWNTLAFGFVPEINDYVVVHVVKPRLHLGRGEPDPHSVIIAVYSLNTNSWKKICHDKVFVRHINWFDVVFINGAAFWAGIKWDRRKIILCYDTKTEKLREISLPSYSPGDHIIHTIHQLGQSIAYFVWDKRYDYFDMWVLKFYSIDEFSWEKKMSIRPNKDIRVEVMGVRNNGEPILARSFNLITYNLDNHMAKNFVDSWDSWTPYPYYEEDFAPPFFIRPFVESLVLLNID >KZN05106 pep chromosome:ASM162521v1:2:20210140:20210518:1 gene:DCAR_005943 transcript:KZN05106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAWTTPVVNVVSQKKPAYPFHQSNTKSLSLLSKHKHPFLSLPKSSNPPHFFTNTRAFKIQAAASSSSDDASAVTSDAAQELFDWPSVILPYVLLLL >KZN04499 pep chromosome:ASM162521v1:2:11926743:11927597:1 gene:DCAR_005336 transcript:KZN04499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCGSSTCSCGSSCKCGSGCNCSHLDLENTATATIIAGVAPMKSYFDGAEKSFGAEGGNACKCGSNCTCDPCNC >KZN06365 pep chromosome:ASM162521v1:2:32215325:32216281:-1 gene:DCAR_007202 transcript:KZN06365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITAGQPLVQEKSQQISYNRSHTNSGELDVFDAAAYFSGANCRTNYSYSKSSDDMNGESFTVQQKMMRSGKRMSLDIPMMNDKLSSIPSPTRYQKSKKCEKKYKQPNSPGGKLASFLNSLLNQVSSKKKLYHTQSMKVNHDHEIERRKRRSSISYFRNLNTVSSSTSDSSDSKSSTFFSSSSTTKFKTPPHAHSPIENSSKDIKSHSDHCSNIDIHVKPSCSSDKNINASEKTKNFWSHDEKKFKFKAPSNEFSLEKCSNFVNGILGDKTDHSGDSTNFINDEDDGADSDSSSDLFELQNFDLVSCFSSNSLAYEDN >KZN07260 pep chromosome:ASM162521v1:2:39480239:39480898:1 gene:DCAR_008097 transcript:KZN07260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQKPNRPEAITIQPASPRFPQPSTPTSNAQRKIAIAVDLSDESAYAVKWAVQNYLRRGDAVILLHVSPTSILYGADWGSGDITVPDDPSEESQQKLEEDFDNLTNIKSNHVAQPLVEAHVPFKIHIVKDHDMKERLCLEIERLGLSAVIMGSRGVGASGRNAKGRLGSVSDYCVHHCVCPVVVVRYPGAENNGSKPGKVDPVPEEIKPGDRDASDK >KZN05782 pep chromosome:ASM162521v1:2:27185545:27191907:1 gene:DCAR_006619 transcript:KZN05782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRSAHQEMELISRMRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAGVIKKANGILFSEEKLCRWLVQLLMALDYLHTNHVLHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSHMCPELLADIPYGSKSDIWSMGCCIYEMAAHKPAFKAFDMQALINKINKSIVAPLPTKFSGAFRGLIKSMLRKNPELRPSASELLRNQHLQPYVLKIHQKINTPRRNSLPSTYWPETEYVKKTRFAVSEVPRATYREKRLSYSNDRTLNPSISLHDDESPVYGRRVQNTPRFFRQGVSALSSGNEHGETVISKSFAKKPSVVTRSPGYSKKKASGPPKRAELTKKLEPLPVSRTTTKQTALSNRRASLPLTRTTVQESSCRANTDIFDCFGSPNVSVNAPRIDKMIELPLPLNEDSHIRRRRTSLTSAQGSTTSPQGEHLTMKDKCIVSDETSGRLSVADALQGSSECSDQDATAGASSKASSYQRQHRFDTSSHQQRAEALEGLLEFSARLLNEERFDELGVLLKPFGPGKVSPRETAIWLAKSFKENTAHQDDQYQ >KZN04242 pep chromosome:ASM162521v1:2:5087831:5089703:-1 gene:DCAR_005116 transcript:KZN04242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMFRITIIVTVRINGRLKKNWAERQSKVCRQLDLAAKNSIVEKHELQKNSGPDPDNGTITITVVMVLLLIDCLGTIAQSGTSKFLAALKESKDVGADNALIGQFGLGFYSAFLVSEKVVVSAKSPKSDKQYIWEAAAESSKYMIKEETDPEKMLRRGTQITLYLRSDHKYEYTEPTKIQNLNRGVLDYLCYSAAAAACRTRFAAAV >KZN05271 pep chromosome:ASM162521v1:2:21933004:21933969:1 gene:DCAR_006108 transcript:KZN05271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGYGRDGIYRSLRPPLNLPKTPKLSMTSFLFRNISSHPHHPALIDSNSNHTLTFSQLSSSVSKLSRGLQQLGVNKNDVVLIFSPNSLHFPVCFFGIINAGAIATTVNPSYTSHEVAKQVQDCKPKVIFTVFELFDKVKGFDLPIVIMGFDENVEKLQGGGLESRIVLFDDLIRNDGSLLFPVEVSGDDTAALLYSSGTTGVSKGVVLSHRNFIAAALMACGDMDLMGEMSSVFLCILPMFHVFGLCVITYAQLQRGSTIVTMAKFDLEVLLGTVEKYKVTHMWVVPPIVLALAKYSGDGKYDLSSLKQIGSGAAPGKS >KZN04736 pep chromosome:ASM162521v1:2:15222478:15227868:-1 gene:DCAR_005573 transcript:KZN04736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLGDLPSYDPHNFSQLRPSDPSNPSKMTPITYHPTHDRTLPPPDQVISSEEKNILLRHFYMRAEEKLKSKRAASDKLSPEQVRKHPRASISKGHL >KZN05489 pep chromosome:ASM162521v1:2:24307516:24308104:-1 gene:DCAR_006326 transcript:KZN05489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTHEERENFECHENSSEQRISYDLVPAPNVTGLMRRIIPNQGEISADSVISIQQCVIKFIRYVTAEANTRCGEGMRTTITAHDVLIALNKLGFHHYIGPLFIYMNRLQEFQPEQGDPLVIRRTLFQPRGMGIGGPGFDVEGVMTMGGFVGDDQNDAPSGSAAHSTGDA >KZN04457 pep chromosome:ASM162521v1:2:11194837:11197462:1 gene:DCAR_005294 transcript:KZN04457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYNNSSSCNSYSSNSNEDSYPCYPGDFLAHTQMPPLQLDSLIITNNGYDTVSAALMMKQEQLQSGGGRSSYASPTSLTQPSSDFMMQRSASSQSFQNHFNGFQYHQQLNHLSGFQDSGPVRKAFSTGDLERINMVQHQHRSDSPLSNESSIIECMNAKACKYSPEEKREKIEKYRNKRNLRNFNKKIKYECRKTLADSRPRIRGRFAKNSDESEKEGQLINEWNHVPAHNHVSLEQDDENWINFLDAFSSNLLP >KZN05454 pep chromosome:ASM162521v1:2:24024965:24030794:-1 gene:DCAR_006291 transcript:KZN05454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQVSGGGTSSRLFTRELNPTTRMRRSLLLQNSSQSRNFNMLVNGKRLRCIDIVARASLSTNYTQMSSPLPIMRQRRRPLSDVNYKLSSDSSVQTSDMDESLSIEEEFEPSTSEDTSHDLNENSSSVASDKVKAELKGNDTNPTHELITLSLPAILGQAIDPFAQLMETAFIGRLGSVELASAGVSISIFNIVSKLFNIPLLSIATSFVAEDISRNAHSRSNSEGHEEINNARPLEAMAKRQQLSSVSTALTLAIGIGLFEAVALWLGSGIFLNLMGLSSASSMHAPAQRFLSLRAIGAPAVVVSLALQGIFRGFKDTKTPVICLGIGSAATILLLPVLMYFLKLGVTGAAISTVVSQYIVTCLMLWHLNKRAVLLPLKLGSLQFRGYLKSGGFLLGRTLAVLITTTTGTSMATRQGPLAMAAHQICLQVWLAVSLLTDALAASGQALISSSASKGDYRSVKEVTYSVLKIGFGTGILLTLILGASFSSLATLFTKDAEVLAIVRTGVLFVSASQPINALAFIFDGLHYGVSDFKYAACSMMVVGAISSIFLYYAPSVFGLPGVWAGLTLFMGLRTIAGVIRLASKDGPWWFLHKDSERLKFSEVR >KZN06348 pep chromosome:ASM162521v1:2:32094912:32095613:1 gene:DCAR_007185 transcript:KZN06348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLCFVLNLFTIFFLLPSCLSKVSISFSPKTLSKSGDPVTIKWSGVDAPSELDWVGIYSPPNSSKDHYIGYFNLSKSETWQSGSGSLTFPLVNLRSNYQFRIFRWFESEVNPKHRDHDHNPIPGTKHLLVESEELGFEPGRGPEQVHLALTGQDGEMRVMFVTHDGKESFVKYGSSQDDMDRVVGTKVVRYEKEHMCDYPANHSIGWRDPGFIHDGVMKDLKKGKKYYYKVN >KZN06351 pep chromosome:ASM162521v1:2:32114946:32117591:1 gene:DCAR_007188 transcript:KZN06351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDKDRDTHVYMAKLAEQAERYEEMVDCMKQVAKLNVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEQNVKLIKGYRQKVEDELSNICNDILSIIDKNLVPSSTSGEATVFYYKIKELPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGDDNNAKGEESKSESKPAATAENADLSAHK >KZN07393 pep chromosome:ASM162521v1:2:40551839:40556588:-1 gene:DCAR_008230 transcript:KZN07393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCQVMSNMGSGGNGAILPSDSFFSSQIQNPNFNFMSNMPFTHVYPSFLPKEDGLPTLKRGKEEMESGSGGSEQIEGGLSGNEQDTAQQPPSKKKRYHRHTAHQIQEMESTENDNLKSENYRLQAALRNIICPDCGGPAMLGDMSFDAQQLRIENARLKEEFEKVCSLLARYNGRAMQAVGPAAPPLSPSLELDMSFYPRPFDQDSLGNCTSMFPVPLMPENSSQFPGAGGLLIMDQEKALALELALSSVDEIVKMCHTAEPLWIQMSDGKEVLNVEEYNKMFPWPMRLGQLPSEQLRTEASRDTAVVIINSITLVDAFLDADKWVEMFPSIISRARTLQVIASGASGNANGSLHLMYAEIQVPSPLVPTREAHFLRYCHQNVEEGTWVIVDFPLESLQDYSYPPSFPIYKRRPSGCIIQDMPNGYSRVTWVEHVEVEDKPVHQIFNQFVNSGLAFGAQRWLAVLRRQCERLASLMARNISDLGVISSPEARTNLMNLAQRMIRTFCVSLSSSSGQSWTALSDCPEDTIRITTTKATEPGHPNGLILSAVSTTWLPYSHHQVFDLLRDERSRAQMEVLSNGYSLNEVAHIANGSHPGNCISLLRINVASNSSQTVEFMLQESCTDESGSIVAYTTLDVDAVQLAMNGEDPSCIPILPLGFVIVPVNQNNGIATQDPGCLLTIGLQVLASTVPNAKLNLSSVTAINNHICNTIHQINTALSGASTSGCHDSSGLIGGRSSAESPSGMQKKESVSTSRESQ >KZN07473 pep chromosome:ASM162521v1:2:41147240:41153540:1 gene:DCAR_008310 transcript:KZN07473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLVNVAMGGEDDNRDNSESRDERSRSSWAEVVSGEQENDGEDQRNKRENRQGWESSGSRPSRRPQEENREEWELNGPRPSRRPQEAQHEGYERDEHRTVDNYSKSHRKQQGEEENKDGWETVGKKPPRQRQQVRTDEWDNYKRPSNEQKYSEEISYGVDIEPSEEELNDLSKACNKLWELDSNRLVPGKDYQIDCGEGKKVYQKDDMAEGSLFCALNRDIFRRPTFARFCSLLDNYNPHVGSKEVVTSQEKQEEVAFIEEISRTAPIKYLHKYLSSKGIIRGSYQDFKAMMTSLWFDLYGRGGSSSSSSAFEHVFVGEIKQQGEQAVSGFHNWLQFYLEEQKGRVDYQGYIYPRRRGQVPDSETQLLTIQFEWNGVMKSVSSTLVGVSPEFEIALYTLCFYMGDENNYVELGPYSVNIKCYRLGNNIGSAFPVAEN >KZN06603 pep chromosome:ASM162521v1:2:34289715:34292840:1 gene:DCAR_007440 transcript:KZN06603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRSATVCGAVQIAARSPQAQVFCNCVLEGIDLSRKKTMGFSSWLYTNSTKQVLKIVHPGGHAELHDRPVLAAEIINRNPRCCVAHPNIFQQPWAIVAPDTTLMPGQKFYVVPVSTIRRLQKLSLKYNTSHNQDVNQNNNGLTGEVDSFRTTCWKFKPSNTSNGVTSDDSYCACLRSGKKLKGNNNEESSKEKLASNLTFSESETRSNDNSTRGGGQHPKRLIALDNWHPGLESIGEEIAPA >KZN04188 pep chromosome:ASM162521v1:2:3456006:3456257:-1 gene:DCAR_005025 transcript:KZN04188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIERYKCKGMSRIRCGLHRRNLPPAIFLCRRHTDHTLAFVALSFNVTASGSENNNEKRQDEEAPRRLWPRQSSDQRRVRREI >KZN04669 pep chromosome:ASM162521v1:2:14431058:14451055:-1 gene:DCAR_005506 transcript:KZN04669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQHQRIHDHDDDSEKSEVSSIYMETAAPSSSSPSSSSGFSDNNFIQQNIMSSEDSVLQTPGSDSSHIIQAEKDKEKIKWLREFAEVQDDGTVELKVQDENIKSQTLAFVTGVVRSEATNEVSVDASENQDIPPLQIVVLIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKDFVLSSGLEFFPLGGDPKVLAGYMVKNKGFLPSGPSEVNIQRNQIKAIIFSLLPACTEPDPESQVPFNVDAIIANPPAYGHVHVAEALRVPIHIYFTMPWTPTSEFPHPLSRVRQPVGYRISYQVVDSLIELGMRDMINDFRKKKLKLRPVSYLGGYNDPQGVPHGYIWSPHLVPKPRDPEGMTEIIVQALKMTGQRGIINKGWGGLGNLVEPMDFVYLLDNCPHDWLFLKCAAVVHHGGAGTTAAGLKAACPTTVVPFFGDQPFWGERVHERGVGPAPIPVDEFSLEKLVAAIKFMLEPEVKNRALELAKDMEKEDGVTGAVNAFHKHYPANKLVAQPPILLRKKSSKFSVKQCFGYRSRSTHKRDLGS >KZN07687 pep chromosome:ASM162521v1:2:42739437:42744324:-1 gene:DCAR_008524 transcript:KZN07687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFKSHCFLSQRPLLLPSFSPIRPSPPRQAHFPLAQFSDTHHFKFSSIKRWKVSCFRREESPLEISKHEFVDDILHEDALESEFTKPSSSKGDLVSNLREAVDAVRGTINKPWTVPWTVETILQVTLLWMTAFWFVGHWLVPTGAWMMGYNNVTLTYRGQAFLSLLIDVIEGFAGAAILHHCLSRFRPLPSEWFKFSLKGDWLLDVVVGCLMFPLINRLSQINLDLLPILPSNSVSSIEQSIIAKDPVAMAIYAVLLMVCAPLWEELVFRGFLLPSLTSDLVVN >KZN07327 pep chromosome:ASM162521v1:2:40037274:40038490:1 gene:DCAR_008164 transcript:KZN07327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQITGDHQESAEDVHISIDLGLKKNQEIVMNPLQAFHAGYFRICISLGSQALLWKILSEREDIPKSFHRLSVELPSVVFLLLWYIALCTLVVLSVLYILRCIYYFHLVKAEFLHFVGVNYMFTPWTAWLLLLQSAPIMNPKQPVYQGLCMLFVIPLLILDVKIYGQWFTTEKRFLSVVANPTSQISVIGNLVAARTAAQMGWEETATCIFTLGMTHYLVVFITLYQRLSGGDHLPVRLRPVYFLFVAAPSMASLAWSSISGTFDTPCKMLFFLSLFLFTSLVSRPALFKKAMRKFNVAWWAYSFPLTFLAMAASAYAQEVKGLAASGLVLILTVLSVFIFICLLLSTAVNIEMLFRGSDPILKFDSKGKGK >KZN07046 pep chromosome:ASM162521v1:2:37923000:37932945:1 gene:DCAR_007883 transcript:KZN07046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METILNDCVQNSLRHFLYHNAIFMCERLCAEFPSETNSQLLAACYLQGNQAYSAYHILKGTQQAQSRYLFAISCFQMNLLSEAETALCPASEPSSEVPNGAAGHYLLGLIYRYTDRIRSAVNHFNQALSIDPLFWAAYEELCILGAAEEGTAVFGEAASLYVQKQYSANGLASQSMLASTENKNLVPAKNLNLHDPSPRQVKSMHGTTLKDNSANYHGTTLSGGASSNFVNGGFANMSFCSTPSPMAAQISAVAPPPIYRNMQGNGPNPSLLGADGSPRSTINSTMPAPRRKFVDEGKLRKISGKLFDSGPRRSTRLAGELGPSTGSNATSVTGNGTSHSSRYLGGSKLSSVSFRSVTFRKGQARTSENFDEGVQHESYDDSHQSMTSTTTSSLACDTKSLEEGILMATGGAIMSESQAITGASELVTLLAILGEGYRLSCMYSCQDALDVYLKLPHKHYNTGWVLSQVGKAHFELVEYLEADRAFSQARLISPYSLEEMDIYSTVLYHLKEDMKLSYLAQELVSTDRLAPQSWVAMGNCYSLQKDHETALKNFQRAVQLNPRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDERHYNAWYGLGMIYLRQEKFEFAEHHFRKAFIINSRSSVIMSYLGTALHTLKRSVEGLVMMEKAILADRKNPLPMYQKANILASMENFDGALEVLEELKDYAPRESSVYALMGKIYKRCKMHDKAMLHFGLALDLKPSATDVATIKAAIEKLHVPDELDDTL >KZN07436 pep chromosome:ASM162521v1:2:40822858:40823919:-1 gene:DCAR_008273 transcript:KZN07436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTAGTVGGASQLICGHPFDTIKVKLQSQPVPLPGQAPKFSGAIDAVKQTIAKEGTGGLFKGMGAPLATVAALNAILFTARGQMEALLRSEPGSPLTINQQIICGAGAGVAVAFPACPTELIKCRLQAQSAMAEVGSGVVKYSGPLDVARHVYQTAGARGLFRGLVPTMAREVPGNAAMFGVYEGLKQYLAGGLDTSKLGRGSLMLAGGLAGGSFWIFVYPTDVVKSAIQVDDFKNPKYSGSIDAFRKILAAEGVKGLYKGFTPAMARSVPANAACFLAYEMARSSLG >KZN07746 pep chromosome:ASM162521v1:2:43308488:43308949:1 gene:DCAR_008583 transcript:KZN07746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQPTTCYMELLPTELKLKIITCLWGADVVNLASVTHEWRRLASQVHGMTLLPADKKLKIIDYLQVEDVLKLPCLNREWRRFMQVRVADNGWWEKMCFADDSWKQVWEDFPSFQEYCANWKQNYIEWILYERDMALYWLFLNNRYYGYMDWK >KZN06993 pep chromosome:ASM162521v1:2:37422810:37447764:-1 gene:DCAR_007830 transcript:KZN06993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTDAQVVKSLNTSSGRRRFVFKTFSQRVEDIDIDVYRSLHPLDSEPSPGSTFFRDCLVEWRFKTFSQRVEDIDIDVYRSLHPLDSEPSPGSTFFRDCLVEWRELNTAEDFISFYEEMLPLVQTLPQIILQKKLIFSKLLSRLQLKARLSLEPILRMIAALSRDLVQDFIPFLQTIANSLVSLLKSGADRDPEIIEQIFTSWSYIMMHLQKFLVRDVVFVLKITVKLRYYPKDYIQEFMAESVSFLLRNAQDKQLGKGIQEVMLEVVKKPLEMRTSGASAILFYIMRGTSSNLHSRAKKVIKTLLDESIYTIGDGLSKDSGIAVEVVSATFHRLCEVLEPAELEVVWDSMNVEIWAALGSMSQYSLHLSCLLSVLVSTLQRNYIRKLSDYEPLLKLVAVLMDTFLVEAKEQSSEIIGKIIQLMLCILDGLHSTCNMVAIVRVSQEWAPAFESRNPSLLTFIKQLLSRNKGLLHAFGVNIIKALNDSVEASEEEVVYMLLIFFDKLEELCSSLLHGTSSERLSNVLNFSQKIIRNWVEAVNDNLHGNPSCIQFQENKLAMLYGVVRSFPYVIDVQSNTTLLMDLVDALDQLLLTDSGYIAGFTKNTWTSLIGCCLASYIKLHTLRKLCYNEDSIGKFLNLAKRYKSSSQVLLAVADFLDSIDKSTTLVHADSSKLSPELRSEKAVDALAVFAENLCHPDKQIRVSTLRILCHYESLASDNIARDRPAKKMKVDDSQTLCEGEHDSNVLHHLRSIEETSLSITSSRQVILHISKIQMDLIAAKILEPYIPVLLYGTIGIFHNRFSYLWNPAIECLAELIRRYAGIVWERYIKYLDGCQSIFITCHDQSGKSILDSSCESHDLITHFKSFLCPQSDGTPSGTILPLLIQSLQKVIDISEARTRQIIPLFLKFLGYNCDNLVSVQSHESQITMGKEWKIILGEWLNLLKMMRSPKSFYLGQFLKDVLVYRLLDSNDAELQLRVLDCIINWKDEFLQPYAQHLRNLINSKQFREELTTWSLSRESHLIDEQHRSQVVPLVLRVLIPKVRNSKTLSSRKHASMHLRKAVLGFIAELDIKELPLFYALLLKPLQIISHGNNVIDEWLRISSECSVVEFDSSSILKQFTVANIRALPWKKIYGFLYVVEDIFQVFDIFHIKPFLDLLMGCVVRMLTSFSSSLLCAKSGISQVENDCVLDASEEVSEAETQSTTSRDVEQLKSLRSLCLKILSFVLTKYSDHDFHSDFWDLFFASVKPLIDGFKQEASSSEKPSALFSCFIAMSRSRKLVSLLSREKNLLSDIFSILTVSTASEAIVSCVLKFVENLLKLDEQESDDNAIKTILLPSIDTLVCSLHCLFTRTKGGKRKSIRCPGEQELNVFRLLSKYIKDPSTAGTFVDVLLPLLTKKPCNTDELVEILHVIQHIVQVSGSGTSSKIVNSISPLLISAGPDIRLCICDLLETVSHNDPSTLTVANLLRELNATSTSEIGGLDYDTIIGAYDKINIEFFFDVPEEHALVILSHFVHDMSSEELILRQSAYRLLLLFVEFCGRILDEEAKSEKEGRWSSACIQQIINKFLLKHMGDAMNKEAAVQKVWIDLLKEMVLRLSKVQILKSYQALCSKDAEQDFFNNIVHMQKHRRARALSRFSSVVSSGNLSEVITTKVFVPLLFNTLFNVQDGKGEHLRSASIEALASISGCMDWKAYYELLNRCFKEMTLKPDKQKLLLRLISSLLDHFHFRETNSSFEVKDSVSGALITPVELSEIQTWLYKKLLPKVQKILRADSDNVNVNINLVALKLLKLLPAEIMELQLSNIIHRLSNFLKSRLESIRDEARSAITACLKELGLEYLQFIVKVLRATLKRGFEMHVLGYTLNFVLSRCLSGSVCGKIDYCLEELLSIAENDILGDVSDEKEVEKIASKMKETRKNKSFETLKLIAQNITFKTHALKLLSPVTVHFKKPLKPKEKVKLEIMLKHIAAGIECNPSVDQTDVFIFTYSLIEDGISTENCKGGVSATVDGSNHNEEKVTTSRLLLYDDSKCSPLITVFALRILHDHMKNAKLHKKDEKLLSMLDPFVRLLGDCLSSKYEDVIAAALRCLSQLIHLPLPSIESQADNIKSSLLVIAQGSADANSPIMQSCIRLLTVLLRSTSVTLSSDHLHMLIQFPVFVELERNPSVLALSLLKSIISRKLVVPEIYKLVTHVAELIVTSQVEPIRKKSSQVLLQFLLDYKFSEKGYEEQLFFLLKYLSYEHSTGREAALEMLHAIIVKLPTRFVDQHSRIFLLFLVKSLANDDDKKVRSMAGAAIKLLINRVSSHCRESIIQYCLTWYVGGNLRLWSTGAQVLGLLVEVKTESFQKHLNCVLPVMRHIFQSANDAVKNKELNISDEARIPFWKEAYYSLVMLEKILNQFPEMCLGRDLEDVWELICEFLLHPHMWLRNISNRLIALYFSTVTEACQDNHEKLFRTFFLMRPCRLFHVAVSICCQLRASLTDGIANAIIEQNLIFSICGLHALLQQGEYANLKYWSDLEQHEQGLLIRTFHMLDSRKGRSMFASLTSGIDGFDGNEKSVQVGVLLVSYLLKRLGKIGLQMEAIQMKIVFNTFRSVSPKIFDANEHLGKVVEENNQNYAYHILLPLYKVCEGFAGKVIPEDVKQLAQEVCESIQSTMGTHNFVQVYSQVRKQLKAKRDKRKQEEKLMAVVNPMRNAKRKLRVAAKHRANKKRKVMTLRMGRWKK >KZN07787 pep chromosome:ASM162521v1:2:43577448:43580549:1 gene:DCAR_008624 transcript:KZN07787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVSASTSSQLSVDGSVMPRIKSLKTPHNNTTRQSLRLLNNKNQLHMMRRTTMSRPRVSYLQNSYNSGVIRCGSGMSLIFVASEVGPWSKTGGLGDVLGGLPPAMAANGHRVMTVSPRYDQYKDAWDTEVVVELKAGDKTEQVRFFHCYKRGVDRVFVDHPWFLEKVWGKTKSKIYGPITGKDFQDNQLRFSLLCQEFMLTSLVCPYYCQAALEAPRVLNLNSNKYFSGPYGEDVVFIANDWHTALLSCYLKSMYKPNGMYLNAKVAFCIHNIAYQGRFAFADFSLLNLPDEFKSSFDFIDGYDKPVKGRKINWMKAGILESDTNVTVSPYYAKELISDDAKGVELDNILRKTGIKGIVNGMDVQEWDPLTDKYTNVKYDSTTVMDAKPLLKEALQAEVGLPVDSKVPVIGFIGRLEEQKGSDILAAAISEFIDEDVQIIVLGTGKKQMEKQLEQLEILYPDKARGVAKFNVPLAHMITAGADFMIVPSRFEPCGLIQLHAMRYGTVPIVASTGGLMDTVKEGFTGFQMGAFNVECETVDPADVMAIVTTVKKAITTYSTSAFTKMIMNCMDQDLSWKGPAKKWEEMLLGLGVAGSEPGIEGDEIAPLAKENVATP >KZN05135 pep chromosome:ASM162521v1:2:20463481:20465653:-1 gene:DCAR_005972 transcript:KZN05135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGNCGCGAGCKCGNGCGGCGMYPDVEKNTNATIIEGVAPTNTFSQGSEVIFAAEGGHACKCSMHLDIENSSAATTIVVGVAPMKTTFDGAEKSFGEGGNTCKCGSNCTCDTCNC >KZN06743 pep chromosome:ASM162521v1:2:35506708:35512564:1 gene:DCAR_007580 transcript:KZN06743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMSCKDGKGVMDNGKYVRYTPEQVEALERVYHECPKPSSIRRQQLIRECPILSNIETKQIKVWFQNRRLLSIAEETLTEFLSKATGTAIEWVQMPGMKLYAPTTLAPARDFWLLRYTTVMEDGSLVVCERSLSNTQNSSMPPVLSFARAEILPSGYLIRPCDGGGSVIHIVDHMNLEAWNVPEVMRPLYESSTVLAQKTTMAALRHLKQISLEVSQSSAANWGRQPAALRALSQKLSRGFNEAINGFADEGWSLMGSDGMDDVTILVNSSPDKLLGLNMSFSNGLPSIGHVVLCAKASMLLQNVPPAILVRFLREHRSEWADCNVDAYSAAAVKIGPCSFPGSRMGNTGSQLLEVVKLEGMVPCPEDSIMPRDMFLLQLCGGMDENAFGTCAELIFAPIDPSFADDAPLLPSGFRIICLDSKKESSSPNRTLDLASALEVGSAVSKGFGEYNDGSGSTRSVMTIAFEFACESHMQDTVASMARQYVRSVISSVQRVALALSPSHLNVYGSLLPSLGTPEAQTLARWVCHSYRCYLGSELIKTAGEGSDSILKTLWHHPDAVLCCSIKAMPVFNFANQSGLDMLETTLVALQDITLEKIFDDNGRKSLSSQLPQIMQQGFACLQGGICLSSMSRPISYERALAWKVLNEEENAHCICFMFINWSFV >KZN05290 pep chromosome:ASM162521v1:2:22230319:22232910:1 gene:DCAR_006127 transcript:KZN05290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWVENFRPSKVCDIVGNEGAVSRLQVIARDGNMPDPILAGFPGTGEAASILAFAHELLGPNCKEGVLELNASDDRWNVSPGAARPNPQRNFQIWWGSRRSKDTFSCCCTLALRAVTSQDGMTADS >KZN04278 pep chromosome:ASM162521v1:2:6161848:6163009:1 gene:DCAR_005080 transcript:KZN04278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIWEVPDKEFVKINVHYILSPDLLPNGNIVGVGVIIRYEYGEKLWTTMGSMNALAEEEAIMAVLFIFDRDMGMVLPYPISEVVANFRDGEIIDDSPSRHTLKRKRLEYDMGCCGFEEPDLWPFLNHELHSKLLYALAYPPMWPDKPTNDFSSSKNGLANGKYLEAPIGKGLFVKDILHHATQGSLQDKIPSVVLELTDMPSKDIPKEFMQVDAVLDATGLLKLGHSSVSSAEVKKLRRGAII >KZN07518 pep chromosome:ASM162521v1:2:41488206:41494326:1 gene:DCAR_008355 transcript:KZN07518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNDICKSSIFFSDQLLCHADILPPSQEPSREVVDCSTCGSSGYAITGDLDLLENLLMKTDARYIFVVEKHAIFQRLTEDRVFNQIPCIFITAKGYPDIATRNPAGLAILCTFKYGSTGMGLEAYRYACNVKWLGLRKDDLELIPEQSYIPLKPRDLQIAKSLISSDILQKMTPVTYHPTHDRTLPPPDQVISSEAKNILLRHFYARSEEKLRSKRGASETESLTPEQVRKHPRSSTSDARL >KZN06701 pep chromosome:ASM162521v1:2:35106926:35107246:1 gene:DCAR_007538 transcript:KZN06701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNPSLTIHTMTTGTTMVAAGIHKWNSPLPYLFGSLALMLTLVALALIILVCSYVKSFTSRSLENDVEDVNFGKAAAYVMETEMEPKIVVIMAGDKVPTFLLKKS >KZN06394 pep chromosome:ASM162521v1:2:32525461:32525998:-1 gene:DCAR_007231 transcript:KZN06394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYSIPPIIYGIDMDQSSQEHYDLNSGDDDSFYEELKRQILLLTADDEKAREDYATVDHRRKILSSNKRNSSNKMGSYFDWTNNEKASANSVPACIQSLWRNGNTGTGVFIPDIVMSRRRNKPRRRKNDRGRAFAPENRMINI >KZN06149 pep chromosome:ASM162521v1:2:30554592:30555394:1 gene:DCAR_006986 transcript:KZN06149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQVHCFYLFIFALTGFVICSL >KZN07547 pep chromosome:ASM162521v1:2:41757656:41758354:-1 gene:DCAR_008384 transcript:KZN07547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFAELKDPRIQYYRFLYWGIVFLILAPPWIWITLSLEDQSDTDFSIEDYYIAAVKSNDGSNLNQSIIYFKLNLENDGEATGVYYDNLNLTFSYYTAQGDIVPVANYTIPAFRQGREAETARGITWQEISNVSVPSDVVFRVDLATAVRFRHYIAGIKSKRLRTMAWCNVEVDQITGKKKSNKAIQLKHKIERHPSGWIIFAFIIVLLSTLVVPCLVCICFGVVFDCCMDN >KZN05674 pep chromosome:ASM162521v1:2:26208352:26214391:1 gene:DCAR_006511 transcript:KZN05674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMLSITCFGLSESSFLSYFLDSEWQVLYTAQLTQKAKKFHDGILKLSVCGSQRRQVFLYDETGRLLDSRFLKSDENIRSGESLKLDGHLVDIGNLNGDNEPHEESKLQGGDCVVVKETGRHHQQVQEQTNFHEWQVLYTTQLTQKAKKYHDGILKLFVYGSQKRQVFLYNESGGLLDSRFLKSDENVKSGESLKLDSHLVNIGEPKTDNETVEESKYPGGDRIVSGETEWDAMYTAQVTQRNKKYHSGILKLASCGSYRMQATLLAEDGTTLCRRYLKLSEHVSSGSEFQFPSYLVEVGEPRKHHDELQSKDSPCEESDSLRCSRVEKTKESMEICFAGVQSKELQWKKPGSVVRSSKDEIKPSGETSVEKPVRDVHGILSFLKKPVNQECSVSVKREFSEEGHALQDLDIFHIEIQNSVQGQLVDDSRGQCSALEDSNGDIADIISSDAREDPESKILASAVSLCHGNKQKPTSSTFTSQIVDDDSGFQQPIVSAMPNGLSAKDNINNHQESVFSQAASRFGFGQPLKEVKRKKLSQLSVDSLGINSRCNESSDPCNVDGEKFSTVVTDEFPSFDLGF >KZN05393 pep chromosome:ASM162521v1:2:23353172:23353774:1 gene:DCAR_006230 transcript:KZN05393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVVLSSMNLPQVPVIDMEVLLDGDLMDSELSKLHQACKEWGFFQLINHGVSHSLLDKLKAGVEEFFKMPLEDKRKFGKLEGDMEGFDQVFIGSEEKPNCADMFYMITLPEYLRKPHLLPQLPQPFK >KZN07326 pep chromosome:ASM162521v1:2:40031858:40035321:-1 gene:DCAR_008163 transcript:KZN07326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVKLVIQSSYVFLDNGLVRLTILKPQGILAGIKYGGMDNLLDLKSSETGRGYWDINWSLPGGQPRYQQYILKSGDSGFYCYGIYERPPGCRAFDLAQTRMVFKLQRERFHYMAITDEKQRIMPMPEDMDDTRSKQLIVPESRLLTNPINPDLRGEVDDKYQYSMDNKDSGVHGWISSGPIIGFWVLFPSQEFRNGGPTKQNLTVHTGPNCLAMFHGTHYIGNDILAHFEEGETWRKVFGPFFVYLNSTPNVSKAYNLWSDAKKQRTSEESKWPYDFVTTPYYLNARERGSASGKLFVQDRYVSDSLIPAQKAYVGLSAARTEGSWQTESKEYQFWVPTDSNGIFSIKNVVPGIYALHGWVPGFIGDYLDQKLVTITEGSETQLGNLIYAPHRDGPTVWEIGYPDRTAMGFYVPDVNPKYVNKLFLHSTEKYRQYGLWDRYTDMHPESDQAFTIGINDPKKDWFFAHVDRRVGEKYYPSTWRIKFNLKSVATGIYKLRLATASVNRSDLEVHVNHRDNHHQVFEVQMLGMDNAVCRHGIHGLYRLFNIDVSSELLTVGDNSILLTQAREGDALCGVLYDYLRLEAPINNS >KZN05005 pep chromosome:ASM162521v1:2:18895248:18896748:-1 gene:DCAR_005842 transcript:KZN05005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDSGRKRPLSPSQTEPGVVLRRRGEETGLEAFLRARSKNTQPQEGSWRNSQHVTNSHTSGNEVYKRTLFTEVAYQGRTEKHIADKENFHNHNGTNKSGNSDSSLTFEQTMHDVHASVHHQKNSGSEDYAFTCAARQSSNLGHQTPLNCMLRKGFENETRNIMDHNMSRSEVLTSEGVPEKPKRRTSKHSMIPSLVHANVFSSSHRVPLSEVDLNRTSTHQMQGCSSNASHSTIEDPVCIQRRRGPSVATTIKNRQSHNVHEQTDGNPVKNLNKEFEDAADSTFSDGEDFEQGMTRNFQFKLIANNDLICC >KZN06678 pep chromosome:ASM162521v1:2:34951432:34953270:-1 gene:DCAR_007515 transcript:KZN06678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSYDKHHPFLVDSSSSLFLFPNTTPPANPSLATITHNHNSTHMSCFLEQSIYNNYSPCPSPHHHHPFSDQPDPTVDLSCSVNISSTTKVSNCSNNSSSSALTAQHQPVINPMDKRTNTFQESHLKQKGKKQRKENTSSIDEACMNTISEEKSTNKKKSRRSGEGDKKRNGEEIERNEPPSGYIHVRARRGQATDSHSLAERVRREKISERMKLLQALVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKIASVNPMLYDFGVDLDAYMVKPESSNLEATQQTDVHPQAHAQATNMFNTSGGYSVMDTSTLHQLHQVQMSNIMSQPQLLWDVDGNRHRNINHPGFTNNLSSYHLN >KZN07199 pep chromosome:ASM162521v1:2:39030397:39030654:-1 gene:DCAR_008036 transcript:KZN07199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLLASELLKHSHTDLTCSSSPALPFYSCGATNSAGVPCFTENRAAKLPERALTSRQFCNEKEDFEEDIADLRICVVDIVWP >KZN05776 pep chromosome:ASM162521v1:2:27145335:27146225:1 gene:DCAR_006613 transcript:KZN05776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHLQPLLLILLLFVSRFEYSHSGGIATYWGQTDDTREGTLADACNSGNYQFISIGFLSTFGNSQPPMLNLAAHCDTRPELNTCLRYSADIAACQQKGVKVLLSIGGAKGSYTLSSPDDAKNVSDYLWNNFLGGQSPSRPLGDAVLDGIDFDIEMGTGQFWEDLARALTGYNKKVYLSAAPQCISPDSHLSPALQTGLFDYVWVQFYNNPSCDYLGGPAAVLDSWNKWTMVPSKQIFLGIPASQEKEAAGSGYISSDDLKSQILPIISKSPNYGGVMLWDRSFDKGYSAAIKGSV >KZN04790 pep chromosome:ASM162521v1:2:16014786:16017600:1 gene:DCAR_005627 transcript:KZN04790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLVAGTIGGASQLICGHPFDTIKVKLQSQPVPLPGQAPKFSGAFDAVRQTIAREGAGGLFKGMGAPLATVAALNAILFTARGQMEALLRSQPDSLLTVKQQILCGAGAGLAVSFPACPTELIKCRLQAQSALPEASSGAVAVKYGGPIDVARHVFKTAGARGLFRGLVPTLAREIPGNAAMFGIYECLKQYLAGGLDTSKLGRGSLMLSGGLAGGSIWIFIYPADVVKSAIQVDDFKKPKYSGSIDAFRKILAAEGLKGLYKGFTPAMARSVPANAACFLAYESELSKYITNTMEDEQHLHSLSFMPLLSRVDCLDSVIKDVEKKKKQEQCSVWSSGARKLIGRCSRQGGLPLTTATREAVAASKASLMDRVRSLEDRLLQLSQEIDHASSKASSSSSILSTSLWTTSSSSCAQLKSDLPYPTFHTTRESRLPCHEYFQKPLAPRHRMKTPGNSMQQKAGKVTIIEKDCFNRKRKNKKKTSPCWPRMKLLGC >KZN05976 pep chromosome:ASM162521v1:2:28837495:28846702:-1 gene:DCAR_006813 transcript:KZN05976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRITISHHPYTPLPDGDNAPSSGVSPATQNGRRRSLKFVLVLSGVLAVGLIMGAMFSFSTNDGGAVKMSPLFYKGWYHLFYQYNRDGAIWGKKIVWGHAVSRDLIHWNHLPVAMVTDQWYDVNGVWTGSATILPDGQIVMLYTGSTNESVQVQNLAYPADPSDPLLIEWIKYPNNPVLVPPPGIDFKDFRDPTTAWLTPEGMWRFVIGSKLNKTGISLVYDTVDFKNFTLLDGLLHAVHGTGMWECVDFYPISKVGENGLDTSINGLGVKHVMKASLDDDRNDYYALGTYDPVSTTWVPDNPKMDVGIGLRYDYGIYYASKTFYDSNKKRRVLWSWIKETDSELSDIKKGWASVQGIPRTILFDHKTGSNLLQWPVEEVNKLRSNKTVFENLEVNGGAVVPLEIGLGSQLDITAEFEVDKKSLESVQESNEVYDCKNSMGAAGRGALGPFGLLILADEQLLEQTPIYFYIVKGSGGDLKTFFCADHSRSSEATDVDKEIYGSIVPVLRGEKLTVRILKSLMHWDVFFFDPDGPLFYKGWYHLFYQYNPDGAEWGPKIVWGHAASKDLIHWEYLPVAMTTDQWYDTNGVWTGSATILPDGRIMMLYTGSTNESVQVQNLAYPADPSDPLLIKWVKYPNNPIIVPPPGIGPKDFRDPTTAWLTPEGKWRFIIGSKQNTTGISLVFDTEDFKNITLVDGFLHEVKGTGMWECVDLYPVSKPGEDRVKTSVDELEVKYVMKASLDDDRNDYYAIGTYDPVNGTWVPDDPALDVGIGLRYDYGIYYASKTFNDTNKNRRVLWSWIKETDSNNTDIQKDWASVQGIPRTVMLDNTTGNNLLQWPVAEVDTLRSNHSVFQNLEINSGAVVPLEIGLTSQLDIVAEFEVDKESLERAQKTDEVYACNNSTGAAGRGALGPFGLLVLAYQDLSEQTPIYFYIVKGSDGNLKTFFCADHSRSSEADDVDKEIYGSTVPVLEGEKVTVRILVDHSIVESFSQGGRTCITSRVYPTKAFSDDAKIFLFNNATEANITASVNIWQMNSTSTN >KZN06043 pep chromosome:ASM162521v1:2:29540163:29545290:-1 gene:DCAR_006880 transcript:KZN06043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVVSNERAAYKLKGYFDLAKEEIDKAVRAEEWGLLDDAVLHYNKAQRILIEASSIPVPSYISSSEQEKVKSYRAKISKWQGHAAERLLVLSRRTGGKSSNKAQTETVIRTASSPRKVASRISTGPSGNKSSIRSDTKKVIAPKPVQDNGYDGKLVEMIESVIVDRSPSVNWEDIAGLEKAKQTLLEMVILPTKRKDLFTGLRRPSRGLLLFGPPGTGKTMLAKAVASESEATFFNVSASSLTSKWVGEGEKLVRTLFMVAISRKPSVIFIDEIDSIMSTRTSSENEASRRLKSEFLVQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVNGDLERLVKETEGYSGSDLQALCEEAAMMPIRELGSKILTVKANQVRRLKYSDFKSAMTVIRPSLQKSKWEELEEWNKNFGSN >KZN07344 pep chromosome:ASM162521v1:2:40179788:40182322:1 gene:DCAR_008181 transcript:KZN07344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPFKLLAPLLSKPFSLSPHSPRFFSSTVSKNLIEKITAAFHQENPKILDPFLSSKLQENHVGSIIFNLRSKPMSAIRFFEWSEYVLGFDHNLDSFCGILHLLLSNRLFDPARQVFDKMAVNFGNVDCFEAFYGGLQVYNSNPNTVYSFLIDNYCRIKMVDTSVEIFYRMSALGISVSPYALCSMLSCLVDCKGMDVILSVCGAASDGLRGKHNERMNLYGFVMGGFFKKGDVEMGLHFHRGMIERGFSPDIITCNKIMKAALKRGIKLDLVLFSSIMDAYMKMGNVEKGIDVYNNMLEEGIRPNIVTYGIVVNGLCRSGRLFEALGVFGHIMKCGIEPSLIIYSSLIDGICQFGNLREIIGLYSDMVKNGPTPDAFIYSTVINGFSKQDRVSDAMSFFYQALKMGVRPNIYTFNSLIDGLCRSKRIHDAVKVYRLIGNYDFHPDVVTHTVLIKGIFEEGKALEALSFSFQVLKRGFSLDVVTYCTLINGFCKQKNLTAGLRVFELMLEYNVKPDIAIFNIVLKMLLKENCLQRAEELFRQVCDSGPELDIVTYNTMICGYCSYNLLGKGIQLYEELKRNHIRPNAVTYTILIDAYCKDGNMEDAASLFSTMLDKGLEPNVFTYSCLIDGHFKFHDMRNALDLHDEMLGNNISPNIVSYSILIDGLCKRGLMEEALHVFYRAQEMHLLPDIITYGILMHGFCKAGKFSDAMTFYSQMLGDGIVPDRFIQGILAEYQLQVSQDEDICTSPDTVRKPRL >KZN07140 pep chromosome:ASM162521v1:2:38640269:38642215:1 gene:DCAR_007977 transcript:KZN07140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLNKISLLVLLHVVVLVSNSRADNNAGNNPVSAIFVFGDSTSDPGNNNFISTSFRSNFAPYGKDFANHTATGRFTNGLLATDFIARYVGVKDYVPPYLDSSLKMEELMTGVSFASAGSGFDPLTPTISNVISLSQQLEYFKEYKKRLEAGIGKERTKHVIANALFIVCAGTNDFIVNYYTIPIRRRSYDLHAYMDFLLKQVHQFMEDLLEGGARRIGVPGMTPIGCLPIVITLYSSKPLTNRTCIESLSAVAKDYNIKLQKELYSLQLNHAKSGSRIAYLDSYTLMQDIVTSSSKFGFKVIDHGCCGTGMLEAAFICNRASAICPNASNYVFWDSIHPTERVYYLAVKAFRRTIDFIISR >KZN04590 pep chromosome:ASM162521v1:2:13089496:13101038:1 gene:DCAR_005427 transcript:KZN04590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILRVLENVLQPSFLQKMTILNLLEKISQDPEIVIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSATTLSPAHDLTFRLESVKCLVSIIKSMGLWMDQQLKIGDFFPPSSSDDESRGGDESNLSDYDLQSEAASEYSDAATLEQRRAYKLEVQKGVSLFNKKPSKGIDFLIRNKRISGSPEAVVDFLKNTSGLNEAMIGDYLGEREEFSLKVMHAYVDSFNFGGMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPTSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMTKADFIRNNRGIDDGKDLAEEYLGDLYDQIVRNEIKMKADMSVPQSKQSNNLNRLLGLDGILNFVWKQTEEKPLGANGVLIRHIQEQFKANSGKSESIYYCVTDPAILRFMVEVCWGPMLAAFSVTLDQSDDKAATSQSLQGIRHAVHVTAVMGMQTQRDAFVTTVAKFTYLHCAADIKQKNVDAVKAIISIAIEDGNYLQEAWEHILTCLSRFEHLQLLGEGAPSDASFFTTQNGEPDEKSPKSSSLRKKGTLQDPAVMAVVRGGSYDSTSRKSNTSGLVTPEQISNFISNLNLLDQVGSFELNHIFAHSQRLKSEAIVAFVKALCKVSMSELQSPTDPRVFSLTKIVEVAHYNMNRIRLVWSRIWSVLSNFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELGNYNFQNEFLMPFVIIMQKSSSAEIRELIVRCISQMVLTRVNNVKSGWKSVFMVFTAAAADERKNIVLLAFQTMEKIVREYFPHITEVEAVTFTDCVKCLITFTSSRFNSDVSLNAIAFLRFFAVKLADGGLTLIVEDNDDDSSVKVVDGEGTDGRIVTSKESYWIPLLTGLSRLTSDPRSAIRKSALEVLFNILKDHGHLFSQQFWISIFSTVIYPVFESASNNKESHVQDQSLIASGSPLPQSQPWDSETIAVAAQCLVDIFVSFYTVVRSQLVGLVSILAVFITSTGKGYASTGVGALMRLVKDLGSRLLEDEWKDIFLALNKTAVSTFPGMMRLIRTLDNSEMPDDAQVYLNNSNLSSNNGFDNDDAEDDNLQTAGYIISRIKSHVAALLLIMQVITDIYKSHQQYLSSSNVKILLDTFSSIASHAHHLNCETTLQLKLQRACSILEISDPPIVHFENESYENYLNFVHDLLMSNPSLSKEMNIEQLLVSVCETVVQLYLSCASSESASEKRVKSPIIHWILPLGSARREELAARTSLLLSALRILNGMERDSFKRYVSIIFPLLVDLVRSEHSSGEVQTVLSSIFRSCIGPIIMNS >KZN04617 pep chromosome:ASM162521v1:2:13573319:13573867:1 gene:DCAR_005454 transcript:KZN04617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSIQTPSQSIPEWQHKIFLLSNYTVLGAASSCIFLTLSLRLLPSWCGFLLILLHILTIGGAVTGCTAASAGSNKWYAAHLITTVVTAIFQGSVAVVIFSSTSSFLGNLKSYVREEDGGVILKLEGSLCVLIFCLEWLVLGLAFVFRYREFVQGGNGGRSAANGKVEHEDSSDWPWPFQV >KZN05732 pep chromosome:ASM162521v1:2:26710341:26711603:1 gene:DCAR_006569 transcript:KZN05732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQHSEQGHIIEVCGDLQVQERTAGANKICDGAPCGFSDAKSSPKEAKERSDSMRKLVIAVALCIVFMTVEVVGGLKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAISRFFYETGEVQGFLMFLVSAFGLVVNIIMAVLLGHDHGHSHGHGHSHDHGHGHGGHDHSDANEHNHEHTDDMHTHGMTIKTQVVSKAGHSKHDKNHHHAHATDPTVPLLGPSSESEGEHNKQKKQRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLGTTINMLGNIWEVLMENTPREIDATRLEQGLCEMDEVVAIHELHIWAITVGKVLLACHVKVKPEADADMVLDKVVDYIKKEYNISHVTIQIEREPQT >KZN06163 pep chromosome:ASM162521v1:2:30687742:30690531:1 gene:DCAR_007000 transcript:KZN06163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSREKVELIQQTIQRLITDDDGDSDSSSDGNEVNGSGELNRRGRRRLVLTGLLSQLDTIKADEAEEIACADGEVEHIVEPLNKTEVGSCGMDNEEIAQELKRVRKQNLITHCLLIAMIGLTIVWQIGEVSLLLWLKNGVTHPVRSFGGMVKGMLLPNGRGRERQQSFTKEKLNSTKEKFMEALKKSSTRDKLIESLETIKQSSARDKLIEALEAIESSPIQGIKIPELLRMELPSFDSSTDEA >KZN04528 pep chromosome:ASM162521v1:2:12289794:12294332:1 gene:DCAR_005365 transcript:KZN04528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSEQIRPLAPASDRHTSDHNVNIQTAKTKPLKTRTWFRVSIYIAAFFLLIAIVTVILIFTIFKIKNPKINLNQISINQLDLTNATSILTSQNISLTAHVSVKNPNYATFKYPNTNTNLYYRETVIGQARGPAGEAKARRTAYINETVDVMIVKLVSNPRFQSDIRSTLLPITSYTIVGGRIKVLNFIRRHISVSLNCSVLEGLEMEKRPRGLPLYVQVPLFSPSLSSSMAILVVLFSVFIVVPSVSSAGAPAKSDSFTPPDNYLLDCGSPQQTTLPDKRVFLSDQNSKEHINYEGKDLQVSVDKADVPLPIYRSAKVFSSEATYTFGMNKAGWHWIRLHFFPVPTNDANLQQSKFTVVSDSLVLLHEFSVGSGWVMKEYLVNVTNKQLSIKFTPVKDSTAFINAIEVVSAPDMLISDVGNSLVPVAQTSGLSQNSYQTIYRLNVGGPKIESQSDTLGRTWSEDIKYLKPENAGKNVSVEPKVIIYPDRNSPLIAPASVYASAMEMGDSNTVGPNFNVTWSLDVDTSFTYLVRLHFADIVSKSLNDLYFNVYVGGKKAISGLDLSTVAGDLASAYYKDFVVNSSMGVNSPLKVQIGPMNEAAGTKNALLNGLEVFKINNSVNSLDGEFGADGKTAGPSRGSVAAVGFAMMFGAFVGLGAMAVKWQKRPQDWQKSKSFSSWLLPIHAGDTSFMSSKTSLGSRKGAYSSTMGLGRFFSFAELQEATKNWDASAIVGVGGFGNVYLGEIDNGTKVAIKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSLIGYCDENDEMILVYEYMSNGPLRDHLYGKNLPTLPWKQRLEICIGAARGLHYLHTGSAQGIIHRDVKTTNILLDDNFVAKMADFGLSKDGSNVEGQAYVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLLEVLCARAAINPALPREQVNLAEWALQWKRKDLLDKIMDPTLVEDINLESMKKFAEAAEKCLGEYGVDRPTMGDVLWNLEHALQLQEASLKAKSEEENNAVASGASPAVPSAPAATTSLDNRPVPSPQQTNKPAQVDPIDEHSGTAVFKQFAELNGR >KZN05363 pep chromosome:ASM162521v1:2:23061809:23064210:1 gene:DCAR_006200 transcript:KZN05363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQFSESPSFWPQSVTPRKVYALLLHLHAQTPYSTFARDATAAPEVEYYDYIVIGGGTAGCALAATLSQGSTVLVLERGGLPYDHPTITNMRGFGLTLADTSPSSPSQLFISTDGVINHRARVLGGGTALNAGFYSRASSEFVTGAGWDPTLVKESYEWVEKKIVFEPQVKQWQSAVRDGLLEAGVLPNNGFTYDHLIGTKIGGSIFDTEGNRHTAADLLEYAHPSNISIHLRATVQRILFISGAKPKSKGVVFKDSEGINHWAYLNDGSNNEIIVSAGALGSPQLLMLSGIGPGDHLKANGIEVILDQPMVGQGMADNPMNALIVPSKEPLEFSSAEAVGITAFGCYIEALSAIVEFGWANTLPPELLNQTKQVYTTSRQEQSTEVKAAVDSYLASSVQAGLVLEKVRGPASSGHLELKTLDAKDNPKVTFNYFKDPQDLQKCVQGMETVIKVIESKAVSRFRYDTGSIKLYMDTMLALPLNLRPKHLTTTFSLEQFCLDTVITIWHYHGGCHVDKVVDHDYRVVGVDALRVIDGSTFDSDSPGTNPQATVMMLGRYMGQKILQERSSYTRK >KZN05513 pep chromosome:ASM162521v1:2:24526076:24527609:1 gene:DCAR_006350 transcript:KZN05513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGMESSTESIGMDGLSIDSPSSTSSMTPEVKLSTSSGSEAITWPSSRLGSYSSKPHAPSKDARWDAVGRFEAEFGQLGLNDLRFIRRVGSGDIGSVYLVEIKGAEGCVFAAKVMDKEELASRCKESRANIEREILEMLDHPFLPALYATLDSDRWSCLLTEFCPGGDLHILRQQQPDRRFNEAAVRFYASEVVVAFEYLHMMGIIYRDLKPENVLIRSDGHIMLTDFDLCLKCDNSTPIALVKDQATLTKNSLPLCTVKQTPLSRILPNCKLPNIYCLRPRRTRRLRPSHHGLIDIVAEPIDTRSMSFVGTHEYLSPEIVSGEGHGNAVDWWTLGIFMYEMFYGVTPFRGVDNEFTLGNIVARAVEFPKEPAMPSSMKDLISQLLTKDPMERMGSTTGAAALKHHPFFQGVNWALLRCTTPPSVPKAVVQQDVEGKKEERVKNNVEYY >KZN05529 pep chromosome:ASM162521v1:2:24736203:24736526:-1 gene:DCAR_006366 transcript:KZN05529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYCVLGCVCAVTGLRKFNPDRFLTGGEDADITGVTGVKMMPFSVGRRICPGASMATIHLNLMIARLVQEFEWSAYPENSKIDFSEKLEFIVVMKNPLRAMIKPRV >KZN05870 pep chromosome:ASM162521v1:2:27951737:27952660:-1 gene:DCAR_006707 transcript:KZN05870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHYPAPILPAQTRVGWIGIGVMGSAMAGRLLAAGYPLTVYSRTPSKSLSLQSQGAHLANSLSDLAKASDVIFTMVGHPSDVRQVVLEKATGLLPHLNSHTVLVDHTSSHPLLAKEICIAVKERNCYSIDAPVSGGDIGAREGKLAIFAGGEESVVEWLSPLFEVMGRVTYVGSSGNGQSCKIANQIVVGGNLLGLSEGLVFAERAGVDKREFLEAVKSGAAGSMVMELFGERMIERDFKAGGFVEYMVKDLGMGVDVVDGQESEKVVVLPGAALCKQLFSSMVANGDGKLGTQGLITVIERINGK >KZN05582 pep chromosome:ASM162521v1:2:25294512:25297661:1 gene:DCAR_006419 transcript:KZN05582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELKTALDEHMDLVSHLFENLSSQLRSGMRPALDNFIGFFHAIDWKEPWLMGLMGFYVILLIVAIFSRKNINFQTFLFLFSLAGVYFAENMNALLAYNWQRFAGQNYFDAHGVFVSVLWSGPLLVVAVIILVNTLFSTCQLMVRWKRAELRHRARLAREKTE >KZN05024 pep chromosome:ASM162521v1:2:19027356:19028652:-1 gene:DCAR_005861 transcript:KZN05024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKRSRVGSSSNFIEKQLFNHKSLPANYEKEVPGASSYVRKPAKCLKPSLGEGNKEQSWKNTVLKSTAHESISGGLGSFLKNCYYCKKLIDQGKDVYMYRDLCAFCTEDCRSLQIELDEKSQMQSAKSGGVVQEQDLIVLDNGSFVVKH >KZN05137 pep chromosome:ASM162521v1:2:20491184:20491609:1 gene:DCAR_005974 transcript:KZN05137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILNTSELLLSEECSFLSRPLLDFEQGSQHDHRKIFTQEKDFDKFEENLLKLEVKLPRRGEIEVEQDNGGCRTPTSTEQKIPTMICPSAPRKARPVPSRKRRATSSCRILLDFSSEVESMFPEAVLADLGKKIKRVRTTR >KZN06045 pep chromosome:ASM162521v1:2:29567602:29594775:-1 gene:DCAR_006882 transcript:KZN06045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTTYIGVVLPGTLNWIARGIIMDETVGTSSTENVDISERRSGQVGDNTDQDVGTANRADDSLYEQASFKDEGKASGSSQGGFDDFDQPWGPVPDMESMDSGQIDALDNLDSLNQDVGSSRVGSPNKSKPKPKLDMSNLTPEILHLVDSAIMGKPESMDTLKDIVSGAEGFENEEEAKAQVENIAVLVVDSLLATMGGVESFDDEDTTPPSVMLNSRAAVVAGELIPWLPSSGDFEGLMSARTRMVKGLLAILRACTRNRSMCSSAGLLRVLLQSAEKIFLHDASVAKKLQWDGTPLCLCIQYLAEHSLKREWKESTGPACTFEFDGESSALLGPGDSNWPFVNGYAFATWIYIESFADNLNTAAAAAAISSASVAKAGKSTMSAAAAATALVGEGTANMPRLFSFISADNQIIEAYFHAQFLVVEIGMGKGKKTSVHFKHAFKPQCWYFLAVEHTSRQGLLGKAESVLRLYIDGSLYESIPYEYPKITKPLAFCCIGTNQPPTMADLNRRSHQCPLFAEMGPIYIFKDSIGPEKMARIAARGGDSFPSFGNGSGLPWISPNEYVRSKAEESALLDAEIGGCLHLLYHPSLLSGRFCSDASPSGAAGMLRRPAEVLGRVHVATRMRPAEALWSLAYGGPMTLLPLVVSNIDESTSEPQQGTVSSSESTTVLSATIFRIISMAIKYPGNGEELCRIRGPEILSKILDHLTQTISSPDVKKKGTVDEELVEAIVTLCQSQNYNHALKVQFFSTLLLDLKLWSRCSYIIQKKLLSSLADIVFTQASVLRDANAIQTLLDGCRKCYWAIPEEDSVNTFSRKAVRPISKVNDLVDELLVVIELLVVDAPPSFAVDDMRRLLGFMVDCPQLNQVQRVLHLIHRLVVQPNTSRAQTFAKAFISSGGIETVLVLLQREAYAGDSANSDSLAENKLNSSDCRNSPHVFEFSPADYLATDNPKRSFEVRSHNSDSGLILSRTASSVGRRMSTSENSLLRNLESVRSSMTGENVRNNVYNVDHSDGILVAIIGLLGALVILGQLDFGPQAAPNVTGDLMDIVEGSGTMFDDKVSLLHFALQKVFQAAPNRLFTSNVCIALLGASINVSSTDDGMNFYDSRHRFEHLEILLVLLRSLPYACKELQDRALQDLLFLACSHHENRRSLTQMEEWPEWILEVLISNYELGTSKGANAHIVKDVEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLCIVGGSSTGEQRIRREESLPIFKRRLLGELLEFSARELQVQTQIIAAAAAGIAAEGLSPLDSKAEAENAAQVSVALVDNAIVLLMLVEDHLRLQTKPLRLPDPSASPLSRILAAANTSSRGSFSSEYSDTLIERRSSSSDSGGLPLDVLASMADSKGQISAAAMERLTAAAAAEPYQSVSCAFVSYGSCPQDLAEGWKLRSWLWYGIGIPSTPTAFCGGGSGWESWRSMLEKDENGNWIELPLIRKTVLMLQALMLDESGLGGGLGIGGGSGTGMGSMTTLYQLLDCDQPFLCILRMVLLSMREGDDGETSMTNVNSEDDLSAKPFLRVASISSLDTAYKMSQKTPESALLWSVLSPILNMTISESKRQRVLVACSVMYSEVWHAVGRDRTPLRKQYLEAILPPFVATLRKWRPLLAGIPDLATNDDLNPFAADDPALATDASPLESALSMISPGWAAAFASPPAAMSLAMIAAGAGGGETPAPATFAHLKRDSSLLERKAGTRLHTFSSFQKPLEDPTQSPATPKDKAAAKAATLAAARDLERSAKIGSGRGLSAVAMATSAQRRNKTDMERVNRWNVSEAMGTAWMECLQSVDSKSVYGKDFNALSYKFIAVLVGSLAFARNMQRSEVDRRAQVDVIVRHRNSTGIRAWRKLLRYLIEMKCLFGSFRDNLCSPKRVFWRLDCMESSSRMRRYLRRNYCGSEHGDATSINDDRLESTRDYSNDICASKASVMAAEAMVTEARDDDDDDEEDAVNLEGKGGVVHQQETQVRQSETAEEPFITADPQITGEEECVEPPSVVPPDYVPSEHDERIIYELPASMVRPLKVIKGNFQITTKRLNFVVDKTVDSEIDCSNESRIEEKDQSWLLSSLRQIYRRRYLLRRSALELFIVDRSNFFIDLGSVEGRRQAYRAIVQARPPHLNNIFLTTQKPDQLLKKTQLMERWARWEISNFEYLMQLNTLAGRSYNDVTQYPVFPWILSDYNSKTLDLSSPSSYRDLSKPVGALRPDRLKKFQERYSSFNDPVIPKFHYGSHYLSAEAVLYYLARVEPFTTLSIKLNGGKFHHADRMFSDISETWNRVLEDMNDVKELVPELFYLPEILTNQNSVNFGTKQSGEKIVDFIHKHQKALESEHVSAHLHEWVDLIFGYKQRGKDAVSACNVFFYISYEGTVDIDKILDPVQQRAIQNQISYLGQTPSQLLNVPHLKKMPLADVLHLQTIFRNPTEVQAYIIPSPERCNLPASCIYASTDSIIIVDINAPAAHIAQHKWQPNTPDDQGTPFLFQHGKATSNSSTKTLMRMLTKSTTSSSERWDFPQALTFAASGIRSSAVVAITCDMEIITGGHVDNSIKLVSSHDAKTMEIARGHSAPVTCLSLSPDGNYLVTGSRDATLLLWRVHRASTLHSSSVPELSTGSEMHRSASSNSIVSCLADKTRRCCFEGPIRVLRGHLCEIICCSVSSDLGIVVSCSCSSDVLVHLMKSGRLIRRLVGVRAHLLSLSPNGIVVTWNESLHTLSTYTLNGIMIASVDITLSSTITCIEVSFDGQCALIGLSSCMDDGGYDDKNRLDIFTPSVSIFDLNTLKVVFTMKLEEGQDITAMALNKDHTNLVVSTANRQLIVFTDPKVSSI >KZN06709 pep chromosome:ASM162521v1:2:35195842:35200708:1 gene:DCAR_007546 transcript:KZN06709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGPSRVLFMDEISTGLDSSTTYQIVNSLRHSVHILQGTALISLLQPAPETYNLFDDIILLSDGYVVYQGPRESVLEFFEHMGFKCPQRKGVADFLQEVTSKKDQKQYYMHSDKPYAFVTAKEFAEAFKSFHVGEKLGAEIATPFDRSKGHPAALTVKKYGTERNELVKALTQREMLLIKRNSFVYIFKLGQIAVMAIVAMTVFFRTEMDKDTSQDGSLYFGAIFFGVATFMFNGMAEFPLVIGKLPVFYKQRDYLFYPPWAYALPMWFTRIPFSIIEAAIWAGLTYYPIGLDKNAGRFLRYYLALVLTNQMGFGFFRLIAAICRDMIIANVFGGFALFILIALGGFVLSRALKSAPAVVADDDVSEKKEESKDETGQESKGMVLPFEPHSITFDNIRYSVDMPQEMKDQGASEEKLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEIKISGYPKKQETFARISGYCEQTDIHSPHVTVHEALVYSAWLRLPPEVDDEKRKMFVNEVINLVELDTLVDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGLELYAGAVGKESCELIKYFESIEGVSKIPEGYNPATWMLEVSTAAQEVALGIDFTEVYQKSDLCRRTKDLIKELGTPRDGTQELQFATKYSQSFMTQVRACLWKQRCSYWRNTAYTAVKLAFTTVIALMIGTMFWDLGSEIIPVWWRWYYWACPVSYTLYGLLASQFGDYDDKILEDVKVPIKVFLKDYFGFEHSKIWCAAVAVAAFAILFAIVFGIAIKLINFQRR >KZN04273 pep chromosome:ASM162521v1:2:6041662:6042342:-1 gene:DCAR_005085 transcript:KZN04273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTPFYVAVKHGYTSMLIRLMELWPASSSSADSPYTIVTQDGQNILHLAAAADAAENRKAVADSADKRKAAADNRKEMVQAILKYCPKPYKDNMLKQPDINGDTPLHLIISHGCFIPKLVKHKGLDTTTKNKKDFTPMDMLYVEDTIVEDQLHQRCRGRKDYCNDLVDTSKV >KZN07778 pep chromosome:ASM162521v1:2:43516811:43523764:-1 gene:DCAR_008615 transcript:KZN07778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSKIADGFALDSPDKVEGGEFNDILEDIVPFSKGFFEGDKKEDIECMFNQVVSAFLSEICGDKFSRPLPPMLGNGKAVDLYKLYSVVRDKGGYDVVSDNGLWGCVAKEFGLSFEFGSCLKLIYVKYLNSIGEWVERTLNVKDLKDKIGGGEEVQGVQVMELEMDRTEKDRGRVHLDLEESRLGDSEEVRTEHVRDLKQDARGFVYENVAKDEKDREQMHLDLEESKSNITGGGKLCEGSKIWSFTDLDEAKRSVINMLFESSGEGELDEDKCPNDEVQSLVQFNGNEKIENDKLIDDAKTRDFNFESEGTGDFYNRKRKRECIPGLLNWVTKVARDPCNPVIGCLPERSKWKYFGNQHLWKQILFVREAMMVKRGASSSVEQSIWQKKQKMHPTMYEDYPVPAKLSRGPSFKRSQARLCSDTQGDSEDTFELPDWDGKSCESDSKWLGTRVWPQGKELSCDSMIERNHTGVGRRDFCGCEFRGSFECVRFHVSEKRSRLKLELGLAFYHWQIDQMGEEVAFSWNKVEEIKFDEIVKSNPPSQGKCFWEEIHKLFPYKKREQLVSYYFNVFLLRRRGLQNRSIPIEISSDDDDLEFETTTNCSGHGAMKSPKFNYCSPKKTHLNFRGNPKTG >KZN05404 pep chromosome:ASM162521v1:2:23523547:23526732:-1 gene:DCAR_006241 transcript:KZN05404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCAQMECVAANTGGVAPLLSNCQSQCGGSTPVPGGGSGGVGSVITESVFNDMLKHRNDGNCRSNGFYTYSAFINAEQSFNGFGTTGTTDQRKQEFAAFLAQRLTKLQHIKDGQWPFRYGWATAPDGPYSWGYCFIAERNNPGTFCTSPDWPCAAGQEYYGRGPIQLTHNYNYGQARRAIGVDLINNSDLVATDAVISFKTAIWFWMTPQSNKPSSHDVITGRWSPSAADIAANRVPGFGVITNIINGGLECSRGTDSYLFDLFCSQFQLARSIEQCGKQAGNALCPNGMCCSQFGWCGTTAEYCTKCQSQCGGSKPVPSGGSGDVGSIITESVFNDMLKHRNDGNCKSNGFYTYRAFINAAKSFNGFATTGNTDQRKREVAAFLAQTSHETTGGWASAPDGPYAWGYCFIAERNNPGTFCTSADWPCAPGKQYYGRGPIQITHNYNYGQAGKAIGVDLINNPDLVATDATISFKTAIWFWMTPQGNKPSSHNVITGRWSPSAADNAANRVPGFGVITNIINGGLECNRGTDNRVQDRIGFYKRYCDILRVGYGNNLDCNNQRPFA >KZN07727 pep chromosome:ASM162521v1:2:43112963:43116662:1 gene:DCAR_008564 transcript:KZN07727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVYQQMAALKSLKGPTIQLPSYNSTPSSHNRRNAIWRSPKAAIIPNFHLPMRSTEVRNRTFTDDIKSLRLITAIKTPYLPDGRFDLEAYDALVNLQIEHGVEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLEGMVSHFNSVLPMGPTIVYNVPGRTGQDIPPRVIHTLAQSPNLAGVKECVGHDRVDQYTKNGIVVWSGNDDECHDSRWDYGATGVISVTSNLVPQLMRELMFGGNNPSLNAKLLPLMEWLFVEPNPIGLNTALSQLGVVRPVFRLPYVPLPLAKRVEFVNIVKEIGREHFVGEKDVQVLEDDDFVLIGRY >KZN06909 pep chromosome:ASM162521v1:2:36795035:36797880:-1 gene:DCAR_007746 transcript:KZN06909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQQHKVVCCIGDIHGYITKLQALWSNLQAHINPPDFESALIIFLGDYCDRGSNTPQVLDFLISLPSKYPNQTHVFLCGNHDLAFAAFLGVAPRPCDGSEFRETWREYEMNEEREGWYKGEEYERMHVQARRWAGKMSGFNHVKKTEYKGSIYDAAPTFESYGVPHGSFDLIKAVPAEHKKFLADMVWVHEEDNVCIKTDEGIKQCKLVAVHAGLEKNRGVEEQLKYLKARDTRIPKVEALSGRKNVWEMPKDLAKYPTILVSGHHGKLYTEGLRLIIDEGGGLEHNPVAAIVLPSMTIVRDTDQLSK >KZN05210 pep chromosome:ASM162521v1:2:21202685:21203005:-1 gene:DCAR_006047 transcript:KZN05210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCHLDWKQYIEPYHTLPKLYEMWQHEFSPIPHQSYWTFPLANNWERYGMLVPNEDLRKKRKKRRNKGQSQRIHTEMDNSQIGKTCGKCGQQGHTRRSARCPQKDL >KZN07044 pep chromosome:ASM162521v1:2:37903720:37909339:-1 gene:DCAR_007881 transcript:KZN07044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKAALQCLFNRGINRSKGIITRGFSGSPEGLPASSSSSHHLITLESQRSAHNYHPIPVVFSQAKGSSIWDPEGNKYLDFLSAYSAVNQGHCHPKIMKALVEQAEKLTLSSRAFYNDQFPVFAETLTSMFGYDMVLPMNTGAEGVETALKLARKWGYQKKKIPKDKAIIVSCCGCFHGRTLAAVSMSCDNEATREFWPLLPGQLKVDFGDIVSLEKVFKEKGDQIAGFLFEPIQGEAGVIIPPDGYLKSVRDLCSRYNILMIADEIQSGIARSGRMLACDWEEVRPDVVILGKALGGGVIPVSAVLADKDVMLCIQPGEHGSTFGGNPLASAVAIASLEVVRDEGLVERSAQMGELLRQQLLKIWQQYPDLIKEVRGKGLFNAVELKSKALSPITAYDICLKLKERGILAKPTHDSIIRLTPPLCMSPEELQEGSKALQDVLEHDLPKMLREKPKTVSHITPSTCDRCGRDLYGAS >KZN06630 pep chromosome:ASM162521v1:2:34557662:34559104:1 gene:DCAR_007467 transcript:KZN06630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFYIYFSFQITLIFITISIIIFPPPTHASTPTQASTAASGAKLSTFRKIYAFGDSFTDTGNTRSIAGAGAYLSASNPPYGRTFFHHPTNRFSDGRLVIDFLTETLSLPYLPPYLQKEADRSHGINFAIAGSTAIEHSFYVRNNITAAYSSQALETQLGWFQEFLGSQGCRSSTTTPQQCNAVFDEALIWFGEIGVNDYAYSVGSFVSGDTTRQLAIKSATDFLQVLLSKGAKSVVVQGLPPIGCLTLSMGVSSGFDRDDIGCVASVNAVSYYHNNIYQARLQDLRKAFPNAIIAYADYFNAYRTVMRNPRNFGFYELYSACCGTSGGSYNLNPLAPCGSVYATSCPNPSQYINWDGVHLTEAMYKAISQLFFTGGAIHPSFAYLISH >KZN04241 pep chromosome:ASM162521v1:2:5082334:5082791:-1 gene:DCAR_005117 transcript:KZN04241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDDPPEVRHVTDISADRFVFSSISFLAAVIYHMNDMLLQPELQRIYWLYGGCQQPGSCTLLESRDYAPIREMQDEGKLMLAGDLAYYVP >KZN04047 pep chromosome:ASM162521v1:2:1191457:1191984:1 gene:DCAR_004884 transcript:KZN04047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFLCCMKQTTLQDESKKVNPCDSNNSTPVFPISKMKIKQDQKHFTSPDQKYFNSPVAKKLAGTEPLTLEQCLLESPELNISQRPNKFGGKDQSNLVEKYQKINMFSPDLQTDFFTPRLSFSSDKLGLLQKIDEEDDEGSGGKVKKRVSFKLPDEADIIIFYSPEESFDKLLLT >KZN06850 pep chromosome:ASM162521v1:2:36335757:36341568:1 gene:DCAR_007687 transcript:KZN06850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAHNINSLVSWRLRNSPQQQQGLLTLLQHPQRHLTFPNGSTERKLSVRSCGKILAATLSINSSCSKPTTGNKLPGQTFCGLVEHGFILEPRDDQLTLLQDDLRRKIDTFYRFCRPHTVIGTIIGITSVSLLPVTSLGDLSPAFFIGYLKALIPSIFMNIYVVGLNQLFDVEIDKVNKPNLPLASGEYSMGLGKAIVSACGLMSFAMGIMFQSPPVFFALLICFLFGSAYSVELPFLRWKRNAFLAAISILTVRAITVNLAFFYHIQKYVLGRPMVFPRSLCFATVSISLFTTVIALFKDIPDVDGDRDFGIQSLSVSLGQKRVFWLCIGILLIAYASALVIGASSSFLLSKLVTVIGHCTLASVLWLRANSVNLKDNASVTSFYMSIWKDIGGGAWGA >KZN07768 pep chromosome:ASM162521v1:2:43443828:43444856:-1 gene:DCAR_008605 transcript:KZN07768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILKFFKFKIRRKRVHDDLVAAPDATKPDPCNKFVWDEIEALTMKFSTSRKIGHGGFSSVYLAKFSDSSLAAVKIQCSSERLNQVFKQELKILLTVSHPYIIKLKGYCDERDEGVLVFDYMCNGTLDENLRGCRKNSLILSWKKRMVIAYQLALAIEYLHDKCSLQIVHGDIKAANVLLDENYDCKLCDFGSAKMGFSSLVLPPPSSSSMKHNRMIVGSQGYVDPHYLKTGLASKKQDVYSFGVILLELITGMEAFCSENKQNLTTVADPILSDAAKVQEMIDPRFNNDHTFDLNEAKFMVSVSAMCLRRSPSTRLSAAEIVTTMKDQVSSISYLFENSAK >KZN04508 pep chromosome:ASM162521v1:2:11987487:11989819:1 gene:DCAR_005345 transcript:KZN04508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSSRIKAESPYHTGVNSRSASSDGNGLSNSISKLASLATPATPRSEDEILQSSNLKSFSLADLKTATRNFRPDSVLGEGGFGAVFKGWIDEQSFLAAKPGTGLVIAVKRLNQESFQGHKEWLAEVNYLGQLYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAFLHSAQTKVIYRDFKTANILLDSDYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLVTGHLTARSDVYSFGVVLLEVISGRKAIDKNRPSGDHNLVEWAKPYLGNKRKIFRIVDSRLQGQYSLDVAHKAANLALRCISTEPKFRPRMDEVVKELEHLQDSKEAGNTQRNPNTQPRHRRRSAGDATDAKTVAYPRPSASPLYTK >KZN06410 pep chromosome:ASM162521v1:2:32690842:32692464:1 gene:DCAR_007247 transcript:KZN06410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFRRPVEGNPLDLNNLPGEDFPERETETLNRARQLVFGNDNLIPPTPHHRLGSGQLPILSHGGYNHHHHQTSDPLRGAGYPPARYYGGSSSSTNIPPPESYMYPPSPRLAPPPINDYFVGHAVLPSAQIPCYNSNTAATRETAVNNYTCMGAPIGSGFMLGSGGGRNTSTILQNDNNNNYLDSTTSNSSNNNVEEGEEIAR >KZN07339 pep chromosome:ASM162521v1:2:40130905:40133465:1 gene:DCAR_008176 transcript:KZN07339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSLSTPHSLSLFPTSLKPRPHLSSTLSFSPIKSSLQLGSKPTSSKAQNALHLDFIQPKPALHFTASAPPSQATRGGDTDAMGLLLRERIVFLGAQIDDFVADSIISQLLLLDAQDPTKDIRLFVNSVGGSLSATMAIYDVVKLVRADVSTIALGISASTASVILAGGTKGKRLAMPNARIMIHQPLGGASGQAIDVEIQANEIMHNKNNVTQIISEATGRSFEQVQKDIDRDRYMSPMEAVEYGIIDGVIDRDSIIPLEPVPDRVRATLNYEDMSKDPKKFLTPEIPDDEIY >KZN06248 pep chromosome:ASM162521v1:2:31351394:31351867:1 gene:DCAR_007085 transcript:KZN06248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPFEVYPAVYPTPTTPTPAATHHSNGSFGTVFAVLAVIVFVSAIACCLGRLCNKRRDRSKDKQGKAASHSKEKDAKKNNGSHGDLEFGFDKRLSSSKVAAHEDFRVPKAFPKGGARGDGDIEFGFDKKMPSARPKGNHNGQPKKEVRFAKNNEPK >KZN06869 pep chromosome:ASM162521v1:2:36489113:36494872:1 gene:DCAR_007706 transcript:KZN06869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALDSETSKKVIRQVEFYFSDSNLPRDGFLSKTISESEDGLVSLALICSFSRMRGHLGLGDAKQEDISEDTVKSVAETLKSSPYLKISKDGQRVGRTTELRKPEEIIEQLDAKTIAASPLEHNVKLEDVESFFAQFAKVNSVRLPRHVSDSKQLCGTALVEFATEEEAAEVLKKSLVYAGANLELIPKKDFDAEREKLEEAEKSRPKHDLNRKNNSKDDNSYPKGLIVAFTLKSKSADDSAEKDGNEEPASVSIDISKVEPEPNSVEQTAELSEDAKVKEESPEENIETKSEEMVEDEHTNGSDQGKDEKESFDISKQKEEAKAGTEGKSTAIMYKDNKDVVLREDLKNVFKKFGSVKFVDFTMGAESGYIRFEEADAAQKARAAAVLAEEGGLMVKNYVAVLEPVTGEAEKTYWGFLRGNQEKFKGSRGRGGKSNRGGRQYGKHSRSRDNDDSNRPRKAQKV >KZN04561 pep chromosome:ASM162521v1:2:12815548:12815778:-1 gene:DCAR_005398 transcript:KZN04561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSELIKSVEFLEGDGGVGTVRLVHLGEATELSKTSDSPSCTCFDGMDFKCFDVLKQGEGFSRPMPLHFSYKTVVM >KZN05102 pep chromosome:ASM162521v1:2:20188515:20189057:1 gene:DCAR_005939 transcript:KZN05102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESPSAENSDPTPATTSDEQCSSLSQSRTYDCIYCKRGFSNAQALGGHMNIHRKDKARLKQSNHITEPRQQQFLEETKTTPSPAYILVPPTSPNPEIRAADADNWAWKTRSDECFVQESGRLPLFNRTTLEIDDQDQKRFQKVLCSSFSHGAELDLELRLGHESTSDPLSPAKGTKNPL >KZN06128 pep chromosome:ASM162521v1:2:30371119:30376082:-1 gene:DCAR_006965 transcript:KZN06128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQTGDYRNLFNHENLFMSKEGGGAGNNWASGYHQGKSVEEDLMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQNEISDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHIPNPSVSQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANMIRKTTVLDVMRRLLQTKNIMVSSNARTKEASQAKYISILNIIQGEVDPTQVHDSLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASYTGIRHLFSKCLSQYSLLRKRQAFLDKYRSFPLFDDNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDHILTGEGNATGSVDPRDPSLAM >KZN07400 pep chromosome:ASM162521v1:2:40594255:40594939:-1 gene:DCAR_008237 transcript:KZN07400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERTRAVFDMAVRIHKHIQERDIEVGRNLGNWILRWLDNAKPSAEIRGPQLLHNTIVTKQLTNVLHKKTPSPALRTICSLKNGFRESGKHLFSSTRTMWRNRFPPITMMMPGINNQYRNMSIYGPQVFTSKYVSFGSEGVVRKDIVEWLVRN >KZN06481 pep chromosome:ASM162521v1:2:33236896:33237525:-1 gene:DCAR_007318 transcript:KZN06481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQLSLAILSLLSILLNFHHISAETPPDAAPSVSPANNSEFIRTNCQTTLYPELCYRSLSRHALKIQEDPALLARAAIGVSLNRAKRMANYVSNLTQEADTGANEPRAVSALHDCFSVFGDAVEQIRDSLRQMLVMNGSGESLRFQMSNVQTYMSAALTNEDTCTDGFEEVSDGPMKKDVCDRTVKVTQVTSNALALVNSYVSKVTNP >KZN05491 pep chromosome:ASM162521v1:2:24318997:24323514:1 gene:DCAR_006328 transcript:KZN05491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGVVEEDFPVSYCRWKTLEVQCGNDQSIRQLAVSKGDNSKLPELIFNRLQLSDQEYCGLLRRNFGNFVAREAVLDEEYWVAAWLRAEAHWESLSYMRHVDSYKRKYAEQEFYALKRRCCRQEGKSLKCCCFVAVKKEDKNVRRTVLNSVVGTMDLSIRQFVQGETYPGEVNRLSAVLASQEPFDAHKYAYIANVCVSKYARRQKIASNMVYLATDMATSECMKQLFVHVNVDNKPAQELYKKTGFKVGT >KZN06947 pep chromosome:ASM162521v1:2:37055857:37061253:-1 gene:DCAR_007784 transcript:KZN06947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSERDGDGDTMGSMWDLGQKLDQPMDEEAGRLKNMYREKKFSALLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPHGIGDTEDVIGALSLIIYSLTLIPLLKYVFLVCRANDNGGTFALYSLLCRHAKVNTIPNQHRTDEELTTYSRSIFPENSFAAKTKRWLEAHAFRKNMLLLLVLVGTCMVIGDGILTPAISGNVFVENKYIIFVNRGKIYAQSRTFKLKLKDFAVLSASGGIKVDHPKMSNDVVVIVAVVILVGLFSMQHYGTDKVGWLFAPIVLLWFLLIGGIGVFNIFKYDRNVLRAFSPIHIFRYFKRGGRSSWNSLGGIMLSITGTEALFADLAHFPVSAIQLAFTTVVFPCLLLAYSGQAAYLMENKEHVSDAFYRSIPVSSLLVELTYFSAVLLKVDQGGWVPLVIAAAFLLVMHVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHLITNLPAIHSVVIFVCVKYLPVYTVPEDERYLIKRIGPKSFHMFRCVARYGYKDLHKKDDEFEKKLFDNLFLFVRLESMMEGSSDSDEYSLYGQQTHQSRSFLVADNAKSSPSNVDLTISSVDSIVPVTSMSSGHASSQAEVDETLFLISCRDAGVVHILGNTVVRARRNSGIPKNIAIDYIYAFLRKICRENSAIFNVPHESLLNVGQIFYV >KZN07692 pep chromosome:ASM162521v1:2:42801364:42804827:1 gene:DCAR_008529 transcript:KZN07692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSSPGRVGSPPDFAFAFNDKNFSDRILRIEIVADNFGTENVAEDEFDAVDEVGGHRKRRREEIKNDQVTYQEEQILNCNMSDTEDGETGVKQEKDDVAMVKELPSCNSLVSNQYGKLYAFTEDKSIQSSDARGSMKDPRVLRVNTIHISSLILAAKSPFFYRLFSEGMREPEQRQVTLQIQASEEAALMEILNYMYSNTISTTVPSALLDILMAANKYEVASCMRYCSRLLSSLPITCESALLYLNLPGVLKADAVQSLTEAAKHFLALSYKDINKYTEEVLKLPLAGIEAVLSSDDLQVASEDAVYDLVLKWARIHYPKREERREVLGQRLSRVIRFPYMTCRKLKKALTCNDFDAEKISKAVLEALFFKAEAPYRQRLHAAEEASVTSLHRFVERAYKYRPVKVIQFEVPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDHQNAFRCFGLFLGMQEKGSASFSVDYEFSARSKPTEVYNLKYKGNYTFTGGKAVGYRNLFAVPWTSFIAEDSVYFINGVLHLRAELTSKQQ >KZN07431 pep chromosome:ASM162521v1:2:40788722:40793103:-1 gene:DCAR_008268 transcript:KZN07431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGMLSYADGIDKLLLFFGTIGCIGEGMMIPATMLILSDMISSLAQADSGDRSNSSYDAPNVYALRLVYVAVGVGVAAFVDGICWTRTAERQTSRMRVEYLRSILSQEVGFFDEHAGSSTTFDVISSISVDAYSIQNVIAEKIPLCIAQFSMFILCFICGFVLSWRLALASIPLTIVFIIPGVGFGKLMMNLGKEITDANGVAGAIAEQAISSIRTVYSCVGENQTLDRLNEAFENCMELGIQQGLTKGLLIGCMGVIYAAWGCQAWIGSLLITQRGENGGQVFISGLCIFVGGVAAMSALPNISFFAEARAAALRISATVNRVSLIDLEDEGQILDAARLDVAFVDVSFSYPSRPSTMILEGFNLKVGGGETLGLVGTSGCGKSTVISLLERLYDPVKGDILINGSNVKSLSLKWLRSQMGLVSQEPILFATSIKENIMFGKEGASMEIIIKAAHAANAHNFISSLPEGYDTRVGQSGVQLSGGQKQRIAIARALIRDPKILLLDEATSALDAQSEKVVQETLDQASVGRTTIVIAHRLATVHRAHNIAVLQSGRVIELGTHTELMQMNNKGGAYRRMVQMQQTNRDDKSSTYYNSEGAKNNFRNRNMKLSKVARNKQSPKISVNSSSGIISSPSISFVELTPFDILPANNREDVDLINSSNTSYLKLSLLKLNAPEWKEMLLGCLGAAGAGAIQPVFSYFLGSLVSVYFLPENSKIKSEIKLYIYIFLYLAVFNFLCSILQHYDFGVMGERLTKRFREKVLTNLFTFEIGYFDRDENSSAAICARITTEASKVRSLIGDRMSLLVQAILNASMSYTLALIATWRLAIVLIAMQPLLIGIFYGKASLMKILSEKTRIAQNEGSKLAREAVVNHRTITAFSSQKRLLSLFSLAMEGPRKQSKLFSWITAMGYFCVHFLTTISVVVTFWYGGRLQSQGLLQSKNLFQAFFVLMASAKTIAEAGSMSSDLAESSSAISSLFRILDRKSELEPEDPKGIIVEETMKGNIEINNIFFAYPSRPEQMILKGLNLKIDAGKTVALVGQSGSGKSTIIGLIERFYDPLKGSILIDKRDVKSYNLRSLRSHIALVSQEPTLLGGTILQNIVYGKENATEAEIREASRLANADEFISSMKDGYNTYCGERGVQLSGGEKQRIALARAILKNSRILLLDEATSALDSISQNIVQKALKKMMVDRTCIVIAHHLSTIRKSDSIAVISNGKIVEQGSHSDLLALGEAGSYCSLAKLQRGMT >KZN07588 pep chromosome:ASM162521v1:2:42028468:42029891:1 gene:DCAR_008425 transcript:KZN07588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPLREYVSALLLVFGLIIFTLADANTSPNFSVIGVVMVSGALIMDAFLGNLQEAIFTTNPETTQKEMLFCSTVVGLPFLIPPMVLTGELFRAWKSCYQHPYVYGVLVFEAMATFIGQVSVLLLIAIFGAANTALITTARKAVTLLLSYLIFTKPLTEQHGLGLFLISTGIVLKMIPENKASTRIPISNVNNKLEKAYLIEEKRPAKTIEEASHEE >KZN04752 pep chromosome:ASM162521v1:2:15355046:15358126:1 gene:DCAR_005589 transcript:KZN04752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTSVVQYRKFGMGEFRDMLQIKLRSKGGPSTEGGSGAKPTAGEAVTVACPDHLTIADLAVAKSLGSVTASPVVKIVGRKSRRQLGERVHICVRCDFPIAIYGRLSPCDHAFCLDCARNDSVCFLCEERIQKIQTIKLGEGILICAAPQCFKSFLKKDEFDSHIRRNHADLLSPGTMKEEGNESEAASARKPATSESTVQAPPKSVLSPSGSSHQLQDREDRSRYHQPNQHAGMQPKLEAPYLGPTPNLVSDAVPDSNSQGFDRVDARNQFPQENVELQGRRQQESGQFQDSQLVTNDNPISGYAMQFHQPLNHSMPVNPGLVQNPPQFVYPQFGQDGIPFYGSQFQPRQDSAPEGEQRPGSALGYPPRPAVGPVNFSGSYPQPWGAGPAGGFFDPSLVGRGNMDGFKNPSMADSQGRVAVFQGNFDQNQPSNVVFHPAANKDVEQLSGQNIHEPREVMGMLAPQSVPPPAALLPPHSSHLNRGHFFSGGTSQNVQAPGWQSEQRDNFGGAQE >KZN03973 pep chromosome:ASM162521v1:2:101558:102121:-1 gene:DCAR_004835 transcript:KZN03973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELAFKVEDVLETFALEVMAKKQKSGFKENLLRFACILDVGVSRHNIATEINTIKAELANLTARLQTYGVTEGLKEGETSLSVVDLKSRRTYSHDVEKDFVGMESKIEKLVSHLKNEDSGCEVVSICSMVSCTYISFDILNLKQNNSWILVCSKKGDGRNMVKRCKGLPLAISTLGGLLRGKLLRE >KZN05533 pep chromosome:ASM162521v1:2:24790372:24791874:-1 gene:DCAR_006370 transcript:KZN05533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYNSMFTALALIVLPGLMLVFARKFVSKKPNLPPGPPGWPLVGNLFQAARSGKQFFEFVRDLVPDYGPIFTLKMGTRTMIIITSADLAYEALIEKGQVFATRPDENPTRTIFSCNKFTVNSSSYGPVWRSLRRNLVQNMLSSSRIREFHNLRMSAMDRLINRLKSEADANNGVVWVLKNVRFAFFCILLAMCFGNDLDEETNERVDHMMKKVLNTLEPRVDDFLPVLGIFFSKQKKKAIEVRKEQLETLIPLVKRRRSTRDDSVISFSYLDTLFDLEIEGRKAGLNDTEIVTLCSEFLNGGTDTTATALEWAIARFIDNPNVQSKLYDEIVKTVGKNKKIDEKDIEKMPYLNAVTKELLRKHPPTYFVLSHAVTEPSKLAGYGIPLGTTVEFFTPPIGNDPKIWSDPEKFNPDRFLIGGEDADITGVTGVKMMPFSVGRRICPGASMATIHLNLMIARLVQEFEWSAYPENNKVDFSEKLEFTVVMKNPLRAMIKPRV >KZN06359 pep chromosome:ASM162521v1:2:32165273:32166808:1 gene:DCAR_007196 transcript:KZN06359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTANTAAPAFELVKCMFKNRYFVPCKVWSNVLIDLSRKSGSFKAFVKVFNENCRIAKEEKLDYMTPDLVACNAALEGCCRGLESVADAENVVETMSVFRVCPDETSFGFLAYVYALKGLEKKIAELEGLVSGFGFTDMRVFFCNLISGYVKAGNMESVSRAVLRFLREGNGKYSNFAEETYCEVVKGFLELGGIKDLATLIIEAQKLESSTITVDKSVGYGIVSACVSLGLLDRAHIVLDEMNAQGGSVGLGVYVSILKAYCKEQRTAEAAQLVTEISSSGLQLDSGSFDSLIDASMSCQDFQSAFSLFRDMREARIPDLMGSYLTIMTGLTENHRPELMAAFLDEVVEDPRIEVGTHDWNSIIHSFCKAGRLEDARRTFRRMTFLQFEPNEQTYLSLINGYATAQQYFSILMLWNEVKRKVSVDGPQRLKFDTSLVDAFLYTLVKGGFFDAVMQVVEKSQEMKIFVDKWRYKQAFMENHKKLKVAKLRKRNYRKMEALIAFRNWAGLNT >KZN04187 pep chromosome:ASM162521v1:2:3436461:3442336:-1 gene:DCAR_005024 transcript:KZN04187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNDVDSKPEIVSPATRISAPTTTFSPNASILDLILKLRSCFQLKDSEEVANILQCREDKLKQNITDLKNREDKLNMHNIELKSQLQALIEKCASLETRREKFAGEKGEIESELKKYKVECEGYKDKNHLVKYELEKVRLDLKMLKEREEMSVERYERRIVELEKEGKEERERLHVEVVKLREELENERVKGREELEKERVKGREELDKERVKGREEVEKVRGEVAGLIEGKRKAEESARCWKMRYEKCYPRVVKLEEELLVMLGNDPVLGKAVEKWIRSPPGKRKAEESARCWKMRYEKCYPRVVKLEEELLVMLGNDPVLGKAVEKWIRSPPVINTKSGNPELVERKKQSNVSKCNENTHASPCVASSADLPMVDIIGPAETGPNSSIMARAKRRPTQDQEVIKIDDSDDESPIVETASASKTDKGYGRSFEKDPVSQSISKGKHLSVCTQNYGDADGRNKNKKRKLDSPFPDKAENSSDSSDESITTVAIEKLLSKIPKNKPFNFDVDMLAAFDKDDELCLHAVCALHRLEKCDKLPQSQGFSAIDATRGSHLAKFLTNGDPKGKLQKSVDELQQYDSKGIDECRKLARNYYRQLFQMYKKEEDPLFKEYMFKKYPKKSMS >KZN06490 pep chromosome:ASM162521v1:2:33316118:33317243:-1 gene:DCAR_007327 transcript:KZN06490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILQDLSVKVPAWVEVMCTELFFNPCESHEDFRKNKEDGFCIDCHQSFCCNCLPAHAHHRHLKIRRYVYCEVINRQDLCKFFDCSGIQVFSMSRDEQAEEANQHSIDEKEEDLCNCGGDVIETLSSPKRQKLRKGVALRAPMF >KZN07245 pep chromosome:ASM162521v1:2:39365167:39370615:-1 gene:DCAR_008082 transcript:KZN07245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAITEENPPSDGKVWGLFKLPFRNSANSSNTTPSSSQNHQRHQNQQVLSQSNGSIDGVNSQSSSSSSSVSSVARSLIPTRRRLRLDPSNKLYFPYEPGKQVRSAIGIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGESLIATVFKFVENPENNEKPMDQKSRVKFKIMSLKVKGPMDYVPELFDEQKDQVAVEQILRVVFLDVERPTPFQTTAPKSCFMRPPGAILAPGESLIATVFKFVENPENNEKPMDQKSRVKFKIMSLKVKGPMDYVPELFDEQKDQVAVEQILRVVFLDVERPTPALEKLKRQLAEAEAAVESRKKPPEETGPKIIGEGLVIDEWKERRERYLARQQVEGVDSV >KZN05546 pep chromosome:ASM162521v1:2:24920528:24921259:-1 gene:DCAR_006383 transcript:KZN05546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRWKGKEAEAKALADPITKIVSQLQSSLVHSDSQGILSGCSVLLAVDAEQTKLLNHTCFGVPIITAEKDKQWFQLSLEEAFYLCNSLNCLKVVGEGNLVKGNNQLWSYMVSKKKTFPAFYKAYSHLRMKNWVVRSGSQYGVDFVAYRHHPSLVHADYAVLVSAELEGNGSGRLKVWSDMHCTLRLCGGVAKTLLVLHVTDNSKIQTSPLCLESYLVEERTITRWIPEQCREDQKQVQKWTL >KZN05262 pep chromosome:ASM162521v1:2:21822628:21830714:-1 gene:DCAR_006099 transcript:KZN05262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNYVHVKDDLEYSEIGGIYFGTGGDWKLLTSDIELNRLVDLTEDGNHIDLYIDNVIDTSVKPIPKMQPHVVMRPRPNLVAAKKQPKRQFTTAHQLLLQQQQKNKKAKLLGSDQKWPQGTRKSPRVNKLVESNDKPLTSKPMTAKRKLDLSKQHEDETMGENEVESAKIILPPPPPLTEYERQRAIRVQMNNEVLSALKLPTLAAGLVKKDKRRKSNEKAQDGSENYDPGHDALSDGSVTPPKEKKKTNNKKLLMGRRPTTGSRATTRATSAANPANQDTSKKEDTCPPVPVISPDPIADLPQPDDGKGSMASFWAMRKRQKEEAEKEKAKKEKAQQIIEKEIAAASASASKKVVEENIMPDIEEDDVEVAVPQRMRGKTRMDKVHTRSFDKRIVIEMNDDFQPIAENDKVLSELSSFLGTLAKRCVPLTYVTWRHVPKNLRQTMWNYVKARYVIPDELESWVIETIHSSWKTYKSRTKAGHFTAYENDEMRLENRPDDIPLETFKMLLDYWNDESIQKKVPGECEPCDAKIFIDTRKRDAKREYKISTEKIEKKIETITKRLSTGDAASDELGAKHGLNWLKGRCVKPANMSNSNAPTETYVKDLTTKIKEGFAAELEEKVKKVESEFQDKVKQVEAGVDQKVQQNLAFVFKKLAQANPDIKIDIQELCTTVGSDNDDGTPMTGGKKVPGECEPCDAKIFIDTRKRDAKREYKISTEKIEKKIETITKRLSTGDAASDELGAKHGLNWLKGRCVKPANMSNSNAPTETYVKDLTTKIKEGFAAELEEKVKKVESEFQDKVKQVEAGVDQKVQQNLAFVFKKLAQANPDIKIDIQELCTTVGSDNDDGTPMTGGVSF >KZN04845 pep chromosome:ASM162521v1:2:17134110:17138406:-1 gene:DCAR_005682 transcript:KZN04845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLARKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDRISEKKMLTPVEGLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYIFDWTILKYPQIGASSRGRVSASLAISFLIYFFTPEMIIFHFCPQNPSGNPGPSGERTGKTSVGQDIREKFSGAVEAFSRRNTSGHGRQGEQSRHRSSEKVPSSKDVQADSDKGRSSQNGNSSKRVVIASSTRPSSSGEFTEGRAGRLVSTSGRLSAAQRILSGSEPKQPSYSRNTVTKGTRDDPLRSFDFLSIRK >KZN05326 pep chromosome:ASM162521v1:2:22655086:22655481:-1 gene:DCAR_006163 transcript:KZN05326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRQYNLRLLILIAGSKEEKGYCICGDSVAPPPSIPAKSEQSDNIVECSVDFNDIIHVIQQTQSIAYKGNIIGEQKQSIAYKGNIIGEQNVKSGVTI >KZN05869 pep chromosome:ASM162521v1:2:27934007:27939654:-1 gene:DCAR_006706 transcript:KZN05869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLEYPRLPRNEIVSVLAEYQIASLSESDLLHPNPDFIANLYKRILYHVDLYQEEDGQIDFEALQQFENPDLFLDSIQIMKLLQKIRGFVAAVHCPRKFNLKDLVRPDPDRTEFFLSAMLNFVLYRETKMDILSPIVEELTLIDEQRKELEGKIIVLNSEIEEHDKARESEVPLVQEVEAKVKELRQTIPGLNNHQMSLKASIKKMKENAKEMDEKISSAEFALVQAVQENANLRSKIVQSPDKLQKALEEGKAILAEAKNAERSAMLSFQEKSSTLEVYTKAYEKMSKHFAQMQDIQEQVNSAKAIEKEVKVLKLKLTDEGVLDKSLEAKLVERQGKAAQLDELKKQLEKEREVNCEAATKELTNVKLEVESRKHGNETRQRNVEALLTEVDAIEAKIKSAKDSSAAKQHELGLKCEEIVKEFYQYKNRLEDSWAPLGATQLSLSDQ >KZN05009 pep chromosome:ASM162521v1:2:18922959:18923273:-1 gene:DCAR_005846 transcript:KZN05009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKISRLVSENGVVIFSKSTCCLCYAVDILFHELEVRPVVYEIDKDPEGREMEKALYRLGCSAPAVPAVFIGGKLVGSTNEVMSLHLSGSLVTLIKPYQAVLSN >KZN05479 pep chromosome:ASM162521v1:2:24244735:24250680:1 gene:DCAR_006316 transcript:KZN05479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDSSTAAASTTTSPPLTAVATTTVTGGLSKTAQKKLLKQQKFEAKRAEKKAAAKAQKKSETERKRKEWEDKLATMSEEEKLKVMESRKEVRKERMDRRAEEKQLKAERLNAAKMNGQNVVIDLEFSDLMTPTEINSLVQQIMYCYAVNGRCTSAAHLWLTGCQGEMDKQLQRLPGFDKWIIDKDTQPYIQTFEHQKEHLVYLTADSETMLDDLDPKKIYIVGGLVDRNRWKGITMKKAEEQNIQTAKLPIGNFLKMSSSQVLTVNQVIEIILKYLETRDWKDSFFQVIPQRKRFEADSEEKQGDLVDDKDEQQYDVQEKKKPCTET >KZN06833 pep chromosome:ASM162521v1:2:36172432:36172698:-1 gene:DCAR_007670 transcript:KZN06833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRNFYKEIKGLKVKDLPAHMKPMFTIDYLKGSVKRGLDSYHAKYIQTSSVDPVYHVCFGGMIFSYLVALPEERRHLEHQKHAKEGH >KZN06589 pep chromosome:ASM162521v1:2:34193341:34197298:1 gene:DCAR_007426 transcript:KZN06589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVILATYTYLITHFSDFQLACIGSFLLHESVYFLSGLPFLYFERGGWLAKYKIQKKKNTVEAQEKCISRLLLYHFFVNLPVMVFSYPVFRLMGLRSSLPLPSWKVVCTQIFFYFIIEDFIFYWGHRVLHTKWLYKHVHSVHHEYATPFGLNSEYAHPAEILFLGFATIAGPAITGPHLITLWLWMVVRVLETVEAHCGYHFPWSISNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKAITEDDEIDAKQYNSVSTVVASQDGSEAKASW >KZN07422 pep chromosome:ASM162521v1:2:40741801:40743810:1 gene:DCAR_008259 transcript:KZN07422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLETTAKKQGHVVCIPFPFQSHIKAMLKMAKLLYSKGISITFVNTDYNHKRFLKSGGAQALDGLPGFKFESIPDGLHSSDSDVTQDITALCHSILEEMPRPFQNLLTKLNTGTHQVTSILSDGFMPFTADAAHSHGVPAILFWPFAACGFMGFYQFKNARERGLVPFKDESYLTNGYLDTIVDWIPGMGNIRLGDLPTQIRIMDSDDFLFNFAVECSKRADNATAHEGCCKPPTQSGYSV >KZN06547 pep chromosome:ASM162521v1:2:33892264:33896981:1 gene:DCAR_007384 transcript:KZN06547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTDEIMVVVDQSALSGEEYITMEKNEIGSKWSHEHTEQLISIFRIGISCSEKSPTLRMNMKEAAAHLKSIKEEICKLSLDSNLKEHNILDTSLSSICTVEEYYASAARLGNYTDKDALLNFKSQITEDPLEVLHSWNDSFHFCQWTGIKCSAKHQRVISLDLKHQNLAGTLSPHVGNLSFLRLIDVAENSLHGVIPPELGFLARLQTLNLSNNMLEGGIPVNLSSCINLYNLALDRNFFEGNIPLLLGSLPKLVTLYIRNNNLTGRIPDSIGNLTSLQEFYASYNYLEGQLPNSLSQLRSLKMLGLSANFLSGEFPAVLYNLSSLVLVSLSFNNFTGTLRSSIGLDLPNLQLLYLANNYFTGLLPVSLTNASALERFDVPQNRFSGKVPSMFGNLQNLSWFNVGKNYLGGDKEHDLSFLSSLTNCSKLEFLAFDDNRFRGTFPNIIQNLSSTLTRLVVGTNDIRGSIPEEITDLFNLIILSIAETGLTGKLPASIGKLSSLGALHFYSNQFSGEIPHSLGNITQLLYLDMSNNSFEGNIPFSLGNCRYLQSLDLSLNKLNNTIPVNLFSVLSLSVLLNLSHNSLSGTLPKQVGNLTSLVAFDVSNNRVSGNIPTEIGNCLALEKLYIQSNFFHGSIPQLGNMKNIRYLDVSRNNLSGQIPKSMVQLSGLLNLNLSFNNLKGAVPSSGVFRNASAVHVLGNLNLCGGIQGLHLHPCLVHSQAKHRKHISLKLVAALASVVLFLALLLLFLLLCRGKKLKNELVPAAPDKIFYPKLSYQDLFNATGGFSSANVLGSGSYGTVYKGLLSPDMVTVAVKVLKLQYEGASKSFIAECNALRNLRHRHLVKVLNACSSINYEGNEFKAIVYQYMSNGSLEDYLHPKPRQLQQKNLSILQRINIALDVASALHYVHHQCQNPVVHCDLKPSNILLDTDLTAHVSDFGLARLIFKCSEVVDSNQFSSIAIRGTIGYTPPEYGLGSAVTTEGDVYSFGILLLEMFTGKRPTDEIFRDGMNLHNFVNKAIGDQVMAIVDESAWYREESVSKEKDDIGSKWTHEQTESLNSIFRIGIACSEEAPAQRMNMKQVAVHLKSIKEEFLSS >KZN05239 pep chromosome:ASM162521v1:2:21574822:21580046:-1 gene:DCAR_006076 transcript:KZN05239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIHIFFTFTITAVIVTLISPVHSASDSAYASALGGGGGDGETSSAAEDVVAQAAFKKFSNFTSLFGPEVAKRLKFCIKDIDAEWKLAFDFSKNTQFLSACMKQTKGDLQQRLCTAAEIEFYAHSVFGSGGSGATNYVKPNKNCNLTSWVAGCEPGWACSAGKGKDIDMKNRKDMPDRNLDCLPCCEGFFCPHGLTCMMPCPLGAHCPVATLNKNTGVCDPYTYQTPSGQANHSCGAADMWGDVMSTREIFCSAGFYCQTPTKEATCTKGHYCRLGSTKQANCFKLATCDTQTENQNITAYGILVSVGLTLLLLIIYNFSDQVLHSRAKNKAKSREAAERSARETAQVREKWKSAKDVAKKSATGLSSLSRTFSRQKSTKSSLPPAPKGKKKDSNLAAMAHDLDDDPDKHEGFNVEIGGDKNHKKPKGKQLHTRSQIFKYAYGQIEKEKALQEQNENLSLSGVISLAADIDVRNRPPIEVSFNDLTLTLKGKNKHLMRCVTGKLSPGRVSAVMGPSGAGKTTFLSALAGKATGCTMTGSIHINGKNVSMNSYKRIIGFVPQDDIVHGNLTVEENLWFSARCRLPNSLAKAEKVLVVERVIVSLGLQNVRGSMVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSNLLLKALRREALEGVNICMVVHQPSYTLYSMFDDLILLAKGGLTAYHGPVKNVEEYFSGLGINVPERVNPPDYFIDILEGIVKPESANGAPAVNYKELPMRWMLHNGYPVPPDMLGSTNLASPSIDESSAHGSNPAAAHSEGKSFLGELWQDIKTNVEIRNDHIKHNYLKSSDLSNRITPGVYRQYRYFLGRVGKQQLRDARLQAVDYLLLLIAGICLGTLAKVSDETLGSTGYTYTVIAVSLLGMIAALRSFSLDKLHYWRESASGMSSLAYFLAKDTIDHFATIVKPGVYLSMFYFFNNPRSTIYDNYFVLVCLVYCVTGIAYALAIYFEAGSAQLVLILYLNAAFHFSLVSILEASGTDYYSSAQWSVLLPVVLTLIAIQEEDGGLFNKVKKFCYPHWAVEAFILANARSNRLTAVQTYQ >KZN05170 pep chromosome:ASM162521v1:2:20806824:20808090:1 gene:DCAR_006007 transcript:KZN05170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNQNQPNQQVAVPLQNDFVRTARILAQRTRRNNVTRRRAVTKDEREALAEIRRKKRRVLTGVRPRFPTKAADTQSHAFTFPTSTDDPTCVSRIGFNINTIRLPSQAEGNLINLDAIPKLDNASYLTIFGQTILFIFKTATSQNFNYYNTVRLNGLKAAAGVDASTTVTNPYNLSKAQCVRSVLGSSLFVKKKLINMIVSMQNGEEKINAVCRYVATILEYNEMSAIMVTYETLVRTKSVVLTDPRLLPEMMNLCEALELICQSDMPQYFKYMAPPEQAAKLERNRFPTLAATGELLKRDFNKHASFKNFVGERKFSKLAEELAQLHRRSVARNTPATLDVLTKQPCHPVGPTIIGDDEDWDDVC >KZN05200 pep chromosome:ASM162521v1:2:21078251:21078505:1 gene:DCAR_006037 transcript:KZN05200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYTFFRSCSYLPFAAIPLKLMICLMIAYSFLMVLWFAKVLEKMCSSLCTQWVSSVHKGKELQKYIPRGSTDEPYRAESNMFCR >KZN05621 pep chromosome:ASM162521v1:2:25685149:25685793:1 gene:DCAR_006458 transcript:KZN05621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNTQYITHQEEHEKGDHFAAEHNQTRSSDKIHDMFAFSSPNNQQTKPTNLTRFAAKSPENLRYLAKQASPLHHPTLSKSSSKPSPKRSVKKAEDWKFQKSKSTSLYPSRSKKHGNEYEEAHKVSIFSSPLKSKWLFVLLGLPPKIPTDAAELKSDIRSRQSRHAPSTFFPVSVGGGCKEVVVPEESPRLKGSEKRKKSRLGSCFGSAGPVQI >KZN07138 pep chromosome:ASM162521v1:2:38630220:38631934:-1 gene:DCAR_007975 transcript:KZN07138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCCACSLLPVDLQNVRKRGIPGDFVKWGLAGSCRNSSFATGFTPLKAKSLGSIIDIGRVEHQSPEDLADIWDDYHLGRGHIGASMKASLYHLLEQRAADCRYFVMPLWKGSGYTTMFAQVQMPYMIFTGLEDYKARGTQAAPYFTASYYTEFAATKDLVLIRGDVVFASKLTDTEAKWLLETTQSFYLNDKRYKLIERFNKETREFEFKEVLQALDIPSI >KZN05783 pep chromosome:ASM162521v1:2:27196112:27200219:-1 gene:DCAR_006620 transcript:KZN05783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQLPKVEMPRIKLGTQGLEVSKLGFGCMGISGQYNDPLSEEAGIELIKECFNKGITFLDTADVYGGDRANEKLVGKALKLLPREDIQLATKFGFFKFDSNGITVKGTPEYVRSCCEASLKYLDVGYIDLYYIHRIDTTVPIEETMGELKKLVEEGKIKYVGLSEANPDTIRRAHAVHPITALQMEYSLWTRDIEEEIIPLCRELGIGIVPYSPLGRGFFAGKAVKESLPASSALVNHPRFTGDNFQQNKSIYNRLEVLALKHLCTPAQLALAWVLHQGDDVVPIPGTTKIKNLHNNLGSLRIKLSADDLKEISDAVPINEVSGSRAGEALLKISWKFANTPLPRK >KZN06010 pep chromosome:ASM162521v1:2:29121566:29121766:1 gene:DCAR_006847 transcript:KZN06010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGINPNAESDHAVGNGETRVASTSGNGESDPKMKMLLFLILLVVLLSFFVTTFSKAKDDLCKPTT >KZN06326 pep chromosome:ASM162521v1:2:31964197:31965662:1 gene:DCAR_007163 transcript:KZN06326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLFFVPDFFKFLTCEDISSNDLLIPEKICDMQRPILDANCIVCLRNGYKLPVFYDAENRKLVGFADLFADFGLVGGEVLLFESEGNGKFKVYILGEDGDEIQYPSIKHASQSSSSMAVEGFDGGWKFAKFLSFAHPTLDEIVPPMSFIHRFGSTIPEWVRYVLKNHDMFGGRYELLSGQLSGLSNVSQGLRLPNFDRLECMVFTYDGGNVFKLSLFDGRSVEIDLNVVPVAFKMLTNMWKKNDKIHVYKGGVSWEFEIKKSRSGNRTTIHGGWIQFRDYLQLNLGDRCFFRWIDESYRHFRVEVVKGDVENA >KZN04738 pep chromosome:ASM162521v1:2:15243441:15248262:1 gene:DCAR_005575 transcript:KZN04738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAAWNKMVNGEGCKNPIEKASKELNFTRTSNPLTFQDLEAKKQRRTSGKAARKSRLSQLEVSMNETETNDVEGKAKEVGFFYGKGITLEKTQTSRQKTNINGKRNDKRNGKAPKSKYDSFSVKTGLASFSSAALGNNILGGYGLRADMEDVTKNIDELSLNELLEGSYKCPKFAMDKGERSEDPDDKIMQSVKNAWSILQTRKIKPQAMEVDGSYHQEVLSPCYLALDSLVGNRKEEANDTDIIDVSPAHKESGIQSDILDFPLHKPEKIFERLTLPPPRDLEFLLLDTAKSATPRISADPRPGKPVNQRGCLPPFSWSNAYSGHCKSNVDPVKLSTSRSTCQSRWAKIETTFPSPDTTSGFLTELESLRYDDSIVPVEYQSLAPVVKEKNQPESVSLTGQGLIKCTVAPQASRGLSSPRALAAAQLLCDISAHPLKKNDLGMIKSLKRPSQKSMRAPDCKPSEKPENIYATPITKSRFDCPPSNPEVVFGLKRPRPSVKERSAETLHNNITPRGQLPSWSMPRSNRSSPNKSLRGSVSETKPYNVVKKPFMLPPVARTMEAASSSQPKQRKLMQIGWNRESGKMN >KZN05176 pep chromosome:ASM162521v1:2:20850110:20851426:1 gene:DCAR_006013 transcript:KZN05176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDKKRNQCCYILDYQCYKPTDDRKLSTEFSGDIIKRNKNLGLSEYRFLLKAIVGSGIGEETYAPRMVFDGREANPTYEDGITEMDEFFQDSIQKLFAKTGVSAKDIDVLVVNVATMTTSPCLASRIINHYKMREDIKTYNISGMGCSASIISINIVESIFKCRKNVNALVVTSESLSPNWYSGNNKSMILTNCLFRSGGCAILLTNKASLRHRAMFKLKCLVRTHHGARDDSYASCTQMEDEEGRIGIYLGKNLPKAATRAFVDNLKVITPKILPIREILRYAVLVILRKLNTNSKKGPRPMINFKTGVDHFCLHTGGKAVIDAVGNSLGLNEHDLEPARMTLHRFGNTSASSIWYVLGYMEAKKRFKKGDRVFMISFGAGFKCNSCLWEVARDLDDGNCWKECDIDKYPPTSFVNPFMEQYGWVNDEDIDTFVLPE >KZN05348 pep chromosome:ASM162521v1:2:22929113:22930947:-1 gene:DCAR_006185 transcript:KZN05348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLKNGLEILEFLIDFVNQIVAELLLEESFQTNIRDYSKLIDTHAKENRLEDAERILKKMNEAGIIPDILTLNVLAYGQTGDPDQARHQFDHMLSMGHKADDRSTASMIAAYETKNLLDKALDLLLQLEKDGFEPGVATYSVLVDWFGQLQLVEEAEDLLSKITELGEAPPLKLHISLCDMYLKAGIEKKALQALGVIESKKDQLNHGEFERVIRSLINGGFAQDAKRVQGLMETRGFTASDQLKVHLMAIETLNNTKRPTWSKPMLQVKR >KZN07062 pep chromosome:ASM162521v1:2:38063564:38063848:-1 gene:DCAR_007899 transcript:KZN07062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSGNSGRKEGSFCFLYFLKRNGKKSAGGSWRRFGWWKKRGLFSKSSSSSSSSSGFHSRIVDSILFKIASGFESVVLVSAVCFFFLCCGCHF >KZN05722 pep chromosome:ASM162521v1:2:26640080:26642359:-1 gene:DCAR_006559 transcript:KZN05722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGASSWFSAVKRAFMSPTKENTKNSKRRESEEPNQEDEQKKKDKRRWLFRIPTQNAQQSQTKTAAINIPPANLSSFNADHKHAIAIALAQAHEAKAAAASVQAPVEYITLTRPPSYSLKHHYAAIIIQTAFRRYLARKARRALQGIVMLQALIRGQNVRKQAKMTLRCMTALLRVQARSREGSSIVDSWDERPHTVQELEAILHSRKEAAFMRDTSMSSSFSQQSRRNTSIDEKDAGDRTKWLEQWMAKKQWELNNRASAERREATRTTEVDTCRAYSYSASNGLQAQYMDQYQRQPSSCPVASPRYREPYSPSHHLPVTPSSKTKSLQVRSASPHRTKEDRRYSTANTPNLYFTPRASFGAARYSTCGNDGPVSAPVPNYMAATESAKARARSLSAPRQSRPSTPERDQRGAAKKRLSYPVVDPCINAGIGTSYSQNLRSPSFKSVQAGYVGMGQQSCYTDSTGGEISPCSTTDLRRWFR >KZN04103 pep chromosome:ASM162521v1:2:1939211:1939564:-1 gene:DCAR_004940 transcript:KZN04103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAGHFSQSAPTSEIVPTPPPTQHNYHCCLLAWTILLLSVLARHRNHSFFPSHVLLSLQPILLANPQPVSIFPFSASLTLTVINYRCPSNPCRLKTNSTILSKPPIFNSPVPAVNPY >KZN06583 pep chromosome:ASM162521v1:2:34163767:34166430:-1 gene:DCAR_007420 transcript:KZN06583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNEQGIDPAVLDDIINRLLEFRQARTARQVQLSENDIRQLCAAAREIFLQQPNLLELEAPIKICGDIHGQYGDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNITRPTDVPDSGLLCDLLWSDPSREVKGWGMNDRGVSYTFGQDKVAEFLMQHDMDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADRKPRFM >KZN04340 pep chromosome:ASM162521v1:2:8527688:8529048:-1 gene:DCAR_005177 transcript:KZN04340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLVNVRYNYDGTFNKTSYSGGKSIIINCQDVDEFSYTVALENVKDCLNCTEIGGLYVLNGKPLQWKLLKCDSDLLQLVDACESGGDINIYVDCVVDKECKPLEPGVPFLVVRPRKNILKEHLQIKQNRRTFVSSHQLQQQRQSKRIPRSPQLQEVEQNKLPKSPRLQELAKKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHVQEVQNNNLPKTPPKNLRSSTHLQEVENNNLSKSPRLEDLQKNLSSNPQWKKDVSPNAVSALVAKRRLHLSKLDTIESGRVNEYELRKIQNVEENKKKFKELGLGKYAANPIKPIVQQSTKENKDREDPEYVVENETGDESDDTSELFVKLYEPIG >KZN05865 pep chromosome:ASM162521v1:2:27917026:27924330:-1 gene:DCAR_006702 transcript:KZN05865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVSPSITQLTTTSTLCLTRRSIYFISPSSFRSPPSTITHQYSYPLSKQTRRPLNIISAANLPFDLAPPPIDHDLLDTAISAGAKVSEDGIAETFNNDDEALDTVDKGTVVVDLSHYGRIRVSGEDRAHFLHNQSTADFDSLHEGQGCDTVFVTPTARTIDIAHAWIMKTAITLVVSPEISRSITEMLNKYIFFTDKVEVEDITMRTKLFVLVGPNSNKVISNLNINDLVGQPYGSHKHYSVNGMPVTVAVGNVVSEEGFSLMMAPAAADSVWKALLIESMINGPTFAGRPVPGKELTNEYNVLEAGLWNSISLDKGCYKGQETISRLITYDGIKQKLWGINLSSPVEPGSSITIDGKKIGKLTSYTATRKNPEHFGLGYVKRSGASEGDTVTVGDNVVGKLVKVPYIAHQRQPPQKTKS >KZN07408 pep chromosome:ASM162521v1:2:40657646:40661036:1 gene:DCAR_008245 transcript:KZN07408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKHSSSKKDGDLKPPSSSSGDDIFKSIFGFVSQEQEAQASIFSDNNPFKRKPIDPSQQSLKIEQENDKNQLGSLGSSEFETPDGGDGKKRKRRKEKVGGVGEGEESEPKGFSKKVKGKGGSLGEDEGGSVGVELKVQDKKKRKRGDIEAEYEAKRYGEVVVKDEKELGGGNVGEKRKAMDSVVSMVDKEEGFDDEEKLLRTVFVGNLPLKVKKKTLLKEFSQFGEIDSVRIRSVPIMNSKTPRKGAVIKKEFNAAVDNVHAYIVFKEDESAKASLSHNMAVVGGNHIRVDRACPPRKKLKGEDVPLYDCKRTVFIGNLPFDVKDEEIYQLFAGLPNMNSSVEAIRVVRDPGTSMGKGIAYVLFKTKLTLSALYTDATTKSLISETQEAVNLVVRKRNLKIRDRDLRLSYSKPNTTPSKHTNPSPFDQKNSSAKKFGAKSTTPDSKAKTMSYQGLRASKSGVQKKVHTKKFDALKINSRSVQVQKPKERTEKRPSVAARKAKALRIASNGSKEAGGKKRKIENRTPGSSQKSKKPRRF >KZN04408 pep chromosome:ASM162521v1:2:10360287:10360678:1 gene:DCAR_005245 transcript:KZN04408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCWRVLDVLDGRPHGQAIESTRGNETLNVQTIEDVRKLARDSDETLRNHRIIREIEIQEMEKVFKQYKAVMKQNIDGFRERAEGIEKQRRELSPKLIRLARAVVEGTASG >KZN07589 pep chromosome:ASM162521v1:2:42040969:42054465:1 gene:DCAR_008426 transcript:KZN07589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDQEEQVVTTGFVTGIKFDILTEKDAEMISVKEIEVPNDVVHPMLGLPNQTSSQCLTCEAEDVKTCEGHFGLINLPYTILHPYLISDVAKILNKICVGCKSERSRAKQSADSSSTHKKPTHKKRERCKYCDGLRKDEYPRTIFKVSSKEVFGRTAIFAKINDRVFSRIETLASDYWNFLAEDTQQAGNALKPNERVLSHLQVHELLKEVDPKIVKEFVKDKKDLIFMNNFPVTPNSHRVNEFGGQVRFDELTRCYRKLANFEGSANELSTRVLDCFKMCKAKKVSTKMFNQNEEAAPNLSGLRFIKELILGKRSDHTFRMVVVGDPRIKVDEIGVPSHIADSMQISEQLNSLNWESLGLKILRKRDTYIRRDGRLARVTIKDDKLRIGDTVHRPLRDRDIVLINRPPSIHSHSLIALSVKILPTNSILSLNPLICSPFRGDFDGDCYHGFIPQSVDSRVELQELVALNKQLTNIQNGLNLISLTQDSLTAAQLVIEDGVLLNRSQMQQLQMFCPRSCQLQAPAIVKASSRKNCLKAASSDTFLWTGKQLFSLLLPQDFSYDCPSSAVKIMKGELISSKTASSWLRDTKGNLLYSLISHCQGEFLDFLHAAQGVLSEWLFMRGLSVSLADMYLSSDSHCRKNMIDEVSCGLREAELISSVKLLMVDSNLDFLVGSLEESETAMDFGAKHMFCDRQKSPALIQEILECGNNKVNKTASLFFSKKLERWTYGFEYAALEVKSRLERLQFLDIVSTVMIMYSPCREAYVSPWVCQFHINKELAKRKQVKVQSIVKALYMKCNSTLVKSKVDLPDLQITCNDSSEKNMATICINIRMVGIDENSSTQLETLEDMVLPFLLQTVIKGSSNVKKVDILWKDSSSLSTSHKGASGELYIRVHMSATCDRSRFWTVLMNDCLQIMDMIDWKRSYPDDIQAATSALGIDFAKSQFINKLASAISDTGKAVLPEHLYLVADCLSCTGEFVALSANGLARQRKQTSVSSPFTQACFSSPADCFVNAGKMGMMDNLQGSLDSLAWGNPPSLGTGAQFDILYSRKDHEVAKPEDIYNLLGSQVGARGQRVKVSRTHTDMSIKNLAKRSDLLRKDFKAKYFLSMSEELRLILHKYKINQQLSELDESIVVAALFFHPRSSEKIGSGLKEIKIGGSSKSSTSRCFHVVRTDGTIEDFSYHKCIHNGLKLIAPKSAETYRSRWLNGRV >KZN06504 pep chromosome:ASM162521v1:2:33472690:33478414:-1 gene:DCAR_007341 transcript:KZN06504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENVGEQEISSMPEVGVVPEVGGVPDMVGVPEKESVPELGGAPDTEVVPETEGVPGTDGVPGTDGVPELGGVPETGDMTETGGLSNDSNPPEVHESESDQKGDSAVNNDSEKKWPGWPGENVFRLLVPVQKVGSIIGRKGEYIKKTCEETKARIKVLDGPPGTTERTVMISAKELPDVPTPPAVDGLLKVHKRIVDVDADPSQAPPGTGGTVCTRMLVAATQGGSLIGKQGATIKSIQDASNCIIRVLGRENLPVFALPDDGVVEVQGEAAGVHKAIELIANHLRKFLVDRSVIGIFEMQMQSPNTHGNQDAPPPQSWGPQVYGMNAGGGHGFAPNHQYAPPPRQFDNYYPPADMPPIEKQPRAGPPAYGRDMSMGTQPNIQSHQSMVTKNSIAQAANAAQNAPAVPGQGYNPYPNHGPGYLPQQQDGAGHAPAGDYGSVYGNYGY >KZN04695 pep chromosome:ASM162521v1:2:14826954:14827901:1 gene:DCAR_005532 transcript:KZN04695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGVAAEDGFLKLVYPKRRIELHRHPITAAQVLERNPRCCVARPDVFKYPWVVVRPESVLSLGRVFYVVPRHTIHRLLQQHGTSSPKKAQQPVKEKKALSWNVKISPRRKTYHGEEFNDLVDSDDDDHDSNYIELIPFYKPLNDDRELARIQNSTRQEHRNRQGTTSQMKIVNHESKEDSDNNTPRQRATRSSNSNGVYSRIDKPPKTENSVPRLCDVEPQKKQYPIEHWPVNRDTKKHHYEQLKPFDSCGSLRKNKGVSEPSSSTTVKFSGLRSCLKNQISGSPRLQQLKVSFNEEIEVYDLNERNMHLLSR >KZN07484 pep chromosome:ASM162521v1:2:41213290:41213490:-1 gene:DCAR_008321 transcript:KZN07484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRLRVYEQGWPRDRRLALRESAKREFAMSFCVRKREGTEIGVKRGCVFTKFKRSLNDLAGFDLV >KZN04482 pep chromosome:ASM162521v1:2:11569106:11570210:1 gene:DCAR_005319 transcript:KZN04482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSVPAYNLDHHTNWHHQLQPQHQQAPNSHQQQLVQNPNLAPPPQADQGSIRPGSMVDRARIAKLPLPEAGLNCPRCDSTQTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRSRSRTSKSPNLVNTINQKGPSSSVNISTESPSRSADQVVVSHNNPFSSQVPLMAALQNLNSHYGAVAGSTYEGFQMGNGSFGQSDTGFQLGHHNILTSRGQGWRLPILAGSEQASGHTNVFSYSQGERFEAASAGQVKVEEPRRVLNLSRQFLDVSDEKNTNTTNDSNQYWGTSGGNSWTPDFTSHINNTSSASPFL >KZN07675 pep chromosome:ASM162521v1:2:42615410:42616973:1 gene:DCAR_008512 transcript:KZN07675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGKVNAKIQSERCEDECDEAVLLKCGFRMLGADLLNDTKMLEINNGAKELNIPTSDANRKLVASDNGGLQNPSYLIFNPVWDSKGAPSPNKRFNYPSVPGVQKPISDEDIAFMTVLELGQLIKTKQISSEELTKIFLKRLKRYNPVLEAVITFTEELAYKQAKEADHLLSQGVYLDNQIHHVTAAPPCKILSPP >KZN04668 pep chromosome:ASM162521v1:2:14421382:14427666:1 gene:DCAR_005505 transcript:KZN04668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTVVSRSPSTLICSRFRGLHLPRSMSASCSSSVNFNNKSTKLNEKKKKKLPILLFDVMDTIVRDPFYHHVPSFFRMSFEELINTKHPTAWIQFEKGLIDEMELARNFFRDGRPFDLQGLKDCMQKGYSYLDGIEDLLGSLKQNGYEMHAATNYPIWYKLIEDKLKLSAYLSWTFCSCSTGKRKPDVEFYLEILSHLDIDGESCIFIDDRIQNVDAATKAGLVGLHFKNANLLRQDLSILGISVNENSKQKDLGELRQ >KZN07616 pep chromosome:ASM162521v1:2:42206214:42209212:1 gene:DCAR_008453 transcript:KZN07616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYTTVSAAESALGRTLTTAETLWLNHTANKSDYLLYCYNILFLFIIFSLCPLYYVLIEYLFGNSVGVYKIQPKVQLSFGEKMKCYRDVMRMFVLVVGPLQLVSYPSIQMIGIRTSLPLPSIYEIVAQLTVYFVVEDYTNYWIHRFLHCKWGYEKIHKVHHEYTAPIAFAAPYAHWAEVLILGIPSALGPAMVPGHMITFWLWIALRQMEAIETHSGYDIPWNPTKFIPFYGGADHHDYHHYVGGQSHSNFASVFTYCDYIYGTDKGYRYQKRLLQQVCRVVGIVFSSSGDDELGVLCILSCSSAQPLEHIAHMPTEVWHEDS >KZN06120 pep chromosome:ASM162521v1:2:30304166:30311060:1 gene:DCAR_006957 transcript:KZN06120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENGLPVKRDSSFNRLSSRSDRNSFSQRPRSRFARFVLFKKIDYLQWLGAVAVFFFFVVLFQMLLPGSITEKSGDLLIQSRQVVVDGDLAFLKEMGVLDFGEDIKFEPSRVLAKFQKELREGNGSSGVQKGLRFGLRKPQLAVVFTDLLVDPQQIMMVTMVAALREIGYEIEVHSIEDGPANSVWRNLGVPVNIIQLTEKREIVLDWLNYDGVLVNGLEARRVISSLLQEPFRSVPLIWTIQDSILATRLRQYLSNGQVEFVDNWKRIFARATVVVYPNHVLPILYSSCDTGNYFVIPGSPAEAWEVDHFMGSHQDSLRDKMKFGSDDFVIAIVGSQLLYGGMWLEHALVLQAMLPLFRGFPPYNDSTSNVKVVVLSGDSTHNYSVAVEMIATKLRYPTGTVKHIAVDEDVDGYLSMVDLVIYGSFYEEQSFPEILKRAMCLEKPIIAPDHALIKKYVDDRVNGYLYPKENTRGLTQILLQVIGNGKLSPLARNVASIGKHTAKNIMVSDSIEGYSSLLENVLQFPSEVALPQAISAIPQHLKEEWQWHRFQSIANITYEDKIRKGSAFLDELEKQWNNSQGEGYSTVTTETFVYKIWEEEKSIQILNIRKRREEEELKDRTDQSRGTWDDVYRNAKKADRLKNDLHERDDGELERTGQPLTIYEPYLGEGAWPFLHHKSLYRGLGLSSKGRRSGADDVDGPSRLSLLNNPYYRDVLSEYGAFFAIANRVDRIHKNAWIGFQSWRASARKGSLSKDAESALLNDIETKRHGDALYFWVRMDKDPRNPLQQDFWHAFSKTLEKMYGIKHNLTSLPPMPKDGGTWSVMHSWALPTRSFLEFVMFSRIFVDSLDSQYYEAHHQSGYCYLSLSKDKHCYSRVLELLVNVWVYHSGRRMIYVSPDTGLMQEHHMLKSRRGQMWVKWFQNSMLKSMDEDLAEEADSDSPKKHWLWPSTGEVFWKGMYEKERSQRSRDKEKRKQQSKDKIDRIRRRTHQKTIGKYVKPPPLKVDNPNTTVVDVSR >KZN07296 pep chromosome:ASM162521v1:2:39820039:39820841:-1 gene:DCAR_008133 transcript:KZN07296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQVETVGKAFVDHYYHLFDNDRPSLTSLYQPMSMLSFEGQRLEGTENICNKLNQLPFGQCHHAISTIDAQPSSFPGGIMVFVSGSLQLPGQDYPLRFSQMFHLIPAAEGNFFIQNDIFRLNYG >KZN05378 pep chromosome:ASM162521v1:2:23214189:23215415:1 gene:DCAR_006215 transcript:KZN05378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPNPISLLCALLLCLPLAVIFTTTTVPVADNPPPPQPFRFPPSPRINKTHIYKNTLNKTRINFHNTTKTSHVHKLNKTERYVKREENITVWDEEDESLSQLAARVNPGTPLGRPKKIAFMFLTNAPLPLAPLWELYFSGVPKNLYNIYVHADPSSRYDSPFKGVFANRVIRSKPTRRLTPTLSAAARRLISHALLNDTGNYMFALLSPSCIPLHSFNFTYRTLTRSRRSFIEILDHERGAFVRWAARGNTTMLPEVPYSRFRIGSQFFILTRQHARIIANDTRIWSKFKLPCNSKVPYKYTCYPEEHYFPTLMSMVDPRGCVPATLTHVDWRGSLGGHPRTYTLSDVGPELIWTFRNATPRYGDDGMNGSDASVRRRGHPFLFARKFAPETLTGLLEIANDVVLKD >KZN05013 pep chromosome:ASM162521v1:2:18950664:18955098:1 gene:DCAR_005850 transcript:KZN05013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSLTITLPKPTTASFQNLLFHHRHFHRRAPIFSPILLSSSSQLSKTLDFIAHTPQFTHTFTRPRRFATRAESSNGAEPKHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFATISSETTGGVGGTCVLRGCVPKKLLVYSSKYAHEFEESGGFGWTYESEPKHDWSTMIANKNAELHRLTGIYKNILKNANVKLIEGRGKILDPHTVDVDGKIYTARNILISVGGRPFVPEIPGREYVIDSDAALDLPTRPSKIAIVGGGYIAVEFAGIFNGLKSDVHVFIRQKQVLRGFDEEIRDFVAEQMSLKGIEFHTEESPQAIVKSSDVERLLFNLYCNQTKPGNLGLETVGVEMDKNGAIQVDEYSRTSVPSIWAVGDVTDRINLTPVALMEGGALAKTLFANEPTKPDFRAVPSAVFSQPPIGQVGLTEEQAVAEYGDVDVFTSNYRPLKATLSGLPDRVFMKMIVCAKTNKVLGLHVCGEDSPEIVQGFAIAIKAGLTKADFDATVGIHPTSAEELVTMRTPTRQIRSSSPPEGKTDPEVKAAAGV >KZN06444 pep chromosome:ASM162521v1:2:32949687:32950130:-1 gene:DCAR_007281 transcript:KZN06444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSTSTFPLAQSSAPSLNPRIKSAISHKISCLKRSSSGAYNSQNYSGHRVVDENLIILRKRIHEMKMIERNYEPPADWMDWEKRYYASYDTYICEAMGFLQSQLMNTRPSLAIGMMALIALSVPTSTALLVSRFFDIVNAGIHL >KZN04756 pep chromosome:ASM162521v1:2:15387961:15388851:-1 gene:DCAR_005593 transcript:KZN04756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQHSRYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTAAAVTVRSTIRRLREQTES >KZN06117 pep chromosome:ASM162521v1:2:30285809:30288627:1 gene:DCAR_006954 transcript:KZN06117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISATLPLAGAISSMVTSKKYITSEELGKHNKREDLWISIQGKVYNVTEWAKEHPGGDIPLLNLAGQDVTDAFIAFHPGSAWKYLDKFFTGYHLADYEVSDVSKDYRKLAAEFAKAGMFEKKGHIVIYSICFVALLLSACVYGVLASDKFSVHMLSGALLGFTWIQVAYMGHDAGHYQAMSSRGWNKVAGIIIGNCITGISIAWWKWTHNAHHIACNSLDYDPDLQHLPMLAVSDSFFQSLTSKFYNRKLTFDPVAKFFVSYQHLSYYPVMCVARVNLYLQTLLLLCSKRRIPDRALNIIGTIVFWTWFPLLVSCLPNWTERVLFVLVSFCVCAIQHVQFTLNHFAADVYVGPPTGNNWFEKQAGGTIDIACYPWMDWFYGGLQFQLEHHLFPRLPRTHLRSISPIVQDLCKKHNLPYRSLSFFEANVATLKTLKTAAFQARGLLWEAVNTHG >KZN06713 pep chromosome:ASM162521v1:2:35224171:35228331:1 gene:DCAR_007550 transcript:KZN06713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVSYLASYLSRANFMSASFVVSVLESLVDWCFTYCKGHNGETNPKAHRVFYSGIQAIMYILCFRMRSVLGVPRIESQLSLLPIQAILKHSLNPLKVCLPSIVHEFLQQARAAQLFSVSEDFHLYGVLESEVSADFGGLERLDMFFPFDPYLLQNSDRFIRPNFIFWSMVRTTYEDDDEDEDEDGDQSEGSTDEDIENDSRDDDRIAMSFEDDLAIDEFDNSLNKMSITPKNYNGAQLQGYKQMPSRIRPSASPVSL >KZN07755 pep chromosome:ASM162521v1:2:43362092:43367456:1 gene:DCAR_008592 transcript:KZN07755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAGFLDNSSGGGRIVAENNLGYSSNMPSGAISQPRLVTQSFAKSMINSPGLSLALQTSMEGQGDVARMGENNYENTNVGGRRSRDEEHESRSGSDNMDGASDDQDADDMKPPRKKRYHRHTPQQIQELESYEIFTQLERHENSLLRQENDKLRAENMSIREAMRNPICTNCGGPAMIGDVSLEEQHLRMENARLKDELDKVCALTGKFLGRPMSSLASPTSLELGVGGNGFAGLNSVSTALPLGPPDYGNGISSSLSVIPQTRSNSGPTGIERSMYLELALASMDELNKWAEMFPCMIARTSTTDVISNGMGGTRNGALQLMHTELQVLSPLVPVREVHFLRFCKQLAEGVWAVADVSIDSIRETAGAPPFFSCRRQPSGCVVQDMPNGYSKVTWVEHAEYEENTVHHLYRPLIGAGLGFGAQRWVATLQRQCECLAILMSSAVPSRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLSAGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPISPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNPNQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGQGSRGPSGNGTVSNGGSGHRTGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAAIQSESSL >KZN05844 pep chromosome:ASM162521v1:2:27700108:27703141:-1 gene:DCAR_006681 transcript:KZN05844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSSSLLSLSSFFVPRGGDSLFYLIALLSLLSLLIHRLSLSSVSAAHFEGFDADDDDEVIESSISDLPVRSHPPPSTTLTSLSDHESHHGPPISAVESETQAPPSDLAASKPLQTSFEYWDEDEFEGLPEKTQMPSEADEFTETATEVEKEQVKPKESVKRGLSYYTIEIICVAFLIMFLINYFTGKKENENIALAWATTFATKNSIFEKNFSLLGVGEGDDSPLLLKEGQNVFKFYASGRRFCQGLLATIELKSRHDLVSRLYNMVVPCKDEISFEIYMNDDAMDNVIFAMAKKKAAKSMQKEERDLQRFANMVSPPSNRKWVVEELAAVSESKEVAGDMITDVVLEQVFGEKAFEKFGRNFISMHFSDQYPGSHKKILMFKFAIPDIKHMGDMTRLVALVPYYIDLIGRYKLSSQARSKTEVARNKLAQEVYRELQYARQEELQKKKADRRKILEEAEAKLNAEALRKKEAKERARQLKKSMPKVKMTRAH >KZN03999 pep chromosome:ASM162521v1:2:306133:306701:1 gene:DCAR_004861 transcript:KZN03999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKVEKIGRSLQVPSVQELAKDESATVPSQYIHSDQDPVILSSTDLPEVPVIDMEILLHGDLMDAELNKFHQACKEWGFFQLINHGVSGSLLEKVKTEVVEFFKLPLEEKRKFGQLDGDIEGYGQAFVVSEEQKLEWADMFYVITLPTDLRKPHLLPQLPHSFR >KZN07347 pep chromosome:ASM162521v1:2:40211225:40214044:1 gene:DCAR_008184 transcript:KZN07347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKPIYDKKSRVVPLTVLLVVLCSVSFYLGKVYCPEKDRIVANGIGKAAVSSKTAVNPLQVKSVSFPECGINYQDYTPCTDPNRWRKYDVHRLTFMERHCPPLFERKECLVPPPDGYKVPIKWPTSKNECWYRNVPYDWINKQKSNQHWLQKEGEKFRFPGGGTMFPNGVGAYVDLMQDLIPGMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTIEDQKSDYEKLQELLTTMCFKLFDKKDDIAVWQKSSDNNCYKQLDSVDIYPPKCDDGTEPDSAWYTPLRPCVVIPNKKRQLSLSSLPKWPERLHSAPERVADVRGGSDGSFKHDDSKWKVRAKHYKKLLPAIGTDKIRNVMDMNTLYGGFAASVIDAPLWVMNVVSCEAFSTYPRTYDLLHADGLFTSESHRCDMKFVLLEMDRILRPNGYAIIRESSFYTDAVATVAKGMKWSCRKEDTEYGVEKEKLLVCQKKLWYSKQSS >KZN06857 pep chromosome:ASM162521v1:2:36409817:36412226:1 gene:DCAR_007694 transcript:KZN06857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKELACGECKHKDSLIHGKKAAPVTTFNKILFGGYDISKDLCLPQKISQAIPNLVPGDKYILQDTNGQQWEVTLGIKDKIHWAFREGWNKFYEDHGLKTGYILAFHYTMDAPFVVEIFDRTGFEKINFPVATGKKRKTSENDGNCNAIVGECQNLSNHSSKNQGVAFSGTSDSEARTQSQPMSKDKSLSLENGNGEYQLAASANCDIEPHCMIDRYDGSKYEQDRTTVLNLANSEMQFGVELDGSTDKGTYPSNAATESDAIAGNISENLESAKVVIGDPPAEVAVTLSNSNNNITSEYVAEVFDDHHGSNPPAEVVVALSNNNNITSEYVAEVINDNHGSNQVHSESRIKSSTGSRKRVRREPARSVRQSKRNSTKISKRTNQISGGEERENEVRKVTKTELVNSSDGDSLDASAFSFTAIVRSPEVLEVAAAVILWADEKKVVNLQGPNQKVWPVLYHNKLGIKALTSGWENFCMSNGLRIGDECAFKLVDEEKTIFRIDVKTK >KZN04688 pep chromosome:ASM162521v1:2:14750715:14755159:-1 gene:DCAR_005525 transcript:KZN04688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRSERRINGPTVTHLWSMLKLLDVLVQLDHLKNSKASIPNDFSWYKRTFTQVSIQWQDTDSMREELDDLQIFLSTRWAFLLNLHAEMFRVNNVEDILQVLIVFAVESLELDFALLFPDRHVLLRVLPVLVVLATSSEKDSESLYKRVKINRLINIFKNDPVVPAFPDLHLSPAAILKELSMYFQKFSAQTRLLTLPAPHELPPREAQEYPLHISIFS >KZN05020 pep chromosome:ASM162521v1:2:18991814:18994559:-1 gene:DCAR_005857 transcript:KZN05020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANYRKRAIDQGHNGYELGESSQRAQGVLKPIKTEPPEFGDFYGTQVSELDVLALEIQGFGRAWSEKEEEHEVFDKSEYEDGVLDEEFWEELLNDRYDDELGSSGNEEDEGEDISVLAHRMGFLGSGPK >KZN07217 pep chromosome:ASM162521v1:2:39178742:39183009:-1 gene:DCAR_008054 transcript:KZN07217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISGDDLVTNLPGQPNIDFRHYAGHITVNENNGRALFYWFYEALTLPDDKPLVLWLNGGPGCSSVGYGATQELGPFIVDGHSSELKFNPYSWNREANMLFLESPVGVGYSYSNTSSDYSKLGDDFAANDAYAFLQKWFLKFPSYRTRILYIAGESYAGRYVPDLADLIQNKNKDSSVHINLRGILLGNPETSNADDWQGILDYAWSHAIVSEETHKAIKKSCDFYSSEPWNDDCAQAADEVYRQYKEIDMYSLYTSVCTSNTAGSEYKSNQVMIRGTSNMMPRIMGGYDPCLDDYAKAYYNRPDVQKALHVSDGHQIKNWSICNMDVFNNWLWSESKESVLPTYKDLILPDLRYGSTDYFVLLNDVAGWVQEYKGLTFATFRGAGHAVPLFKPSESLAFFAAFINDESPP >KZN04715 pep chromosome:ASM162521v1:2:15004215:15005136:-1 gene:DCAR_005552 transcript:KZN04715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNSEQNPLHLKSLNHISLVCKSIEESMDFYKHVLGFAPVRRPGSFDFNGAWLFGYGIGIHLLQSEDPQNMPKKRVINPKDNHISFQCESMGAVEKKLKDMEIDYVRQRVEEGGIYVDQLFFHDPDGFMVEICNCDNLPVIPIAGEMVRSCSRLNLQQPQQIPVVRL >KZN04196 pep chromosome:ASM162521v1:2:3599170:3601282:1 gene:DCAR_005033 transcript:KZN04196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCAPFVCKNPSLLGSSHFLHNFDTHPKIQEIKHQNCLKNGARFSKRRGMIVCALPLLVDPWAPSIDSQSIASQLFAVSLFPYLGFLYFITKSKSSPKLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVLGLRKALREIKNDEASTSSGSSATKDKQKPSV >KZN04450 pep chromosome:ASM162521v1:2:11014673:11022408:1 gene:DCAR_005287 transcript:KZN04450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADKDGTEGRCPACRTSYIKEKIVETSDNLKAEMSMEKKSKAKSKSKTSDGRKQLTSVRVVQRNLVYIMGLPLDLADEDLLQGKEYFAQYRKPCNNQDCLYLHEIGSHEVSFSKDEIISAYTSQPPSLTVASSNGSSKQNADVCSGPLAFSIVVASPTQVSIIHSDVQKKPHEACLRQIGRPELAVSEKVDLQTASYTLAIPGHPITYVPTTQYVDPLKDKNDSLNMSHKSEKVDHTSFYTPAILGHPVTSGPSIQSIDSVKDKDESLNMSHNLVNSYDKFDRSRNLGLEREPIPVAETAIKKVCSDMPSLRIDGPRL >KZN05419 pep chromosome:ASM162521v1:2:23647057:23662924:1 gene:DCAR_006256 transcript:KZN05419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAKFDIGEETVHRFGLSPEDRATLELAEWVDGAFRRTSVEDAVSRAADGTSVGQDLDFSSLHSQLGPLAAILLCIDVAASSSKSADVSLKLLDQAQVMLSEIYPGGNPKRGSTYWDQIHEMAIISVVRRLLKHLHDLLEQDKPPVLRAVLSGEYILSSSQDLNRQGHRERALVMLHQMIDDAHMGKRQFLSGKLHNLARAVADEENEKKSLKSEGSYNDMKAVLNHDKDGVLGLGLVVSKQSLPGLASGEIGTNSTGSETKETGKRLFGPLNVKSSTYLSQFILHIAAIGDIVDGADTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAGKVAEIMSADFVHEVISACVPPVYPPRSGHGWACIPVVPTSPNGYPERSLLSPSSGEAKPNCYSRSSSIPGVSLYPLQLDVVKHLVKLSPVRAVLACVFGSSILYRDSDTTISSMNNNVLQTPDADRLFYEFALDQSERFPTLNRWIQMQSNLHRVSEYAVLAEQEIGEDVDKSEATTSIKRFRENDGDSESEIDEIAVSDKSTLLPDIINKGNAVSDPLLDSLKPEGDKVDTTVFLSFDGENEAPYERAVERLIDEENLMDALALSDRCLRNGASDHLLQLLIEHGENNPSSSGESQGFASPGLWSSSWQYCLRLKDKQLAAKLALRYLHSWELDAALDVLTMCSCHLIESDPIKKEVVSMRQSLLRYKHILRVDNLHESWQEVEAECQEDPEGLALRLAGKGAVSAALEVAESSGLSIDLRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGKLSEVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQFQSASLILKEFPSLRDNSSLIAYAAKAIAVSISSPSREHRIPFSGARPKQKTRTGTPTKSSFTNGLSNLQKEARRAFSWTPRNTIDKTAPKDVYRKRKTSGLPQSERVAWEAMTGIQEEGASLHSTDGQERPSVSIAEEWMLTGDPIKDEGVRSSHRYESAPDIILFKALLSLCSDETVSAKGAMELCINQMKNVLSSKQLPENASMEIIGRAYRATETFVQGLIFAKSQLRKISGSTDFVSNLERNRDIDDASSDAGSSSVGSQSTDELSEVLSQADVWLRRAELLQSLLGSGIAVSLDDIADKGSSEHLRDRLVLDERYSMAVYTCRKCKIDIFPVWNAWGHALIRMEHYAQARVKFKQALQLHKGDPTPAILEIINTIEGGPPADVSSVRSMYEHLARSAPAILDDSLSADSYLNVLYMPSTFPRSERSRRSQEAANDNTNYSSDFEDGPRSNLDSIRYAECVNYLQDYARQHLLSFMFKHGHFKDACFLFFPPNAVPSPPQPSSLGLVTSSSSPQRPDPLATDYGIIDDLCDLCVAYGAMPVLEEVLSSRMSSAASEDVSVNQHTVAALARICTYCETHKHFNFLYNFQYARQHLLSFMFKHGHFKDACFLFFPPNAVPSPPQPSSLGLVTSSSSPQRPDPLATDYGIIDDLCDLCVAYGAMPVLEEVLSSRMSSAASEDVSVNQHTVAALARICTYCETHKHFNFLYNFQVIKKDHVAAGLCCIQLFMNSSSQEEAIAHLEHAKMHFDEGLSARSKVGDSTKLVTKGVRGKSASEKLSKLSEEGLVKFSARLAIQLFMNSSSQEEAIAHLEHAKMHFDEGLSARSKVGDSTKLVTKGVRGKSASEKLSKLSEEGLVKFSARLAIQVDVVKSFHDADGSQWKHSLFGNPNDPETFRFVVYD >KZN06421 pep chromosome:ASM162521v1:2:32801982:32805993:-1 gene:DCAR_007258 transcript:KZN06421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVERHQHHHDLVPLAALLSREMRNEKMEKPSVRYGYAAQSRKGEDFFLMKTDCQRVSGNPSSVFSVFAIFDGHNGSAAAIYSRDHLLNHVLNAIPRGLGRDEWLQALPRALVAGFVKTDKEFQSRGMRLTSGTTVTFVIIDRWTVTVASVGDSRCILDTQGGAVSELTVDHRLEDNVDERERVTASGGEVGRLSIFGGAEARGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSDMAAKSCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDVIPPDNSTPPSPPPKKQNKFRALLFRKRSHDSASKLSRKLSAVGIVEELFEEGSAMLADRLGNDDTCNQSTTGLFMCAICQTDLAASEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKIPSGVKVV >KZN06159 pep chromosome:ASM162521v1:2:30666838:30667935:1 gene:DCAR_006996 transcript:KZN06159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLSLFLLKNTMTARMKKGFKSFCNGDGSTSTLNQQKMKLSEEVEAVTADRESSLLMENMERTKHDDKNEATTLEEMIMQLELEEKLARRREEEFSAGERSRMLQQQRRMSCVNSSDILRSARNALNQYPRFSLDGKDAMYRSSFRNFGGPVDNNIDANISGARRSTSSNRSKSSCSCSCRICRHNHQYSYRAVKKNDERQLCSCNHVDSRGIGGRGGGQQLRPVKVTGDESCSVVWCKPGLVAKLMGLEAMPIPVLQLMNNGNNNNKMMTGRRLSTSSAVENSYKKQNQLQRTRGREGAVRVDSHNDSSAAYNKRPGTSDKKFYLHPSHSRITDNHIMIRKHAPLEEVKEFEEPGWPLRHRFL >KZN05906 pep chromosome:ASM162521v1:2:28247468:28272433:1 gene:DCAR_006743 transcript:KZN05906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRSVEKGGLGQNSSLGLVNSICIPSAPVYYPTEDEFKDPLEFIYKIRPEAERFGICKIVPPESWKPPFALDLEKFMFPTKTQAIHRLQVRAASCDSKTFELEYSRFLEGSGGKKAKKRVVFEGEDLDLCKLFNAVKRFGGYDRVVKEKKWGEVSKFVRSVRKVSECAKHVLCQLYREHLYDYEVYYSELNRVAEKSGVRDERKCEPEVDMHISLEAFRRVADRAKKRWFGSGPTSRVQLEKKFWEIVEGSAGPVEVKYGSDLDTSVYGSGFPRVSDQKPEALGEDVWDEYRASPWNLNNLPKLQGSMLRTVHHSIAGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYHHWGEPKCWYSVPGSEASAFEKVMRNSLPDLFDAQPDLLFQLVTMLNPSVLQNSNVPVYSVLQEPGNFVITFPRSYHGGFNCGLNCAEAVNFAPADWLPHGGSGADLYKLYRKSPVLSHEELICVVAKTEFDSKVTPYLMKELLRIYNKEKSWRARLWRNGIVRSSLMSPREQPQYVGVEEDPTCIICQQYLYLSAVACRCRPSTFVCLEHWKHLCECKANKHRLLYRHSLAELNNLILNVAGRGDYTEATQDKNLQKQQLYSLDIGTLSKKVGSVHVNLVQLAEEWLSRSCKLLQMPYSIVAFANALKEAEQFLWGGSEMDSVREATKNLIEAQNWVEGVKDCVDKVELRLCHGNNDMDRVHMKHVRGLLNIDSVPCNEPEFLKLKEYAEEAEVLIQDIESALSMCPQVSIVDWEILHSRAFALGIFVEESEKLSNKLSFVKIWVEGVRKCIMEKSPAAIEVDSLDKLKSEVSELQIQLPEIEMLGDLMRRVESCQSRCNKILDGSVNLKQLELFLQEMDGFTVNVPELKLLRQYQKDAVLWISRFQIAVQNSEQCNDLENVVTELTRIIKDGTLLKIQVDELSHAEIELKKAECRLNGLEALRRKVPLETFKEVLGEASRLQIGDEKLFINIFEVLTAALSWEERANHILSSDAQISDFEDLIRTSEGISVFLPSLENVKEAVSTARSWLNESKPFLYPCIPVTPDSDGLLKSEALKELVAQSEKLKIRLIEISMLQKILDNCIQWEVQAYSVLDYTESLLKINLEDGSAIGLTAKIELQIHSLESVLKMGSCLPIDFAVTQRLDDASAVLRCCLKSLSFRDTTPVIEEVMMLLEVASHLPTTYASCELWSSLVGGMSWLKNALEIFLPCNRRKFKLSYVEEVFRQSQILNVSFPAIVDQLKNAIKKHNLWLEQVQQYASVKSGDRSSFLLFQIKELGSTDAFNCLELEMVFSDVERVEQWRHNCENIAGLVGNVKSLPDALAEISGTLDRSLHIYNCKGRKLEQLCIHCFCGSKDQKLLTCSICNDCYHFRCIEPIGHGDPNNCLKCICPYCNFIRSGNIARSGGGYLTKRKQLPSLTKLTRILSEAKLLCVWIKERDILLQIVEKAELCRTRLTEVADRVLAHVDKDLDIVAEKLSTALKAVEAAGVYDHDSNCKFEMALARNSWRVRAQKLLESSLKPTVQQIQRHLKEGSAISIPVGDYFREKLIEVKHRCVQWVDTAKKVSVDSGELELDKVYDLIIEGNDLLVDVEKELKLLQDRSMLYCICRKPYDQRAMIACDKCDEWYHFDCIKLTSPPKVYTCPACKLDNEYLSSSLSSSQERSTNKCEEPQTPSPGRPGSRRRTKQTDSLCRKRDKPDSTENNIISRLPTGFGNLLWRNRKPFRRVARKREEFNILSLYHLQQ >KZN06688 pep chromosome:ASM162521v1:2:35037908:35039951:-1 gene:DCAR_007525 transcript:KZN06688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQILREEHCSSINASKTPPGHLKLLHNCRSTKTTIRAASQSVEIPRQWYNLVADLPVKPPPALHPKTYKPVTPQDWSPLFPEELIKQENSNARFIDIPEEVIDVYELWRPTPLIRAKRLEKLLETPAKIYYKYEGGSPAGSHKPNTAVPQVWYNSQEGVKSVVTETGAGQWGSSLAFACSLFGLNCEVWQVRASYDQKPYRKLMMQTWGAKVHPSPSTITEAGRRILEKDPASPGSLGIAISEAVEVAAKNSDTKYCLGSVLNHVLLHQTVIGEECIKQMEAIGVTPDVIIGCTGGGSNFGGLAFPFIREKLAGKINPVIRAVEPAACPSLTKGVYAYDYGDTAGMTPLMKMHTLGHEFIPDPIHAGGLRYHGMAPLISHVYDLGFMEAYAIPQIECFRGAIQFARTEGLIPAPEPAHAIAATIREALHCKETGESKVILMAMCGHGHFDLPAYDKYLQGNMIDLSFAEERIQDSMANIPNMLHK >KZN07467 pep chromosome:ASM162521v1:2:41067932:41068450:1 gene:DCAR_008304 transcript:KZN07467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQSNNLYDTASQPDIGNDAYTFLEFNTHADDDDFDYPEFHELSQPIRGSAWPTPSDSVAEANLSDHHSDASPGSGNGGKSRGGGSSNQAAVEAIAAGMSGLTFEETGDDDSYEFGKGDFTEHACRYCGVQNPACVVRCNVPSCRKWFCNSRGSTSGSHIVNHLVSICIFN >KZN07744 pep chromosome:ASM162521v1:2:43298166:43298483:-1 gene:DCAR_008581 transcript:KZN07744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQNGADTTASWYSCYIVIVTLVFITSFTGDLFRDDDHGGFGVGGDRLIPLGRICDQIYIVGEGETLHTISDKCNDPFIIEQNPHIQDPDDVFPGLVIKITPIF >KZN04799 pep chromosome:ASM162521v1:2:16057930:16058806:1 gene:DCAR_005636 transcript:KZN04799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAAISYQEKGKTPRTFSNNKRSLAQACMFQNSPGSYDLPKNAIIVMDGLKYPSMELLQWVLRNVASDGTWTITLLEVMPWLNIPLSCKKWSEDVMTDIHNLCRNYGVVPQVITLMGYPLRSLVLERIASLNPTLVVVDRHHDRKDTEFYAEKVPCNIVAMNDDGEYDMIKARTRLNASEDYSFTGPSPSPAPTPEVIINSEHSMKSIKPKLTISFTRDKKMSFGRK >KZN04930 pep chromosome:ASM162521v1:2:18065707:18071254:1 gene:DCAR_005767 transcript:KZN04930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLVSLLNAAAFCSILVLNHFSAVSANAEGDALTALKNNLHDPNNVLGNWNATLANPCDWFHVTCNNEKSVTRVDLGNVNLSGQLVSQLDQLPNLQYLEVYSNNISGRIPAELGNLTNLVSLDLYLNNLNGPIPDSLKRLQKLRFLRLNNNNLSGTIPYSLTTILSLQVIDFSNNSLTGAAPSNGSFAIFTSISFAGNQLDAPVGSPPPPLPSSPPLPPSGPSPPASQDVKRATGAIASGVAVGAALLLAGPVIALLWWRRKKLQDHFFDVPAAAAEEDPNVHLEQLKRFSLHELQVATDIFSRKNFVGKGGFCKVYKGRLADGTPVAVKRLKDERTQGGELQFQTEVEMISMAVHRNVLLPRGFCMTPSERLLVYPYMSNGSVASCLRGKSSEKTDCYGYGVMLLELITGQRAVDLARLANDNDVMLLEWVKVLLKDKKLELLVDADLQGNYVNEQVEELIQVALLCTQNSPTERPKMTEVVRMLEGNGLAERWEEWREEIFSQEFINTHNADTNWIIPDSTCKIYPDELSGPR >KZN07724 pep chromosome:ASM162521v1:2:43067992:43069140:-1 gene:DCAR_008561 transcript:KZN07724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENVIVDNDSDAVQFPLPGVESLFDLIITQYIKFQVEIQGGDENRATISTVNDDPHIILGHWSHEEHPLELLQFTISVDHNDDDDNNDNDADKKALICDGCVQPITMSHPSYYACIECGFFLHSFCANKLPSELPAGASPFHPHHSLLLNQRLEFYNLVQCGFCKSITNGFYYECKTCDIKVDIRCVFLPTRIRHQSHKHHSLIQRPSTRKICSVSGISITHTLVYACETCRSFEISVDCAFYPNRMKHKYDDHSLILRLPPFFYEGVFYCQICEEQVNNQLWLYHCDECDQSFHNYCLCSYFNGKLGGTIERIIDNQTHKLALVIKQITRANSPPFSCAFCGRGYIMMFFFECQGCGFLACIFCCIRRAHGANKLRSPQA >KZN04191 pep chromosome:ASM162521v1:2:3555078:3561806:-1 gene:DCAR_005028 transcript:KZN04191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVVGKTGETAVTRIVNLAEEAKIAREGVKAPREFDWLTITKSLIAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFYSYEEASKGILWLYRRQTGNEDAELTPLLRLGAGACAGIIAMSATYPMDLVRGRITVQSGNSPCQYRGIAHALATVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLVKSRPFGLVDDSGLGVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKNKAQLEYNGMIDTFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVTYEIVKDVLKVEMRISD >KZN05500 pep chromosome:ASM162521v1:2:24389414:24393888:-1 gene:DCAR_006337 transcript:KZN05500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRSALPLINRALTSSQRSSVPRILANPEVPLALYGISGNYSSALYIAATKLNLLDKVESELLDFLEASKNTPTFALFMKDLSVRKDTRVKAITDICTHAKFSEVTRNFLVVLAENGRLPHLENITKRFTELTMAYRGEVKAIVTTVIELPAEEEKELKETLQSLIGKGKKVHLEQKIDPSILGGIVVEFSQKVFDASIRTRARQMERFLRDPINIDNL >KZN04319 pep chromosome:ASM162521v1:2:7775800:7776380:1 gene:DCAR_005156 transcript:KZN04319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRAELAKNQTTRPELWNSVLRLPGNVRHKGYTCPSLECRTREFMNPNLIPLQADILPIRPPRNKEEESCSESACCSAQAAEALPAASPNCSPVTASQDALSGNP >KZN05601 pep chromosome:ASM162521v1:2:25511550:25511927:-1 gene:DCAR_006438 transcript:KZN05601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPAYTCIREGPDHAPRFKATVNFNGETYECPNYCTTLRQAEHSAAEVALNALANRGPSNSLAARILR >KZN06489 pep chromosome:ASM162521v1:2:33310974:33312549:-1 gene:DCAR_007326 transcript:KZN06489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNCRVPRSFRLLEELERGERGTADGMISYGMDDTDDLHMKSWTGTIIGPPDTIYDGDIYEVKIFCGMDYPDKPPIVKFETQINLTVVNQETGLVEPRLFSLLAEWQRDYTMEDILIELRDEMLSSKNRRLAQPPEGNYRSPPL >KZN05169 pep chromosome:ASM162521v1:2:20794670:20803236:-1 gene:DCAR_006006 transcript:KZN05169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGVFGWGQPDLIPLTPVSEVSEPPESPLPFMCENFEDVRGVEEEDEEEEEEREELAPEAVPIWGLFACADWIDWILMLLGGLAAAAHGVAIVMYVHLFGKVLEILVVDDSVSKDELFDKFTTQALHIMYIASGVFVAGWIEVSCWMLTGERQTAIVRSKYVHVLLNQDMSFFDTYGNNGDIVSQVLGDVLIIQSAISEKVGNYIHNMATFFGGLVIGFINCWQISLITLAVGPFIVAVGGISNIFLQKLAENIQDAYAEAASIAEQALSSTRTLYAFTNEPLSKYSYANSLQATLRYGILVSLVQGLGLGFTYGLAICLCALQLWVGKFLITSGKASGGEIIAALFSVLLSGLGMNQAATNLYSFEQGRIAAYRLYEMISRSSSFVNQEGHTLASVQGFVEFRNVYFSYPSRPEVPILSGFYLTIPAKKTVAIVGRTGSGKSSLIPILERFYDPTLGEVLLDGENIKNLNLEWLRSQIGLVTQEPALLSSSIRDNISYGRVNATSHQIEEAAKVARLHAFISSLDKGYDTEVGRAGLSLSQEQKVKLSVARAVLLNPSVLLLDDVAGSLNFEIGTSVQDAIDAITLGRSTLIITQRLSLIKNSDYIAVMEDGQLVEVGTHDELSSLNGLYSELLRYEEALKLPERLLDRNQKEITVHMNNDLSSSNSCQESSLPNLLKSSSLGDGNDVVVIQPDDMTYGSQRALISHSTDSEKTPDECLPPDAVDNILFIKSQEGFEIRLPQLSIHDGTAEGKQTSDAKDPKSTVSLLLTRDPKTETCNLKSSNPTSQMVDACREENPNKASQRLPSFWRLVKLSLAEWLYAVLGSIGAAIFGACNPLLAFVISLIVTAYYRDEGHHLRYEVNKWCLIITGIGVVTVVANFLQHFYFGIMGEKITERVRRMMFSGMLRNEVGWFDKEENSVDILSMRLANDATFVRAAFSNRLSVIVQDGTAVVVAILIGMLLGWQLAFVALATLPVLIVSGIAQKMWLSGFSRGIQELHRKASLVLEDAVTNMYAVVAFCAGNKVLELYGLQLKKIFRQNILHGMTIGFAFGFSQFLLFACNAFLLWYTAITVKNGYIDLQAALKEYVVFSFITFALVEPFGLAPYIFKHRKSLSSVFEIIDRVPEIDPDDSAALKPCNVYGNIEFKNVDFCYPSAPKVMILNNFSLKVSGGQTVAVVGVSGSGKSTIISLLERFYDPSAGQISLDGRDLKLFNLRWLRNHVGLLHQEPVIFSASVRENIIYARHNATEAELKEAARISNAHHFISSLPHGYDTHLGIGGVELTPGQKQRIAIARVVLKNAPILLLDEATSTIESESSRAVQEALDTLVMGNKTTILIAHRASMMRHVDNVVVINGGQIVEQGSHDSLIQTKGLYAKLMQPQFLKNHRQRWLGS >KZN07132 pep chromosome:ASM162521v1:2:38571877:38576145:-1 gene:DCAR_007969 transcript:KZN07132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSANQVGATSPAPITTGKFTGTLGRHIARRLVQIGVKDVFSVPGDFNLTLLDHLINEPELNLVGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCAQAVVNNLEDAHELIDNAISTALKESKPVYISISCNLPGIPHPTFSIDPVPYCIAPKVSNQLGLEAAVEATAELLNKAVKPVIVGGPKLRVGKAQKAFEEFANASGGDTAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIAFIGDGSFQVTAQDISTMIRCGQRTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTTKVRTEDELMEAIATATGEQKDSLCFIEVLVHKDDTSKELLEWGSRVSAANSRPPNPQ >KZN07166 pep chromosome:ASM162521v1:2:38784684:38786650:-1 gene:DCAR_008003 transcript:KZN07166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVFVHLILVFSVIGVVAAGGEECRPMRCSSHGPEIWFPFQLKGRQPEHCGLPGLQVSCRRGKALMEVHYLENTSLSGIQLLTSQEVHVVYIGYQYQDIEYRIISTTLKLVSTSSSSSFSIAPFPPESKITSFNSKATCVSCSSRYATELYPPPKMVTMVGGQDFPINCFSNSLNVSELSLTSCTIVFTSSLPPEYLGPVSEGYVTSWSIPNCTRCEANGNYCKVIKYINFLNNTAPPDYRTTCVSRAVPHQDSVKPIAGIIPGVALVVLILIALLYYVNRARRQKKYDDLKIEMFLANYQAMKPTRYSYADIKKITSNFSEKLGQGGYGSVYKGQITSEIIVAVKVLNSDPNADGEDFINEVGTIGRIYHVNVVRLVGYCADGCNRALIYEFQPNDSLEKFKYSGRNHNNFLGWEKMQGIALGIARGIEYLHQGCAQQILHFDIKPNNILLDSNYNPKISDFGLAKLCSRDQSLVSMTMARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGSRNNTAVKNDTETYFPEWIYHHLEEGGEVAIQIEKEEDSNIAKKLTIVGLWCIGWHPVDRPSMKRVINMLESQECPAMPPNPFGTSSVRSFATDLEAISDSE >KZN04361 pep chromosome:ASM162521v1:2:9245793:9250000:1 gene:DCAR_005198 transcript:KZN04361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSYNQTTSSSAPTSPPTLWDVFLSFRGKDTRYTFTDHLYKALQRTGIRTFRDDPELRSGEVISRALPQAIQESKTYIVVLSENYASSSWCLEELVEILDCYKRMKRLVIPVFYNIDPSAVRYQIENFRETFEKHQSRHDAEKVNVWCLTLNEVADFSGYHISENRQVEVPRVFDKLKTLNLSNSPNLITTPDFTTLPCLKTLNLEGCSNLEEVHISIGCLVSLVGLDLRNCLKLKSLPNSICSLRALEALTIAGCSSLEVLPTELGYIESLEVLNAWGLTVSKIPDSIGVLSNLVELRLSYNENLETLPESICNLRSLELLDVRFCEKIVELPDRLGKITGLRQLRASNVSQLKMIPDISQLSMLTELDLCGCRHLLSIEELPPNLKRIDAIDCTSLVKLPNLSNLKQLITLNLSKCSSLTEILGLEELTSLMILILRGCSSSLLAYTLTEHFFQIFSGFGHIMDIKISLAEYPDWISQSSGSVKKMSFDLPPDASDYLLAMIFCFECAGTFKIDYFIKNATSDYVWCNRTYAQNDSDSLMLIVPRSILSIRDAISRIEIESKVEMIHGMHLLYKTDFTMVSTDYSDTVDVEEENNYRYKRLKISGG >KZN05549 pep chromosome:ASM162521v1:2:24965238:24966207:1 gene:DCAR_006386 transcript:KZN05549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKYADNIVKVYSTSVAMLLTAVVSVFLFNFHLSLAFFLGST >KZN07335 pep chromosome:ASM162521v1:2:40104344:40104619:1 gene:DCAR_008172 transcript:KZN07335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAAQVSLVGADYLGGLGIVEQPWLNYAREWGPKKELDLDKLLKGVDKFLPGKLKDAFERFVRSLPPESLGEEGPTGPKWKDNWSGDEKV >KZN05002 pep chromosome:ASM162521v1:2:18879616:18881529:1 gene:DCAR_005839 transcript:KZN05002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYYGLTVYTVALFEYYGDGLFEVQIFRDTTVECLYPKMHPTEFFKTTGKYYDEEDYILDTKSLELEKQLSLLCFNACANKTDFVEMCLSEQNLSPHLHNLELDPSWEKFYNRWDDGSKVVLRLERTHWEVFVSWQNNRCSFGRGWIDFVREAGLQAGDNLLLFKHNTDEENILNFCIFKAEAWSDACVEGTSNAEHSFYKMVYPHAAKEGHFVLPRLFSKKYCRHLCRIRQVDVDDRSWYIFYNVPNGYIYNLEDMLKHFKVIEKEAIVFSMNSSNVMTARIFQKDGMEIAYKRRIRSAKYLGDEHWFLKPDLRSDYDLEEDSEQSLESSGGNAGVANDMAENDLQFNITVSTLLVDKKTHGPFIPVAVHPPNRAWKKGDEVELRTEKGSWRLGMVLHGNRARLSAGWNKFARDNEYKVDDVLSWQLMEENGTDVFLVTKVAPV >KZN06716 pep chromosome:ASM162521v1:2:35256073:35265832:-1 gene:DCAR_007553 transcript:KZN06716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMNNLSESGKSGMNLKETELTLGLPGEPRVGKACMKRVFSETVDLELGNSGKKDSSGSGSSMKKESATSRGKLVGWPPVRAHRKNIMTVKSGKYVKVGVDGAPYLRKVDLELYNSYEQFLSALEGLFTCFKIRTVLDEKKLMDPVNGVEYVPTYEDKDGDWMLVGDVPWKTRSPTQMAPTVMAPTTNGNGHSTNPKTFKVFVGYDSREDLAYQVCEYSIKKRSSIPVEVIPIKQTEMRELGLYWREREKVESTEFSFTRFLTPYLANYQGWAVFVDCDVLYLGDVKELADLIDDKYAIMCVQHDYTPKETTKMDGAVQTAYPRKNWSSMVLYNCGHPKNKVLTPEIVNSESGAYLHRFQWLEDHEIGSVPFVWNFLVGHNKVVEDDRESTYPKAIHYTLGGPWFEDWKDCEFGDLWLSELEEYQKAAEKSTVY >KZN05001 pep chromosome:ASM162521v1:2:18864385:18870644:-1 gene:DCAR_005838 transcript:KZN05001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPASVDNITLFVALICVCIVIGHLLVENKWTNESTTPLFLGLCSGVVILLSSGGTNSRIFEFDEQLFFIYLLPPIIFNAGCKVFNRNAEYWLFGDQGLSRYAVHPCIAIDPPVFICFFEAIGAIFSATDSVYTLQILSQEETPLLYSILFGEGVVNDATSVVLFHAIQKYDLSDINVKTAFQFGGTFLFLFTTSTLLGVSVGLLSAFIMKKLHPGRHSSDREMALMILMAYLSYMMAELFDLSGILTAFFCGLVMSHYAWHSMTDGSKVATSSDLSTHVSWPNSNPLRFLDTGSSAKFNCSQDPESDTEHEDIHRSASLSRILSTTSNTIHRYWRKYDDRYMRPLFGGREVVHPVPASSTNNDSQ >KZN04627 pep chromosome:ASM162521v1:2:13688994:13695315:1 gene:DCAR_005464 transcript:KZN04627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIDKIREISSSNGEDNKVVFSFEFFPPKTEEGVENLFERMERMVSHNPTFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPVDKIDHALDSIKSNGIQNVLALRGDPPHGQDKFVQIQGGFSCALDLYGDYFGITVAGYPEAHPDVIQSNGVATLESYQNDLAYLKRKVDAGADVIVTQLFYDTDIFLKFVNDCRQIGITIPIVPGIMPINNYKGFLRMTGFCKTKIPADVTAALESIKDNEEAVRAYGIHLGTEMCKRIMASGIKTLHLYTLNMEKSALAILMNLGLIEESKISRTLPWRRPTNVFRVKEDVRPIFWANRPKSYISRTIGWEQYPHGRWGDSRNASYSALADHQFMKPRSRDKKLHEEWVVPLTKVEDINEIFNNYCLGKLRSCPWSELDGLQPETKIINEQLGNINLKGFLTINSQPAVNGAKSDSPSIGWGGPGGYIYQKAYVEFFCSTDKLNALVEKCKGFSSLTYMAVNQEGSWISNIKQTDVNAVTWGVFPAKEVIQPTVVDPSSFLVWKDEAFEVWSKGWAQLYSEDDASKKLLEEVKSSYYLVSLVDNDYIHSDLFAVFKDL >KZN06557 pep chromosome:ASM162521v1:2:33977165:33979247:1 gene:DCAR_007394 transcript:KZN06557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLNMAEAKVEDDVYTKDGTVDYKNQPANKKTTGTWKACPYILGNECCERLAYYGMSTNLLLYFKNHLNQHSATASRNLSNWSGTCYITPLIGAFLADAYLGRYWTIAGFSIIYVIGMTLLTLSASVPGLRPSCVSKDDCHATEFQSAICFTALYLVALGTGGIKPCVSSYGADQFDDDDEVEKKHKSSFFNWFYFSINIGALIASSLLVYIQDNVGWGWGFGIPAVAMAVAVVSFFSGTKLYRNQKPAGSPLTRLCQVMVASWRKYSVQVPEDKSLLYETADTESAIVGSRKLEHSKDFRFFDRAAVEVQSDHIKGSPDPWRLCTVTQVEELKAIIKLLPIWATGIIFSTVYAQMGNLFVLQGSFMDISVGGSSFNIPPAALSIFDTLSVIFWVPVYDQIIVPVARKFTGHKSGITQLQRMGTGLVISIFAMVAAGVLEIIRLDIVKRHNYYDLKNMPMTIFWQVPQYFLIGCAEVFTFIGQLEFFYEQAPDSMRSFCSALSLTTVALGSFLSSLLVTIVTDISTKNGKLGWIPDNLNRGHLQYFFWLLTVLSVLNLVAFLFVSKWYTYKKAVGTLR >KZN06874 pep chromosome:ASM162521v1:2:36533143:36534111:-1 gene:DCAR_007711 transcript:KZN06874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHNHHHHHPPKLKTPFFSCAFFRHCTQTVLSPTATSATTLPPPSAAPPPPPPPESSESSSSSSATSQSFTQWKFPLPLPTTPTRPDPVPRPKNPVPLPPPITATELQELFHVAELQLSTGSDSDRVNALHMLERSLVPNPHSVCENALCPTAVMEQVVSSLKEKQVAKTVTKVLLALCLAEGNRHVAVEAGAVGRVVEALPDLEGAAAERSLAALELLCTVEEGAAGVRAHALAVPMLVEAMGKITARGREYAIGVLAVIYGGNSDDELAVAPPEEVARAVVLALQGDCTARGKKKGAQLLKILQENGRLDLSDEEGNEE >KZN06622 pep chromosome:ASM162521v1:2:34475225:34477412:-1 gene:DCAR_007459 transcript:KZN06622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNFDPDLIQAIFKLIWSKNALERERNEGVDPMTDEVVAGTSKKNRPTSANSSALKMSCELLRLFVTEAVQRAAAIAEAEGASKIQATHLERILPQLLLDF >KZN04604 pep chromosome:ASM162521v1:2:13419066:13425961:1 gene:DCAR_005441 transcript:KZN04604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADENEIMEGMGGFSGKVITVSEAVEDIMLVWGLQQPCFSTQNAFVLQASLLLPLDACGRSLSIIQSPCSLGTPGVTGSVMWDSGVVLGKFLEHAMETAQIDLRDKKVVELGSGCGLVGCIAALLGAQVVLTDMQDRLKLLKKNVEENLYGNVRGSATVIELTWGDKPDPKLNNPSPDFVLGSDVIYSEEAVIDLIETLVELCGPQTTIILAGELRNDANLEYFLEAAMKVFVVGRIGQSQWHPDYCSSRVVMYVLVKK >KZN07703 pep chromosome:ASM162521v1:2:42912502:42918486:-1 gene:DCAR_008540 transcript:KZN07703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSIGDEITAEEAINATHQQSKDNDYSLNPNSTNVMGLDEMVTPGDRKNSLGFFAATLGGENLERINASEQVSSSNRLENDDTFEELTLRDCSSEKLDAESNLSSRDKLQTRQSRWHHLLGGSGHRGSQTRVMNTLEDVGCTSKSELDHKSPHNHGAGDASFHVEAAARFNFEEMSSVREDLGCTTKSEMLDRDSPNNNCNEVDKTRNQVASGNVILSPSLMRTKIISRSGFTQYFVKDTLKGKGVVCRGPAPRVEFSDQSFLKAGSANKVDIDAPLNLNGEAVMQVNSGTLANSSRDNIRLPPHVIANSGTPLNSNKETAIASTHATPNPVRNSNRDTLRAIPNPARQTVAPLPRVIPNSARQTVKPPRQAITDPDILSNSSTEAFMPHVTPSLLLNLNTETSVPHHDSVSIRQWLKFGRNKRNKVQSLHIFKQIVNLVSKSHSKGFALLDLRPSYFKLLQSNEVKYVGSNVQMGNFIDGDAHYQLNHRNGKRPMEHSNSPIAKRGRTEEDNNSVISWTRFPHKSGLAAANEINVRYGGAQYAGSACYDDNSPTPVRVTQSDLKGEHMSDSSKLILGSVNDTSEEVKWYASPEELNKRCCTLSSNIYSLGVLLFELLCSFDSERGHDVAMLDVRNRILPPNFLSGSPREAGFCLWLLHPEPSSRPTTREILKSDVIKEIEDLSNFSSSFTHEDAESDLLLHFLESLQQQKNKHSSKLVEEISLLEADIEEVEKRRTKMLVLPEESLHARGKLLLDKGKSSLGVNYDKTAPFCNEERLMKNIDQLESVYFSVRSTVDNSSNGPVTCDVKELLEGRETFYHAKKDREPKKSADGLGVFYNGLCKYARYTKFKVRGTLRNGDFSNANVICSLSFDPNEEYFAAAGVSKRIKIYDFNAFLNSSVDIHYPVIELSNKSKLSCTSWNNYIRNYLASTDYDGVVKLWDAGTGQEISQYAEHSARAWSVDFSRVDPTKLASGSDDCSVKLWNITEKSSVCTIRNVANVCCVQFSPKSSHLLAFGAADYKTYCFDLRNTSKPWCVLAGHARAVSYVKFLDSATLISASTDSTLKIWDLNKTGTSAVANEGCISTLRGHTNEKNFVGLSVTDDGYIVCGSETNEVFAYYRSLPMPITSHKFGSIDPISGKETDDDGGHFVSSVCWRKESEMVVAANSSGSIKLLQMI >KZN05230 pep chromosome:ASM162521v1:2:21455474:21457357:-1 gene:DCAR_006067 transcript:KZN05230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYFYRKEKCSERSVFSSDKDSTYNSTTNLISHRAYSMPEFKVYISSPVNPVVGCMQRASFLFRSKDGKIPGTLLQFSYAELENATNKFSESNLIGMGGSSYVYCGHLKDGGTVAIKRMKAQEGPNAESDFLTEIELISRLHHCHVVPLLGFCSENQGKRVERLLVFEYMPNGNLRDYLDGASGKCLDWGIRVAIALGAAKGLEYLHEAAAPKILHRDVKSTNILLDENHRAKITDLGMAKRWINDGVPSCSSSPARMQGTFGYFAPEYAIVGRASLKSDVFSFGVVLLELITGRHPIHKLPEKGEESLVIWATPRLLDSRKVILELPDPHLQGIYPEEEMQIMAYLAKECLLLDPDSRPTMSEVVQILSTIAPEKSRRRNYSINLFQSSSSRGLTHEPVNQVPHNLHEDVLEAEELGLITSDRWSPRSSLPVNVSHTLCVVNKEILTDTGSLRSSLQSQDEEKVDLTEPRFETFSCSSVRLS >KZN06887 pep chromosome:ASM162521v1:2:36617211:36621037:1 gene:DCAR_007724 transcript:KZN06887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSDSVSIDMETISLTGKDYLIKTSHGSISVAVYGDRDKPALVTYPDLALNYVSCFQGLLMCPEAFSLLLHNFCIYHISPPGHELGAAIMIPDDAVLSVDDLADQVAEVLDFFGLGKVMCMGVTAGAYILTLFAIKHSCRVLGLILVSPLCQGPCWTEWLYNKVMSNLLYYYGMCGLAKELLLMRYFSKDVRGNVQVPDSDVVQACRRFLGERQSPNVLRLLETFNGRPDISEGLKRLKCRSLIFIGENSPFHYESLHMTSKLDRRYTALVEVQHCGSLVTEEQPDAMLIPLEYFLMGYGFYRPSQYTLSPRSPLSPTCISPELFSPESMGLKLKPIKTKISFEV >KZN05193 pep chromosome:ASM162521v1:2:21023353:21025761:1 gene:DCAR_006030 transcript:KZN05193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPYSTTAGGYNSRRAIAYLYVASNSVASIEYLRKYWIEEPAAEVDGRGNTLIHLLVINKNLEALKALTGFVSLRQLKKQNARGETALHEAARLGLVDIAAILLDWEMRLASNSMQYYGALVTRDVNMEAVEEELEDLVAMQNKMGEIPLYVAAASGQTEVFQLLEDYNSDCHTQREDGCTVLHAAILRENYNMAISISRRYPQLAHKPDIRGNTPLNLLATSPSHFKSGSFFARGNLGRRPFIPLLSLAIVIYRCIPPTHSFGSVGDRQKIKRNIFVEMILSFSCLKHVDETKQQNLLAEELARILIEQEADWSFYSYNECTSQVLSAPENTLKVPVKNRNGVCDPLIQAIERSIPELVMKIVEHCPDSVNCVDEKGRNILHLAAEYKNLDIYNQLKKHVGEDNKERMMTEVDYEGNTIVHQASIINPISPYISLGIFYATCWDVFWFVRVSADCLPYMRFIPNKEGKTATELFITRSKRQREDAWKAMKDINGTLMVVAALIGTISFAAIFTVPGGYDQKHGYPLLLKPYKRDVDLFLGYDAFTLFASTFALGNLLSIQLSRFKVEEFCIALPLKYFTAISAMYYAACFTVVTTLQAFILEECLPRLYVIFVFFIIIVLSWGYIDSAYNVVSYIWVAKTSRTVTALAYQTF >KZN07135 pep chromosome:ASM162521v1:2:38599786:38604989:-1 gene:DCAR_007972 transcript:KZN07135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGKDFLTSWKSMSVTEDDPMDFALSKVTKGNKNAFGFDNLDVDFNLDNDFGKISSFSIDIPDLDVSSPVKKSAKPTEKSKEVSMDEKIQGKSNRSTFQFDFDEGRPNSYDTKRFLTSTSRLLDMDVAKGGLVLKGSENSVKDLNTFREPHGKGTESRGKSDCPLKAVPREQIEDDSVHTRTEGSFKGLTNNSGECYSDNTDRTLTGTSMLHVKDGSKNNMKYFSTLRNFRELSEKLVDKENLPDNYTGKVLNNFKSSCKHFTQKVDMKEEDTPLQIDSDTNVENADACAKELENIFPKTMNNAYSLILTGQVAL >KZN04048 pep chromosome:ASM162521v1:2:1193413:1196598:-1 gene:DCAR_004885 transcript:KZN04048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVYLCLLVGIPVLCIILLQKLLGNKNSKLPHPPGPRGLPIIGNLHQIDVSIFPQHLWELSKQYGPIMYLRLGHLPTLVISSPEIAKEFLKTHDLNFCSRPYLFGLKKVSYNGRDVLFSPYNEYWREMRKIVTVHLFSSKRIQSFRSIREEEVFQMIKGISEKGSSNEVLNLSDTLAPLTIAMTSRLAFGKKFNEVDMKRFKGLLKRFQDVTAAFYFSDIFPSLQWLDRFTGSSAELDGCFRDMDSFYQEVIDEHLDPCRPSSMDGDVIDILLQLKRDDQISSIDFTFDNIKAIIMLVLSNLLYSFDWELPPGIRKEDIDTDIGRGITLHKKNALCLKPRIFFYTSIQSDRLVVSYLKAKVMDLMDLYILVGVSVLLIVLPKLLQNYKSKLPHAPGPRGLPFIGNLHQIDVSILPHYLWKLSRQYGPIMYLRLGYLPVLVISNSEMAKLILKTHDLNFCSRPSLFGLRKVSYNYRDVVFSPYNEYWREMRKIVTIHLFSSKRIQSFRSIREEEVFLMIKGISEKASSNEVLNLTQILVPLANTIVCRLAFGKKFDEASMKRFEVLLARFQAVTAAFYFSDIFPMFARLDRLTGSSAELDRFFMDMDLFYQELIEEHLVASRPSSMEGDVIDILLQLKRDDQLSSIDFTIDNIKAIIMVKLSICFW >KZN07516 pep chromosome:ASM162521v1:2:41479421:41479933:1 gene:DCAR_008353 transcript:KZN07516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFLAIFACAESTLDHGFLQETNITLYVHDYFSGPNATTIAIGNPSDDHWVSDNFGAMYCKDSPMTETADPESDYVGRAQGTFVSAALDGSSSQVVLSLVFETNQFQGSTLQVQGAGAQFQRVRELSVVAGTGEFRYARGYATSETIYYDREANYSVAEWNITMEHYN >KZN05309 pep chromosome:ASM162521v1:2:22461305:22461783:-1 gene:DCAR_006146 transcript:KZN05309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSSCFSLLLLIFLIASQTSARSLLTTHQGSREQLKLNSLVTKGSESFEELMGMEECKNGDEECLKRRAMAEVHLDYIYTQHHKP >KZN07086 pep chromosome:ASM162521v1:2:38250010:38251643:1 gene:DCAR_007923 transcript:KZN07086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTAISAALLSALPIWAVAEPLVDCFFIFGDSIVDNGNNNNLVTLAKSDFPPYGIDTPNHRPTGRFTNNRTIADITGELLGFKDYSPPYADSHNANLLPGINYASAAAGIRDETGQHLGGRISFNHQLRNHQDIISRIVNFLGSNESATTHLNKCLYFVGLGNNDYINNYLLPLSYPSSRTYTPDQFAQVLIQQYSEQIKTLYSYGAKKVVLYSLLPIGCAPNEIAKYGTNGALCVENINAQVMLFNSRLRSLVDELNSNFKDANFVYVNLFDLALSAISNPGLFGFKVTNCACCGVGPNNGIACIPLEVPCQNRAEYLFWDAFHPTEASNLIIAQRSYHDQSLNVTYPMDISTLARLQRAS >KZN05683 pep chromosome:ASM162521v1:2:26271005:26271460:-1 gene:DCAR_006520 transcript:KZN05683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTKVTSKNVLKSQGKCVENSRQSNGYRGVRQRHWGRWVSEIREPRKKKRIWLGSFKTAEMAARAHDVACFHLRGDKSLLNFPQEIEFLPRPCSSDPKDIRAASCQAAGLRTVGIDKEEGNASGDDFWTELELPELLDFDILFNDVLVVP >KZN04185 pep chromosome:ASM162521v1:2:3393796:3399506:1 gene:DCAR_005022 transcript:KZN04185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLSGVTLQPLSFRFILDAGSGKQLTLLLPTDLCWRSLVSGLNGCFVMASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >KZN07067 pep chromosome:ASM162521v1:2:38091672:38093460:-1 gene:DCAR_007904 transcript:KZN07067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNCLLFDHKIRTEEDSAWTLMRERKGWKEKKHDLPRFAVVAFCNISGPAWDSPLDPSDEEEHQGPLLCPEDANEDNKEREKILIAEDPLSKNSSDLTPANIITDEVCLGDPTPSKESGEARTNVSADADTQANVMKATTVKLEKITSGSITDQSYESYESKTPGKFNALHIVFQSLGPIDRSKMLSRVNTLTGQNEA >KZN07635 pep chromosome:ASM162521v1:2:42366827:42367207:1 gene:DCAR_008472 transcript:KZN07635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKNTASLALLLSLNLLFFAVVTATDPVPKLPGSATSSYYSGGKCDLLKLGVCANVLNLVDVVVGSPPTLPCCSLIEGLVDLEATLCLCTAIRANILGIDLNVPIALSLVLNNCGKKVPSGFECY >KZN06486 pep chromosome:ASM162521v1:2:33282449:33288637:-1 gene:DCAR_007323 transcript:KZN06486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKDKFKGFMKKVNKPFAASPSGKFKGQGRVLGGGSSSTPPDPNPTRPVPPQRYVSDQQPQINIDRAKLESNAKKVDDSDDKIRDETRMLSKLELESKPNPKPKDGFDPYESLVTTGKRNKNGYALDNVFECPVCGGGFTSEEEVSVHIESCLSNVEADNLPDEAKVESRSELETRVGAYMSGNPNEGAVEIVRKLLSNIVKEPENVKFRKIRMGNLKIKEAIADVVGGVELLEFLGFELQEEGGEMWAIMGAPSGDTIAAVKNAIALLSPQIVEESKSNIPVKAVEPVELKQVDRQTRVFFSVSENIAAKIVLPDSFYKLTAEEIKREADMKRKKIAESQLLVPKSYKEKQVKAARKRYTKAVIRIQFPDGVVLQGVFSPREPTTALYQFVSSALKEPSLEFELLDPVLVKRRVIPHFPPAGKKAITLEEEDLVPAALIKFRPIETDSIVFTGLCNELLEIMEPLIPDSAVPPL >KZN04036 pep chromosome:ASM162521v1:2:947654:949003:-1 gene:DCAR_004873 transcript:KZN04036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITKRLVLSSIFKRQLHVISRSKTTIKPSFPTKSSLKDYNLPLYDCLVPDLYVPMLFFYPHGKTPKLKAYNTTSTTTISNLLQCSLSEALSKYYPFAGRLISASRVDCSDQGVDFFETRIGCKLSEIHEKSLVKGDEYSAHLFPPGSIWGKVIDQDSSLVRVQLNHFDCGGIAIAASVSHRLGDAATVCSFLCYWANLSRHSGDHQKSSHFGPHFAYELQYHDSVKPEVMCPEKYWVTKEIVFLNTKIAKLKAAVQTQDRAERYTRNELLTALLYRCAVAAAAATSESGAHAPSVLFNLVNMRKVMDPPLPTTAVGNIVSTIHIPTTTESETSLNQLVQRMRKAKMQLKGTKNSSGFQLVPPLLNQYMKSNHRVVFITSMCNFPIYQEMDFGWGRPTKVTLVDTPFIDCITLMDTWNGDGIKAVVSLEQKNMEHFVNNPSLLAYASVL >KZN05358 pep chromosome:ASM162521v1:2:23042519:23042806:1 gene:DCAR_006195 transcript:KZN05358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCVDVMKKRVEDLEKKNRELEKSKKMAELQLAANESLMRLIKKQMEEKTTFYNNALQSFMNKDRDEANLLLETNEKIVVSIRSKRSRTSVEAA >KZN06871 pep chromosome:ASM162521v1:2:36501994:36507032:1 gene:DCAR_007708 transcript:KZN06871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKSADPDIQLVCDDSNIFKWTALIKGPSETPYEAGVFQLAFSVPEQYPLQPPQTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDMRGFQSMAKMYTNLAARPKKG >KZN06475 pep chromosome:ASM162521v1:2:33202054:33205829:1 gene:DCAR_007312 transcript:KZN06475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKLSSFDSSHIDEAEATNNHGWQKVTYAKKQRKQPAKKPDSGNIVSNGSAFHGGDSVFTSLEKQSEERRRRIEAQKAAIDYDDAPVRSSKHRSDDDDDESDGDVGKGVQNGVAVAEKKPKVKKQKKPKVTIAEAAAKIDADDLAAFLADISASYESQQDIQLMRFADYFGRAFSAVTASQFPWTKLFRESAIGKIADVAIFLVLAMVLRRKPDAFISILPVLNENTKYKGQDKLPVIVWMVVQACQGDLAIGLYLWSRIVLPIVGGKQGSNPQTRDLVLQLVERILAAPKARTILVNGAVRKGERLMPPSALDLLLRVNFPTSSARVKATERFEAIYPILKEVALAGVPGSKGMKLVSQQILTICAKAAEEGTPELSREATDIFVWCLTQHPDCYKQWDNIYMDNLEVSVAVLKKLTEEWKQLSGKQSSVEGLREMLKSFRHKNEKAQTDGVEDSRQSLVKTADKYCKWLLGRVSREHGCLKGLAFIAIALGVGAVFFSPSLDSVDWKELTAVFNHQSI >KZN05937 pep chromosome:ASM162521v1:2:28551333:28553393:-1 gene:DCAR_006774 transcript:KZN05937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARATAALNQEQDFLYSYKHVINGFAARLSEEDVEAMKEMEGFVSAHLEKVLHQQTTHTPRFLGLHQDTGLWRESNFGKGVIIGVLDGGILPTHPSFTDEGMPSPPARWKGKCEFVTSKCNNKIIGARSFNNSATIVSPFDQEGHGSHVAGIAAGRFVKNAAVLGLGNGTAVGVAPKAHLAIYKVCPTTDKCFESDLLAGIDAAVNDGVDVISISIVGKFAVPFFQDAIAVGSFAAIQKGIFVSCSAGNTGPSNSTVLNDAPWILTVGASTTDRSFKVTTKLGNGEEFAGESLFQPEGFSAVLMPLIYAGSSSNSNSSFCVEGSLEGIDVKGKIVLCERGLSTRVSKGETVRKAGGAAMILMNQEIDGSTTIAESHVLPAAHVSYATGLRIKAFINSTVEPKAAIVFKGTRSGDPLAPAVASFSARGPSITTPGILKPDIVGPGVNILSAWPFSVGGDSNSLSTFNVQSGTSMSCPHLSGIAALLKSAHPNWSPAAIKSAIMTTADLNNLNESPIVTERSVPADVFAIGAGHVNPSKANNPGLVYDIHPDEYIPYLCGLGYTNDQVGVITQRPINCTNETSIPEAQLNYPSFSITLASINQTYTRTMTNVGEPNSSYYIEIIPPKGVLVTVNPTSLDFTEANQQLTYRVTFGRSTETIYTQYAQGFLKWTSTHHTVRSPISVKLV >KZN07412 pep chromosome:ASM162521v1:2:40673991:40675161:-1 gene:DCAR_008249 transcript:KZN07412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPSPSNECVKKGPWTPEEDKILMDYIHENGGHGSWSALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSDEEERMIINLHSAIGNKWSQIAAHLPGRTDNEIKNFWNTHVRRKLVNSGIDPKTHEPLIINHLNSFLANYSQLLSTSNLSNLVIANPLESALASLRSFLPQPAPNIQLLQNLWQIINSPNPLPNSIQENSLLQSSILSPGSGLISGTNAAYNHYTFTSPNTHVHPQRRPGEDHAFNNLSAFNMEGGLRPELFYNDTDIRNNSQKESMLPSSVSESVGVEQMNRTCFPAETPAASNMVRTWEELLNDEDGGNDFWKDLIKDL >KZN05263 pep chromosome:ASM162521v1:2:21840963:21841625:1 gene:DCAR_006100 transcript:KZN05263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLARPFGGYASDVAARKFGMRGRLWTLWILQTLGGVFCILLGRSNSLPIAVTMMIIFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGENFGSGLTQLLFFTSSQISTAMGLTYMGIMIVACTMPVTLVHFPQWGSMFLPPSRDVVKGSEKNYYVSKWTEDEKQQGMHQGSVKFAENSRSEHGRRVASAPTPPYATPTMLDGMWESYNTKFNTRI >KZN06702 pep chromosome:ASM162521v1:2:35111577:35112167:-1 gene:DCAR_007539 transcript:KZN06702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTDVTDLHYLAPPNPTSYPAHFGYTQNNIPTFDYSRLSNPLYHLQINAQAQEFNPQTTCFSSNSTSDEADDQQISLINERKQRRMISNRESARRSRMRKQKHLDELWSQVVWLRSENHQLIDKLNDFSERHDQVLQENAQLKEETSELRQMLTDMQLNSPYHNLSNLEDIPCDTNYLKSESPNLPISNSKDLLI >KZN05719 pep chromosome:ASM162521v1:2:26628302:26630469:1 gene:DCAR_006556 transcript:KZN05719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSIQAVQFPIKRGLDKGHRRSSRSGGNVRIRCGIAEPSGQPAPMGQKTKYNDGFFEKAFMTLFARKMEKFAAVNKEQLDKKEKGFFDYDYDSFVDVSRRVMQGRNRMQQQEVVREVLLSMLPPGAPAQFRKLFPPTRWAEEFNAALTVPFFHWLVGPSEVVEVEINGMKQRSGVLIKKCRYLENSGCVGQCVNMCKIPTQDFFTNEFGLPLTMIPNFEDMSCEMVYGQVPPPFEEDPVAQQPCFADICPVANVNSPVCPKLQG >KZN07101 pep chromosome:ASM162521v1:2:38381208:38381681:-1 gene:DCAR_007938 transcript:KZN07101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISNASSLRVQRVSKSVSTRLLIKFSDLSDCGFDYSQSGLWSPPVQRNVFLNSPGIIMSEHEMLDKLHDIMEARRRRRYIVWRFGVRQREAHQVWSGPTSVIADGSQVDNVSPQFTGTRRSLK >KZN06735 pep chromosome:ASM162521v1:2:35443166:35445887:-1 gene:DCAR_007572 transcript:KZN06735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSTDQKIKYQLQKQDEPQMRKKGGLITMPFIIANEAFEKVASYGLLPNMILYLMMDYGMSLTKGQNILYFWSAATNFMPLIGAVVSDSYLGRFLTIGLGSITSLLGMILLWLTAMIPQARPGPCDPRTQVCKPTSSSQYSLLIASFTLISIGAGGIRPCSLAFGADQINNTENPKNVRNLERYFGWYYASAALSVVIALTGIVYIQDHVGWKVGFGVPAILMFLSASLFFLASSLYIKHKIRTSLLTSFAQVIVVAYKNRKIDLPPMNSEVWYHQKESKYQFPTSKLRFLNKACVIRNPEDVSSDGIALNQWTLCSVDQVEDLKVLIRVIPLWSAGIMMSVNISQSSFAVLQAQSMDRHLTSNFEIPAASFGLFTVIALFVWVMIYDRVVLPVASKIRGKPVYIGVKERMGIGLFFSFLGMVASAIVEHIRHRKAIEQGFLNNPSAVVDMSAYWLIPQHVLNGLAEAFNAIGQTEFYYSEFPQSMASIASCLFGLGMAFANLLASLILSTVDHATKKGGKESWTSSNINKGHYESYYWLLGVLSVINIFYFMFCSWAYGSCVEKVNGVPREMAINGSAKELLKLSCSRVNDEVENGKELSKSRLLPA >KZN05595 pep chromosome:ASM162521v1:2:25429287:25439906:1 gene:DCAR_006432 transcript:KZN05595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWLYIIRHNRIGLQYSRKRYFILDGNCLKSFKSIPSSEADTQEQVRSAIIDSCIRVTDEGRESSYRKVFFIFSLHNTSNHKDQLKLGATSPEEAARWIQHLRDVALNPEANLVSCSKRKWQPFRAFDGVLKRTSNKESIDWISAASMHVHAMTSDVIAPSPWQIFGCQNGLRLFKESKDRNSGGRRRLDDPPALMAVGVVDGTSEAIFQTVMSLGSSRSEWDFCFSGGSVIEHLNGHTDIIHMKVYNDWLAWGAHRRDFLLRRYWRREDDGTYVILYHSVYHRRCPPHRGYVRACLKSGGYVITPVNHGKESVVKHMLAIDWKLWKPYLPKPYARSRTVRMLERVAALREMFRTKAGNPSDCSSEVASDTDLPQIEKGTINKEVNIVVGEEKSGVEREKISGSSSLMDLHNMSDEFYDVPEPIDEYQSDNEWTSDKSQDGHYRETTQPKFSKAANFVKKFHERAVQKKGYKDLQELSWEENVSHIYGSTLPNDSSCNLPCSWAPADPSAFLIREKTYLRDGQKGKAKGTLMEFIGADWIKSNKREDDLGGRPGGITQKYAAEGGPEFFFIINIQVPGTPMYSLAFYYMMSTPLEDSPLLENFVNGDDTYRNARFKLIPYISKLDVDVGSSTVARGVVSLVLGYLNNLVIEMAFLIQADTYEELPEFLLGTCRLNHLDAAKSVPIETMPDRS >KZN04491 pep chromosome:ASM162521v1:2:11832560:11834467:1 gene:DCAR_005328 transcript:KZN04491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESNTGRVITSPKMVGLCSALWELPLNKNQKEQLEEQMESKSSVTDTVLLRSVTFQVVSVYQPCGSMEQQPRKRGRPRLLVTADVVERRKNSKRLQNAKRAYKRGEELSEGGCSAHSPNIAGSPTGGQSSSDACPALDDARPAGNTNVEETSLVATTAWREG >KZN05588 pep chromosome:ASM162521v1:2:25367730:25368422:1 gene:DCAR_006425 transcript:KZN05588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAEVASPLSEAPELTNTHQLFTRIRLATISDIPYIYRLLHQVAIFQNLTHLFATTESSLAATLFPTTPNPPTPFHSFTGFILETSPSPIPIISETSEHFTPVQKTLTLDKPILDSEKELFCSDGKDIVVSGLVLFFPNYSSFLAKPGFHVEDLCVRECYRRKGLGKMLLSAVAKQAAKMGYGRVEWVVLEWNMNAIKFYEEMGAKILPEWRTCRLAGETLEAYGDAEE >KZN04961 pep chromosome:ASM162521v1:2:18382958:18388241:1 gene:DCAR_005798 transcript:KZN04961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTAMEIEESSPIPRNSHRVALKNSIQTNFGDDYVFQIVNKDDWTSMAVSLSTNTVKLYSPSTGQFIGECNKHHSSTINQIMFSGDILHSCSSDGTVRAWDTRSFQEISCISAGPSEEVFCFSFGGVGDSLLAAGCKSQILFWDWRTRKQVACLQESHMDDVTQVHFVPENQNKLVSASVDGLMCIFDTSGQINDDDHLESVINVGTSIGKVGFCGKRNQKLWCLTHIETLSVWDWTDSRLEANFEDARSVASNSWTPEHVDYFVDCHYSYEDDSLWVIGGTNNGTLGYFPVKYNGGREILAPEAVLHGGHTGIIRSILPVSSIKGPASQNRGIFGWTGGEDGRLCCWLSDEACDTNRSWISTTLVMKTTKSLRKNRRQPY >KZN07324 pep chromosome:ASM162521v1:2:40015356:40018259:-1 gene:DCAR_008161 transcript:KZN07324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEATPVVPSSELLEWPKKDKRRFLHAVYRVGDLDRTIKFYTEGFGMKLLRKRDIPEEKYSNAFLGFGPEESQFVVELTYNYGVDKYDIGTGFGHFAIATPDVYKFVENARAKGGNVSREPGPVKGGSSIIAFVKDPDGYAFEIIQRASTPEPLCQVMLRVGDLDRSINFYEKALGMKMLRKIDRPEQKYTLAMMGYADEYETTVLELTYNYGVTEYTKGNAYAQVAISTEDVYKSGEVVNHVTQELGGKITRQAGPVPGIGTKIVALLDPDGWKTVLVDNEDFLKELK >KZN06968 pep chromosome:ASM162521v1:2:37231353:37231751:-1 gene:DCAR_007805 transcript:KZN06968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKMIMYVMLLLSMVMVGKSRQLVEKQKLGVGNEENKKSGDVSDNKEKMDLGEAKDLFPFPNIPMLVPPLPQIPFVPPLPDIPQLPLPPPIPIPQFPIPQIPLPPLPDISNLPPFPFPPIPFLTPTPNKN >KZN05948 pep chromosome:ASM162521v1:2:28639342:28642517:-1 gene:DCAR_006785 transcript:KZN05948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESSPKLTHSISLPTRSGEPVQVIAAPGLSESDFRNAIDSSLFHQWLKNIQTDSGLLVNGQMSLKQVLIQGVDMFGKRVGFLKFKADIVDKETGSKVPGIVFARGPAVAVLILLDSEGKTYAVLTEQVRVPVGKLILELPAGMLDDDNGDVVGTAVREVEEETGIQLNLEDMVDLTAFLDPSTGCAVFPSPGGCDEEISLFLYRGNVSKETITQLQGKETGLREHGELIKVHVIPYEKLWRSTADAKALMAIALYEMSKKEGLLPPQRS >KZN06111 pep chromosome:ASM162521v1:2:30207550:30219292:1 gene:DCAR_006948 transcript:KZN06111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESRSRGRSNKTSISSSNDSQPLVLNIEDFKGGFSFDALFGNLVNELLPSFQDEESESAEGHSNNSGNDTLPNGNIKSSEAGKWSQGDSSPSFPEVDALLSLFKNSCTQLVDLQKQIDGRLDNLKKEVASQDSKHRKTLTELEKGVDGLFESFARLDSRISSVGQTAAKIGDHLQSADAQRDTASQTMELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRRQNMTVSSAEGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSQFNKGTSAMQHYVGLRPMFDVEVMNSDTALLLGDQDSQPSPSNVSRGLSSLYKDITDTVRKEAATITAVFPSPNDVMSILVQRVMEDRVPKLLEKLLEKPSLSNPPPLEEGGLLLYLRLLAVAYEKTQELARDLHGVGCGDLDVEGLTESLFSPHKDMYIEYEQASLKQLYKAKMEELRAENQLSSESSGSIGRSKGSSIQSSQQISVTVVTEFVRWNEEAITRCSLFSSQSTTLAANVKPMFTCLLDQVSQYTTEGLERARDGLTEAAALRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFSNSIARLLLPVDGAHAAACEEMSTAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATEYRSPDDGLIPDHRPTTACTRVVAYLSRVLEAAFTALDGLNKQAFLTELGNRLHKGLINHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSLRKDAQKFVQLREDYKSAKLASKLSSLWPSS >KZN04429 pep chromosome:ASM162521v1:2:10648845:10650012:1 gene:DCAR_005266 transcript:KZN04429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQLLLSSENDGFLCPIPQYPLYSASITLHGGTLVPYFDEATGWGLEMSELKKQLEDAKSKGITVKALIVINPSNPTGQVLSEDNQRDIVKFCKNEGLVLLAYEVYQGNVYVPEKEIHSFNFQESCQVDGLRRD >KZN07293 pep chromosome:ASM162521v1:2:39772845:39777207:-1 gene:DCAR_008130 transcript:KZN07293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPDMFESNHLLLDQMNQNQKTSEDQNDLQLDMLRDDEFDTKSGGTDGLENPSGDDQDPSQHPNKKKRYHRHTQHQIQEMEANENEKLRADNVRYKEALANASCPSCGGPAAIGEMSFDEQHLRIENARLREEIDKISGIAAKYVGKPMLSYPHLPAHGPSRSLDLGVGSFGPQSGIGVPDLFGPGDLLRSVSGPSEADKPMVIELAVAAMEELITIAQAGAPLWIQNLGNSIECLNEDEYLHNFPRGIGPRPMGLKSEASRESGIVIMNPISLVEIMMDVNQWSTVFSSIVSRAMTIEVLSTGVAGNYNGALQVLAERMVLSFCSGVGSSTEHTWTTLSGSGADNVRVMTRKSIDDPGRPAGIVLSAATSFWIPVAPKRVFDFLRDENTRNEWDILSNGGLVQEMAHTANGRDPGNCVSLLRVTGTNSSQSNMLILQESCADPTGSYVIYAPVDMGAMNLVLSGGDPDYVALLPSGFAILPDGPVQNVEQILEVGSGGSLITVAFQILVDSVATAKLSLGSVATVNNLIKCTIERIRSAVVGDQNI >KZN07726 pep chromosome:ASM162521v1:2:43105309:43106196:1 gene:DCAR_008563 transcript:KZN07726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLTAHGHQLPSPFHSRGLPLHHHPLQFPNFHPQQNNSEEEQSESSLNRSLKRERIDNSNNNENNGNHNTSADDKELVSFNGSESEGSRKPRGRPAGSKNKPKPPIIITRDSANALRSHVMEVANGCDIQESISTFATRRQRGVCILSGSGTVTNVTLKQPAAPGAVITLQGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGGVVGQLIASGPVVIMAASFGNAAYERLPLEDEESSVPGNGSLESPPLPGMIGQQLMTDPNASLFHGTPNQVPSDPAYWGGARPPY >KZN06528 pep chromosome:ASM162521v1:2:33759111:33762193:-1 gene:DCAR_007365 transcript:KZN06528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKSRHHNTFYKRSPMIQANQTSCALFIGTWVYDDTYPVYQSSACSIIDPEFNCQMFGRPDTDYLKYRWKPVNCELPRFDGEEFLIKMRGKNIMFVGDSLGRNQWESLICMISASVPRSPTQFIRGDPFSSFKFIEYGVAVQFYRAPYLVDIDLVQGKRILKLEDMSKNGGAWKNADVLSFNTGHWWTHKGSLQGWDYMEAEGQLYQDMERLVALERGLRTWAKWVDANVDKSKTRVFFQSISPTHYNPSEWSAGATSVAKSCYGEKTPMSGTAYPGAYPGQMKVLDAVIRNMSNPAYILDITMLSAMRKDAHPSIYSGDLSPEQRANPDHSADCSHWCLPGLPDTWNQLFYTALFYQ >KZN06917 pep chromosome:ASM162521v1:2:36865105:36871210:-1 gene:DCAR_007754 transcript:KZN06917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLASCSMITSHKSRAIMLTLNSSSISSLKPTQFNYFQSQSSLFLRNKSNLEINSRSASRSVIRAKIDVSPLSIRPGGIIETDKLPSDVRKMTMDAVDACGGRVTVGDVASKAGIKLDQAQKALQALAADADGFLEVSDDGDVLYAFPKNYRSKLATKSLRIKFEPLLEKAKSGAEYVVRVSFGTALIASIVIVYTTIIAILSSKRYWDPYYYRRRRVRAENNGMNYIESVFSFVFGDGDPNTGIEEERWKLMGEYIASNGGVIAAEELAPYLDVEQRKDMNDESYILPVLLRFDGRPEIDDEGNILYRFPSLQITASRKGGRKEYVGRKWADWVGGVERYFREQQWQFSKTSSSETAMVVGLGGLNLFGVVILGTMLK >KZN07075 pep chromosome:ASM162521v1:2:38162241:38162935:1 gene:DCAR_007912 transcript:KZN07075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVASVLLVLNLCMYVIILGIGGWEMNKSISQGFDLSSQLSRNYYPEENTATGLFLVFGLIAGCVGFASLISGLNHVCLWSNDTLPAAVSAATVAWAHTVLALGFATKEIKLHIKNSRLSTMEAFIIILSATKLLYIFAIHGVRK >KZN07569 pep chromosome:ASM162521v1:2:41891268:41891849:-1 gene:DCAR_008406 transcript:KZN07569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVYPCTPSSSSCSSSKTYTIWMKSLVANGNGFTVYDSCGRVVYRIDNYSNKSCRQVYLMDLHGNVLFSMFQKVFGFGQWNGYNKDDRVKNEKPFFEVKNCSKFLKRRETEYHVKMGSDSCSFYKIKGTEGKCDFNITDQQGRVVAEAKQKQSSSGVMLGQDVLSLKLEAHVHDHSFIMALVAVHGLITRKM >KZN06942 pep chromosome:ASM162521v1:2:37020252:37022074:1 gene:DCAR_007779 transcript:KZN06942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQPFAFRSAALLFLVMFLFVNEISCNFLKQKKLYEFETKLLHKASEAAAAPTPSTSPKSFGRVFYPIGYGADPTGVHDSSDAILKTMEAAIASEKQGLQLMPGITDMGGVLIDLQGGSFKINKPIRLPAYTGNLVMQGGTFRASESFPTDRHLVELWSPHSVKLDDLEVANLDGFSDQKVQANGIYYEAITFRDILFDSAFRGGGIFVVDSARIRIVDCFFLHFKTQGIQVQKGHETFISTCFLGEHPTVGGDKGERNFEGTAIDLASNDNAVTDVAIFSAAVGITLRGPANMITGVHCYNKATFFGGVGILVKSGQTRIDNSYLDYNSIIIEDPSQVHVTNGFFLGEGNVVLKAIRGKISGLTIVDNMFTGNAKSSKPTISLDGKFTDIDQVVIAQNTVNGMRLKSTVAKMVVAAKGKKWTADFSSLLVFPNQINHVQYSVYLPGSAGKIAGHALTKIADNVVVVESEKEVTGFISVEVDQYNMAEEKNLFI >KZN04309 pep chromosome:ASM162521v1:2:7498275:7499566:-1 gene:DCAR_005146 transcript:KZN04309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIQDDLFMRVVNETLTRARAHPEEYTLTPEEIQVLASNVIEGYSDLPHDHPVMIEIRTSIIRVAIEVLNNLYKTHGPGKTKGKGIVQEDGGNTDTGCHEGGGDSSTDSEDGELRPPPNIRSKGGPVIKG >KZN06867 pep chromosome:ASM162521v1:2:36479419:36482234:1 gene:DCAR_007704 transcript:KZN06867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWPWPPLPSPSSPVPTQSPSPAPAPYSPAFTPSHSTTFPPHTVSQPPSLAPTPTALPVKNRAPSPSFIKIQPPPRPYRQWPSRKPPQPSPPPPLPPPVSRSRSLSANKDVLVGLIIGGALLGGVIIMLLIFCFGKKEKRVDHIHASSPDLPPVVHKGKLLNNIPSRQGLDQAGDNDDLRPWNTFLIPPYLNSSVLDFRSENLNVAGGNFTMLELAVATENFSAENLLGEGGFGYVYKGVLPNERKIAVKRLKLGSRQGEREFQAELETISRVHHRHLVSMIGYCIAGTERLLVYEFVENSTLEYHLHGKDSPVMDWGTRMRIAIGSAKGLAYLHEDCNPTIIHRDIKSANILLNSKFEAKASKIQMQIILWKEQVADFGLAKFFTDTNHDITHVTTRVVGTFGYLAPEYASSGRASHKSDVFSYGVILLELITGRKPLSKDFSSTDFLVPWAKPLLRLAMENNKFNTLVDPRLGNNCNYDEIAIMASCAAACVRNSEQLRPRMSQLKMQLQVVFSSEKSKYNFKSCLALTIEAIFPEIDIEILRTLEGDVSPMELFSDGTRPGYSSFHDVFDILHLGSGQTGNTSDPQTRLLRRSGTNYHRRL >KZN05368 pep chromosome:ASM162521v1:2:23119388:23124912:-1 gene:DCAR_006205 transcript:KZN05368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRYRAGLFLIAAVVIIWVSSAEVTQDIFTDYKQPFAVTYLGASLMVVYLPIAFIKDLIYSYLKKRSSKSSKSILTENGAIKMFEIEIPGSINRKDSEGDISAQEEEMLLVPADTVKQNKELSTREIATYGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGAFLGQDSLNVTKVVAVFVSMAGVAMTTLGKTWAADDAQSNSSSNGKRSLVGDLFGLLSALCYGLFTVLLKKFSGEEGERVDVSKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSARLDEVVIANGFIGSVLSDYIWALCVVWTTPLVATLGMSLTIPLAMVADMMIHGRHYSAIYILGSVQVFAGFVIANLPDRLSKKLGF >KZN07451 pep chromosome:ASM162521v1:2:40946686:40947397:1 gene:DCAR_008288 transcript:KZN07451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVWASSAANALKLSPAAPFSLSRCFSSVLDGAKYATSHEWVKHEGSVATIGITDHAQDHLGEVVFVELPEPGAAVTQASGFGAVESVKATSDVNSPISGEVVEVNTKLTETPGLINSSPYADGWMIKVKPSNPSELESLMGPTEYTKFCEEEDAAH >KZN05766 pep chromosome:ASM162521v1:2:27041559:27046818:1 gene:DCAR_006603 transcript:KZN05766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGSKPLQIWDKEVVDGHIKRIQDDDIQSNVLEIVGSNVQSTYITCPTDPAATLGIKLPFLVVIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPFICTMPLRMDEGWNQIQLNLADYTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKA >KZN04552 pep chromosome:ASM162521v1:2:12537767:12547712:-1 gene:DCAR_005389 transcript:KZN04552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNRLQRACTALGDYGEESALPTLWDXLSGTRYPQSPSSVASSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRLEEGREYAEFAHQPKRKYTDFAAVRKEISDETDRETGRSKAISTVPIYLSIYSPNVVNLTLIDLPGLTKVAIDGQAESIVQEIENMVRSYIEKPNCIILAVSPANQDLATSDAIKMSREVDPKVMSILPEIRVSIDDFEKLRSILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRKEREYFANSPEYRHLAHRMGSEHLGKVLSKHLEAVIKSRIPGLQSLINKTIIELETELSRLGKPVAMDAGGKLYMIMEICRSFDQIFKEHLDGIRPGGDKVYNVFDNQLPAALKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESTLVTIKGPAEAAVDAVHAILKDLVHKSINETMELKQYPSLRVEVSNAAIESLDKMKLDSKKATLQLVEMECSYLTVDFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVHMVLGSLRNSIPKSVVYCQVREAKRGLLDHFFAELGKKEAKQLGKLLDEDPAIMQRRISLAKRLELYRGAQAEIDTVAWSK >KZN04936 pep chromosome:ASM162521v1:2:18148151:18154137:-1 gene:DCAR_005773 transcript:KZN04936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRRSQLLCLLFFLSYAFTTIAEKSYYDILQVPKGAPDDQIKRAYRKLALKYHPDKNPGNEEANKKFAEINNAYEVLSDSEKREIYDRHGEQGLKQHAAGGGRGGGGINLQDIFSQFFGGGVSMEEEEKIVKGDNVIVELDASLEDLYMGGTMKVWRDKNILKPAPGKRSCNCRNEVHHRQIGPGMFQQMTEQICEKCPNVKYVREGFEVTIDIQKGMQDGEEVVFYEDGEPIIDGEPGDLKFRIRTAPHDVFKREGNDLHTTVTITLVQALVGFEKTIKHLDDHIVDIGSKGITNPKQVRKFKKEGMPLHLSNKKGDLYITFEVLFPTSLTEEQKTQVNAILG >KZN05618 pep chromosome:ASM162521v1:2:25662957:25665719:-1 gene:DCAR_006455 transcript:KZN05618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRHFPNSFKLFGDDQSQNQSHQLGLPYNPMGGPSETGSFVLPPNNMSSGGVHYDPQWNLRGMENNQYASSSLNREIRYFPPASQLQSYYPFPHSASSANLCIQPHNDISGMHPSSYNRQLEDGMSNPIIGNEMGSLKRKSAAGPAYTGNFEIGSTSRSFEAGSSSSSYQMPQQYSIANHQVLSSGPISIAEHRSDGLTIGREASLRNVRSRSTFDVEHAHHPSYPSQLYNSTTFPRNPSVQVKNNDSTVQWAHGPYPASALGRPSITPPALGGIHGMNQFSVGGGPVNTVVGNSYNVSSGYPVSTSQNSGGTSTQVSRENHSTQFRRARAVTSESLRSQRPSSNSRYSRPFLTRGWRNSYRNRRSRTATPRLQSTSSAVDARNRMGSQELLALGERIGNVSTGLSEDTVFKRITEKVYCLGDQNYDEQCTICLDEYKIDVDTIGKLKSCGHEYHVDCIKKWLGLKKFCPICKVAA >KZN06157 pep chromosome:ASM162521v1:2:30661204:30662265:1 gene:DCAR_006994 transcript:KZN06157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARMKKGFKSFCNGDGSTSTLNQQKMKLSEEVEAVTADRESSLLMENMERTKHDDKNEATTLEEMIMQLELEEKLARRREEEFSAGERSRMLQQQRRMSCVNSSDILRSARNALNQYPRFSLDGKDAMYRSSFRNFGGPVDNNIDANISGARRSTSSNRSKSSCSCSCRICRHNHQYSYRAVKKNDERQLCSCNHVDSRGIGGRGGGQQLRPVKVTGDESCSVVWCKPGLVAKLMGLEAMPIPVLQLMNNGNNNNKMMTGRRLSTSSAVENSYKKQNQLQRTRGREGAVRVDSHNDSSAAYNKRPGTSDKKFYLHPSHSRITDNHIMIRKHAPLEEVKEFEEPGWPLRHRFL >KZN04801 pep chromosome:ASM162521v1:2:16067438:16068127:-1 gene:DCAR_005638 transcript:KZN04801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHKGSTIVFLVLLCSGICSAARTLFSYEQSAGYGSYHSGATDHELASGGGGGGGGGSGGGGGGGYGAGGDHGSGSGRGAGEGGGAGYGGGREGGGGGGGGGAGSGGGGGGGSGGAHGGGYGGGEGGGEGAGYGGAGGYGGGGGHGGGGGGGSGAGGAGGSGYGTGGGSGAGGGSGGHGGAAGGGGGGGSGGGGGGGYGAAGGEHGAASGYGSGSGSGEGGGHGGYAP >KZN06929 pep chromosome:ASM162521v1:2:36935386:36936787:-1 gene:DCAR_007766 transcript:KZN06929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTQTLVCVKQVKQEAVEEWDETMPLPGDIVEGIAEEPNDDLLFVKAKGRSEFSLQLGKVGRRREELWLKVRRGERVLKLRVCVVPERSSKLQKKFTFRAASSDKHVAVLADLTFDQCCELQEMSRRVVKVTPIGFNQKGVKYDWKMKVGTYLPDPRSTVVSSIIFMALATETCAGATTVRAMAWFTAAVSSGIPLVFINIQTEQIINSDKASPVEKETIWGRQQNYAATIQISQAIRLWFLPGIEEKLIELKPKHGDLRFGMDIKRTEEGFICVNRVTRGTAADRAGLRQLHEEATRTGHLVVISRLDGKSLMPSQASSAGLIHCCDNANIKETLNSALEDLDSIKLHIMSWPNNARTDHTGRAGAAMLRPPT >KZN06556 pep chromosome:ASM162521v1:2:33964040:33965299:-1 gene:DCAR_007393 transcript:KZN06556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFGMEILSPFSYFPNSSWLLEESKSTRWTPEENKLFENALARYDKDTPDRWQKVAAMVPGKTVADVKRQYKELEDDVSSIEAGLFPTPGYSQSPFTLEWGNSTNGFDGFKASFGGKRSCGNRPSDQERKKGVPWTEEEHKQHSGGKDKRRASIHDITTVNLNENQTPSPDNKRISSMDQCNALSQHTNSSAMSRTPYQWNQSNNGAAAMAFSQTSGNMFMSPYGLNSHGIKVEDHNFQRANIHESYMGTQDMVFQMQSGLHNLHG >KZN07157 pep chromosome:ASM162521v1:2:38731520:38734117:-1 gene:DCAR_007994 transcript:KZN07157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKTMMSRGGSRRNDESFVTRMVNSVFSFVRLAEFEILFVLFFLIAFLLFKDLTARPEYNQILVKKPGAAEWWNY >KZN04120 pep chromosome:ASM162521v1:2:2247481:2251663:-1 gene:DCAR_004957 transcript:KZN04120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKLITIVLHYDGIFRRSGFSPGKTLPVAKEGVKRTFVTTHQLQQQQEKKKKANKKAPEPPRRILSPRQCKKQAAGTPEKKEPVVNKNGSVRRKLNLHEGTDVEVPAQTTPTKNPYEERRNKQVLENREKFKELGLDKFLPNPNPPAVKINKEKDKVQEESDEYILENESEEEDSEDSSKSPKKKKIPPGPRFRSRANDANLCEKDPLHATRKKASKKVPAKEGVESSTAPKLLNPTCSKLLKQCGDIQSGSIAAYVALRERQKQNLELDPRIEDAGESSLPNEVEEGEPGNQGGGYQVVAETSRPVLFRGRCFSSCKFSLRTSPSQGLPPYPTRIQNQSLEVPLTHPM >KZN07704 pep chromosome:ASM162521v1:2:42924058:42929106:1 gene:DCAR_008541 transcript:KZN07704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNLKTWSNFLRKNNHFVVKLAVAFLFVGVAFRLISPRSTQYLDVPETPFVERSETQGSVNVPEIIEQFYHEGSCNLMVGNWIRNPEGPRYTNESCQFIESHQNCMKNGRPDTEYLYWRWKPKDCELPQFDAKKFLEMMRNKAWALIGDSISRNHVQSLLCILSKVEQPLHVYHDPDYKNRRWLFSSYNFSLSLMWSPFLAEAKIFEDYNGVSTSEIELQLDKLDSHWTSVYDNLDYIVFSSGKWFVKSAIYYENDTIQGCHYCPQRNLTELGIRYAYRKVISNVFDYVIKSNHKGMIFYRNPTPDHFENGEWFSGGNCQRTKPAEEGEFQYNVLNKLLREVELDEFAKAEIRASKAGVKLKLLDVTPLSLLRPDGHPGPYRHFHPFAKDNKEKIVNDCLHWCLPGPIDAWNDVLMEMIVKG >KZN04368 pep chromosome:ASM162521v1:2:9629084:9631191:-1 gene:DCAR_005205 transcript:KZN04368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYDGWKLSACILLSLFLQIFLVVAGSFRRLASRRWIVKIIWFAYLLAEFVAVFGLGLIVSRQSLLYNDYKDDIVQVRCMVHGVSDSCKDDHILMYWATFLLVHLGGPDTITAFATEDNELWLRNLFYLSSKCIAVAYAIYQSVETNYRVEVPILLLFLYGIIKCTERTCALYYGSAKSFRNSMLSKSNLGVNDFSKKEDTLLIKMNGEALFNIEVLQCASVFFMTFKGLVVDLSVGIHDLNQSRDFFLQRSSQDAFRLVEVELNYLYDVLFTKIPVLHSKLGLCGRTLSFMAVVSSLVVFYCDFGSKEEKEPLQKKACETILRPKTEVDELVTVCRDKSLFFTASVLARELKDMPSERKWMIISKLWVELLSYAASHVRSSAHAEQLSKGGQLITVVWLLMAHFGLGDHYEIDL >KZN06227 pep chromosome:ASM162521v1:2:31124789:31127156:1 gene:DCAR_007064 transcript:KZN06227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFMHKFGRSKSVVKRSKKHLEEALYKRLFKDGSSEISVRQQLNLFLKSKKKVYKWEVDDTLKILRSRNRFYPALKLSESMAERGINKTVSDQAIHLDLVAKAKGISAAEAYFVGLPETSKNHLTYGALLNCYCKESMTEKAEALMDKMKELNLGLTAMPYNSLMTLYTKTGQPERIPAIIQEMKSGDIVPDSYTYNVWMRALAAVNDISGVERVINDMKRDGRVVADWTTYSNLASIYVDAGQNVKAENALKELEKRNACKDLPAFQFLITLYGRTGNLLEVYRVWRSLRLAFPKTANISYLNMIQVLVKLKDLQGAEKCFSDWQSNCSTYDIRIANALIGAYLNEGLLNKAIELKAQSKKKGAKPNAKTWEIFLNYYLKEEDFKSAVDCVEKAISTGRGDGSKWIPQTEITQRLLGHFEQNKDVESAERFVESLKKSVDEVGADVFESLIRTYVAAGRTNPIMRRRIKMDNVDLSVEGIKLLDAVCSE >KZN07471 pep chromosome:ASM162521v1:2:41116403:41117664:1 gene:DCAR_008308 transcript:KZN07471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYSSNVLLLNRGIQEGKIQGGNDYTMQAQQGAFLDQPRFIFSNNTPPVNDNQDSRKRGREINPIFSSSSMHQQQPQLIDLTQLHTPPQNAGVSTGLRLSFGDHLQNQSLSLLPQDFAAQMKHQRDEIDQFLQAQGDQLRRTLAEKRQRHYHALLATAEEAVAKKIREKEAEVEKAVRKNAELQARAAHFSAEAQVWQARARAQEATAASLQAQLQNAILRQGCAQNHDGTLTCASGDVAADDAESAYIDPERVEVASGPSCKACQKRVASVVLLPCRHLSVCAECDGVVQACPLCLSFRSSSVEVFLG >KZN07698 pep chromosome:ASM162521v1:2:42856773:42859679:-1 gene:DCAR_008535 transcript:KZN07698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFHSSPELFARRGNDELNDSKASRKGKYRKRPTSTQPPVEAPYLPPRLMRTSKLLPDKTIDIFEGMTIIELAKRSGESIHALQNILTNVGENIGSEFDSLSIDIAELVAMEIGVNVRRLHSCEGAAVLPRPPVVTVMGHVDHGKTSLLDALRSTSVAAREAGGITQHIGAFVVKMPSGASITFLDTPGHAAFSAMRARGAAITDLVVLVVAADDGVMPQTIEAIAHAKDANVPIVVAINKCDKPAADPERVKVQLASEGLPLEEMGGDIQVIEVSAKSKSGLDNLEEALLLQAEMMELKARIDGPAQAYVVEARLDRGRGPLATAIVKAGTLVCGQHIVVGAEWGRIRAIRDTAGKLREDATPAMPVEIEGLKGLPMAGDDITAVESEERAKMLSAGRKKKLEKDRLLKIDEGKEVSPDPLEDVPVRVEMPVIVKADVQGSVQAVTDALKSLNSSQVLINVVHVGVGPISQSDLDLAQASGACIVGFNVRGPSGCVGTSATKAGVQIKVHRVIYHLLEDIGSLIVEKAPGTFETEVAGEGQVLNLFEVKGRSKAKGEGFKIAGCRVLDGQFSRSSTMRLLRSGEILFEGSCVSLKREKQDVDTVGKGNECGLVLHDWLDFQVGDVIQCLEQVNRRPKFISSESGAVRIEC >KZN05357 pep chromosome:ASM162521v1:2:23038353:23039367:1 gene:DCAR_006194 transcript:KZN05357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPAATKVYQDFVPASEIVEEPQCNTLVLYLPGFKKEQLRVQLTASRNLVITGEHPVGQNTWRRFQKTVPIPVNCDSSKITAKFEDQILYITQPKLIASAEKQDQKATTSKQDGLTAEKQDQETPSSKTPESFKQADENEVPGKGKQVPDPKQEDNIDADRKETAEENVEKNGAKGDDFKNAVEGSNDGKTVSDDIPEVEKTKKTADTGKAKEDGDQKHSTYSGIISTDMNIQKKVISIVIAIAVAIAFGLHVYYLVGRGGKAVKEDL >KZN06785 pep chromosome:ASM162521v1:2:35834040:35837259:1 gene:DCAR_007622 transcript:KZN06785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVWKPSMMITIFLLFLTSSQGKTSNYWDNEYPFIRYASSFPPPPSPASSSASTSVEEKAYDYIIVGGGTVGCPLAATLSQNFSVLLLERGGVPFANPNVSFLQNFHISLADVSPTSASQFFVSSDGVYNARARVLGGGTCLNAGFYTRPSARDIRRLNLDAKLVNESYPWIEDQIVHMPKFSPWQRAVKDGLLDVGISPDNGFTYDHLYGTKVGGTIFDRYGRRRTAVELLRSANSKKLDVLIHATAQKILFDKTGKKPRAVGVVFKDETGRQHQAFLSRRRGSEIIVSSGALGSPQLLLLSGIGPRKDLQKLNIPVVHHNELVGKGMSDNPMNTVFVPFNRHVEQTLIQTVGITKIGVYIEASSGFGQSSDNISCHHGMLSAEIGQLSTLPPKQRTRDAIEAYKRNKRNLPHEVFKAGFILQKLASPKSTGELSLKNTNVDDNPHVSFNYFSHPEDLQQCVDGIRLVEKLLASKHLTSFMPPDKESFEKLLNLSVQANVNFIPKHTNDTKSVEQFCKDTVITIWHYHGGCHVGKVVSPDYEVLGVHRLRVLDGSTFSHSPGTNPQATLLMMGRYMGLKILRQRLGRAAGF >KZN05312 pep chromosome:ASM162521v1:2:22504626:22506182:1 gene:DCAR_006149 transcript:KZN05312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGNTCPTNDDIKNILGSVGADAEDDKIELLLSEVKGKDITELIASGREKLASVPSGGGGVAVAAAAPGAGGAAAPAAEAKKEEKVEEKEESDDDMGFSLFD >KZN07174 pep chromosome:ASM162521v1:2:38830272:38833452:1 gene:DCAR_008011 transcript:KZN07174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSNLCSLQISLRQRLYLPFHLFSTPPHSLYLTQSSSSASAILINPQHNHNISTLNSAPDENFRPNSLHLSQLLLQCCQSKSLSSGLQLHARVTKIGLLGDATIRNHLVNLYSKCVVFGYARKVIDESSEPDLVAWSALISGYAKNGFCGEALDGFREMHLLGLKCNEFTFPSALKACAVKKDLGKGRQIHGVVVVTGFESDVFVANTLVVMYAKCGEILDSRRLFDWIPERNVVSWNALFACYTQGDFCGEAVALFQDMVSSGVRPDEFSLSTILNACTSLRDIGQGKKIHGYLVNLGFISDPYSANALVDMYAKLGHFEDAIAVFDHIEMPDIVSWNAVIAGCILHDFHQRGLELLKNMIDSGTVPNIFTFSSALKACAALGLQLLGKQLHAKLLKIDLKLDSFVSAGLIDMYCKCGLTKNARVIYDLLPEKDLVALNALISGYSQNGGEFEVLSFFGDMHKEAMGFNEATLLAVLNSAASSQVTSISEQIHALSEKAGYLSDIYVTNSLIDSYGKCNQLEDATRIFEESRIGDLASFTSLITAYAQHGKGEDALKLFVKMQELELKPDSFVCSSLLNACASLSAYEQGKQIHAHIVKFGFTCDIFAGNSLVNMYAKCGSIDDAGCAFSELPRISFEDFAKV >KZN06965 pep chromosome:ASM162521v1:2:37202232:37214014:-1 gene:DCAR_007802 transcript:KZN06965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENPTGKGRRGPGPFDKVYIHVNVNVKVLLKWMNFHLKKAGYEKEDGAAYAHLLTALAPELGSKTVLATDDPTERANLIVEQAENMDCKSMIRRMLKKQGIDGPKPMFLLGNILELKKMKDAVVKHSDSTDPPVSHNCGALLLPFFDKWKQQYGDMFRIALGNTQILLVNRYDVLKEITTCTSLDFGKPSYLAKDRGAILGNGIITSNGQYWAHQRKIIAPQLYMDKDMCSLVNDCVKTLFESWNDIIMSNGGIVSDIEIDPHMRSFSGDVISRACFGSNFLQGEEIFSKLRELVELSSNKAFSFGIPGMRHIPTKNNRKLWALEKGIYELISGVVNQRAEAEVGYTKDLLQMLLEEGQNSELPKDEIEQFIVTNCKNIYLAGYETTAVSATWTLMLLATNQEWQDRVRAEAIKVCQGQPPDIVAVNRMKVLTMVINESLRLYPPVVVMSREALKDMKFKDIDVPKGVNVWAVSLGEKLKEPQQNRYDASRRRRRRPPRPSSPSSSSLSSSLKEFREQVYYTVFPPGRPYRDFVGPPPSPPHSNSSFIVPPNRPSRPQPXFVIHPHSLFPGVYVAADHDHDESCNKVLYTRNLVPNV >KZN07010 pep chromosome:ASM162521v1:2:37594268:37595710:-1 gene:DCAR_007847 transcript:KZN07010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAEGGLSFDFEGGLDSGPTQPTASVPVIHQPDPNSIAVASAAKNSNNIVPQSDVSDQQAPGNFSGRRSYRQTVCRHWLRSLCMKGEACGFLHQYDKTRMPICRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVLKKIQQLTSYSYNNSNRFYQNHNPNNTQHTERPHVPPGANGVHQVMKSTPTESINVQQHQQQQQQIQQTQQPAVQTQTQNLPNGQQNQASRSAIPLPQGISRFVQS >KZN04952 pep chromosome:ASM162521v1:2:18304986:18305267:-1 gene:DCAR_005789 transcript:KZN04952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASLCNVKLISAFVVEQVCVASKRGYASTSGSVVRSGGAMMSNKGGEEVAQVNRPWGPDPVTGYYRPEGVPSQVDAAEMREMLLKPKTRRN >KZN05359 pep chromosome:ASM162521v1:2:23044495:23044782:1 gene:DCAR_006196 transcript:KZN05359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVDVLKKRVEELEKKNRELEKSKKMAELQLAANESLMRLMKKQMEEKTAFYNNALQSFMTKDQDEANSLLQTNEKIVVSIGSKRSRTSVEAA >KZN06794 pep chromosome:ASM162521v1:2:35885565:35896837:1 gene:DCAR_007631 transcript:KZN06794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQQAVPPRSVNGIGRRRGHHVEIQVIDGSVFSGIFHATNADKDFGIILKMARLTKAGSSRGQKNISDSANKPASKTLIIPATELVQIIAKGVAVTMDGLASELQQEKQQDIMTDSTISHSRHVDVERELGRWVPDEDVPECPELDNIFDGPWNRGWDQFEANETLFGVKSTFNEDLYTTKLDRGPQTRELEREALRIAREIEVEDTQDLHLAEERGIQLPRDIELDEETRYSSVFRGVNDSGYDEKENLLDSRNIETFGGASDSSIGKSFTAFKSGKSNDVAEMPSSSSSMDDIQSSQSMRQWSASHDQIKQFSSDPHHTSLLALDGGSRVREGEVNENLAVSSFKELEKKTLNADTQPSKPRELNSSFGQNKGSEGQENTLNTPGHGIAASASVHRPRVSPSSSKGSLSTLNPHAKEFKLNPNAKSFTPNPTTIRPVSPVSDGSYYYQANVPAVPNMHGIPVAIGVGQSFGGHQPVMFNPQAAAMQAPQPFFHPNGPQYGQHMILGQPRQVVYMPTYPQEMQYKGREF >KZN04962 pep chromosome:ASM162521v1:2:18400180:18404299:-1 gene:DCAR_005799 transcript:KZN04962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVHSSSFLLPEIPAGDGDGLKYALVLLNQRLPKFTPLLWKHAQLRVCADGGANRVFNDLPLLFPHETPSDVRTRYKPDVIKGDMDSVRTEVLEFYRNMGTKIVDESHDQDTTDLHKCVAFIRDFHADTEDTLCILVAGALGGRFDHEIGNINVICHFSATRIILLSEDSLIQLLPSARHHEIHIQSSVEGPHCGLIPIGAPSGSTTTTGLQWDLSDTEMRFGGLISTSNIVKGEVITVHSTSDLLWTVSLKKL >KZN06757 pep chromosome:ASM162521v1:2:35630220:35631632:-1 gene:DCAR_007594 transcript:KZN06757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLFLIIISVCAVVNAQNSTSTTLVPAIITFGDSTVDVGNNDYLPFTIFKANYPPYGRDFKKHKATGRFCNGKLATDITADTLGFTTYPPAYLSPQASGKNLLIGANFASAASGYDEKTALLNHAISLSQQLQYYKEYQAKLAAVAGSKKAASILKEALYLASFGSSDFLQNYYINPLINKIYTPDQYASNLVLSYKSFIRDLHGLGARRIGVTSLPPLGCLPLARTLFGFHEKGCVSKINTVSKDFNKKIKSATSQLQKQFPDLKVAVFDIFKPLYDVISSPSKNGFLVANRGCCGIGKVETTILLCNPKSIGTCKNATQYVFWDSVHPSEAANQILADSLIIEGINLIG >KZN06998 pep chromosome:ASM162521v1:2:37493294:37498096:-1 gene:DCAR_007835 transcript:KZN06998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFSDRGILTKESPFEVTEASDVVITMLPSPKHVLDVYEGPNGLLQGGKQSRPWLFIDSSTIDPQTSRKVSEAVSDCSMEDKKVSMLDAPVSGGVMAAETATLTFMVGGSEEAYLAAKTLFLSMGKNTVYCGPTGTGSAAKICNNLAMGVSMLGVSEAFVLGQSLGIPADTLTKIINSSSARCWSSDSYNPVPGVMEGVPASRKYDGGFSSKLMAKDLKLAAASAQESGLKCPLTQQAETIFTELCDDGHAMKDFSCVFRHYYSGKDEL >KZN04299 pep chromosome:ASM162521v1:2:7195147:7198626:-1 gene:DCAR_005136 transcript:KZN04299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVELENLAFILVESRKQFDAANRQFNKCLKSCIDYNTVNNNEEFLTRVEAAQVFVCSEADQFENQSRQQEKETDGASQYNFEPLGNPVSEEREVQAEKQAEEERQVEKERNIQEVEEEREVESEKGADGVQKEIEKERPVEKTVSPVQSSKEIEQEKPVEKTVSPVQSSKEIEQEKPVENTVSPVQSSMGSEVIRMLDAAEKDYQEKIRAQEMASNVNVVGIATEAVSGLHDERTSDTEMPVAEHGADKEEAVEEQAAPEALDVSSRKAAQLVKKDGKKVKIIFNTSRRMNVVSSTVPPPQKLTIKTTAQPNVQSSGEVLPSFSLGLTQVEKEAELERQRKSEEEAAQVDKGKRIIHAAEVLKSPWKIRLTRISTKINKEEQKLKDWLLTIDPEGYFLYFDTANAILDNSNCISFQPKQLVTAQVVDAFCHILNMNEMYKAEQSPLRLFVPHHVTVYVLRHSNSEEEEQHFKKFVRDFDDVLAAYDHIKFNDVDLIFFSMTVSDHHYLLCFNIKKPSFEVIDSSALQPDFDAKYQQIPQNIRNFLVRYMVLKNHSKAKDIASLVPVRLEMKWRTEHNHIDCGLFVMRHMEHYQGVSKNWDCGLAVEGKVQDQQLDVLRTRYAHQILLHECNKQKHHVEYQIFEEHMKKAELEKQKAKEKKEKDTKAPAKRQRRA >KZN06276 pep chromosome:ASM162521v1:2:31603398:31607643:-1 gene:DCAR_007113 transcript:KZN06276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRRSPHLLKPLTPPPPAASALSLRHAFSSDTTPITVETSIPFTGHNCEPPSRSVETSAKELMTFFTDMATMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAIGMEAGITRKDCIITAYRDHCIYYCRGGTLLGTFAELMGRKDGCSNGKGGSMHFYKPNNGFYGGHGIVGAQVPLGVGLAFAQKYNKEDHVSFALYGDGAANQGQLFEALNMAALWDLPAIFICENNHYGMGTAEWRAAKSPSYYKRGDYVPGLKVDGMDALAVKQACMYAKEHALKNGPLILEMDTYRYHGHSMSDPGSTYRTRDEVSGVRQERDPIERVRKLLLAHDIATEKELKDIEKEKRKEVDDAIAKAKESPMPDPSELYTNVYVKGYGVERLRIRKNSLVCGRRVEQIGRELKLFFLEAYKLGENTKT >KZN04805 pep chromosome:ASM162521v1:2:16263295:16263642:-1 gene:DCAR_005642 transcript:KZN04805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLFSVMFVVFLIFVVAHETTAAIPCDTVVSKAIHCVAFATGEDPMPSAECCSGMRQLDQSAQSVDDKKAVCRCLKSKVGSYNGVQNKFLSAIPDICKIKVGFPISLSIDCDT >KZN05471 pep chromosome:ASM162521v1:2:24184188:24187238:-1 gene:DCAR_006308 transcript:KZN05471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESRSVNVHVQDPGFENLKTTQEDVVARLSAANSTTQPEAVAPSKNALKKEIKNKQREQEKAKKAAALQSCLARKSLPEDDQEIDPTVRVLIALFPLDHMFKRVPSFLSRQYFDNRIKALEALTKAGISPYPPNPQAVMPLPEYVEKYSYLNSGQRLENVTVSVAWRVMNKRPSSSKLFFYDLHDDGAKVQVMADARISELSEDEFAKFHGGVKRGDIVQVVGYPGISKRGELSLFPKSLTVLSHCLHMLPRQKPATSLDTKSVKLPTLKPATSLDTESVKKNDAWVPGRGRNPDTYVLKDQETRCRLRCLDLMVNMEVRHIFQTRAKVISYVRRFLENLNFLEVETPMMPMIAGGAAARPFETYHHDLHMRLFMRIART >KZN04220 pep chromosome:ASM162521v1:2:4100305:4100739:1 gene:DCAR_005052 transcript:KZN04220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGTRLRGLDERLGVQDGKVTRFMGEMTKNREEIKGKMEGMESRIGGMDALLKEIKQMLLGLQISPTQGANSEPLKSGFEMKFGSTFDTGGAFHQSSQTRTPTSQGRPNNTGTLDSSLPFNTTIPHMLHTMQPPFSVAHTGSN >KZN06266 pep chromosome:ASM162521v1:2:31525231:31532526:-1 gene:DCAR_007103 transcript:KZN06266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKMHRQRKRNQSPPRDPAGIFAGMFVFLIENGVSKLRLQVWKKKLYQMGANVDDKFSTKITHVFANDLDSLLQKLGRLQVSRFKGLILRYQWLEDSLVLGEKASEDSYILPIDKEEKLSRCTVREKSGPVAASAADSIHNEKLRTEKVSTSSEDLKNTSAEEKEKIIQNTVSEASNSSSKSDDLSHYISAEETHSPDSSDVDDKNAGSSESSLVYNPPDLNKNITGIFGKLINIYRAMGDDRRSFSYYKAIPVIEKLPFKIESMEQVKHLPAIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALKLYEKGCRTLDDLKNESTLTNAQRVGLKYFDDIRTRIPRHEVQEMELLLQKAGENVLPGVVILCAGSYRRGKASCGDMDIIITHPDGKSHIGFLSKYIKHLKDLNFLKEDLLVGIHSEEGTDSGVDTYFGLCTYPGGELRHRIDLKVYPKDIYAFGLIQWTGNDVLNRRLRLIAESKGFRLDDKGLFPATKSSGGSRGVRATASLKFKTEYEVFQFLGFPRLKPTERNL >KZN07486 pep chromosome:ASM162521v1:2:41230796:41231083:1 gene:DCAR_008323 transcript:KZN07486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFRKNLDDMKSAYERCLNNFEVVLALYPGNAELAKLRDENRKFFQLFEETNPLSKMMLGGTRKVRGNAVEEQGDDCSFAPSFSLGLCLDGAPA >KZN04186 pep chromosome:ASM162521v1:2:3426010:3426918:1 gene:DCAR_005023 transcript:KZN04186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYTEHKTHTTLFTHRPHPTGTKTVRISVTDPDATDSSGDENDAVFRSRKIRKFVNEIKIESCSTQTNVTEEPKLKNPRRKKVAGKTKPAARCVMSSGKKYRGVRQRPWGKWAAEIRDPMKRVRLWLGTYDTAEEAAMVYDHAAIKLRGPNALTNFTTPEVKKSASVSEDNDESAESHSDLRSPKSVLRYNNDDSAESTRFTRAESTRSDNVEVKKEVMVKEEEVVGISGNDGFVFGSDPVLEDPFNDIFGPAGLSMFGFEEEKSGLYFGSSIEFGLPSWPTVDYFQDFGDVFGSDPLVSL >KZN04548 pep chromosome:ASM162521v1:2:12497291:12499205:1 gene:DCAR_005385 transcript:KZN04548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKFFFSISLVCSLTFAANDCPFSMCGNQVLPIRFPFRLASLQPQNCGYPGFDLHCNSKDLTVLNLPSGEFILRSIDYRAQVVELYDPYKCLPKRLMELSLSGSPFKAAYYQNYTFLSCPPEFTTSRFTSINCLSNSTSNTLATSSMNLAISLKMCKITVTLPIPISRPDQLGGDFTSDLSDDLQLTWDIPNCDDCEANGGSCAFKSSTSQETTCFYNFQRGTKGISIFKIIALCIALPAIAASMSIAIFMCFMDRRRLGGHPNNIQHNSNAAAIAPIMVISTPGLDEGTIESYTKVILGESRRLPGTNDITCPICLSDYHAKETLRCIPECQHCFHADCIDEWLRLKGSCPVCRNSPSPAGHVYSP >KZN07801 pep chromosome:ASM162521v1:2:43673938:43675168:-1 gene:DCAR_008638 transcript:KZN07801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQEMTPAAAAHPTNYVFTPQTPQMPSDMDWSFFLSHPDPEQVPSQYEMPSASVTTTLVSEDQTKISNCDDHDHLKEEEDEEEEEEEQDRNKEKRRASRVSRRKAGPPRVEFQTKSSEDLLDDGYRWRKYGQKSVKNSLFPRSYYRCTHHTCNVKKQVQRLSRDTSIVVTTYEGIHNHPCEQIMETLSPLLKQMQFLAQF >KZN07734 pep chromosome:ASM162521v1:2:43154520:43155164:1 gene:DCAR_008571 transcript:KZN07734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRYDTSLNCNAWLSAPHKRQRLEKDAVIAIHDGEYHAREFLFKKVLAKSDVGRLSRLIIPKRFAIQHFPSIDVNARIEDCVFHIDFYDGLKNLWTFRYCFWKCSRSYVFTGAWNKFVKAYELQAGDSVLFYKNYSGDESFFGIEVRYAGARNLVGSVTKNLNNVEEFMNDDTVRVKEEHEVSASQLKEKDVMLFGVRIKRASKDFQGKNLIIM >KZN04632 pep chromosome:ASM162521v1:2:13746331:13754375:-1 gene:DCAR_005469 transcript:KZN04632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTRLLWFTLGFGCATAAMGNFVFRDLWFHRQNLSSTLNQKFEALDSRVSKLEHLNPQFSNSTQE >KZN06260 pep chromosome:ASM162521v1:2:31468434:31469498:-1 gene:DCAR_007097 transcript:KZN06260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIQLRIKQRSLLVTHSSDVQPPFNQETNSSSSSKISPTVLVVIVVLAVLFFICGVLHLLVRFLMRKRTSSPNSQSSRYEMSGSESVQRQLQQLFNLHDSGLDQAFIDALPVFLYKEIKGLKEPFDCAVCLCEFSELDKLRLLPLCSHAFHIDCIDTWLLSNSTCPLCRGTLFTSGFSMENPVFDFDDSRIDEDGFSGSFRVGVSCGQKSGDPDEVASEKRMFPVRLGKFRSTNVEAKIDEVAEPSNSNLDARRCYSMGSFQYVVDDSELQVAFCPSSKDRPVKGVTVHNWNSSTEGDSDGKKINNRSKGESFSVSKIWLWPRKDKFPNSADTHMVSSYTNTSSPWTVRTSVT >KZN06578 pep chromosome:ASM162521v1:2:34143642:34145740:-1 gene:DCAR_007415 transcript:KZN06578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGSGVYQKHGGEAGGDSILSGFGSSSGSSTDETCEREESLDELTRHITANMFMDDDEMEKGNQHIATLFQPTNVLENQQEKKYYWRQKDVKEAGDGGGRAVAPDGSGMRAVFPAGSGSRKGSVGTGVFIPRVTDSPPNHHHRRKPGRGSRVIIPERVLQSLRQHRENRSANEASQAPPNENEEAPSKEVVNQSKMDEACDDEFHLPEEWIY >KZN05059 pep chromosome:ASM162521v1:2:19537585:19543271:1 gene:DCAR_005896 transcript:KZN05059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVISLGQSEKPRRRKVNEKDGESYNAQRSNVEKDVGRRGGTNDDLFDKTAVVEEDLKEIREVNTHPGKKKARPPSRQTSEIVASPPFTSGSLEEGPDFSGVKGEDEDSSDDSDGHEDRNKAVEWSFDDQMNLSHVGNIEIERNTRLENLIARRRARKLLDIHVRNTLMRPATSDSRDRIPSIITARKNHFLSSGSELSPIPGSAPSVLLPMHKSPFDISFDPEKEKPIPTGESFEKEILADQQKEATFCRHESFGRNTFSPMKKSLQDRANHDFTFHHGPIDKNEISRVVHQPESSHGNEEDGTEVKQIVHEKINERSRSSSSSEEDIPLSGADKDKLLKSLSSLAQKDTDSERDEEVHVPYNTDRLLYEKTAGNSFFGEKRALHAPSNSLASDLQVEVSEVGSPHSTSSNEKSNIFDDYVNKEVTSGGEDMNESNMREINEVSEKDITDAGVSSTNHNLDSNASDLQPEKVVQQEESSSHSQPSHSADSIQGQTTDVQPDHHASSDAPDAPSKNDLEDSAKEVIANTSTFDKLKEAYPEEVNVVEESVVHNNDASESSKSREVIEPEAIAGVSAPVEGINSESLKEVSDEKDPSLLQDIPTQDREEGNASSHNDKSVTIENETHSKSESAKESGSDDKTIVVESDSTLIPNEAVVASEQVDLNGDSGKTMGHMEVKEQLDPHQGDLTVEGNIVSNDNETANSAAEEDIRPASEATKKDDNETIKNSAAEETASEASKDDNETVNSVPEANEKEPASVEETKRQRAIVGVLSITYYEEEKHNNPLLNVSKKAEIGTLYVQLEDPSLLQDIPTQDREEGNASSHNDKSVTIENETHSKSESAKESGSDDKTIVVESDSTLIPNEAVVASEQVDLNGDSGKTMGHMEVKEQLDPHQGDLTVEGNIVSNDNETANSAAEEDIRPASEATKKDDNETIKNSAAEETASEASKDDNETVNSVPEANEKEPASVEETKSRTWKFF >KZN06399 pep chromosome:ASM162521v1:2:32566151:32567140:1 gene:DCAR_007236 transcript:KZN06399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIMNNIWRSSTLYIISYFGATSTDRAEEMAEETNNPPETQEVASVPAAPNNVIVEISSHPENNAPAPPPPPPTNTTTPNTSNINPNRQFLYFAIFIILLPILMIYGALTRLFCFIIRERRFFALICAILLIIIPIIIVPVCLTMYRHYHHSHPPHPHTNDPVKVEPSNVTLYQFEVQSELLYYNASFALNIFNYHNSAPVDLNINVSVLYQNITLESVKFDTFSINFTQMQNLNFTLSGNNAYLESDSSNYVWLYLLIRIEQHFSTQSHTSNKADSYEVGCNVKVTKPPDGYMTAFYCAII >KZN03980 pep chromosome:ASM162521v1:2:164733:166589:-1 gene:DCAR_004842 transcript:KZN03980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGRELVKNCGGLPLAIVTLGGILVTKPSLIEWEKVYNDSLLSLKSGEAGLGKKYQSQLLYVLNWSYNDLPPQLKLCFLYLGKYSEDESIDAETLYQLWIAEGMVLSSDKREGETMMQVAESYLGELVHRSMVQVKFNNEKSFINFKSCSLHDLMRDLSISQAEAEEFFKVIDLREKKDFHLSPLADFKPSNTRQLVVHFDDGYRGKRSHPYFSKKFNQQKYRSVLLFNELGTRSLPPALGSCIANFRFVRVLSLEVAGDVYICCSPSGINLGKVLGTLVYLRYLKVSDVRLSIFPSIQKLRLLETLIFDIQFDIYFPPWLSIDILSMLGRLRHLYLPSLGVRSTRKKSKLRFNGLIQLETLANFDTDWCEVKDLVTLIRLQKLTVRTSCDDMEEMMENLAALALSSSSCLQYLELYISTRNQTLDNSKDMLRKLLWNYNFNLQKLEIAGKLPELALLLEQQPQQLLHTHMDVSVIRITRLTLWESCLEEDPMPVLEKIATLRELYLHIFTFIGEEMVCSATGFPKLTDLSLEFFPNFVKWRVEKGSMPFLSQLMIFACSKMEELPDGLMSLSSLQTLALLSMPSDFCDMVRKVNGEQGAEFYKVAYIPSLTIDRE >KZN07258 pep chromosome:ASM162521v1:2:39466886:39467850:-1 gene:DCAR_008095 transcript:KZN07258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFKKCPWTPEEDMVLVNYISRYGIWNWSQMPKHAGLSRTGKSCRLRWMNYLDPQVKRGNYTQEEDEIIINMRQNGAGWSAIAASLPGRTDNEIKNRWHSRLSKRLASNRVESMEPYTEQIVSELDTMMIDDYLMDFDFPEAPNFETENEDHSLSSHSLNVGASSNNRVPPAFGTSTEDPHVSFWREPFSLENVDDIDEYATYFDPQFGMLNPEDLFGEPFSSYYDMFEICSGSGSIYNYV >KZN05623 pep chromosome:ASM162521v1:2:25691618:25694046:1 gene:DCAR_006460 transcript:KZN05623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIMLKENDVLFALRPKTAAGKYTTYNYSDMTWSSYGPYWAQLRKICLMEIFSAKRLDAFQYIRVEEMHKLVKSLYKSSGTPVLLKDDLMKLTFNVIGRIVLGKSYLDDEVDDSVIKPEEFKFMFEELFVLNGVYNIGDFIPWLGVFDLQGYVKRMKDVSKKLDKFLEIVIDQHNEVRKKSDVNAEDYVAKDMVDVLLQLADDEDVQVKLHRDGVKAFTQDLLAGGTESSATSIEWGLSEMLKNPNTFEMASEELDRIIGKERWVEEKDIQNLPYIEAISKEILRLHPVAPLLVPHMASQNCKISGYDVLEGTIVLVNTWTIARDPTVWSDPYEFRPERFMGVDIDVKGRDFRILPFGSGRRRCPGYSLGLKLIQLNLANLLHGFTWKLPENMTVEELNMEESFGLSVPRKVPLVAVAEPRLPSHLYA >KZN06323 pep chromosome:ASM162521v1:2:31953750:31956235:1 gene:DCAR_007160 transcript:KZN06323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVKDPSIKLFGKTIQRFDALLHHDKLISSSKDPIQNTPIHLDGKFMKTKEQGECIELIDEDPRTPDSSAEELIGQETSSGMTEDPKIPNDNKETVSLETDNKEDQSDLSNSDGKTLKKPDKLLPCPRCNSMDTKFCYYNNYNISQPRHFCKGCHRYWTAGGTMRNVPVGSGRRKSKSSTASLYRHILTSDAVQVARAEAANRINHPTASVLTFGSEPPLCESMASSLFLANKSQNCVKIGFNSDKQQTPVTYRNGENADDYSCGSSSTVPNPIEKGFSGGPESVLPTTVQGSPVHVPCFPMPPWPYPWNNAVQWRPQTPPTTGPSVYPVSFYPPPPYWGCMVPNSWNMPYPSQQPSSPNHPALRSSPNSLTLGKHSRDGGMLRPSQPGMGDPLNKKDPIRRLLVPKTLRINQSIGAAQSSKWPTLGIKTGNTDSGTGASLTNAFLPRATKKNHIMETSQVLQANPAAMTRSSNFHETT >KZN07426 pep chromosome:ASM162521v1:2:40764450:40765199:1 gene:DCAR_008263 transcript:KZN07426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCIMDEEEIWKCTTHPSKRRRTGICPICLRDRLNTLCPNCAHVRPCECCPLAAAAASSSSASSSSSSFSLFSSTSTSGEPSMRRSRSLAIPFLRSRSKYAPNLEFIDQKPPPPPPSQPPVKAPDQSLPLPLPPPPANDQKPPLPGKSGKSKTASLLSMFKTNKSKKLGEIIEKEKEEELNKTSSDFAWMMRRSRSVAVPKSLNSRAAESDSAGKTRGWHFPSPMNAFRQSKASKVLEEHPQSTMCKV >KZN05431 pep chromosome:ASM162521v1:2:23792460:23797090:-1 gene:DCAR_006268 transcript:KZN05431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSSSVQSFNQGIAKPQEHHRSSSNESSNNSSSDYSPTTLNAQQQVAQEFENDKQPSVTNHNNKKENNSPSVVIPKKKNSMNRSTNPTYYVLGHPTPNIRDLYTLGRKLGQGQFGTTYLCTENSTGTEYACKSIAKRKLISKEDVEDVRREIQIMHHLAGHANIVTIKGAYEDHLYVHIVMELCNGGELFDRIIHRGHYSEKKAAGLTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSIFFKPGQIFTDVVGSPYYVAPEVLLKHYGKESDIWTAGVILYILLSGVPPFWAETQQGIFDSVLKGHVDFESDPWPLISDSAKDLIRKMLCKRPSDRLTAPQVLCHPWISEQGVAPDRSLDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITFDELKAGLRKYGSTLKDTEIRDLMDAADVDNSGSIDYGEFVAATIHLNKLEREEHLMAAFQYFDKDSSGYITVDELQQACAEHNMTDAYLEDIIKEVDQDNDGRIDYGEFVAMMQKGNAGVGRRTMRHSLNMSRRDSQGAQ >KZN06790 pep chromosome:ASM162521v1:2:35860665:35863729:-1 gene:DCAR_007627 transcript:KZN06790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPKKPALDLSDLDGALAADGSALSAEEKSGLVNALKDKLKNLGGPHTDILESLSPVVRKRVEALKDIQSHHDELEAKFFEEKAALEAKYQKLYEPLYSKRYEIVNGIVEPEGVESNDALKQEVGQEKDAEKGVPEFWVTAMKTNEILAEEISERDEEALKYLRDIKWCRIDNPKGFKLDFFFDTNPFFKNSVLTKVYHMIDDEEPILEKAIGTEIEWYPGKCLTHKILKKKPKKGSKNAKPITKTENCDSFFNFFSPPEVPEDDDDLDEDAAEELQNQMEQDYDIGRVGDHKLPGKVSRVNVLQSANNSKVEG >KZN05355 pep chromosome:ASM162521v1:2:23005851:23007503:1 gene:DCAR_006192 transcript:KZN05355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSFHCQLVKWGFVNDVVQQTGLLDFYGKVGDLRSARKVFDEMSGRDVVANNAMISVLGKFGCVEEAGEVFERMGERNSCSWNSMITCYCKAGDVRSGRLLFDESPVKDVVSWNAMIDGYCRMGFIRDAEDLFFQMGGLRNSITWNTMISGFVQHRDFNSAIRMFQQMQADGVRATEVTMVSLLSACAHLGALDMGEWIHNYIKRKRLRIDVVLGNALLDMYCKCGSIEAALNVFHNLDVKNIFCWNSIVVGLGMHGYGEEAISVFESMEKEGIRADGVSFVGLLCACSHSGMIGEGRRYFSIMRSRYDVEPGIEHYGCMVDLLGRAGFLEEALKLIGSMPMKPNAVVWGSLLRACQIHKNTNIGEQVTQHLLELDPGDGGNYVFLSNLFASLNRWKDVNTCRQLMLERGVRKTPGCSSIEVDNIVHEFVVGDSSHPQFEQINLFLDEIAKELERHGHEPDKTSVLHDIEDEEKERAVRYHSERIAVAFGLMNTPPGKAIRVVKNLRTCNDCHSAIKLISRIFERDIIVRDRNRFHLFRDGFCSCKDYW >KZN07218 pep chromosome:ASM162521v1:2:39192725:39192904:-1 gene:DCAR_008055 transcript:KZN07218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMGASYALLHVQQKRLQDKLQKQRVEKDGAKGASVGHNNKSDSKNKIHPSGFSDTTK >KZN07818 pep chromosome:ASM162521v1:2:43779585:43781039:-1 gene:DCAR_008655 transcript:KZN07818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGRAHINTELKQGRELANQLKQHFLETTQQSKQTCDALLTDILSSYEKALSILKSNALGFEHHSPVISIINSPHSFTSDSSPASDISDEPSKNVFRKRKALPQWSEQVEVPLGTGAQVPLADGHSWRKYGQKDILGATFPRAYYRCTYRHSQGCLATKQVQKSDDDSSLVQVTYKGSHTCKQGSESGAGLVVSFGKDEKRQKKGSYEPKPEEQTQEHEFENIISFGTSHKTKLGISMGVERLPSFSFPCAIEESYTKDYLDAGENNFMGNTYSSYMTPETSECSYLSLSPYHMNNFGIAQNLQTSESDLTEILSAPNSVTNSPIGDYDFSLDPVDFDHNISLDILEFFK >KZN04704 pep chromosome:ASM162521v1:2:14908680:14911559:-1 gene:DCAR_005541 transcript:KZN04704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQAPLFLKYTSSLTPSFFKPRFKFSQFTPSIPNLNDNDDDDDNNQPSRIVDPFLVDEFSRIRDAVSTSTSSDLGNTSCNDVYLLVCAFRDNDDKLIRQFRPKLNEDFVIRVFNSMQNAELCVKFFLWAGNQIGYTHSVAVYDALLDALGCGENRRLSEQLLSDIKKHDKEVLGKLLNVMITKFCRTGCWNVALEELGKLKDLGYRPSRGTYNALIQVLLQADKLDMANLLHKEMLDLGLYMDRYTLGCFAYTFCRKGKWKEALDLIEKEEFTPDTVIYSQMISGLCEASLFEEAMDILNIMRVNSCLPNVVTYKILLCGCLNKQKLGRWVVLNKINVSNFARCLCGAGKFEKAQKIISEMMTKGFTPDASTYSHVISYLCNASKVDKAFQLFSEMKKNGIVPDVYTYTILIDSFCKAGLIEQARSWFDEMVRDGCSPNVVTYTAIIHSYLKARKVSDANELFQLMVSEGHAPNIVTISALIDGYCKSGDTEKACQIYARMIEVKEAHDDNMYFEVDDNKAMEPNVVTYGALVDGLCKAHRVKEARNLLDVMSSKGCVPNHIVYDALIDGYCKVGKLEEAQEIFSKMSEHGYSPNAYTYSSLIDRLFKDKRLDLALRVLSKMLENSCPPNVVIYTEMIDGLCKVGKTDEAYKLMLMMEEKGCHPNVVTYTAMMDGFGKAGKVSKSLDLLAQMGTKGCAPNYVTYSVLINHCCAAGLLDEALQLLEEMKQTYWPRHIASYSKVIEGFSRDFISSLGVLAEINECESIPLVPVYGLMIDCFRKAGRLEIALELHEDISSSLVDSYIKTDAFSSLIESLCYSNKFEKAFGLYTDLIRKGGLPEISDFTSLIKGLIRVDRWEEALQLSHGLCCMV >KZN05822 pep chromosome:ASM162521v1:2:27523586:27524740:-1 gene:DCAR_006659 transcript:KZN05822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKKFDADALGVVTICLVAILVLICVICIVYSFYFRSRVHNQGFVQLGYFSGPWIIRIAFIVFAIWWGVGEIIRLNFIRREGRALNANYKWQKTVCKCYIVSNLGMAEPCLFLTLVFLLRASLKETESGTLNQKWNYKTAGYILLFCFPVFILQLMVILIGPKFNKGGSYKRHLPHYFTNTVGATKQADDDTALCTFPLLSTIFLGIFAMMITTYLFLLGRRILYLVINKGLQRRVYTLIISVASFFPLRVLLLGLSVLSKPEHFWFEALAFLAFLSFLCCAGVGVFLLVYFPIADSLALKNLQDLEAMRRISDEYADTISLLANRTTIEEIDAGSSSGTSNKHESALFGTMDNYESMGRFVELSLFSASQHSSPPGSPQLLR >KZN05492 pep chromosome:ASM162521v1:2:24325880:24329432:-1 gene:DCAR_006329 transcript:KZN05492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRTNESEDKMEANDYMDSPSTEEATSEGNMTGGGLLKKGPWTSAEDAILVDYVEKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPDLKKGSFTPDEERRIIELHAKMGNKWARMAAELPGRTDNEIKNFWNTRIKRRQRAGLPIYPPDICSQSFDENSPSLNMGRFSSGETPNPEFLPTNNLEIPSVEFKGLGVNQELYPSFVNIPAGNFLSAGLSPSCSNSILYSKMHPAKRLRESELSLPGFGAVHPALEQYNDDFQTASKSFRTSYDHDISSSSYLPSGSHALINGNSSSLPISWAMKLELPSLQYSHSQMGSWASPSPLPSLESVDTLIQTPPSEHAESGHHSPQNNGLLESILYESRALKNTKNNSCGQTPNTDAPANGADSSSQLHDASQLHDADWDSCGDPNSPLGHSTASVYRECTPVSGNSLDEPQLMKTLPVKQEKPDWVSMTNGNETDGNSRHLNISRPDFLLDSNWFGLSADYGKDYFILRDAIGALLAEDVA >KZN07386 pep chromosome:ASM162521v1:2:40483912:40487997:1 gene:DCAR_008223 transcript:KZN07386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISSASSHHVVSISPSPPSFLNYNLNSPSRCFSPLPHGSRYRSCKLRVKCTYAGAGVTGDINSTTIDVAADIRTEQLVVLGGSGFVGSAICKAAVAEGIEVISLSRSGRPSDTSMWLDQVTWKIGDVFYTNWDEVLLGATAVVSTLGGFGSEEQMQRINGEANVVSVDAAKEYGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESQVLSKYPSSGVVLRPGFIYGKRKVDGFEIPLDLIGEPLEKLLLATQNFTRPLNSLPASDLLLAPPVSVDDVALAVVNAVKDDDIFGVFTIEQIKEAAANVKV >KZN04412 pep chromosome:ASM162521v1:2:10434009:10436236:-1 gene:DCAR_005249 transcript:KZN04412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKNTSICLFIYLMSLLLASSSGSLERATKIRGQRIAGLFVEVSLKKDSNKKEFVREEGQIVHRYQRQLLSNLNTKSSQQHDLVYPPTTTFPTTPVTNPVTTPTFNPVTAPVTVPPDNAAPGIVTVPGMNPVTVTPMPPSTPVPQPNTDPINSPLPVTNPVTTPSTNPGTQPVTNPVTTYPAPTTGVPVTTPVTTPVIPPATGNGPALPGQSWCVAKSGAPQTTLQSALDYACGTGVADCSVIQQSGSCYNPNTLQNHASYAFNSYYQKKPAQTSCDFGGAATLTNTNPSAGSCIYPSSSSSTSSLPPPVSPTPVSPVPESPIPQTPMPETPPPETPTPSTAANGGGAIPGSGSPPAAPTTSIPQPSAPIGFGDIPPSAFGESPPPSMNSTTAMSTRVQPFIGCVILVTSIITGKFVLNI >KZN04929 pep chromosome:ASM162521v1:2:18061787:18063941:1 gene:DCAR_005766 transcript:KZN04929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLATVPFLILGNKIDIPYAASEDELRFHLGLTGVTTGKGKVNLADSSVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >KZN06763 pep chromosome:ASM162521v1:2:35665647:35670436:-1 gene:DCAR_007600 transcript:KZN06763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPLLPPNAAAGNPNFDGNARATPAPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDWTCNNETIRMRSIHPLDHSHLSKMTGIEYALSEVTEPHLFVIRKQKRDSPDKVTPMLTYYVLDGSIYQAPQLCNVFASRVGRALYHISKAFTTAASKLEKIGYVDTENESATLLAKAPKETIDLKEVKRIDHILASLQRKLPPAPPPPPFPEGYNPPKSAEGENASEAQAQLPPVDPILDQGPSKRMKF >KZN04795 pep chromosome:ASM162521v1:2:16034897:16036336:-1 gene:DCAR_005632 transcript:KZN04795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFTIPVLQSSDHQQSSFNSNKISSQFSNFHQQEEECFTCFMEEDDFSSTSRDHKYNQIPSTATLPDHQYGLIESASSANRGDINSSSCLSINFNPLVSDKWSSDILLEAARAISEDNSTRLQEIISMLNELGSQYGDTNEKLAYYFLQALFNRMTSRGYKFYQSLTLASERNLSFDSMRSTLLKFQEVSPWTTFGHTACNGAIMEAFQGKSKLHIIDFSNTYCTQWPTLLELLATRSYETTRLRLTCVVGGSAATLQKVMREIGYRMEKFARLMGVPFKFNVIYHTRDLSQLNSAMLDVQQDEALVINCVGSFHSVQSDRRGVFISMLSRLQPRIVTVVEEEADLDVGVEGYEFVRGFEECLRWFRVYFECLDDCFPKISNEKLALEKAAGRAIVDLVACPLSESTEQRETQRQWSSRLQAAGFSGVGFSNEVTEDVAALLRRYREGWTMSTCPEAGIFLIWKDQAVVWASAWKPC >KZN04021 pep chromosome:ASM162521v1:2:695791:696661:1 gene:DCAR_004819 transcript:KZN04021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLQQSSSSKPLEHCNDSTHNGVLYLKASNLKLEGNWNKHGTYAELLESSIFKVSGQCGLQGHMYVGHVVIGLTRCHAMGKVKIEASSPKQESEEDLTSNKPGILARLEIGLYGDEHFEFSLTSAEPSGKIQKGLIIYGITLTPVKI >KZN05997 pep chromosome:ASM162521v1:2:28998019:29000235:1 gene:DCAR_006834 transcript:KZN05997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPRSKPLDYLKHSFSFLLNHVRAISGHRQFSGEVDPRSVFLKASQIINSDKKLDGYSLVSLIRACTQLGCSCYGQQLHSYVLQSGFLSNSYVSTAFVNFYVKFESLDHAHKLFDEIPEPGVVSWNSLISGHVHCGEFSKALCLFMQLESSGVGSDAFSFTAALAACGRLSLLRLGKTIHSKIVKYGDDCSVVTSNCLIDMYGKCGCVEEAIRLFVEMNGKDTISWNSVIAACARNQRLEQASSFLKQMPESDTISYNELINGIAQFGNIEDAIAILATMPQPDSSSWNSIITGYVNRNHAREALEFFSKMHFEGIRMDQFTFSSILSGVGSISALAWGTLVHCCSIRSGLDKSVVVGSALIDMYSKCGQVKEAELLFQSLSCKNLITWNAMISGYAHNGRSTKVIELFEQLKSVKHLKPNGITFVNVLSACWHNRMPFKVANSYLESMQRDYGIDPTAEHCSAIIRIMGQEGEVWRAQDMITDLGLGSDGKVWRALLGACGMCSDVDVAEIAAAKLTELEGYWLP >KZN06290 pep chromosome:ASM162521v1:2:31713789:31717423:-1 gene:DCAR_007127 transcript:KZN06290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELEGKEIHSSSSWFGCTTQCFPISSSSSKSPSSDEQTSDQLSKATQRKPGGWKSMPYILGNETFERLATIGLLANFTVFLLTQFHMDQVEASNVINIWSGISNFAPLLGAFISDAYVGRFRTIAFSSFASLLGMVTMVLVVSIPQLHPPKCTPEQTRLDQCKGPTTSQFGFLVLGLGFLTVGAAGIRPCSLPFGLDQFDPTTDEGRKGINSFFNWYYTTFTIVLIIALTVVVYIQDSVSWILGFGIPTGLMLCSIVLFFVGTKLYIYVKPEGSVFSGIGQSVVVAYKKRKLQLPADDKVDGVYYDPPLMGSFKYSKLHLTNKFRFLNKAAMILEGEVKPDGSRTNPWQLCSVQQIEELKCLIKVIPIWVSGIITFLAMAQQGTFTVNQALKMDRHLGPNFQIPAGTLSVISMITLGLWIPFYDRILVPFLRKITKIEGGITLLQRIGIGIVCSILSMVVAGIFEQMRRNSAIKNGNIDGIAPISVMWLAPQLILMGFSEAFNIIGQIEFYYKEFPESMRSLANSLFFITSAGSNYLSTVLVTVVHNSTAKNGRPDWLTKNINEGKVDYFYYLIAVLGVFNMGYFLIVSRSYCYTSSNIVLGGENMEHDVELNDIKK >KZN04521 pep chromosome:ASM162521v1:2:12251013:12254619:-1 gene:DCAR_005358 transcript:KZN04521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKTAAHVTCSNGKTRLIQTLVLGIVVIIFLGYSFMWIMMPTSAYREYFYPKIRAQTNSTFFGTQGTTILVYTFPVLLISVLGSVYLHLGLKLASTNSCEIKRKSMFSWWKRPMIIRCLGIVSWIELFIFVMFIALLVWTFSAYLHYFFNHMDIFKEIGQGKRWEAKLSIIGLTLGIVGNICLTFLFFPVTRTSSVLPLLGLTSEASIKYHIWLGHITMFLFTAHGLCYIVYWAVTNRLSSKILEWKKTHVSNVAGEISLLFGLALWVTTFPRIRRKMFELFFYTHYLYILFIVFFIFHLGFNYFCYMLPGFYLFMVDRFLRFLQSRQCAQLVSARVLPCETVELNFSKDQGLSYTPTSIIFINVPSISKLQWHPYTISSSSHLEPEILSVIIKSEGSWTRKLYQKLASPFPIEHLQISVEGPYGPASTHFLRHDKLIMVTGGSGIAPFISIIHELLFMNSTKKCRPPRILLISAFKNSSELTMLNLILPISNASSRYSNLELQVEAYVTREMEPPTESPKPLQTIWFKSNTLDAPISTTLGQYSWLWLGVITSSSFIIFLLTMGFLTRFYIYPIDHNTNKVFSNSLRSVLNMLLMCISILVTASAAFLWNKKQSSIKTNQVKKVIELSPLKHNSWVDTPIDRELESLPYQSLLETKNVHYGRRPDLRGILMEHEEASVGVLVCGPKSMRHQVANICSSSLAKNLHFESISFSW >KZN07277 pep chromosome:ASM162521v1:2:39617267:39619109:1 gene:DCAR_008114 transcript:KZN07277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTEENNSNWMFGYGLLDSVPVPGGDFPSFQAHFQWHANDFTDTTGLSPEFGDSLGSSDAFKEHGSRKRMKSGSCSISGSKACREKMRRDRLNDKFQELSSILEPGRTPKTDKAIILSNAIRMVNQLRDEAKKLKESHDALQDKLNELKAEKTELRDEKQKLKAEKEILEQQVKAFHPQPGFLPPAVVPSPFAGASQISGGKMMPFMGYPGVPMWQFTTPAAVDTAQDHVLHSPVA >KZN04355 pep chromosome:ASM162521v1:2:9114672:9115656:1 gene:DCAR_005192 transcript:KZN04355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMWDLNTAPQVFKNLKTLNISGSSNLVSTPDFSGSPSLESLNLQCCTSLEEVHISIGRLPSLVYVDLGYCTTLKSLPDSICGLRKLEVLTISGCSSLKVLPKNLGNIESLKVLDAWRLRVSELPYSICCLGNLVELRLGGNKNLEILTNSICKLRSLEILDIYHCNKLKELPDQLGKITSLRMLDARKATMLKILPDISQLTNVENLDISYCRNLLSIVKLPPNLITIKAVRCWSIRRLPILSDLKRLEILDLTNSSGLTELIGLEELTSLQVLILTGCNFSLLEYASAKRFLQVFLI >KZN06429 pep chromosome:ASM162521v1:2:32846787:32853693:1 gene:DCAR_007266 transcript:KZN06429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCEVESVFIGAGCNRIVNNVSWGASNLVSFGAQNAVAIFCPKSAQILTTLPGHKASVNCTHWLPSTKFAFKAKHFERHFLLSGDAEGAIILWELTLTGRKWRLVSQIPPHKKGVTCITAYMISDIVAVFASTSSDGKVNVWEVVLPSLEGGECKFSCLDSLHVGSKSMVTLSLAQFPGNTGHLVLAMGGLDNKIHLYCGTKTGNFIHSCELKGHTDWIRSLDFSLPVCTNGEANTLLLVSSSQDKGIRIWKMVLHNSSIKQEGTTLASYIKGPIFVAGSFSYQISLESLLIGHEDWVYSVEWQPPFSIEGNTCYQSESILSASMDKTMMIWQPERTTGIWTNVVTVGELSHCALGFYGGHWSPNGDSILAHGYGGSFHLWRNVGVDFDNWQPQKVPSGHFAAVSDISWGGDYMLSVSHDQTTRIFAPWLKDPILGEGNAWHEIARPQVHGHDINCTTIIRGKGNHRFVCGAEEKVARVFEAPLSFLKTLNHATLEGTGNFEDTQVDMQILGANMSALGLSQKPIYIQTSHETPNRNGSEVLDTLETIPDAVPVVLTEPPIEEQLAWHTLWPETHKLYGHGNELFSLCCDHEGKLVASSCKAQSVAVAEIWLWQVGSWKPVGRLQSHSLTVTQMEFSYDDNFLLAVSRDRHFSIFSFKQTGTDVTSHQLLTRQEAHKRIVWACSWNPFGHEFCTGSRDKTVKIWAIENESSVKLLMTLPPFKSSVTALSWVGLDRQRNSGLLAVGMENGHIELWSISVQRNEDGVASAPNVTRIIQFDPLICHVSSVNRLAWRNSEKREDCGSIELASCGADHCVDKFGNLAAQSISHDWLYIIEMHER >KZN04055 pep chromosome:ASM162521v1:2:1252362:1255003:1 gene:DCAR_004892 transcript:KZN04055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYNNNNDNNNHDYSPLLSTPSNSQDYDNVVTSLGNLDLDNVAWDDQESSLRRYDHGGLDNAQYYLFSPQLRHVNWSNTHQGPRVLDHQSQWASHGNQGNLYSHVPSVLRNSFDTRRFIDDERMRINHFYGYSGMYNVANQHPGISMPYDAARAYEPSYSGGYTLNPNGYYGQGLTQTWSNGQNGYYDRGFTRKRSNVLHGYCRQGSTGTRSNTNLIKSMACLANPLEIVSTAMTREGSLCLQNLLLEGNQEIKTTILEGVLDHIHQLLMHQYGHHMIDKLVESCGDFEMQKILEKLASEPDLLVRAACCKEGSSSIQKLIKHLKKSPHSWLLTSALAPKVVDLATNKTARHVFRECLNLLGSQANKGLYEEAIKNFDLLARDEVGCLALNDCIDFVTNHLERKRILQLVIDNAISLAYDPYGNFIVQNAVQIPECGHQICKKLRGHYIYLSTKKSGSHVVEKCMEACSSAVMHALEEILEKKQALELARNEYGNYVIQTALRATKKPDSNFHLRNSLLKALLAGVESLQETKAGKKLASRILDDCIGCK >KZN07306 pep chromosome:ASM162521v1:2:39883793:39884092:1 gene:DCAR_008143 transcript:KZN07306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSSAYTKLEIEDPEDVKHRRAQFLIYKVLQQAAHSSPRRRRRSWLRIRMCKLRVRIGNRLKKLRKGIVLSVGAAKASGHKQVISHMRTWKRLFALV >KZN05960 pep chromosome:ASM162521v1:2:28732595:28736350:-1 gene:DCAR_006797 transcript:KZN05960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQYRERVIFNGKNIDEEFSNQILATCYTLTALNLTPSMAIYDTLQSLKSPVGTHCAGYAYNLAGFLLAAGEKLTPSMAIYDTLQSLKSPVGTHCAGYAYNLAGFLLAAGEKIYNDLSWMKRFNAKEALEYGLIDRMVRPPRIKADAASRNAGTGLG >KZN05184 pep chromosome:ASM162521v1:2:20909959:20911280:1 gene:DCAR_006021 transcript:KZN05184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSTSLVKVEWSSYIGKAETHYTEDTAAVESGKKDIEEVLQKCLQKAKMGQKQWSSAQESLLSLEKTNVASVDDIIRELKSGHYHKTVEITEDAGKCLLTEYVVDQPSCSTPKKRSFNLPSITSIEELRTPAFEELLKSFWESKASKLANGDIKQHILGDSRVPLTAIN >KZN07590 pep chromosome:ASM162521v1:2:42058904:42065300:1 gene:DCAR_008427 transcript:KZN07590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIQEWQRYYINYKLMKKKVKHYSRQIEIGALDRRHVLKDFSRMLDNQIEKTVLFLLEQQGLLANRIAVLGKQQEVISNISELREAYRDVGRDLLKLLSFVEINAIGLRKILKKFDKRFGYKFTNYYVKTRSNHPYSQLQQVFKHVGLGAVVGAISRNLSDLQERQGSYLSIYDQPTLPLQDPVVDSIKAAADRLNYSTNFLQFLGQHALIMQEEELPTPSEEQVDDDRYHFMSLLLNLGNTFLYMVNTYIIVPTADDYSTSLGAAPTVCGIVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLFVGNAMYALAYDFNSIAVLILGRLCCGFGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQINFRFYKLTINQNTLPGWVMSVGWLVYLVWLWISFREPAFPTEENDTAEANTGPTEGEEVEKGLVQPLLLSSAAENQVDGDDEDEECDASEEAPEESRRPANSIGSAYRLLTPSVKVQLLIYFMLKYVMEILLSESSVITTYYFSWSTSKVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILMASEIMVFIGIILSFHIFVPYSVPQYVISGLIMFVSAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTIARVIADATITLAGYLGESSLLNITLLPSLIICVSSMLATCYTYNSLY >KZN07550 pep chromosome:ASM162521v1:2:41770938:41771630:1 gene:DCAR_008387 transcript:KZN07550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSNQRCIFFGVCSIVLILCAPLFCVLSLHSANGSQVKVFVEQLFVPAILNNSVSDYSLINSPAVFFILSLHDDTYDYMGVVYDNITIRFYYVAPIATTPIANYTWPGFYQKGDQHGSTIECSDYVYTRGISFAEVSTNVSEIVLRVDLATAFRFKYWWQWESSTHELSRQGDVKVSTVTGKKTAPTGIELSQHDSRNYYKPFMAFSIFFFPLLTLIWAGSLLYACSDD >KZN07100 pep chromosome:ASM162521v1:2:38370694:38373469:-1 gene:DCAR_007937 transcript:KZN07100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEAPNSGAVVVNKDSTNQTAPPAKLDAGDLFVLKSRGSWLHCGYHLTTSIVAPALLSLPFALGLLGWGGGVVCLSLSALVTFYSYNLLSLVLEDHARRGTRQLHFRAMANDILGPGWGKYFVGPLQLGICYGCVISCILIGGQSLKYIYLVARPNGSMQLSHFVVIFGGVSLIMAQMPSFHSLRHINLVSLLLCLAYCACTTAGSIYIGKSSDAPPKNYNISEVGINRLFNIFNAISIIATTYGNGIIPEIQATMAPPIQGKMFKGLLVCYSVVITTYFSVGISGYWAFGNQVMPTVLSNFTDNGKYLLPKWFLVITNIFVLVQVSAVSLTYLQPTNVVLERRFADPKKDEFSTRNLVPRLIFRSLSIVIATTVAAMLPFFGDIMALLGALGFIPLDMVMPMLFYNVTFKPSKRSLVFWGNTIIAVVATVLAVVGSVASVRQIIIDAKNYRLFANV >KZN04732 pep chromosome:ASM162521v1:2:15190971:15197403:-1 gene:DCAR_005569 transcript:KZN04732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLSIKHGLSLWCPQSVSANRVSRPNCFAPLPTTRRSYVVASASFANENREFVIVGGGNAAGYAARSFVEHGMANGKLCIVSKEAVAPYERPALTKAYLFPHDKKPARLPGFHTCVGGGGERQTPDWYKDQGIEMLYQDPVTGIDIEKQTLTTNSGKILKYGSLIISTGCTASRFPEKIGGNLAGVHYIRDVSDADSLISSLEKSQKVVVVGGGYIGMEVAAAAVAWKLDTTVVFPESHLLPRLFTPSLAGRYEELFEKNGVKFVKGASIKTLEAGIDGQVTAVKLEDGSLIEADTVVIGIGAKPAVSPFESVGLNSTVGGIQVDGQFRTSVPGIFAIGDVAAFPLKIYDRVARVEHVDHARRSAQHCINSLLSAQTHNYDYLPYFYSRVFEYEGSSRKVWWQFFGDNVGEAIEVGNFNPKIATFWIDSGKLKGVLLESGSPEEFQLLPKLARNQPIVDKAKLQNASSVEEALEIARAALLVEA >KZN03977 pep chromosome:ASM162521v1:2:141041:144128:1 gene:DCAR_004839 transcript:KZN03977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYSQAHICQCLTRISTLRHNATVTITETRRKTGEKFVADVLSLAGGLVEVVGLKPGDVVAISALNSDLYLEWLLAVTYVGGICAPFNYRWSLAEAKSAMEVVRPILLVTDVNSGYWHSKFRVDTISSISWHVLMEHPVELDITANGVPYFPVLTTEMLKKLCVKSQSLNYVWGPDGAAIICFTSGTTGRPKGVTLSHSAFTVQSLAKIAAVGYGENDVYLHTAPLCHIGGLSSALAMLMVGGCHVLIPKFEARLALEAIDQHGVTSLITVPAMLSDMVSVIRTKEAKDSLQSIKKILNGGGSLSAELLKDAIQIFPRSKIVSAYGMTEACSSLTFMTLYDSAKENCDQSLMKGETRPEFHEPRGVCVGKTAPHVELKINSEDVSHAGLILIRGPHMMLGYWGQIPTEKPVPGNGDWFDTGDIGRLDNDGNVWLIGRTNDRIKSGGENVYPEEASTVEAVVSKHPGVAGAVVVGLPDSRFTEIVVACIQPKPTWTWSDYSSKLSAKDDDRXIQITCIKSKRLLFKNGGVYTVRV >KZN05939 pep chromosome:ASM162521v1:2:28566777:28572983:-1 gene:DCAR_006776 transcript:KZN05939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVEVPAWTPGGSPTRTLLEFLTAEKVAQEETIAPALHQQQLYNHPYPSTKFPFPNAPCPNCVPPPQPEPPLIANASRHEAASLRLYDEKRSWKNRSSRVSDIERVQSAPRDDGARIYMTWNDGLTGFVEPGQSLAIMGPSGCGKSTLLDTLAERAETTIIDMGLQNAMNTRIGGWTVKGLSGGQKRRVSICIEILTHPSLLFLDEPTSGLDRAASYHVMSRIIRLAQQDKRTIIASIHQPSAGVFELFHNLCLLSSGRQVYFGSTGGTNEFFTSNGFPCPAMRSPSDHFLRTINKDFDTEDEQKINGGQTAAEAIDILVKAFQSSEQSKQVQNRVSEICQMNVETVTRKGSQASFVTQCLVLTRRSFLNMHRDLGYYWLRLIIYTTLAVCIGSLFRDIGHTYGSIQARGSMLMFIAAFLTFMAVGGFPSFVEDMKIFTRERLNGHYGVAAFVVANTLSSVPFLFLIGLIPGVISYYLVGLQKEFGHFVYYALLLFICMMLVESLMMVVASVVPDFLMGIIAGAGIQGIMMLTGGFCRLPDDMPKPFWKYPIHYISFHKYAFQGFYKNEYIGLTFPNEKARGPPNITGNEILRDIWQVKKGYSKWVDMTILLAMVAAYRILFFLITKGVEKFKPVIRDFLSNISFKRQSDSEDSLRKQESSVHIVRAFSALDCKCYQKSGRHIEIENAEQRYKIQGSNKLS >KZN05965 pep chromosome:ASM162521v1:2:28764333:28767568:-1 gene:DCAR_006802 transcript:KZN05965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNSHTVFFTFLSYFTLFFPILISANAELRALMELKSSLDPDNKFLKSWTFEGDPCSSFQGVACNQHLKVANITLQGKGLTGRLSPAVAGLKCLSGLYLHYNFLSGEIPREIYGLTELLDLYLNVNNFSGIIPPEIGKMASLQVLDLCCNQLNGSIPREIGNLKKLSAVTLQHNRLTGPIPSSIGNLGMLKRLDLSFNQLSGNIPSTIANPPELKILDLQNNTLSGVVPPALKRLNGGFKGENNLGLCGAGFSSLRVCSTWDNSNINQAEPFAPRINSTGTKHVPMNAKIPVACSQTNCSKSSKLPRVAVITGVIICSITLLVGAFLFVFQLRRRKQKIGFTADSTSEDRLSTNEAKDLTSRSASPLITLEYSNKWDPMMSYQIGTEDSDNFLHRFTFNLEEIESATQYFSDLNLLGKSKFFAVYKGILKDGSVVAIKCVHVINCKSEEAEFLSGLRLLTSLRHENLAKLRGFCCSMSRGECYLIYDFASRGNLLRYLDLVDGSEHNLDWATRVSIIKGIAKGIGYLHRSETNRPAIVHKSISVKNILLDEQFKPIITDSGLQKLLVDDIIFSTLKVSASLGYMAPEYITTGGCTEKSDVYAFGVIMLQILSGKTYLNSSIRLAAESGRYEEFIDANLKGKFSKTEAAILTKIAVISTDELPDSRPTMKTVIQDLSNLGSVTL >KZN04964 pep chromosome:ASM162521v1:2:18428182:18430044:1 gene:DCAR_005801 transcript:KZN04964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSIFDKLMIDLRSTCKYYTGYPKDLGPSRVVHFTSERALMQLLDQGHPVVVAFTIKGNYTKHLDRVLEEAAAEFHPNIKFMRVECPKYPGFCLAQQQRKRERELQXXXXXXXGKRVANGKGKRYGEGSRKEAI >KZN04537 pep chromosome:ASM162521v1:2:12341447:12342388:-1 gene:DCAR_005374 transcript:KZN04537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIPEDEHVNLHEEGLEENDESVSSNSQDFPFLAGLNLVLLVSYLSLSEDECLSLEDTEKMEIATSQEPKDSILRVRAEKRKLHEPKLVESRVQRVQSKVRDCRHNRRM >KZN06216 pep chromosome:ASM162521v1:2:31049271:31051681:1 gene:DCAR_007053 transcript:KZN06216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSRPDTFYNSDSVRSVSSEVSSDIMIQVKGTRYMLHKFPLLSKCLRLQRLCYETPESSQHQIIQLPDFPGGIEAFEICAKFCYGITITLSAYNIVSARCAAEYLQMNEDVEKGNLIYKLEIFLNSCVLQGWKDSVVSLQSTKGYPLWAEDLGITSRCIEAIASKVLSQPSKSNLSHSYSRRGRDDTMSCNGAESHRSKHVAKGGWWAEDIAELGIDLYWRTMIAIKSRGKVPSNLIGDALRIYASRWLPNISKRLYAEKQGETDQESDSVNELTSKHRLLLESIISLLPVDRSAVSCGFLLKLLKAANILKASSSSKMELARRVGIQLEEATVGDLLIPNMSRAQETQYDVDIVITILEQFMLQGQSPPTSPPRAKGGFQRQRSRSAENIDFEFQESRRSSSASHSSKLKVAKLVDGYLQVVAREVHLPLSKFLQIAEALPGFARLDHDDLYRAIDIYLKGHPELNKTERKLLCRVLDCKKLSMDACTHAAQNELLPLRVVVQVLFFEQARAAMHGGQVTNLPKNIKALLASHNDSSRPLGSLATSKTLRADDQWSMSGLRTPNSNISTLKMKLAEDEDLDDNYANGTEKSPKFNAICALPNRPKRIFSKLWSINRHGSEKH >KZN05061 pep chromosome:ASM162521v1:2:19558867:19561224:-1 gene:DCAR_005898 transcript:KZN05061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAWIRVLFMVVCLFPVLVQCRIRHYKFNVVMNNTTKLCSSKPIVTVNGLFPGPTVSAREGDRVLIKVVNHVKYNLSIHWHGIRQIRTGWADGPAYITQCPIQPGQSYVYNFTVTGQRGTLWWHAHILWLRATVHGAIVILPKPGVPYPFPAPDKEVVVLLGEWWKSDVEAVIKEATKSGLAPNVSDAHTINGHPGPVSTCLTQRGFNLPVDTGKTYMLRVINAALNEELFFKIANHKLTVVEVDAAYVKPFKTDTILIAPGQTTNVLVKANQESGKYLVAVSPFMDAPISVDNVTATATLHYSTAVPSSVTTTLTAPPPQNATALATKFSDSLRSLNSKKYPAQVPSEVDHSLLFTIGLGVNPCATCVNGSRVVADINNVTFVMPKINLLQAHYFKISGVFTDDFPGKPIMPYNYTGTQPKNFATVKATKLYRLAYNSTVELVLQGTGMVVPENHPIHLHGFNFFVVGRGVGNFKKKDRKKFNLVDPVERNTVGVPSGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGEGPNESILPPPPDFPKC >KZN04014 pep chromosome:ASM162521v1:2:616689:616847:1 gene:DCAR_004812 transcript:KZN04014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRGYKSGVAAAVVWGRVGRLGQRSRAAGCGPVNPLCRSRTRCLEIRDLGI >KZN04251 pep chromosome:ASM162521v1:2:5300186:5302556:-1 gene:DCAR_005107 transcript:KZN04251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSICAPVSPSLLKHKTYGNPSSVFRLPAKPITSFSTGRNRRSASLTTRALLSTTKEAVLKDFHAKKAIKIISGLQNFDKDNVASVVTAADKGGATHVDIACDPDLVKLATSLTSLPICVSSVDPAAFPAAIEAGAVMVEIGNYDSFYEKGVTFSPEEILNLTRETKKILPSVALSVTVPHTLSLPDQIKLAEQLEQEGVDVIQTEGGKYSSPTKSGILGLIEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAITAGAAGVGVGSAINKLNDVIAMIAEVRSIANSLEVCSDRSNLADMKHLKL >KZN04253 pep chromosome:ASM162521v1:2:5310854:5311648:1 gene:DCAR_005105 transcript:KZN04253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMALSSPSFAGKAVQVAPSSPELFGNGRVSMRKTVKAPVSNSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >KZN07814 pep chromosome:ASM162521v1:2:43738247:43739700:-1 gene:DCAR_008651 transcript:KZN07814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMLFDGYNIVTWMVVLKLGSTGLLVSWLMNYADNIVKLFLGIIVCIMSVHMYFAPPNMLVDLPVPVMAVPNDVVEVSIDGKTDI >KZN05458 pep chromosome:ASM162521v1:2:24049738:24051299:-1 gene:DCAR_006295 transcript:KZN05458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATVQKLTSFELRHSVKEAREIPLDQEIIGHLTITYSMDKSPPTKLQLPGFRFHPTEEELIEFYLKNTIQGKTLHYDVIGVVNIYGYDPWYLPELAKIGEREWYFFVPRDTKHGSGGRPNRTTKRGFWKATGSDRKIVTLSDPKRVIGLKKTLVFYKGRAPRGSKTDWIMNEYRLPASCPSHKDIVLCKIYRKATSLKVLEQRAAMEERMKTDHSVTCSDPPSLQPMSPLISGDTTTTFSSKNQELAVLGVTQPVMKKEDEEEETMLSFLYDEATEINKILESTIINWYGEFESPVVQSQYLGPLFHTLT >KZN06270 pep chromosome:ASM162521v1:2:31554176:31554349:1 gene:DCAR_007107 transcript:KZN06270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVNHMFASLRTNPSNHNEVLNEFASAMLWLSICKVKTGIDGGATEEMRCFQHHAD >KZN06599 pep chromosome:ASM162521v1:2:34256782:34260058:1 gene:DCAR_007436 transcript:KZN06599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP707c-2 description:abscisic acid 8'-hydroxylase 4-like MQSLYFFLDSSTAQAPLLICSFFIITLTFVVLKWSFLLHPRSKRLPPGSMGWPYIGETLRLYSQNPNSFFSIRHKRYGDIFKSHILGCPCVMISSPEAAKRVLVNQSHLFKPTYPPSKELLIGPEAIFFHQGPYHSRLKKLVLSSFLPSALKNAVPHIESIVIDSLRGYNNKTINTLEEMKKYAFDVAMISAFGNDMEIEIEEIKQLYHVLESGYNSMPLDVPGTPFYNAMKARKLLTGKLRNLIQKRREGREIGNGGLLGVLLGTEDEKENLLTDSQISDNIIGVIFAAHDTTASVSTWLIKYLHDNNDILEAVTAEQEQIRCRILEENRNLTWEDTRLMTYTSRVIQETLRSASILSFTFREAVEDVEFEGYFIPKGWKVLPLFRNIHHSAALFPQPHIFDPLRFEVAPKANSYMPFGKGVHSCPGNELAKLEMLILLHHLTTTYRHVESDGQQQWNTVRTISGAQRWLTSCFIPH >KZN06508 pep chromosome:ASM162521v1:2:33525990:33526305:-1 gene:DCAR_007345 transcript:KZN06508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITTCTSTALISRVSIVQKAPTVLGLPVMPRVGKVKCLIVKDIGGGASTGSMIARYHIYIQ >KZN04927 pep chromosome:ASM162521v1:2:18037645:18040698:-1 gene:DCAR_005764 transcript:KZN04927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKEVVLFVDELESEIASGIPFCRICHEIEFESFKSLEAPCSCSGSVKNFEPGYTAPVKKAKLLEAAVTIRGSLEVPRRERTQENPDLEADGEEEEELLESESFSDDRRWNCFRVVALVFTLILLMRHLLALLTGETEDYPFTLLTLLIAKTSGIVIPMYVMIRIVQSIRNSFKHSSRHQYEDLEDESSNSESEDDTHFS >KZN06180 pep chromosome:ASM162521v1:2:30805386:30806808:-1 gene:DCAR_007017 transcript:KZN06180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVSYSLKSEDTSVKIFDFFNALGSVAFAYAGHNVVLEIQATIPSTPDKPSTGPMHKGVFVAYLLVGFCYFPVAFIGYYIFGTALDDNILLTLEKPNWLIATANMFVVVHVLGGYQVFAMPVFDMTETLLVKHMRFKPRTSLRLFVRYSYVAITMFIAIAIPFFGGLLGFFGGFALAPTSYYLPCIIWLILKKPRKFGFSWWLNWIFIVIGVTIMIVAPIGGLRTIIVSAQQYKFFS >KZN04017 pep chromosome:ASM162521v1:2:647532:648321:-1 gene:DCAR_004815 transcript:KZN04017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKHFPFVVFWFLADAVRFVPVQEKADITNYQPVLTPPEGNTTFLDGTSWCVARPGVSQGDLQDALDWACGMGKTNCGPIKSGGPCFEPNTLLSHASFAFNSYYQQNGNSDIACNFGGTATLTQRNPSYGKCSYSTSDSTIVVASDLYVLRHLHCQDTNRGLGDGSYLGFCYFCTWVADL >KZN05424 pep chromosome:ASM162521v1:2:23701414:23721326:1 gene:DCAR_006261 transcript:KZN05424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISLRNSILGVPVQCYGNGRNGGGNLVGVGVGRRGSRKCVIRAKSNDWVSNGVKFTHFCGRNVELLWKNIGLRSGMMVNCVKDPFVKSKTLVRTRLAPVWEEGLLIVRCSVFCAVISGVCLLLWYGQVKAKSFVETKLLPSVCEALSEHIQRDLNFGKVRRISPLSITLESCSFGPHVEEFSCGEVPTIKLRVLPFASLRRGKIVVDAVLHNPNLLVVQKKNYTWLGIPFTDGLVPRHLSTEEGIDHRTKTRRIAREDAFARMVQERDNAAIEAAKMGYIFSDGGASSSEFGTTKAFASNPTGLTTSEPIFCMDEKLHWRDHHCMDAGVEYDMKHADLEKSFGVNTPGSGIKFWPKLIPGPIKRKFKRRTNGRDISAAGISAKTRILERSASAARAYFLEISQGGDPNHMSRGNHVMNLEEVLLNSKDDNSGASTFILNNSDDMAALDQSTRLNTGGNRNNENKIIEVDEIYLTGKETLNQDSNMGNVIASTENLGNHILSEVINPSVSTQERAIGGKSSLSVGDAASVMKTKSCDINKDIQGDSLVNEHMDSKDKLSVQSGQVLEYEESNSEDQGVPTSQISKSLNPDPLPAVQHSDYVRPWSVESGLNTFSSGIGEAWSLVITRPFQRLKSEFSPKVKDIVAELVEKVDEGETLSIEKMLPITLDSVHFKDGVLMLLAYGDNEPREMENASGHIKFQNDYDRVHVQLSGSCKMWRSDATSEDGGWLSTDVFVDVNEQKWHANLKVLNLFVPLFERILEIPITWSKGRASGEVHICMSSGEVFPNLHGQLDVTGLAFQIFDAPSEFLDITASLCFRAQRIFLQNASGWFGSVPLEASGDFGIEPEEGEFHLMFQVPSVEVNALMKTFKMKPFLFPLAGSVTAVFNCQGPLDAPTFVGSGLVSRKITSAVSDIPASAAYETMMKNKEAGAVAAVDRVPFSYISANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAMDVNFSGNLSFDKIMHRYLPASLQLMPLKLGDLNGDTKLSGSLLRPRFDIKWTAPKAEGSFSDARGDIIISHDHIIVSSSSVAFELYTKILTDYLDEYVPDKIEPDMRNGMPLIVEGVELDLRMRGFEFFSLVSSHAFDSLRPVHLKATGRIKFQGKVVKPLSISNEQVLTSKENEDFSTKVIQDAHSLVGDVSVSGLKLNQLMIAPQLGGILSISHEGIKLDAIGRPDESLLVEVVRPSQPIAEESIIGNMLSFSLQKGHLRANVCYRPLHSASLEVRNLPLDELELASLRGTIQRAELQLNFQKRRGHGVLSVLKPKFSGVLGEALDVAARWSGDVITVEKAALEQSNSQYEIQGEYVLPGTRDRIPAGVEKGSLLRRAMAGKLGSVISSMGRWRMRLEVPRAEIAEMLPLARLLSRSTDPAVQYRSKDLFMQTLQSVGVYAENLQNLLEEFRAHFTLQNEVILEELSLPALAELKGRWRGCLDASGGGNGDTMAEFDFQGEEWEWGTYKTQRVLAAGLYSNDDGLRLEKMFVQKDNATIHADGTLLGPITNLHFAVLNFPVSLVPTVVQVIESSATDAVHPLRQLLAPIKGILHMEGDLRGSLAKPECDVQVRLLDGAMGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQNGHVHVQGSIPVTLVQGNVLEEDKIERDNNEATWVPGWAKEKGKGSADEVGDKKASRDRTEEGWDTQLAEGLKGLNWNVLDAGEVRVDADIKDGGMMLLTALSPYANWLNGNAEVMLQVRGTVEQPVLDGSASFHRATVSSPVLRKPLTNFGGLLRMDSNRLCINSLESRVSRKGKLSLKGNLPLRNTEASLGDKIDLKCEDLEVRAKNILSGQVDTQLQITGSIMQPNISGKIKLSRGEAYLPHDKGGGAAQINRDVSNQSNFPSGYNQVVASKYVSRFLNLKPAASSAPFNQLSDKEAEVEKEMGHVSSKPKIDIRLNDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPEWIKPRGILTFENGDVNLVATQVRLKREHLNIAKFEPENGLNPMLDLALVGSEWQFRIQSRASKWQDSLVVTSTRSVEQDVLSPTEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTIDPLKSLANNISFGTEVEVQLGKRLQASIVRQMKDSEMAMQWTLIYKLTSRLRVLLQSAPYKRLLFEYSTTSQD >KZN06832 pep chromosome:ASM162521v1:2:36162458:36167557:1 gene:DCAR_007669 transcript:KZN06832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPTQSSLSNLSIHSPIIPLTTINSSSTHHKHQSHTLPLSTAISFPQFKPHNAAALTLKRRRRFSPFRVLSSVASPPAATEITSTSTKPSPAEVSRTIMELTSVATLSTLTPQGFPLGIGVRFAVEPQQGTPILCLNPSFFPSDSKSSLHVQLEQCGLRTPQCTIQGCLDKPQDASDLKKFQSIWRKRFNEDVDEELIHIVAVERILQTEDFMEDGVWFTSLDYKMAVPDPLRDYAESIIQEINTNNMEDIHRFCNVYVDLNFQSPSPNINPEVVSKANMIWVDRLGFDVRLTSPQNDVFEVRIPFPREVTDEKGAKSSFNCMSQLAWEMEKHYHAQDYKKVNQVKKITSRSH >KZN07041 pep chromosome:ASM162521v1:2:37874930:37875328:-1 gene:DCAR_007878 transcript:KZN07041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKHLMGIPSDSSTSSSDEMKMNTIYDKVRYLVSGNAVVVFTISGCCMCHVVKQLLFSLGVGPTVVELDREEAGLDIHRFLSQIAGEGQQHPVPAVFVGGKFLGGVETLMACHINGTLVPHLKDAGALWL >KZN04215 pep chromosome:ASM162521v1:2:4024693:4036473:1 gene:DCAR_005047 transcript:KZN04215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGMYSSTTYRTDQITEAASKPVSDNLSAAIAATIPSISNTEAPVQNYSYTGYGISTDSYGYGNTGYAGYYSGAYTQPQQQQQPPQSNNQAYTVQQQQVGGYPTTGGSQQNISEFTNTVPYAGPTNYTTTYYNPADYQTPGAAAGGGYSNQNWQQGSYVNYGNNQYASYAPEATAAPPPTQQYQQAYSQWADYYSQTEVSCAPGTENVASTGTANAVHSVPGVPGVPGGYTATNTQQPAPYAAPWRPEYSSSDVTPVQQGAAISTVQDGYWKHGTPTFQTQNVGHVSNVRTPLDSNHTYTGYQEQHKPVHPQVSTAQYPANPQLPYNYYTSLQTVSQTVPVPQSVQQTVSQNMPFDSRVSKMQIPTNPRIATNLVLGLPKIDKDNSVSNAAAKPLYVSVAPPKPNNEVTSHVGADSILKAGMFPKSLRGYVERALSRCKDDKQMAACQEVMKEVITKATADGTLYTRNWDMEPLFPLPSADGVTTEALQSSALISSLTNNRRSPSRRSKSRWEPLSVDKLVDKQSPHTPDTKYSGWFNPSEGGKRVHWRGHFFQDSIALFGAPFTLI >KZN07399 pep chromosome:ASM162521v1:2:40592528:40593022:1 gene:DCAR_008236 transcript:KZN07399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKETLKLDDDQITELREIFRSFDRNKDGSLTQLELGSLLRSLGLKPSPDQLETLIQKADTNNNGLIEFSEFVGLIAPQLLPEKSPYTEDQLRQLFKMFDRDGNGFITETELANSMAKLGHPLTAEELTEMIKEADTDGDGRISFQEFSQAITSAAFDNSWI >KZN06301 pep chromosome:ASM162521v1:2:31802854:31805629:-1 gene:DCAR_007138 transcript:KZN06301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFAASSKVDATLPSTSGASRSISKTTTPAVTNDSSSWKNSNGSHLPSPRSESEILSSSHLKAFSFNELKNATRNFRPDSLLGEGGFGYVFKGWINEHTLSAAKPGSGMTIAVKKLKPEGFQGHKEWLSSVRFEATACFSGGPTQLSWTIRIKVAIDAARGLSFLHNADNQVIYRDLKAANILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLTGRRVVDESKVVVEQHLVDWALPYLCDKRKLFRIMDTKLEGQYPQKAAFAAATIISKCLNTEFKSRPQMSEVVASLEELQSSKFAFKNTPSERQTGYDHIHKSPLNQHRNQHRSPLNLTPSASPLRSKHQSPYRRG >KZN07272 pep chromosome:ASM162521v1:2:39594581:39598474:-1 gene:DCAR_008109 transcript:KZN07272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKATMTLKDFHGGSIPSDLPLPSAPGMIVRQSDRVGLDRPNAWGKVMGRGDYRLRPGSAGSVRGFNDKGSFLSGGSHIGRNFDEDERTPLDGVSGPRRTVSDESIRGGLVGGVDSGLEFVRESRPITNPGLSGCWAEEEVSRVGGNPWTVRREVVGKDLGVGSWSAMDVATKLAHASALEKVSSGRWQSKLLSEGNRVDIEVIKHPETQTETQYKGYGMLDGHVFDNVDVLGGRNSHEAVLVRQIEKSLIVDDWINAGGRTLPVYERAVSPIYTDVQENRIYDEGHQPSWVVGNFVRAELQQTVHPEFLSERPKMNLLPNSRPSETVEAPPTNYKQSSQKPRDPGAQSHVNEAYERVNTLKSDPDSVRGVQDRPKLNLKPRMQPLDQFERNIETKRNTLFGGARPRELVLKERGIYDVDSNNYDQVPPLNSGKRDVPRGEILPVRYNGKAENTPLDYKNGKNIDRRVLRGDVEKSDMQRKNWRSENQRSSRDLEKNKPPQERAPSPETWRKPVEQPSSPTGLRYGKAASAVELAQAFSRSVSDPKTADRLSGQRGIPGRGQIPFSRLTGPQSRPQINGY >KZN05056 pep chromosome:ASM162521v1:2:19466872:19472540:-1 gene:DCAR_005893 transcript:KZN05056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRSFDHIFGWIKKTRPDIDGLTGQEFNYLNASDLSSRKILVTDKANFIDSDPGHSIQAIQEQVFGSNSSFFDPAPMNGFAQQANSMGVDGLAETVMSGFKPEFVPVYTELADEFAVFDRWFASVPASTQPNRFFVHSATSHGASSNVRKDLINGFPQKTIFDSLDENDLSFGIYYQNVPTTMFFKSLRKLKHVNKFHNFDLKFKSDAKNGKLPNYVVLEQRYFDIKLFPANDDHPSHDVALGQMFVKEVYEVLRGSPQWKEMAFLITYDEHGGFFDHVPTPVSGVPNPDGIIGPDPYYFRFERLGVRVPTLLISPWIDKGLVIHQPSGPTPFSQYEHSSIPATVKKLFNLESNFLTKRDAWAGTFENYFFLRDSPRDDCPEKLPEVKKSLRSGGPNVQARLSEFQVELIQLASQLNGDYLLNTYPDIGKSMNVVEGNNYAVDAIERFLEAGRAALRAGANDSAMVTMRPSLTSRTSGEEKSNYLSSY >KZN05152 pep chromosome:ASM162521v1:2:20630316:20631846:-1 gene:DCAR_005989 transcript:KZN05152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAAEKKPAEKKPKAGKKLPKEAGAAAADKKKKRSKKSVETYKIYIFMVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLASQVAEHNLAEIKTVGHQAHWNKDFKSVSWHSVLRFTFKIRPPSIDWCTMQLQRQWVDPEIW >KZN07169 pep chromosome:ASM162521v1:2:38800957:38801904:1 gene:DCAR_008006 transcript:KZN07169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTFSYFLLLALLAASLHVHARDSQFFSKVTSNNIPKEVLPTKQQEPLNKQEQEPNFVPLNQQNPGGYGLNGHESGQLPPTTENLPYRTQTENSYNKESNPNLVDGLYYNNDANVNTESYSSSNNGDQFRNNEAYVTNQQGMSDTRFMENAYTTPITSNNNGDRYYNNDAYVTKPQGMNNNNNDNNYNGENMYNNREHDIGEAKLGVTNGNNYNNGGNMYENEKNGMSDTRVMENGRYYYDLNTEKNYNLNNYNNNLNENSRSFNSRNEYANNRGNYRNSFKGQNGNNENFMEGYNGNQEEFQEVRDEQFMP >KZN07736 pep chromosome:ASM162521v1:2:43172065:43172918:1 gene:DCAR_008573 transcript:KZN07736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCIYDTSLNSDARLSPPHKRQRLEKDAVIAIHDGEYHAREFLFKKVLAKSDVGRLSRLIIPKKFAIQNFPTIDVDAPIEDCVFHIDFYDGRKNLWTFRYCFWKCSRSYVFTGAWNKFVKAYELQAGDSVLFYKNYSGDESFFGIEVRYAGARNIVGSVTKNLNNAEEFMNDDILRVKEEREVSASELKEKYFQLFGVRIKRASTDFQGGRSIDVIKKEEDYLVHKANCRRVKEEEEEFEGLKVDLNLSL >KZN07413 pep chromosome:ASM162521v1:2:40679595:40681011:-1 gene:DCAR_008250 transcript:KZN07413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPSPSDECVKKGPWTPEEDKILMDYIRENGGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSDEEERMIINLHSAIGNKWSQIAAHLPGRTDNEIKNFWNTHVRKKLVNSGIDPKTHEPLIINHLNSFLANYSQLLSSPSNLSNLVIANPLESALAGLRSFLPQPAPNIQLLQNLWQIVNCTNPLPNAIQKNSLLQSSLLSKCSGLKNIGSSVTDNQNSFTYANTNVHPQRLGEDFANNNSSEFHIIGGLRQEFSHKDAIRNSSQKENMLPSLVSATDTGSSGVTQMNEARFSTETAAASNMFGNWEERLDDEDWGNDFWEDLIKDL >KZN05163 pep chromosome:ASM162521v1:2:20718337:20718888:1 gene:DCAR_006000 transcript:KZN05163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPAAGKVKKGAAGRKAGGPKKKSVTRSVRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKSRIIPRHLLLAIRNDEELGKLLGGVTIAHGGVLPNINPVLLPKKTTEKAAKEPTAKVAGKSPKKAAK >KZN05336 pep chromosome:ASM162521v1:2:22854358:22854852:1 gene:DCAR_006173 transcript:KZN05336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSFQPDPNLISEPDFGAAPDAPVGEGSDPKPVSGSDPLLGSGDFIAAEGGSAETPAGKSSETKRAKRPRKGAEDGEASDGVPPDWQVITRTRSNGQTKGTVDRLKCVCKDRIVF >KZN07159 pep chromosome:ASM162521v1:2:38749616:38755746:-1 gene:DCAR_007996 transcript:KZN07159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPAESVTLVCGNGGYQLETRTEPAIDSRTRMVFKLLNHAIYDDLNSRIYTGKQASVYHTTKHDGQEFAVKVFNFLQKHSPWPLLAIDSRTRMVFKLLNHAIYDDLNSRIYTGKQASVYHTTKHDGQEFAVKVFKDLFGVSK >KZN05884 pep chromosome:ASM162521v1:2:28071622:28075009:1 gene:DCAR_006721 transcript:KZN05884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKVPGQRKGKDYMESRKNRRRQRRLLPVQVLYNTCEQVFADCGPGIVPDPEKIRLLKTVLDGISGADVGVNPNMPFFREQKTEGLPTITYLHIHECDKFSIGIFCLPPSAVLPLHNHPEMTVFSKLLFGTMHIKSLDWVNGIPSNKVPDGDSSDANGALSAEARLAKVKVDADFIAPCDTSILYPTDGGNMHCFTAVTQCAVLDVLGPPYNDAEGRHCAYYIEHPLDRISANGISIAEEEREGYEWLQERDKPEGLTVYGVPYSGPPIAK >KZN04328 pep chromosome:ASM162521v1:2:8237748:8241135:1 gene:DCAR_005165 transcript:KZN04328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGSRLPTWKERENNKRRERRRRAIAAKIFAGLRMYGNFKLPKHCDNNEVLKALCDEAGWTVEEDGTTYRKGCKVVERMDVMGGSASASPCSSYQPSPCASFNPSPASSSFPSPISSIYTGSNNNADPNSLIPWLKNLSSGSSPSSSNFPLHLYIPGGSISAPVTPPLSSPTARTPRMKDGWDDPNAVPAWAGQHYPFLPSSTPPSPGHQTPPDSGWLSGVQTPQDGASSPTFSLVSPSTFGFREGVSCGGSRMWTPGQSGTCSPAVTAGFDRTADVPMCDAISSEFAFGSHTKGLVKPWEGERIHEECVSDDLELTLGNPSTR >KZN04619 pep chromosome:ASM162521v1:2:13587478:13591830:1 gene:DCAR_005456 transcript:KZN04619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWYYHPLSFRSYLTEKSVKKLKKWVSRHKVFSSRYVFIPMCQSNHWNMLIICNIGEDMNSETNSPCMFLLDSLQIGEATRLEPRLREFVFHLYESGNRKESAEEIFNIPYNIPSIPQQEDGTKCGYYMLFYMFKFLTACPYQFDISKDYPGFMTEDWFDKDEFQKFYEDLTSEKEKECSLSDTTTDKKKDGEGSSIQKIENVNNDMLQTTPSHDLFRSNDALPPLVGPLHISNTGERQSTRNVSAEEHRQQDVNHENEEAAILKLRKNKGKLPAEEFVDIFQEGENFKTPKETLRGVEMIPQVFKEDETYSGIMSVARDIKYTYEDNEIITEELYEDKFAKMYQPQSQEEEEQQRIEPESDRDPEWPYYTNKDWKTIDILALPKYDRAYNKMFDLDDFLGDLTLGGERIDFDRFEREGDTEYNPGRLRREVKVGDSKKSPFLDRTIDFNKQKITKAEEEVWNWITADTSDATQIIFFWEDVICMSYQIKTFQFNEKISTAVIDVYATILNEDEKYRSPDSPHRFFCNTSITTQ >KZN04383 pep chromosome:ASM162521v1:2:9938321:9938902:-1 gene:DCAR_005220 transcript:KZN04383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPYQTLILSVTTLFFLVTLCAAKENHFSVQGQVFCDVCRAGFFTKPCTYIKGATVRLECRNREQDTVLTFKGEATTDDSGTYHISVDGPDFEDDICEVKLVKSPDSDCNEINVKNSNDKARVSLAANSGMTSDVRMANPIGFLKKSANSECSKLLEAMGVVPDT >KZN04784 pep chromosome:ASM162521v1:2:15950303:15951038:1 gene:DCAR_005621 transcript:KZN04784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPLPPVPFAGPVNANQVAQVNCGNCQMLLMYQYGARSVKCAVCQFVTSVGVSIEFLSSLFGDQIDKLVSQ >KZN05209 pep chromosome:ASM162521v1:2:21192654:21196820:-1 gene:DCAR_006046 transcript:KZN05209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIESALAFATTRFSTQLHKNARSIHSISVLSKRNVLASDIEDCGGQNKQQKKKFRVNESLLYRFRRKRGLSVTDITASEWCEKQTELSFHHGKPKASKAMEAGKARHEVLEKEVIRRVKVQIETAEDVWALKVLNFIRGINQLQFDGLTREVPIIGFAHGVWMVGVIDEIRMPLTGTERNLTLVETKTRSHAGFPRDPQRRNGRLQLMCYKYLWDNLITNKFPYKQFLNFFSLNPNHILCEDIRENTAKSGFPAQTLNELLRYHQTTCSMLPLAHEQMLLRYESQKDHSLIGEDQFEFDSDWVRSQIKCSLEFWQGERDATYIPDDERWKCNYCPHSSKCPINTKSEDDTQSIEKI >KZN04210 pep chromosome:ASM162521v1:2:3923949:3926896:1 gene:DCAR_005057 transcript:KZN04210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIETFSQQSFFNLIIDCTQTKNIALGKTLHAQIIKTGKLTCTYIANSLVNFYSKCQHLSQANTAFQDIQEKDIVSWNSIINGFSQLGGSHNSFFVLKLFKLLRKQSGVFPNAHTFAGFFTATSILGDGFAGKQGHSIVIKISGCDDVFVGSSLVNMYCKSGLVQDARKVFDEMSDRNSITWATMISGYATLRLADYALELFRMMMWEMEEGVNEFVLTSVISAFTLKEFIDIGKQLHGLVIKFGLLSFVTVGNSIVTMYSKCGDLDYAVKSFELADDKNSITWTAMITGFAQSGDCFKALALFSKMHFAGMKASEFTLVGVLNACTDSKGVEKGMQVHGYLVKLGFESQIFIMTALVDMYAKCGFVGDARKGFYYLQKPDIVLWTSMIGGYVQNGDNESAIDLYCKMQEEGILPNELTMASVLKACSSLAAFEQGKQIHAHTIKFGFGLEAPIGSALSTMYAKCGSLEDEALYVFCVYCDVAHTGVFTLRFCYFEIHST >KZN04804 pep chromosome:ASM162521v1:2:16255755:16260504:1 gene:DCAR_005641 transcript:KZN04804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAFSSVRWEGGVSMVQGASRGIGLEFAKQLLEKNEKGHVIATCRDPSGASGLIQLKERFSERLEIQRLDLTDERTIEESAMSISERYGVLNLLINASGVLSIPNVMQPETTLSKVQRSSLMLAYEINAVGPILVIKHMWPLLKAGGGSGTERDVAIVASLSARVGSIGDNRLGGWHSYRASKTALNQLTKSISVEFARKKDPIACLLLHPGTVDTDLSKPFQKNVAREKLFTKEFSVQKLLSIINNAKIHDNGKFFAWDGQEIPW >KZN06559 pep chromosome:ASM162521v1:2:33982300:33994856:-1 gene:DCAR_007396 transcript:KZN06559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEGSSLTVANDSESSRIDSDGSKKRRPESLHVIREAGGAGISADDFSHKNGECDLNVASTSKSSFDKHFGYKLSKEEVKDLTKGKRKDKWKEKWEVAASNMSRCKWIGTGEQFMEKEDTYKYDGLERRLYKHWLTHMPDGGTDFQSSKQRSFFFLCNSYRDILHHNKKPFYLKGMEEDSSVTDAYIMHSLNHIFKSRDLVTKNDAEVVKLQVHTKDDMPKSVGFQDRGFTCPKILILLPLASIALRVVNRLIALTPSKHKVTSSKHKAVDVENLDRFSDEFGIGTDSMNMAESSQPRKSSNHSDFHALFGGNNNNDFMIGVKISRGSVKLYDDIYTSDMIVASPLSLITKIGEAERDKKKDIDYLSSIEVLIIDHADVMVKQNWSHVSTVIKKLNSKPSKQHGTDQMRIRPWYLDEHAQFYRQSIILGSHSSPEINALIDRHCLNYQGKVKLECKYKGVLRKASPQVKQIYEKFDANSIGDVDGARFAYFSTKVFPKMKSSFQGGTMIYISSNFEFIRVQNFLESQKASFCHLGENKEPSNISYDQVWHHQDSNKILLYTEKSQFYHRYKLEAIVSSDTAKRMTDSDKDVFIIK >KZN07088 pep chromosome:ASM162521v1:2:38266014:38274451:-1 gene:DCAR_007925 transcript:KZN07088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATYWKFNGDLCQIEIVGTTPTKPEGSESSVDCECDFDNSTTCHVVRIVLKRYNLPGVLPPQLVKLHYLREVDFAYNYLSGTIPPEWGSTQLTLISLLVNRLSGKIPKELGNITTLKYLTLEANEFSGTLPAEFQNLQNLETLMLSSNQFSGRLPATLAGLKNLTDFRINDNNFSGPIPEFIQSWNLLTRLEMCGSGLEGPIPSNISVLEKLIDLRITDIKSPIQQFPDLSRITGIIRLVLRSCNLSGEFPPYIWQMQLVEVVDASFNRLVGEIPNDISGKSLRMVFLAGNMLSGNIPESILKDGFSVDLSYNNFTWQGPGQPTCRSNMNYYINLFKSSSTGNPFISSASVTGGEFFHAQKISPVLDACHLQASKKFEELVDKILGSKVDKEEAERMVKVALLCTNATPSIRPIMSEVVSMLEGQLPIPDTIPETNTNSDDLRFKALRDFHQGTQSQSFFTRSQTAKQTTFHTQSSVQRSSSTSMEETLEIQQDTRT >KZN07545 pep chromosome:ASM162521v1:2:41750987:41751367:1 gene:DCAR_008382 transcript:KZN07545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKTSREDYVVTTPGISWRGISVLSLSPSVSSSVVFRVDVATAVRFAECFTAIKSKRLEVMAWCDVEVDQLTGKKVSKKDIKLKHLIVDHISGWLWFACFIIVILALLSPFSGCCWVALFPELLG >KZN04717 pep chromosome:ASM162521v1:2:15017325:15018444:1 gene:DCAR_005554 transcript:KZN04717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKLSKPGQGTAANTSVVKIQHQVINYLSRSFIRNLVSKKRRRMLVDGYDLDMTYITNRVLAMSFPAERIRAMYRNPMWQIKCVLDMRHKDHYKVFNLCIEQAYDPSHFHGRMERFPFDDNHVPSLRMMKEFCESVDSWISSNPKNIVVVHCMVQLQS >KZN07276 pep chromosome:ASM162521v1:2:39615003:39616187:1 gene:DCAR_008113 transcript:KZN07276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTVVTDSLFPPEIIIEILSRVPIEFHGRLMLVCKQWNALIQDRHFMEKHMSRAHRNEREFVLYSKRLVSTNIGNGIVLRRSYRHVYSCDGLYLQKNVVTNKYHIRNLFTKQALELPDPHKGSRDIVFSYVPSTSNYKCVSIYDDESSTECCEVLSVGSDELSSWRLLKKPNRDYLIKNGKKFSVVSTGDAVHYVRVIASGAVMVEEVVSLDLGTEQFTVTNIPSGQYKSWEKVWPLNFMGKLVLVDRMEADLCVLELDDYKKQKWGKRKPLIPSASMKALEDKHGTVFPYSFEQAELWFWVKDRMFISYNVRTGNRYFMCPPNSGDKLVEAMHPSYRSLVHLKGMQPEEKTPTQLPPSSAGEGRWLNLKRNCLLFICLYLLIFIPVYSAIFL >KZN07618 pep chromosome:ASM162521v1:2:42230684:42232561:1 gene:DCAR_008455 transcript:KZN07618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNNIQLHAIAIKRIEELMFMFNENLDGVLLAYDVEILGVLAKILTGIHPYFGVKLKSKLLLFNPKPEMLLEGQVVKLSQQAIHVIVLGFSAAVIIEEDIPKDFRYKIKSGKGYYVSRLHKQHKIKVDTIIRFAVKSFDEEILHISGSLLSSNTGSVSWLHTNSGDRSLDDR >KZN04333 pep chromosome:ASM162521v1:2:8353218:8353667:-1 gene:DCAR_005170 transcript:KZN04333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLGLLVLNVIERQMQTATSISSRPSMNLQHGVPSGLMALLTGITGMPSTFAHTIFIVTLFFGQVAGTEGGVSWPGIGGNGFVIGGVSPGMPGNVFGLGGGNTGIGGNVFGLGGDKPGIVGVSGVEGGNNGKGGNCPPTWTLKNKIML >KZN04025 pep chromosome:ASM162521v1:2:797322:797528:-1 gene:DCAR_004823 transcript:KZN04025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLESATSTLPTSYYRMFTLLESATQRLQSENFEGMDTAYLFRNISTLYSNPATFCFQTNRFALGN >KZN07014 pep chromosome:ASM162521v1:2:37671277:37673480:1 gene:DCAR_007851 transcript:KZN07014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFLCSFFIFFLISISSSFAADTLNVKQTLRDDGNSTIVSSGGVFELGFFSPGSSKNRYLGIWYKKVSNRTVVWVANRERPILDTSGVLRILVNGNLELVNARNSTIWFSNSTKAAVSNPVMAQLMDSSNLVVRYLNDTDPANYLWQSVDHPTDHILSGMKLGWDLGKGLDRYLTSWKSVNDPSPGNFTFRMHLEGYPQMKVADRSTINFRFGPWDGIQFSGVQFKAPDLNFDLKLIVVNKEIYAKFDVNNNSRPVRFVLNPDGIIRLLTWYDQAQNWTFSQYISVLFDECDPYGRCGTYGSCDLTRAQSGLGACECLEGFVPKFPEKWKVSDWSGGCVRAAQLTCNDGDRFEKILSLKLPNTQAAWFNRSMSLSDCETECRKNCSCTAYSNVDIRQGGSGCMLWFGDLIDMRNYPDNGLPLYVRASSQSGVNENSSKKGGVKIIAIVVPAVVGVLVLAGLIYVLRNRKMRSKVDTISNVY >KZN04495 pep chromosome:ASM162521v1:2:11875063:11875849:1 gene:DCAR_005332 transcript:KZN04495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKSNLHLSYLQQIRCLCEAEVVEILNGDGWYYECCTTCARAVQKREGQVFCPGCQDTKEKTSHRYRVVARVKDDSETTTFTLFNKEAEQLIGVPLEKILTELDQEGNMEDIPTPIKNMVGKFCAFQIKVTQYNITTGCEEYTVTRVSECSKTPATTSTAEEETHKDKRMKTA >KZN06715 pep chromosome:ASM162521v1:2:35244835:35249429:1 gene:DCAR_007552 transcript:KZN06715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESAATVREPTARVTRARAAASQSSERLLILDSTKQQGQKRDLRKNSKRVALEEQINCAATNQHKRRAVLKDVTNVSQDNLNTNCSNASKIARKNLNQIKRGSVDVSKVSSPVAEKVQQNPKTETDEVVAFTETESTGSWLLDKNAHPEPIAEKDSCEDIPSHNVPESGVPPNKEDKIGLVMLTSCSKGEFIDIDADHKDPQLCRQYAVDIYNNLRVAELMRRPDSNSMEIVQQDITKGMRGILIDWLVEVSEEYKLVPDTLYLTVHLIDLYLSEFYIERKRLQLLGITCMLIASKYEEICAPRIEEFCFITDNTYSKTEVLAMESKVLKDLRFRLSAPTTKTFLRRFLRAAQACSKTPSLELEFLSNYLAELTLVDNGFLKYIPSIVAASAVFLARWTLDQSNHPWNPTLEHYTSYMASDLKAAVLELQNLQLNTKNSPLIAIRAKYQQDKFKSVARLSSPKLLETLF >KZN04940 pep chromosome:ASM162521v1:2:18181774:18182404:1 gene:DCAR_005777 transcript:KZN04940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQQEITEAENKDVQTKKSCSDCKTTRTPLWRGGPSGPKSLCNACGIKYNKKRRQLLGLDRSRKHGMKKKQKKSGNEVERSVKVMRLIALGREMGLKISATAKFGEEEEAAILLMALSCGSLASVLDEMP >KZN04431 pep chromosome:ASM162521v1:2:10670675:10672535:1 gene:DCAR_005268 transcript:KZN04431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYSAFSVFLLSILVAQQVSAADTCRFPAIFNFGDANSDTGAFAAWFFGNPPFFGQSFFGGSAGRVSDGRLLIDFMGLPFLHPYMDSLGADFAHGANFAEILSTIALPPANNIIPGVRPPRGLNPINLDIQVSQFAQFINRSQTIRQRGGVFRQFMPKQEYFSQALYTIDIGQIDITQEFLKNKTDDEVKAAVPALIASLSSNIKILYSLGGRTFWIHNLGPNGCLPILLTLAPVPDSQLDSAGCVKRYNDLTQYFNSELKKGVDQLRKDLPSAAFTYVDVYTAKYSLYQEPAKYGFTHPLETCCGFGGRYNYGENSLCGSTITVNGTQRTVGPCANPAEYINYEGQTYTQAADQITFNKIASGELSDPPNSLRQACRKV >KZN07210 pep chromosome:ASM162521v1:2:39126917:39128728:-1 gene:DCAR_008047 transcript:KZN07210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFDAFAEDFDNSAYSTFSSSTAPVESFPDDEITADHVSHSVNGSDLFGFGADPNPSFSESNGNGTAPGIEEEDAGIFSSDGPVLPPPGDMREEGSALREWRRENAIRIGEKEEKEKEVRNQIIEEAIDFKNAFYEKRKIHIETSKSANREKEKLYVANQEKFHKEADKEYWKTIGDIIPREVPNLEKKRGKKDQDKKPSIVVVQGPKPGKPTDLARMRHLLLKLKHTPPPHMISPPTAPAKEEGVSANATGIAPPAAVAGQTAILV >KZN05627 pep chromosome:ASM162521v1:2:25730706:25738604:-1 gene:DCAR_006464 transcript:KZN05627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRARRATLPPAQENIDKLDNIVKQGNSYGAQQMYKSITARYVAAERYSDALEIYQAGACVQLEHEQVTCGAELAVLLVETLVKGKFPYGEDTLERIRRIYEKFPRIPVTHNLDMSDDDMQRVHEALGAAKTRVECCSSFLKAAIRWSTEFGAQRNGSPEIHDMLAEYIYSESPEVDMAKVSFHFVRGKDLEKFASTLVNFTGKCYPGEDDMAIARAVLLYLSLGNLGDANDLVDEVQKQMQDKELDYPHSELIEFINYLLETLQRDALPLFNRLRQTYASSLDRDPALNELLDVVAEKFYGVRRRNPMQDMFGDIFKMMGGDVM >KZN04171 pep chromosome:ASM162521v1:2:2932894:2933334:-1 gene:DCAR_005008 transcript:KZN04171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTESSNTVSSRMGTKASIICKCGFECPIWTSKKPNSKGRSFFGCPLYKDKDKYCGFFQWCNEDSDQGIKSSHDLNTRTELESMKVALLEAKIGELEAVKKMELGEVNFQLKMKTKHIELLEFQLACFKFFIFLLVCGFVIILNR >KZN06594 pep chromosome:ASM162521v1:2:34213639:34218457:-1 gene:DCAR_007431 transcript:KZN06594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIFVVMLHMGVVVTAHSLSTVLVGCAQLKDGRLGGQVHGLCLKTGFELNDVVGTSLIDMYSKCLDVEASRRIFDRMVERNIVTWTSLVGGYSLTQQSDEAMILVRDMLRWGVRANFVTYNCLLSSFSFPEDFNHFKQVHCCIIREGLESNRYLSVSLMTLYSESGNAENFLNICSTLKIWDQISWNAVIAGFAKLGKGEDALDCYFKMRQEGINIDVYTLSGILKAMGLIAALEEGRQTHAQMPSLVECAIILVSKQDVDAWKSGGEDLIFAVCRVRLEWTGSQMNLPLLLDSKKFSSSSKTSQNTPRTAKSQNSSGSATFRTPRSTASPTFQQLLQAGDATLWPSSPFAKHNDYDGGHSPTKKLTVISKVKEKARKWRRSLSVRRRQSQSTNLHNTRSWGASTDDHEDEGEEEDPEYLGAPMYESELAPENYKQAAKQKPRAVPMASEKHVLESSVRNNDKNQEAGTSSSKTMTESVTEKLAPAYGAVSDATQTIASKIADSVGISGPTDTSKDGNDGTDKAESSMEGRVHGQSNSPGKSKGVSVTEYLMKKLEPGEAEKALSQVITEKITPSRSPGGDQAGMVERLKGAVTSFLITEPTEPSSDLEIRKNLPPVNAPNSSTNATSSSEASTSISPKKTPSPRKLVYKPQNPSSPHYNGNSSSHTSKSNTKEKTSHDNPSSAPKNAVSMNVMRQVRYVTSTGQVDQRRLCRGQS >KZN07643 pep chromosome:ASM162521v1:2:42393192:42393582:-1 gene:DCAR_008480 transcript:KZN07643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSTIVCLRYKIVVLSGDEIEALNFVLLDRSARRIVGQTVTKLISDNLQNSSSSGYPAKIRDMIGTEYTFDIEIRNNNVVEIFYFNDAFDTSNSSGASLS >KZN06920 pep chromosome:ASM162521v1:2:36880112:36881165:-1 gene:DCAR_007757 transcript:KZN06920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKMNANRMVVGTLRGFDQFMNLVIDNTVEVNGDERNDIGMVVIRGNSVVTVEALEPVSRGQ >KZN04765 pep chromosome:ASM162521v1:2:15474582:15484821:-1 gene:DCAR_005602 transcript:KZN04765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQQQSILLDSAARFPPPQGVKFSYGTSGFRAEASILESTVYRVGILAALRSIKTQAVIGLMITASHNHVSDNGVKIADPGGEMLSQQWEPFADSLANASDPEHLLQLILEFVKKENIQFEGGKGAEVLLGRDTRPSGESLLEAAKQGIRSIVGAVAVDMGVVTTPQLHWMVRATNKGEKASEHDYFDQLISSFRCLLDLIPQGAQSSNKEKMIVDAANGVGGLKLEVIKKSIHGLNFEVRNTGKEGVLNDGVGADFVQKEKVTPYGFSTADVGMRCASLDGDADRLVYFTVLPNSSGKIELVDGDKILSLFALFIKEQLSILEEANNKKVNNSYEAHLGVVQTAYANGASTDYLKQAGLEVVLTPTGVKYLHEKAADFDIGVYFEANGHGTILFSSNFLSWLDVRVNELGSTAKGSEQLKAALRLLAVSKLINQAVGDALSGLFLVEAILQHMGWSIHKWNELYNDLPSRQLKVKVVDRTAVVTANAETEVVSPPGIQEAINVLTAKYPRGRCFIRPSGTEDVVRVYAEASRQQAADDLASSVAKLTDQFLGSH >KZN04997 pep chromosome:ASM162521v1:2:18812146:18828156:-1 gene:DCAR_005834 transcript:KZN04997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHVIVRMFDVTVWFSCCVYSEMRSGGEASRQVNPKAIDFISAVSTLHGHNCHDLGKLLKESNNNTLKLNTEYGSSIQIDIEELAKLPIHLMAKLVQSELDEEILRYALFGIRLIRNLYDATPRHIKLEQIMLDDIPLLKQLVQLIFYVLISLNGYLKANQPSTPVMLLHSTIVGSSLLLFDKFVSRQQSEVANIIVGHPKVDVFMDAAFSAVRAYVEALHIKISGESNNYSDSISEESIKHLCVQCEVSLTFLHSLCQQKNFRDRLVQHKVLCGQAGILLLVQAVLNLDISPFPDAHFVGGALSRMKSRVLSIMLHLCEAESISYLDEVASTSESLTLAKSVAFKVLELLKTLFSKTQNEPSTCSDKDIPKGHLQLYAMRLADVFSDDSNFRSYVTLHITEVLTTLFSHPNGEFLSSWCSSDLAYEEDATLEADPFLAAESVFNFLSSKPTPVTCSQYAFIPCNMPRASYAHQRTSLLVKVIANLHCFVPDICKEEKDLFLSKFYQCLLTRLSKTSDELFCNSVAEKVACISKNFHSLLSHAESLIPSYLNEEDVELLRTFINQLEPLLRTLDEKVAQSTGGCSSVLSVKASPAPDHNNGISDLKEGVCKDSALQEVDQSYVGKGSDQADDESREYRSRDKDKPGRIAACGLREKIAQNVETSGSDSSDTRGKNFIDQIDDVQDDEKAGNIKFAEQQRRKRKRTIMNDRQTGMMEEVLKDKPDMQRHRDELQLWADRLNNRRAKLARVAKDVRGLPEGDNSHNDKQGGSGVTVLNGSSETPVEDPCLPWAQKAGIRTETGGIIPRTNCNKNPKNVVAELVDIAPVEGQYVMVVDGQGTEVGKAKVFQVNGIWLGKNLEELGTCVVDIIELKGERLTRLPHPYEDTGTSFYNAEKQHGVMRVLWDTKKLFVLQWR >KZN07577 pep chromosome:ASM162521v1:2:41970809:41972462:-1 gene:DCAR_008414 transcript:KZN07577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHHHLKEQQSTHKQDPKNLNFLFKKMTRGSLGVHLIAAKGLDNSDFLCNMDPYVIITCRTQEKKSSVASGMGSEPEWNENFVFSLSSDVSELSIKIMDSDAGSADDIVGEAKIPLDALFEEGSIPPTSYNVVKDEEFCGEIRVGLTFTPEEVNEGSQEEESYGGWTQSSYQE >KZN05372 pep chromosome:ASM162521v1:2:23161207:23166428:1 gene:DCAR_006209 transcript:KZN05372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKIGQRVHSVGDCRRIGTVKYVGEVEGYSGEWVGIEWDNDAQGKHDGSVNGVRYFQAKSATSASFVRPHKLSSGISFLQALDLRYRSTSTKEEEDEMYVLSATNRRVSVQLLGKDKIQNKLNCFEDLTSASLFYLGVSSCGPPSELSVSVPNLKELDLTGNLLSEWEDVGIICNELPALVALNLSYNRMSHHVVGLQLKNIKILVLNNTGLNWTQVELLKDSLPLIEELHLMGNKVKNIQPTSSTTVQGFIHLRLLNLEDNCLADWNEILKLSHLQSLEQLHLNKNDLNCIRYPDNVAIQKLASGCESFEKDIVPFQNLCCLLLGGNNIGDLASVDSLNSFPRLTDIRLSGNPVSDPGKGGIARYVLIARLAKVHILNGSEISPRERKDSEIRYVRSVISELQGNQEVIKQRHPRFFELKTYHGIEDERPSSQATVPQKMASGLLSITLKCVGASIGEKPPMTKKLPASTTVGKLKNLSGSFFRFKAIKPRLFLQEEGSPLPLVLDDDMASLTEAGVCNDSTILIDEEN >KZN06695 pep chromosome:ASM162521v1:2:35065753:35070612:-1 gene:DCAR_007532 transcript:KZN06695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKENVDDDESRRVSQGKDRFGFTKLELGSTDVIVKSTPPFKEERRNRKWRRMIGIGSSDWKYYARRKPHVVKRRIRKGIPDCMRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPTHVFFQQRHGPGQRSLYNVLKAYSVYDKNVGYVQGMGCLAGLLLLYMCEEDAFWLIVALLKGAVHAPMEGLYLAGLPLVQQYLFQFEQLVRVHLPMLGEHFTQEMINPSMYASQWFITVFSYSFPFPLALRIWDVFLYEGVHIVFKVGLALLKYCHDDLVKLPFETLIHALRNFPEDAMNPDTLLPMAYSIKVSELLEDLKQKYEQKNGKLPGSGINQKQEPP >KZN05856 pep chromosome:ASM162521v1:2:27785433:27795841:1 gene:DCAR_006693 transcript:KZN05856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSITCQLHNIEGVNSAQVEKACQAISRIKLSSNKYIKPGRSSPLVKDVGTIPLGDATRKIPQNLGGANGNFLGQMPPYISSNDSNTTPDKPKGMASSYPSGINGIAEAGKVACRQSNGQAPIHNHSHPNVTDGLYNQSTHASTVNGFQKHFPDDIDDDDILGSLDVDKIVMEHYQSSGTPQPLMSKLPSITPTGSKDNNTRPEESCLPPELCVNCSHGFKLPPIFILAQLGLCYEAAKHLQSMKDMLISISNDLLDNITDLNSEQIGKLHQDRAQLKKQIQQLEKHLHTTSVEEERRKSQFSASTASSRTIYSETPSAAAFHIDPMRLDTQFHMRNEPDGFDRWNSSYGVPPTPVEREPYIPKYIDVNYIEGSNDKKWSSLSFPWTKKLEVNNKTIFGNHSFRPNQREVINATMSGHDVFVLMPTGGGKSLTYQLPAYICPGITLVISPLVSLIQDQIMHLSQANIPATYLSANMEWTEQQDIFRELCLDSCRYKLLYVTPEKVAKSDVLLRHLEKLYSRQLLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPDTPVLALTATATSSVKEDVVQALGLVDCIIFRQSFNRPNLQYSVMPKTKKCVEDIDSFIKKFHRDDCGIIYCLSKMDCEKVAEKLKEYGHKAAFYHGGVDPSERAYVQEQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQLSSCVLYYNYSDYIRVKHMLSQGASEQSSFSSGYSRTSTTNHGRVLETNTENLLRMVSYCENDVDCRRLLQLVHFGEKFDSGRCGKTCDNCSKVQSFIEKDCTLIAKQLVELVKLVRQQFSAAHILEVYRGSLNQFVKKHRHDSLSLHGAGKHLAKGEASRVLRYLVTEDFLFEDVKKSDAYGSVSSVVKVNESKVYNLCAGGQTIKLRFPTSTKSSKSGKNDATPAKALLTSGKNSLPLGDSSAQSQAESGISAKLYPALRQLRTALINEAGQNVFAHHIYNNATLQQMCKKIPRSKEELLEINGMGEVKVARYGDLLLQTIEATINEHNKTTKISSSSNESTDSGKRRRNRSNNSNENMSNDDVIDSTGRSKKRALSRPNQPVNIIDYEELADSDLIECVEFMESNIDIENDGSRSNQNSGGRVLPSWSRTAGN >KZN06721 pep chromosome:ASM162521v1:2:35316287:35318017:-1 gene:DCAR_007558 transcript:KZN06721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGTVVWDPWLIVAQIVGIQCLYYLTLGAFLTIFVGTRVSRMTLVYFFDYATVTASTATGWCVIVSILLSAVAGAVYLVYSIERAKKCLDFSATLYIIHLFICIAYGGWPSSITWWAVNGTGLAVMALLGEYLCITRERREIPITRFRSSGLAIVCEMFPIKNWL >KZN07350 pep chromosome:ASM162521v1:2:40229785:40234629:-1 gene:DCAR_008187 transcript:KZN07350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDGFRPPFTAVQWQELEQQALIYKYLMAGLPVPPELVITIRRSLESLSARFFHHPTLGYCSYYGKKFDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQSASQSLSTAMSHIATGSSSGGSFQSSGSGNFQNLPMYPNVNTETLCYGSNLSTLQMEQSSPYGIANKEYRRYLGGPTSDTHAHNFSPEVSGSVRGLGLDTDIDNAWRLMPSQVHSSSSLKPRHDSNSQGQQAYELDATMSKQEQQHCFFGDKISSLGPVKQEHQSMRPFFDEWPKTRESWTELDNVSCNKSTFSTTQLSISTPMEPSEYSAGIGSPDGDFKIL >KZN07798 pep chromosome:ASM162521v1:2:43645421:43647150:-1 gene:DCAR_008635 transcript:KZN07798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFILKLEVEDGRDKRKALKIVSTLPEEPKKEEGKEEPKKEEGKKEDPKKAEEPKKEEGEKKTEQPQPMAMAPKIIPYRPYYPPGNAYYPPHVNTYYPAMNTYYPPPPVHTYYNPPMNTNYYAQPVVEDHSPSTCVIC >KZN05756 pep chromosome:ASM162521v1:2:26975932:26976223:-1 gene:DCAR_006593 transcript:KZN05756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQKCRTEVLKAVTKVPGVDHVSANVENEVVTIIGDVDPVLVTSKVRKAGKRAEIIGVGPARREN >KZN05768 pep chromosome:ASM162521v1:2:27090558:27091022:-1 gene:DCAR_006605 transcript:KZN05768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENIWEEVTERDHLLQFDTDEFVIIDNTSSSEASIFPPDNHQDLPLTTPDYSESEEDISHKIHQVPQGGGDDGRWKECLKIINSGIFRVAYKFRFLAVYVAKLWPLAAAGLVVIVLYRKMKKWGRRPEKYEDHWRLLSREKDQVRLALTVTFK >KZN04428 pep chromosome:ASM162521v1:2:10614505:10616796:1 gene:DCAR_005265 transcript:KZN04428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSAFSVFLFSILVAHSHVSASSQTCDFPAIFNFGDANSDTGAFAAWFFGNPPFFGQSYFNGSAGRVSDGRLLIDFMATDLGLPFLHPYMDSLGANFSHGANFANILSTIALPTSNIIPGVRPPRGLNPVNLDIQVAQFAQFVNRSQTQGEAFANFMPKQEYFSQALYTLDIGQIDITQEFLTNKTDDEIKAVVPGLISSLSSNIQILYSLGGRSFWIHNLGPNGCLPILLTLAPVPDDQLDSAGCAKRYNDLTQYFNSELKKGVDQLRADLPSAAFTYVDVYTAKYSLYQEPAKYGFTHPLETCCGFGGRYNYGEFSLCGSTITVNGTQRTVGPCPNPAEYINYEGQTYTQAADQITFNKISTGELSDPPNSLKTACPKLSLPRVSDI >KZN07443 pep chromosome:ASM162521v1:2:40889433:40890777:-1 gene:DCAR_008280 transcript:KZN07443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPLAPYPLPPAPYTPPANGTENAQLVCRGCHTLLMYIRGATSVKCTCCHTVNLAMEANQVAQVNCGSCQMLLMYQYGAQSVRCAVCHFVTSVGVII >KZN04306 pep chromosome:ASM162521v1:2:7327523:7328766:1 gene:DCAR_005143 transcript:KZN04306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRINPFAREQDDYDYSPDYSEDSPPSGLFSDSKMASTSSPRKSRRGLQKRVVSVPIKDVEGSRLKGEISTPPSDSWAWRKYGQKPIKGSPYPRAYYRCSSSKGCLARKQVERNRADPTMLMVTYSCEHNHPWPASKNNQNRNRNQSENRTQNLDNNPVSTATTTPVSISISSPNVTTSNSDDDQISPNFVMDEEFTNFDSEFGWFTNFETTNSSTLLESPTSARDGIRDADIATIFSTKEGDESFFADLEELPECSLVFGRGVIGVS >KZN05534 pep chromosome:ASM162521v1:2:24813973:24814470:1 gene:DCAR_006371 transcript:KZN05534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEIKHANHSDSVAAERVGAEVVHGAEACYKQSIELLEELGFPKGVLPLRDLVECGRVSETGFVWMKQKAPYEHFFAATNTRVSYSTEVTAYVEKSKMKRMTGVKSKQLLLWVPIVEMSLIGDVDDKNSKIYFKTPMGIGKSFAATAFMDEEEKHKYLLDSGKN >KZN05590 pep chromosome:ASM162521v1:2:25374091:25375425:1 gene:DCAR_006427 transcript:KZN05590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSSKKKTLAAVISPHRRRSRSNSQNLQSSSTNPSTPHNFSRDTYSSGGSSYNIKDSYQSSASSKGSLASLRESLPSEQAHVYDLSEICAATNNFRSSPHSKSSTSTAWRCRLRGKDVIVFQRKFRRVIDCEKLVERNPNFTVLSKWMSRIQIATDIAHGLDYIHHSTGLSQCFVHNHIKSSSIIVTEPELNAKICHFGTAELCGEIVDEELESRSSNLRRSDSRVMKFEGTRGYMAPEFQTSGVATQKCDVYAFGVVVLELLSGAEPLKYSYGGDGGYVRVSVIDTAREAGDGGAGALRRWIDKRLXDGGYVRVSVIDTAREAVDGGAGALRRWIDKRLKDSYPVEVAEKLTRIGLECVEDDPNKRPEMGWVAGRISRLFLESLTWSEKMGIPVDTASFAPR >KZN04288 pep chromosome:ASM162521v1:2:6542649:6543050:1 gene:DCAR_005070 transcript:KZN04288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSELTKEQRDWVTRAGFGLLLDFELDILLTKIAYNVLQIFDHHSVSLKLKDAEIQITSEDVYDVFGLPNGGHPLILASPGKYNERIKNWHAQFTFPDQITTQMIVQVMKNQEVNDNFKLNFLVVMSNVLIGT >KZN07118 pep chromosome:ASM162521v1:2:38507938:38509364:1 gene:DCAR_007955 transcript:KZN07118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKASQSLELIESVNEERVYSEEFLDLLSTLPKERDVFGDNFYQYKGFWFHIKPLHGLVESLKHFQPRKNDVFLVTAPKSGTTWLKAIIYTLLNRPVHHPQDPHHPLLTKTPHQLVPFLGLLKPSEYDLISNSPDSSTRIFGLHMPIIGLPKAVIEDNGSGNCKVVYLCRDIKDTFVSFFHFLNQHLEPSNNCLENLFDLYVRGVSPGGPVWDQIMGYWKGSLERPDKVLFIKYEDMKCEPHVQLKRLALFLGKPVSEEEENTGLLDQIISLCSIDNMRKLEYKYKCALQFCVGDNPAMQWSRDLRCGLQMDMPM >KZN05654 pep chromosome:ASM162521v1:2:25999123:25999482:1 gene:DCAR_006491 transcript:KZN05654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTRSMSKADTETATDTQIVGRASKQTRKKATKNADCYFKCSTLDSKAKKTVSPTIDSEAMFIFMEDYMIRHPVQDFLQFDLIDSAGQKEWRQMSDLQKAPFVKTAMERREKRAKPAH >KZN04519 pep chromosome:ASM162521v1:2:12220149:12230417:1 gene:DCAR_005356 transcript:KZN04519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFQGGLHGVLGGNYGSNSGPMQHPVQSRKFTDLGQQHGSPHSREEAQTRSQGFDQQVLNPVQQAYLQYHSAQQKSALGMQSQHLGMVGHSGKDHSLQMGNMKIPDLMSVQATQAPSLLSNKQSEHFVRGEKLTEEQQQISDQRSDSKQPVVQTSLGQLMPGNMMRPMQTPQSQQNLQNMGNSQLAMAAQMQAMQALALERNIDLSVPANANLMAQLLPLMQSRMVAQHKANESNMGAQSSLIPMTKQQVTSPQVANESSPRGNSSSDLSGHSASGKAMRQAGQPGLFGASSPSLVHNSNNPAQPFSGRGSDNQLSSRQPTMVNNASMHPPHTSVNLNQGGDHSAPGKSKLPGPEAMQIQYNKPIRRSSPLSTAPSSEGKSVNPASSQGGSLPHLQQPLGFTKQQSHVLKAQILAFRRLKKGDGTLPRELLQAIAPPPLENHMLQMPHPGATDTSAGKNTDAEDMRHIGFSEKDLHTGSSTAGLTSLKREVVAGDEKTISSRQIVPAVSKEPSSSVPSREEEHQTLFSAKIEEGEEHGISEDTITRSDMHVNKGKAVAIPAPLPETGQLKKPVQASTPQPKDAGTARKYHGPLFDFPFFTRKHDSFGSSAISNNNNNMTLAYDLKDLLFEEGKEVLNRKRTENIKKIGDILAVNLERKRIRPDLVLRLQIEEKKLKLLDVQARLRDEVDQHQQDIMAMPDRPYRKFVRLCERQRADLSRKVQASQKAIREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLKEFSKRKDDDRSKRMEALKNNDVERYREMLLEQQTSIPGDGAERYAVLSSFLSQTEEYLHKLGSKITATKSQQEVEEAANAAAAAARAQALNLPSLGLSEEEVRAAAACAGEEVTIRNRFSEMNAPKDSSSVNKYSSSVEVIANRKKLKLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGQKDQRIKLFSQEVCAMKFNVLVTTYEFVMYDRTKLSKVDWKYIIIDEAQRMKDRESVLARDLDKYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQREGLPQNVEDDWLETEKKVIIIHRLHQILEPFMLRRRLEDVEGSLPPKVSIILRCRMSAIQGAIYDWIKATGTLRVDPEDEKLMSQKKPMYQTKVYRTLANRCMELRKTCNHPLLNYPYFNDFSKDFLVRSCGKLFVLDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGSTSLEDRESAIVDFNSPDTDCFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPKNEEQAVARAHRIGQQREVKVIYLEAVVDKISSHQKEDEYRSGGTVDSEDDLAGKDRYMGSIEGLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLESMLHDEERYQETVHDVPSLQEVNRMIARSEEEVELFDQMDEEFDWEEDMTRYDQVPKWLRASSKEVNDTIAKKSSKKSALFGGNIGAESNELEKKRGRTKGKKFPVYTELDDEIDDFSEASSEERNGYSGNEEGEIGDMEDDEFVADAPADNDNQPDDGTLAAEVYEDPRPSESHRPSHMPEEAGSSGSSSGSRRLIQMVSPSISAQKFGSLSALDARPGSVPNRLPNDLEEGEIALSGDSLMDRQHSGSWNQDRDEGEDEQVLQPKIKRKRSIRIRPRFATEKPEEKSIEKLSLRRGDSSQVPSQIDHKYESRVKNERDQKLIVEPHSQKLEKIDPSLKTKRGSHSRKNSNSGKVHVSQKPGKVNVLSAPSEDAIEHSRESWDKKAMHKAGNSIDNKMSDGIQHKCKNVINKFQRRIDREGHQIVPLLTELWKKSETTGYMGGSSQLDIRKIYQRLENFDYNGVMELCSDVQLMLKSAIQYYGFSHEVRTEARKVHDLFFDLLKVTIPEIDFREARGAVSFSGHAASSSAPPSRQILAGQGKRQKQTIEADPDHNHSQKSLSRASHTNEDSRTRSHIPQRETRFGSSNSNKESGQHEDSRLFAHPGELVICKKKRKDREKSVIKSGNGSAGPVSPASVGRIRSPGSGSVSKDARLTQQAPPQQGWTNSPQQANDSRSGGIGWANPVKRMRTDTGKRRPSQL >KZN07001 pep chromosome:ASM162521v1:2:37515066:37521538:-1 gene:DCAR_007838 transcript:KZN07001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAANKSKEAAAEKPILGRFSSHLKIGISYMYFNVYLLWYKVRSQEGYDVICLIDIGVVFLFRSCSSIHKSDCCARSDGFQVGMPNVGKSTLFNTLTKLSIPAENYPFCTIEPNEARVYIPDERFKWLCQLYKPSSEVAAFLEIHDIAGLVKGAHEGQGLGNNFLSHIRAVDGIFHVLRAFDDPEITHVDDTVDPVRDLETISQELRLKDLEFMARRLEDLEKSMKRSNDKKLKIEHELSLKVKSWLEEKKDVRLGEWKAADIEILNTFQLLTAKPVVYLANVSERDYQRKKNKFLPKIHAWVQEHGGEIMIPFSCVLERNLADMPPDEAAKYCKENELQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFEDLKELGSEAAVKAAGKYRQEGKMYVVQDGDIIYFKFNVTNGAKK >KZN05622 pep chromosome:ASM162521v1:2:25689284:25689982:1 gene:DCAR_006459 transcript:KZN05622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYTQKNYYQVQLHEKNSDTLKDEEDYENEDVISFCDLPMYNSSTAHDSSIDHHEDSFKESCDSKSSSDEDRDSLFEFFSDEWNVNDNTVNKNSSSYVNNENILFFGKILEKSSRYEAGGNRLIVSRSRKNMVKKSEEVVVPKVSVYTVPLKSKLFLVLFGLPPKVPKDRTEFMSDIRNRQSRHAPTTFFPTEGGAGDVVPVRRRSGENGVWRFVKAATCFGGQKHTNVIE >KZN04725 pep chromosome:ASM162521v1:2:15062906:15069317:-1 gene:DCAR_005562 transcript:KZN04725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPFVVDKGCCSLVGNRNYSLPFLSFSVKNSIFKIKATRKDYGQVGGADSDGDGDAIQAAINKTHKLLAVQKQLINQIAERRKLVSSINNAVNDAEFSKQGDGSFPSLESAEGRGSDTFEEGYAPDFLSGSSHDVSVDESQEDPSPTISEDYDEHTNQIGKALIFENSVDYTSSKELITPPSKQVYHNELPPFLSAASSSTPQYEKYERLKDSKVEEPHHEAKDVYVKNPPLAGANVMNVILVAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVVPLYGNYAEPKNTGIRKLYKVDGQDMEVTYFHAYIDGVDFVFIESPQFRHMGDNIYGGNRTDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMKYTRAVLVIHNIAHQGRGPVDDFAFVDLPAHYLDLFRMYDPLGGEHFNIFAAGLKTADRVVTVSHGYAWELKTPEGGWGLHGIINENDWKMKGIVNGIDTQDWNPQIDIHLKSDGYTNYSLDTLQTGKPQCKAALQKELGLPVRNDVPLIGFIGRLDQQKGVDLIGEAVPWIVDQDAQLVMLGTGRPDLEQMLRQIESQHHDKIRGWVGFSVKTAHRITAGADILLMPSRFEPCGLNQLYAMSYGTIPVVHAVGGLRDTVEPFNPYEESGVGWTFDRAESSQLIHALGNCLLTYRQYKSSWEGLQRRGMTRDLSWDNAAENYEEVLVAAKHHW >KZN04269 pep chromosome:ASM162521v1:2:5813937:5818425:-1 gene:DCAR_005089 transcript:KZN04269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMIINVARRSSTQDVPHLSFEALFNKTDESGCTVLELAVKGNHVNVVELLLEEDPPYQHGPGSKSESLLPLIYRAMDKEYIDIVKILSKPYEAGGHKGAVALILAIKRRDKVSVLHLLGENKHLASFVDHQGWTPLHHAAYYEFDSILDVIITALKDVGYHFVYEDMITPFHLAAEGGYTSTVIQLMQIWPVSSSEYTTVNKRGQNVLHLAATKNKKEMIQGILKYCPQQYKDKILNEQDDNGDTPLHMLVSRGCFIPELMKHNGINTMVKNNKYWTPRDMLYLEDDIIADQVQIKIVLDDVQTDWIMDLSESLVLPTTEEWKDAVGMIIDAFKHWNSEEDALFNSTDEFGNTVLQLAVERNNVGVVKLLLEEDPAYQNCRGSKKHGLMRLIYKAIDNEYSTDIVKLLSETYEAGINPDHKGVLTLILAIKRRDKDSVLGLLKGRKHLVTFSEAEGWTPLHYAAYHEFVSILGPLIEAQKDVGYQFVYRDMVSTPFHVAVEHGYTSTVIQLVKLWPSTSSAYTAVNKDSQNILHLAAAKNNKNMVQGILKHCPQKYKDQLLQQQDVNGDTPLHLLISNGCFVPELIEHKGLNTMVKNKKSWTPRDMLYSKDDIIAEQVKIKIALDDVQSNSSRKFWRKSMKKDTDILESSVLPSKREKKDFIFDKYTKILIDEKNAQMKKDLERYKKRTNTQIVVSALITTVTFTVGFTMPGGLHQSGEVDEGLVILSKKTAFNAFMVSDALALLLSTCSLFLYFLESMYEDPRQVSKLNAASTGLNIVSVMAMMLTFITGTYVVLSHSPAIAITVCLIGSFFFLFVIVLLIKMIYDRQVKRNAD >KZN07572 pep chromosome:ASM162521v1:2:41916229:41922698:1 gene:DCAR_008409 transcript:KZN07572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLPLPPTTSINTSDFKFSSPIFSHPRRLSTLSLPFKSKRFVLYASKDEPSLNEWDQMELQFGKMIGEDPKLTLAKIMGKKYDPDISDLEIEKMFYKKGGKGMTYDISEVTFDVPKKRQIQSSRSLDGLNLVRPMPKKGVKFEVDNKPNVSGLKRPSLPAVRVVNSTKVRAPDVTLRKPSVYRSGMKVAVTEASKTIPDSSKFAEAVLHKPERLKQSEKRTSEVTKNEKNLANTESYDSTIGLEKLLTPPPLEEREDIDWKRAENLVKTGGREEVEVISSSTRGFVVSFGSIIGFLPYRNLAAKHKFLAVESWLRRRGLDPSLYKQNLSIIGSSEIATKASAPVSSLDVNFEHNANEEISSSNKIEDLLKIYDQEKLKFLSSFVGQKFKVNVLLAERKSRRLILSVKPKEKEELVEKKRKLMAKLSIGDVVKCCIKKITYFGIFVEVEGVPALIHQTEVSWDATLDPASYFKVGEIVEAKVHQIDFAAERIFLSLKDIVPDPMIEAMEAVVGNHDSMGGELAAAEADKEWADVESLIKELEQFEGIQAVSKGRFFLSPGLAPAFQVYMASMFENRYKLLARAGNRVQEVIVETTLGKEEIKSAILACTNKVK >KZN06579 pep chromosome:ASM162521v1:2:34149454:34149948:1 gene:DCAR_007416 transcript:KZN06579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGIVNVNSLFTLALFLGLAFNPSDTTYTLVDAKSCRASSSMAEHLVSFHVYSFSSFLFSSLIASSLKLTIRNAKDGNNDVEVNHVARVNMKILRVGILASAAGSVSGCVFLMLALVNMVQIKLGILSCWSWYTVSAIAPLVTLAPLALLIYICVVLHAFTR >KZN04364 pep chromosome:ASM162521v1:2:9299925:9301469:-1 gene:DCAR_005201 transcript:KZN04364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQELSEKRGVGDGVDLGLGKGLVSNEMVENSGVFDDRVAVEMTREMRVVEEKGCENRDLVDHDGKVKGVDDGKCPSCVIDVKTGESSDVEWVCRICHLSSEQSLETTSTSTQNERELIQLGCGCKDELGAAHSYCAEAWFKLKGNRLCEICGQTAKNITGIADNRFMEEWNNRILSGYGIRSSERNGGCWRGQPFCNFLMACLVIAFVLPWFFRVNMF >KZN05004 pep chromosome:ASM162521v1:2:18889288:18889868:1 gene:DCAR_005841 transcript:KZN05004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRAKRKRYLELQDVLVSKVQSLNLSSSDLDTDVIAANEDFGLMYKSMEDRQSELYSRMLGIAEKSGELHSILKDGLRADGGSSSTDKTFALPEEYKGDVGRFVEDSRASVNGHARLLKDQTTEMCAAFETFVEEWTKKLKDLKDAANEVGAEHENLSAMLTDFLLNV >KZN06141 pep chromosome:ASM162521v1:2:30481644:30487299:-1 gene:DCAR_006978 transcript:KZN06141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTAIAPWKQLLLNAMQSNSHLKHSSYFQLATIGINGRPANRTVVFRGFQDNTNKIQINTDSRTHKIEDLKQCPFGEVCWYFTDSWEQFRINCVVDVIDGSNPDPIKLQQREKAWFASSPRSRLQYVGPYPGLPSISEQSSEESSLDDSTGPVDAFCLLVLNPEQVDYLNLKENKRVNFTARQSNESEKLWNSERINP >KZN04763 pep chromosome:ASM162521v1:2:15463574:15466388:-1 gene:DCAR_005600 transcript:KZN04763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKMAKSARENGDNNIPEDANEHCPGPASESAGKSDACAGCPNQDACASAPKGPDPDLVAIAERMATVKHKILVLSGKGGVGKSTFSAQLSYALAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGIIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLQETGIDGAIIVTTPQQVSLIDVRKEVSFCKKVGIQVLGVVENMSGLSQSVPDFKFARMSTIAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGIIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLQETGIDGAIIVTTPQQVSLIDVRKEVSFCKKVGIQVLGVVENMSGLSQSVPDFKFARMSTIGEEVDVTEWAINLMKERAPELMNLVACSEVFDSSGGGAKKMCVDMGVPFLGKVPLDPQLCKAAEEGRSCFSDSKCRASAPALKRIIEKLVATQMPDMINDA >KZN07765 pep chromosome:ASM162521v1:2:43431193:43432283:-1 gene:DCAR_008602 transcript:KZN07765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGTLDKCSVCDKTVYFMDLLTADGITYHKSCFKCSHCKGTLVMSNYSSMDGTLYCKTHFDQLFKESGNFSKNFQPSRSRENSMTKSSSKLASLFSGTQDKCSVCHKTVYPLEKITMEEVALHKSCFKCAHGGCFLTPSSYASLDGIFYCNNHFSQLFMEKGTLSHVLEAAKTKSAAPSAVESEEEENKDDEPVPQVESEEAEKKEEDQPEDKPEE >KZN07714 pep chromosome:ASM162521v1:2:42988723:42991747:1 gene:DCAR_008551 transcript:KZN07714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIGKRAIHYLHKLSAANIPTDLIEKGQNRVIDASLTLIRERAKLKGELVRALGGAVASSSLLGIPLGHNSSFLQGPAFAPPRIREAIWDGSTNSTTEEGKELEDPRVLTDVGDVPVQELRDCGVNDDKLMHIISESVKLVMEEEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYHAFEGNKYSHASSFARIMEGGYARRLLQVGIRSITSEGREQGKKFGVEQYEMRTFSKDRSFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVGADVVEFNPQRDTVDGMTAMVAAKLNVSKVRSSICDSLSTSSSGSYLIVSALQLHSVIWKSGIRFEIAKLISRFGIHLQTLATLKQQYEVVSTLIKLIRKA >KZN05389 pep chromosome:ASM162521v1:2:23334554:23335696:1 gene:DCAR_006226 transcript:KZN05389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFPEDRHLLLPAIPVSLLCPSFVGTVAVCALHLILALPQHYTSAEYFILWHVDIIEIYLAFAYSSISTKSNN >KZN06859 pep chromosome:ASM162521v1:2:36414960:36422588:-1 gene:DCAR_007696 transcript:KZN06859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRSRFVFLDVSIDGDPNERLVFELFYDLVPKTAENFRSLCTGEKGISSRTGKPLHYKGTFFHRITKGSMAQAGDLLRRDGNFGESIYGERFPDERCKLLHDEPGLLSIALADRDARGSLFSITFEANHDLDRKHIVFGKLVEGLDVLKRIESCGDKEGKPVVPVKITKCGEHRNENQYTDMRKASKMRNGKEASFEVNSRDLRRKKQHKKSSKGRRKRRSDDKRRKRKRSRRDRNRRRKKRYSRRDKRRRRRDKRSKRKSKRALGRFSGSESSTDDDYGTASRKDCKCKNPAQVTGEVDSSLLGEREDITFRYKKVDLPDMLECNEGKYPRENGQRQSSKIGMETKLDKRTERHPDSVDVHPGKNRNKSISPPRILSRSSLSPKSSLRKSPSMSPRRSMTPDRSMSRSVSGSPPRVIRGSRSFSRSPIRGGSSPARSVTRSPASPKRGGSISPVSVRARPWRSSSRSPTSSPPQESHSRSPPKTSSGKSSRKLESMSPIRSRRSTSKSPVRSSRRSISRSSGRAPSRRSSSQSPIKKNARNSRHSYSRSPSGYGRRARSPFADRARSTGRSPLSDGLSKQRIKRERGFSHSNAHKYHSSDRSPVRSYRYSRSERDRYPSHRRSPRRYRTPPRERSPIRYRSRRSRTGSRSRSRSPVCYRNRRRSLSRSPMKTRYCALPRAKRRSSRWSRSRSLSHSRSPMKTTRYRASPHAKRRGSRRSRSRSLSHSRSPMKTTRYRASPHAKRRGSRRSRSRSLSHYSRSESPKRANKERSIFSSESPPAKNGVVSYGDGSPVSGKR >KZN05297 pep chromosome:ASM162521v1:2:22281673:22284987:-1 gene:DCAR_006134 transcript:KZN05297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSHIVLFYSALFLVSFINNGALAARKTPDGSEQWGYVEVRPKAHMFWWYYKSPLRTQDPNNPWPVILWLQGGPGASGVGIGNFEEVGPLDTFLKPRNSTWLQKADLLFVDNPVGTGYSFVEDDGLFVKTDVEAATDLTTLLISVFNQDKVLQKSPLYIVAESYGGKYAVTLGLSALKAIEAGKLKAKLGGVALGDSWISPEDFVFSWAPLLKDVSRLDSEGVVKSNSLTQKIKQQIEKGQFEAATNTWSELETVIETYSNSVDFYNFLLDSGMDPVSATASELAQKITLKRYSRYLGPLRAVPGGDGDLNTLMNVVLRKKLKIIPKDVQWGGQSNSVFDALAGDFMRPRINEVDELLAKGVNVTVYNGQVDVICSTKGTEAWVEKLKWEGIKTFLSMKRTPMYCDNEQITKGFTKSYKNLHFYWILKAGHFVPVDQPCIALNMVGNMLKQ >KZN05450 pep chromosome:ASM162521v1:2:23989252:23989464:1 gene:DCAR_006287 transcript:KZN05450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQEKLNKAFTLTGIREGRCLDRLVIQRKFLIFIQTPKMELQVPTCLLSLQDTCSTGLHTHKLLISNKG >KZN06714 pep chromosome:ASM162521v1:2:35231564:35237265:-1 gene:DCAR_007551 transcript:KZN06714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKKALLEESEIVKQLDTKRNAFKETRMGRRPSSPPISTKSSFVFQPLDEYPTSSGAPSMDDPDVWRPPSRDTTSRRSTRASQVGMRKSGQDDNWDRGPTRSGTTGRGAKAGSNRANSGARSTTSTTTGRKGNGPGKSNSGKADSVNGDSEDGKSKRGVYEGPDQDLAAMLERDVLENTPGVKWDDVAGLSEAKRLLEEAVVLPLLMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFELARAYAPSTIFIDEIDSLCNSRGASGEHESSRRVKSELLVQVDGANNTGTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPDAESRKELIKINLKTVEVASDVDINEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDQIKNMSKEEISKDPVAMCDFEEAIAKVQPSVSSTDIEKHEKWFSEFGSA >KZN07636 pep chromosome:ASM162521v1:2:42368388:42368768:-1 gene:DCAR_008473 transcript:KZN07636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNTSSLTLLLSLNLLFFAVVSATDSVPNLPDSATSSYYSGGKCDLLKLGVCANVLNLVDVVVGSPPTLPCCSLIEGLVDLEAALCLCTAIRANILGIDLNMPVALSLVLNNCGKEVPSGFECY >KZN07402 pep chromosome:ASM162521v1:2:40602601:40604682:-1 gene:DCAR_008239 transcript:KZN07402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFSLRLLVLLYSIFSLALHASTAVAHNDPIIQTMEQFSGYPPIQDPPVANSEETQQNQIRIVQVSNLSLWASTRSITLFFSSCGEVESVEMQSLSEQSQIAFVTFKHSLGADCAIMLSGTKLLDLTVIITLAPSREIQNTASFPHLATEDRAATYGADSYLTKTKNVFSSMVEKGYVLGKAVAVGTSDKVQQVDQNLQVSQKVKSAVAKSAVFGSEYVSIGASWVTVTFDSIAKAAAEVSQHAKEKVAIAEEEQRRKRTAEEDQGRKTM >KZN04258 pep chromosome:ASM162521v1:2:5412347:5413674:-1 gene:DCAR_005100 transcript:KZN04258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWPASYSASVHANSPYIVVDEKGQNILNLAAAAKNRKEMVEGILEYCPDKYKETILEQQDIMGDTPLHLLFSNGCFTPELIKRKGLDTMATNKDFTPRDMLYVEDAIVEDQVHIKIALDHVQSDQSFWKFWEPPPPSKRKEKDLKFEAEEKKLKQEKHDQRKNDLEIYKNRTNTQILVTALITTVTFTVGFTMPGGLHKSGEVTEGLVVLSRKRAFNAFMLSDALALLMSTSSLFFYFLESMNEDPHQVSLLNASSTVLNILSIIGMMLTFIAGTYVVLSDTPVLALAVCIIGSLFFFLILMWIIKMAYDRWVKEIKD >KZN05266 pep chromosome:ASM162521v1:2:21887322:21889436:1 gene:DCAR_006103 transcript:KZN05266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIEGSPGSSIHEVTGREPVLAFSVASPIVPTDPTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTQGDVGNAGVASVSGSSFSRLVMGAVCDLLGPRYGCAFVIMLTAPTVFCMSFVADASGYIAVRFMIWFSLATFVTCQYWMSTMFNGKIRGTVNGTAARWGNMGGGATQLIMPLLCDLIQRFGATPFTSWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLGALQKKGDVSKDKFSKVFWYAVTNAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLGALQKKGDVSKDKFSKVFWYAVTNYRTWILVLLYGYSMGMELSTDNVIAEYFFDRFDLKLQTAGIIAATFGMANLLARPFGGYASDVAARRFGMRGRLWTLWILQTLGGVFCILLGRSNSLPIAVTMMIIFSVGAQAACGATFGIIPFISRRSLACTMPVTLVHFPQWGSMFLPPSRDVVKGSEEHYYVSEWTEDEKQQGMHQGSVKFAENSRSERGRRVASAPTPPYATPSHA >KZN06787 pep chromosome:ASM162521v1:2:35847769:35848638:-1 gene:DCAR_007624 transcript:KZN06787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNTNVNKTHNNNNNFIYNNKSTPPSSTPTPAPAATNNNIIRSNGNQACAACKYQRRKCAPDCILAPYFPHDRQQQFLNAHKLFGVSNITKIIRYLDQPDKDEAMRTIIYQSDVRANDPVGGCYRIIRELQRQIEYNRAELQLVLHQLAYCRAQNQHNVNLLHSNNSGSFEHMMATNHNDDVQQHYQERDDQVQQPDGQDQQEYVLQNGDEDCDQVQFEEINSWEGTENSLALEVKKDCDAGAENSQEDVHVKPDLDDNDKREELRYEVEEKSFDSVNKFRLKTTPAI >KZN06983 pep chromosome:ASM162521v1:2:37337519:37346968:1 gene:DCAR_007820 transcript:KZN06983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNYRKFLSLNILMATRNMFFKGTYLPRTQLLSSRTYSKLLFSNHPSKPTSKSSPFLIKSCGVSYTPHARAYSPVEVENEEVDAENSMRLSILNKKLENVGIGSGDWNPGQYHGLICPMCKGGNSGEKALSLLIEHDGNTATWTCHRAKCGWKGGLKALAGLKSSYKKMSGTTTVKKIRKITEHELNLQPLSDPIREFFSMRMISGETLRRNNVLRCTHDDKDAIAFTYRRKGELVGCKYRDENKKFWQESNTEKILYGLDDIDGATEIIIVEGEIDKLSMEEAGFRNCVSVPDGAPASVSKKEVPSEEQATRIILATDGDQPGQALAEELARRLGRERCWRIKWPKKKDDNEYYKDANEVLANLGPEALREVIDNAELYPIQGLYSFKDFTSEIDAYYHQTLDFQLGVSTGWRCLNEFYNVVPGELTIVTGVPNSGKSEWIDALLCNLCATVGWKFALCSMENKLTARVDVSNLGAQVRDHARKLMEKHVKKPFFVARYGESSEKMSPEEFEQGKQWLSDNFYLIRCENDSLPNIEWVLKKAKAAVQRYGINGLVIDPYNELDHQRPQFMTETEYVSVMLTKVKRFAQFHSCHVWFVAHPRQMHNWVGGPPSLYDISGSAHFINKCDNGIVIHRNRDLKNGPVDRVQVCVRKVRNKVAGTIGEAFLSYDRVTGQYVDSNNTCAVDP >KZN04040 pep chromosome:ASM162521v1:2:1085661:1087412:-1 gene:DCAR_004877 transcript:KZN04040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSMVVGRITDLLIEEPLLLHRVEGEIQLVVTELMRLKTFLPDADSRIDEDKIRILLAEVLHLAYSAEHAVESFLKDSESSDSSNSSNGIVGKLKRFHSFTTVKPELFVGFQGAVDLLVGHLVKESDDSHPLVSICGMGGLGKTTLAENLYNHSTIRACFDGLAWVSISQKWEKKQVLQRILVCLDHEKKEEILAMNVDSLVKNLIQIQEKKKCLIVLDDIWSNDARDSLKDAFTAEGSLSKLMLTSRNVEVAEHVNPRGFIYQPECLSADHSWELLRLKALPRGYYLEVEELLEKQQQLINTHITSSLSCITSLKLSFSDLEKDPMPALGKFPNLRHLWLDGAYEGNEMVCSAMSFPKLTQLMLMSLYKLKNWRVEEGSMPILSDLLIFDCHELEELPQGLVYLNSLQRLKFNRIPRKFFDRVVMVNGEQGPEFYKIAHVPNIIMGHLVVQ >KZN07401 pep chromosome:ASM162521v1:2:40599854:40600465:1 gene:DCAR_008238 transcript:KZN07401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQSLGSPNSKLHTNGVLIAPNDIIELTADDLKTKHQLSSQLVAGDDDEELRKSQKPQKSPEKLIHIIPMLIIFCFLILYLTSHDPSQGVNNLKGFKRNSKPVEISSEIDDLRGVSAIEKSGVLAVRSLQEEEIYEMKRSRINRKLGDF >KZN07820 pep chromosome:ASM162521v1:2:43789530:43790741:1 gene:DCAR_008657 transcript:KZN07820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRDLGRFRRELGLQRKRRFIALLKKFPRVFEIEEKGVYSLNFKLTPEAEQLYLEELKVRNEMEGLLVIKLRKLLMMSLDKRILLEKIAHLKTDLGLPMEFRDTICHRYPQYFRVVQTGRGPALELTHWDPELAVTAAELEEEEKRERESTEKDLIIDRPPKFSRINLPKGLKLSKGEMRRIHQFNDMPFISPYSDFSALRPGTAEKEKHACAVVHEMLSLTVEKRTLVDHLTHFREEFRFSQQLRGMLIRHPDMFYVSLKGDRDSVFLRDAYRDSHLVEKNKLLLIKEKLRSLVAVPRVRGYVRSDTREDQETDVEEDGSGVEDGDWSDIDGLMSGDDGEDGDDDDWSEDEDDDIPPDFDEDDKTLKLGPRKQAKEGAASAKNEDKALAPMFPDGRPRERW >KZN05238 pep chromosome:ASM162521v1:2:21570226:21574508:1 gene:DCAR_006075 transcript:KZN05238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGTPRKYYMLGGKGGVGKTSCAASLAVKFASHGHPTIVVSTDPAHSLSDSFDQDLAGGKLVAVQGLDSPLYALEINPEVSREEFRSATQNHGDTSMKNLMDSMGLGKLGEQVMQFVDSQEYSKFTRIVFDTAPTGHTLRLLSLPDFLDASIGKMMKLKKKINSATSAIKSFLGKSEPQQDASDKLEQLRERMVKVRELFRNTETTEFVIVTIPTVMAVSESSRLHSSLKKEKVPVQRLIVNQILPPSATDCKFCATRRKYVVVSKLNKAEYAIDQDQMRALDMIRKDPELASLMVMQAELTDVEIRGVPALKFFGDMIWR >KZN05841 pep chromosome:ASM162521v1:2:27676901:27678991:-1 gene:DCAR_006678 transcript:KZN05841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIRSYNVQGAILGVLCMVLLELCLVNAHQFDDTFDPITRRALVAGTQGRGGGQPVDPAQHPVAGGAGFKCVDVSNFNIKGDGQSDATDGVIAAWQEVCGCPTPSKLVIPRGTWLTAELDFRGPCAAPQIVIEIQGTLLAKPDKQSFPKGFWINIMGVHGVVINGGGTLNAQGEKIWHTRGVGEKGTPLPDSLVLAQSNDSIVENIHLVNGKGFNMKVYENENVLIQNVHITSPDESPNTDGIHIGRIKNVRILDSFLGVGDDCISIGDGSIDVYIKNIMCGPGHGISVGSLGRFTYETDVRNVWVENCTFTKTLNGARIKTFHDSPQIEATNITYCNLVMNDVYNPIIIDQNYAFNKPTPSRVKLSNIKFRNVRGTTSSNVAVSLNCSSGVPCQGVELFDIDIKYIGNNTKDRTTHCACAHANAKFGGRIFPPPCAQ >KZN06611 pep chromosome:ASM162521v1:2:34361644:34366452:-1 gene:DCAR_007448 transcript:KZN06611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYIWIQTSDGTVQEVEQGIAMLCPYIAHEMSAGLGSSKTSPISLSSRVKPNSLSLIFDYCRFHGLPGRSNKERKTFDENFLRKDTSSLCELVNAAHYLQLRPLYEKTCSAIARKIEDESPEEIRRLFNFPDDLTEAEKLEPWKNAPDDSRIRLLNRLYAKKKKELEEVENVKKLVQTRHCQLLSVWYEYLITNNKGVRTSKKKKKLRNRREGQKNAPSGCGSSNEASHSKDILENHDKIPQCLPQATFQDSNASEASYHSVQSTGDLSSPLHDTLNLLNMRDDNFEDEFDDDDDIDPAWKEMIDREVEDFARVINLSLPDRKQEIYSSLESRLATVPIEGNGSRTKVFDLCSTGHYGLEYF >KZN05116 pep chromosome:ASM162521v1:2:20265115:20266353:1 gene:DCAR_005953 transcript:KZN05116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTASALTHCRLTPPCKFALSRTSKFSLRRLNKHLECIPPNCTFSDQKSPDYASFTLKCTASKAPSNLDRKPSLHQLSLLPTRSKNRSFRPVKCSYSDSTISESRPSLFDNFKNLSSESLKETLLKLTPFDVCKLSLVLSVAIVGVKWAASVLFSPFFWMYFSWTWLFWPWMVAVAVGVYGIFCFRKHLKGEANEFEQLSIVTSAFTWLTLVPPAYFNGFLEGWPYVFFFVYHYFFFFNVSVRKRMYGDFNPREHDPKWDVSTPTWQRLLFSVGVVAGHWFAALEGPELHLIPGGWSNVGVWALIMTTLFMQYHSTLYLAKYSEKVVVPTAVVQFGPYRWVRHPIYASTMLLMATYFLALRAPVSSLFTIAICLLYYGQKAKVEETLMTDTFGKSYTEYMDRVKYKLIPFVY >KZN07389 pep chromosome:ASM162521v1:2:40505476:40508641:-1 gene:DCAR_008226 transcript:KZN07389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFSDGSSDVELRLELGDRDVQGTKDIYVDANEDFLTVRLQCSGSPKTLMETSLYDKIKPAETIWYLDESELVINLKKQDPDLKWPDIMESWESLTVGVSQLLKATSIYLVGDSTEINQKIARELAVGLGYTPLDTKALLESFTKQDVDSLLIAEGSDAVAEAEGVILESLSSHVRAVVATLGGKNGAARRFDKWRYLYAGFVIWLSQSEATDEISAKEEVRTNIQEGSQAYSNADVAVKLGGWDADYSKKVAQASLSALKSLILSDKKLPGKKGLYIRLGCRGDWPNINPPGWDPSSGVDPPAM >KZN06158 pep chromosome:ASM162521v1:2:30663308:30663637:1 gene:DCAR_006995 transcript:KZN06158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGQQKLKGMMKSKLVMSFYKASKPSPPPSQAGSVRSSLSTQKVATFTKQKSFGRSDGYVHGPDGGGGDRGVDNKASSYISYVKERRILEELVVTKNIGMKDQDKSTK >KZN05445 pep chromosome:ASM162521v1:2:23935456:23935938:1 gene:DCAR_006282 transcript:KZN05445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIPTSFPSTKSLFLNILTLSLLCSACLCKIHVDISNHLPDGSPSLTVRCQSRDDDLGYQELFPNQSSYTWAFRPAWFSTTLYFCHFFWDGKDAVFDVYNEDWGVDYCAQYVLLSGRYNIMISDTCYWQVTADGIYLSKTSDPVSSEGPWLQMHNWGDK >KZN05694 pep chromosome:ASM162521v1:2:26403692:26405833:1 gene:DCAR_006531 transcript:KZN05694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVNVLSTELNQYILKEWELRFSVLLSLLIQIFLIVTGTLRRSSQRWIDILLWLAYLLADIVAVFGLGLIVSKQSLYAIYCIEGQHYAQQRSACYQDCVHVYWAPFLLVHLGGPDFITSFSPEDNALWRRHLFYLASQCITVAYCFYQSHQFSQQLRIPAMLMFLCGLIKCSERICALYYASANSFRRSLLSLPDLDAYDLLKKDITARPRLQHLENMNSSLSHLEVLQYAFVYFNTFKRLVADLILGIHDRNLSRGFFLVTSYKDAFRIVEVELNYIYDVLFTKLPVLHHKFGYCSRTLSFVAVVASFALFHFVVKKEKIFGLDQVITYVLLIGAIALDVIQFFMLLTCNCTVVKILPLSNANANSKPWKLMFGEWILFVNRTRNTFLDWLLGIFRRRECDTFTNSRCAESLSTINLISFCLNRGSKGRRQFHNYFGLANFLNGIWHVKPRLLTHDMTSFIFDELKVKSMVANSLETAERICSSKGEWVLQEIEGHDAFLPFVSNYNYAETVLLWHISTELCCNGSQDQVTYYAQRDIAKNLSDYMLYLLVMKPDMVSSVSSAGNKMFLDTCIEVGKLFDIKLPELKKRMSGFFGRESKKEKEALHIKACETIFCINRDVKPVLLQKEDRYKLLLFDASVLAKELKLLPLEQKWLTISKLWVELLSYAATHIRSSAHVQNLSKGGELITVVWLLMAHFGLGDQFEISCVDI >KZN06803 pep chromosome:ASM162521v1:2:35944743:35950117:-1 gene:DCAR_007640 transcript:KZN06803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFKLAKKNDLMIFHISVSPIVFLLLISTQENIKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMVNRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDSTTHQHIPYRENKNLTGTARYASMNTHLGIVDIISCKQGANVGSSSGMPPAAANVNRQSGGDEGRHAGWSSANPSRSRSTGLPFISGSLSKQKNPIPDELPNSNNMRTSGSSRRPGVSGSREPAISGDFDSVRARATDASPGTVRKISSSAQRSSPVVSSDQKHSTSGRNKNLESSLKGIEGLHINDERLHH >KZN07701 pep chromosome:ASM162521v1:2:42893499:42894404:1 gene:DCAR_008538 transcript:KZN07701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVKCTEHRTQTTMFSSRADRGVSRVVRISVTDPDATDSSSDEEGPTFNRRKMKRYVNEVTIKSSRSNPRKKIAGKSRKEAPAIKQNPSKKFRGVRQRPWGKWAAEIRDPMKRVRLWLGTFDTAEEAAMVYDHAAIKLRGPHALTNFSKPPSKAVPEKTPSPTCSGYDSGVESNNTTPNICSPKSVLGFVTVSNEESNNDDTKSTSTVTDTVKVAESFTDFLGTNDGTNAPLFTENLFDFHCSPLDLFDQMGKTMFDDCCSDMFFGSGHDFGFGSSSWVDDDCFQDFGDIFGSDPLVAL >KZN06995 pep chromosome:ASM162521v1:2:37456867:37459630:1 gene:DCAR_007832 transcript:KZN06995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSESQFGANSHVLKLVRRHRHDWVVLILLGLIDGMLNLIEPFHRYLSEEMLTPDIKYPFHHDTIPMWAVPAFKENGDVLCSGDSKVIKEGYKSFPSGHSSCIVVSTICYLLLFPFPHAINCWAPHAYFIMLEESAASSRDEEV >KZN06258 pep chromosome:ASM162521v1:2:31449616:31454888:1 gene:DCAR_007095 transcript:KZN06258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGIEDGGSSVRLTGISVGAGESRWVDGSEVDSESPPWSLDEDLSANKEDYGHSIRRRLIKKPKRLDSLDVEAMEIAGSHGHHNKDDSTWRTVALAFQTLGVVYGDMGTSPLYVFSDVFSKVNIKSDVDVLGALSLVIYTIALIPLIKYVFIVLKANDSGEGGTFALYSLICRYANVNLLPNRQPADEQISSFKLKLPTPELQRSLHIKEVLEQKSSLKTLLLLLVLMGTSMIIGDGILTPAISVMSAVSGLQGEIPGFDTNALVMVSIIILIGLFSIQRFGTSKVGLTFAPALALWFFSLGAIGIYNIFKYDTSVVRAINPVYIYIFFKNNSGKAWSALGGCVLCITGAEAMFADLGHFSVPSIQIAFTFVVFPCLLLAYMGQGAYLMNYPNSANRIFYDSVPGIAEVGVMIVSTALVTLVMLLIWQTNLFLALCFPLVFGSIELLYMSAVLSKIMEGGWLPLAFASCFLCVMYTWNYGSVLKYRSEVREKISMDFMYELGSTLGTVRIPGIGLLYTELVQGIPSILGQFLLDLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRICPKDYHLFRCVARYGYKDVRKEDHHAFEQLLVQSLEKFLRKEAHELDLESSLIEVDQYDSISVKSREPTDTLEELTIPLILHDHNSEKAETTSTEGGIVELPPSSSIPGDEDPSLEYELSALWEATNSGFTYLLGHGDVRAKKNSFFIKKLVINYFYAFLRRNCRGGAAVMRVPHMNIIQVGKTYMV >KZN06835 pep chromosome:ASM162521v1:2:36186113:36187592:-1 gene:DCAR_007672 transcript:KZN06835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMFTSHFGKEFFALAELILHDNRANFPQLFECAKMTTTPKISIDNGDLVLHGKTVLKGVPDNIMLTPGSGAGLVSRAFIRDMSSKCIHVFPVGVLEAPGFSTGH >KZN06961 pep chromosome:ASM162521v1:2:37166512:37168060:-1 gene:DCAR_007798 transcript:KZN06961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLSMLESNYEADKLTYEIFSILENKFLFGQDDTQHKQPALQLEHLKSGKHVTGKVRVLSIDGGGATDGLLAAKSLVHLESHLQQKSNNPNARIANYFDVVAGSGAGGVLAALLFTAGKDGGPMFSAKEALKFLVDNRRKISKSAPEGIFRRFYGSSEKVFAKTFGELSLKDTLKAVLVPCYDVNSGGPFVFSRADALEIDGYDFMIKDVCAATSASRLVDVKSVDRKTKITAFGGDVAMNNPTAAAITHVLNNKQEFPFCNGVEDLLVVSLGNGEAFTAGVAGNSTPLPAALVKIAGDGVADMVDQAVSMAFGECGSDYVRIQANSIVGANHGNSKVGKKDLLPGVDEMLRMKSIESVLFKGKKLVEDSNLDKLELFSGKLIKEEERRKTSILPTVVLKQAGSPRTSSATTLSTVSSN >KZN05807 pep chromosome:ASM162521v1:2:27370827:27383952:-1 gene:DCAR_006644 transcript:KZN05807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLQNKSSSRKRSNPNPLVPPSPQPPKLPKNHHNQQPPPPQTLTPIDKMASVLAEAGCTLINPLGPPCLPSDTHKFRNHLHRLFSDEPPVRSDFLAGFASYVASSDNLRRILLPTSGGSCGLGRTESLVRVLLLVPCIQLDIQTILLEKMPEYFDAEPVGGHGPCSLRLDEDIARLILNQFRWLDFLVDSEAFTNKLLEVLSICPLYLKREIIGSLPELIGEQNNESIINSLEQMLQNDPNAIIVPVLDTISNFNLNDFLQDKVTTLALSYLRTIDAEHMPYLLKFLLLSATPMNIQRIISKIREQLKNFGVSNISMTQHNKLKGKSVADNVDGSILDALRSSLRFKNMLCQEILKELKGLNTSRDHKLIDIWLLMLIYMNGDTMQKSVEKMIKCKIVEGYIQEIMFVQCIRGNKRLVQDFFASFLSLSEFLLACKEPKAREVAVHMYNCLFEVFADTYSRQEVLGAIVTHMGSGSSFEVDSALDVMILLASKYSQEMLPLSSYISGVLDYLEGFDVQSLHKVYEIFCLLSMSARSRTERFASSIANELLMIIRKQVGNPDLKYKKMGIIGTMKMVSFLADVNISPNLSSSQKSSNEEALELLKVSLDSCKQLPLPSIIFYDELIAILNKKHLHPEIMEWIGKHVGEFESRFLFDLEDGKLSNKESYFDLEGELWMNLDGDISPICLNIFPLVATSMKSASPLQILPAHFILLSTAKRLANQGSLAGIDALLGCPLHFPSSKLFLGSTWKSLSDKQKQVLSLCLYYAVNWMRELINAFCTQVAGRLDCISQATEKEIIDKLLKRLRDLVYLESLLNTSLKNYPVTLPELYPHGQPASSHFLGRPSSKLIAGKTTEHNPTDENTSQNNKRKYRKSSKLATNSDTNAKSRQPTIIDVFKKAGVVPSVSNENSSGTPVMGTTSESSEQVNTFESENIEVSASTKCLEIYRCKFRPLLVECFSMLSFSQNLGSCCADPFAELPLHLYLLRDLNYKLEYFSPTSKHKISVRCSSSPPGLEGMTVIEFLRNICSLLPTIRRHFNCAICVLKEVLAGDETCQEHWKVHSVLAGIPDISNMVISKSSVSTSVLVEILRCYNKMLNLPDILTEKKLLSDLLESLQPLKVSECYFSGMNIIPLAGSIDYLYIGAYSFLEEALDVACTFSFMMATEVLLTMNSVLNSIQKYLTKSLEEAGKGIYAETTQGLFSALRIKLGKTAQKVLRHEWDSDTDEDWRRKSELVQRTVHIYLENCESTSDLLNELAQSILPKVSSSKTASKDDSHGFPTLCAATFVVWYRELHEENISILNKLVKEVVLVQKSRVKIPEEASEKLLTKLRQSVDVVVSLINLCRTHNKVTVHALAIKYGGKFIESFLKVFDFLKTQFDKYKECIVELVIALQKATRTIQTLCSEAKSLKQTVIASKIPATKRSLERFLFQVKALIHSASSGCIFHMGNLRHKDLSGQIVSSQYVDQDEDIDKDFVDTVPDDRQDGTVSGEEDGETE >KZN05708 pep chromosome:ASM162521v1:2:26504396:26504710:1 gene:DCAR_006545 transcript:KZN05708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKEYGYGGLLCLDMETEEKANKLMNILQNCTQFGFMAVSLGYYETLMSCSGSSTSSELNDEEKKLAGISPGLIRMSIGYSGTLEQRWSQLEMAFSRLQDSKN >KZN06134 pep chromosome:ASM162521v1:2:30438699:30442891:1 gene:DCAR_006971 transcript:KZN06134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSHNTSEIQHTTHVSHDSQSDQQNNAVEAPVADSGSVSISSNDNRRVSREDIELVQNLIERCLQLYMNREEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQIIVFNHLLEHQYNLMKYNPVPPQVPMAPIQNGIHPMPVNNLPMGYPVLQQHPVPATGQPHLDSMGMSTCHVVNGVPAPGNFHPMRMNSGNDMVMDNSTADMTPGIPPGSAMSSMSEMAVSPTSVASSGHFPFTASEIPGMGVDTSALDTAFTSDVASSVGLQLAQDNGAGNSRDSLRSLAQIPWSFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSDILLDSPEQDDIEEFFVDPGPPAQSDEEKS >KZN05019 pep chromosome:ASM162521v1:2:18987346:18989518:-1 gene:DCAR_005856 transcript:KZN05019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLPLNLAPSDASPGWMNKGDNAWQLTAATLVGIQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLVCWVGWGYQLSFGTKQLVPFLGMPNVALNAKYLTGPAFTGMLPNATMVFFQFVFAAITLILIAGALLGRMNFVAWMIFVPLWMTFSYTVGAYSIWSLGGWLSTRGIIDYSGGYVIHLSSGVAGFTAAYWVGPRATKDRERFPPNNILLMLAGAGLLWMGWSGFNGGDPYAASTDASLAVLNTHVCAATSLLTWLMLDILFFKKPSVIGATQGMITGLVCITPAAGVVQGWAAITMGLLSGSIPWYTMMVLHKKISFLTKVDDTMAVFHTHAVAGSLGGLLAGFFADPTLNRMFYNIDPLKSDSWKKYIGLAYGIQNGRSSAGFRQMGVQLLGIVFVVCLNLVVTSIICLLIGLVVPLRLSDQELEYGDEAIHGEEAYAVWGDGEKFEKSRQVAQEEINTKRRAKV >KZN06831 pep chromosome:ASM162521v1:2:36161396:36161701:-1 gene:DCAR_007668 transcript:KZN06831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGAAVPFWRAAGMTYISYSNICASMVRNCLKEPHKTESISREKVHYSVSKWVDGKPQKPSMLSLSLSRFRSMFYIIRLITFRYSLHIILFRVSYISNN >KZN03995 pep chromosome:ASM162521v1:2:293395:293835:-1 gene:DCAR_004857 transcript:KZN03995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEYSTEHGGDDHDNGNGQASSQPKILTDEEKIDLMANSLKPEKDDTEQEMSETKLTNQVVEPMSRGDDKETETLEENEVRPGELKTTTKGVPDDVRNLTRDGSMNPLPEVTICCLCCTVCSGACAFLLCLPCLAVAMVWRCIV >KZN06820 pep chromosome:ASM162521v1:2:36086474:36090113:-1 gene:DCAR_007657 transcript:KZN06820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWRSASFILDKPDKDDAVSSPESRLITSPPLSMADTLQNPNPNNISAYYQTRAAHHGVVTSDWLAQAQAAAEKEEVTSESSLDSGKAFSVIDEFNNWRKQPDLAEAVAAIRALAKVISSSEATTMMELEIELKTASDSLKAWDTTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLLERAEKFGEISYKARRIIAMLSQDFIVDGCTILVHGFSRVVLEVLKAAAESRKLFRVFCTEGRPDRTGLRLSNELAKLDVPVKLLLDSAVAYSMDEIDMVFVGADGVVESGGIINMMGTYQIALVAKSMNKPVYVAAESYKFARLYPLDQKDMVPALRPIDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >KZN06865 pep chromosome:ASM162521v1:2:36470252:36472266:-1 gene:DCAR_007702 transcript:KZN06865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKDGFVTIRPNQPKVLPHRMLQFLVLFLVMCVTFSVVSVYLIRHFGAQNIVAATGFKTNIFQCVEGANTSLDRWIKPPSNLLHTMSDKELFWLASLVPRRKEYPFTRVPKIAFMFLTKGPLPLAPLWERFFRGHDERYSIYIHSLPSFVPNFPSSSVFYKRQIPSEVAEWGTMSICDAERRLLANALLDVSNEYFVLLSESCIPLYNFSVVYDYIKNSKYSFIGAFDDPGPYGRGRYNYRMAPEIEITHWRKGSQWFEINRKLAIHIVEDTTFYPKFNDFCKPACYVDEHYFPTMLTIRAPNLLANRSVTWVDWSRGGAHPATFGRGDISEDFLKNIFVGGECLYNDRNSSVCSLFARKFAPSSLKPLLLLAPQILGF >KZN04488 pep chromosome:ASM162521v1:2:11666735:11667169:-1 gene:DCAR_005325 transcript:KZN04488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSTSESLLPEECSFLSRPLSDVSQHQIRDHQGILAQQQEFNKFHVHLVSPLEIKLSPLLVDIKGEEDNDVCKTPTSIDQKISVLICPPAPRKAKSVPSKKRKGLSSQRRILFDYSSEVESMFPEALLADLGNKIKKVRREN >KZN06664 pep chromosome:ASM162521v1:2:34791878:34793551:-1 gene:DCAR_007501 transcript:KZN06664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNLKTPSWDYNAYEQETIPNIGDVGGSNSYLGQGTRGDFSVDSKLGNLVEGDSVTVPKMMLSPSGSSKRARPRQLNNGVHTAMCLVDGCNADLSNCREYHRRHKVCEAHSKTPEVKINGNKQRFCQQCSRGXKRSCRKRLDGHNRRRRKPQPEPLSHSGSFFPGHQGTRMFQFYTPQADSSTPMTNPIWAGMVKTEEDNKLYNHHSHSSLPEYSLSLDKREKQHSFMNNTNLVLSNQSAAEPSVCQPLLNNIASSASGGTSSRMYYDGFSTRVVHSDCALSLLSSSSTHTNNMGSGLALQPNSIPGAHPLDSGLHYNNQGQMLVPNVGDADVNCLEMFQAPNASRGNNSPQTLPFYWE >KZN06872 pep chromosome:ASM162521v1:2:36512919:36518893:1 gene:DCAR_007709 transcript:KZN06872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSKNSTVTPLSKAAAAHVKIDSISIDLGKAMDKRVTDKCHHFSLRQYCVDKRNQNVRVCAPFARDSDDEVKLREQLPPLDVPKFRWWRCQSCVQDIEKASPSSVRGEIHPSPCSSNKEKDNALVGSSAVQGPENGVKEFSGQLICDQTLSLVKAARQTNETEPASDVAATNVLAIKYPGCRSSSSSEKCLAEKANTVDEDQMTVVGATEMEKKDIEIDANTSAKDVKKASSTRRKKKVRSMAEILHVNDEERSDQLASHNTPKECAAPASISASRKRKINQEPFKEMQIPGRKPKKVRASKGDAITTIATIHNSGSESVEDDASAGTGFKSRMSLPKAGNEPCPSKMKTKMSHGEDRQSSYKSVDRGNYKEDIALDLSLNSYMEVDKNIVPNKKTTLNNDHWMKEGSRTGPSSVPDFSFSKDIEEDMSGRIANRTDINCQHENSLSLRKKLELSLGCSSKKAAEPKRFSEANRNKTDQRSETVFEKRSYDDIPMDIVELMAKHQYERGLSEAERNSCLTKRIDERNYEMMGLSDVNGNRMRPLQKEHNRWIPVKLSQADNNHCVVGNREGIHVLPVYGTCSQTQQKESFSAQRPQPSASRTFIATQNLLWTGDRMVHRSSSTYAQVIDTNKTANNGPTHLGMAANFWASGASSLVPSNPNFPLNISSGTSNMTTYLPYPDLHKGKTVRDLDLNRTDPNDSDVEVLCGSPVVASNTVKYLNLQEMNSVHSYSNEAIPAMHLLSLMDAGTPSRPPFSINTNKVLERPFFPGNYHPSRGMDERAVLFEKPLFPQNHQVKEHPGPGPSVYRSSASTAQMPSAYYGPNIITCQQPVKANKTYIPQQSKDWMFRTSVGTAGASDMIRGSNLHQGKQKGILGATVDLVFPAQPKALKITENQKDVGSRQMHGTIRPLRDISKEEVCSVNRNPSDFSSPEAGSQYMIRGGDLKFSKDYLVSRVGGFRGPRRHGSKLTASKRQAVGRAPTAFP >KZN07476 pep chromosome:ASM162521v1:2:41160370:41162294:-1 gene:DCAR_008313 transcript:KZN07476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFYMFKKDKKPENLPCDNGIVKPKSVVSSPRSVLDMYKEKEHNLRVFSFEELREATKNFNRLLKIGEGGFGSVYKGSIRLADGVGDPCVVAIKMLKKDGLQGHKEWLKEVHFLGIVDHPNLVKLLGYCSEDGQTGIQRLLVYEYMPQNSLEAHIFSSALPPLSWERRLRIMLGAAEGLAYLHNGLDVPVIYRDFKSSNVLLDGEFKPKLSDFGLAREGPTGSRSHVSTAPVGTYGYAAPEYIDTGRLGKYCDVWSFGVVLYEILTGRRVLEKYRPRDEQKLLEWVKQFPYDSKRFSRIIEPRISDHYSLNAARQMAKLADSCMLKNPKDRPTMIEVVEILKQIIQESEGVV >KZN05183 pep chromosome:ASM162521v1:2:20903556:20908957:1 gene:DCAR_006020 transcript:KZN05183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGSRRGGGSFVSISPSQTPKSSEKAIRDLRSEGGNASFKHDSRGEKGVNVQVIVRCRPLSEDEIKAHTPVVITCTENRREVCAVQNIASKQIDRSFMFDKVFGPASQQKDLYEQAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKKNGEFPSDAGVIPRAVKQIFNILESQNAEYSMKVTFLELYNEEITDLLAPEEFSKFIEDKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYSLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQGTQFMELQGLHNSQLQLTAELSDKLEKTEKKLHETEHALVDLEERHRQANATIKEKEYLISNLIKSERSLIERAFELRAELESAALDVSNLFTKIERKDKIENGNRILIQKFQAQLSQQLEILHKTVAASVTQQEQQLRAMEEDMQSFVSTKAEATEELRENLIKLKTMYGSGIGALDDIAGELDENSKSTVGQLNNEVSKHSSALKDHFKEIASEADTLLNDLQRSLYSQEDKMTSYAQQQREAHSRAMETTRSISQITVNFFNTLDTHASNLSQIVEEAQTDNDQKLSELEKKFEECAANEERQLLEKVAELLASSNSRKKKLMSTMQDSTSLVKVEWSSYIGKAETHYTEDTAAVESGKKDIEEVLQKCLQKAKMGQKQWSSAQESLLSLEKTNVASVDDIIRFAKIF >KZN07693 pep chromosome:ASM162521v1:2:42804995:42807777:-1 gene:DCAR_008530 transcript:KZN07693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGGTKEEFVKEEEEVSDSEGPFPEPFPVRMIPVNYHCCSDSSDDSSDSSDSDSLDSDSLDSESEVSNASLGEDRNRTRNEAQINAAGQEPNIIEEEVFSEPYPVRMIPVNYHYSSDSSDDSSDDSDSDSEESNASPGEDRNTTRGEAEINARQEPKIVWVEEEVSDSAGPFPEPFPVRMIPVNYHYSSDSTVFSDFSDSSESDSEESNASPGEDGKRTRGEAEDSSDFSESKKRKAGKPRFKLKLENTTNYAAHQ >KZN05035 pep chromosome:ASM162521v1:2:19163702:19166177:-1 gene:DCAR_005872 transcript:KZN05035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKRLSDMGIEEASKSAVESCHSVLDLISKTKDQIEYGNLSVKTEESVAKFKKVVSILDVGLGHARARKSKNLQTPCPRNILLDNPIIGINYQPTAYQLPPTNSGDISTHGMGTNAKNNFNSEKLSLESSSSLKPTTQIVQQTPQQSNYQFHLHPLQQKFQQHQQLKQPTASIFQKSNSTSCINLNFEKATCTPSNSMSSTRSFMSSLNGSGSATNLDGTAFRLIGETRSLDQGSYQHKRSCPARGEGGRCHCSKNRKHKIRRSIKVPATSPRLANIPGDEYSWRKYGQKPVKGSPHPRAYYKCTSMRNCPARKKVERCLEEPSMLTITYENEHNHPRMLVPNLS >KZN05383 pep chromosome:ASM162521v1:2:23255174:23260047:-1 gene:DCAR_006220 transcript:KZN05383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENKDNDAYEEELIDYEEEDEKAPDSVAAKTNGESVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGNFLVSLSVDTSEGYLLLNYLPSFCQFDSSDKPLQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKIHKDLLKNECPHIVVGTPGRVLALARDKELALRNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSDVLNQVQERFEVDIKGLPEQIDTSTYNNGSYCEEMCSSSLNMC >KZN04257 pep chromosome:ASM162521v1:2:5382900:5385274:1 gene:DCAR_005101 transcript:KZN04257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWPDLSSDECSPYTLVTQDDQNILHMAIVARVGENRKAVATAADSRKEMVQMELWPALSSHECSPYTFVTQDGQNILHMSAVACAGENRKAVVVADAVDNRKAAADNRKEMVQSILKYCPNKYMDKILKQKDKNDDTPLHLLISHGCFIPGLIKHKGLNTMARNKRDFTPRDMLYVEDATVADQSWTPSGAAVQYVNEFPGVLSENVHGQDLETARCQWRCTSPSTYF >KZN04778 pep chromosome:ASM162521v1:2:15652918:15654417:-1 gene:DCAR_005615 transcript:KZN04778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGSVLGSTSGVKTVPGSSLMDIDEEVRFVCPNFVSDYIFGVLKLPEEFCVGKGQDLPERLLLHVPPGVVWNGIYRKGRQSIEGVEKMMSFYCIKPYHLIVFDYIGGPSFNLKIFNPYGVEINYNFFKNSDTPTGLEGCILNPSEIEVDKLGGTLSYNVYHSGRSICEVVLGNKHIRKTEVYKVLKRSDWESLGIVESMESVRLSFRNITWLVKLVWRNGKLYFDRGWYKFAKAGKFGIGDAVVFHKTDWPQKFIATVFENEVLSKCNVSGGELWSADVLDSFKTERKF >KZN07493 pep chromosome:ASM162521v1:2:41299308:41308128:-1 gene:DCAR_008330 transcript:KZN07493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLFLFSITICLLRVAVAQEGFCSAPEILITESTYGKVTNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWSNALGAYLITPAMGSHFAMYLAKMQGNSKSAPPPNDVERFIFVIQGEITLTNVSSVSQTLMVDTYVYLPPNFEHSITCEASATLVVLERRYVNLKNYKTEQIVGSTDEQPLLETPGEIFDLRKLLPTSMPYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDNWYPVQAGDAIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >KZN05853 pep chromosome:ASM162521v1:2:27748223:27749231:1 gene:DCAR_006690 transcript:KZN05853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELIREGRSLKETPTWSVAAVTTVMVFLCFFAQRSIYNFGKWLKKTRRKALFASLEKIKEELMLLGLISLLLGQWANVISQICLDSSLFSSKFFLCSQEDFEIKEHITLRKSVFLNETEDPSKEIKFPVTHHCGKGREPFVSHEGLEQLNRFLFVLGITHVLYSCVAVGLAMSKVCIQASLQLLTSTSVIEIAICFAYLKNCIHKYP >KZN05886 pep chromosome:ASM162521v1:2:28081822:28084990:1 gene:DCAR_006723 transcript:KZN05886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFISIMMMMISLSSGSSASKALGVYEVKRGNFSAKFTNWGATLISLLVPDRYGMSYSYPTRAEHSVIGFRRNMADVALGYQSVYEYTNDTSYFGSIVGRVANRIGGAQFTIDGIHYKLKPNEKNNMLHGGVIGFSDVLWKVTKHESDRISFSYHSCDGEEGFPGDLEVSVSYAIVAPYVLSIRMRAKSLNKATPVNLAQHTYWNIGGHNSGDVLSDVIQIYGSQYTPTDDNLIPTGKLVSVKGTPYDFLEPQTIGSRIAQLLPLRGYDMNYVLDGGKKMKLAARVYNEKSGRVMELSTNVPGLQFYTANYVQNVTGKGGFVYQPHSALCLESQGFPDSVNHPNFPSQIVRPGKTYKHNMVFTFSTR >KZN06164 pep chromosome:ASM162521v1:2:30693371:30694417:1 gene:DCAR_007001 transcript:KZN06164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRDEAIRARDIADRKFLANDITGAKKFALKAQSLYPQLDFISQFLATLDVYVCSENKINGQIDWYGMLGVNPLDNEDTIRKQFRKLALMIHPDKNKSKGADGAFDLISQAWNLLSDKSKRSAYDKALHVKPRSGGSSIPPSQYGFHNFAPGSNMKVPKDSSNSTYSAPHKQKQDPAPVSSYQRKTQNRQKPQTVPVTSNKRQRVSTAPDLPQEPNQATFWTACHGCKMQHEYPRKYVNCNMLCHNCREPFFSFEIAPPVLSVRGPPQGLKQTTFWTACHGCKMQYEYPRVYHNCNLLCTKCRVPFFSFEVTPPSAKQETSAHDRKGDKSNSMSGIYKSVRANVKF >KZN04066 pep chromosome:ASM162521v1:2:1358224:1360452:-1 gene:DCAR_004903 transcript:KZN04066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRECICHQAVARYVLESEYMKKFFDFIQLPNFDIAADAAATFKELCTRHKSTVAEFLSKNYDWFFAEYNSKLLEPPNYITRRQAIKLLGDMLLDRSNSAVMTKYVSSKDNLRIEDSDEPAQSNL >KZN05542 pep chromosome:ASM162521v1:2:24891455:24891634:1 gene:DCAR_006379 transcript:KZN05542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFMKVEIQEDKVDPVDSMMQTEWLVKEAWYIWEENREKWWVEIRWGMRCTFYRRRA >KZN06906 pep chromosome:ASM162521v1:2:36784023:36786433:-1 gene:DCAR_007743 transcript:KZN06906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPNLLRDVLREDQEPFQLHHYIADKHCINLYKPTFHQTSSLNLNLCTKSCFKPPLFHSARKRPSKPRTRAINIGFCSYLKRLWDKNKARKSSDKLEVNSEDARLSTVGWSESNEGKSLDMESSSSSSTYDYADIHSCENKCSSSQPFCFALQRSSYADHTPDFLSPVASPISHDKQHNYDEAESLQKIQVEKEEEEEKNQCSPVSVLAPPFQDDDEQHRGDEEDSEFDTERSYASVQRLRRFQRVAELDPVELEKTMLEDGDEIVVIVEEQLHNHVNYPESFDMEENVNEVVRQVLSRSKQYDENKISSDVRQLLLQLIDEEKKSGPDVVVSRVCKRLNEWKQVKSNTIDMMVELDLRKDVDVWKLYEEEVRDKLAREIESAIFGLLLEELVF >KZN06380 pep chromosome:ASM162521v1:2:32355895:32366155:1 gene:DCAR_007217 transcript:KZN06380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPILPITTPPQTSSSSKMAPSSAMAATMFLRSDDASSDDYYVPLNRLSVSSLGDDHSHRLEVKPLLPRSSSFNSINTRKGSTFLNQWRRRGSGDNSPESDRRSDGQGLSNEVAEVAVDTYRITRLGFTLLRYLGVGYRWVLKFLALGCYAFLLFPGFVQVGYYYFFSRQIRRGVVYGDEPRNRLDLYLPKDTNGPKPVVAFVTGGAWIIGTFDSIVKLLFEKHQDKGKIYKAWGSLLGQQLSERDVIVACIDYRNFPQGTMSDMVKDASQGISFVCNNIAEYGGDPNRIFLMGQSAGAHIAACALLDQAIKESSGERTTWSVSQIRSYFGLSGGIMEGELSLRRFSPEVMLQDSNITSAASLLPPIVLFHGTADYSIPCDASTTFADTLQRAGVKAESILYEGKTHTDLFLQDPMRGGADEMFDDLVRRIHAGDAEALAKHAAAPPRRRLVPEFMLKLARRVSPF >KZN06671 pep chromosome:ASM162521v1:2:34889051:34897028:-1 gene:DCAR_007508 transcript:KZN06671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSQDPNFKELYTIPSHSSWFSWDDIHQVEQNSLKEFFDASSITRTPKIYKEYRDFIICKYREDPSRRLTFSEVRKSLVGDLSLIHKVFLFLESWNLINFNAPKRDDDDDYVGGEDRWNVRVEEGAPYGVKVVANPNSLKPVLPPPVAVNGTGNGVLASPLASFKDRYAELAKVKKLVCGNCKGSCDSGCYEYIKDRSFVICLECFKSENFGENKAAGDFEFNNCSRSNGNMGSAWSEAETLLLLESVLKYGDDWEVVAQHVQTKSKLDCISKLIQLPFGELMFGAGNGKPRLWDASDSISSIKQVKLDSSEVSDASNDLKNENEQNGNVEDVTPPQKRIRTGRVPDASNSLMKQVSRLSMMVGPHITSSASEAAVAALCYENLCPREIFEVNDDGSHKLGSSENEIQRASPVKDSDMEERHATDIPDASSMNIIPAALRMRAATATALGAAAAHAKLLADQEDRVIEYQVAKIIEMQAKKLQRKMRCIEDLELIMKKEDVQMKELVESLLSKRMNVLKMIFSAGISRWGDRATVTAHAPSVP >KZN05101 pep chromosome:ASM162521v1:2:20184081:20184671:-1 gene:DCAR_005938 transcript:KZN05101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPNLRKLGLCGALTTRSGDLKCPDIDFLAHLKTLKLFNTIPMSKAGRLSSSILFPRSLRKLTVSNLYLHWKEAWVFELIPNLEVLKLKFHAFVGKGWETSPKAFPCLKFLRFHELDLETWTASHDHFPVLQRLQVYRCPYLMEIPEDFGNICTLEWIELSECSDAASNSARDIREVQESFGNDWPKILLNPRV >KZN04320 pep chromosome:ASM162521v1:2:7821823:7822122:1 gene:DCAR_005157 transcript:KZN04320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKKATEQGNPMQCGSGATCGSNSVVQDGSLDMKAEWRMGKVSHGTDLKDDDEDFVIPAEHFGKTKPRQMQSKGGKVMDVKFVGLGNERVDKTTKEGT >KZN05129 pep chromosome:ASM162521v1:2:20381303:20385101:1 gene:DCAR_005966 transcript:KZN05129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEDHGDDDLLGLEETVVLATDNLGLTEFVDGDQVVDEIEENNGGRVVGENEEYIGDRVVGENEGNNRDQIVAENEENNGDLIVAENEENNGDQVMGENEEKSGNHLVLIEEGGKGKEVEEDPVEEEVLAEEGKDGEVVGKDEKKSEENGVMAVEKNGGLETEADKTGEEALEKDVEGVKKEAAADAKGAENSEKKVDENSPQKGRKPKKRIRKKKGAQGVLKAVSKDEEKPESSAQKKVVKTVVSKNEDKPETSGKKKKSKKVESMGMIFMCTSQTKKDCYRYKLLGLPAGKKELVEKIYKGMRLFLFDLDLRLLYGIYKAAAPGGTNIEPKAFKSAFPSQVRFTVLEDCLPLAEEKFKEAIKENYYSRNKFDCQLNSEQVKRLCKLFVSVSKGSALQKINRSPVVGRASEGLKQQPRDEKRGLQRGRAPDGLKQQSRDEKRGRKRRDRDEGSSRKQRDEERGRKRRGRREERKSPPRQEQRHHERPVMYANEVSYSQVAPLHGGYQLPAPVYAYERTLDSNSYRREPVLEHRGLQIVDRAPRHYDETKNIDPYISYREREAPSYRRPIYSSVSEREYYTPAVLPAAYLPAGRNSERQTTSGLRDYYQPGTLPSEYLPSRREIEYLPSRREVEYRTTAELPAEYHSTRALPAEYHASRSLLAEYRAAGLPTEDLAPASASNGYHQARPAMEYRSSGGGLRDYHSLQTTARYR >KZN06418 pep chromosome:ASM162521v1:2:32782872:32789650:1 gene:DCAR_007255 transcript:KZN06418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYKLAVIIKSPSNDNELILVKQAPPPKFDDEEYDSYVDSHLWDLPSAQLLLLQGQQSNSQFQIQDLESCSHKIDLNTLDFALALNQVLAQVGFGETSGAQWKFWKLVEEPDFGPGIPVHTVYITGKLGPDDGILKEHCKWMSDQSCQKCFLDVKPKSDRIGPLVVLGPLQNLVHSDKLIIPPNLHSQEYPPGIIIIPMESRTAKPYRTTNLVVFASGGSHDSCGDHSFVTHGDALIIDPGCRSELHDELRDVVAALPRKLVVFVTHHHHDHVDGLSAVQKSNPDASLLAHDDTMRRIRKDGLSLSHTPVSGAEEICIGGQRLRVISAPGHTDGHMALLHLNTHSLIVGDHCVGQGSAVLDISSGGNMNDYFHTTYRFMDLSPHTLIPMHGRTNLWPKHLLCEYLKNRRNRESSILKAIEDGAETLFDIVANVYSNVDRSLWFHAASNVRLHVDHLAYQDKLPKEFPLQKYQKTCGVHFLSRWLLACASSHFAMKYMLGKAKLPCAVALAVAGLAVIYSARIKH >KZN07770 pep chromosome:ASM162521v1:2:43462839:43463054:-1 gene:DCAR_008607 transcript:KZN07770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKKENAAAEKGKSTSNVKEQDEAAFKKCMEENKGDSSKCRPKIEAFESSLPFKPLFPLMLKSGSLTDV >KZN04302 pep chromosome:ASM162521v1:2:7293325:7297153:1 gene:DCAR_005139 transcript:KZN04302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQPEDVKMYTVAEIKEFGVPYIERIIRCQLDVKKVEEKNMWFLLATVYKDSTGILAVLFPHEDIQRILGKEVFDIENDDACSKPPLMLGDHDPSETNNVVPNPDVLTVKSSNRPKNRRKATPVVCELDENATMASRMKVKKVKHARIHAFVPRAVADDLEKILVVDALGVIMKKPKPLYLIKKRNEQLQHQYKFRITNESLIVVYFDQFIKQDFEYPLFIVIGSCKVTKWKDELDIGNASPTTGHINIQQHSVTQMRKSPTFDKTNLYMPTNALPTLSHVAMITNSAVDQIEGCVENVTPRIPRSLENKDFTVKILIMKENVENKYLIFLCNRHCARL >KZN04119 pep chromosome:ASM162521v1:2:2237845:2238345:1 gene:DCAR_004956 transcript:KZN04119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDTTGADLTDPDNEEEEEEIPAAVAVDKCGACKGASRVCKTECPFRPFFPADTADMAHLISSLFHKKGILRLMNACKTAEEVTSCRTRLFNVAVSLINGTARTHEAEISELKELVKTQAASIGVQSESVRKMLPN >KZN06020 pep chromosome:ASM162521v1:2:29196482:29196670:1 gene:DCAR_006857 transcript:KZN06020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTVEAPYLCAGKESFDKEREYLDNCSNKYSGRHRYVWELVTDQHPRPPSLSHSMRSKTPPP >KZN04099 pep chromosome:ASM162521v1:2:1912937:1913350:1 gene:DCAR_004936 transcript:KZN04099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNSASVALFLTLNILFFALVSSTEKCPDPYKPKPKPTPKPTPTPYPSAGKCPRDALKLGVCADVLNLVHNVVIGSPPTLPCCSLLEGLVNLEAAVCLCTAIKANILGKNLNLPIALSLVLNNCGKQVPNGFECT >KZN04080 pep chromosome:ASM162521v1:2:1690824:1691336:-1 gene:DCAR_004917 transcript:KZN04080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVKGFRVGQRFGKIFKWIKASKQSRSMSFERLRQPDPNPKQGKPKLCSWMKLLKQGARRLCFPKNRSEYIRVGQDPEATHPGYRVPRGHLAIYVGEKEDDACRVLVPLVYFNHPLFGRLLKEAEKIYGFDHPGGIQIPCRISEFESVKTKIAAGSGGGNMQLPRCWKL >KZN05730 pep chromosome:ASM162521v1:2:26697158:26698942:1 gene:DCAR_006567 transcript:KZN05730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSMYPDENAYCVVLRSCLKLCDVKNWEKIHVHVTKLGFDCFDFVNIDDYSCNARNVPEKMTSAIEFWNSLIFEACKGCEFRESFRLFERMKMEGVRPDSITIVNLLRASYDTMSLNVGRMVHCLVCVSNLSEDLAVNTALLTFHSKMGCLEVARLLFDRTPGKDCVVWNLMISAYLQNGFPVESLRLLLVMVRSGVRTDLFTALAAISSIADLNSIERGTEMHGHVIRNGLDYQVSVHNSLIDMYCKCGGLESARKVFDLVTNKTTVSWSSMIKGSLSLEQFSEALSLFSEMKLDGYRIDFITVINILPAFVSIGALEQVKYLYGYSVKCGLTSFPSVNTAFLASYAKCGCIDMAQKLFEEDQFTHKDVIAWNSMIGAYSKHGNWLQCYKMYAQLKLMNLKPNQVTFLSVLTACVNSGSVKEGWDCFKEMTERYGCQPKEEHYACMVDLLGRAGRIREALQLINSMHFKPDARVWGPLLSACKLHSDIEVAELAAEKLITMEPKNAGNYILLSNIYAAAGKWDEVGKMRVFLRDRGLKKTPGCSWLDINGKNHEFRVADQFHPQSDDIYTILRSLELETKIIMGRETLIND >KZN06391 pep chromosome:ASM162521v1:2:32493382:32494455:1 gene:DCAR_007228 transcript:KZN06391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSCIDEQSTTSDSLPISQTSSPPSIIFTSLPLKNSPERLCRMGSGASVVLDSENNGLEAESRKLPSSKFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEHEAAKAYDIAAQRFRGRDAVTNFKPLLDTEDETNFLNSHSKAEIVDMLRKHTYNDELEQSKRNCGFQKWSHGNSMCDKIVSAREHLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLQNGATSKGVLLNFEDVVGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLKAGDVVNFQRSSGPDKQLYIDWKERTGPGLVTAAPVQMVRLFGVNIFKVPSHGVAGVNNNVNDDQVSFCNGKRRLEMLGLDCNKKQRIINVV >KZN07526 pep chromosome:ASM162521v1:2:41588606:41589106:1 gene:DCAR_008363 transcript:KZN07526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPLGYTDLFFPKLLIHTLTLLSLLRKFISLLLRTLGLSDFLEPEVSWSTRPDSTVDEYSCVSAALMREFLPVVKYSDITDAPDSCAVCLYDFTPTDEIRRLTNCRHVFHRSCVDRWMDHDQKTCPLCRTPFISGDLQDEFNERLWAASGISDYYGEYSPISSNL >KZN07694 pep chromosome:ASM162521v1:2:42812332:42812544:1 gene:DCAR_008531 transcript:KZN07694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKLQIKMNADSIFVGTLRGFDQFMNLVIDNTMEVNGDERNDIGMVVIKGNSLVTVEALELVLICCDFI >KZN04061 pep chromosome:ASM162521v1:2:1309881:1310036:-1 gene:DCAR_004898 transcript:KZN04061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDNGMANGANELQVCGVKGGNIWEWAWPQLLCHTCTYSQKYHPTLPPHH >KZN05480 pep chromosome:ASM162521v1:2:24251246:24251713:-1 gene:DCAR_006317 transcript:KZN05480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIANVIRLMRKSIPSHAKISDDAKELVQESVSEFISFVTSEANYRCQKEQRKTITAEDVLWAMSSLGFDDYVEPLTFYLDRFREADGGERSALRGEPLVRRSGEHGAPGIPPTFAPGYYMGPHNALLGAVTMGSFVNDVHNAGPSGAGVNTLDP >KZN07345 pep chromosome:ASM162521v1:2:40185626:40190495:1 gene:DCAR_008182 transcript:KZN07345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHDGKKKSSADSSYIKPEPSHQNLSVPRTQAVQRSEAGKHLKDMEEKRYSDLYRNTTEELFIKTFMEGSSAPTMDMLGFKNLTQNFRADSEELFNSWLTNGEARIITSELAPLPNQQNGVINQKRSNENPSSEHACVGEESSNDFNQYPTSSAVERGVPTGNLHLAKAWFNSSQPMTRSRSSELRKRYVASQNPQTAFGMEAMHNINASGHGINNLQQDFANSDGLSKVPFSDNPSHLNTFMSPSNSSSSNFNSPQMGTVDNVSSVVSMLKGTLERKWLNNQNEKYGEDSSLGYYGAQGIVANPCLEQEQELHNYEAQGTFQDTTLQVFDPEVLQRVQGLMDMELEETVPPINLVQMNTVSREQSQSESSAATPAVSIGFDAYDGPSNSGQARSNGESSRQQFANEKNSINGIRAKDIRERIQDNLKEDSQQKGGLVRYGSVTSAGSVPLSTFMCYFLNISSTATDKGDSTKKRRVERSRKMAEAKERVLTPAIPSDMQSVLKRCENLEKEARSLKLNLSFMNRKDSEQTKQIEELQKQNEELTDEKERLLEEIERIISETSKM >KZN04052 pep chromosome:ASM162521v1:2:1225726:1232896:-1 gene:DCAR_004889 transcript:KZN04052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSSQTPDLKIVKEGQVIVRARNLRAAANFIPLKAFRARKAYFTNEENGLLHVPKGQAASNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDTQRMMQMSGFGFDPSKSLGAEKDGLDIIQHDWAMPKFEQRAEAVLRKLSRFFPSHSSLSVIARNAKGSGGEEDGRALETVLKLYTAIKDRDLDVLSDVIGEECRCVCNFVSAFQPWEGKAQALVFFSYLLRKLGEHIDFVVQPTFHDGMTVGVHWRLEWKETKITLGEGFSFYMCHVYHGKVLIKNVEMFMEPLLHIEPLRLVSQYEHYITFQLNELWN >KZN04671 pep chromosome:ASM162521v1:2:14472634:14472951:-1 gene:DCAR_005508 transcript:KZN04671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNLSHSFKYIIFISYHSFNILLFNNLLIQQPITIHHQPPPSTSMTTTNSGDHQKITTGKHKITTVKLKITTGNLKITARKPKNNTRKLESSNHHHLQTTTTTS >KZN06902 pep chromosome:ASM162521v1:2:36764896:36765576:-1 gene:DCAR_007739 transcript:KZN06902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGTSRVCKFISHGRYRAQKRIPPPVYIDQLFVNKDESSTAASNNQIKKCLPHTEKTKLIDQQVSAESIRSSREDDVKERKKHTSADDMWESLVLGSPQMYGINERAEEFIVRFRAEMQKQERSASHL >KZN07517 pep chromosome:ASM162521v1:2:41485450:41485992:1 gene:DCAR_008354 transcript:KZN07517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGPNTKLPFILILLLAIFLCSESRLDHGLLQETNMTLYIHDYFSGPNTTSIAIGVPSDDHWVVNSFGTMYCTDNPVTEAPEADSDYVGRAQGTFVSSAMDGSNSQVVMSIAFETNEFRGSTLQIQGAGPQMQSVKEVSVLSGTGAFRYARGFATFETIFYDRAANYSVVEWNITMEHY >KZN07824 pep chromosome:ASM162521v1:2:43811362:43815679:-1 gene:DCAR_008661 transcript:KZN07824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTNPRPIPKTTRGLSIFCRVFPHKLRYQSLAPLNAARTFSSVNKSSSKSLIEDEAQLSDWVSDLSSHSFLAHNKSKKLYTDDDNDDDDFAHKRRRRRADDDGDDDFASRRGASRANRAGSFGGHFDRKREYGSSDYAVSSRARGGGSVNGPRGGRDFDSNDYGRGGGVSSRSRGRGGRDFDSGAYARGGVSNRGRGGRDFDTDSHGRARGGRRDSFDLSSRDYKLETRGGRASQLGSRGDRGGGRGGMRAATSVSKRAGLILSDTDDFDENEVEDEDTDEGVEKVRDSFRELISEENSDDNSESVDDTDDDDEASANANSFSRMEIIDSPSASRKDVLAKARTGTGKTVAFLLPSIELVTKSPPVGHDQKRPPIIVLVICPTRELASQAAAEANKLLKYHPSIGVQVVIGGTRLGLEQKRMQTNPCQILVATPGRLKDHIENTAGFATRLMGVKALVLDEADHLLDMGFRKDIERIIAAVPKQRQTLLFSATVPQEVRQICHVALKRDHEYIDTVKGSEETHAQVLVFCTTAMVTRLVADLLGELKLNVREIHSRKPQSYRTRVSDEFRKSKGLILVTSDVSARGVDYPDVTLVIQVGIPADKEQYIHRLGRTGRKGKEGLGILLLAPWEEYFLSTIRDLPISKASLPSVDPDTKRKVEKALSHVEMKNKEAAYQAWLGYYNSVKSVGKDKYRLVELANEFSRCMGLDNPPAVSKLVLGKMGLRNIPGLRSK >KZN04234 pep chromosome:ASM162521v1:2:4880356:4882769:1 gene:DCAR_005124 transcript:KZN04234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCLYSLLWGLIDVFVLGNVQFHQYQVVGRALPSEKDEAPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGGIEQMYTEMGSRHRVRNHCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVSKKVRPPTRKLKTTYKASKPNLFV >KZN04631 pep chromosome:ASM162521v1:2:13738609:13739925:1 gene:DCAR_005468 transcript:KZN04631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVLFTPKGTQFLQYDSNELECNINGHHQIDMMSTNQSSLTSTSRASSDSGEPSGDTKWAAKLLKECAKAISDKDSSKIHHLLWMLNELASPYGDCDQKLASYFLQALFCKATESGQRCYRTLVSVAEKSHSFDSARKLILKFQEVSPWTTFGHVASNGAILEALEGESKLHIVDISNTLCTQWPTLLEAIATRNDETPRLKLTVVVTENMVRSVMKEIAQRMEKFARLMGVPFEFNVVTGLNRLGGITKEGLGVHDDEAVAINCMGALRRVEVDERGAVIKMLRSLNPRVVTVVEEEADFCSPRNDFVKCFDESLRFYTLYFEMLEESFVPTSNERLMLERESARSMVRVLACDEDQNTDTCGGDCERREKGKQWSERLKENFNQYSFSDDCIDDVKALLKRYRPGWSLVQPQESDLGLYLTWKEEPMVWASAWKP >KZN04662 pep chromosome:ASM162521v1:2:14339250:14341139:1 gene:DCAR_005499 transcript:KZN04662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVILPQIRGRKVEVSYNCIGQEKSLVLLINGNSTSEHDLVMKNDLEHLLHLLHGKGWDRPWQYLMDHSTSNRAYQAWHHELELVVHRAELERMNYENQRLGDMVDEVTTKYQLQQSSDAFSRIYAAAATESG >KZN05790 pep chromosome:ASM162521v1:2:27264037:27269356:-1 gene:DCAR_006627 transcript:KZN05790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPLLISSPKTPDTHQKQDLFKPNSGNHLSKSSFRMEANNSMDGSNSVGPNAVNSSSLRSGSSIRSSNSIREVNFVDLGSRARSRGGADSDGFGMSQREINDDDARLVYINDPIRTNEKFEFAGNSIRTAKYSVLTFLPRNLFEQFHRVAYIYFLVIAILNQLPQLAVFGRTASLLPLTFVLLVSAVKDAYEDYRRHRSDKIENNKLASVLVNGQFQHKKSKDIRVGEIIKVFANETLPCDMVVLSTSDPTGVAYILTINLDGESNLKTRYAKQETLAKNLENDKISGLIKCEKPNRNIYGFQANMEIDGKRMSLGPSNIVLRGCMLKNTAWAVGVAVYAGRETKVMLNSSGAPSKRSRLETHMNKEIIFLSIFLGSLCTIISICAAVWLRRHRDELDFMPFYRRKDFSDGKNDNYKYYGWGMEIVFTFLMCIIVYQIMIPISLYISMELVRIGQAYFMIRDNQLYDESSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCATIAGVDYSGSKASLQGEQSGYSVLVDGKVLKPKMNVKVDPELLRLLKNGKGTSESNSIHNFFLALAACNTIVPIVVASADADVKLIDYQGESPDEQALVYAAAAYGYMLIERTSGHIVIDIQGDRQRFNVLGMHEFDSDRKRMSVILGCPDRTMKVFVKGADTSMFNVLDRSLNLHTTETKAHLHAFSSMGLRTLVVGMRELNASEFEQWQSSYETASTAVMGRAALLRKVATNVENNLIILGASGIEDKLQLGVPEAIESLRTADIKVWVLTGDKQETAISIGYSCKLLTRRMTQIVINNRSKESCKKSLDDALTLLKKLSSVSGAAENAGRSSEDGLGTTGLIIDGTSLVYILDTELEDQLFQVASRCTVVLCCRVAPLQKAGIVALIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVFVLFWYGLFTGFTLTTAINEWSSVLYSIIYSSLPTIIVGILDKDLSRMTLLKHPQLYGAGQRNESYNTKLFWVTMIDTLWQSMAIFFTALIPYWGTDVDIASMGDLWTLAVVILVNAHLAMDVYRWTWLAHASIWGSIIATWICVMVIDAVPILVGYCVVAGLLPRFVVKILIEYYRPSDIQIAREAEKFGTLREFESAEVEMNSVSDPARG >KZN04254 pep chromosome:ASM162521v1:2:5315285:5317753:-1 gene:DCAR_005104 transcript:KZN04254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVHAAKRWSSEQNASAVDFEEIFRRTDKSGRTVLQLAVERNDVDAVRLILKEDPANQLGRDIKRNGLMRLISNAIDNGYSDDIIKSLSETYKAGITDPDYKEALALILAIQKLDKDSVLSLLRKAKKLVSFTEDNGWTPLHYAVYCEFDSILDAIIKAQKEVGYSFVYRNMESTPFYVAVRHGYTSTLVRLLESWPALSSAAESPYTIVTEDGKNILHLAAAADAAENKKAFADNADTRKAAADNRKEMVQGILKYCPKKYKDMILKQQDCNGNTPLHLLFWHGCSIPELTKHQGLDTMRKNKKEFTPLDMLYVKDDIVADQVHIKIALDDVQNDQSILKLWDKKSEKKKDIWECNKTTPSKRRKKDVKFDVEKNKLKEKKHAERKKDRERYKMRTNTQILVTALITTVTFTVGFTMPGGLHQSGEADEGQVILSRKGAFNVFMVSDALALLMSTSSLFFYFLESMNEDLHQVSLLNASSTVLNILSIGTMMLTFIAGTYVVLSDTPVLAIAICITGSLFFSLILLWIIKIAYDRCVKKNKD >KZN06140 pep chromosome:ASM162521v1:2:30474303:30475772:-1 gene:DCAR_006977 transcript:KZN06140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISNISPLIFSFILLSLSALSLSKSLPYQTFIPQSLPSLHPLTWDQQSDALTHLAADELTVAGEETENTLSLQLHHLDYLNLDQANASSDALFINRLTRDAGRVEALSSVAAVKTNSTRTRHRGKSVSDFSSSIISGLAHGSGEYFTRIGVGTPARYSYMVLDTGSDVVWIQCSPCRKCYTQSDPVFDPTKSSTFGGVACGSPLCKRLDSPGCNSGKKCLYQVSYGDGSFTVGEFSTETMTFRRNRVKNIALGCGHDNEGLFVGAAGLLGLGRGRLSFPSQAGPRFGRAFSYCLVDRSASSKPSSIIFGSSAISRKAVFTPLISNPKLDTFYYIGLTGISVGGAPVRGVSASLFKIDAAGNGGVIIDSGTSVTRLTRPAYIAMRNAFRVGARNLKRAPAFSLFDTCFDLSGVSEVKVPTVLFHFKGANVGLPASNYLIPVDSKGTFCFAFAGTSNGLSIIGNIQQQGFRVVYDLGRSRVGFARDGCA >KZN06179 pep chromosome:ASM162521v1:2:30800766:30804428:1 gene:DCAR_007016 transcript:KZN06179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVAGSAIAAFKLTGASATTDRKISSLGSFSPISKSFQTLNHSLQCRSLSSFASSGVKAQVSTIEKAAVETVKEVEAPVVVVTGASRGIGKAIALALGKAGCKVLVNYARSSKEAEEVCKEIEASGGQALTFGGDVSKEADVESMIKTAVDAWGTVDVLINNAGITRDGLLMRMKTPQWQEVIDLNLTGVFICTQAAAKIMMKKKKGRIINIASVVGLVGNVGQANYSAAKAGVIGFTKAVAKEYSSRNINVNAVAPGFIASDMTAKLGDDIEKKILTTIPLGRYGKPEEVAGLVEFLALNPAASYMTGQVLTIDGGMVM >KZN04768 pep chromosome:ASM162521v1:2:15514953:15519055:1 gene:DCAR_005605 transcript:KZN04768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPRGKHSQASWPSSMMKKWFNIKSKKYEHDEDRVDTDAERKETEREDREDRDNDARYVEDMQRHDADQEDLPGTRQGDFAESSCETSGTQARRPYIKHRRGKSETLRAQYINTKDVRVTIGTWNVAGRPPHDDLEIDEWISMEEPSDIYILGFQEVVPLSAGNVLGVETRRPILQWESVIRRTLNRTFEPDPKPKSYSAPLSPVFPLSPVFPLSPVLRASAADDDLLTDIGGTSALELIPEESSNIGSESDPKRQTVDEFIGVGKKFRLQRSNSIDQHSRLNWPEHPLDAISQLISTGKKFLQRSYSIDQYSKLNWPERPLDVTSQVLPSMRRVLSMSSSAKVRSDWVKNAKNFSSQYNAVGHGRSEKIGVMWMNREDQSVVCLPDADVLDLCPEVEEHSFGIPELEHENSILEDGVKSRLKYIRIVSKQMVGIYISVWVRRRLRRHINNLSVLPVGVGLMGYMGNKGSVSVSMSVFQTRLCFVCSHLASGHKEGYEQRRNADVYEIIRRTQFSSAFDIHQPLTIPSHDQVFWFGDLNYRINMSDSRIRKLVSMKQWNELLNSDQLNNELHNGVFDGWKEGHINFPPTYKYGINSDVYVGENRKEGEKKRSPAWCDRILWLGKGIKQLSYNRAEMWISDHRPVSSDFLITVEVFEHHKLQKALIVTSAVIHPDDIFEVEDLEVED >KZN04926 pep chromosome:ASM162521v1:2:18025273:18033981:1 gene:DCAR_005763 transcript:KZN04926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNRADFTQDIEIAKDFLSNYADLNGDAKYIALLQDVANRKTKAIEIDIEDLFNYKDLDEEFVRRVTENTKRYIGIFAEAIDGLMPDPTEAFPDDDHDILMTQRTEEEPDGSEKVDSKQRMPPEIKRFYEVYIKASTKVQPFTIREVKASNIGQLVKLAGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSSRCRINNAKGNLILQLRASKFLKFQEAKMQELAEHVPKGHIPRTMSVHLKGELTRKVAPGDVVELSGIFLPIPYTGFKAMRAGLVADTYLEVMSVSHFKKKYEDYELEGDEEEKIARLAEDGDIYNKLSRSLAPEIYGHEDIKKALLLLLVGAPHRTLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQRDPVTNEMVLEGGALVLADMGICAIDEFDKMDDSDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLMWLILDRADMDTDLELARHVVYVHQNKESPALGFTPLEPSLLRAYISAARKVSPSVPRELEEYIATAYSSIRQEEARSNTPHSYTTIRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQKSGLDAISDIYSILRDEAARTNKMDVSYGHALNWISRKGYSEAQLKECLEEYAALNACTYKMEVPQVKATFHLGSETYSVYANKGILSEQLVALKEESMTILKAYITKHNVPIDVPDEVEEVSEDEEITEKPKPKKQK >KZN06398 pep chromosome:ASM162521v1:2:32561013:32561400:1 gene:DCAR_007235 transcript:KZN06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLIKIRGEDVKPLSQLSTLHHCRVRYHVKEHHRVLIRIYACQSHLLLQKAHLNGHRFAYKVRMTKQHCNFMQLVGKTKPNIYPDPRAPSENGSN >KZN06449 pep chromosome:ASM162521v1:2:32973584:32975366:1 gene:DCAR_007286 transcript:KZN06449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDEDFKLLKIQTFVLRVNIHCDGCKHKVKKLLKRTEGVFQVVIDAEHQKVAVSGCVDSATLIKKLIRAGKHAELWSAKTNQNQNQNQKKKDGCIKGDKNNKGQKQVAFRGVDTKNHQQELSDLSFSEDNEYIGDEEEERYLNLLMQQAEANNARKMLEAVAAQNKGKMNNQGGKRGNQNQNMVMTTNASGGIDPKLLAAMNNLQFGGGNTGEIRRGGGSNDVNSMMMRNFAGFQGGENNNIAAAPGGIYQVQPTAGIQGGSSSGLNFANYPAYNINNYSPQLNNPAAAAMLMNMQNRQVMLQPQAQPQMMYQRSTVVPPSTTGYYYNNTPGPYTPYNEPVYYAGAAHQSASHIFSDENTSSCSVM >KZN04305 pep chromosome:ASM162521v1:2:7322228:7323722:-1 gene:DCAR_005142 transcript:KZN04305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMESTGIVADKFCIQLSCEDTMSNKLDINNDFKEVSEQWENFQCINVFSGDFC >KZN05953 pep chromosome:ASM162521v1:2:28662479:28665267:1 gene:DCAR_006790 transcript:KZN05953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIVPLQGVVQGRGGLLLGSAIPCALFYFFQLYLKQRQRRQSPPEPEPPEVSPRLNRVLSRSISSPRGSSGPAHVSLRANSIVKLVDSAYFVGLNRVCEDPFHESENPDGVFQLGLSENMLCKDLVEKWLADNGESICGIAANLPNDGLMELKVELTRIMSRAIDKIVFFNPSQMVMTAGVTSAIEMLSFCLADAGNAFLVPSPYDPDLDRAVQWRTGVEIIPVPCRSADNFNLGTPALYRAFNQARKRGLKVRGVIISNPSNPVGSLLNRETINNLLDFATEKNIHVISIEMLAGSSHGDDQFVSMVDIIDSGDFDRNRIHIVYNLSGDLSLTGFSVGVVYTYNDIVLAAAEKLLKFSSISVPTHQLLVSMLSNRRFVQMFIEANKDRLKMMHTEFLAGLKQLGVECVKSSGGFYCWADMRKFISSYNEKGELGLWDKLLNVSKINVTPGSCCHCIEPGWFRFCFTALKVKEIPVVMERIQRVTGKVSQS >KZN06344 pep chromosome:ASM162521v1:2:32073510:32079126:-1 gene:DCAR_007181 transcript:KZN06344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPLPTSATQSGSPSESGGETVVTLDQVPCWSDAEFRLSIDAENSGYPNSYFPDPLAAASSGGGESGVNGMVSRFPVDQEINSKIYLWRGNPWNLEVDAVVNSTNENMDEAHSSPGLHVAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLHSIAMGCIYTESKNYPREPAAHVAIRTVRRFLEKQKDKVTAVVFCTTTSYDTEIYKRLLPLYFPRDKQEEEVAISKLPADVGDENGETTIDERKIRIKPLPKVKKSVPRSSQSPMDVPVSEVGLVRRNSSYLDSYLDPTFMSLIKDPDQRRKEQWEKTAQAQNGFNFAKMLGYGDIGGPPLSAAEEYSLHSRYLSKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVFYVVKEFEPLMHKPFSIVYFHSAASLQMQPDLGWMRKLQQILGRKLQRNLHAIYVLHPTFGLKAAIFALQLFVDGVVWKKVVYVDRLLQLFKYVPREQLTIPDFVFQHDLEVNGGKGLMVDPRTKYVYQRP >KZN07638 pep chromosome:ASM162521v1:2:42370720:42372551:-1 gene:DCAR_008475 transcript:KZN07638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRRVTLFLLLVVACYVHQGIASLSDADRKVDETQIVYDESIHAFIHEPLDSEMTEDKLPIEYWIGLPDRPVRWDDIDSYFIWRVNQTKNFLFYMLGETYIEEYNDRVMAVVRCEAQVEDCNSHYSDSQKIDGRKL >KZN05610 pep chromosome:ASM162521v1:2:25603418:25605985:1 gene:DCAR_006447 transcript:KZN05610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKRRRPRFSPAVFRCRSLLPHHSKSQTFNLYTSYSSQVSSQNEKQASIITKTPDTKTKLSHIFSQPISRYELLSPAHVTKVLLTHRNDPGSALHYFKWAEKKFNMVISTDPYLVLLHILAGSEDYYRVIRNMLNRMVSDCLSGIGPNLVDQLIDCAKRFDLEVNYVVFNYLLNGFVRAGRFIDAIECFGRIVECEISLSVWCINFLMSRLVRNNLIEEAKDLYAGLVVRGTYDCATVDVIMRACMKEERFDEAEKYFRQAKGSGVKLDVSVYCGAIYAVCKVPDADYAYELLKEMKGLGWSPAEGTYTCVVGAYMNQKNMVAALKVRDEMVADGIPMNLIVATSLMKGYYLEGDLGKALGLFEEIERDGPAPDKYTYSVLIQGCCRHGNMHKAKELYNRMKLSGIEPDAYHVNYLIRGFLEAELWEEALVQFHDAVECGVYNVFMYNVLMSSLGKAGNMSEACNILDDMLSKGLVPNVVSYNSLILGYCRQGNMDSASNLFADMQEKGPKPNVITYSILIDGHFRKGGTEDAMKYFNQLLSQGLTPTNYTYNILLNGLCKARRTSEARDRLKKLLEDGFIPDCMVYNSIIYGFVKENAINSALAVYTEMCESGICPNVITYTTLIDGLLKSNNYDLAIKLKNDMRNKGLKLDITAYGVFIDGYCKRRDMESARELCDELYAVGLSPNTVVYNSMISGFKSLSDMDTALMLYNKMIQDGIQCDLATYTTLIDGLLKVGKLLEATNLYTRMLADGIKPDVIVYSVLVSGLCNKGQVENARKIVKEMESKNAVPNVLIYNSIIAGYFREGNFVEGFKLHDEMIEKGVTPDDTTYDILVSRKASGNSSPISATFFNL >KZN07729 pep chromosome:ASM162521v1:2:43124063:43125310:-1 gene:DCAR_008566 transcript:KZN07729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPALIFLLSLLTFSHVLSATNITDVLSSHPEYSQFNTYLSQTKLADEINTRQTITVLAVTNAALAPLAGKPLSVIKNVLSLHVILDYFDAKKLHDIPDGSTLSTTLYQTTGNAQDKIGFVNITDLKGGKVGFGSGISGSKLDSSYTKEIKQVPYNFSVIEISTPIIVPSILTAPAPSDANITGLLEKAGCKTFAGLLLNTGVLKVYQSALTKGLTVFAPNDEAFKKKGLPDLTKLTNAELVSLLQYHALPSYSPIGSLKSSKDPISTLATNGAGKYDLSVVTAGDSVSLKNGLGESRIAATVIDSTPFVIYTVDNVLVPKELLSVSPSPAPTPGPAEAPSPADDSPAPSPNADAPAPVLSPPAPPTESPNAGPADAPGSDSADDKAAAGDFAPGKVGVFVTVSVCVIVAALVS >KZN06322 pep chromosome:ASM162521v1:2:31936212:31937696:-1 gene:DCAR_007159 transcript:KZN06322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNMQQDQNMNLVLSSDAKPRLKWNPQLHQKFVHAVAQLGGADSNTEIFDEADGHSWAYIKYRLGKGQQSQSSLDDDQAGKYLHSVLKKAQDTLSGCNASSAEVELAKTELSQLVSMVDSGCPSSSLSMLTEIHGSILRSSEKKPSRGTRSSLESSLTSSESSGRKEGHFQEHETEEITNINPNSPELSLMEMHSHKNSIPAEQGNGRKRSECSLFEGDSVDQPLWKRPKAQRTDQLTRFDFLETLDLNSHYSSNLEFSRKEIDLNSKDVEQSDGI >KZN06052 pep chromosome:ASM162521v1:2:29639989:29644125:-1 gene:DCAR_006889 transcript:KZN06052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQRRGGRGYNSGPGRNPPGAPPPPPPKSLNQEPIDREKVGGHHSNEEFSVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPEARRRDATLSFAFVYPSKTGRLVVREVGRTSSVPNPRRPDDSKLALRDVDFEIGDYLDVAIL >KZN06147 pep chromosome:ASM162521v1:2:30546608:30547632:1 gene:DCAR_006984 transcript:KZN06147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADGWSNGQLKKKAESDMVDDQHVALADAIASWRHGILTIGTLGFDPLKHFDQLNEIQSLKNEQDEIEDNQEEYEQDASVEKCIEEGNGDQDPLIQHAAIHGFNNSSGGDNLNNSLPLFNIHDQEPDITIDDHVAADNSNYDNLGTERKRQVEGERVTLADLFAVDCEEFGGAKPHTPPHIHDNNAKVVMIRTEDHDCPMIKKAQEAAISPAAKTPISRPKEDSHSSRPIKKINRLMTKILKRKIHPEGKNEKKANQMKPGCENGADERVSLL >KZN04815 pep chromosome:ASM162521v1:2:16723870:16732290:1 gene:DCAR_005652 transcript:KZN04815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRRPSSLCVRLLQLYVPTFDVTRTCKVLCRDITTMRRGATLVDLRDDKSMRQLPRPDGSLRCLCRGRAQDTHKSYWDRILSVTKKEQRYDPIIKYEALRQWVAPKYPCANSTFRSYSSASMDSETKTMAEEAQKQKDETLAGTSGKEPRSYIRYEGRRICISDDSDDSTDWYDSESGSDSSTFGSADDETMAEEAQTQKDETLNVNEEEELYHYDSSIKYEPLKTYAVPRFSFSDDFSTDCSDSSSFALDSETENEPMAEHEAQTQKDRILVGTEYEQLLCATDLKSNPAVPDLSTLVKQGDESTTEGDIDDSN >KZN06839 pep chromosome:ASM162521v1:2:36229833:36230738:1 gene:DCAR_007676 transcript:KZN06839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQEDAGLNPDMAKLAFAKGIWRYITKMDDALRKYSVINNIQISSAVNANDFVQKVPTAVGTLDSSNARAKREFSAESSDNRQVTEELNSRRSSRRPSCKLVTNSLILLGGVICLSRGRPNLGAKVAMSYILTKLAKRGSLSQKGCFSTRVS >KZN04353 pep chromosome:ASM162521v1:2:9061979:9067325:1 gene:DCAR_005190 transcript:KZN04353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANANDDKTPSSSASTSPTTLWDVFLSFRGKDTRYTFTDHLYKALVRTGIQTFKDNSELRSGEVLSNSLIQAIQNSKTYIVVLSENYASSPWCLYELVEILTCYKRMKRLVIPVFYYIDPSVVRHQIPCFKEIIEKHQSRFDAEKVNQWCLALTAVADFSGYQISKSRSQADIIDEIVDRLMELSKVILERAGGLPLALQVFGSNLLNQTEEGWRWFIDKLNRVPIDDVEKNLMISFDALKSVDPILQDIFLDIACFYIGWRKKKVAKIMKTCYVFVNHNIDILKKRCLITISDKDELGMHDLLHDMGIGIARNNSPDEPGKHSRLWVLQDIYSMLKLHKGTEVIQGIISSNYHHANALDEGVSFDAQTFRRMSKLRFLFLNKVNLTGSFEHIFTDLRWFCWKYFPLKCLPAEFYAQKLVTLELPDSEMIAMWDLNVVPQVFENLTTLNMSHSPNLTMTPDFTRLPCLETLNLRGCSSLEEVHISIGSLGRLVSLDLKHCGKLRSLPDCICSLRTLEVLDITCCISLDALPIELGNIGSLKKLDASVLSVLKLPDSIGHLSNLVRLSIYQCKNILTLPDSLGNLSSLEYLNISFWEKLEELPYILGKITSLRQLHAVCVNMLKMLPDISQLLNLEELDLRSCHHLLSISELPPNLKRIEAKGCRSLIRLPDLSTLKQLQRLDLGYCKVLTDIQGLEELTSLAYLNLTKCGSMERIPNLSNLKQLEELELTHCNGLTEIIGLEELTSLRKLYLTGCHASLLEYTLTRHFFQIFSGFGHKIEIYIPLAEYPDKIEWIECASGMSTDLLALVICFCSSNGYNVNCSVKNNTSGFIWSDRLYNSVGILSVVIVPNSILSIKDGDDIEVTVDEDISCGLHLLYKTEATIVKVKDKKRSNPSNNDLGSNKNWLSPGSTSVNIEEGRSYPSISNLESRMKSLCLGSNTVTVEEESSRPSKRFRHLE >KZN06332 pep chromosome:ASM162521v1:2:32010110:32010777:-1 gene:DCAR_007169 transcript:KZN06332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATLTNFNHAKITAPKHQQHHRRLHTPTIIQATFSGEENTTQKRRKFLTSLVATSIAIGGLNSVATPVAQAENWGTRSFLKEHFFEPGLSPEDAVARIRQTAQGLHSIRNMLETLSWRYVLFYIRLKAAYLSQDMTNAMTMVPQNLRSSYVKTANELVDNMSEFDKYVRTPKIYESYLYYEKTLKSIDELVALLA >KZN04012 pep chromosome:ASM162521v1:2:587928:599732:1 gene:DCAR_004810 transcript:KZN04012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFLAAYCTVEPLFRLVTGVSIFNLDGQTEFAPFEVVSLIINALSWGFVVLMIAVETKIYVREFRWYIRFGVIYLLVADTVVLTFVISLMSFYTRSILFDYLGTFFCKILFGMLLLVYVPHLDPYPNYIPLSTESFDDTKYEALLGGEHVCPEREANMFSRLFFGWMTPLMQQGYRRPITEKDVWKLDTWDQTETLRKKFLDCWMKESQKEKPWLLRALNCSLGRRFWFGGFFKIGNDLSQFVGPVILNHLLQSLGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTTEGREKFPPGRITNMMTTDANALQKICQQLHGLWSSPFRIIMALVLLYQQLGVASILGSLVLLLMIPLQTFIVSKARKLSREGLECTDRRVGLMNEIIAAMETVKCYAWEESFQTKVQSMRNEELSWFRKALLLGACNSFILNSIPVLVTVISFGCFTFLGGELTPARAFTSLSLFAVLRFPLNMLPNLITQAVNANVSLQRLEELFLSEERILLPNPPLQPGLPAISIKNGTFSWDPKTGKHTLSDVNVDIKVGSLVAIVGGTGEGKTSLLAAMLGELPPIVDGSVVIRGTVAYVPQISWIFNATVRGNILFGSEFEAARYWRAIDVTALQHDLDLLHVKSSRGHDLTEIGERGVNISGGQRQRVSMARAVYSNSDIYIFDDPLSALDAHVGQEVLSSAY >KZN05530 pep chromosome:ASM162521v1:2:24757000:24759054:-1 gene:DCAR_006367 transcript:KZN05530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSGNSSSVSVPQGSSERGPSKPRGRPPGPKNKPKESKRETMGMKPVTLEVQAGVDIITWVTNFANSNQVCITVTAEFGHVSLAVLRYPLVLSFDTGNSKLLQRCTEPEEWRADWRDNIQDGHHGQAHLFLHPVPRPFTMNNSGNSSSVSVPQGSSERGPSKPRGRPPGSKNKPKESKRETMGMKPVTLEVPVGVDIITWVTNFANSNQVCITVTAGFGHDSLAVLSNVLSQAPVRQYKEYLAVNNFSLATLVL >KZN07115 pep chromosome:ASM162521v1:2:38487450:38492509:1 gene:DCAR_007952 transcript:KZN07115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSQGPVVSPQGFNHLNDEPDHLLVLVHGILASPSDWTYVEAELKRRLGRNFLIYASSCNTYTKTFGGIDGAGRRLADEVLQIVKKTESLRKISFLAHSLGGLFARYAVAVLYTPDISSTDHSVDSPSSINGNSKTECSVGRGLIAGLKPINFITLATPHLGVRGKNQLPFLLGVPILEKIAAPVAGLFVGRTGSQLFLTDGKPSRPPLLLRMASDSEEGNFISALGAFRCRMIYANVTYDHMVGWRTSSIRRESELVKPPLRSLDGYKHVVDVDYCPPVSSKSPQFPPEAAKAKGAAQISPSTQNTVEYHEIVEEEMIRGLQQLGWRKVDVSFHSSFWPFFAHNNIHVKNEWFYNAGAGVIAHVADSLKQQEKEHESFLHITANL >KZN06652 pep chromosome:ASM162521v1:2:34731411:34739234:-1 gene:DCAR_007489 transcript:KZN06652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRSKTLGAGQGINLPNVQRGTGLTKAAPQIILIHKILQISDPQRPNLIHKNTADLGRETYPWSRRRWLLLGKSVLLRPIPLQLTAFSSTRSDPKLVEVGEISETGEISETGEISETGLGGPVPKPSRIEVDEEKVNVDKPRIGGNSSGLAGQIEKLVVYKRYKEALELFEILEIEGDHDLGSSTYDALVDACISLRSIRGVKRVFSFMINSGFEPDQYLRNRMLLMHVKCKMMIDARYLFDEMPERNLVSWNTIIGGLVDSGDYIEAFRLFLTAWEEYSDLGVGCRSFATMIRAAAGLERISPGRQLHSITVKMGIAGDVFLSSALIDMYSKCGNIDDAQCVFDLMPTKTTVGWNSIIAGYALHGYSEEALAIYYEMLDSGVKMDHFTFSIIIRVCTRLGSLEHAKQAHAGLVRNGFGLDMVANTALVDFYSKWGRIEDARNVFEKMPHKNVISWNALIAGYGSHGLGIEAVRLFHRMTYEGVMPNHVTFLAVLSACSYSNLQDYGWEIFESMSRDHKVKPRAMHYACMIELLGREGHLDEAFALISDCPFKPTVNMWAALLTACRFNKNLVLGKLAAERIYGMEPEKLSNYIVLLNVYNSLGKSEEAASIFNTLRKKGLRMLPACTWIEIKKQSYVFHSGDKSEFSPKLCFPCWQVNSFCSPESHYWCGGACDRFLHEARFKLYWKDLIGIFSQDLVSTT >KZN07415 pep chromosome:ASM162521v1:2:40696442:40698479:-1 gene:DCAR_008252 transcript:KZN07415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRDTASWNSVIAGYINSGSYESAWEFLKSMKRNGVVLDGYSFGSILKGIACDTRLFLGQQVHSDIVKMDCQGNVYAESALLDMYAKCGRVEDAMRVFECMPERNSVSWNALIAGYVEVGDVFNSFRLMSCMKREHMSPDDGTFAPLLTLLEDPVFYKLMLQLHGTILKHGLAHCNTVYNATITAYSECGSIQDAKRMFDSCPFARDLVTWNAMLAAYIVHEQETNAFKLFLNLRELGLTPDLYTYTSIISACSGKPQEFQGKSLHGLVIKVGLEQSTPISNSLMAMYIRLNSLYMEEAFFIFSSMKFKDRVSWNSILTGFSQYGLSENALKLFQDMRLNYQEIDHYAFSAVLRSCADMAALQLGQQTLVAGSSQPTSVNVSFDGFPPPSGVPGAID >KZN07566 pep chromosome:ASM162521v1:2:41865356:41871238:-1 gene:DCAR_008403 transcript:KZN07566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSSNAQSIHDFTVKDAKGNDVELSKYKGKVLMIVNVASQCGLTNSNYTELAQLYEKYKNQGLEILAFPCNQFGAQEPGSNEQIVEFACTRFKAEYPIFDKIKIGSSMATASDKPKSVHDFTVKDAKGNDVNLSQYKGKVLLIVNVASQCGLTNSNYTELAKLYEKYKDQGLEILAFPCNQFGSQEPGTNEEIVEFACTKFKAEYPIFDKKDIKKLLEKA >KZN06914 pep chromosome:ASM162521v1:2:36848369:36849729:1 gene:DCAR_007751 transcript:KZN06914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPDHSDFQSQGEIESSVQASAPEHPDNHEHPSLDRQSSFFSLTLDDFQHTFSGDIGSFGSMSMDELLSNMVTEEEIQAFAQTLVSNTSTALCTAAPTEVAIVPTAAATSNNNPQHPEGMISTSSVNDTAIWREVDSSQHQATNGGMTLEDFFIMAGVVPGSDRPPSPVHQQSFVSHQHNNSTGLPSGPAGDMVRPVMASGGGINVPSNPTSSESVGADQSGRLDDPVEKAAARKIRNRESAARTRARRQAYTCDLEEKLRVLNEKNSRLRQDLETMDRRKKQESESYFHDVMQEMEELKKARKDNDGKKGLKRSWSCLY >KZN05831 pep chromosome:ASM162521v1:2:27596489:27601385:1 gene:DCAR_006668 transcript:KZN05831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRASAPEAAKIAIESIGCGYDISLDLRLKYRKENSKNSRLIEFHDDRGREIVLPGGIVIPNVPKAIKCDKGERTRFRSDVLTFQQMSEHFNQEISLSGKVPSGLFNFMFEFTGSWQKDAANTKTLAFDGVFITLYTVALEKTQMVLSDHVKKAVPSSWDPAALARFIENFGTHIIVGVKMGGKDVVYMKQQHSSSLQPADVQQKLKTIADKRFVDTSGQHKLDTEHSSQNDKFQGKEQRLRFADIDSSTSYSHKEDIVSICKRRGGSDNKNLAHDEWLRSVQFEPDVTSMSFIPITSLLNGVSGSGFLSHAINLYLRYKPPVEELHQFLEFQLPRQWAPVFSDLPLGPQRRQQSTSSLQFSFMGPKLYVNTTPVDVGKRPVTGLRLYLEGKRSNRLALHMQHLSSLPKVFQLEDDPNGNFRQESYDRKFYEKVQWSNFSHICTAPVESDEDLSIVTGAKLEVKDHGFKRVLFLRLRFSTVVGAISAKLPEWDGSPGLTRKSGLISTLISHHFTTTQKPSPRPADVNINSAIYPGGPPAPVQAPKMLKFVDTTEMARGPQETPGYWVVSGARLVVEKAKISLRVKYSLLTVILPDDDEELQAES >KZN07394 pep chromosome:ASM162521v1:2:40565164:40565382:1 gene:DCAR_008231 transcript:KZN07394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNLVLFVPFVLSQPRSFSKILLPPNATSPESVALARRGGGPYVTIADGRILKWLGPDFGFMDFATTASDR >KZN07024 pep chromosome:ASM162521v1:2:37752681:37753385:1 gene:DCAR_007861 transcript:KZN07024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKTHKSPLNHLDATNSDNQTSKRLRFSPDEESFIMVSALTNIITGNTSFLPETTRESRIISTGSTTKASTSFFPETTEMCKFCNIAGCLGCDFFAVEDNNNSKNKGKIVDAVTKKKKKKKKNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFETAEGAARAYDKAAIEFRGARAKLNFPFADYNFASQQQKQEETVESRQEEEDRKEDDLRINGMEMTGDDDFEKWMVMMDS >KZN07089 pep chromosome:ASM162521v1:2:38291806:38292459:-1 gene:DCAR_007926 transcript:KZN07089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSETLASPVLLMILLFFFLTSAISCNTNNGPCPPTLPGTPILQETPPSLPGVPIFPETPTILPGTPTYFPGTPVLPKTPETPIPFLPVPPILPYPPTLPATPIPNLPVTPTLPYPPTLPATPIPKNTNKNTCPKNVLKLGVCTDMLGRSLGQTFGSPPTTPCCKILEGLIDFEAAICLCTALKANVLGIVFDFPIAINNVFNHCEKDNPSGFQCE >KZN04580 pep chromosome:ASM162521v1:2:13004312:13009815:-1 gene:DCAR_005417 transcript:KZN04580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMSEALAQVLIPLAAFIGIAFAIFQWFLVSKVRVSPGNDNRIGDRLIEEDDQELGINGEEAVAKCAEIQNAISIGATSFLLTQYKYLGVFTAVFAGVIFLFLGSVKSFSTKSQACTYNTGEQCKPALANALFTTIAFLLGALTSVLSGFLGMKIATYANARTTLEARKGVGYAFVTAFRSGAVMGFLLAANGLLVLYISINAFRLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKIEQNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGINHDFSAMSYPLIISAMGIIVCLITTLFATDFFKINNVSEIEPSLKRQLLISTILMTAGIAIVTFVALPSKFTIFDFGDQKVVKNWHIFFCVSIGLWAGLAIGYTTEYYTSNAYSPVQELADSCRTGAATNVIFGLALGYNSVILPIFSIAIAIYVSFSLAAMYGVAVAALGMLSTISTGLAIDAYGPISDNAGGIAEMSGMTRSIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFASRAEIKTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFSSIPGLMEGTVKPDYATCVKISTDASLRKMILPGALVILSPLIAGTFFGVETLAGLLAGALVSGVQVAISASNTGGAWDNAKKYIEAGTSEHAKSLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKWF >KZN04924 pep chromosome:ASM162521v1:2:18008390:18010496:1 gene:DCAR_005761 transcript:KZN04924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLRKLNRATCHRMSMVRTMVSQLAKHERIETTVAKAKEFHRLADNMVQLGKEGTLCGARRAGAFVRGNDVIHKLFTELAYRYKDRAGGYTRMLRTRIRIGDAAPMAYIE >KZN07244 pep chromosome:ASM162521v1:2:39363756:39364168:-1 gene:DCAR_008081 transcript:KZN07244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSNNNSALLILTLALLLIFAISESRSVLPRRLAKASVPTCDMVTGVSSGDTCFSIAQSFALSADEFNSINPNVNCATLFVGQWLCVVGSA >KZN05279 pep chromosome:ASM162521v1:2:22049261:22050487:1 gene:DCAR_006116 transcript:KZN05279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTGSSGNPMGNVQEAYCLADLVDDANLARFISLSRGETAQPDGHFCHNFLDCDTINGCLVHNQFEPFIGLPYDHFDNPPITPFSDPHSLFNNLPNSYNELKEAQEDACEYSSGTMTTTTTSAPKRSKKGDRTRTLVQEQRRRSRMKDKLYALRALVPNITKMDKASIVGDAALYVQDLQKQAKKLKAEVASLESSLTRMERQQGGIHDNENKIQIADFYQTVKMILQIRVCQVEENGYYVRVVSNKGHGVAASLYKALDPLSTFILHSSNLATQNDTFLSTFNLNVREVESDTNAPNMKLWVAAAFLNQGFDLKQPL >KZN04247 pep chromosome:ASM162521v1:2:5202797:5206043:1 gene:DCAR_005111 transcript:KZN04247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGMPKGHEKNERKRKASDVPRSSDDTSDENMDDNDISSEGRDSKRWIKKTGFGKLLDFCMTKVKSRVNTTVVRTIPAYIPWSDTLIGYEEKQTRRDNMFGEGEILPWTDDDDAENENDEAVHANSGKTIIENRDVDRNEVTTRSSADERLCINKAREEQTSKHMESNGDEPRDENNMMAEPEDMELDEMIIVCMAMLDRKIDQLGRRYKGIVAGITAAMEKYPNNPNIAELKVKLWKAMTTI >KZN04740 pep chromosome:ASM162521v1:2:15250779:15253095:-1 gene:DCAR_005577 transcript:KZN04740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSCIAILYLVALSFVCFSTLTLSLPLSTKSRWIVDDLTGKRVKLAGLNWAGHVGPMLPEGLDKRPLSEIAKHIALTGFNNVRLTYATYMYTRYANRTVLDSFRDLGLEAAIRGIRRNNPQILELTLTEAHKAVVDELAINGVTVLLDCQVSEPIWCCGNDDGNGFWGDKNLQPQEWLRALRIVAAFYKYTPTVVAMSLRNELRGPRQNSEDWYNYVQKGAKAIHKTNPHVLVVVSGLSYDLDLSFLKKRPLRLNLDNKIVYEAHRYAFSNRERDRWLHQPLNHVCDSITRDMNRRSTFLVEGSEPAPLFVTEFGINMEGTNPADNNFFSCFLVYLAEHDLDWNLWGVQGSYYIRLGREDDDEQYAVFDHNMTRVRNPQFQRRLASIQGKIQDPHSEVPTHDVLYHPKSGACIGVNEKFELQPSGCHSFGRYWRYDKDKHVIRLLGTEFCLSSTGEGRPVVLTKDCANMKSMWKSVSNYQLANYRDNMCLHYDSDYSEKILTRKCICPDDDDPGCVENPSSQWFKLITSNAK >KZN07094 pep chromosome:ASM162521v1:2:38316653:38317423:-1 gene:DCAR_007931 transcript:KZN07094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAFISNDATRKATYKKRKKGLMKKVEELTTLCGIDACAIIYSPYDEQPDVWPDIAGVERVVEKFKSMPEMQQSRKMLNQESFTRQRIAKTNEQLKKQLRDNREKEMTEVMSQCLTGQLGLHNLTLPDLNDLGFLVDQKLQEINKKMNEISLQEATQQEPVVIPEEAPQQQQVVPTTVSDNVGLLAGGVVEEQRMDAGGNSDVDAIGLVPGPQWLNDAVVNNNVTASYQDLGFMTPFGDANSATWAYNLF >KZN04591 pep chromosome:ASM162521v1:2:13104793:13105461:-1 gene:DCAR_005428 transcript:KZN04591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHCHGMSVELERGRRGKIVGVVTSVVEVEVDITSPNDIDGSSTDADTSQDSQKLIQVYECRRSKRVCKTAKCGTDGEDNAKK >KZN07388 pep chromosome:ASM162521v1:2:40499381:40503755:1 gene:DCAR_008225 transcript:KZN07388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSGKVLDSRRQLNDLKRKRAAQSKAHTSRASGTNSAAQSVFGSPPNKDSKRRKVDVSKSQPGWSSSQSDRSLLRYYSNLKRSSSPARLMSYQKGEWTDLPRNLVTSIKKDFQMKKAAMEVELYGKMFLIDFLRMMILDLETGSKQPIAWIDEDGSCFFPEIFADHDKINENEKVHGHVVPESFGTNDIKLQLEIDISGVGCSKLKESTGESNDLVKKIRVVKNLAIDAEADSSCVRGSNDKVCEAFGENQQEENNVQPAPFHENIDFNAAREMLLKALSSLKVDKIELACGSGILMQAQSELFRKQVEVTEKYRGDANVKYAWLPTSKGELSSVMTYGLGECEMLKMKSAYGSGILLLPVNCAQTSASYCDVDENGFQYVILCRVIMGNVEVVHPGSKQVHPSCESYDSGVDDLNDPKHYIVWDMKKNTHIYPLYAVGFKVSSDAGGHSSANENKVNTSGVTTSGQGAEVQGQLNSGSADMVQVPKSQGVAENLGSSSTKNPKSAWMPFPLLFEAISHKTSPSNMNLSKKISRDEFVKRLRLIVGDGLLRSSILNLQSKMSNDGSS >KZN07366 pep chromosome:ASM162521v1:2:40360604:40367728:-1 gene:DCAR_008203 transcript:KZN07366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGEGEVDLEEEWPSDITDLLIQVEEEFTAATQNRSRTLPPPPQHSVVASTSYSPPRLLSQKFNDKPIKQYDHFSNAPSISRSDVTVSSPNFNNNNNAIVAVTAKDQEIDCLKKYLNAIIFYSVVHDAIHAGGTFGANNREYPAALLGCKSNNFSDHSVRCRIDVGTNPVQDTLKSLPACKAVGVQTEEAVDFVDLIYENNLSTKCQLTRKLRCIWNSTSDEFTGRNLVSKLFVACETDFHVLFGFLSFNPSKTTVNFLGNYSDVPMPQATQSSLSAEAAKISSLYSVLTKITNGLVELKAMLEVLTDLCCLDNAVILYRSLHILHVVLNHLSSVKKRSEKRDNVMVEGPVSVSKSCETYGSNISNNNKLFYWNATGTADAMFRPINPENVFKQEAHECYSTLSSTEIDLVSLFKFMQQITIRRHEEPVRLEAITVMNIILMMSNAYLERERYVEVVDFHNVSQLLRKDSGLRVQKQAVHLVYLLLNCPPIMSMFCSGCKDEMENAGAAIADGRKASTTQQFCEILEGVADCLPCHGDGTLVLQLRRNAIILLAFLVSSGKSGLEILLSHEFSKRTNFLALILKMLVVEMDVEASESNHSSEIFRERTLLIREALIFLNRLVSNAQYSAPVLRVLTNSRDMAFLTIDIANRLSRKGKWLWQSDTVIRNMRESEVASLAQIFKRKVFKFLGYSNASKQNGSVKV >KZN04252 pep chromosome:ASM162521v1:2:5308567:5308919:-1 gene:DCAR_005106 transcript:KZN04252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNLWINIVKEPLEKTIDLAKLFCTLHLTNTYLFTPAYVIGPSMMPEYDFNGEVLTKHSDWRDLQFVICASSASNLI >KZN04545 pep chromosome:ASM162521v1:2:12447194:12452220:-1 gene:DCAR_005382 transcript:KZN04545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPCSTLNLTRLIPISPRVPSLSHSNIGFLNYPSHFAGTSCLKMRASKNLIEDEPVLDWGLRSDSFPGRRNGDSMGKRRRDGDSEYNASSTRGRGGYSNRGRENRDSFDSYSGASGERGRHGGEFERRGYNDSRGYGRESNSNEYEMTSRGRGRGGYSSRGRENRGSFESRGNSNEYGVTSRGRGGYSSRGRGGLPNRGRGGLMSRGRGNRGSDDYGRGGYSNSGRGGRGGRDSFESRGRGQFGGEMEGRNEGYMRKEYSSRGGRNSVMGRSRDDRGSRQDQGGPRDRGMKAASVGYERAGLLLSDNEDTDEDEDEEVIKLRDNIQELIIGKNSEATEDEEDDNSLFETAGSFSGLGIRANPANSTINSDEGNDSYLSETRQFLGDFLEIAIVSFRILSFRVFSCFVYLKVKPPTFSSFLLGKDVLAKARTGTGKTVAFLLPSIEVISETPTDRDQKRPPILVLVICPTRELANQAAAEANKLIKYHSSIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLKDHIENTMGFATRLMGVKVLVLDEADHLLDMGFRRDIERIIAAVPKQRQTLLFSATVPQEVRQICHIALKRDHEYVNTVLVFCTTAMVTRLVADLLGILNLNVREIHSRKQQSYRTRVSDEFRRSKGLILVTSDVSARGVDYPDVTLVIQMGLPADKEQYIHRLGRTGRKGKEGLGVLLLAPWEKFFLSNLSDLPLSEASPPSIDPDTVEKVERAFSRVEMKNKEAAYQAWLGYYNSNKTVGKDKQRLVELANNFSRCLGLDIPPAISKQVLGKMGLRNVPGLRSK >KZN06974 pep chromosome:ASM162521v1:2:37266684:37271106:-1 gene:DCAR_007811 transcript:KZN06974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLFETPAGFALFKVLDEGKLSKVEDLSKEFDTVDAARKIVKLKAFSKFENTSEALSAATLLIDSKPSKGLRKFLRAHCDGETLAVADSKLGNAIKEKLQIDCFHNNAAMELMRGVRSQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKSVKLMGDRTNAAKLDFSEVLSEEVEAELKEAAMISMGTEVSDIDLMNIKDLCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTSLAIRYDALGDGEDNSMGLENRAKLEARLRNLEGRELGHSAGSAKGKPKIEFYDKDRKKGGGALITPAKTYNPSADSLLGKTESLPEKKEEEEVVVGKRKKKTDEIQIEEAPEEKKEKKKKKKAVDADETVLPQAEGEDAGKKEKKKKKRSAEEIEVPNTEALETGEKKKKRKHAAPVEETESPAKKKDKKKKKRSEE >KZN07419 pep chromosome:ASM162521v1:2:40723073:40726130:-1 gene:DCAR_008256 transcript:KZN07419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALEAAFTLFSSYPSFLSSNYAYPFRQQASSSINLQVSTFTPTLSVKFPLLSSNKHSRKSRFQVLTAVEEEIEVEKVEENVQLFQKRKLFVYNLPWSLSVADIKNLFSECGTVIDIEIIKKNGKSKGYAFVTMSSPEEAQAVVEKFDSQELSGRLITVEFAKRLKKPSPPPPDSAPPGKERYKLYVSNLAWKVRANDLREFFAANYKPVSTRVVFNKNPSGNSAGYGFVSFATREEAETAISDLNGKELLGRPIALKFSEKSIDESASKEIITPEEQPEES >KZN06425 pep chromosome:ASM162521v1:2:32831096:32831587:1 gene:DCAR_007262 transcript:KZN06425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNKASIKTALVAATLVLLLATPSHAETLSLFDRWASRISRSLFPRVEVTLFNESEDKVLYMCSFGSEDERSLQVLEGGQQYSWSFTQFGFPLHWCYLYVDEKRHGFFWAYGVRSRCIKCFWKVGKYPFLYRSDRNRWERQQLFPPQNLKFNLTLPGGASS >KZN07009 pep chromosome:ASM162521v1:2:37586448:37591564:-1 gene:DCAR_007846 transcript:KZN07009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCARFDWIVIGSRSFFLQKARLLSYFIVKSCNLENFELSVQQGVWATQRSNEAKLNEAFDSVENVILIFSVNRTRHFQGCAKMTSKICGSVGGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSVGEQLASLLYLEPDSELMEISVAAELKREEEKAKGVDPVDGTENLDIVPFEDNEEEEEEESDEEDQGFSQGIAMSAQGRGRGRGMMWPPHMPVARGGRPMPGVRGFPPVMMGPDGFPYGPLGPDGFPVPDLFNMGPRGFGPYGPRFSGDFAGPGGMMFRGRPFPPGSFPGGGFGMMGPGRTPFMGGGSGGRPSGVHPMYPPAQLQPSLTTNKGKRDQKATVNDRSDRYSAGCDQGKGVELLNSGGGQDDEVQYQHRMNPQQEDHNGAGNSYKHDDSASEDEAPRRSRHGEGRKKRRSTERDETTASGNQE >KZN06447 pep chromosome:ASM162521v1:2:32964075:32966271:1 gene:DCAR_007284 transcript:KZN06447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFQKFQKAVGSLAKSPTFAKDPRHLQFEADINRLFLYTSYNRVGKDAGDADIEEIIDIASKASLADQQEQVQENIYSQIKTFCALMDDILHPSCKSTEEPLNSPTEKAAGPRRSGLSLAVGSNSSMKVSPGVPKTKPLKLSEVSQRIKDLNSYTLELKASQVPHKEAGQGLFINGEANVGAIIAFYPGVVYTPAYYRYIPGYPKVNAHNPYLITRYDGTIINAQPWGTGGETREVWDGLSVPEFRPNIQGADTGSDRVWKMLSKPLEATRLGSNAEVLERRNPLAFAHYANHPAKGMEPNVMVCSYDFPLVEKDMRMYIPNVVFGRGEEVKMKRFGSFWFKSGTSGSNVSDNPVLKTLVLVATRDLSNEEVLLNYRLSNAKKRPAWYTPVDEEEDRRRWS >KZN05922 pep chromosome:ASM162521v1:2:28377131:28379515:1 gene:DCAR_006759 transcript:KZN05922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIFSSILADPDACLSPETNLGDLPESCVASVLEYMDPQEICKVAVLNRAFRGASYADFVWESKLPLNYESLIQKLFDKFPENLCKKDIYACLCRPNSFDGGTKKAWLDKRSGKICLMISSYGLAITGIDDRRYWNRIPTTESRFRSIAYLQQTWWFEVNGEVEFPFPVGSYSLFFRLHLGKSTKRFCRQVCNSEHVHGWDIKPVKFQLATSDGQHATSQCYLRDPGCWILYHGGDFVVENPTVPTKVKFSMTQIDCTHTKGGLCVDSVLIYPSELKKSLKRF >KZN06328 pep chromosome:ASM162521v1:2:31967301:31972580:-1 gene:DCAR_007165 transcript:KZN06328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDVVGYLPDTNLERVHPNDDKSKSYIKFKISDGRKNIRVTFFDQLAEEFEKQVKLFKGHEPSIIISCAKVNEYEGVTCLNNYPATRFYLNPDHYSVKDLMKRVEQLPVASDNYVEEMAVTEQPEDVKIYTVAEIKDFGVPYIERIIRCQLNVKKVEEKNMWYDDVCSSCEKQVDILDGRYKCLECKRSIPFPEKRFRLATVCKDSTGCLAVLFPHEEIQRIIGKDVFDIENDDTQVGESFPFPPILKSFERKDFLISLKIADKNVNKSSNVYIAHSLEEPPEMLGDHNPSENNTSPVPDVLTMDNSLKPLKRSSDTPSTEKSSNRPKTRRQVTPVNCELDENLTMAAMLKVKKEKRGRIHAFVPGSVAESIERDIAVGKVFLIENFTVKDYEASDIFRPFAKEIQIVFDDQTRITQLDEEKVYIDQFVFDLYDLADLEPQSKQRKYLIDVLGIIVEKPKPLSLIKNKNGQLQHQFKFRITDGSNIVKVTFWDEFAVYFDQLLKQKFDYPLIIIIGSCKVTKWNEELDIGNAGPTTVHINIKHHGVNEMKKRLNSPGFNKSNLYVPTSRFPKLLTVAEIKTTTAEFIEVEFLVQVSIKKVQQVNSWFDYVCTSCYKVVSTVGNVFKCEECKRNVTYPDKKFQVCVFASDESGAIDIMLENREIQTLIGKTVFDLIDEGCVANMIPGIMKSIENKDFTIKILIQKENILNKYPIYLATDIMHGFDIQYDSEPDDVPQPILHNDTQPSGSTYHLETLSGISNPMEGDDLSTAN >KZN05028 pep chromosome:ASM162521v1:2:19064292:19066441:-1 gene:DCAR_005865 transcript:KZN05028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKNTIVPPGFSPILPRFDENLYENFHGQPQSSIHPAAYHEYVSVNHSPYQDFGFENCQMMDNTPLYPQGCLYEYSVPQHIYPAYNEHVSVNHSLNQGFVFEKHLMTNNTPLYPQGFLYGYSMPQHIMTDSSASHQANAPPEERFSHENVLVVEVESPILPMSKPRLRWTPELHERFTRAVEELGGYFKAKPKAILQKMNVRGITTEQLKSHLQKVRNSILHTSSSAGGILNGQVPSTPNFESNIERHERLQMSSECLNNHCSCRIAPVNEHPANNVKFDLEDFCSLKSSQTSTYHQILRNTMCDRDGRDMKFEHFSFG >KZN07438 pep chromosome:ASM162521v1:2:40844700:40845422:-1 gene:DCAR_008275 transcript:KZN07438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLGLLSLSQLEKLKQAQERLHFKGASWMWNSKQQVMVDQESDDSWEVRAFEEDTNNAMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQTPPLPTGCTTAVIMNPAHHQELVANGGVCLFYTLPNPNAVLSPSTVNHCVESSKLHSVNLPYASNNFVPPNCPPPLSINLSRAHSVNSSLGHSSNTEHSASTINDNCNEDYLITSKKDSGEFQKEIDLELRLGCGPSPS >KZN07472 pep chromosome:ASM162521v1:2:41139530:41140322:1 gene:DCAR_008309 transcript:KZN07472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTITPRRPCFIEEDDGLASLADMEAGFSGSNNQNNAQINHNQHNHFISRPVYYSRKASLRKMSFSSNTSPRFGRLYDARFEEQQPHFLDSCFLCKKPLGDNKDIFMYRGDTPFCSEECRQEQIEADEAKEKNWNLKALRNKSDQKKSTSSASKKAYGFRTGAVAAA >KZN06905 pep chromosome:ASM162521v1:2:36780845:36781459:1 gene:DCAR_007742 transcript:KZN06905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYSSSSFDANNSSPPGSGSPSSGRHPVYRGVRRRKSGSGKWVSEIREPRSPNRIWLGTFSSAEMAAVAYDVAALALKGKDAELNFPDSASSLPVPASASPRDIQAAAAIAASAAGAAVDTFRGVDRTTNTRAMIADPNINTNNNVIASRVDHDNNFVDEDMIFDMPNILVNMAHGMLLSPPRMNVEADFDPDYAGDNLWNYP >KZN04579 pep chromosome:ASM162521v1:2:12997859:13002881:1 gene:DCAR_005416 transcript:KZN04579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSGTGVRFTAIYPFYEEEAKSKGKSKGPPVQRKPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYFCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQQRFDNLKKRKEPGTFTEQDLDERVLKQQQEEEEKKRQRRERKKEKKKEKASEEEVEMDPDVAAMMGFGGFGSSKK >KZN04603 pep chromosome:ASM162521v1:2:13415484:13415738:-1 gene:DCAR_005440 transcript:KZN04603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWGSAFRWVEVSMSLPTSLFRWPRLLLSGFLPSWRPEDIHRFMPTLDFSIIDTLLWSVISALESVAIVFMLCFFYIFCGCTI >KZN04633 pep chromosome:ASM162521v1:2:13768035:13771721:1 gene:DCAR_005470 transcript:KZN04633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFKSFSVSLLIAFLFFSFFSCGDSHFQDSQTTLSTGGIEKGVKRNLLEDGENSETPVNSSYLILAAKRTYRRDPLDDFKKYTGGWNISEKHYWASVGFTAVPLWVIAALWFVLFGLCLSLICLCYCCCRREPYGYSRVAYALSLILLILFTTVAIVGCVILYTGQEKFHNSTSKALSYVVDTANSTGEKLRDVSEYLGAAKKIEVDQVYLSTNVQTDIDQIQEKINSSATALTEKAVDNSEDIHDIVDSVRLALIIISAVMLLLTFVGFVLSLFGKKTLVYILVIVGWILVTGTFILCGVFLLLHKGPWLHLP >KZN06468 pep chromosome:ASM162521v1:2:33147793:33157683:1 gene:DCAR_007305 transcript:KZN06468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYNRLPNSGHTSPSPPASPRRSPRFRHVRTKHGRFNSPTPSASSPSAASPRTPSHRIARTLLSLLLRRQGIFLFAPLIYILCMLFYIGSVSFDVVPFINHRPAPGSVYKSPLFYEKLKNEMEADTSASDAISSIWKLSHKGGQWRPCLNKPSGGLPESNGYILVEANGGLNQQRSSICNAVAVAAYLNATLVIPEFRYHSVWKDSSEFKDIYDEDFFLRTLKNDVRIVDKIPGYLMERYDINTSNILNFRVKAWAPVQFYKEEILPRLLEEKVIRISPFANRLSSDAPLPVQRIRCLANYEALRFSSPILSLGKSLVSRMKNHSMKNNGTYISVHLRFEEDMVAFSCCVYDGGKKEEEDMKAARERGWRGKFTRAGRVIRPGANRIDGKCPLTPLEVGLMLRGMGFDNTTSIYLASGEIYNSDTYMDPLLEMFPLLQTKETLASEMELAPFKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPQSMLGHRRYIFGGHSKTIRPDKRKLVLFLDNPNIGWTNFKQQMLFMRTHSDLKGFELRRPHDSIYSFPCPNCMCHVNKTEDT >KZN07777 pep chromosome:ASM162521v1:2:43509465:43511795:-1 gene:DCAR_008614 transcript:KZN07777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVEMMNDGMQEFYVHFHGPSDSPYQGGVWRIRVELPDAYPYKSPSIGFINKIYHPNVDEMSDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTSYEQRVKEYCEKYAKAEDVGEAPEESSSDDEMSEAEYDSSDEVMAAGPVDP >KZN06635 pep chromosome:ASM162521v1:2:34583130:34588661:1 gene:DCAR_007472 transcript:KZN06635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAFKSTTKRTPIGAKSSSDDSSVKPHRRSRSLSRFSHHLPDSDFRQAPAPRGKFVNTIRGSGFPEVSLDDLAVDIFLQDLENDEPEAETRRASRRSSGIGQGSDGASAAQRRGRSVSRQGGRGSDGKSGVYNGHDSYEANLRRRRSVSVARDSLSDVNSRRRRSVSVAQSRISGSEIDIPQSQRSSNYANTKHIGNGRLSDPRKQTTDRQLSHSSSLTDDEVKDARSGKNEVEKTIRAVYAQKKAEHPIGEDVHGELYQAMRKELRHAVEEIRTELEEVMVKGSSTLGGADSLKSSEADLQAVSAITRSYASKLEQAKKRKQDLLAEVLLEEQRGKELSKIVKELLPQPRNNIIEQKPLRTRKRSNDKNRMSKRLSEEAEKYFEDFISNVEDTDISSIDGERSDASSSLGGMSKQREYAPYNMEKIEGNQGRAGYNSPPVAMDGVNLPWLQWETCNDGSLLPTKSKTELPATPKTKLWDATQDSDCHSISRQESCSPGLVSHSIGSRKDGQSNSKEVESLQQSRFDMEGYLELQRNEEVLFERWRQRDRISSGCLLLCGNHLRF >KZN04199 pep chromosome:ASM162521v1:2:3634955:3635550:-1 gene:DCAR_005036 transcript:KZN04199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSREDETVNDSIDMEEEEYSDDDSCMRIYPSHGAHHYKPQDLIDFSTRAITQYNQKKGSSYMFVELVEGKMLLGDTVITEYKAQETNGVIKTFRASATTTVRRASAKTTYALSDPNLRIRITRVPDSGFLIVSSDSDSDSDSDSGSE >KZN05848 pep chromosome:ASM162521v1:2:27727327:27730342:1 gene:DCAR_006685 transcript:KZN05848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASSSAAPEEEVTLTVKWSGKEYTVRVCGDDAVGELKRRICEVTNVLPKRQKLLYPKVGSKLANDSLLLSDLPLKSSLKMTMIGTVEDDIIVDQVDSPEIVDDFELGQDEVVDIKDKFVNKQKLKRRVNQYKIVLRNPCRKDKKLLVLDIDYTLFDHRSTAENPLQLMRPYLHEFLSAVYAEYDIIIWSATSMKWVELKMEQLGVLNNPNYKITALLDHLAMINVQSESRGVFECKPLGLIWAHFPEFYNSRNTIMFDDLRRNFVMNPQNGLTIRPFRKAHANRDTDQELVKLTQYLLSIADLDDISVLDHKHWEKYSEAHSKRRRHT >KZN06463 pep chromosome:ASM162521v1:2:33112060:33119446:-1 gene:DCAR_007300 transcript:KZN06463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPSGYRPNVGVCLINSDNQVFVASRLNVPGAWQMPQGGIEDGEEPVSAAIRELREETGIVSAEVIAEVPNWLTYDFPPAVKAKVNRLWGGGEWHGQAQKWFLMRFTKDESEINLANGEVDPEFAEWKWANPEDVIEQAVDYKRPTYEEVMRTFRSYLSVNGTPAKCRSTKWQERSKMEPKPPPEENRSPVPENQENEQKEHALVDHQSKGSPHCEGEDIKKAKSLEFFKAKVFEFARDSRMSPERILLIERRLPELVIDLHVPDHPPYATSLLGHSYQLVLYMQMIHKAITELDETGGSTEEAISLCIKKEQNDLPWAHASLLKHHLKKLCEMGDVVVTGEKCYMLNNACETYIPDQIKAFDPSQIVAVDPYQIGASPIPSYGPYSSPSSSTSGSYSDYAPYVNKRKQKRTGRKYGKKRRSGRKMQESEEEFDINEEEHPEDTEEEEDSEEYEDEFEEFKNTEEEAEVTKVENRLIKYKRKHTHRTEGAKKNKTEDKSEFKDEWLGAVSVDNPVIGMNNRGTKLVRGRGRPPKYSKPKTYIGPREAQVELIETHNQVAEVPKLLKQKRGRGRPSKIKGFETQTRPKETQELSWETHNQLRGVLNRAEESMERGSPPKKLGTNTDFGPKEVHKEVTKAFNQATEDLKMPGEKRGRGRPPKNLGSKTYVRQKEAQGEVIDSYNQMDCMEKPQQKRGPGRPPKNSGGELVVIEEQKRGPGRPPKNSGGELVVIEEQKRGPCRPPKNSGGELVVIEEQKRGPGRPPKNSGGELVVIEEQNLTNEDGMKLKQGRRRFRRKHEREEVNKYLDQLELTVIQEMFEKMKISCDDGENVYGPASPCFSSKACEPVVDDKMRKEKEQNIQSSVGWAELFDKHGWEKLREKSQELDDIEKLSGTPIQLPREGKEDEAYPCRLASRSETDEFTTMNEMAPAQFAHEEQQPGCIGQGGPPGYRNMTTKAPPTVSNYQHEDVQQQHIHTGKEPLLEIEEETSTFMELLHSGYRYQYKDKQLDQSKNEGGRTSSEPTHCTGEDDKNSECNK >KZN04181 pep chromosome:ASM162521v1:2:3343299:3353782:1 gene:DCAR_005018 transcript:KZN04181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYHDLGASAVEFVICHAERTIDPMANIKRYIVEVEPGKPAMGPVYRSTFGVEPHIPGMESCWDIFRMSVEKYPDNPMLGHREIVDGKHGDYVWLTYKQVYDMVMKVGNAIRSCGVEQLNKQMQACNAQGLYCVPSYDNLVCQSEMLLSAKMGKPEKMEKVGCQCCGIFIFHAEVSIVLSEEKKITEVFKTFPKTAEYMKTIVSFGKVTSEQREEAAKLGVAIYSWNEFLVLGDGKRYELPVKKKSDICTIMYTSGTTGDPKGVLISNNSIVTIVAAVKQGLGGKVRLILSGAAPLENHVESYLKVVTCSHVLQGYGLTETCAGSFVSIPNEQTMLGTVGLPVPNVDARLESVPEMGYDALSSTPRDIGEWQPNGAMEIVDRKKNIFKLAQGEYVAVENFENIYGLVAAIDLLKGFEIIRAVHLDPVPFDMDRDLITPTFKKKRPQMLKYYQNIVDSMYKKK >KZN06250 pep chromosome:ASM162521v1:2:31363042:31363896:1 gene:DCAR_007087 transcript:KZN06250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADLEIRSSSPSLFVNEDEEKEFAEIAAKISEDFDFKLSFLKIASEQQGEESDEVEEEHNEAEVADDDDFTFAINGSDSTAISGEGASTEAQIRPYFPLFNQDLLLAPEDYKKSENKLPSRPPVEKVFIESPPSEGETNANRIASGPYCEWEKATPELSKKSNSTGFSKLWRFKEYLHRSHSDGRDAFVFLNNEAKREVKSVQKKITGEASSEKKPEVKVAAEKKAVKKGKKTAPPLSAHEVYLRSKGIYPDYDRRRSHLPFQPVGFFTNVSGGGLSKNVHPY >KZN07303 pep chromosome:ASM162521v1:2:39847363:39851753:-1 gene:DCAR_008140 transcript:KZN07303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVEEWYKQMPIITRSYLTAAVVTTIGCSLEIISPYNLYLNPRLVVKHYQFWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYVSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPKMTGRRPLKTPFFIRSLFADDPVVVARPANVRFAAPPVEEAH >KZN04611 pep chromosome:ASM162521v1:2:13463762:13464016:1 gene:DCAR_005448 transcript:KZN04611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKRVPEVLWRKYGSKASTLRRTISRLVSPNHHPLPLLAADDPPDYRYLLNHCFVVISDNASPSPPPPYISRWSQSQATFFN >KZN05539 pep chromosome:ASM162521v1:2:24855906:24868884:-1 gene:DCAR_006376 transcript:KZN05539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHHLLLEEPIRMASILEPSKPNFFPAMSKIVGTLGPNSRSVDVISGCLNAGMSVARFDFSWGDTEFHQETLENLKVAIKSTKKLCAVMLDTVGPELQVVNKTEHPISLQADSLVVLTPDEDKDATPSLLPINFHGLSKAVKPGDTIFIGQYLFTGSETTSVWLEVTEVKGEDVVCLIKNSATLAGSLYTLHVSQIRIDLPTLTDKDKEVISTWGVRNNIDFLSLSYTRHAEDVRHAREYLSKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAILDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVYNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVVPRLKTNQLRWTFTGAFELMAVLCASNLQILIIISSFLDIEQARQSLIVRGLFPLLADPRHPAESTGATNESILKVALDHGKAFGIVKPHDRVVVCQKVGDDAVVKIIELED >KZN06287 pep chromosome:ASM162521v1:2:31702865:31703857:1 gene:DCAR_007124 transcript:KZN06287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFQSANAVRLRSSHGKYLTAHDDEESVTQGRHGDAKAARWTVEVLPADYTNHNIIRLKSCYNKYLTADDQPFLFGMTGRKVTQTVPDRLDSSVEWEPFRDGKNQVKLRTRYGEFLRANRGLPPWRNSVTHDIPRRTATQDWIFWYVEVVETDMLKPEKNLILHSDSFSSSESSVPSTPSSLSGPESADSPASLPRKKGDGRLIYYHVCNEYGEVDEELDELVITFRGNGVNELTKRLEDETGLTDITVCSRSPLNGKLYPLRLQLPPNNVTMNVVVVQSSLIGELSN >KZN05527 pep chromosome:ASM162521v1:2:24718957:24720328:-1 gene:DCAR_006364 transcript:KZN05527 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MATRNTSNLSVLMLPWLAHGHISPCLDLAKKLSTRNFNIFLCSTPINLESVKNKVTGKWSEPIQLVELNLPPSPDLPPHYHTTNGLPPHLMGSLETAFADSSANFLTIFESVKPDMLIYDYNQSWATDIALSNNIPAVQFLLSSAIFSSLRRHMLYCDSSVTYPFPISIHKYFTEKMKARLKSSPDDAKTSREIEGKYVDYISNLSQKKTIPVGSLVQESLQETGDDCTETVQFLDKKDESSVVFVSFGSEYFLTKEENPRGCIWIGAKQAQLHMGYRENTKIEEALPIGFLDRVGDRGLVVEGWAPQARILHHSSTGGFISHCGWSSIMESMMFGVPVIAMPMHIDQPFNTVVVKEVGVGQEVERDEDGRFRREEIAKVIRNVVIEKSGEIVRRKAKEMREMIREKGEKEIDEVVEEVVNLFKEKKDKVTSSTM >KZN06393 pep chromosome:ASM162521v1:2:32512732:32514183:1 gene:DCAR_007230 transcript:KZN06393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRVSFLFFLLVAISFTSVLSRNLPLRDTTTLLDVSASIHKTLSFNSQLTSTLAQIRESSYQPSAPLTLELHPRSSIHKPTHSDYKELTLARLGRDSLRVNSLQARLDLAIHGVSKSDLKPVYTELAAEDLEVPIISGTSQGSGEYFTRLGVGHPPSQLYMVLDTGSDVNWLQCAPCADCYQQTDPIFEPSLSSSYAPLTCNTKQCKSLDVFQCRNDTCLYEVSYGDGSYTVGDFVTETVTFGGSASVNNVAIGCGHSNEGLFVGAAGLIGLGGGSLSFPSQINAKSFSYCLVDRDSDSASTLEFDSVIPPNAVTAPLVRNDKLNTYYYIGLTGLSVAGEMLKISESTFQLDNNGEGGVIIDSGTAVTRLQNGAYYSLRDAFKKGTKDLPSTNGVALFDTCYDLSTKKSVEVPTVSFHFSNGKQWSLPAKNYLIPVDSAGTFCLAFAPTPSALSIVGNVQQQGTRVSYDLGNSLIGFTENKC >KZN04610 pep chromosome:ASM162521v1:2:13459642:13461438:-1 gene:DCAR_005447 transcript:KZN04610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNSGARQRASASMGQGCLVQRNLGEILVESGTHARFGAEIDKHFEEKACIERFVNSLTELHKAYKKCIADCVSIFVLFPESESLAKLKAEYPMFFNLFAETSPMAKNMCLRSICVGRNVEVMDDDDSFVPNYSLGISQISPKNLEKNIEGANAGCSDKKYIEKNREHSRRMGKVVFDKDGYVRQRRGLAPSRICRSPFVTRVTDVNAHRITVEERDFCDWLMQDEQDSGYNMQRYTILVE >KZN07511 pep chromosome:ASM162521v1:2:41435924:41436607:-1 gene:DCAR_008348 transcript:KZN07511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREDDESQVSPLTASEIYLRSDERSSSSSAPYHGPRHQQETSKLIVYVLITFASFMTIFIIFGSIFFLARSPKARLRSVTITNLQANSNASSFNNTNLATLNMTMTGEITIDNGKNFGRFELENHKASVIYENVSMGEGDVFGGNFGAGKTGSRNITVQVRSNEYLSNNTNFKREIESGFVSLISYGRLRGKVHVTDVIKKHKIAVLNCTMTLNLRDKAIQDLVCT >KZN06360 pep chromosome:ASM162521v1:2:32171109:32174351:1 gene:DCAR_007197 transcript:KZN06360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEFKNSISDPSGILSSWKTDALNFCSWDGVTCNSDSRVVELKIAGGNGGNVFDDSCLKYSEFMLDGYGNEINCSGMNGKLVGELPYVIGKLTMLRVLSLPFNELSGELPSEMWGLKNIEVLDLEGNLITGNLSGNFDSFRKLRVLNLSFNRIGGEIPNSLSKCSDLQVLNLARNKIEGRIPGSFGGFVKLKVLHLSFNLLRGSVPDELLKNCGSLEHMDIAGNYLNGSIPRSFGKCGQLRTLLLSSNTFEGVIPSELGDLKKLEVLDVSQNSLRGNIPTLLGNCSSLSVIVLSGNFGQKAVGIPDALFKEYNMFEGSIPEQVTSLPQLKIFWAPDANLKGRFPSNWGHCDNLEMVNLAQNLFTGKIYGVFEGCKNLHFLNLSSNSISGGLDEKLQVPCMTVFDISSNLLSGLIPSFHNITCTSLFSSSSGLLESSSPSFAYVSSFTCKTLSEIPLPFSSVGFPVIHDFSHNYFSGSVPMLPVIRGSLGKGVEYAFIAAGNNLTGSLHENLFGNCNKFFRMLINVSNNRLSGPLPSGIGVTCRPLKVLDVSKNQISGVIPHSIGYLHSLVKLDLSWNKLQGQVSVDLSRMKNLKYLSLAGNELNGSIPSTFSQLTALQCLELSSNSFSGEIPVGLANLHNLAVLLLENNKLAGSIPTKLSNITSLNSCYISLDNLSKQFLLGSKKMSCSNFLGSLFLPVSRLALPPGEDTHDSVAYPVTSGSKSSNKGFSSIELASIISVSAIVFILLCLVVLFYYIRRKTPNTRAHVSESSERKEVVAFKDIGVPLTYESIVEATGNFNGVHCIGYGGFGATYKAEIAPGTIVAVKRLTLERYQGIPQFDAEESSREKEFFTSGLWETAPQNHLLEVLKLAVACTAEVKTTRPAMRQVVHILRRSRPPSG >KZN06095 pep chromosome:ASM162521v1:2:30064565:30072142:1 gene:DCAR_006932 transcript:KZN06095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTSLYHAVSHSMIRVPDIALKGLMDSRGDIVEEIAVGEEEMVYVSVSKNVKESESTLKWAVRNFHGKKLCILHVHQPSKKKPSMGTKYSFSRLKDHQARTYHDTERQHMQSVLETYGLICERAGVWAETLHIEMDSIEKGIVELISMNNIRRLVMGAAADKLYSKKMIELKSKKAIYVCQKAPMSCHIWFVCNGNLIYTSYVTNGTKLYRRGDLDKASGKVFSPPPPLPSNCHVQSSSPRQSSGQEWRCSELAISASQFPKVRSAISSQDASGGTTPSSSLNNERNLYAWDNISRSDSADSFVSPRSSIEVVENSSLLKFSRPERSRNGIEFRAILNCDEGLYNSSPPSAQVCETYQEEIASDKLYDELEKTMAEAENSRQKAFEETIKCRKAEKRATEAILRAKASESSFTEELKQKKELEEALASGKEEIEKLKPKLDEIMKELQFALEQKSSLEFQIRDSNETVQELEQKIFSAVHLLQRYKKERDELLVERDNAVGLAEGLKKNPVEDTSIARVTQFYTEFSLSEIEAATHGFNPSLKIGEGGYGNIYAGILRHTQVAVKVPHSNSLQGPLEFEQEVNVLSTLRHPNLVTLIGACSEARILVYEYLPNGSLEDRLNCKENTPPLSWQTRVRIAAELCSVLVFLHSCKPRSIIHGDLKPSNILLDTNHVSKLSDFGTCSLISRDELSSNNTTICCRPDYAKGTFPYIDPEYLSTGELTRKSDVYSFGIILLRLLTGRPALGIAKDVQSALDKGNLKDLLDCTAGDWPFVRAKQLAQLALSCCEMSRNKRPELISEVWRMLEPMKFSCGASSFKRGSEDHLQIPHFYMCPIFQEIMQDPVVAADGYTYETEAIKGWLESGNDKSPMTDRKLANDILVPNHALRSAIQEWLQKS >KZN05773 pep chromosome:ASM162521v1:2:27113273:27114889:1 gene:DCAR_006610 transcript:KZN05773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPATQISLSFLLVISTLWFSSASNSDEFVQCLTKLSKESAPTVSKLIFTPKNSSYTAALLYSINNLRFTRPTTPKPRVIITPTTESQVQSVIYCAKKTNLEIRIRSGGHSFEGLSYVSYVPFVILDLRNFNQVTPDLRSATAWVGGGVTNGELYYRISQATSDYGFPSGLWGNVGVGGLISGGGYGMMRRKYGLSADQVIDAKLIDVHGKILDRKSMGEDLFWAIRGSGGGSFGVVIAWKVNLVRVPRTVTVFRVYRSLAQNMTNIFYKWQSVAPQFPKELDIRCNGQIFLSENSTRPDKKIMVMNFESLYLGRVDSLLKVMKQQFPELGLVREDCFEVSWIQAMVFFTNLPLETPPEVLLNRTILNRIDFKGRSDFTTKPIPIKGLEGIWDFMFQLPNGTAFLQFTPFGGRMSEIPDTALPFPYRAGYLYMINLYALTDEDEANRLQWVRNIDDYLAPYVTSNPRSAYVNYANLWMGTNNPRGKTSYAQASKWGKRYFKNNFDRLVRIKSKADPDNFFRHEQSIPPISLSLWSDM >KZN06860 pep chromosome:ASM162521v1:2:36425122:36426910:-1 gene:DCAR_007697 transcript:KZN06860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRSKEMMKKLIKKIGGEKKLAPGVKESLEKSIPNSRVVMGRAHRGLFAGRHIQYGNNVSEKGGNTTRRFWKPNVQEKRLFSYILDRQVRVKVTTHAIRCIDKAGGIDEYLLKTPYNKMDTEMGLLWKAKIEKMYEELGEKEVVFFSPEDEAKFEEKFKELNSAKKTHRRDARRQLYGWSGNSKQTEVGPEEGVPDDEGSEDYLIANS >KZN04239 pep chromosome:ASM162521v1:2:5069290:5069487:-1 gene:DCAR_005119 transcript:KZN04239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKAAKVFTIFVMVLVAFLNLGATAALEGVSAPAPSPTPESAGTMLGVPAALAAIISLVAYFV >KZN05478 pep chromosome:ASM162521v1:2:24236083:24242345:-1 gene:DCAR_006315 transcript:KZN05478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHSTIASAYVCIVGVARTPMGDFLGSLSSLSATQLGSVAIESALKRARVDPSLVEEVFFGNVLSANLGQAPARQAALGAGIPNKVICTTINKVCSSGMKATMLAAQSIQLGANDVVVAGGMESMSNAPKYLAGSRKGSRLGHENIIDGMLKDGLWDVYNDFGMGVCAELCAEQHRVTRDEQDSYAIQSFNRGVAAQRSGAFSWEIVPVKVSGLRGKGSTVVDKDEGLGKHALFYQLYIALHVTSFDASKLKKLRPSFKTNGGSVTAGNASSISDGAAALVLTSGEMARKLGLQVIAKIRGFADAAQAPELFTTAPSLAIPKAITNAGLQASEVDYYEINEAFSVVALANQKLLGISDKKLNVHGGAVSLGHPLGCSGARLLITLLGVLRQNNAKIGVAGICNGGGGASALVLELICAHDIALCMDCHAYRKVGYLVCS >KZN06441 pep chromosome:ASM162521v1:2:32930612:32931136:1 gene:DCAR_007278 transcript:KZN06441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYASLCTSLVSPTAKASKVIFPGGEVRQFRRPVKVAELMLDSPNSFLVNTKSLNTGKRFTPLSADDDLEFGHVYIMFPMRRLKSVVTRDDMARLFMVANPTPSKFAGAGHNKVRAVPESPPVASPESDTQDSRFSFEGIEGFYPGELKYKLSVSRSRKPLLDTITEEPARSW >KZN06539 pep chromosome:ASM162521v1:2:33851306:33859153:1 gene:DCAR_007376 transcript:KZN06539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSSVSVDEASLAYKQANGDVNKAAEILGGLDDRAVSCSSSVSGSCFSSEVSGSNSSSEIFASSSGSEFCGENKSGPLVDVVKARPKKKVVAATGMVSAVLGKDYVNSATKKGKCVGDGSPSKEELEQFLCSMLGDDCELSMGVVRDVLCQCKYDVEQHSSSGRCAEDFYNIAEDTGSILESSDYFIDRTSDSTSHSSESELQDNVWPVGYNSRNYYSALVGSEAPSSVSAEVSKSELPWKVLESLFNTPKSSEQKPSTMNWRNVVKKMEALGKSSQICSSVNDEERMHVHAKGDEYRVCRESARQHWDMMKSCYQKAATAYSKGERDYAAYMSEKGRTHNKIAREADEKAGQDIFQARNKSIENVITIDLHGQHVKQAMKLLKLHLLFGAYVRSVRWFRVITGCGTHGMGKSKLKQSVANLLQKEGIQWSEENRGTLLIRLDGQTEFGFLDTDSDTE >KZN07286 pep chromosome:ASM162521v1:2:39724713:39727518:-1 gene:DCAR_008123 transcript:KZN07286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSSRLSISGITTQPDSTPAPKIPSPKPDPKSPRLKPSPQLNRWSRARSIRSGRKLDRPMQRAPALQLESLDREVVDDEMDGCDVSDDGAKSIYMVSDGTGWTAEHTITAALGQFDYCLVDRGCPVSTHLFSGIDDVDRLMEIIQQAAKVGAMVVYTLADSSMAESARQACKLWEVPCTDILSPITEAVATHLGVSPSGLPRGAPGRNSALSEDYFKRIEAIEFTIKQDDGALPQNLHKADIVLTGVSRTGKTPLSIYLAQKGYKVANVPLVMGIKAPKTLFEIDPEKVFGLTINPLVLQTIRWARAKTLGFHREAKSNYSEMDHIRKELEYASTLFAQNPVWPVIEVTGKAIEETAAVILRLYQDRKNKCSMPRISKRY >KZN06305 pep chromosome:ASM162521v1:2:31823688:31825684:-1 gene:DCAR_007142 transcript:KZN06305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRLYTIEVTSLSPAATEKDIQDFFAFCGKIEHVEIIRAGDLASTAYVSFKYAHALETAVLLSVTVHLKDVTMKHQMTMGATILDRPVCITNWGQSEDEFNIWNRPTWKIEDESSENHVSEGTRSVPSAGEAMSLAQDVVKAMVSKGYVLGVGALSKAKSFDESHQVSATATAKVAELSEKIGLTDKIYAGVGAVKSVDERYHIYDTTKSAVAATGRTAAAATNTVVNSSYFSKGALWMSDALSRAAKAAADLGNRDTSK >KZN06321 pep chromosome:ASM162521v1:2:31934483:31935256:1 gene:DCAR_007158 transcript:KZN06321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDETEMTLYDFLINLSNRGLFQFSPNSRFNLDPVQDSSQRSDPPVSDQTEMPQVTPNDQLNNSRTTDLSQNTPITTDSTDSQTNTDPDQDSSERSDPRDRIILLNPLTRRVVVIEGSASRIDALVRNLTGPTGPPPASKASIEAMRSVETKEDDECVICMDEFVGGLAKEMPCKHKFHGECVEKWLKINGSCPVCRYKMPEEDDNDFNHKNPFEGEEVRRRGSFVTISVGTLRVEPNQMATQGSDGQDSEIQSID >KZN05338 pep chromosome:ASM162521v1:2:22858637:22864142:1 gene:DCAR_006175 transcript:KZN05338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVPQDSLIVCRVRKIGEFKDDIIPQQLDQAGESNDLQQKDTFDGPISNDSKYRDKFQGFKKGYSNPHSVECHSESEYGQKPENLIFYDESCSSSKFQGYDGITYDCFASILDDDIIKLHGSSLSSSCADLPGKDMVKDNFSDYKLEQPTEGVPLATLSSNEIENLEIRIKQKQRPPEAIPPATLPFQGTANRRIRLNWQHKKKPITPVVVSRFGDNMYCTVADSEELPHDLTTDPVLDLALNHCRIMVFLLIFVVGNPSFKTSRSPVGRSQAAANCEGLPVCDSCIIPVIDLQDFPAQLSKLVQVCEEWGCFRLVNYQYILPDSLMSEMKSVVKSLFDLPLEIKRRNSDVIAGSGYWAPSEMNPLYEAFGLYVSSQQDVDAFCSQLNATPDQRETLTKYAKAVHELFEEISGKLADGLGISSFAIDGWPFQFRINKYRFAQETVGSSGVQIHTDSGFLTILQDDESVGGLEVMDRSGAFVPVDPWPSTLLVNLGDVATAWSNGRLHTVKHRVQCKEANLRYSIAAFLLGPKEEVEAPAELVDAAHPRLYAPFTFEDYRKLRFSTKLHAGEALDLLLIKP >KZN06696 pep chromosome:ASM162521v1:2:35079995:35081912:1 gene:DCAR_007533 transcript:KZN06696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPSQIPRQHFPPFLSTHYIPSSAAVAHKDSDQIAVVTDVFAKSLKIDEYKQELESNNVVVNHDLVLSVLQSPNTDVGVARRLFDWVSEVSNEKLSSKCYNYMLGILGENGLVKEFWELVGVMKSKGYGVNRGTVVRVSKKFEKDGLKGDLGKLEELFASGSTDNSFEKVCMRVSKAFEKNVWGDDVEKELMGVSYSNNLVAMVVEKLGLDPNKSLVFFRWVEESGLFKHDEWTYDMMARVLGREGYTDKFWRVVDEMRGAGYQMTSETYIHVFNQFMKKKLMQDAVMLYEFAMGGVNKPSVQDCTFLLRKIIVGDNPDMELFKRVVKAFTESGNVLTSSNLNTVLKSLTSFKDCTKILNAMEEGGLSLSDNLQSKIAFRLSSEGEKDEALEFIDRIEASGSDLNYQTWVSLVEGHYVAGHLDKASDCIRKMIEKEGPLSAGYPFGLLVTAYCSKNRSKDAFGLLAALVVDKQLKPQHTTYKALTRKLLVQGHFKEALNLLGLMKTQGFPPFLDPFLEYISKTGTVDDAIMLLKSMTVKRFPAKAVYLHLFEAYFKAGKHDEAQNFLSQCPRFIRNNADVLNLFYSKQTSKETVAECATTA >KZN04796 pep chromosome:ASM162521v1:2:16038807:16039931:1 gene:DCAR_005633 transcript:KZN04796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNASDISDLLKKSLSETLSLYYPFAGRPASKGVIECTDEGVEFFVARMDYKLAEVLDEIEPRKIDLFYPKGVLWNESYEGSLLVTQVTFFSCGALAISMCFLHKISDAGTVAIFLSDWASLARNSGLNLPPPYFISKSLVPPNDAPVVKEVTRIETLDDCVTRRFVFDASKLAELKAMVTKFGVPNPSRVEVVGAFIYKCLMAANFKAKGESSRPYLFIQPVNLRSRTTPPVPANSVGNFAWFSTVMVKNEREKELHNLVSAIKNGMAQFNDRFGKNQTSNECYAMICDMMKHMMNKGLSDECNVYKGSSLCRFPYDDIDFGWGKPIWAGLSSSVISNTFALKDAPERGIEAWITLQEEEMAFFASEVATLA >KZN06928 pep chromosome:ASM162521v1:2:36929852:36933698:-1 gene:DCAR_007765 transcript:KZN06928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGQHYNPRTVEEVFRDFKSRRAGIIKALTTEKENLCLYGFPNERWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDRADRKRLFNMINDLPSIYEVVMGIAKKQTKEKSAASNHSSSKPKSNPKRIMEPQGKFSKGLQQKDEDEDEDEEDEGLEEEDDEHGDALCGACGENYGQDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPACSNKRARV >KZN05253 pep chromosome:ASM162521v1:2:21669140:21671002:1 gene:DCAR_006090 transcript:KZN05253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIEGSPGSSMHGVTGREPVLAFSVASPIVLTDPTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKGDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFVIMLTAPTVFCMSFVADASGYIAVRFMIGFSLATFVTCQYWMSTMFNGKIIGTVNGTAAGWGNMGGGATQLIMPLLYDLIQRFGATPFTAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLGALQKKGDVSKDKFSKVFWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDSFDLKLQTAGIIAATFGMANLLARPFGGYASDVAAQRFGMRGRLWTLWILQTLGGVFCILLGRSNSLPIAVTMMIIFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSSQISTAMGLTYMGIMIVACTMPVTLVHFPQWGSMFLPPSRDVVKGSEEHYYVSEWTEDEKQQGMHQGSVKFAENSRSERGRRVASAPTPPYATPNHA >KZN06738 pep chromosome:ASM162521v1:2:35475943:35481749:1 gene:DCAR_007575 transcript:KZN06738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSANRKKTKIVTTTVWRPVSTQANSSEDCMMNDQVSAELELDNQVGEVQDIMSNSVSDNERARVEAGAANEVVASEHTSSSVLKKDDGDLLAEGSMSSGEKHSIRIEAAAPMIRFIREKENSTWKKIEEEIGVKIIFPPGKKEYITIEGISAECVTTASEKFQILIKEVIKSPALNYTHFVSLPLAIHPQLVEKLFHFQNSILGISSKNEVTCLDDDNNQDTSDEESREIPSDRAHVVAVNLKAEEGNKNPKAGPPRASKSSKLDEKTTALSALGIDKSIFIKPKTFHLTVLMLKLYNKDLVDVAANVLQSLSSKIMHALDGQPVAVRLKGLDCMRGSFAKARVLYAPVEVIGGEDRLLRACQVIIDAFTEAGLVLEKDARQKLKLHATVMNASHRKRNKYSRRADTFDARGIVEQFGSEEWGEYLIREAHLSRRFVYDENGYYQCRVSIPFPEKSE >KZN06096 pep chromosome:ASM162521v1:2:30074342:30080487:1 gene:DCAR_006933 transcript:KZN06096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKRAWFALVFVVGLLSSSQVFAGDIVHQDDVAPKKPGCENNFVLVKVPTWIDGQEEDEFVGVGARFGPTLESKERKASQTRVAFADPPDCCSKPKNKLTGEVILVHRGNCSFTFKANVAEAAGASAILIINNHTELFKMVCEANETYIEIGIPAVMLPQDAGASLVESIKNNHNVSVQLYSPQRPLVDVAEVFLWLMAVGTILCASYWSAWSAREEAHEQEKLLKDSSDDFVSMEGEGNNFSGVVDITTTSAILFVVVASCFLVLLYKLMSYWFIEILVVLFCIGGVELNKRLLLSPSCKLVPLAPELVEGSANLFGGIVIMGIALIITVLQIIRVPNLKVGTVLLSCAFLYDIFWVFVSKWWFHESVMIVVARGDNSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFSLRFDWLSKRKMRNGYFIWAMVAYGLGLLVTYVALNLMDGHGQPALLYIVPFMLGTLLTMGKKRGDLENLWTKGGPERRCPHVHQESHQ >KZN06088 pep chromosome:ASM162521v1:2:30017378:30020759:-1 gene:DCAR_006925 transcript:KZN06088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKPLSPPNKQQIALQRVDSERPYRLLYCSGKGDKAGVIQELDNGVEPNLADYDRRTALHLAACEGCTEIVVLLLEKGADVNSTDRWGRTPLSDARSVNHVEICKILEEHGGIDPVGLDSTIPCYEIDKSEVDMNEAVLIGEGAYGEVYVVKWRGTEVAAKTIRSSIASNQMVRKTFLKELALWQKLRHPNIVQFLGVLKDSERLIFLTEYLRNGSLYDILRKKGRLDQPTAVAYALDIARGMNYLHQHKPHAIIHRDLTPRNVLQDEAGHLKVTDFGLSKNAHEKDAHGYKMTGGTGTYRYMAPEVYRRESYGKSVDVFSFALLVHEMFQGGPANREQAAEHVADKRAYEDSRPYLSSYVYPEDIKRLLQECWHKNPDCRPTFEEIILKLEMILEDLKGSKGVESCCNCTIL >KZN05225 pep chromosome:ASM162521v1:2:21408989:21410469:-1 gene:DCAR_006062 transcript:KZN05225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDGEVAEQSGFSSKDYHDPPPAAFIGTDELTKWSFYRALIAEFIATLLFLYITVLTVIGYKSQSSTDQCGGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVMYMVAQCLGAICGVGLVKAFQKSYYNRYGGGANELADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKEKAWDDQWIFWVGPFIGAAIAAFYHQFILRAAAVKALGSFRSNA >KZN05741 pep chromosome:ASM162521v1:2:26788314:26789420:-1 gene:DCAR_006578 transcript:KZN05741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRTNTNANKENESTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KZN07716 pep chromosome:ASM162521v1:2:42999113:43004335:1 gene:DCAR_008553 transcript:KZN07716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSNMAKGVQTPHFCANLRRPKNPKFQKIVSFGSNVNDFANSCGLNCKRVGFRPLVGDFKDRCSLKIAASAATTTEKPSIVPEIVLQPIKEISGTVKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVHYMLGALRTLGLRVEEDSASKRAIIEGSSGLFPAGKESKDEIQLFLGNAGTAMRPLTAAVTVAGGNSSYILDGVPRMRERPIGDLVTGLKQLGADVDCFLGTNCPPVRVAGKGGLPGGKVKLSGSVSSQYLTALLMAAPLAVGDIEIEIIDKLISVPYVEMTLKLMERFGVTVEHTGNWDRFLIKGGQKYKSPGSAYVEGDASSASYFLAGAAVTGGTITVEGCGTSSLQGDVKFAEVLGQMGAEVTWTENSVTVRGPPRNSTGRKHLRAVDVNMNKMPDVAMTLAVVALFADGPTTIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPEKLNVTSIDTYDDHRMAMAFSLAACSDVPVTIRDPSCTKKTFPDYFEVLQKFSKH >KZN04751 pep chromosome:ASM162521v1:2:15346029:15349053:-1 gene:DCAR_005588 transcript:KZN04751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKYEVLKQLGSGNFGVARLVRDKITEELFAVKFIDRGNKARYFFQQLISGVSYCHSMEVCHRDLKLENTLLDGSPTPRLKICDFGYSKSAVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSAQYSIPDYVRVSAECRHLLSQIFVANPSKRISIPEIKKHPWFIKNMPQELVEGEKTNYGEAERDKQLQSIDEIMQIIQEAKIPGDTGTKAEGKPGGIGDSLDADEDVDLEFDIDYSGDCASQI >KZN05054 pep chromosome:ASM162521v1:2:19368460:19368786:1 gene:DCAR_005891 transcript:KZN05054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIYPATPPLLLCNSNRRRISPNYINRRLATISTPNFSRALTQLNNLCSISEMDSCELFYSPSSLVVGERRLFHLHSEPEKTPLSLAKQTYLLFYSANFALSHLIRK >KZN04928 pep chromosome:ASM162521v1:2:18050672:18051615:1 gene:DCAR_005765 transcript:KZN04928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSSTCLFFHRCHRVPVFWRCGSHGKYGKDLAMSVMRRAQSICSKFNSNIKVETKAGSGDAKEVICATVKKLEADMLVIGSHNYGFLKRTLVGSVSNYCSKHVKCPTVVVKQPKNEKLVEI >KZN07124 pep chromosome:ASM162521v1:2:38531180:38532355:1 gene:DCAR_007961 transcript:KZN07124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLIGFVQQTMKAPIVSSFLLENGYAEVDYHGQKREKPRAMFLILSFTTNLFMDIFDSRFYITFDMKLKLDSVKYVIAQFKVLGTHIIGFWKKIMQNECPRHPYKVMDVTEERVPNLSDSQTPTETVDVEINKVYISLEHIVHKLVAVGTHIKGFWSEIVQFKNPKRPQNIENDKKEEVPDLYDPQTPPAIMDDNMCPFSEVNARGPDNNVQRDSSLKQDAAVGIYDNSNTGKNINSISEPEILSRGSFQIIPDSSIKCETSGEGNLNTEVEGDQQAVNFVPSTQLSKSDGSVGRVDSDGVLSFASTGSFSSEDEFFADYVVL >KZN05196 pep chromosome:ASM162521v1:2:21043727:21047190:-1 gene:DCAR_006033 transcript:KZN05196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIENPSKIASFLRKDNAIIDAIVKRIGLYGKLEGHEGCVNAIEFNFSGDHLVSGSDDRQVKFWNWATKTLSLSYSSGHIDNIFQTKIMPFTEDRKIVTSAADGQVRLGEVLENGCVETKRIGKHRGRVHNLAVEPGSPHTFYSCAEDGFVQHFDLRSNRATKLFCCSSLTENNQQSLGNIRLNAIVIDPRNPNFFALGGSDEYARVYDIRRYQLDASSNVDRPVDTFCPGHLIKSHQVHITALSYSSSSELLISYMDELIYLFKKNMGLGPSPLSVESQQLQSLEKPEMYSGHRNSQTIKGVSFFGPNDEYVMSGSDCGRIYIWKKKGAELVRVMIGDRHIVNQLESHPSIPVIATCGIEKTVKLWAPSSKEFPPLPHDLEEILESNRQSREHNSRVTLSPDVIMHVLRLHRRQALAYIERRYTRADVESDEEDIEALFGFPGGDASPEDGGATGDSTDCNIS >KZN06506 pep chromosome:ASM162521v1:2:33491891:33494713:-1 gene:DCAR_007343 transcript:KZN06506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEVNKTAIESCHRVLSLISKPKDQIQYRNLAMETGEAVSKFKKVVSILNASVGHARVRKAKNIQIPFPQSMLLDNPITRNDYQPKALQLLPTNAHEISIPDMGSNVKSNFTFGNMPLELSSNGKAPVQIVQQTPPPSNYQFHLQQQQKFQFQQQHLKQQSEMIFRKSNSASGINLNFDSSTCTPSMSSTRSFMSSLSVDGSVANLDGNAFRLIGGTRSLDQSSYQHKRRCSAREDGSVKCGSSGRCHCSKKRKHRIKRSIKVPAISNKLADIPVDEYSWRKYGQKPIKGSPHPSSSSSCETLEIGCFYFEIMTKVVMQLLQANACGDVIRSVKIVASTQQPVYHQSCKASLLLYTICICYFTLSFWV >KZN05764 pep chromosome:ASM162521v1:2:27035631:27036623:1 gene:DCAR_006601 transcript:KZN05764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTQLIDEDDHQELSQDTKEFLTTLPKNKGWRTRHLYLFQGFWCQAKEIQAILSFQQHFRAQANDIIVASIPKTGTTWLKALTFSVVNRNLLSLGEKHPLLTSNPHDLVPFLEYNLYANNNIPAVSDSTSPRLMATHVPFQALPDSIRMESGNARVVYISRNPFDTFISIWHFMSKLRPEELGPFSFKEAFDTYCKGVIGYGPYWEHMLGYWKESLENPDKVLFLKYEEMKENITVHLKRLAEFLGCPFSAEEEKAGVIEEVAKLCSFENLKELDVNKNGKSIANFENKHLFRTAKVGGWRNHFTPSMVEQLSTLMEQKLAGSGLSFNI >KZN06751 pep chromosome:ASM162521v1:2:35587408:35589120:1 gene:DCAR_007588 transcript:KZN06751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWLRGLFGMKKEKANADSSNLSDKKDKKRQSFAVILEDSGFVDQTAAKNHASNSTSSKSYTSESGKEQNKHAIAVAAATAAAADAAVAAAHAAMTVVRLTSQGRGAVSPSGREICAAIKIQAVFRGFLSRKALRALKGLVKLQALVRGYLVRKRAAATLYSMQALIRAQASIRSQRARRSFKHEQHCQPVTRRRKSAERVEDRNEFHSKTLSASFDNKYTAFEESPKNVEIDTFKPKSTPRRFPTSSPESGEDPYFQSSSSPAPCALPARVYIPDHRHVQEYDWSFMSDEYKASTAHSTPRFANSAYSKIPATPPKSLYGDSFFRPYSNHPSYMANTQSFKAKVRSQSAPKQRPELGQKKKMSLSEIMASRSSFSGVKMQR >KZN06896 pep chromosome:ASM162521v1:2:36725400:36736738:-1 gene:DCAR_007733 transcript:KZN06896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEAILLTLAATAGNNIGKVIRAYVFNKPWISGFLMDIFGAMLMLRALSLAPVSVIQPVSGCGLAILSIFSHYYLKEMMNAVDWVGITLAGIGTIGVGAGGEDQEASAISIFHLPWLAFVVAFMFVGHRYGLCKDIHIIPEIAGPHMSFNLLVSNFVSFSLNRREQTAIGCFAYLFYYGRLGDIRGRPSSLSGRQRKEQELMQSEVVEEIIYGLESGILFGIASVISKMGFLFLEQGFSRLLVPVCVSISICCSGTGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGERLPSAPVARLWLLLGWLLIITGVILLVCSTRLLRYIPRPWRRTKRSSVDKTFGLRRAVSLHSSKDTSPSAVIQATTLHHLITPPRQKGFRKVDPDRWEFANEGFLGGQKHLLKTIKRRRNATSQGIQQQGGGLCVELGHYGVEEELQKLGHDRSILSAEIVKLRQQQQDSQNVIAAMEDKIQSLESKQQRMMSFLAQALRSPEFMQQYLDKYVQKMDQNQIDMGRKRRLTMSPSQSLEDLQTQSLDYSYQQKEELANTGVDVESFFSDALDPGATSGVKEEMVSSRGGELDAVNEIIWEELLDNNLATVNAEKEEVAIDLPGVEVEDFAANSPDWDSEDLKPLIDQLEYLRSNP >KZN06204 pep chromosome:ASM162521v1:2:30960396:30961574:-1 gene:DCAR_007041 transcript:KZN06204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTRETNPHFLPVQPLNQPQPPESVTNPNPRVPYLQPQNQLQPPGSVTNPNPRIRHLQPQNQPQTAGSVTNPHFLPSQPPTQQHQPPRSQLHPSHELPAQPQARPPSGPTFELAPQPQTRPKRQKRPKAQHGAGLLSDPTRSHLGPDLHTPLSQPRPQRQPRDTQLSVGASPTQPNQPPPRLGHPAGPQHQQSSVQRIPPPRKTKPFTWCIAILCAIFWIIIILVGLIVLIIYLAYHPKSPKFDVAGATLNAAYLDMGYLLNADITFLANFTNPNKKVNVNFKHIIINLYFDGIPIATRYIDHFHVRRQQYHLASVHMVTSQVRLSPVHSQKLKKQIASGKVQFDIKGLFKAKSKLGNVLKYSYSLYGHCSIVLTGPPTGVLVAKTCYTKR >KZN03992 pep chromosome:ASM162521v1:2:285386:285833:-1 gene:DCAR_004854 transcript:KZN03992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLESSTKQNSDDTNQASSQPKIITNEVLIDPVAQSMEQENDETKLANQVVEPMSRDNEKETETLEENAVKTKTTGVAKDVSAFGSVGPNFSLIMGQISLVDFFSEQGLNILV >KZN04284 pep chromosome:ASM162521v1:2:6321145:6321723:1 gene:DCAR_005074 transcript:KZN04284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVRAHNAELGRVIKNPKVKNLPGCPKQPGGTECGYAVMRFMKDLVEDPDMKLLDKWAARSRKTYSKADLDIVRLETLDYIQSIM >KZN07382 pep chromosome:ASM162521v1:2:40451652:40455758:-1 gene:DCAR_008219 transcript:KZN07382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFISKLASNFAFFPPSPPTYQVKKRDDGKLVAVSTTSSMTIPYCDDGSLDVLSLKTKRGNKIVAFYFKNPFARLTLLYSHGNAADLGQLYDLFVQLKANLRVNLIGYDYSGYGASSGKPSEHNTYADIEAVYECLETQYGVSQEDIILYGQSVGSGPTLHLAARLPRLRGVVLHSAILSGLRVLCHVNCTLCFDIYRNINKIRKVKSPVLVIHGTEDDVVNWLHGDGLWKMARDPYEPLWIKGGGHCNLELYPDYIHHLCKFVQDMENMTTEIRLKKIRQTLNLPKGENAKAANSANCCCCGIKLRVTTVIRIFYMMECCSILLQNGQVV >KZN06455 pep chromosome:ASM162521v1:2:33045480:33046565:-1 gene:DCAR_007292 transcript:KZN06455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFTNLITTTTILILLNFSIQPSYSREAHVINFRSPNLYPESFTWDPSSQHFIVGSLRRPTLQSVSDAGVVDTLLSDASLPSDSAFLGLAVDTQHRRIVAVVHSSSSPAVASYNLRNGSRIFLTLLPDSNATASSAGANDVAVDFSGNAYVTNSASNLIYKVNKDGEFSVLSRSPIFSQSAVEPNTPYSSCGLNGVVYVSKGYLLVVQSNTGKMFKVNVDDGSARTVLLNKALTAADGMAVRRDGVVVVASREKAYFIKSDSSWSEGVVFDETALDAERFASAVTIGGDRRVYVLYGHIGEGMMGNTEREEFAIVEIESEKESKEDSVWIFVLIGLGLAYFMFWRFQMRQLVTDMNKKAA >KZN05881 pep chromosome:ASM162521v1:2:28039899:28047268:1 gene:DCAR_006718 transcript:KZN05881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQLRKYYTNIKQHQTEKRWMFPLAISSIVSLFLLFIGTLTCSDGTPLIPFYRLATYSDDSLFIESKLHVARVSTLPPPPRLAYFISGSVGDGAMLRRTLLALYHPNNRYVVHLEAEAGEEERLELFEFVRDFGLFRRFGNVIVMRKPNLVTYRGPTMVANTLHAAAVLLKEGGEWDWFINLSSSDYPLVTQDDLLHTFSYLPRDLNFIDHTSDIGWKEFQRAKPVIVDPGLFLTKKSDVFWITQRRSVPTAFKLFTGSGGFRHFLNLPLSSCTYRELPLIISMTSRIWQEEGLITGGASSATFDPTRVIQLSWRPRAFLHKNFLTEEECDHLILLAKDKLEKSMVADNDSGKSIESEVRTSSGMFLWKAQDEVVAGIESRIAAWTFLPVENGEAMQILHYEHGQKYEPHYDFFHDKANRDWGGHRVATVLMYLSNVENGGETVFPRSVEGTQTKDDSMSDCAKQGYSVKPQKGDALLFFSLHPDATTDSLSLHGSCPVIEGEKWSATKWIHVRSFDVPQTTNKVCADEHEKCALWAAAGECERNPHYMVGSKVEKGYCRKSCNVCSS >KZN06024 pep chromosome:ASM162521v1:2:29222004:29222747:1 gene:DCAR_006861 transcript:KZN06024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYHDLLLPYVKKVRQIKGTSLYGSRALFFLTPIGTLKPVAIELVRSPMNGKPQWKKAYSPGFDATSVWLGSSLKLIYWHMTLVFISLLVTERFIP >KZN06450 pep chromosome:ASM162521v1:2:33010994:33012984:1 gene:DCAR_007287 transcript:KZN06450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPSRVRRLTSNKAGAWSEEKLQSKMVVAEEAGQNGKGWSHSSSHQPPGEPGSKKSCRLRSMRNDTRPELERENFGEDEEDLIIKLHALLGNRWSLIAGRLPGRTDKEVENYWNSHLKGELVSSGIDPDNHRIHHTLPRSKNKNQIPVISSSDDSSTCATSAVSKPLKYQDQSYKMHHLSNGTDNLELSFTCDTKAPDLCAELPIINLKPHAGPQEKK >KZN04496 pep chromosome:ASM162521v1:2:11878821:11879009:-1 gene:DCAR_005333 transcript:KZN04496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSQRKMAAEVEMLHKQALAMAIHQHQLSQRFEGAMSRRIGSRRRNQTEANNVNSGPKMVQ >KZN06640 pep chromosome:ASM162521v1:2:34622387:34627537:1 gene:DCAR_007477 transcript:KZN06640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVASDLVDFLNASPTAFHAVEEAKRQLKNGGYEQISEKEDWNLQTGKKYFFTRNYSTILAFAIGKKYVAGNGCHIIGAHTDSPCLKLKPISKVAKGGYLQVGVQTYGGGLWHTWFDRDLTVAGRMIIKQVKDDSVSYTHRLVKIEEPIMRIPTLAIHLDRDVNEGFKVNKHSHLVPVLATSIKAELNKPVTEKSAVGGLPTDGKNSPEKHHSLLLELLATQASCKPDEICDFELQACDTQPSIIAGAMKEFIFSGRLDNLCMSFCSLKALIDASSESSLENETGVRIVALFDHEEVGSNSAQGAGSPVMLDALSRITSSFSSDSKLLKKAIQRSFIVSADMAHALHPNYMEKHEENHQPKMHSGLVIKHNANQRYATNAVTSFIFREIAQKHNLPIQDFVVRNDMPCGSTIGPILASGVGIRTVDVGGPQLSMHSIREMCAVDDVKHSYEHFKAYFQEFSAIDAKITVDN >KZN07565 pep chromosome:ASM162521v1:2:41861507:41864690:1 gene:DCAR_008402 transcript:KZN07565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREGMSHPYYFNRGVSGPNPSPGSVFGSGTQAGLASPTGFKNLSSPNISIQTNVGGSPYQAEIVVPDFGHGVDIGGSSSVSLTDSSKKKRGRPRKYVPDGTSMSLALSPMSSNPSPGSGDIMLVEGEKKSRGRPRGSGKKQRLASLGKFAHWIIAALLAAAGYSSEWMNTSAGKAFTPHVIQIAPGEDIASKILAFAQQRPRALCILSANGSVSAVTLRQPMSADSTFTYEGRFEILCLSGSYLLSEGGPRNRTGGLSVSICTPDGLVIGGAIGGRLIAASLVQAIVCSFVYDGFKTKTNPQADAKAEQISNIQPNEGSSAPTPAAAAQQSLTPNPERSSCSPSSRPDMRDLNTDIDLAHG >KZN06747 pep chromosome:ASM162521v1:2:35545433:35555833:1 gene:DCAR_007584 transcript:KZN06747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFVFVQNNSLCGFSGRLRQSQWRPSVVVSAAASSNGRPEERNVTVLAESSLKEIRDAAAAPVVAVSGGVEDVYGEDSATEDQSITPWSVSVASGYTLLRDPHFNKGLAFAEKERDALYLRGLLPPVTVSQDLQVKKLMHNIRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACEKYGSILRRPQGLFISLKEKGKILEVLKNWPEKKIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPVTIDVGTNNENLLNDEFYIGLRQRRARGQEYAELLNEFMSAVKQKYGEKVLIQFEDFANHNAFDLLAKYGSTHLVFNDDIQGTASVVLAGIMAALKLVGGSLAEQKFLFLGAGEAGTGIAELIALEMSKQTDIPLEETRKNIWLVDSKGLIVRSRFKTLQHFKKPWAHEHEPVRDIVDAVKAIRPTVLIGSSGVGRTFTKDVVEAMASFNEKPVIFALSNPTSQSECTAEEAYKWSKGRAIYASGSPFDAVTYNGKVYVSGQANNAYIFPGLGLGLIISGAIRVHDDMLLAASEALAAEVTQENLDKGLIFPPFTNIRKISSHIAAKVAAKAYELGLATRLPQPKDLVAYAESCMYSPSYRTYSNNGHNSRTCPTRAGGSGAGVRLFGVRLTDGSIIKKSASMGNLSALHYHSSSSAAASPNPPASPSSDALRDPTHVPEEYLSDDPGHASCSTNRRVAERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRSFVVSRTPTQVASHAQKYFIRQTNATRRKRRSSLFDMVTETPSVAEEQFVLPPQAIETDNNSMPSLNLSLKTDYETIEDTRMEDSQVEMVKDFKESATPQAQTEIQPVIPTFYPAYLPVAFPLWPSSVSIFEEGKGTETSHHEVLKPIAVLRKEPVNVDELVGMSQLSIGETETGHMEPSALTLKLLGEPSRQSAFHPSTPVSTTSDVNKGNGSGVIQAV >KZN05598 pep chromosome:ASM162521v1:2:25479577:25493142:1 gene:DCAR_006435 transcript:KZN05598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLHSAANRTDSPVPNVRGIDLPLSHTNYFPFQELDCRGMEPPPCNRIDSQNLTEELFTATVRSRFSLFRLNLINQRFWNPFAPSATVIPSLERVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPVTEAIAAAFSAWLLNKKKSNESRRLRVSIGHDSRVSAQKLQDAASRGIAGAGLDVVQYGLASTPAMFNSTLTEKDDILCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKPDIKDILERAADIYQCFTTESLKNSEIKASSSIKRVDYMSVYSSDLVAAVRKAAGNTEKPLEGFHIIVDAGNGAGGFFAYKIVTEFVMIRERSLNLLGLLLLAVSSWSLMVKLYSGLFPNHIPNPEDKAAMKSITEAVLSNKADLGIIFDTDVDRSAAVDFAGCELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGLGGGSQVLTDLLDGLEEPALAVELRIKIDQNHADLKGRSFREYGEAVLKHLENISDSDPKLQKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEASTKDDALKLGLAVLSAANEFPALDTTSLTNFVKV >KZN05636 pep chromosome:ASM162521v1:2:25827409:25834132:-1 gene:DCAR_006473 transcript:KZN05636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSELEHVEGESSEQTLSSEAPDEGCMQMQESKRGGWKAFPYIIGSEMGLSLASTGWGCNLTVYLITVFNIKSIRATQISSIVSGSSFLFPIAGAILADSFLGSFSVITIFSMISLLGMILITLTASVNFLHPPPCTNASLVALYTGIALASLGAGGTGITVATMGADQFQNNHDKNIFFNWYFVISYACIACSSTVVVYIQDKINWGLGFGVCVAANLTGFMVFLSGMRYYHHVKPKESPFASIARVVVAAIMKRNAARNNCYFYGSAELSRLNHGPTTDFSFLNNAALKLESNNQSSDLLIEKRSWKHCTVEEVEDLKTLLRIVPLWSSSILLSATIGVFISLILLEALTMDKHFGKNFEVPAASFLTFNILSTCVSLSILDRLVFPWWQKLTGDHPTPLQRIGVGHLINIIALVGSAIVENQRLQVVKKFHLDDQPGSEVPMSAFWLGINLTIIGISEALHYPGQVAFYYQEFPESLRNTATAMISLIVGIGFYSSAVVIGLVRRATDWLPDDINAGRADYVYGIMAGIGMLNFAYYLICAKSFNYCAAVKLNVHSVT >KZN05818 pep chromosome:ASM162521v1:2:27473046:27480813:-1 gene:DCAR_006655 transcript:KZN05818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGINRNDASGLEDIRNETVDLERVPLEEVFQQLKCTREGLSSSEAAHRIEIFGQNKLEEKKDSKMLKFFGFMWNPLSWVMEFAALMAIVLANGQGRPPDWQDFLGIIVLLLINATISFYEENVAGNAAAALMAGLALKAKVLRDGKWSEQEAGILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGECLPVTKNPSDEVFSGSTCKQGEINAIVIATGVRTFFGKAAHLVDSTNQVGHFQKVLTSIGNFCICSILVGLVIEVIGMLIQQRKYRDIIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAAMDVLCSDKTGTLTLNKLTVDSNLIEVFEKGTGKEHLILLAARASRTENQDSIDAAIVGTLADPKEARAGIKEVHFLPFNPVDKRTALTYIDAKSNWHRASKGSPEQIVALCNCNEDTRRKVHAVIEKFAERGLRSLAVARQEVPEKSKDSLGGPWQLVGLLALFDPPRHDSAKTIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALIGEDVDATLDRDELIEKADGFAGVFPEHKYEIVKRLQEKNHICGMTGDGVNDAPALKKADIGIAVADATDAARGAADIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITVRIVFGFMLIALIWKFDVSPFMVLIIALLNDGTIMTISKDRVKPSPMPDSWKLNEIFASGIVLGGYLALMTVLFFWAVKRTDFFPDKFGVRSLRDSPAEMMSALYLQVSIVSQALIFVTRSRSWSYAERPGLFLVGAFVVAQLIATLLAVYMSWSFAKIQGCGWGWAGVIWLYSLVTYIPLDFLKFAIRYILGGKAWNNLLDKKIAFTSKTNYGKDVREARWAAAQRTLHGLQPPEIFTLASDKSSYTELSALAEQAKRRAEIARGIDGIVLPVPP >KZN06148 pep chromosome:ASM162521v1:2:30551520:30552650:1 gene:DCAR_006985 transcript:KZN06148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAEDQLLSFEEISKHNKKFDCWIIISGKVYDVTPFLDDHPGGDEILLMATAKDATDDFDDVNHSEEAVGMLKSYHIGNVDTSTLPGKHQYNQTAADGSAQKRKSAPKSLEVFQFLVPLLIVGIAYALYHYSREN >KZN07474 pep chromosome:ASM162521v1:2:41155193:41157131:1 gene:DCAR_008311 transcript:KZN07474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSTSFMNLKDIRNTKHSDESSSGMVCFAQMKPSCSLRARSSMPDPSQHFPENSAIEFGRDQQAEKFHGSSRPHSKTESRVPVFVMLPLDTVCSGGRLSKPKAMNASLKALKMAGAEGVMVDAWWGLVEKDGPSQYNWEGYAELVNLVQRNGLKIQVVMSFHQCGGNVGDSCSIPLPPWVLEEMSKNPDLVYTDISGRRNPEYISLGCDSLPVLRGRTPIQVYSDYMRSFRERFQNYLGNVIEEIQVGLGPCGELRYPAYPESNGTWNFPGIGEFQCYDKYMRASLEASAEAAGNKDWGKGGPHDAGHYNQFPEDTDFFRTDGTWNSKYGEFFLKWYSGKLIEHGDRILSAARGVFQGTGATLSAKIAGIHWHYTTRSHAAELTAGYYNTRNHDGYLPLARMLSNHGVVLNFTCMEMRDREQPEHANCSPEGLVRQVKMATKSAGTELAGENALERYDGGAFAQVLATSRSDSGNALSAFTYLRLNKNLFEAENWRHLVEFVKSMQEGGRDMRVPEIDTRKTDLYVRFISEKNVRSKEAAIV >KZN05427 pep chromosome:ASM162521v1:2:23761824:23763109:-1 gene:DCAR_006264 transcript:KZN05427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYEVLNVERNATEDDLKKAYRKLAMRWHPDKNPTDKKEAEAKFKQISEAYEVLSDPQKRATYDQHGEEGLKDMPAGSSSTGGFPNAFNPRNAEDIFAEFFGSSPFEFGAAGVGRSTRYSTDGGGYRGFGGGDNMYRTYSDGAGPQTPKKPPPVESKLPCTLEEIYSGSTRKMKISRTVVDASGRVRPESEVLSIDIKPGWKKGTKITFPDKGNEQHHQLPADLVFVIDEKPHSVYKRDGNDLIINQRVTLAEAIGGPTLNITTLDGRDLPVTVTEIVHPDYEHVIPQEGMPIAKEPGKRGDLRIKFEVKFPTELTDDQRQALKSALGG >KZN06087 pep chromosome:ASM162521v1:2:30014211:30016238:-1 gene:DCAR_006924 transcript:KZN06087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKIRGGSIGIRCDGSFGKDEKDNENRFYMRRALELAKKATGYTSPNPLVGCVIVNDGKIVGEGFHPKAGQPHAEVFALRDAGDLAENGTAYVTLEPCNHFGRTPPCSEALIKAKVKNVVVGMVDPNPIVASKGVDKLRAAGIDVTVGVEEDMCKKLNEGYIHQMLTGKPFVTLRCTLSVDGNLTDQLGEEVVAPGGYYSKLLQEYDAVILSSTLLAQTSSLPLSNEPGANQPLKIVIAKSSSSQFQIPVSPNELTSKVIVFSDKEMDVGSQTAQQGIETVVLEKISLLAILEYCKGLGLCSVLLDLRGDVHELEETLREGFELSLIQKVLVEALPEWDISKEEVLPVTLKNLGKGLKLKNLVSHISGKILLIEGYF >KZN06819 pep chromosome:ASM162521v1:2:36082494:36084886:-1 gene:DCAR_007656 transcript:KZN06819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFVPPSRTARRVNAADLLWNPRVKNDKTRSNYYSKPLITDLDEDFEADFQGFKDDEILLKPLSFSTKGSDAIKSVETNEDAEGSSKRKRKNQYRGIRQRPWGKWAAEIRDPQKGVRVWLGTYNTAEEAARAYDAEARRIRGNKAKVNFPDEGAPAAMKRISKPKPQDVIRKESSNSHSAMYQNSSLVNRPDGGYYSPLGFVEEKPMPKLNGFVDAYPITGTFGLNPVNSSDSAAKLFSSDQGSNSFDYSDFGWGEHSSKTPEITSVLSATLEGEEAQFLKDAKPAKKLKPNSADLVSGEEGTEKSQDFTSFESEMKFFETPYMDGSSWDDSVDAFLGGGDATQDGGASVNLWAFDDLSCMLGEAF >KZN05281 pep chromosome:ASM162521v1:2:22079164:22081001:1 gene:DCAR_006118 transcript:KZN05281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNIVVTGDILEQRRLAKQCSNIARRIGNFPSVYTISTGPSGTAPQTALYQYFVISVSSEMLGLGVPDFRGDPICVEKVEKSGLEVLAHNIDTIEELQNAVRDHRATFKKSIDVLIKAKEYAPAGTLTKASVMLGCGETPEQVVRTKKREAGVDGFCYVASGPMVRSSYKAGEYYIKSMIEVDRAASSSSIHHSGNLLEIYESGDVAYAYWFMRFPNMVSWANTFEAVFVRTMDMEPLNYKRERRRRRPLVCSFELS >KZN07106 pep chromosome:ASM162521v1:2:38421588:38422538:1 gene:DCAR_007943 transcript:KZN07106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFKTPFNGYSVKFSPFYEHRLAVGTAQNFGILGNGRLHILDLNPSQPISQFASFDTSDGVYDVAWSESHDSLVLAAVADGSIKLYDLSLPPTSNPIRSLHEHTRETHSIDYNPTRPDSFLSSSWDDTVKLWTIDRPTSVRTFKEHAYCVYSSSWNPRHADVFASASGDCTVRVWDVREPGSTMIIPGHEFEILSVDWNKYDDCILATGSVDKSIKIWDVRNYRVPMSVLNGHGYAVRKVKFSPHRGSLLVSCSYDMSVCMWDYMVEDALVGRYDHHTEFAVGVDMSVLVEGLMASTGWDELVYVWQHGTDPRAQ >KZN05130 pep chromosome:ASM162521v1:2:20391173:20396058:1 gene:DCAR_005967 transcript:KZN05130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILRISCIASLVISLLISVAEARIPGVYSGGAWQGAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNKGQSCGACFEIKCKSDPQWCHPGSPSIFITATNFCPPNFAQPSDNGGWCNPPRTHFDLAMPMFLKIAQYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLISNVAGAGDIVRVSVKGSRTGWMSMSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIVPAHWQFGQTFAGKNFRDESFLFEDKLQSLASKTVQGCYKKNEFVLCVLKCSGTLNSTQNAGIHTATTTTPHSQTCHRTLSSTQSIPISNAVTPLSNISNQGSSKMSRKGWSTNKDVVPFYLKSPTVNLNERDASISPGPAFNSGTIPVSAVCNTPFNLTNVMKLNQGLCTTGTQKRSAKRRKGGDENVLPQHPSNSLNHLNSPVLNFEERSASCMTDQAASISTRPPLSDVSNTAVNIHSRMDLNEGDKDDSPSPNTISEDYGPMRQVKAKKSKTRIPPTDKEGTSRQLFGIRQLNDDATIEHSAIASVLEDADQLFNQNG >KZN06280 pep chromosome:ASM162521v1:2:31662388:31663545:1 gene:DCAR_007117 transcript:KZN06280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPGFVKEPTVVVGEREKAAVLKEYDNNLPDLGLRDQESDQDFVSICKKTLRNNPPVVASVVSKGIDLSYYIMGL >KZN05887 pep chromosome:ASM162521v1:2:28086114:28089790:-1 gene:DCAR_006724 transcript:KZN05887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSHDAAKMGTVVAKESNQRKDAAKEIDEDDAVNARCWFKFRLFGRCSASRSKIDSSVNGGTIQSEENKAKNDTCKEQPVVPVMSSSTTSNAGSTPSTPNLSEELKISSKLRKFSFSELKSATRNFRPESLLGEGGFGCVFKGWINEHGTTPVRPGTGLTVAVKTLNNNGLQGHKEWLAEVNFLGDLLHPNLVKLNGYCIEDDKRLLVYEFMPRGSLENHLFRRSLPLPWPVRMKIALGAATGLAFLHEEAERPVIYRDFKSSNILLDADYNAKLSDFGLAKDGPDGDNTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKHRPNGEHNLVEWARPCLVDRRKFYKLVDPRLNGRFSLKGAQKTVQLAAHCLSRDPKTRPLMSEVVQILKPLPDLKDMACSSSYFQGMQADRGGVNPNARNGNRSQAGLVPKNKQVNRSLTIPNGQNASPYHQNYSLRSPKPPRLSEE >KZN05934 pep chromosome:ASM162521v1:2:28518992:28533053:-1 gene:DCAR_006771 transcript:KZN05934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETPPQFPPTPSSSELNIPSTMSSRPNLSITPTTPNLLSLGYQPSPSRTIISDRFIPSRSSSNFNLFNISPPNNSDDPLSSSSAAYTTLLRTALFGPDSGFTPPVTPDKSVGGRNFPVVSPSRNIFRFKSETRQSLHSLLPFETDDNLPGVSHSPVKAARKVPRSPYKVLDAPALQDDFYLNLVDWSSHNILAVGLGNCVYLWNACSSKVTKLCDLGTDDSVCSVGWAQRGTHLAVGTSNGKVQIWDASRCKRIRTMEGHRLRVGTLAWSTSQLSSGSRDKSILQRDIRAQENYVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLYVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTFRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNNLNLSPDIPEVSQERLLSLVAERLIDSNSNLDDSDTYNAIGSKSYNTIMGDLVGLETGTTASEDKKKPEEDSVDFVAATTATLGVPSPGLSRNISFDNPPGSGSQHSKARKGDLEEEAELLRAMKISEADFPNSSKDVSLDNCDARKGDLQEEAELLRAMKLSEAPFTDSSKDVCSDQSDEQNVSAFPKESVNVKKSEPLVPSGTTEVVVGVETQKTWQQEPSSNVSNDLADINNDLITFETIPEKDDCLSTEKDQKISNSQLSCKEAGECNETKDVGEKTRIDFLDLQKPAVSDSLQPDFLSTAASSIVDSEGNKDIHDQSVSKTNVPKEDNQIAPEALSIAFSPVVIELDASGDSTGNRDVYEVVTPAGDSTEPIYAGEECILEPGTTYEDQEPVYEGEVVIAKQVDKHSTNASDVASKNEITPQQGELIKDFLKTNASQLTVYGLVCLQEQLKERELCVFFRNNHFNTMFKFGGQLYLLATDQGYINQPDLVWEMLNEVNGNTVFMTGSFKEFKAEDDHVKDTWDEQNARASTAEYLASIKDPAQDNSSFNSDLQLAMALQEQEFEQQPQRNTQQQNVSGGSGLVTGPQVGF >KZN05328 pep chromosome:ASM162521v1:2:22663111:22663458:-1 gene:DCAR_006165 transcript:KZN05328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNTGVWTENFEYGAEMQDYCYNGHFIRCDGKLNKPPKGKHWQEESLSIILFNRTMHLVHLCVTPTYFKSTQIKLVDISPLYPSSKVYGQMSPSLGLFMMPSTNHHSESKTFLH >KZN05375 pep chromosome:ASM162521v1:2:23190554:23201743:-1 gene:DCAR_006212 transcript:KZN05375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRSPPKHRHDGTSPLPMGMDWSPPPRKWSGRDTVWPHDPRSGWSFCVTIPSWAVLPKSRDSDPIVFYRVQVGIQSPQGITTMRGVLRRFNDFMKLLAARRSSLEVWMEKLLSDIDISRSVVVASFLELEAAARSSFQDEKQNGGNSDSSVNNQTLSLKPESSSIQSVASASFTSDYGSDTAYEASEIGASSLGKDYSSEVGIEELSFDEDLTDPIERLMKYGMSNIDDGLFMGQAILDQLESFPRNKGNAVKVTVANTNVSNGNTSGSAYTGADTMEHMAHPDHSKAIHHARNFSSGSIGSDVSSLKGSISSNSGPNTLEDGSLDLLRGSGVSNNMGTDSELQFPSDIKLMLPLDHRQIMNRALITMQRRLVTAKTDFEDLISRLNQEVAVKDYLTRKESYSLRLRTSCSFTWFFFTNGYVKDLEAELETTKQKSKENLQQAIIVEKERLTQMQWDMEELRQKSFEMELKLNSQQAEQAVSESEKYHTEGKDMMQELDATKQQLVELQKQHRELEVKSKADIKVLVKEIKSLRSSQAEYKQQLSESIKEKSETEKLLEQEKQNNEYSNTALRNLLHQCEFLHNRLQECNINLLDDDKLVIDPSSQTAASDLQKSDSSISLLLSKVQLLAKDDDAVSTTDNNSSDDATKAINQELRELLTNIFLDNTNLRKQCYHKTWSRKLYRCYGPLALLLSYRIRSTGPKITLRKNNKRKSINPREMSEV >KZN04351 pep chromosome:ASM162521v1:2:9017399:9018855:1 gene:DCAR_005188 transcript:KZN04351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLPNLSNLKQLERLDLRYCYALTDIQGLEELTSLRLLKLGVMLGSASGTWCQPVLEAFKIRTCGSNTASVSISYHKNMPDYLAWQPLVGCTGKLEETAKFVACLNMLGGQL >KZN07663 pep chromosome:ASM162521v1:2:42540084:42540402:1 gene:DCAR_008500 transcript:KZN07663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRRLVEIEPPSPLRYLMGAAIMMIGVVLPVGFMMFRNKRVPSSSSFAKQT >KZN04339 pep chromosome:ASM162521v1:2:8499992:8510571:1 gene:DCAR_005176 transcript:KZN04339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGWSSSVEFICLLGCCFMLLELAELKVPQEEVDVLQKLTSTMGATYWKFNADSCQVDLVGINPQAPPGSESKVDCECNSENNTICHVVTIILKGLALPGVLPSELVMLRYLRMIDFAYNYLSGTIPLEWGSTTLQSISVLANRLSGEVPKELGNITTLTYLNLEANQFSGTIPPELGKLSKLKNLLYSEQPKPDLCSRINDSNFTGTIPEFIQSWKQLSRMEMSASGLEGPIPSNIFGLGNLTDLRITDIHGPIQRFPNLSISTNLIRLVLRNCNISGEVPTYIWKLEILELVDVSFNKLVGEIPERIESKRLKFLYLMGNMLSGNVPDSILRDGMTIDLSYNNFTWQGPEQPTCQPNRNLYINFFKSSYSNNTLKLGCIILHIFFIADGCSLRVNSGGDNSTIKENHGEVLYEGDAGVEGGAARYYKSNNYWGFSSSGDFMDDNNYQNSRYVKSVPSINIPQMYTTARLSPVSLTYFRYCLENGSYDVSLHFAEIQFTNDKTSSSLGRRIFDIYIQDILVWKDFNVEIEAHGAQRPLVKKFNASVTNTILEIRFYWAGRGTTRIPNRGVYGPIISAISVDPNFKICYTKGKMKGSNVIYVIVGVLTLSISATVVSILWWKGSFKANKRKGKDLEGVELRTVSFSLKQLKAATNNFDSRNKLGEGGFGPVYKGLLSDGTVIAVKQLSSRSRQGDREFVNEIAMISCLQHPNLVKLHGCCIEGDQLFLVYEYLENNSLANALFGSENSQLILDWPTRYSISIGIARGLAFLHEESRLKIVHRDIKGTNVLLDGELNPKISDFGLARLNEDEKSHISTRVAGTIGYMAPEYALWGYLSDKADVYSFGIVVLEIVSGKNNNSYMPSNNCICLLDWACKLQQTKNFEELIDPRLGSQVNKVEAERMVKVALMCTNASPSLRPIMSEVIAMLEERLDIPDTFPEANTYSNDLRFKAMRDFHRDQTSRNSHSSQTLSEYRTLSSITSTHSFTGSQTLNVNQTGMQFSSTSNEDSDEIRPERKPQ >KZN04270 pep chromosome:ASM162521v1:2:5832779:5833255:-1 gene:DCAR_005088 transcript:KZN04270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQHHHHCRFDASGDGENVAALWRDELKNLRIKELKEIVQGRGVSTGGGGRRGLGLEMRERGGADLVLRQWWPGLLVRGGGHDCDDGRR >KZN06520 pep chromosome:ASM162521v1:2:33693131:33693595:1 gene:DCAR_007357 transcript:KZN06520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTNYIPAAQKFINDTLSFSSKPHDPINLIVLVYVFSIAALATLLYMHYYRNNNIRTLDVPELKAEVIDSIPVILHESIVGNSNMGKFEKEECTICLGMFEDGDKVKVLPVCLHAYHSHCVDEWLKTKSSCPLCRSSLDSTSSTTHDDEYAIV >KZN05984 pep chromosome:ASM162521v1:2:28908245:28908745:-1 gene:DCAR_006821 transcript:KZN05984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVLASSTTLLLVLNLLFFTLVTSNTPCPPPPKPKSPKCPCETTPTPKTPKTPKTPKSPTPKPPTTPTPTPPSTPTAPASPKCDALKLGVCGNLLGGLLGLVLGTPDKEPCCSLLQGVGDLDAALCLCTALKANVLGINLNIPVNLSLLLNYCDKKTPEGFQCS >KZN06184 pep chromosome:ASM162521v1:2:30823672:30826383:-1 gene:DCAR_007021 transcript:KZN06184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLSHRPVFPGHMSDHMSDDGRDRDIIDLLPPDPFMMDISTTITAITGWFEDLEMDYDGYGKKKPGAAKEDYSLYAGLNLILDQALRFQSFPGNMFDGKHDNCIEEREPQGALQDDGFWLVNKAEDNPSFRSGSSSAMMDACPECSGCCSDAGGSPHEAFLFTLSYLGLKDLLSVERVCSSLYSMVRSDPFLWRSIHIDKPLNEKITDDILLHLSSRAQGNLQCLSLVECPRITEDGLKRVVDTNPRLTKLCVPGCTRLNIEGILNILKDFKYKKGTSGIKHLRIGGVYGVTPERFEELKFLLGTDGPVQITGQKPHFFLRENIYLLCDDERAIDIEICPRCQKFRLVYDCTLEGCKAKDSANDLCRGCTLCIPRCAYCGRCIRDEYEETFCLELRCSDCSSKNPVCEENREDDLIENVVHPESSHNICLHGYLVGEVIGLVKQLIDVPVGSQYLMFIEVFKICHTVDASNWPSGFYGIYIVNCSVRRFGDMLSAADASD >KZN05596 pep chromosome:ASM162521v1:2:25451676:25453290:1 gene:DCAR_006433 transcript:KZN05596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSNYNDEMDCGSFFDHIDDLIDFPSDNDIGFNSGNGNDFPSIWSNNVEDLPGPDPIFPGMKNDSASDLSAELAVPYEDIVQLEWLSTFVEDSFSGGGMTLNKENVPLNNNASQNQFRTSSPVSVLESSSSSSCSDGKIVPLSPSHRGPQRARSKRPRPANFRPRSTMQFVTPTSSVTPDNSGLVNVPMISSESENFVESLRIKIPKPVSENKKKQKQKPSFPSSSAEMNCSSPLQQQASVRKCLHCEITKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVVEMRIKGGEKKFMNATVPPISSEPEMIPNASLEYM >KZN05669 pep chromosome:ASM162521v1:2:26180708:26181490:1 gene:DCAR_006506 transcript:KZN05669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVFLIILLVIFITNPSAAGRSDDGRTKYVKLCDSCDVAMKTKIRKILSVVEVLDYRDPGPNPGHDPGGPGKGKPGGKGINP >KZN03986 pep chromosome:ASM162521v1:2:219435:219842:-1 gene:DCAR_004848 transcript:KZN03986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLESSTEQNADNTNQASSQSKIITNEVLIDPVAQSLDQVKDETKLANQVVGPMSRDNEKETETLKKDAVKTKTAGVSEDVKNLTRDYSGLTGTYEGLCFICCVWCGYLLCFPCAQCYRFCESKFRSDNRSESA >KZN06758 pep chromosome:ASM162521v1:2:35636486:35636809:-1 gene:DCAR_007595 transcript:KZN06758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNPKSIVVALFLLAIVISPALPCEAARLPHRGILQNDDGPVCIACECCAPPPSPDKCCICCFQIPPPPPQ >KZN06969 pep chromosome:ASM162521v1:2:37232795:37233022:-1 gene:DCAR_007806 transcript:KZN06969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVIVISLPLILFSLLLGFGCYFLGRAKGRQDVRNNAQVFGVPTPPPGSDATALPSYPSPPPTHLKTFDKSDMV >KZN06414 pep chromosome:ASM162521v1:2:32740157:32740610:-1 gene:DCAR_007251 transcript:KZN06414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLKNTKPKATHGEVLHWPGEPSPGVEPLKVEVYLINPPELDWLGDLNPLSKVVMPYAYATPALGIMLQWEKAFSLKGLGNCQ >KZN04982 pep chromosome:ASM162521v1:2:18603654:18609103:1 gene:DCAR_005819 transcript:KZN04982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGVVYNANRLATLVENKKSLHNWLTYYQNRYERNPLEKPTIKTGFWGLWGQRVDAISYYATEISKLSEEEDAEREKVMSDPKAIVPAAFVSFKSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWRNLAIPYVELNVRRLLMAVALFFLVFFFMIPITIVQSIANLEGIEKVLPFLKPVIEAKIIKSFIQGFLPGIALKIFLILLPTLLMIMSKIEGFASLSSLERRSASKYHKFILVNVFLGSIVAGTALQQIHEFLNQSATEIPKIVGVSIPMKATFFISYIMVDGWAGIAGEILRLVPFVMFHIKNTFLVKTEKDREEAMDPGSLTFAISEPRIQLYFLLGLVYAPVSPILLPFIVVFFAFAYLVFRHQVINVYDQKYESGASFWPDVHSRIMIGLVISQLLLLGLLSTKEAGKTTPFLIDAMIKDTLEKAREPDLNLKAFLEDAYIHPIFKGGVYEKPIMDEESYPIIVATKRNSRVGSKVGSSEDSPQAFLG >KZN06880 pep chromosome:ASM162521v1:2:36565480:36569376:1 gene:DCAR_007717 transcript:KZN06880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNLEVAVIKGDVAAFLKLVSQNPNSVHQTVYKSLNTVLHLAARFGHVELAAEIVKLRPELVAAENRDLETPLHEACKEGHVKVMKLLLESDPLVIYKLNIRQESVLFVACQRGRVQVVKELLVFFPNLLMLEVDALSSTSLHVAASAGHTEIVKEILKARSDFASKKTADGLTPLHLACSKGYLEIIREFLNFDNDLSFLQDNQGRTPLHWAAIKGRLNVIDEILSSSLESSELVTYNGDTVLHLAVKNNQYEAVKYMMDALNTTKLMNMQDNDGNTILHLATAGKLRAMVSFLLNRGVEVNVLNHNGYTALDLVESESSNSGVLVIVPKLQEAGAKRGEQLPPKSQELLQIHEPPPGTFPDNSPYTQPYHHNPKAQHRQKHKRSKQLKHQNEGLRNARNTITIVAVLIATVTFAAGVNPPGGFDQDSGRAKLGTKRPFKVFMVCNILALFLSLGIVNVLVSVVPFRRKSMTTLLTMTHKVMWVSTLFMAASYIAAVWAILPQKRGTNWVLVELLIVGGGLTLLIFLGLGILFARHWIQKRQWRKQKGKKNKDGSPHSSRTSKIADLMHVKKSRDSSSNSDVDSSDLGYYPL >KZN04038 pep chromosome:ASM162521v1:2:1008890:1009270:-1 gene:DCAR_004875 transcript:KZN04038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQNWTSEKEAALKAGVKKHGAGKWLTILKDPEFSGLSYLRSNVDLKDKWRSMSVIANGRGSREKARLALERMHQSSKDDDSSMALAHLDQSNEELLDEQPLAVSMGSPPQIGASKLYKTPFC >KZN06804 pep chromosome:ASM162521v1:2:35955161:35960391:-1 gene:DCAR_007641 transcript:KZN06804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGRVRAKVRRSSLYTFGCVRPPRDEAEGPHQIQGPGYSRIVYCNQPRLHQRKPLKYSYNYISTTKYNVITFIPKAIFEQFRRVANVYFLLAAILSLTPVTPFLPVSMISPLAFVVGLSMAKEAMEDWRRFVQDMKVNLRKAITHKGNGEFSCKPWLKIQVGDVVKVEKDQFFPADLFFLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLNDDDSFKNFTGTIRCEDPNPNLYTFVGNLELNNQVYPLDPSQILLRDSKLRNTSYVYGVVIFTGHDSKVMQNATKSPSKRSRIEKQMDKIIYILFTLLVFISVISSIGFAVKTKDQMPDWWYLPPDDGHLYDPSHANLSGFYHLITALILYGYLIPISLYVSIELVKVLQAKFINKDIHMYDEETGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFIKCSIAGTAYGLSASEVEVAAAKQMAMDLDGQDPEFPGNFSRRNSTEVLLKNKRPSFGPSEIELENISTPMGVKHQKPVIKGFNFEDNRIMNGNWSREPFADLILLFFRILAVCHTAIPELNDETGGYDYEAESPDERAFLVAAREFGFEYCKRSQTSIYVRECHPSSREIVEREFKILNLLDFTSKRKRMSVIVRDENGKIFLLCKGADSIIFDRLSKSGRIFEEATMKHLNEYGEAGLRTLALAYKKLEEAEYTAWSEEFLKAKTSIGGDRELILDKLSDMMERDLFLIGATAVEDKLQKGVPQCIDKLAQAGLKFWVLTGDKMETAINIGYACSLLRQGMRQICISANIDIMAQDSKKLLKENILMQITVASQMVKLEKDPHAAFALIIDGKALAYALENDMKTHFLKLAVNCASVICCRVSPKQKALVTRLVKEGTGKITLAIGDGANDVGMIQEADIGIGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMLLFNVVLTSWPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRIIGWMGNGLYSSLVIFFVNIIIFYDQAFRSEGQTADMAAVGTTMFTCIIWAVNCQIALIMSHFTWIQHFLVWGSVVTWYIFLFLYGLISPVYSGNDFKILEEALGPAPLYWLTTLLVPVACNLPYLAHISFQRAFNPMDHHVIQEIKYYKKDKEDRSMWRRELSKARQETKIGFSARVDASIRQLKLRLQRRYSSSTPRISMSLT >KZN06797 pep chromosome:ASM162521v1:2:35907104:35912569:1 gene:DCAR_007634 transcript:KZN06797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIVNDHEEQMKQLKGQPRLPTWVVPKHYDLTLNPNILASNFTGSVRIHLSVLDPTRYLVLNSLDLIIHEVSFTTNELKHVPCDVVVDGEDEILVLLFEVALSLGEGILSINFSGILDEHMKGFYRGTYVDGGVKKNMAVTQFEAVDARKCFPCWDEPALKATFKITVGGVPSELTVLSNMPVCQENSDGILKSVQFEESPIMSTYLVAVVIGLFDYIEDKTSDGIVVRAYCPVGKSEKGKLALNIAVKALDIYKNYFSVPYSLPKLDMVAVPDFSAGAMENYGLIVYRETELLHDDLHSAAANTQRLAIVVTHEVAHQWFGNLVTMEWWTHLWLNEGFATWISYLATDIIYPEWRIWTQFLDTTTGGLQMDALETSHPIEVEVRTARAVDETFDAISYKKGSSVIRMLEDYLGADIFQKSLGSYIKRYASKNAKTEDLWSVLSEESGIDVNKFINTWTKQKVFPCTSIKINDSSLEFEQAQFLSSGRHGDGLWVIPITFSLGLNHKRSFLLDTKLRSLTLSQLQASVDGSSSSTEMNEEEILKNLVIKVNVGQTGFYRVKYDDKIATQLKKAIKENSLTAADKFGILDDTYALCEACELPLSNLLSLLNVYRKELEYIIVSRLIDICYAIATVSREVIPDSMADLQQFFIELILFCAQKLGLEPVAGESHLDTLLREEVLVALATFDHSETQKELMKRLRSYLDDRDTSLLSVKIKKAAYISVMRNTSTIDRYGFESLLKLYRETGAVQEKTRILGSIASCSDPAIIVEVLDFMLSNEVREQDAIYVTAGISLEGRETAWTWFKVYCFRVCLFQNGKFTDSL >KZN06970 pep chromosome:ASM162521v1:2:37233626:37236926:1 gene:DCAR_007807 transcript:KZN06970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPDLLFSVRNNFYLGAYQSAINSSSNLPNLSPEEAVERDSLVYRSYIAQSSYQLVISEIDSSAATPLQAVKLLALYLSDPGNKETTISSLHEWLSDPAIGNNPILRTIAGIIYMHEQDYNEALKHTHAGGTMELHALNVQIFLKMHRSDYAEKQLRIMQQIDEDHTLTQLANAWINLAVGGSKIQEAYLIFQDFSEKYQMTSLILNGKAVCSMHMGNFDEAESLLLEALNKANDTKDPETLANMVVCCLHLGKPSSRYLSQLKLSHADHMLIKRISAGEEAFDRAVQTFA >KZN06754 pep chromosome:ASM162521v1:2:35612962:35619494:-1 gene:DCAR_007591 transcript:KZN06754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANMHAFRLIHAQKHLINLSTRLSCKYSLNPNFHPQSHTTPQRSIHFTNHLNSWTSFNSEPKSDTKVTAIYDPITGSLITQRVSNVYDNDDENKQEVPIVSGDGDGNDRTFGVGKKGNLRSGPTSWKNFGAVSGGGKKKGKVKTSWVCESCGYSDGQWWGSCRSCDGVGTMKRFSEGDGGEGRISSGFGVSEKVMGTWLPQQAGDIGPVRLTDVNRGVDQKEWRIPLSGLFGNEVSRVLGGGLVPGSLVLVGGDPGVGKSTLLLQIAAIISEGHEMRQSAPVVYISGEESIDQIGNRADRLRIGTEELFLYSSTDVEDILEKAQPLSPRALIVDSIQTVFLKGVTGSPGGLSQVKECTSALLRFAKKTNIPVFLIGHVNKSGDIAGPRVLEHIVDAVLYLEGEKHSSHRLLRSVKNRFGSTDELGVFEMSQSGLQAVTNPSEIFLSPQQIDSEVLAGLAVTALCVASSTNSRQVTGLHTSRADMIISVLMKQAGLKLQENGIFLNVVSGLTVSETAGDLAVAAAICSRHVIYLIFCN >KZN07241 pep chromosome:ASM162521v1:2:39351387:39352891:1 gene:DCAR_008078 transcript:KZN07241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLSAALNVSEHMQFTATCAHIKKSAAPKSTRDSYLAHKVPCDGAVSKQLSLLRNESQTSQVFVGRSLFAPTTTDNSNSSFNNQSILSSNIAESHANEAIIGSSLVQTTFDDSISFVNNQPILSSNPATSMNFPPFLSDAIVAPDSFPNLPSSNQSTHLNITTTDLTNNNGIQGTELNNDIFDIQILHDKYFGFSSVPTNVMPPEVPQQQFTLADSRTSTSFSGSLESSTSFCPNIFSMDPNFSSTVGGNSHFPVFESLSGTYENQNQLRYEGNNISDNIWNLQGDYRSSTIVNDNIFYTSLEDIAKQTHGPPELDADQINQEQLWSSQRGERDIHFPGLQDDSNFWDNERN >KZN06162 pep chromosome:ASM162521v1:2:30676300:30686693:1 gene:DCAR_006999 transcript:KZN06162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGYDLSQLIQEAQVRWLKPPEVLFILQNHKDHQLTDKPPQRPSSGSLFLFNKRVLRFFRKDGHSWRRKRDGRTISEAHERLKVGNAEALNCYYAHGDLNPNFQRRSYWMLDPGFEHIVLVHYRDITEGRHNGDSVLQLSPESYATLSHVPSSNTTQFAGSTDVISDLHDPYHSASSPGSMEVSSNAIIKSDSMNYFDMRKSTEELNTSPNLEINKALRRLEEQLSLNDDSVEQMGLSYPGHEDSKNIGHAVCYQSLPQSAVMQDDLNSLMLQQCSGEKNKEHYYQQFGDGFSINEEAAAWNGMLDCFTDSAGVGQGHKHVKISNGNNFVSIVSDNFIMLPASNVLSQEAETFRFSAPPHAMNYYEANPYQEMFQQDQIGVPLQATPSSTISINYKFTLHEISPDWGYANETTKVIIIGSFVCDPSDHGLTCKFGDIEVPIEIIQEGVIRCHAPPNLPGKVTLCITSGNHESCSEFREFEYRVNPSSWHQSNVPKTEKSTNLEELLLLVRLVQMLLSDSPVQKIENSRSDICLLDKVKAGEESWTQVIEALLVGTWTSSSTKDWLLEELLKEKMCHWLSSRLLEGSDHAQCFLSKKEQGIIHMVSGLGFEWALNAILDAGVSVDFRDINGWTALHWAARFGREKMVAELLASGASAGALTDPYSQDPTGKTPASIAASCGHKGLAGYLSEVSLTSHLSSLTMAESEQSKNSAEVETERTLDSLSNTNLTAVDHQLPLKQTLAAVRNASQAAARIQSAFRAHSFRKRQAASTTATDDSGDAYSLLSHDVYGLSAASKLAFRNTRDTNAAALSIQKKYRGWKGRKDFLAYRKKVVKIQAHVRGHQVRKNYKVICWAVGVLEKVVLRWRRRRSGLRGFRPEAGSIDEVEDEDIVKVFRKQKVDVAIDEALLRVRSMVNSEEAREQYHRMLEKYGQAKAKLEGSTSEASTSSEISNMENDGGI >KZN06388 pep chromosome:ASM162521v1:2:32453170:32460795:1 gene:DCAR_007225 transcript:KZN06388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILMIGFSFSFLLLSISLPYSLSSSPTYDKAFHIDCGGSTQSTDKFNTTWLADEFFSAGATGLVSEPLRFQNLHEKTVRFFPISSGKKNCYTVPNLPIGSRFYIRTFTVYDNYDGKSHSPSFEVSVEGTLVFSWHSPWPEDISRSGAYSDLIAFISDGEADICFYSIATDPPVIASLELVQIDAAAYDAASIGNSSILVNYGRLTSGSGQWGPGFSNDTDLFFRSWQSDADFRSENSVGIKSVSAVKKVINTDRSPNFFPEKLYSTAVVAKGKGGLEYELQVDAKMDYLLWFHFAEIDVSVNRAGKRVFDIVVNEINVTRIDIYKEVGGFAAYDWHYVVKNLSDTILSVKFVPVVGSPLICGVENYAIVPADLKTIPDQVIAMRSLKESLKVPDRMGWNGDPCAPTSWDAWEGVTCHTNKGESALVVSQIDLGSQGLKGYISEEISLLSNLVSLNLSSNTLGGTLPLGLGQKSLVKLDLSSNKFSGSIPESLTSSKLQLVLLNDNSLEGQVPEEIYSIGVHGGAIDLSGNKGLCGVPSLPDCPLFWKNGGLSKNGKIAIGISCLIFVCLLSLLIYICCIRRGRNDYDFGLPHELTSLAAKRNRYHRQKSLMTLEMESQHAKGFIPSYSSN >KZN04601 pep chromosome:ASM162521v1:2:13408002:13408499:-1 gene:DCAR_005438 transcript:KZN04601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLHLLISLLISLPLLSTSTPSVYEVLQQYNFPVGLLPVGITRYELDTNTGKFQVYLDDSCQFDVEGYTLKYKSTISGTISTNKLKDLSGISVKVLLFWLNIGEVSRDGDELEFSVGIVSADFDVENFEESPQCGCGFDCNGGVGMGKRDRSWYLNRFLYPSLD >KZN04935 pep chromosome:ASM162521v1:2:18140424:18143187:-1 gene:DCAR_005772 transcript:KZN04935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPITISDVRATVTAARKPFSPPWGFSFKYPLRSLWGKRFDAIAADDAVSVENEEKNGEGSGRNWVLRILHFRSLRSDLEGSENVVEEREVESEGNNCDHDEECDVCDDDDEKVVFDKESFGKMLRRVTLGEARLYAQLSYLGSLAYSIPQIKPGNLLKRHGLRFVTSSLEKKEQALKAEKDKALAQEKENALAQIQEKMGGGSAEGREAETSPKDMVDIVEDKTDGNETGGSTAYRIAASAASYLHSRTASLLAFKMSNPEMSEDSPNGSKGDIGNVDMLNSIDMMNGDMASFIATTDSVTSVVAAKEEVKQAVADDLNSTSSSPCGWFICDDDQTATRFFVVQGLDVIVHRGIYEAAKGIYEQMLPEVRDHIKNHGSHAIFRFTGHSLGGSLSVLLNLMLLIRGEVPRSSLLPVITFGAPSIMCGGDRLLRKLGLPRNHVQSITMHRDIVPRAFSCHYPNHVAELLKAVNGNFRNHPCLKSQGLLYAPMGEFLILQPDEKFSPRHELLPSGSGLYILSCPISDVTEAEKQIRAAQSLFLNSPHPLEMLRDRSSYGSEGTILRDHDMRSYLKSVRNVIRQELNQVRKGRREHRRKVRWPLVAARGSNASITMGRPVTSSNMEQSQFKFAGILQTGRESMKRFSRLVASQHMHLLVVFLLPARTIILGAYSIINFH >KZN07048 pep chromosome:ASM162521v1:2:37937791:37941910:-1 gene:DCAR_007885 transcript:KZN07048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLYLLFSIFSTTLTTLALSLFLPFRLLFSSRAAPPSSVSLYQGTVWHQRRRPVHHSFNYSVRYALYELNHDSHSPSDHFSADEARTIAKTNGPVFLLTIPPSVGYEQNPLSIYYCYDIEGSSKSLKKCIAEVTNTPWGERVSFLFDPNVDVVAKPLHVSPFMDMHGSWKIKTSEPEDNLLVEISVQHPKLGNYFSASLVARRVPSSATDHALFFWLMPHKVALWIYWHALKLWWKKVQFIQHPRYSNPAYKEEAMARDIKLSSCTAFASDSQYKSEANPVTELKDRSAEGHLFKWRDAKWPWC >KZN07541 pep chromosome:ASM162521v1:2:41730059:41732518:-1 gene:DCAR_008378 transcript:KZN07541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGMKGRSGFSANNTAEDVTKGIDGSGLTAIVTGSTNGIGLETARVLALRRVHVIMAVRNVDAGEKVKQHLLEKMANARIDVMALDLNSQAAIRRFVEEYISKSLPLNILINNAGINAPPFTLSEDGIEQQFAVNHLGPFLLTNLLLDIMKKTASESGIEGRIVNVGSAMHAYGYKEGIRFDKINDEASYNPSDAYGQSKLCTMLHIVELSRRLKEEGVNITANSLHPGIVATNSQKKQGPIGKFMLVTGKLVFKNVHQGASTTCYVALNPKVAGISGEYFMGNNLKSLKGSTSLAKDPELAKKLWDFSLSLTRPQPN >KZN05563 pep chromosome:ASM162521v1:2:25099392:25105605:1 gene:DCAR_006400 transcript:KZN05563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCFSWTAASEVGLYATCASYAVSARNVTATPVSSIRTKTQNSDKIWTFKRWTLISCSLSGATVNFTLSHQHSNPEAVVQEVQRRILASTSNAESHDQQSCTTGNPIDDCWQCDPNWGNNRQRLADCAIGFGQGASGGKGGQIYAVTDSSDNDVEDPKPGTLRFGVVQAEPLWIIFAANMQIKLSHELIVSSFKTIDGRGANVYITGKGCITIQDVSNVIIHNIHVYNCVPSGSADIRLNPGQVEHKGKSDGDGISISGSRNVWVDHCAISHCTDGLVDVTVGSTAVTISNNYFSHHDKVMLLGHSDKYTPDKGMQVTIAFNHFGVQLIQRMPRCRHGYFHLVNNDYTEWQMYAIGGSADPTIISQGNRYTAPNDASSKQVTKRLDTDEGDWAGWDWRSDGDVMVNGAYFVPSGQGDLYTKDSGAEPRSAAMIDRLTSAAGVLGGPRDNGDHISHDGGTNSDENGSGGGMTFGSGDGMTFGSAGMEFGSANPPLSPSTAIFLSVIVVATLEMITRNGAVLPLELL >KZN05951 pep chromosome:ASM162521v1:2:28649950:28651164:1 gene:DCAR_006788 transcript:KZN05951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNGALIQGLTVELIHRNSPQSPLYNASATPEDLSTDAATRSLARYRNYYTQTKIQSTVIPNGGNYLMKLAIGTPPVEQFAILDTGSDLIWIQCQPCDNCYKQDYPLFDPSQSSTFSNNVGCDSNACNALPRSGCGQTNNQCLYDYRYGDKSYTDGELATDTFTFDGQADTEFPGTVFGCGHMNAGTFSHGSGLVGLGQGALSLNSQLSDTIHNKFSYCLVPFISGLNSKLKFGDDVDVNSAANAVSTPLQAQDDSFYHLNLEAVTVGGNTISGGGDIIIDSGTTLNYLDPGLYDALEAAVREMVGLNSVQHPNGAYNLCYETESLTAVPAIEMTFHFTGADVVLNSINIFRNVGYGLSCLSMLPSDGNGPRIYGNRAQINFQVEYDLGSKQISFAPADCTQF >KZN04879 pep chromosome:ASM162521v1:2:17497825:17509619:-1 gene:DCAR_005716 transcript:KZN04879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVVVAPPSNSPALRLLSSLSSSSSLLPLTHYKRPLPGRVGSENGKFLDHGSVKLRRPIKAMASLGGLFGGIFKSTDTGESTRQEYDSVVAAVNRLDNEMCGLSDLELRERTSLLKERARSGDSLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAFLNSLSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQDMTSEQRRENYLCDITYVTNSELGFDYLRDNLATESKFVKSESVDELVMRKFNYCVIDEVDSILIDEARTPLIISGPADRPSERYYKAAKIASAFERDIHYTVDEKQKSVLLTEQGYVDAEEILDVKDLYDPREQWASYILNAIKSKELFLRDVNYIIRAKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESAEFESIYKLKVTIVPTNKAMIRKDDSDVVFKATSGKWRAVGIEISRMHKTGRPVLVGTTSVEQSDTVSMQLREAGIPHEVLNAKPENVEREAEIVAQSGRLGSVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPSIVKPGDGVYVSVKKPVLKKSWKVNENLFPCELSEKNINLAEKAVELAVKTWGRRSLTELEAEERLSYSCEKGPVQDEVIASLRNAFLEIGKEYKVYTDEERKKVVSAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALESDDLQSLVIEYAELTMDDILEANIGSDAPKESWDLHKLVAKVQQYCHLLSDLTPDVLASNCSNYEELQDYLRLCGREAYMQKREIVEKQKSGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFIEMMAQIRRNVIYSIYEFKPLVNDKEKARLEKSSKPVKKGKGGVGKKPRPVGA >KZN06446 pep chromosome:ASM162521v1:2:32956743:32957795:1 gene:DCAR_007283 transcript:KZN06446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARASLLQLIILSLTILSLFSLSASLPTESILNAVETLSNAGYVVMSLTLQVSSEAVLTSQCRSATVFAPPDYSFSRSGQPSLSLLRYHFSPLALSVDSLKSLPYGTKIPTLSAGKSLTVTSFASDDRISLNDVKLSRWPIYDDGSLVIFGIESFLNPEFTSTIQIRNPSFDVGCVVVNDYPNTLSKGFMFGEASETLRARGYSVMAAFLDLQLLGFIGQPKLTVFAPVDEVMVNRAGDIPDYPSLFLRHVVPCKLSWIDMVNVNQGTELQTYLEGFGMNVTRSSDLFMVNGVQITFPDMYYSDWLVVHGLPEILPVPSTPEHEGSDPDDNKTDDKFPKASIATSKPKS >KZN07826 pep chromosome:ASM162521v1:2:43823171:43827771:-1 gene:DCAR_008663 transcript:KZN07826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKRFVVEVEEAKEAGGGRPLMGPVYRNVLAKDGFRPPAIGIESCWDMFRVSAEKYPENQMLGQREIVKGEAGKYAWLTYKQVYDMVLQVGASIRVRGAHQGARCGIYGPNCTKWVISMQACNAYGLYCVPLYDTLGSGAVEYIICHAEISIVFADETKLPELLKTLPSVSKHLKTLVSFGKITSEQKAIAHSFALPLFSWDEFLLLGIGKEFELPAKKKSDVCTIMYTSGTTGDPKGVMITNESIIWLISGVNHHLGSINAEFTEKDVYLSYLPLAHIFDRVMEEQIIFSGASIGFWQGNIKLLMNDVKELKPSIFCAVPRVLDKIYSGLVEKISSGGLIKKSLFNIAYSYKLENMRRGYKHGAAAPIFDKIVFSKVKEGLGGNMRLILSGAAPLSTAVETFLRVVTCADVLQGYGLTETCGGSFVQQLHQVAMIGTVGPPLPSIDVCLESVPDMGYDALAAATPRGEICIRGRTLFSGYYKRQDLTQEVMNHDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVSVENLENIYSLVSGIDAICIHGNSYESFLVAVANPNKEVLESWAHQNGVAGDFSTICDNPLAKAYLLGELTKIAKQNKLKGFEMIKAVHLDPVPFDMERGLLTPTFKKKRGQFLKYYQNVIDEMYTRAR >KZN07405 pep chromosome:ASM162521v1:2:40644030:40645099:1 gene:DCAR_008242 transcript:KZN07405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSLSTSPARSSISTTAIVGANGPAMPSDDFHFPADLISFQDRKDEALLVLKADLMSALAKEVKSLDEDNWMFEGPRSRINHISKPGEKLLILLTLVQLNVKLW >KZN05843 pep chromosome:ASM162521v1:2:27687135:27699175:1 gene:DCAR_006680 transcript:KZN05843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQELKLKFLTMDFGFDIENSSSPYIQLLKVATLIPISHYLLGFSLIFLTFLYNFLEFHFFQDFFSGFQGQPVLLTFDSCSQLYKEVASKCQILHGRYLATPWLSSPHFQTVFLSFYGRAPSLRYKRELFITPDGGTIALDWAMNQDGMQVNGEIHTDDTEPVVVMVPGLTSDSDAAYVKHFAFKIVKRRWKVVVSNHRGLGGISITSDCFYNGGWTEDIRTVVKHIHQRYPEAPLFVVGTSLGANIVVKYLGEEGANIPISGAAAVCSPYDLLISDRFLNRGVVQKFYDKVLTLGLKDFAQLHQPVLSRLADWDSIMKSRTVRDFDNYATRIVGKFETVDTFYRRCSSSSYVCNVMVPLLCINSVDDPVCTKESIPWDECRTNKNIILSTTEHGGHLAYFEGMDATNLWWVRAVDEFLGILQSSKLMHKPKEVEMSPQISPLKSSIDQSPFLNVVEHGLITAVASEPTDAEVVQNEHAVSNNQVEACAISSSGNEPTTIAEVVHNEYGISSNQDEYTSPDIEMDVHMTDKPNSPDSIVEPLKSDTSSHASVPVMKYLYQLSRHTRKSFWLLAYIALVTSLPFVGSALQYLSRKKLKSVQKR >KZN06593 pep chromosome:ASM162521v1:2:34211149:34213264:1 gene:DCAR_007430 transcript:KZN06593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMISHAGAVSHKLLSLNSSSSGGSTPSTAFYGSGLKKTNSRFTHQKTSEAKTFRIAAEIDEGKAKPKVDKWGGLAYDISDDQQDITRGKGLVDSLFQAPSGAGTHDAIMSSYEYLSTAQKIYDMDNNMDGFYIAPAFMDKLVVHLSKNFMTLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGIIPIMMSAGELESGNAGEPAKLIRQRYREASDIIMKKGKMSCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENMRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFRTDNIPDEAVVKIVDTFPGQSIDFFGALRARVYDDEVRKWISGIGIEGIGKKLVNSKDGPPTFDQPKMTLEKLLEYGHMLVQEQDNVKRVQLAEKYLNEASLGAANEDSINQGKFLG >KZN06345 pep chromosome:ASM162521v1:2:32082919:32084499:-1 gene:DCAR_007182 transcript:KZN06345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKYSGVQQRNRDNPGRVRFSDVELEKGDAESTRDRRIPPQKTQSFKGDKKKSWLNRQFSGQMNQEYNFSDSAEYPAAVAAAAYAIKTIEDLGIEAQKRENAASKTLKKIRSKSEDKFPNVTEPRADPRKVSDEVQEKRVPITTSTDINIPKEVTAPVPSFKRIPTSADEQFNSIDAVEFKTTEPKRTDVSAPRIKKTPTFPEKNLNSTGIGKPESTFPKSDHQNTKPATFPPTDTKGQSSAKPGMENTKADAWEKAEMAKITERYEKLNAKIFEWESKKKTAAKRKMDKIESDLEKKRAKAMQKYRSKMAMINQIAGGARAKADENRRHEEVQVKEKANKIRTTGKYPASCFCF >KZN07657 pep chromosome:ASM162521v1:2:42484078:42484722:-1 gene:DCAR_008494 transcript:KZN07657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHMFPLPLPPKHQLTNESPESPPSPQPQKDFIYELRENPKKSIKLVDPHFLELGSGLLPQDSDTESVTELLADEDVAACLMTLSRDKWRVKAPSQTRKRSYKCETCNKEFGTFQALGGHGTSHKKVKKRLDHDESMEEKPRKNKENVGRKLHECPVCFRVFGSGQALGGHKRSHFLGSSSTSVSTSSSTSPKQTHEVGFSFIDLNLPLPIDP >KZN04221 pep chromosome:ASM162521v1:2:4306286:4306783:1 gene:DCAR_005058 transcript:KZN04221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWSKPPEGVWKINVSGHSDAQLGSSAIGCLMRTRSGHFSCGYYGIVEHAHPVYVDLLAIYYGFKMADEEDARYIEVESESAAAVYLVNNPNQNVEYDDILLNIRRMKDMATPSCVLRYVERSSNLMAIRMSAYAFEKRASITRLNSCPSDFFQELAADWYFST >KZN04467 pep chromosome:ASM162521v1:2:11368621:11369403:-1 gene:DCAR_005304 transcript:KZN04467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQERNETRDQLNKLINKFMIPSAKPTTEILSTMPQISPEFPLVKSARTNSSITESNSFSDQPYNYHTQHSSPVESLFDAVTSPDLSNINNHQIVDSSNMAYVNHEPFVQDYNVPSALVKVNQASLTIDNLVQGKPLPQKGNLVQAVLGAGPLLQTLLVAGPLPKWKNPPPLQNFHIPPVSIKGCDMENFGLKVSDSPSHVVTLLNSRSDVEMSCGTLKMIPMVNYGHRAPSSCDGNGRSMVSAADVNSSVAGKRQRFR >KZN06588 pep chromosome:ASM162521v1:2:34188325:34189681:1 gene:DCAR_007425 transcript:KZN06588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHPLLRYACRNSLEKKLRLPVSCVVGEIHPLSVVVDPAGVVLQTYADGFFSWFGPRAYPFSDKRIERLRREDRDALNHPSISKLLNSPHCSYVINNQNQEVPVHDLEDKVVALYFYEEHFSSTDLTTEIQTAYNKLLAKKKNFEIVLVYVYDSIDTSEYATEEIRIILEDIQPNALAGTPNFGISAYPFTRTRVAKREAKYLKKLRLDMFWDPNTSFTQNNGREVKLSQLVGKKIILVVDGDWGSAKFLRRLRSRFLETKETDNAFEVIYVSKIKGPSSGKHFVASMPWLRHPPLRRRSRIAMLLGRFREAVGIVAFDGDGTVVRRSTSPSIEKGNKDFPFYSGGLEEEALMEFTEEYNWDYLPAQVW >KZN06986 pep chromosome:ASM162521v1:2:37370716:37375467:1 gene:DCAR_007823 transcript:KZN06986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIFTPPRSTTAPESNASLAQQMYNAVLVMSFSPEQTKEVFDVARNSIELLRTVLSSSPSKKLYRRSWTIQVMLESPLATLGLLNNLHQSASFQVDLHIGRKTTEYMFLNGDVYLGSLKGMLPHESRKYTWSGGTDYEGDWDKRKSTGKGKLPYVEWLQP >KZN06590 pep chromosome:ASM162521v1:2:34199005:34200579:-1 gene:DCAR_007427 transcript:KZN06590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTVSGDMMNNNSSSKREASASCKKNARASRRQKLELSRARDVGVGEEDNGGVKCRKLQMFQDGKGKGKAESGELSQRVEQNLVEEKDSVRSEKCPNFGLSSICGRRRDMEDFVAVHASLCSKDIGDAPKFHYFAVYDGHGCSHVAKRCKEKLHELVKEELRGKEVVESMEWKEALERSFARMDEEVITCKEAVMAAAGCRCLLPSPESDAVGSTAVVALVTPDKIIVANCGDSRAVLARKGKAIPLSVDHKPDRPDELSRIQAAGGQVIYWEGARVLGVLAMSRAIGDSYLKPYVTSEPEVTITERTGEDDCLILASDGLWDMVSNQTACGVAGLCLDGKVVSMSPPGSVSGEGGGEYHDQACADASLLLTKLALVRRSSDNVSVVVINLRKET >KZN05074 pep chromosome:ASM162521v1:2:19655689:19657726:1 gene:DCAR_005911 transcript:KZN05074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSNSKGLWFGDNPLKFYVPSLLLHLSLSINILTKCFHFFLKPLGQPTIISQTLAGVVLGPSILGQSTTFLSNVFPKETRIVLETTASFGFMLFIFLIGVKVDPIMVYRTGKPLGTALAENLIALLKMPIRDALSLALVMNTKSIAELGFMIQMKHMNQLTAEPYTIMVISVVVITGVISPIVKFLYDPSRRYLAYRRRTILHLRRNEELRVLTCLHSPENVQASNPTKESPINLVVLHLVKLIGRASSLLVPYRQREKPSSKRSESEQIFSAFRKYEQLNYGSWIYGETVETSHAFRNLNKKVLDKAPCSVGVLLDRVKQKNPRYVLSEQLLQKGPDDREALSYGQRMSSNSTIELHLVRFITSNSQNIMGGKERSKMLDDNILSDFKHNTMSSKRVSYQEEVVSSGKDVVSSTRSVGVSHDLVLVGRRHGESLLMYQLKTWRDRGELGEVGETLAYPEYNCEASVLVMQHQTKLWGLHDPEESTHLRKCDF >KZN06791 pep chromosome:ASM162521v1:2:35866496:35870279:1 gene:DCAR_007628 transcript:KZN06791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTDENPTRLGFLGLGIMGSPMAQNLIKSGCDVAVWNRTKSKCDPLISLGAKYMSSPEEVAASCDITFAMLADPESAADVACGRNGAVNGMSPGKGYVDVSTVDVTTSKLICGKIKATGALFLEAPVSGSKKPAEDGQLIFLTAGDKSLYDTVSPYLDIMGKSRFYLGEVGNGAAMKLVVNMIMGSMMASFSEGLLLSEKVGLDPKVLVEVVSQGAISAPMFSMKGPSMVQSKYPTAFPLKHQQKDLRLALGLAESVSQPIPIAAATNELYKVAKSYGLSDHDFSAVIEALKAKLHPQT >KZN05317 pep chromosome:ASM162521v1:2:22546604:22549378:1 gene:DCAR_006154 transcript:KZN05317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASDTNETKKKPFSVLFVCLGNICRSPAAEGVFTHLVKQKGLDSDFVIDSAGTINYHEGNEADPRMKATSKRRGIEITSLSRPIRPSDFKDFDLILAMDKQNKADILAAFERWSFRETLPADSYKKVKLMCSYCKKHNETQVPDPYYGGQQGFEKVLDLLEDACESLLESILAEKTSS >KZN07385 pep chromosome:ASM162521v1:2:40481366:40483243:-1 gene:DCAR_008222 transcript:KZN07385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSATSKDAQALFHSLRSAYAATPTNLKIIDLYVIFAVATALIQVVYVALVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >KZN05493 pep chromosome:ASM162521v1:2:24335031:24346146:1 gene:DCAR_006330 transcript:KZN05493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVLSEKAAMMRESLSKSQSATDNMVSMLGSFDHRLSALETAMRPTQIRTHAIRRAHENIDKTLKAAEVILAKFDISRQAEAMIVKGPREDVESYLDAIEKLRGNIKFFSNNKSFKSSDAVVNHANNLLVKAVSKLEEEFKQLLSVYSKPVEPDRLFECLPNSLRPSGSPGHHGDLGGKNHSDQQNGSLEDAVYTPPTLIPPRVLPLLHNLAEQMVQAGRQQQVLKIYRDARSSVLEESLRKLGVEKLSKDDVQKMQWEVLEAKIGNWIHFMRIAKNKILITHHSVTIHQVKAVKLLFAGERKVCEQMFEGVDSLRDQCFAEVTAGSVAVLLSFGDAIAKSKRSPEKLFVLLDMYEIMRELHSEIATLFIGKACNEIRESALGLTKRLAQTAQETFGDFEEAVEKDATKTAVADGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFENGSDSNSQLASVTMRIMQALQTNLDGKSKQYKDMSLTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRVAWAKILQCLSIQGLTSSGGSSNSVSIDGGNSSGVSRALVKDRLKTFNAQFEELHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFIKRFGPLVENGKNPQKNIRYTPEDLDRMLGEFFEGKTLNEPRR >KZN07433 pep chromosome:ASM162521v1:2:40801744:40803618:1 gene:DCAR_008270 transcript:KZN07433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFRHPTSGVNLWSSDDHTTSSMMDAFISSTDFSPFFPPPTPPNRLQTVIDTATENWTYAIFWQPDNNATYAPSASSILGWADGYYKGEDKEKARANASSADEQAHRKKVLRELNSLISGGQTGSPDEAVDEEVTDTEWFFLVSMTQNFVNGSGLPGQAFVGNSTVWVSGGERLLGCECERARQAQVFGLQTLVCIPSENGVVELGSTEVIYQSLDLMNKVRVLFDFNGGHSSFLQQDPGVNESDPSALWIREPSPVVVPPVVQIKEAPLVSLSNPMQIAFENHSSIGLNGNPGNPNSNPNPNTSSVIHVPNHQFGRNEGREIGVMGKELNFSGFGYDGISGSNVRNVGLESNGCKPESGEILNFGESKRSNGSKVLPFGGIVEDSKKRSNEDGMWSFSGVKSSGVGDSDHSDLEASVVKEPDVVRVVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQKFYALRVVVPNVSKMDKASLLGDSIIYINELKAKVQASDSEKDELRNQVESLKRELASKETRGSAEKDFKLSNGHASKLFDLDIDVKIIGWDAMIRVQSSKKNHPAARLMAALEDLDLDVSHASVSVVRDLMIQQATVKMGSRLYTQEQLKVALTARVS >KZN05051 pep chromosome:ASM162521v1:2:19344503:19349206:-1 gene:DCAR_005888 transcript:KZN05051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNINGVVANDVGVNWGRQSRLRLLPSNVVDMLLSDGVRKVKLHSFYENVAKAFTGSNLELMVTLPIPEVIMVNNQSNAIAFVKKNVTQLYKDHDVNVKYFMIGNEPYTITSNVTASFQNDFDTALHHVTECIRYQPSPYNQIKVSIPHAPDVLISGIKRPSQADFNGVVRQRMLNILKILNSSGSPYAVNIYPHTSILQNGFPLEFAFFDNNSTFRIQDGPNVYTNVFDFVYDSFVSALRKYGFGNLRLIVTQVGWPTDGHVYANVSTAQRFYVGFLKKIANNEGTPLHRGVPIEAYIYNLNDENAKDMSAYGPSIRHYGIYDYKGQPKFSLDLTMKGRPDAKLVPAEGVVSMPRRWCVLNTDAKLNARNRTSLILKQFERACEKNDCSKLYYGASYSNLTFEGNVSYAFNVYYQSKSQVDGACYFNGFGKITMEDPSETYGCHFQLEILSTLFIWGQGEMGNVLAKTGANAVMYANNSPDDVQVVPSVSPVLILATPFVLSSILMLWICWIDGEGVTVGLMAKVQGDGVGEGFSSLLLEDSLQGDRLACGSIMGVGKFPGQERSVAESSVLLELAASDDLIGFRTVVEEGVDVDGGGLWYGRRLGSKKIGLEERTPLMVASMFGSKQVLIYILETGNVNVNRACGSDAATALHCAVVGGSANVVEVVSLLLDASANVNCFDVGGNRPFDLIIPFVSSLYDSRKKVLQLMLNGYPGFDKACNLSNKITEDIREGRDYFVDFSLPGINNKMYVSDEFRMYTFKIKPCLRAYSHDWTECPFAHPGEYAKRRDPRTFHYSCVPCPEFRKGTCPQRDTCMYAHGIFECWLHPAQYRTRLCKDKIGCNRKVCFFAHKHEELRPSYTSTGSGVPSRRSFRANSSDFSTMSPLTIGSPSVMIPPSGQSTPMDGSMGPIQCNFASPNLGLSGSRLRTTLSAKDMKLEAKRFGKEGHRRHQQQLLDELFGLCSLASWNNPFSSCATEEPSRFLGLKLTKMDDVFKSHDPAIMSYSKGHSVDAGTPQLHCFDGISLPSFNSDRDSWSNFKNFPATKTDH >KZN05258 pep chromosome:ASM162521v1:2:21783089:21784452:1 gene:DCAR_006095 transcript:KZN05258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVADASGYIGVRFMIGFSFATFVTCQYWSYSFTAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLGALQMKGDVSKDKFSKLQTAGIIAATFGMANLLARPFGGYASDVAARKFGMRGRLWTLWILQTLGGVFCILLGRSNSLPIAVTMMIIFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGENFGSGLTQLLFFTSSQISTAMGLTYMGIMIIACTMPVTLVHFPQWGSMFLPPSRDVVKGSEEHYYVSEWTEDEKQQGMHQGSVKFAENSRSEHGRRVASAPTPPYATPTMLDGMWESYNTKFNTRI >KZN04696 pep chromosome:ASM162521v1:2:14828794:14832713:-1 gene:DCAR_005533 transcript:KZN04696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEVILVAVDASKEVTDYTLEWAVQNVIKPKDSLILLAILPSTSSPFRVVAENNPPRTLYQFITGVLKKKCNRRENTRNLKEKIAEENCRDQLVQDTHDSCTQIIRQLLKLHSIVQVRTEVRVVADQAAEGSITKLALEIGATWVILDRCLKKEDHSSLKQLINCNLVLIDRDIQRALKPMNLYKEDKVKEEAKFLEKPTVADMLDMFLNVSSTTSQSTSPGLEATTFSTSSSSSPKMYVEEFHQTGISKPDIFSPLGEHRVELKATYRALNRYSKSQPLSQTVLRELEVPTKSKSGILEEKSGLSKSRSDINWNTGIKTFHPPQVAPRISIHTHPPQVAPRISIDTKLSRPKQPMSGEQNLRTETNQTTEVAKIDRLSSIRKAMSVSVKQPPIPPPLCSVCKNNAPIFGRAPRKFTYMEIQEATNGFSKNNFLAKGGFGDVYKGVLDDGQVVAVKQHKVLSAQGASEFCSEVEVLSCAQHKNLVILVGYCTEMEWLLVYEFVCHGSLDKHLYGRGEPMAWQNRMKVAVGSAGGLRYLHEDCRVGCIVHRDFRPNNILLTHDFESMVGDFGLARWQADGQSAEETRIVGAFGYLAPEYTQTGLITEKADVYAFGVVLLELLTGIKATEFARNAKQPYMPDWSRQFLESKVPSEIVDPRLDHDFVEKEVECMIQAASLCISPDPDQRPRMSEVLKILEGYMPRVVPGPHSQPASTFPGRNLIEDHIDNKSEILKLQRNRLVYAGISDDKCNPLHQVLKDTQLQSMYKQVSFSDENKIAGTANKMDKNKSKLPRNEDYQEYLQGSLSRYIQNMKVM >KZN04177 pep chromosome:ASM162521v1:2:3088636:3092129:-1 gene:DCAR_005014 transcript:KZN04177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNGTVKCPSPMKAMSNGVFQGDDPLDYALPLAILQICIVLVLSRFLAYLLKPLRQPRVIAEIVGGILLGPSALGRNKSFLNAVFPPRSLTVLDTLANLGLLFFLFLVGLELDPKSLRRTGKKSLCIALSGISVPFVLGIGVSYVLRGSVSKGVNEGPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGRSPLISLWVLLCGAGFVLACTFIVPPLFKRMAKHCPEGEPVDEVYVCAILALVLAAGFVTDTIGIHALFGAFVIGVLVPKEGPIAAALVEKVEDLVSGLLLPLYFVSSGLKTDVTTIQGAQSWGLLALVIFTACFGKIVGTVVVSLLSKVPFQEAIALGVLMNTKGLVELIVLNIGKDRGVLNDQTFAILVLMALVTTFMTTPIVIAVYKPAKKLAKAVYKHRTVQRTDTNSQLRILACFHSSRDIPSLINLIEASRGIGKKEGLRVYAMHLMELSERSSAMLMVHKARRNGLPFWNSTQNSDSTQIVFAFEAFQQLSRVSIRPTTAISPMSSMHEDICSSADSKRAAMIILPFHKHQRLDGQLETTRADFRIVNRKVLEHAPCSVGLLVDRGLGGPTHIPASNVNSVMIVLFFGGRDDHEALAYGARMAEHPGITVTIVRFVLQPKIRGQVVHINMDDFPNADESNFDDEILDAYKKNVSEDTSITYEERVVNNAAETIEAIREYNRCNLFLVGRMPEGEVPEALKTKGECPELGPIGSLLTSPQFSTTASVLVVQQYHSQLSTHSLASLKEEGDAEDTDKGYSDSN >KZN04411 pep chromosome:ASM162521v1:2:10424276:10424746:1 gene:DCAR_005248 transcript:KZN04411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYDHQFKQKEAQTGVDEGAKAQTGGQGEKAPQTTQGSTTDFSYACPLPSQTSHADSTRMKRVKKSATVSATQGGIFQNPTQAPRVTNTPLGIQPQSQTKDGKYVTTMRHLENAKNERKGALQKPAWNYEAAL >KZN04641 pep chromosome:ASM162521v1:2:14074272:14074583:1 gene:DCAR_005478 transcript:KZN04641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEAHKVLAQLVEHNSSMREVLKVLEEENKKLKDKIKLMDIYRSNNERMINMLKEHKDQQRALSLHIIDPKTVQPPNIGKKRKLEKGEGSNVSTDEGERNKK >KZN07292 pep chromosome:ASM162521v1:2:39759953:39766060:1 gene:DCAR_008129 transcript:KZN07292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLRYISSSTENSRNLGLAKKPMANDYLIQKPMTPIIDRFKAMLRGREENIKALGLHGGEDDDDPISPPRCEEIVKLYEIVLSELTFNSKPIITDLTIIAGEQREHAEGIANAICDRILQAPIDQKLPCLYLLDSIAKNIGKEYVRCFSALLPEVFCEVYKQVNSSLRTSMGHLFGTWSTVFPPSILCKIEAETNFAPAANSQSVLTSLQPSESPRPTHGIHVNPKYLEARRQYEHATVQSDIPHSSGNSSLKMNGKHAVEHGGYGSDNSEGVPTQVGTKRINSSIRTNITNAENMLAPARGAKSSSPFVAGRVRSPGSDTEFDSTSRFLKISSPSRRGFDYGGVVNIGKKESSDRYGGYKSLDSHQEYDYHDSHSYSNSELRGPRALIDAYGTDERDTCKHPNAGHLNMNAVNNKMAVQTWKDNEEEEFKWEDMSPTLATGNLKSSLFSQSNPMSGILTTVPGVEPQHPVLMENSFRRGHQSGREQMSAFSDSSQITDSVHGLTNNIYGVRNEGSQFPTSRNPPEVWNIPPSSQRNLQVPFISSAGELKIPPVNGFPGLDKERGSLDFASRMSSLTRESLNPEVLSAQKSNPVSQLASYPLQKRMWSQMEPVSAGYSNADQGMKNSFSAPNHQAQFSNRQVGPNSLHQQNHTQNVVLRPPYQMRPNVQQNMIPPVGMSTPSQVAYQPFGRGYAPPGQRPFVNTGFMNPAPGMQSSMPILNVRNSPAHLPGVGLPPLPPEPRPVSSQMIPTQNPSLVALNPSGGGALSGLFNSLMAQGLISLTNQASVQDPVGLEFNTDLLKVRHESAISALYADLPRQCTTCGLRFKCQEEHSSHMDWHVTRNRTSKNRKQKPSRRWFVSADMWLSGTEALGADAAPGFLPTEIVHEKDDEESAVPADEDQIVCALCGEPFVDFYSDETEEWMYKGAAYMNAPAGSTAGMDRSQLGPIVHTKCKSDSNVTTAEDSRKNEMVYTEDGGRMKRLRV >KZN07314 pep chromosome:ASM162521v1:2:39939993:39940613:-1 gene:DCAR_008151 transcript:KZN07314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRKLTTSLSERLLGSYNYGQNHATVNAGPDLFEDDVWSAVDIVTGDDDDQLSNNPHVEWAPRANPETNRRVSIRSHMHGGNEGGHVGGLSHAFDDPGRTSSPRILHQIRGQEIVGSPRGRQFATSAPVNVPEWSKMWKPVESMHHDSDELDDGEFDKVPPHEYLARSRNSAAYSVFEGVGRTLKGRDMSRVRDAVWSQTGFDG >KZN04954 pep chromosome:ASM162521v1:2:18326733:18328224:-1 gene:DCAR_005791 transcript:KZN04954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVTAAKGGKKKGTSFVIDCGKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVVREKSKITVTSDANFSKRYLKYLTKKYLKKNNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >KZN05207 pep chromosome:ASM162521v1:2:21183636:21184427:1 gene:DCAR_006044 transcript:KZN05207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCHCASYARLRTSWTIKNPGRRFWSCCKSKEAGGCNFFLWMDDEFGGRAKDVIEELKKKISCLEEKQLKTEERLAKKREKMKMLKIQNSVQFNIIMALIHLPYPAAPPRLFQYFFF >KZN07348 pep chromosome:ASM162521v1:2:40217672:40221923:1 gene:DCAR_008185 transcript:KZN07348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYNIIKEVGNGTFGSVWQATNKQTGEIVAIKKMKRKYYSWEECINLREVKSLRKMSHSNIVKLKEVIRENDILYFVFEYMECNLYQLMKDRQKLFSETEVRNWCFQVFQGLAYMQQRGYFHRDLKPENLLVSKDLIKIADFGLAREIDSLPPYTEYVSTRWYRAPEVLLSSPAYGSAVDMWAMGAIIAELLTLRPLFPGSSEADEIHKICSVIGTPTEIEWAEGLQLASAVNYQFPKLAGVPLSILVPSASKNAISLIASLCSWDPSKRPTAMEALQHPFFQSCYYVPPSLRCKPTVARTPPSGLSPHFTLQKKNLQVPDVFGVATGTKGYMQQKHARSYNGNLSYYKPPGDNYVTSYHDFMTVNRNATPSKDLLANTLAICVVSCTWNPNMNMTRNSCATEVGDGLSANKYHKTLKHSDAKQPPKYQPPIRNNATTVHPWKAGEVIETAQKLSNMTIGNNKQSLGQLLPPPMKAGGWEPQSDPFLGRSQDFNHGQAYSRRVAG >KZN06884 pep chromosome:ASM162521v1:2:36599836:36601357:1 gene:DCAR_007721 transcript:KZN06884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKDLPSPSTVYSAYASISASTMLLRTTINQIIPHQLQNSIASSIRHYIFTSANTSQLTLVIEEKDGMSQNELYKASEIFTSTKLHPHIDYLNISKSSKDDHLNIKFDKSETIFDFYQGVEITWRFVRQQLENVSYDEDLEDSEAERKYFELSFDKRHKDFVMNFYVPFVLEKSKAIQQDKKVLKLHSLVSRGSTIWSSVKLEHPSTFDKMAMDPKLKKEIIQDLDLFLQRKEYYKRMGKAWKRGYLLHGPPGTGKSSLIAAMANYLKFDIYDLQLMNVKSDSCLRKLLLATRNKSILVVEDIDCSVELPDRTGQQPRSSQILASNYLGIKIESHSRYKEIKELMGSTEVTPAEAAEELMKSSDIGLCIEGLVEFLKGKKSEIIRNQTTKADGIEASETQIPYAKRQKTNAPTEV >KZN07446 pep chromosome:ASM162521v1:2:40912929:40913966:1 gene:DCAR_008283 transcript:KZN07446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPRHVRALFKDPCTRVADEVSNALNELANSIRNRCQCSPEILFDHLHEALTGLNTAFKAQPRLFIGPATDQTSDMLLAKAAAVAASDADKYLSSVKTDSAALLSEWRLSKRASNGVKSADKKTLKPTLSRITITSLEFSEALPFAAFSSLLIEIVAKLEIVIEEVEELGKKAKFKESNQGDNVTVTVQTPQTEDQTNTPE >KZN05649 pep chromosome:ASM162521v1:2:25968887:25978696:1 gene:DCAR_006486 transcript:KZN05649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKVVVLNPPLNTFEHKRDAYGFAIRPQHLQRYREYAKIYKEERSDRWSDFLDRQAESAELAMNELSTLEIDAPLAADATEEVADDSLKKHDLDDTKHDLNERGPHSDSINEDVLGEEEVPLPKQKQIHRILTWSQIRPSLSAIEDMMSGRVKKRTYTESASEKPLSTTEETKPPKGGSEDDSEEEFYDVERSDSPQDIQLNDSVSAPAIGDTADIAPTESSFPWKEELECLVQGGVPMALRGELWQAFVGVRTRRVEKYYQDLLALDNGSGDITEHPSSELDTSGIGPATEEPIDVPAKWKGQIEKDLPRTFPGHPALDEDGRNSLRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALMGILDDYFEGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYPNVNESRLEALRNKHRPSVLAAIQERSSGLRSLTDSQSLASKLYIFKHDAVSLISGTKKTDAADERTDGDINHTSSSLSNSDDLSSNVSGVTELDSVPDIQEQVVWLKAELCKLLEDKRSAILRAEELETALMEMVKQDNRRELSAKVEQLERDVAELQQALSDKQEQESVMLQVLMRVEQEQRLTEDARRFAEQDAEAQRYAAQVLQEKLEATTASLAEMEKRVVMAESMLEATLQYQSGQNKAQPSPRSVQDSSAVRSSQEALQEFPTRKISLLSRPFNLGWREKNKEKPANVEESAEDKSANDKENPSSQQLDTNGHQMEEIELKT >KZN04216 pep chromosome:ASM162521v1:2:4054965:4056198:1 gene:DCAR_005048 transcript:KZN04216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHFPTCNLVKEDYIDMAVSSQRSKFCHAMNSSLHPREFEFQMFPSLSERDRTTSPADELIYKGKLLPLHLPPRLQIVEKLLQNSNTYNKYADSFDECFSIPTENTAPLQAPTPLNPVIFHPLNLARPGSAGHNMNTIIS >KZN05245 pep chromosome:ASM162521v1:2:21628213:21629219:1 gene:DCAR_006082 transcript:KZN05245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKTKYSLCTGVDIPQKNILHGQNQNEAIVVGFEAPKSPDASYNNVYPEDNYAKGPPTVPNHLDDTLLNSSASSDFPGSLPLPHNAVLNHVYCENRETEGPVVALGLTHRFREKYVTVVLYKPAPRCGSTNN >KZN05308 pep chromosome:ASM162521v1:2:22450819:22454024:-1 gene:DCAR_006145 transcript:KZN05308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRKWSLLTGPPAIAGAVVGSVVVFQLLFGKSGSSVRLQQKKEELASTNK >KZN06762 pep chromosome:ASM162521v1:2:35651695:35654124:-1 gene:DCAR_007599 transcript:KZN06762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIIDQPQFVASYLVVEAGGRAEEGHISTAEKEIGLDGGFFVPQTNSFGNKFRDYNAESHRQKGVEEFYRTNHINQTYDYVKRMRAEYEKLDKVEMSIWECCELLNNVVDDSDPDLEEPQIEHLLQTAEAIRKDHPNEDWLHLTALVHDLGKVLLHPSFGELPQWAVVGKCDTYPVGCAFDESIVHHKYFKENPDNNNPAYNTKYGVYSEGCGLNNVMMSWGHDDYMYMVAKENGTTLPSAGLFIIRYHSFYALHRAGAYKHLMNQEDCENLKWLQVFNKYDLYSKSKERVNVEKVKPYYLSLIEKYFPAKLKW >KZN06137 pep chromosome:ASM162521v1:2:30451495:30452151:-1 gene:DCAR_006974 transcript:KZN06137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLLPLILSSLLIATNATRYASMTTTTTTFTVTSQPGPLPPHPRPPLPHRRPLLPHHRYHPSHHRPPQPTGRDLADEFLSLHNTARRDANLPPLVWDTKLEQYAKQYAVQRGNTDCAELIHSHGPYGENIFWGGGPEWRPKDAVEQWMHEEKFYDPDANDCKDGQMCGHYTQIVWRDSCRLGCALQRCKNNDTFVVCSYDPPGNYVGEKPFLHYDN >KZN05303 pep chromosome:ASM162521v1:2:22375801:22397800:1 gene:DCAR_006140 transcript:KZN05303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQENSDYEEGEEEDEPRLKYQRMGGSVPSLLSNDAATCLAVADRMIALGTRNGTVHILDFLGNQVKEYPAHTGAVNDLCFDVEGEYIGSCSDDGSVIINSLFTEEKLKFDYYRPMKAIAVDPYFAKKSSRRFVAGGLAGHLYINTKKWIGYGDQILHSGEGPIHAVKWRTNLIAWANDAGVKVYDSANNQRITFIERPRGSPFPEILLPHLVWQDDSLLVIGWGTSVKIALIRANQNNTTSGPYRHIMSNMNKVDIVASFQTGYYVSGVAPFGDNLVVLAYIPGEEDREKEFSNSVPLRQANAQRPEVRVVTWNNEELATDALPVHGFEHYKTKDYSLAHSPFSGSSYAGGQWAAGDEPSYYIVSPKDVIIAKPRDAEDHISWLLQHGCHEKALAAVEAGKGRSELIDEVGSRYLDHLIVQRKYAEAASLCPKLLRGSTSAWERWLFHFAHLRQLPVLVPYIPTENPRLRDTAYEVALVALATTPDFHKDLLSTIKSWPPVIYSVKPVIAVIEPQIDSSSVTDALKEALAELYVIDKQYDKAVALYVELMKPNIFDFIEKHNLHDAVHEKVVQLMTIDCKLAVPLLVQQRDFITPFEVVSQILAAKNNCDSRYFLHMYLHSLFETNPHAGKEFHDMQVELYADYDPKMLLPFFRSSQHYKLEKAHEICLSRDLLREQVFILGRMGNAKQALAVIINKLGDIEEAVDFVSMQHDDELWDELIRQCLHKPEMIGMLLEHTVGNLDPLYIVNMVPNGLEIPRLRDHLVKIITDYRTETSLRHGCNDILKADIINLLIKYYKEARRAVYLSNEEDEVRVKRDDSNVSQLTERSLSMKNMEVKSKVRAGGRCCVCFDPFSIQNVSIIVFYCCHAYHLTCLMESSNSANDNKRSKATSKEAVSYYEYDDSDADESDNDNISSGAPQMRCILCTTAAG >KZN07548 pep chromosome:ASM162521v1:2:41760314:41760916:-1 gene:DCAR_008385 transcript:KZN07548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCALVFITIGVCAIVYYVLWIQLFNVLNVQNASGSQVKVSVEDLFLPAILNDSASDHSLIDSASVFFTLSLHDDTYDMGVVYDNITIRFYFVGTSIIPIANYTWPGFYQEGEEHGSITQLSYYVNTQGISFAKVSKNVSEIVLRVDFATAFRFKYSSRVSKRHAFCMGAGGCEGEHRDRQESFAKLYKALWPGFWGTL >KZN04129 pep chromosome:ASM162521v1:2:2427836:2430684:1 gene:DCAR_004966 transcript:KZN04129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVKYGIIGVGMMGREHMLNLYHLRSQGVSVVCVADPHLPSQQHAIKLAQSLDFSLQVFSGHQELLDSGLCDVVVVSSPNMTHFEILMDIISHPKPHHVLVEKPLCTTISDCQKVVEASKKRPDMLVQVGLEYRYMPPVAKLIDIVKGGTLGNVKMVAFREHRFPFLVKVNNWNRFNRNSGGTLVEKCCHFFDLMRLFVGAHPVRVMASGGIDVNHKDEVYDGEVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSKNEQEISVVGDIGKGEALIPENIVRFGMRTNGREGVQTLKTIDDRIKYDGLHHGSSYLEHLNFLAAIRAKGSEPPAVDLNDGLISVAIGVAAQVSIEEGRFVTIEEVTGKNNLSPSC >KZN04070 pep chromosome:ASM162521v1:2:1389399:1389767:-1 gene:DCAR_004907 transcript:KZN04070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSFKLLLITISICPLFSATVAAPRKILLGGYVPINDTATPEIQAIAKFAVSEHNKEANTKIMYMKIVKGEKQVVAGLNYRLIIEAKDGDEMIQHYEAIVYVKAGKDSKKLVSFAPIEELA >KZN07313 pep chromosome:ASM162521v1:2:39933866:39935787:-1 gene:DCAR_008150 transcript:KZN07313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLDELHKPILRSIEPEPLPLPLQVGGVSTELEKVLSDTELPLLNRVRTATWIELKLLFRLAAPTVLVYLINNSMSMSTRIFAGQLGNLEFAAASLGNQGIQLFAYGLMLGMGSAVETLCGQAYGAHRYEMLGVYLQRSTIVLTLTALPMTIVYIFSKQILLALGESTAVASAAALFVYGLIPQIFAYAVNFPIQKFLQSQSIVIPSACISAATLVVHLALSYVAVYKIGMGLIGASLVLSLSWWIIVVGQLVYILLSDRCKATWTGFRWEAFSGIWEFVKLSSGSAVMLCLETWYMQILVLISGLLENPELSLDALSVCSAVNGLMFMVSVGFNAAASVRVGNELGGGNPKSAAFSVCVVNLVSFSIAVVEAIVVLSLRNVISYAFTGGETVARAVSELCPLLAVTLVLNGVQPVLSGVAVGCGWQAFVAYVNVGCYYGVGIPLGCLLGFKYKFGVKGIWSGMIGGTMMQTLILLWSTYRTDWNKEVIFFPEHFHQFRPHPPLKGG >KZN07074 pep chromosome:ASM162521v1:2:38150240:38161218:-1 gene:DCAR_007911 transcript:KZN07074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSGVKPTANTFSCVVTACANAIDLCFGGQVHGHVVKLGFGSDVYVTASLITFYANCKRIDDSCKVFDEKLHVNVVVWTALLTGYSVNGKHHIVLKVFGDMLSMRALPNQSSFTSALNSCSELEASDRGKEIHSMAIVLGLVTDAFVGNSLVVLYSRCGDIYAALSIFKDIQEKNLVSWNTSIVGCAQHGCGMGALTLFSGLLRSGVDSDSITVTGLLSACSHSGMLEKGRRLYKYFFHCRSTEIKLEHYACMVDILGRSGKLEEAEELINSMKIKPILSIWLSLLNGCRMHSNLEVAERTAENIFNLDPNCSAAYILLSNLYAFAGRWSDAARKSDAMQHSSGNSSFGPSEIKSIKVSNEEGGPEELGILPGSDGYNALSDTTLFSSSLPVLPHGKLKLNNAEDGFQSVDDISSTLNKVITEGDPEFLGNDEDHAIGNLLPDDEDELLAGIIDGYDRSGFPKHLDDLEDYDLFGSGGGLELEPDAPDKLIRGVSRIGLSDSLVGNGMVQYSLSNGVGVVAGEHPYGEHPSRTLFVRNINSNVEDSELRTMFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRGRKLDIHFSIPKDNPSDKDMNQGTLVVFNLEPSVSNDDLFQIFGAYGEVKEIRETPHKRHHKFVEFFDVRASEAALRSLNRSDIAGKRIKLEPSRPGGARRNLMLQLSQDLEHDGSRSFRLQVGPSITNSPPGNWSLYDKPIENTSLQSLARSPVLASMSPAVGNSSPKLASVLHPQLSNPRKIAPIGKDLGRGSHGDHTYINGNLNQGFGFQHSHSLPEPNVNQFSATSAFGASNGSGIGTLSGPQFLWGSPNLTAEQSNSSMWRPPPVNQPFNSNGQYPGFTYAGNRGSIIGSSQPPQQHHLHHVGSAPSNVPFERHFSPYQDSPDALFMNRPAFGGTGLSHIDKNFMGPRSSGNAFLPENGSPSFGMVSSARPNPMFLGNGHYQGVSPINTEVSTERGRSRRLDQNASQIDNKKQFQLDLEKILNGGDTRTTLMIKNIPNKYTSKMLLGAIDDNHKGTYDFFYLPIDFKNKCNVGYAFINMRSPAHIIPFYQVNEDSLPSSSFNVQIARSKLSESGPRESPGSAVKDGAGGGNSSIEEI >KZN06910 pep chromosome:ASM162521v1:2:36798677:36801795:-1 gene:DCAR_007747 transcript:KZN06910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSGTCEIVEEKEEHNYQAIHRSVAHGKEWKPPIHKQGRISSLEDDINKLFAAVSNKTSSKGPTLINQAGSAEPSKKDMKKPMRASTSTSGIGFSEPVSLKQALRGLCISQASEMAAMKRLSKMPGSPSVSELRGTRSPYRSVVVEAGGSGVSVAEGKQGKLEISLISEERTSSSLEKIPRYLQETKVKAVGQSANTSPQFVVPMAAKKTWSVNEKSDVEYSSEAIGKRSAKVEPLQDEISTLATSLPGHSKGCKSSKQGRSVSSSVKVLKIGKSGKKKSSTPFRLAIRGAPKVRLKSKFRTAASTSATVNCNKLSKATYNDTRVYKAGIGDKSSMKNKVKQVSISAPDVSSAHNNVKCKLDTSPHEVVCQTCQCSLKDASKVSKKNPLVASKSEDSPEPPLPVVAPGCSSTNFLSTASKPHTISYNSSLVAKTKKYPRPREKGDLSQSSKSSIGEFSSSTSLSEDSNLSGSSFGSRPHMSKDMRWSAIQHVTKQCGFLGLGHFNLLKKLGGGDIGTVYLAELIGTNCLFAIKVMDNEFLAKRKKIPRAQTEREILRILDHPFLPTLYAQFISDNLSCLVMEYCPGGDLHVLRQKQPCRYFTEQAARFYVAEVLLALEYLHMLGIVYRDLKPENIMVRENGHIMLTDFDLSLRCSVNPILLKTSTTAMEPPRMSGPCAGSNCIDPFCMQPSCQVSCFSPRLLPASAKARRAKAAFAAKMRSLPQLVAEPSDARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVLLYELLYGKTPFKGIGNDETLANVVLQNLRFPDTPLVSFQARDLIRSLLVKEPENRLGSERGTAEIKKHPFFDGLNWALIRCTVPPQLPEPSYIFSPQIQSQEKGTKYLEYGTTGEPLEFELF >KZN07607 pep chromosome:ASM162521v1:2:42157096:42157971:-1 gene:DCAR_008444 transcript:KZN07607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGSASGLGHNSLPPAFHTRDFNLQQHHHQQQQQQQFHHQLQQQQNSEEEQISDTSGLKRLRDEKNDDLNGGGSQGKEGENLRRPKGRPAGSKNKPQQPIIISRDSANAFRTHVMEISDGCDIMDSVATFARRRQRGVCILSGNGTVTNVTLRQPASPGAIMTLQGRFEILSLSGSFLPPPAPPETIGLTIYLAGGQGQVVGGSVVGVLIASGPVVVMAASFSNAEYERLPLEEDVGPGHPLGSPGGQNAQQNQQQLLADPSMFHGMPPNLLNSIQLPTEAFWATGRPPY >KZN06072 pep chromosome:ASM162521v1:2:29897658:29901454:1 gene:DCAR_006909 transcript:KZN06072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFYRERHCPAPEETALCLIPPPHGYRVPVPWPDSLHKSCCARSSLLVREAIDIWHENMPYNKLADRKGHQGWMKKEGPYFIFPGGGTMFPDGAVQYIDKLKQYIPIAGGVLRTALDMGCGVASFGGYLLSEDILALSFAPRDSHKAQIQFALERGVPAFVAMLGTRRLPFPAFSFDLMHCSRCLIPFTAYNATYFMEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARALCYELIVVDGNTVIWKKPNGDSCLPNQNEFGLELCEESDDPSSAWYFKLKKCVSRMSFFNGDFSVGTIPKWPERLSKAPIRASLVKSGIDVFDADTRRWVRRVSYYKKSLKLKLGTQAVRNVMDANAFFGGFAAAISSDPVWVMNVVPAGKPSTLGVIYDRGLIGLYHDWCEPFSTYPRTYDFIHISAIESLVKDQHSGRSRCHLVDLMVEIDRILRPEGTVVIRDSPEAIEKVDRIAHGVRWTTVIHDKEPESHARERILVATKKLWTLPSTSH >KZN06101 pep chromosome:ASM162521v1:2:30106255:30109154:-1 gene:DCAR_006938 transcript:KZN06101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELHHRPSEILVTNAGGDYPPTHSFEDVLNIIYLESKKSWAIAVFDWGTTGAAVAYDISGWGISTAQVIYIIFWCKDSWAGFSWLAFKELWEFSKLSVASAIMLCLEMWYFMTIIVLTGHLENPIIAVGSLSICMNVNGWEGMLFVGINAAISVRVANELGSGHPRAAKYSVIVIVTQSLMIGIVFMFLIMATRNQFAVLFTSSRELQNAVAKLAYLLAITMVLNSVQPVISGVAVGGGWQGLVAYINLGCYYIFGLPLGFLLGYKANLGVQGIWIGMISGTFLQTIVLLVIIWKTNWDTEVAEASERVRKWGEPD >KZN04698 pep chromosome:ASM162521v1:2:14852527:14852922:1 gene:DCAR_005535 transcript:KZN04698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPPSSSTSSSSSQQSLGESNRLNKGLGLNRYDSAPGSFLAAVDSVIGQARSKQVSPQPYFSAGSNGSNAPDHNLSINGLQRSYGSSPSSSNGGIPLIRHSSLPAAFLNRVPSAAADNGTSSLEISFFRH >KZN04404 pep chromosome:ASM162521v1:2:10337482:10344440:-1 gene:DCAR_005241 transcript:KZN04404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWKPGAEKPQLLDDEEGGVLFFSSSLSSSSSYGYASLEKQRQRLPVYKYRNSILYLVETHSTSIIVGETGSGKTTQIPRYLKEAGWAEGGRVIACTQPRRLAVQAVASRVAEEMGVKLGDEVGYTIRFEDLTNSELTRVKFLTDGVLLREMMTDPLLSKYSVIMVDEAHERSISTDILLGLLKKIQRRRPELRLIIASATIEAKSMAAFFDTSKKRRGSEDKQHGLKTEPAILSVEGRGYNVQVFYAEEPVPDYLRAAVSTVMSIHNQEPPGDILVFLTGQDDIDAAVELLTEEAQNNGKSSPGIEIKGAALRRCTAHSVRGGAPPGISLYFLVGIQRGRVGNLSVTIIAGFLYHFCSFIVQ >KZN06181 pep chromosome:ASM162521v1:2:30809834:30813586:-1 gene:DCAR_007018 transcript:KZN06181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVREYYQQYEQQQHQILIDERIKAHLGQAAAFQQVGAAYNQMRPRMAVLPTPMMPMPGNPQMAMTGPLLPGMRPPVLMRPAYMPGPGMPPMTTTPPGAPPLPGQGQVDGAPRPMLMNPPPIVPGSAPASSAAFTPVMYQANPNPVAPSGGFDSSNVNAQPQAAESGH >KZN05400 pep chromosome:ASM162521v1:2:23470610:23472633:1 gene:DCAR_006237 transcript:KZN05400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILERGSKVEVLKQVDVLTAWCGAEIVSGDGNTYSVRYDSYIPGHGVNTERVPKKLIRPTPPVHRIESWVAGDVVEVFDDVMWKTAIILNVRGAYCIVRLLGSSYKFRLHISDIRVRQCWQNDQWSLMGKESGISNGYQKMRLDAAQTNEVLKIKGDDVFFEAKNYNGLRGSHIASSRTLKRGSPYCSSLLQKNSRNVKRIRVAEKADRRYQAPAVCVDQVHAVTYPRENMGGMYMHASDNNKTNGYNELNRGELNDFIGYSVARDTESNHSDSDICSIGSCSAASRTSNKFSTHMLAVSCQETQSHSSDAESYCGTRNEEDCDLPPDEDIAAFIHSLELHAYRRTLKALYASGPLSWEKEGLLTNLRIMLYISNDEHLAELKTLISGGTHNVC >KZN04362 pep chromosome:ASM162521v1:2:9253440:9258076:1 gene:DCAR_005199 transcript:KZN04362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSGVPTCITCGEQVGLDHNGEVFVACHECSYPICKSCFEYDLKDGRSSCSRCGAPYDEISTMAVEETESVTQNTMATHLNISQEAGLHNRNISTVSTVDSEYIDESGNPIWKNRVESWKEKKNKKKKGATKVAKEAQIPPEQHMEETQQQNEALQPLSRIVPIPKSQITPYRMVIILRLIILGLFFHYRVTNPVESSYGLWLTSVICEIWFAVSWVLDQFPKWSPINRDTYIDRLSARFEREGEPSELAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLSFESLVETADFARKWVPFCKKYAIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKVFLGHSGTHDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFLMDPQIGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPLSLPALRKGSSSCCSCCFPKKPSKQLSEVQRDSKREDLNAAIFNLREIENYDEYERSLLISQMSFEKTFGMSSVFIESTLMEHGGVADSTNPATMINEAIHVISCGYEEKTAWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFGGGRLKWLQRLAYINTIVYPFTSLPLVAYCLLPAICLLTGKFIIPTLSNLATIWFLGLFFSIIATSVLELRWSGVSIEALWRNEQFWVIGGVSAHLFAVFQGFLKMLAGVDTNFTVTSKAADDGEFADLYVFKWTTVLIPPTTILVVNLVGVVAGFSDALNKGYEAWGPLFGKVFFAFWVILHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSLVWVKIDPFVSKGDSTSTQACIAIDC >KZN04517 pep chromosome:ASM162521v1:2:12177473:12177793:1 gene:DCAR_005354 transcript:KZN04517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFAETKAKVGDLAYYLERDMGRVLSFPIQETALNLGEEEVIDDSSPKPSKKRKLDVLDYAEDTSNDVFRSLKTGWAAVAADKEKQKFFEGMAFNNNGAFSSDAI >KZN07225 pep chromosome:ASM162521v1:2:39268800:39273885:1 gene:DCAR_008062 transcript:KZN07225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDANPFAEEEEEVNPFSNPAGRVPSAANSRLSPLPHEPAEFYDRNASVDIPLESAADLKKKERELQAKETELKKREEIVRRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFTTYLGLVLCLFWNIIATTTAWIKGEDPKIWFLAIIYFVSGVPGGYVLWYRPLYRAFRSEGAMKFAWFFLFYLVHIGFVIFAAVAPPVVFKGKSLAGILPAVDLVGKHALVGIFYFVGFGLFCLESVLSIWVIQQVYMYFRGSGKAAEMKRDAARGAFRAAM >KZN06217 pep chromosome:ASM162521v1:2:31056143:31057261:-1 gene:DCAR_007054 transcript:KZN06217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAMRKLNAGYFINPYIPEPIPEPSFFEPPSSNQNKKCGTNGTNKRSLKDSANGGSAAMRYRGVRRRPWGRYAAEIRDPSSKERRWLGTFDTAEEAACAYDCAARAMRGVKARTNFVYPVSDDSVTPPSLFHYDKQSQPSIHHFASNHFFSPSFSNSAPDAANSFSVPAPQSNNSSPTNMLLLRDFITSCNASSQQSFPILNSNKTAASTVAHTVKNSHSSRTTKLKSPQAKLETNTPTKVTKKPANDEDFMDFFSPEPSDSGLLQEILNGFFPKPEKSESKNDDHHHLQQQLHTTSSFSVPAVLPSTKQLMMNETANTQFGLHFQNYQTLGEPQFGTYRNEIPVMPPYYNGIPAVAPPESYPMFGIGEII >KZN04908 pep chromosome:ASM162521v1:2:17857017:17859611:1 gene:DCAR_005745 transcript:KZN04908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNSCCVLFLVYACIVCNVIHAQPPGPTKILLSCGNQKGGKDADGRTWEPDSKYLVNSDKSVESKAETQDSSLPSDVPYMTARIFNAETSYKFSVNGSSRIFLRLHFYPSSYPNFNISNSYFSVKAGGLTLLNNFSAATTALALSQAYILKEYTLKPSDSNDLSLTFTPSDKYSGSFAFVNGIEVIETPEMFDDTTEMVGFSGGEVSVMVEATKASMETMYRLNVGGQFIPPTNDSAGLMRSWYDDTAYILGASSGVTNKANAPITAEGLTGTLAPLDVYSTARSMGPDPNINKNYNLTWVFEVDANFTYLVRLHLCEYLYQKVNQRVFEIYVNNQTASETMDVIALAGAANHPVKKDFAVHVNGKQDNKEIWVALHPNVDVKPEFYDSLLNGLEIFKLDDEKGNLAGPNPELSDLMRKQQEHNNKKFAEEKSYTGALIGGAAGGVAAFGIGAAIIFVIQNKKRRNPGDSVSSWLPLYGNSHSSATTGSGRSNGSTTISSDAAANCRYFSLAEIKQATKNFDESHVIGVGGFGKVYRGVIDGDTKVAIKRSNPSSEQGVNEFQTEIEMLSKLRHRHLVSLIGFCEEGNEMALVYDYMGKGTLREHLYNKNKITLSWKQRLDICIGAARGLHYLHTGAQYTIIHRDVKTTNILVDDKWVAKVSDFGLSKTGPNMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPKEQVSLADYAMRCQRNGTLEEIIDPQLKGKVKPECLKKFAETAEKCLSDHGTDRPSMGDVLWNLEFVLQLESESGESKHSRVPSGSVDGSVHQMDHGSVMAMHKSTLSLGSEYDEKEQSEDNPDEIFSQIVNQKGR >KZN05553 pep chromosome:ASM162521v1:2:25005414:25009977:1 gene:DCAR_006390 transcript:KZN05553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEIQNWRLELDYDQWIALPVSGPRPSARYKHAAAVVGEKLYIVGGSRNGRYLSDFQVFDLNNLTWSSIKLNVDPNSKTVENSTPEIAFPAISSHNMIKWENQLIIVGGQTKNISDRVTVRFINLESHLCGVVETTGNIPIARSGQSVSLFGSKLIMFGGEDKSRRLLNDVHVLDLETMSWSDLETTQTTPAPRFDHTAAVHAGRYLLIFGGCSHSVFFGDLHVLDLETMEWSQPEVQGEIVAPRAGHAGVTVDDNWYIVGGGDNRSGAQETLALNMSKLVISILTSVKGRDPLASEGLSVSSSIIHGEKFLVAFGGYNGKYNNEVYVMRPKPNDSAHAKIFKSPAAAAAAASVTAAYALTTSEVAITDTRGPNIEVDLSVEISTVKENKKLLESSLSDIQAENSALQAKLDDTNSTHVELSKELQSVQGQLVAERSRCAKLEAQIAELQKMLVSLQSIEEEVQEIRRQKAEFDRDIEVASADQRQSSGGVWRWVAG >KZN05197 pep chromosome:ASM162521v1:2:21053507:21057552:-1 gene:DCAR_006034 transcript:KZN05197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYGDNFPEMDLMRSEKMNFVQLVIPVESAHCAITYLGELGLLQFRDLNAEKKPFPADIRYPDELNLGIMLSYFDACFFGDSLDIKYQFVPQMIFLNSLFGYLSLLILIKWCTGSQADLYHVTIYMFLSPFDELGENQLFWGQRVVQVILLVAALVAVPWMLLPKPFILKRLHSERFQGRTYGVLGTSEIDPDSEPGSARQHIEEFNFSEIFVHQMIHSIEFVLGAVSNTASYLRLWALSLPHSELSTVFYEKVLLLA >KZN05277 pep chromosome:ASM162521v1:2:22019578:22020843:-1 gene:DCAR_006114 transcript:KZN05277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVYYIQKGLLFKKPTVTNDRENSVEVLVQKLKKSLSVTLAHFYPLAGRLVTKKEESPQSYVVFIDCVNSPGARFVQAKADLTISNILSPTYVPSVVESFFDHNRAINHDGHKVSLLSVQVTELKDGVFIGCSLNHSVVDGTSYWHFFNTLSEVFMKDIGDEGSAITRPPIHERWFPDGYGPVISLPFTHTDQFISRHHAPELKQRIFHFQAAALARLKAKANAICINKSTKISSLQALAALMWRCMTRVRGLPHDQITGCKLAMNNRARLHPPLSQNYFGNCIQVLRATTSAGNLLTNDFEWAALLVHKTVAEQDDKTLKKFIADGLQSPSVYQPGQFFDPCSIMIGGSPRFDMFGNEFGLGKAVAIRSGCADKFDGKVSLYPGPEGGGSMDLDICLPPHFMTALECDEEFLEGLNLYG >KZN07717 pep chromosome:ASM162521v1:2:43007140:43008429:1 gene:DCAR_008554 transcript:KZN07717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHIDDIPSTPGKYKSPYGSSSSSAASADLSRRSLRTSSWGGPVWEKRVRNSARIKSPNGMSVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPTLKRARQALLERSGIFIVEGDINDMVLLKKLFDVVAFSHVMHLAAQAGVRYAMQNPSSYVHSNIAGLVSMLEVCKSANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVSDLVSILERLLKVKAKRSVMKLPRNGDVQFTHANISSARRELGYKPSTDLQTGLKKFVRWYLSYYENGKKNDH >KZN07227 pep chromosome:ASM162521v1:2:39278350:39279613:-1 gene:DCAR_008064 transcript:KZN07227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKSLTDWSVQATVSWVLSEAFGSDNVSIVAEEDVQVLSKSSATGLLEAVVKTVNTCLAEAPQFGLKAPGSALGPKEVLEAIGRCNSTGGDTGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMRKELLNYHNGSSPPSDTGDKGCVMYARRGIHRSWMQPLLRGEERFVWPNSARQIQVSPIDDPELATFCEPVEKANSSHSFTAGLAHSVGLRNKPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAAVVIIEEAGGLVTDAGGHRLDFSKGIYLEGLDRGIIACAGSKLHETLITAVDASWNSSSL >KZN04564 pep chromosome:ASM162521v1:2:12845794:12847678:1 gene:DCAR_005401 transcript:KZN04564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRASSSPQLPLFSASPKLSAEPSGLSTPPLQTSASVPFLWEQEPGKPLPCTALITLPTHNKCLDLPPRLQPTEHSRLITKTPSPTTVLDGPYNVISSASFRLFSSSKRHDSFHTPFGPERLHMGRKGLFGNSWGQRYKSFKLKGSKEEIGSEASSVFSLSLDEKEDENESDVNRTSAKVKRPKFRRRGSFSTLSHVKSHFWTAMCTGIKHAIPWKSRKSKKQVHVV >KZN07742 pep chromosome:ASM162521v1:2:43269656:43270771:1 gene:DCAR_008579 transcript:KZN07742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLAISQSEFELYDGDEDDEVILFRPTLSEKRHDEISELVPPGEVVNGMNAFSDETQLYSAPLSAFEVGLHQNSAFSSGPQAPMPVTAFSSGRQSPLPVPNFVSQQMQPNQTSSSSRNQHDFLAKDLEGWSLVESGRVIDLRMQNDMKVSNVASLSLPVQQFISPGYTGIIAPKVSSALQAMSMKGPANRPVRHLGPPPGFNSVRPKQGFEPSVAMSGENPSVDNYSWLDGYTHPSSIGHSSLKQSTGYPSHPGFLYSEEGNAPLEGARFPFPGKQVSSAQFGAEDRNGQIPESLNLPNEQMQQQQQQSVPPPQQYQGKSFLMNHRIV >KZN04511 pep chromosome:ASM162521v1:2:12012927:12013973:1 gene:DCAR_005348 transcript:KZN04511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQEVNDNFKLNFLVVMSNVLIGTKGASYVDKQLLQLDDNLDNLKKYNWADFLLSYLVIGMESWNRTTTTFFQGSLIFLTLLYVDRVRYKGINLVDRQFPSYTGWTLERLRERQEIEVIDGVFGVIGSIQPSLKEYLQKSDPSETPKTKVEDGIPGSISQRWIELQMNTLRKRRQHHKNLINQLSGKVHKIHSITLLQRRQLMQMWNQLNRMYLMIYIKGQRNLWR >KZN04530 pep chromosome:ASM162521v1:2:12297801:12299204:1 gene:DCAR_005367 transcript:KZN04530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKKLGKYSRAPSFFFVVGSLTLLVCIATLSKFYSLRTFVISDTFCNFSNPVRDGSADKYMKQTLDTVIQKIKEEINNTRDLPDESSHGPRFSAFLVDILGMIELVQESLPHIDTSELQNGEPHAVHPLVRRKQNSDAAEYFLIEEIRKYVRIKPNRLRKQNFMGANGTFTSIGHSCFIMKKELEEYMDYDIGDICQDDWRLAQKLMVHGCDPLPRRRCLSRAPQLYTKPLPISESLWTLPDNRNVRWSQYLCKNFSCLANNVTRKGFFKCADCFNFTHHELPRWIIPEYQDPSSNLTADFLITEVLDLNPGEIRIGLDFSIGTGTFAARMREHNVTIVSASINLGAPFNEMIALRGLIPLYLTINQRLPFFDNTLDLIHTTRFLDGWIDFVLLDFVLYDWDRVLRPGGLLWIDSFFCLKEDLNDYLESFKMLRYKKHKWVTIPKIDKDDKEVFFSAVLEKPPRPF >KZN04618 pep chromosome:ASM162521v1:2:13577727:13582379:1 gene:DCAR_005455 transcript:KZN04618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHIAAAEERIVTERLRQKLNQVNSAAQSHLSSVQDHVNFTLQQAYFKCAYECFDKTKSQEDIGRCVENCSAPVVAAQRLVEDEMAKFQERLNRSLMVCQDKFESTKLQKIKTDATNDLESCVDQSVQDSINTLPHLVRRLKTSLSINE >KZN05816 pep chromosome:ASM162521v1:2:27468394:27470057:-1 gene:DCAR_006653 transcript:KZN05816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMALSSSAATLSPTSTKPISQSLFISSKSSAFFRSTALTRQTKALVLGRPKTRPGTNPGLSCTCMFGLGMPELVVIAGVAALVFGPKKLPEVGRSIGKTVKSFQQAAKEFESELKKEPELDAESADGKVIEVSEENKKDVEVSSA >KZN04032 pep chromosome:ASM162521v1:2:883328:884676:-1 gene:DCAR_004869 transcript:KZN04032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSAHVPKFGNWGGEDNVPYTAYFDSARKSKAGGAMINPNDPEQNPEAFMFGSDDDVKVFQAPLQHNISNDIEAPKENHHSEGNKGSLKRSSSGSGQNYKNGVKSVTSETSSDRSNSNSSLPSNHRIARTERKKNSIDNISFYPPSPGPNRLRNGHNLYDDFSTRSASVPMFGQWDERDPTSGDGFTVIFNKVKEEKQIAASKFPIVPNQPANMPNTQTKDTRSKRCCCLF >KZN05466 pep chromosome:ASM162521v1:2:24133206:24135874:1 gene:DCAR_006303 transcript:KZN05466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSREMDSLLFSSNFRFATAAEFTKPKDLMHSELKNYRSRDFETTGQRKEEEQSSDCFLEALCADTVGGQPGRADYLDMKASNIEEVDFNARFGAGDSKVNGGEKEVVADSGYLVACGAGNMYSDNMSQVKSQKSISSSNCSNLIRHSSSPAGFFANLADEIGFTETNDVGNFRSGRETNTELNFKSGRLGDYIDISSKRSSHSRFMPQIAESLDEKIEISDGYLANGQHGGDSNRLYVPNLQNDSTCNSLKRYRDGEGKMLADMNAMDTPNDDPKHYTSGLLHQLSLPNTFAEITTMENFVNFQQDSTPCKIRAKRGFATHPRSIAERVRRTRISARMKKLQDLFPNMDKQANTADMLDLAVVYIKDLQKEVQTLNETRAKCTCPKLKHLLFSGHQSDPICDRSGDLWSKMSNFHGDFNQKIDYVFKVVLIGDSAVGKSQLLARFAKNQFSLDSKATIGVEFQTRTLVIDQKTIKAQIWDTAGQER >KZN07264 pep chromosome:ASM162521v1:2:39542425:39543319:1 gene:DCAR_008101 transcript:KZN07264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFDNGTDTILCMDMLRSLQKRSTRELHLWKELRPHYGRXKGASSVEGVEATLWQVPETLPEEVLGKMSAAPKSNVPIITAAELAEADGLIFGFPTRFGMMAAQFKAFLDSTGGLWGAQKLAGKPAGLFCSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMSEMENIKGGSPYGAGTYAGDGSRQPSELELAQAFHQGKYIAGITKKLKGTA >KZN06966 pep chromosome:ASM162521v1:2:37214375:37217201:-1 gene:DCAR_007803 transcript:KZN06966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEIEWPANRVRDTFIKFFEDKQHVNWKSSPVVPHNDPTLLFANAGMNQYKPIFLGTADPNTQLSKLTRACNTQKCIRAGGKHNDLDDVRKDTYHHTFFEMLGNCSFGDYFKAEAISWAWKLLTKACSNVYKLPEDRIYATYFGGDEKSGLDPDTEAKALWLKYLPKKRVLPFGCKAEAAQVRGKTFYLQYSNRQEIVNYKTTADVVRNVLEMLQIAESLVAERKIQTKRRIKN >KZN04091 pep chromosome:ASM162521v1:2:1832075:1832407:1 gene:DCAR_004928 transcript:KZN04091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCDKLYMFDRPMGGVEELLVWNQGMGLHHPNFMDVFVLHGAPDPVNFNQVDDLGLGQVNAPNIAQVEMKEPMDGVVQDSFVRSMGPKRLLLAHEPPLGDMD >KZN06277 pep chromosome:ASM162521v1:2:31611955:31612346:-1 gene:DCAR_007114 transcript:KZN06277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHQVISLVLFHLLCIFTPNMCEARDKLSPIRSGGEIKDGGTAYCYKTTCDGSVTPCWCCSRSHECSPIQADCEHFCVFKD >KZN05827 pep chromosome:ASM162521v1:2:27567646:27573387:1 gene:DCAR_006664 transcript:KZN05827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGHRKVGSSRTVNGFNESSSSVDWLGREMLEMRLRDKDKVEHDEERDSEPEVVNGVGAEAGHVIRTTIGGRNGQSRQAISYIAEHVVGTGSFGVVCQAKCRETGEILAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEEVYLNLVLEFVPETVNRMARHYSRLNQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKHLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRNPDTRLPNGRPLPPLFNFKAPELAGIPAEILRRLIPDHARKQNSFMALHA >KZN06480 pep chromosome:ASM162521v1:2:33230322:33231789:-1 gene:DCAR_007317 transcript:KZN06480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALQVHHLISSMDTSSFADRAKSAWPDCVELYEDSIHQLDSSMSLTNSKRHNINVQTWLSAALTNLETCQNGFAEFNLYSTYLKYFPSNNFSKSLSNSLAINKAVLASQTSGLASEKSKGRGLLDHDLPEWISATNRRLLQTKPPLADLVVAQDGSGDFTTISEAVAAVKTGTTKRFVIYVKKGVYKENVEIKKKVKNLMLVGDGIDATIITGNKNNADGFTTFRSATFAARGHGLIVRGMTFENTAGPQKHQAVAMRSSSDLSVFYNCSFKGYQDTLYVHSNRQFYRNCDIYGTVDFIFGNAIAVLQNCNIYVRRPMSRQVNTITAQQRTNIYENTGIVLHNCWITASSDLRVVQGIYKTYLGRPWKAYSRTVVIKSYLEDLIDPSGWLPWNGEFGLKTLYYGEYMNRGSGAGTAGRVKWPGYHIITNVEEAARFSVGSFLDDESWLAATGVPFTSGL >KZN04138 pep chromosome:ASM162521v1:2:2524977:2528007:1 gene:DCAR_004975 transcript:KZN04138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFNLFSDYNIIPTSESTSSNRESGKLKRFHSFTTPEPELFVGFHEDVECLVRHLVDETHDSYPLISICGMGGLGKTTLAQKIYNHSAIKTHFAGLAWVSISRKWQTDRVLQRILICLVPENKESILNMDSNKLVEYMLHIKERKKCLIVLDDIWSTDAWDALKAAFPAGKSISKLMLTSRNVEVAKHVNLNGFIHKPECLNPEQSWELLKLKALHTGNCLDTFEGMYVLPWLSRDVLVKLDCLRHLYLPIFKVNVLGRKSNFRFNGLSKLETLENFDSSWCDIKDLRDLINLRKLTVTGRGSCNILEEMMKNLVEIASSPSFCLRYLGVCVLYCHLRLKNGLTILKQLVCAEKLNLRHLRIHGRIPEVGLIFPVRYVGDNYAHVSTIHITSLNLSRSYLEEDPMPILEMLPMLGDLFMFTDTFVGRKMMCSATGFPKLTNLCLYEFPNLEKWRVEKGSMPILSYLLIESCNKLKELPKGLVFLNSLQVLRIYQMPQDFNDRLTRNDGDEGPDFHKISHVDP >KZN06242 pep chromosome:ASM162521v1:2:31299457:31302174:1 gene:DCAR_007079 transcript:KZN06242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNENSKTHIGTDQDEFDVDGIIMEEYMHAQNPVGDQPSNMEVGMEPSQNETNMVLMAELKYSSGFMSNFNSVDHEEVEGSVMMEKRGSSEMASKQIVKQKQIGINDSNIFLVGNQFELQNSSEIYERLNSLMITLTSDSPIKIVRDAEVFAHSTNSTGTLLYITRDDLSEFLRMGRINLVIPHIFIKAFEVYLSNGGKSRSGCAKLDWFEDQNIEDLGRVASYTQEQIGRVRDIWTKYFLDKFGNLH >KZN05332 pep chromosome:ASM162521v1:2:22684554:22684850:-1 gene:DCAR_006169 transcript:KZN05332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSGEGFGNRSLPPPLGGSVCKMNNAPPSTNFKLPVGTSFHNGETKCGDGSGGGGGVNPMGSTPPVKTYNYEATDSSQGAYLMGTPPVKTSEYRARK >KZN06330 pep chromosome:ASM162521v1:2:31994744:31997533:1 gene:DCAR_007167 transcript:KZN06330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIQKLENSTGRQSTYSKRKNGLTKKAKELAILCDIDLVLLMFSPSGKPSVYKGQRSSIEKIIERYSLHTPQERARRKLESLEALKKTFKKSNHDVKIQDFLGPNYISVEDLRSQAESLHIQLSEAQKKLSNWTSVDTMDSIENLGQMELFLRNSLDQLQTQKVNLEKQQQMDMQYFNKFQDDWHPPSTLGYEQLQPLQWVPNSHRQEITPNNLTSFPPREPECSVGTSIENYKNIINRGEGVVTPKEWQQDNFLAELNSRGSMNLLPNNQFNFQVHEQLIHPSSNFDLSNDQDFLPVEQINMQANAMEPLPYSKLEVPQSVYDPFHNNWDSNTAGTCGTETIEKHLLPQASS >KZN06596 pep chromosome:ASM162521v1:2:34223808:34236286:-1 gene:DCAR_007433 transcript:KZN06596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELERRPVHSGNEGSFGDDFEKELGLLLREQRRNQDSDDRERELNLYRSGSAPPTVEGSMNAVGGLYNNGGGGSVFSEFDLNNKSGNGFSSEKELRSDPSYLSYYYSNLNLNPRLPPPLLSKEDWRYAQRLQGGSSTVGGIGDRRKVNKNENSNGGGGVSLYSKPPGFNANKQKSEGELENQAPAGWGVDGLIGLPGFGLGSKEKSLGGIFQDDLGRVTPTSGHLSRTPSRNTFTESVDTLGMAEAELSQLQQDLASADNIRTSTKVQNSSSGHNGGPPSSYSYAAALGASLSRSTTPDPQHIARAPSPCPTPIGGGRAGNSERRGFSSNTCNDVHSNISEPTDLATALSGMSLSNGVMNEEDCLASHIEQIVDDHENYLFNMPGGQNNVNQQSYLKKSELGQLNMPSPHSTKLSHSISEMGNGDGYGYSSSSTQADLQRTAATSAYQKGFPASLFNGGGGFGSNYQQTDGTNSSFTNYGGSGYPLNLPMQSMMSSHLGNSNMPPLFENATAASAMAMPGMDSTMMGGTFTSESHPNYAALDSQNLGRIGNQMAGSALQAPFVDPAYLQYLRTAEYAAQASINEPSVESNYLNNSYLDLLQKAYLGSLLSPQKSQYGISLGSKTAGPHGYYGNPAYGVGLSYPGSPLASPVLPHSPVGPGSPIRHGDVNKRFHSGMRNVAGGGIMGPWHLDASNMEHSFASSLLEEFKSNKTRCFELLDITGHVVEFSADQYGSRFIQQKLETATTEEKNMVYQEISPQALALMTDVFGNYVIQKFFEHGMPSQRRELANQLLGNVLTLSLQMYGCRVIQKAIEVVDLDQKIEMVGELDGHIMRCVRDQNGNHVIQKCIECVPENHIQFIITTFFDQVVTLSSHPYGCRVIQRVLEHCEDQKTQDKVMEEILACVSMLAQDQYGNYVVQHVLEHGKPHERSTIIRELAGKIVQMSQQKFASNVVEKCLTFGDPSERQLLVTEILGTTDENEPLQAMMKDQFANYVVQKVLETCSDQERELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGALNLMNQNSSSISSTYRYQVFPKHFKIQNRLYGHFSKFDNFHYFTHKKKRNRLNFNTQVKSSYKESPYQVLGVSPSATPTEIKKAYRKLALKYHPDVNKEANAQQKFMRIKHAYNTLLKSDSQKKYGSGNQTSDYSYSAAGADRRSKDEDDFYGLGDFFKDLQEEFQNWEASASSQAKPKSLWEELADIGEEFVEFLEKELNISDADSEETKYENPKKGNASATYETKETGKESARGSSIEDNLDDIEAALTQLKKELGL >KZN06836 pep chromosome:ASM162521v1:2:36188887:36204529:1 gene:DCAR_007673 transcript:KZN06836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTRKELLDRWRGIEEEDENDDVDTPIASNKRRRLRQLKENWFSDAFSFLTHLPKEDHIWCGSRDLMGPLLETFYNYFEDKRQDSPLKILWKRISEELSQCTQCICQHHQAQESYSMEYDSITVGPLVSVLQTLDEERVTEHLKEINAKIGRGEYDPARVNAEVVSIMFEILMYPILLDDQSLVAEFQIFIEAVDNSHELTLAGHQRYPGIYALLFLKCRRARSIGFRLAAHAGKLREASELEGLHPLLQRYIGFLETEVVPSTTKSNRPRVELERTTIWHGIKSLLGFLEPPAFEEGILEHYPDFLSIVLNHISDDSLEFSYAVNCLKNLFGMLGILPLLHCIVYFCFFVWQVLHSDTVIMVLVLHWSCPFPCFTFKSLEALQDGEHEKQRRKCLFFLLHQVTVSSNFSKLMRKKACQIALRIVLRGYQMNPPCPPFECAHMWGPSLVSTLRDTSLHSSLQQPAYDLIQTIIVSDAAALVAAMLNSQRRLGINRNMSSEFNDEVEDEDQGLPFALNLDEDISCWNEFSARGKIAATDSEYWMCIPMLWADVLVDTTPSVLPLSFSKAVFWALSRLSMVEPPSNTEMALPVRHWLTTCASEISHLFGWKVPCSSDDGGNEKESKNSAKVSTLSIAFVRSFKRLSTHYIGRMDHEELWRQWAWEPRMGESLILLLVEPEDNVRQVARRVLEKVSQRRTLGSGLQFLCSFKSSLLAVYLGLRHALKLVQLESVVSKFHTLHNFFFVLCKVLKEGITCNEALPGNIADGASTSRFSNDGGFLQHPVFDSIANNFEGHLFDVDSSTWERFGCSLSAVAWPSILRCLADGKAFIDLKMSQMTCIRLLETLPIIFERLQSSLHSCLGNQRILVKHEIDFKWLLDLVDWGKSTLAVVVRYWKQTVISLLDFLKSSSGNNSSAMIKAIEILISSESVAMEKLIEQVLRLSVSLVNEGMKLEPLYSEASLSKKPTNRLERLLSSEKSDLPILDSTTLINKEENKVIVLSDDDLDPEISANVNIDSLSNQSKLDCSIMDNSASDISPQSIFARENVSSSSNSAEIMKDFHRKGSQDPSNFVSQKLESDAKGCVQGQTSQIKPKSMESTSRKTNPNSTKNDSLISQNKSKFKHHSEIITSKKIIDCFSSQPESNINSSSHENTDVNIVDRQGIKKVGKAARTVIREVVHEAENDPLELALRSACRQQAVMSKFNGVGAKRQVIQLDLPVQNRYGYIHRPDSLQNRFKPPKLDDWYKPILELDYLASVGLAAPDEEESKTSCILKEVPVCFQSPGEYVSILQPLVLEEFKAQLQSSFMDTSFPEMRCGSLSVLSIERVDVFHIIRGVCDEIDSCGPNSCVENDLILLTKQQLQNGYRDVHIIGKVERLEKDNKRRSNTLIIRLYLQNGNSRLDRARKLLLVRSKWFINRLLSITPQLREFQALSSIKNIPLLPIILNPINCRNNVGESRKRYSTPLSQPLQQILKASYNDSQIQAIDCCIGSLDLNLDFNLSLVQGPPGTGKTRTIVAIVSGLLALHRTNEKNRENRSKKCDTFSGTSSRTHISQSAAIARAWQDAALARQMNDNEEKNCKPKGSTSGRVLICAQSNAAVDELVSRICAEGLYGNDGLMYKPYLVRVGNAKTVHPNSLPYFIDTLVENRMAQERMNASVPGKDTSADSSTVLRSKLEKLVDRIQFYEEKRANLGEGNSGSKMLPEVDSAKEMCDAEIGARLRKLYVDKKAVYTDLAAAQAREKKLNEESRALKHKLRKSILKEAEIVVTTLSGCGGDLYTVCAESISNHKFGSPNEHTLFDAVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVISNIASKYMYQCSMFERLQRAGHPVLMLTKQYRMHPEICRFPSLHFYDGKLLNGDNMSVNELSFHKAKALGPYVFFDIVDGQELRGKNSGASSFYNESEADAAVVLLSFFKQRYPSEFMGVRIGIVTPYKSQLSLLRSRLSNRFGSSVTAVMEFNTVDGFQGREVDILILSTVRASKSCSAELKIHSRNIGFVADVRRMNVALTRAKFSLWILGHAKTLMTNKNWEALLKDAKKRNLVISSKQPYDAIFRSARNDSSVLECYDDHSIHLKHTQEAKEVGWHAEEQSRYAKSSYAKKRRSTSSNALKNIREDKNTSLSREAGKANSTKAKSDNLSAKKDIKSDITAHSKGDKTSCKIGKDKQHNLGTSNSGSREGSLQEIKGMDTAGASNSTVNKKRKQRDAVDAILSSALISSKKSATSGKLLPSKRLNPQMNTKVQSFRPPKSGKDAARNSKNDT >KZN07409 pep chromosome:ASM162521v1:2:40661519:40663695:-1 gene:DCAR_008246 transcript:KZN07409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSDYSFLKLPRTLQDLRILRDALEIYTNDYTAQVLAGYCMVYIVMQTFMIPGTIFLSLLAGSLFGVSRGVALVVFNATAGASSCYFLSKLIGRPLLFSLWPEKLSFFQEQVAKRHESLLNYVLFLRVTPTLPNTFINLASPIVGIPFHIFFLGTLFGLLPAAFVTVRKFRSYMIYNGWRCFESLRGGAAHPSWNLWMWKSLRELTLVFAL >KZN07711 pep chromosome:ASM162521v1:2:42972623:42973249:-1 gene:DCAR_008548 transcript:KZN07711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISLTFLVILLTIFCLSGLAEPACRRCSRSRAFVEAQCRTTRYTDLCVRGLLPYVNSKIHTQQQLAQVALSVSLSRARFTRAYVISVAKQLQQTRTPDYAAVEDCLNQINDGVTQIAQSVKEFRQMSVDGEKQFLWHESNVQSWVSAALTDATQCIDGFSAYTIKSKVKATIKAKVLNVAQVTSNALALFNGYTARHRASFRAKKP >KZN04222 pep chromosome:ASM162521v1:2:4307848:4308138:1 gene:DCAR_005059 transcript:KZN04222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDAQKIIADAVEENTRMKETIKLLEEDNKRLKDEIKLLEIHHSNNERMIDLLKRHKEEQQALGLHMIDPTKFDPPQIGKKRKLEQGEGSNVPKR >KZN04812 pep chromosome:ASM162521v1:2:16686285:16687951:-1 gene:DCAR_005649 transcript:KZN04812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSLPSFSPPLRAHSFTPIMECDREGKEDEESQDRTSSMRSTPMDQYSNIHSKDFESNYTVKPSHRKMLSTGSDSVSSSVDDRGISCNKCRPSNRDKKISVVPLDNSGMNRHSLSSPNGIFKPIFGSIVKKSPRILNDGSSAAAPVTTREEQWRIVAMELSNKLVDATQKRDEAVQEASKLKRSMSEIEKKLNKLEIYCHTLKSGLDECNNVSVAPVTDPATRNTPLGHENVVIGEQDKVVENFLVSVSEARSTVRFLARSLTLQLRRMGSKVYDRISACLQPYDIKISLSRNPKELMTCIEALLNKSFFEDFESIGFQKGSANPILNPIDHCEANFASFTRLQSLEWDDVLTKGTKHFSEEFSKFCDRKMSEIVALLGWNRAWPEPLLQAFFGASKAVWLVHLLANSAHPSLPIFRVDKEAKFDSIYMEDMVGDKARRLVPSMVRIMVVPGFYVYGNVVKCKVLCRYHNNSNGSDNTEDKGFSLTPSPKY >KZN04878 pep chromosome:ASM162521v1:2:17493437:17496120:1 gene:DCAR_005715 transcript:KZN04878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHGWQRPLHPLQVLAVMFLFVRCTAINPTDKTSSRKLKKRANLVLKLNYGFVFSQLIVRFFRRMERKILRNFIRRKYLDPWKTSAQMEPLLPFPLVMKDDAIAPDPKEDDISFCALCDMEVKKHSKHCRTCNRCVEGFDHHCRWLNNCVGKRNYTTFILLMVFVLLMLLIEGGTALAIFIRCFTDKRGVEHELERRLYMKFPRGLLAAISMLLVLLTAYSSAALGQLFFFHVVLIRKGMKTYDYILAMKEANQSMELESIDDSDFSSDDESIDSDLSEKPSFISKFICRDQVNQKPQRLSIRIDKEPEQPILNKKQGFHASINPWKLITMNREKALLAAAKARDKLAEEKATSEQDSLKPLPLETKRGPSINIEKDMASEVSGSTTIISQANHSTSPSLFSSPRRRFSSSPSRTAAAVAASPKHRYKSSFDLKLTEVSQELETYISRQVLCSVLKETGCEASPR >KZN05687 pep chromosome:ASM162521v1:2:26298177:26302986:-1 gene:DCAR_006524 transcript:KZN05687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVTANYSNGSAGGGGEVVENGGAVQTGASMMEQLVPEIRTHALSYLDYRSLCRLSMTNSTMRKAANDDNAWKSLYRKDFTLEQDSVTPVNGWKAYYAATRDILNINAEFFNLIREQQLSEMGLLWLNADFVKCIHASGVKFSGYTAVMQSWQQAFNWEQSVDFQIRDVRARVHSDMAWVMMQANVDMDIRPYNVTNAFEFHNGRWYMVHHHSSAALVHNGAVQMILG >KZN07802 pep chromosome:ASM162521v1:2:43678735:43679067:1 gene:DCAR_008639 transcript:KZN07802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRNRDEFWPYFISQHSKPATRKWHFAGTLASLLCLIYSVMFNWRYLFCVPVVAYGIAWYSHFFVEGNVPATFGYPVWSFLCDMKMFGLMLTGKMDEEIKRLGPQLQAY >KZN04141 pep chromosome:ASM162521v1:2:2559352:2562670:1 gene:DCAR_004978 transcript:KZN04141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSIVMGRLADLLIEKPQILNEVSDEIQLAVTELMRIKTFLPDADSRMDVERIRILLRDVRELAYDAEHAVETFVIKASSTKKPFQWMNRGKFSRKMKDIQKKMSIFFDLFSDYNIRPTSESSTSSNRESGKLKRFHSFTTPEPEIFVGFHDDVECLVRHLVNESDDSYPLISICGMGGLGKTTLAQKIYNHSAIKTHFAGLAWVSISRKWQTDRVLQRILICLVPENKNSILNMETDKLVEYMLQIQERKKCLIVLDDIWSTHDWNALKAAFPAGKSISRLMLTSRNAEVADYVNLNGYIHKPKCLSPEQSWELLKLKALHTGNCLDITRDVKRMEELGREMVEHCAGLPLAIVVLGGILVTKPSLIEWEKVYRDSKSSLKAGKGLGEAYQREILSFLIWSYNDLPPQLKPCFLYLSKFSEDESIDIETLYQLWIAEGMILSSDKREGETMIQVAESYMGELVHRNMVQVRFNDVESSLTKFESCSLHDLMRDMSLIQAKAEDFFEVIHFQSGNEFHLKSTTESRSASTRVVIRLDEEYSSKEANYYFTKKRNQKCYRSILLLCEFGARSLPRALGLHFANFRFLKVFSVENYTNFSGAFSHFNVGRALGSLVYLRYLSVRGSNLSVFPSLQKLVLLQTLKLDISDKIYVLPWLSRDVLVKMDCLRHLYLPKFKVDVLGRKSKLRFNGLSKLETLENFDTSWCEVKDLRELINLRKLTVTVRGSCDILEEMMKNLDDVASSASSCLRFLGVTIVYCDIELNNDLTILKQLVYAENLNLRELKIYGCIPEVGLIFPLHVSTICITTLNLGESYLEEDPMPILEMLPVLSVLRMYRDTYVGKEMVCSATGFPKLTVLILHEFTNLEKWRVEEGSMPILSYLIIASCNKLEEFPGGLVFLKSLQISQMPQDFNDRLKRQDGEEGPDFHKISHVGRLIIDDQGYI >KZN07601 pep chromosome:ASM162521v1:2:42122850:42123475:1 gene:DCAR_008438 transcript:KZN07601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNFFVAVALISAIIAPALATDFVVGDEAGWKTNFDYKTWAAGKEFHVGDKLIFNYPAGVHNVHKADLASFQSCTPSATSVALTTGSDVITLASEGKKWYLCSIASHCASGNMKLAITVLPQVESPAPAPEVSAAAEFGTQFLWIGAAFGAVMMMI >KZN05104 pep chromosome:ASM162521v1:2:20191841:20193851:-1 gene:DCAR_005941 transcript:KZN05104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALSSNSYILATIPHSRITLKTSKLTALAPKNAGTFRVIKAKAVSEDQESKPAKLNPFQFDFGKLPDVQSLIPAVQNSTSGLSFGQRSKDPGTVFVAGATGQAGIRIAQTLLRDGFSVRAGVPDLGAAQELAQLAAKYKIISAEESKRLNAVESTFEDAESIAKAIGNAGKVVVTVGPAENGATTAVTASDALQVIQAADLAGVGHVTIVYDGSSASTNNVLDGISSFFNNLFSRSQPLTIAEFIQGLVETDVSYTLIKAKLTEDYLLESSYNVVVSAEGIDGSTNDYKVTKSQIASLVSNVFSNTAVAENKVVEVYADPTAPLKPVDELFSLIPEDGRRKAYAEEIAKAKVEEATIKAKEKAKEAAAAAQELKEEVKSLESQDAKTDNLANKAQEQASASVESLMAKAKEMSSTFSWDKFSSQLSTAVQNVSEEPKVQIATVRGQARAQKLPPKKAVMKKPPVSKRKEAVKPKAKQADSKVEVRNVFGGLFKQETIYVDDD >KZN07087 pep chromosome:ASM162521v1:2:38251997:38260962:-1 gene:DCAR_007924 transcript:KZN07087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPISIEKRKIMSSPDALFTTIFNSIQALGRGFDVTSDIRLLYCKGSPGGRLVKVDDEVTRDLVVYDGLVVPNVPVDIDCSPGERLPENTPVLSFNEMAVHFNQKSNLLGNVPLGSFNAMFNLSGSWQLDQASTKSLAVVGSVIPLYTVELTNLDLVLHEDIKRAVPYSWDPASLASFIENYGTHIVTSATVGGRDIVYIKQHQSSPLSATDIEDYVNDIADQRYSASNYTSTAPLKYKDKDVTVIFRRRGGDDLEQNYIKWAETVEAAPDVINMSFTPIISLLEGVPGIKHLARAIELYLEYKPPIEDLQYFLEFQISRVWAPEQSNLHGKDPVCQSLHFSLMGPKLYISPNQVTVGRRPVTGLRLTLEGSKQNRLAIHLQHLVSLPKILQPHWDSHMAIGAPKWKGPEEQDSRWFEPIKWKNFSHVSTAPIEHTETYIGDLSGVYIVTGAQFGVWDFGASKSVLHLKLLFSRVPGCTIRRSVWDHSPSTLSNVQRTIGASSSSLVSERATDDKKADGSSQVGKLGKIVDTTEMSKGPQDIPGHWLVTGAKLGENEEQFVDGKVDWRGRPARRGKHGGVKSVFPVLVSFAFENVATFCLSVNLVTYFSLVMHHDISDSANHVTNYMGTGYILAIIVAYLTDGHLGRYKAVILSLSIEFLALGLLTIQAHYPKLKPPPCNIFDPTTKCEVVDGRNSVILFAGTYALALGAAGVKASLPTHGADQFDEKDPKEAKQMSSFFNWLLLALCIGAAVSSTLIVWIQDYKGWDKGFGISALAVLVGIIVFSGGFPRYRVHVTRGTGAMTEIIQVYVAAVRNRKLKYPDNDAELYEISMDKEEALEQEFLPHTQDFRWLDKAAIQTSAEIELAEKPKNPWKLCRVTQLQTFSIQQGTTMDTTITKSFNIPPASLPIFPVASLIILIPIYDVFFVPFARKFTGLPTGITHLQRVGVGLVLSCLSMAAAAILEAKRKRVARDNNMLDAIPTLQPLPINVFWIMIQYFIFGIADMFTYVGLLEFFYSEAPKGLKSISTCFLWSSMALGYYLSTILVQILNAVTKNITASGGWLAGNNINRNHLNLFYWLLSLMSLINFIVYQFVAKNYKYRSHGPSDEADNKVREVNDLEEADVERSSQ >KZN07654 pep chromosome:ASM162521v1:2:42466056:42468980:-1 gene:DCAR_008491 transcript:KZN07654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQSDELDQLLDSALDDFQNLNFASAAQRSGDKGGNKEKNGSVVSGSGVKGLGMGLPDLKAKKMGKQKVSKESHHVSEALDKLREQTRETVKGLETITAPVPDFGNDAMMQDFVKQFEELAGSQDMNSIMETMMQQLLSKDVLQDPMREIGGRYPKWLEDHKATLSTEEYERYSHQHELIKEINGVYETEPGNFNKIVELMQKMQECGQPPDDIMRELAPDFDISTIGQLSPEMLNSQQNCSVM >KZN05556 pep chromosome:ASM162521v1:2:25026215:25034448:1 gene:DCAR_006393 transcript:KZN05556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAEFSPRTSLANSQLSFYKSSVQHSFYKQLSYRSFSQAQNPRIVCSVSAKQAEASVAVKSDDTKRKPECFGVFCLTYDLKAEEETKSWKKMINVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSTRSVEALEGVAMELEDSLFPLLREVSIGIDPYEVFQDAEWALLIGAKPRGPGMERAGLLDINGQIFAEQGKALNEVASRNVKVMVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKIDGLPVKEVIKDTKWLEEDFTQMVQTRGGVLIKKWGRSSAASTAVSIVDAIRSLVTPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVIFDDYLFERIKKSEAELLAEKKCVAHLTGESAIYPGTQCFLEKCRSYHTKVVFRIFAWYQADILRESTSGGNTVTTRNFNLCRRINLQLLIVLICSKVTNLRQLISLKWCSGNLYARRNMYI >KZN04115 pep chromosome:ASM162521v1:2:2190427:2191599:-1 gene:DCAR_004952 transcript:KZN04115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPRHSEKLAWNVAHSRFLRIFVLEGTMFTGVSGCISYANPGNALGSLKNLRYLSLKTPILFFPSIQNLELLQTLKLQVSGDYDWARVAPWTSTNVLGKLGRLQHLYLPLRLSEGPTERKLRFDGLSKLETLENFNTSSCEVKDLAKLTNLRKLTVRVLYSREDVREMMKYFQAIAPPSSSSSDSSTPCLLNVFLDISYCDLQYDAHTLRQLFYSPNLYSLSIEGKIPELVLHIHIDHLSSSRITILTLLQSELDDDPMPVLGKLPALRKLMLKGAFKGDKMICTARGFLQLTHLEMCNLYSLKEWRVEKGSIPNLSYLKLSRLSSLEEFPKGLKFLKHLQQVELLYMSQNYWNMDRAAVFRGWSRTNAFCTDWSMTLLPINSVSEDPI >KZN04261 pep chromosome:ASM162521v1:2:5506462:5508015:-1 gene:DCAR_005097 transcript:KZN04261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQGDFYQSRCKSAIIRAKQIMRVQRIWQQSPSCLRPIHGCCYCCMNALDLVLSMQPLMVSVVYTRMMEQMMPFSQTPFLHAALPELLVLALSTCNKLLE >KZN06805 pep chromosome:ASM162521v1:2:35974489:35975622:1 gene:DCAR_007642 transcript:KZN06805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYRKHNYTSLPGDSKRYTSRGERDQNPSFSSTLLDQIYRSIDENNDHRVAVDDEVVLSRETVTIKKKKQYIYTSVEKKEAAHFQRIEKNKASDNIYQRKNGENYGGLMTLNSSWSSSDSSSGGLFSSEADSNYGVSSLYAHRPKPIRTSTEKISHEEKRKHTSDSVVKRSKLKAVLKIYGDLKNVKQPISPGAKLASFLNSLFSARENTKKGKFSGANSSTASACSASRSCLSKTPSTREKLSDSAAKKSVRFHPVSASMDKSSQLQRGNRGNDSEVPDQRNRVNDEVMYDLRRKNQRVEERTRELLQNYARKAESESDEDEDEDDDDASYASSDLFELDSLNNCGINVRGDYMEELPVYETTYLHANLLALQTN >KZN04835 pep chromosome:ASM162521v1:2:17020001:17020499:1 gene:DCAR_005672 transcript:KZN04835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVESSMKRKLSALVVDDDPVGRMILVAMLRRHDFETCSAENGREAVDLIRSGRQFDVIFMDVVMPVMNGIQATRVLRAMRVKTMIVGMGPYSRGDNPIEAGMDRVYEKPITPVIIISIRQVLQN >KZN06355 pep chromosome:ASM162521v1:2:32141766:32146373:1 gene:DCAR_007192 transcript:KZN06355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHQTQQQRTGPPAPPRTPNTQQGGGDLTPILTVLLSFVAIFAMVLVPSASNLTSSLSIVHQVPEGHVGVYWRGGALLKTITDPGFHLKLPMITHFEAIQVTLQTDLVRDIPCGTKGGVMTNFEKIEASVVNRLKKDYVYDTLLNYGVDYDNTWIYDKIHHEINQFCSGHSLQQVYIDMFDQIDEKMKDALQADCTKYAPGIEIISVRVTKPTIPDSIRRNFEQMEEERTKVLIAVEKQRVAEKEAETQKKIAISEAQKYAHVSLIQMEQKLAEKDSARKEEEIANAMYLAREKSLADADFYKTMREAEANKLKLTPQFLELKFIEAIANNSKIFFGNKVPNMVFDQRLLGNFFQDVAPKKDLEA >KZN07434 pep chromosome:ASM162521v1:2:40809964:40810482:-1 gene:DCAR_008271 transcript:KZN07434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSSEDNALTVDSSLIMPLDSSEHARSPQDLMTRRLKNRERQRRYRLRKRIEAERKASIMCQSAPPEIQLQLPELSSTCATRVHCQRNWKKDARRAHFSKEEVNKTGERLMSCTTPIIGGQVPCLPSGVDQQLPLESEIQFRSSSVIENSETPRSTLSRRHWKAEARNKKY >KZN05233 pep chromosome:ASM162521v1:2:21480847:21486376:-1 gene:DCAR_006070 transcript:KZN05233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLKRKPAWTGRSFKSLFKAQEKSDTVKNNLESSDKRKRDSCMELDASPGCKTSTDYNTCVVCSKRQRLCDGKYIQTSCGPSSLDPFAEVVPVGGWHCFQCLNNKMQAGACQLSHNVEAIWNARETEVSDAEGLRKEKQYFVKYKGLAHIHNQWIPTSRLPVEADMLIEKFKKEREAVRWNEEWVLPHRLLKKRLLLSAAQENNAPYDTSSCQYEWLVKWRGLDYESVTWELDTFVSLHGANLIEEYQNRHKKALDNFSSVDKDKNGSLVELQKLPAGSPPGLDTSHLETVNKLREFMHKGQSTIVFDDKDRIMMTLLYVLSLSDTCWPFLIVTTSSLLPLWEAGFLRAVPSVDTVVYNGSIHNRQCIRMLEFYDDDGRMMLQVLLSSVEIVVEDFPFLNNIKWKVVIVDECQQPNVSSQFAQIKVLAASVKILLYNGQLKDNVAEYLNLLSLLESTNDSRNIDVLNAESINNLCKLRERLSRYVACEDKSSSSKFVEFWVPSILSNAQLEQYCDTLLSNSLSLCSYSKTDPVGALRNIVLSTRKSCDHPYTVDSSLKRMITKDIPPVHFLDTEIKASGKLQLLEIILSEIKRRQLRVLILFQDCIR >KZN07016 pep chromosome:ASM162521v1:2:37684632:37686914:-1 gene:DCAR_007853 transcript:KZN07016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRRNTSKPKKNKKGVKKTNWLDLPDDVTANILKRLDLYEILESAQKVCAAWRRICKDPAMWKVIIMENHWSPGDMPYDLMKMCKHAIDRSQGQLVDIYIEYFGTDELLEYLAQGGRSSQLKRLQISSCYGMIYESWSEFLKNCPLLEEIELTFTCISEEAVANAGQYCPMLKIFKYNDRGYMHDGRPFDQEIADEEDEFVMAIAKGMPQLRHLELIGSGMTNKGLQAILDGCPQLEFLDLRQCFYINLDGSCGNLCMERIKKLMLP >KZN04229 pep chromosome:ASM162521v1:2:4576061:4579910:1 gene:DCAR_005066 transcript:KZN04229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVNITLIAAHRGEYISDDKDENVQEILAFCQLLLKHFANSYLSIKHSSVGDNNGVEIGQSADTPVYHKACSKKNKMYRNNVKSNLHQFVMDPLGLKRRPLSPLSPSSLRERQRRRCGAHDSTDGNGSLLCKENQNPLRKAQTFGSGGNTIPGILHKGSLKTSQCSAPSTAESVVNSENQHKYLKETCPAERKSFLGNRGGLTNRVFAAVTQRTPLARLIAIQSNKQSVSNRFQPTIFNCFQISSLKASQCSVASTADFIFAHNFFSYLMLLTAMTKLALSTPSDLQYNKPGSVGHASDGGFLLSITSLYNK >KZN04471 pep chromosome:ASM162521v1:2:11431453:11434201:1 gene:DCAR_005308 transcript:KZN04471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSAALSSLSAQRLAGKVALVTGGASGIGESIVRLFVRHGAKVCIVDIQDDIGQLLCETLGADTCFYHCDMTVENDVSSAVDFTVEKFGVLDIMVNNAGIVDPPCPDIRNTDISMFEKVFAVNVKGTFLGMKHAARIMIPRKKGSIVSLSSVSSTTGGMGPHAYTGTKHAVLGLTKNVAAELGAHGIRVNCVSPYAVATPMAFGHLPEKERTEDAVAGFRAFVAKPANLQGVELTTNDVANSVLFLASDEARYISGENLMIDGGVTCVNHSLGVFR >KZN07180 pep chromosome:ASM162521v1:2:38875793:38876434:1 gene:DCAR_008017 transcript:KZN07180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASETVEDAANGKESSKGVEVGGGEEVHYRGVRKRPWGRYAAEIRDPNKKARVWLGTFDTAELAARAYDDAAREFRGDKAKTNFPAPDTRRNDGEIQVSQSPSPTSTVESSSQERFAAAVNPNLAVLNGGVGSWLGYGSGYGLPLMYPYYCKPYGPMQGYGLPPMLYRNPEFRANLLAARSDSASSSVVDVNPTRKGLDLDLNLPPPSED >KZN05316 pep chromosome:ASM162521v1:2:22540813:22544136:-1 gene:DCAR_006153 transcript:KZN05316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVLRPRGIGLKYNLLFSTLKARTERKAAGVPDCEGAATTKAPWNTPELFDLSALEGETIRVWEDGTKRIGRRSFSILRACVLPLHKWLINGNSTKEHDLVTKNDLEHLLHLLDGKGWDRPWHYLKDRSASNMAYQAWHHEPELVVHRAELEGMNSENQRLRDMVDEVTNNYNNLQKHLVAFMRQQQQKVDNNEVEADVVGDIIIRSVNFDETQDLADEIIGLAMGPENAQGAMINNVVNVHGVTNEGKQ >KZN06210 pep chromosome:ASM162521v1:2:31007458:31011023:1 gene:DCAR_007047 transcript:KZN06210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLILITFIGVAFGFLMGVSYPILLENKMTLSTIVLPDNSTHIETPTISPQVKAQEVNDVRKIWVPSNPQGAERLAPGIVVPHSDLYLRRLYGHPSEFSENFTILLFHYDNRASEWDQYEWSKKAIHISALKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVQNFTAEEYIKLVKKHGLEISQPGVDPRRGLTWKMTKRLEDSEVHKETEERPGWCKDPHLPPCAGFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDLALQRCVEPAHEKIGVVDAQWIVHQGIPSLGNQGQADDGRPPWQGVRERCKLEWKMLQHRFANAEKNYYKSMGIDPSNTTSH >KZN07029 pep chromosome:ASM162521v1:2:37777966:37779351:1 gene:DCAR_007866 transcript:KZN07029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAQAVDILPPPSSSDDETQDQDGSSSSTDDEQPQQHPPKTQKPQESEESDNSDDETEDDEPEAAPQPVVKKPSLREAPEESDDSDSGTESDETQNSPSASAFTVKPVVQKAVAKSPEPKTPSSKAPRVKSRAKRPTESEETDKVSKAVSKNQEIGKGKGGSSKRPAEAEAEKIDKVSKSKKSKVTNGDGQSVGKVDKGSKGKKAVSGDEDGGEVEEKKSIAKWHWKDEIALLGGIVDFKAETGGDWNSKLDVFYESVKDLLSVKLTKNQLSEKVKRLKKKFQTNVGKFQNGEEPVFSKPHESKLFELSQKIWGSEGTGADGNDSKVKSTKKKGKDDGIVVGNANTSTPVKSNANRELFVQEEVKNTIVEDRREETQDVWSLYPNLCASVESEVSSFSILQGKNLKDHVKKIISGMGEEKARELEDEWEAIHGMDLQLYARRTQLISKQAGAIADVSNI >KZN04121 pep chromosome:ASM162521v1:2:2279382:2279637:1 gene:DCAR_004958 transcript:KZN04121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRILLKERGAFGNADTGGAWLSSARATAGDKPEEGEDDVKSSCPLCSGRHTQSVARE >KZN05751 pep chromosome:ASM162521v1:2:26923781:26927762:-1 gene:DCAR_006588 transcript:KZN05751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQINGKMRSQYLGKASRPLSLIFLLHIFFLLFLSYGSSAASADATRTTIIGVVIDEDSRVGKDQKTAIKIAAENLSSTNHKLIFHFRNISSGNPLQAATSADQLIKAEKVQVLIVSLAWQEAAIVADVGNRAQVAVVSLAASDNSPTLTHLRWPFLIKMDSNSSREMESVAAIVHSYDWKKVVVIYEDDAYGDPGTLAVLSQALNDMGSEIEHRLVLPPYSSLSDPRGEAKRIGLMGRDSVWIVTDSITSVLDSGDPSFVTSMEGAIGTRAYYSEETSSFMDFKAQFREFMKSDYPEEDHLVPGVDALRAYDSVKAISQAVTRLGSDEKTNSETLLKEILSSDFRGLSGDVKFRDGSLLDSSYLKIINIVGKSYKELGFWTSEHGFLKNDSRVDNSTPSMKKLDSVVNWPGDLTRDPKGWTMPSDAKRLRIGVPGATGFQKFLKVETVEDNVEYSGFCIDVFYEVRNILEKSYPMPYDFIPFHGSYDELVMNLTELGNETFDAVVGDITILANRSKYVEFTQPFAESGLSMMVQYKHEPSKAWLFLKPFSPQMWLATFTILFYTMFIVWCFERKSNPEFKGPFKDQLSMALWFTFSTMFFAHREKVYSNFTKMVVVVWLFVVLVLTSSYTASLSSMLTVERLEPRVKDIDTIKKTNATVGCDGDSFVKDYLRKVLGLEHIKTIGNQTDYPYEFDGGNIAASFLELPYQKVFMEEYCNQYTTVGPTYRFGGLGFAFQKGSPIARDASEAILTISENGVLKSLERKWFPSSKNCSASTNMDSLTIESFWGIYLISGVTSTVCLIIFIAKVIVLRLNQGHNQRNQVSRDNPAEGGSWRKAIALVKYIHNNNSRISPVRRTPSFYRGEEMGSSRWELVSPSEALDHGIEQPEIRVPEQSSRPPEIQIPIPNLDSIVNHEN >KZN05895 pep chromosome:ASM162521v1:2:28139231:28140773:-1 gene:DCAR_006732 transcript:KZN05895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFRVLDGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARNLLTLEEKDPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNVPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRRNMKAASKKQAGGDGDEDDEE >KZN07099 pep chromosome:ASM162521v1:2:38367800:38370132:-1 gene:DCAR_007936 transcript:KZN07099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTELPNSSSTATDKQNREQKLDAGSLFVLKSRGSWLHCGYHLTTAIVAPALLSIPFAIGLLGWGGIVCLSMAALVTFYSYNLLSLVLEDHARRGMRQLHFRDMAHDILGPGWGKYFVGPLQFGFCYGAVIACTLLGGQSLKYIYVVSSPDGSLQLYHFIFIFGGVTLIMAQMPSFHSLRHINLVSLLLCLAYCACATAGSIYIGNSSNAPPKNYNVTEVGVNRLFGTFNAISIIATTYGNGIIPEIQATIAAPIQGKMFKGLLICYFVVITTFFSVGISGYWAFGNQAEASVLSNFLVDRKSLVPKWFLLITNIFTLVQVSAVTLTYMQPTNVVIDRRFADPKKDEFSARNIVPRLIFRSIAVIIATTIAAMLPFFGDIMALFGAFGCIPLDFILPMLFYNVTFKPSKRSLIFWVNSIIAVVSTILSGIGAVASVRQIILDANNYRLFADM >KZN04419 pep chromosome:ASM162521v1:2:10485504:10487450:-1 gene:DCAR_005256 transcript:KZN04419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGANGDRVDHNTRNTALGSRGTDKAAETLLNKGDTRNSQSDNKGTKDQSQIRVHQTPNLQELEKRAIEACKYWFLLEHGMVESFQKGNYSLVPIALARIDYLKDAIEPGLLQEGLKGEEEALWAIHRFLFNEGWWARAENLKVKGATTNHKEDTVLINFLQENAELIHPNTRHLAISGDAEGIRLALNQIHYNSLTEKHHRSGPSKRGSTKPSDLLDITRSFLKGFSQFVEPSVLNDALLGNDKAISLALGQIHFHSLEAGVDNNSKNNISQQGSFKDVLLKQHTHEKKQGDMKTTKAGTNFNTRGQTRSTYGIRTRKAEHTVFFTGFQENSHPKDLWKYFKQAAKIKDIILPWKRDKYGKRYGFLIMENEEAVQIIVKKLNSASTEYGKLYLSRAKDKTTASGPSKTARPGKHSPEPKCTPTTGTHSVQEIISSPKGSNVVMQDAIPQESSKLSHKEARTNAYPQVKDHVQIEQVPIYNQMKERTEPIINPSEEMSNVTKSSIFIRTVKNETIDTVQMIAEGLGAHNTQIRGITGTTFIAYFANKVDYESLDIEFLQIGFVEVRQVKVEDLMPSRKAWVEVRGLPIMGWTENNFRDLIRDCGNVLLFSKIYDAEGFYQHPKFLIETGYLEEINIQRTISLLHKK >KZN07251 pep chromosome:ASM162521v1:2:39413099:39415932:-1 gene:DCAR_008088 transcript:KZN07251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSKAPVRLEGAILATLVCWFLGLGSLVSWNSMLTIGDYYYELFPDYHPSRVLTLVYQIFAIGTMAILAYNESKIDTRKRNIAGYILFCISTFALIVLDLATSGKGGVGNYIGICVFVGVFGVADAHVLGGMVGDLSFMRPEFIQSFFGGLAASGALTSGLRLMTKAAFEHSGNGLRKGTMLFLAISTFLEFICIFLYAFIFPKLPVVKYYRSKAASEGSKTVTSDLTAAGIQKQEFQEADDDANPQRLTNKQLFFQNIDYLLDLFLIYVLTLSIFPGFLYENTGKHQLGSWYPLVLIAMYNVLDLVGRYVPLIDLIKLESRKGLMIAILSRFLLIPAFYFTAKYGDQGWMILLVSFLGLTNGYLTVCVMTVAPKGYKGPEQNALGNLLVLFLFGGIFAGVALDWLWLIGSTAKF >KZN07829 pep chromosome:ASM162521v1:2:43861574:43870039:-1 gene:DCAR_008666 transcript:KZN07829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDKGLIYLLLSYKLEGLERLSSSHSISGGSDQGNISEGADFELLNEVLKPSFGDYASNWILGDVALHIESQTKSDMAMHYLIKLVKEHPSWAGTNIEHSAICMHKKTDIQQYQVLLESFENKLRDWLANLEQKYLLVSHHLINKMVKYLCNSGLAFLGCLLLLSYTHTDQSKEITNSFGGFFLHPILSDMLFKATEDIAYLFSRYVVLCNISSSNLISCSTEDRTPAKNVFNNLGGWRFYMQGVMWSLWCLRSLLKQFSSSADTDFIRKTLLTIDLYEYYVYFSSAMLKRNLRALILIVKPLLMTCKNDHAHYEFSLDDINEVLPEITELLSHNPLIDEARDSASSVPPDHEDKELSVLIDEEWHILRAMLYRHMTAFLNNQLNSSLTVENSHANCLPFKLSVFVSDSSKCGPDDSNTTPQIVVVSAALINLLKSTSVHLSSNCERHLTLRLLNKVGNGFSTATLEWLEEFSWIPSEDHQKQCSHNIGDWNMKNSETELSAYKILWGMCAGPEFRYVGSELNNSKWIRYVKRKLPKRWIKIYKSTELECETEEICKQEGNLGSPLASNGGGLGSPLKGPSPDNSFFVGTGGRDTAITKKLMPFESPKEIYKRNGELLEALCVSSVNQQQAALASNRKGIVFFNREDGIVSMDKSCYIWSNADWPHDGWAGSDSTPVPTCVSPGVGLGSRKGTHLGLGGATIGAGSLSKPGRDFLGGGAYGVPGYAGIGSSGLGWEIQEDFEEFVDPPATVDNIRTRAFSSHPSRPLFLVGSSNTHTYLWEFGKERATATYGVLPAANVPPPYALASISAVRFDHCGQRFATAALDGTVCTWQLEVGGRSNICPTESMLCFNNCASDIAYVTASGSIIATAGYSSNAINVVIWDTLAPPTTSRASIMCHEGGARSLSVFNNDIGSGSISPYIVTGGKAGDVGVHDFRYIATGRTKRNRHSDSNEDFVNGSSTTIMRNKIGDQNSHGMLWYIPKAHTGSVTRISAVPNTNFFLTGSKDGDVKLWDAKRAKLVYHWPKLHDRHTFLQGGVVRVAVTDIQVVSNGFLTCGGDSSVKFVQIKDSLVE >KZN04246 pep chromosome:ASM162521v1:2:5189093:5194193:-1 gene:DCAR_005112 transcript:KZN04246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNGQSSTPKTSEGTRKKRYKHAARKNKVKPGQNSTLDSDESNEEPYSVHELYRAEYQARHAQNPTEEDQSEQNEDAQQNQQQQNFQPPTEEDQNNDEQNQNANKEHAEHNQQSQSQEEAQNKDKSPTVKNKKWKRKKTEELFYADRVRHKGIKLVERQCPYFKGWTQEKLRERQTIDVYGGPFGLGLIMKPLRELPSCNEQTATENAKGNDKGKDVPNPSWDDWNAHQNDDILWDEWEKAQRQSVANETNDRENVHDTEQHIQVEEQDDHGQASGEKLDLLSFVDSAKINAQNTDLFETEMKDPIKQIFLCNDFFCLRDDMQSLNIGKHIETMVVDTWAIVLNDAEKFKSDESPLRLLFTIGCVNATLDEKKTLGTTYKLFAENVDSMLIQCNRTKLDLIDMEFFPICAFDHYYLIVYHLRNWTYEIIDNIDRSKMDPKKCYSEKPKILHSHFVKYLHAKGHVGISGKVKKMKPTYLNMPWQTRNNSIDCGVFLMRHMESYKGDLKSWTTGLNVEKDGQDHQLIKLRIKYNNAILSSQLNQKKKEILRQGKELYIEAASKKLVNLVINSSQQSQEERPTNTIAAKSQNKKKVTFAKNLITPFDEVSDPPKDV >KZN06852 pep chromosome:ASM162521v1:2:36351559:36354108:-1 gene:DCAR_007689 transcript:KZN06852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRMMPRKSKTGKVPVYLNVYDLTSMNGYAYWLGLGVYHSGVQVHGQEYAFGAHEHSTTGIFEVEPKKCPGFTFRKSILIGRTDMGQKEVRAFMEKLAEEYSGNTYNLITKNCNHFCNDACLKLIGKPIPSWVNRLARLGLLCNCVLPAGLNETKVRHVRAEEVACDKKKLRSHSSRYPTSSNSPPSISSRPSGYSTRGGRQRSRLASSSLNVSTVSSTVKV >KZN03990 pep chromosome:ASM162521v1:2:260157:260699:-1 gene:DCAR_004852 transcript:KZN03990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVAPAAENRKAVAAAADSGKEMVQMELRPALSSDERSPYTFVTQDGQNTLHMAVARAAENRKAVAVAVDNQKAVADAVDNRKAAANRKEMVQSILKYCPNKYKDKILKQKDKNDDTPLHLLNYHGCFIPGLIKHKGINTMERNKRDFTPRDMLYVEDATVADQVPEKPHLYISLIDEN >KZN04904 pep chromosome:ASM162521v1:2:17766454:17766957:1 gene:DCAR_005741 transcript:KZN04904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSVNMEEIEREAFKRGARIEVNIEEPGFRGSWFTGTVIRTVTKKTRKLFIEYDTLMTDEDSQVRLRESVNVILARPIPPRERVRVFKMSEEVDAFHDDGWWEGVITRVHEGDRYTVYFRPSKEEIEFSGTDLRLHREWVRGDWVPPLEPEGEAAGQDDDMDEDK >KZN04008 pep chromosome:ASM162521v1:2:519001:522291:-1 gene:DCAR_004806 transcript:KZN04008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGLNVLRILVEPTAAAVAYGLDKDLTSSMGVEKIVLIFDLGGGTFDVSLLKIEKDNFKVLATAGDTHLGGEDFDNRLLNYFVKDFKEKHRKEIGQNAKALRKLRNACEKAKRFLSRNVTTTIDVDSLFEGIDYCTNISRSKFEDLNMDLFRSCVETVKKCLEDARMDKSRVHDIVLVGGSSRIPKLQELLRHFFNGKELCKSINPDEAIAYGAAVQAAILSGEGDNKIKDLVLVDVTPLSLGIAVGDVLMSVVIPRNTTIANSMKELYTTAYDDQTSIIISIFEGERARTKDNNFLGEFILTGVPPGPREEEIERMVQDAEHFKAEDEEFRRKMKAMKEFEDYVYDMRDCTERNNNLEPSVKQKISYYFKEAIQWLDANRNAEIFEYEYKKQQFEAVCNLLIPSSEDIKIEKAS >KZN05525 pep chromosome:ASM162521v1:2:24702717:24702920:-1 gene:DCAR_006362 transcript:KZN05525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPFSVGRRICPGASMATIHLNLKIARLVQEFEWSAYLENSKVNLSEKLEFTVVMKNPLRAMIKPRV >KZN06595 pep chromosome:ASM162521v1:2:34220273:34223378:1 gene:DCAR_007432 transcript:KZN06595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMITGGTLIPVAPMFIRPHRNSYSTATRATFPTSSATPSLPTITSSSLSRKPPMQNPNHNPTSKSSLPIFSLPLNTPLVITAITVGSGIALHFLAGGNFGGFFGGFFGGGGGGSGGPGGSGGGSFWSRFRSHFLANAKEDDESESAEWDPHGLSGNLILPLNKLSGFKRYKVSEVDVVDWLTSEIDHSFSDVVSIRPNGIYTKAQLKKELENLASCGMFERVDIESRTKPDGTIGVVINFVEASWDAKNRFKCINVGLMPPTMSIDKDPMQMSDRERVEFMRNQERDYQRKMEMARSCMLPESVHKEVLDMLLNFGQLNTKELVCEVDEGDITQVVVQIQDKLGNLSEGNTQYGVIKRRVPKQLQQGEVFNIESGKRALNDIFSLGLFTNAEVNPRPDEENGGIVVEIKLNEMEQKTADITTEWSIIPGHGGRPTLNDLSFKFEYKHPYLDGLNSPRDRTLHTSCFNSRKLSPVFTSGPGMDEVPLVYVDRAGIKTYITENFTHQSKFSYGIVMEEITTRDENTNICSNGPSLFSAQRLGAGPPTTLSGTGTDRMAFLQANITRDNTKIVNGATVGERNVFQLDQGLFIGSKFPFFNRHQLTYTKFLQLKAVEEGAGKSPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGEIGAARNIVEVAAELRVPVGNTHVYAFAEHGNDLGSSKEVKGNPTEAYSRMGQGSSYGIGVKVGMVRTEYAIDHNSGTGAVFLRFGDRF >KZN04151 pep chromosome:ASM162521v1:2:2625176:2628516:1 gene:DCAR_004988 transcript:KZN04151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSIVVGRLTDLLIEKALILQEVRDEIEVAVAGLVRIKTFLPDADSRMDVERIHILLRDVRDLAYEAEHAVESFVIKASSTKKPFQWMNRGKFSRKMKDIQKKMSIFFDLFSDYNIRPTSESSTSSNRESGKLKRFHSFTTPEPQIFVGFHEDVECLVRHLVNEADDSYPLISICGMGGLGKTTLAQKIYNHSAIKTHFAAGLAWVTISRKWQTDRVLQRILICLVPGNKDSILNMDTDKLVEYMLQIQGRKKCLIVLDDIWSTDAWDALKAAFPAGKSMSKLMLTSRNAEVAEHVNLDGFIHKPECLSPEQSWELLRLKALHTGNCLDITRDVKRMEELGREMVEHCAGLPLAIVVLGGILVTKPSLREWEKVYRDSKSSLKAGKGLDKDYQREILSFLIWSYNDLPPQLKPCFLYLSKFSEDESIDIETLYQLWIAEGMILSSDKREGETMIQVAESYMGELVQRSMVQVRFNNVESSLTKFEDCSLHDLMRDMSLLQAKAEDFFEEIHFQSGNEFHLKSTTESRSASTRVVIHLGEEYSSKKANYYFSKKRNEKCYRSILLLGEFGTRSLPWALGSHVANFRFLKVFSVENYTNFSGAFSHINFGRAVGSLVYLRYLSLRDTNLLIFPSLQKLVLLQTLKLDISDKIYVLPWLSRDVLVKMDCLRHLYLPKFKVDVLGRKSKLRFNRLSKLETLENFDTSWCEVKDLRELINLRKLTVTVRGSYDILEEMMKNLDDLASSASSWESYLEEDPMPILEMLPVLRVLYMFADTYVGKEMVCSATGFPKLTHLILHEFTNLEKWRVEEGSMPILSYLIIERCNKLEELPEGLVFLKSLKITQMPQDFNDRLKRQDGEEGPDFPKISHVRRLIIDDQDYS >KZN04214 pep chromosome:ASM162521v1:2:3940020:3946488:-1 gene:DCAR_005053 transcript:KZN04214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNAYHDRDRFSAGKVVKENNWGHLKFTSSDQKVTNKLTQRPAKRQCLGDGPSGADNGGASSDSDEQSLTAYYAGAVALADTPEEKQRRESRSKRFEKARGNRSANNYSRVKTVGAGLYTKRTHALVLKKDFEEGNSRAVEDIDWDSLTVKGTCQEVEKRYLRLTSAPDPATCGIFRLSVDARKDVAVKHALAVRAAVTSGNYVLFFRLYKEAPNLSTCLMDLYVEKMRYAAMKCMSRSYRPTLPVAYIAQVLGFSSVLPTAEQNDEKDVDAIEECVEWLKAHGACLITDNPDEVLLDAKAAYRLHFKLVYSLLICSGEDITLHLDTKPINVSVCPCVQASVSSLFMPEPEDAVAHGDATLAVNDFLTRVPSLPN >KZN05894 pep chromosome:ASM162521v1:2:28134448:28134828:-1 gene:DCAR_006731 transcript:KZN05894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKGVTKASGAATNDSSSDHDDRAGCFYAKCKALGGEILDADQESACSSEDNESPRSVVRKGPNVHNQVLRIREEDSLIGEDVAENLINKNYNNRRRCTKAVDRDHVFVSSHAPVPRPASPLGRS >KZN07630 pep chromosome:ASM162521v1:2:42318077:42321696:-1 gene:DCAR_008467 transcript:KZN07630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAYGLVASPAQAVRGKNHACTSIYRSTVISTRVHCSSNVEGAETLGAGVAASESRVPRLLSKGCKLVGCGSAVPSLKVSNDDLAKLVDTNDEWISVRTGIRNRRVLSGTDSLTALAAQAAKNALEMAKVDPDDVDLVLLCTSTPEDLFGSAPQACDSDDDGLFGFDVHSDGDGQRHLNATMKQKEMEHVAGSNGSALGFPPNRSAYSCIQMSGNEVFRFAVRAVPQSIEYALQNAGLSASSIDWLLLHQANKRILDAVATRLEVPSERVISNLANYGNTSAASIPLALDEAVRSRKVQPGHTIATAGFGAGLTWGSAVVRWG >KZN07134 pep chromosome:ASM162521v1:2:38583164:38584783:-1 gene:DCAR_007971 transcript:KZN07134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYGFIPRFSASFSSLTHVFQQQKCTYPPAEINYLFNQSNTSAISTALQHYINSDHPSHGQKVHSHILKTGFRPNTSISIKLLIVHVKSSCLLYARKVFDELSQPTLSAYNYLLSGYVKSGNVSEAFSLVRRLCFSGECPDGFTFSMLLKASTSDSVLAMPRSVGSQVHAQIVKSDTEADDVLYTALVYSYVKSGRVNYARRVFDLMMEKNVVCSTCMISGYMEQGCVEEAEEIFKKTVEKDVVVYNAMIEGYSKSVETSKRAIDVFIDMQRMDYRPTISTFASIFGACSILSAVEVGQQVQGQLTKTKFFNDIKMGSALLDMYSKCGRVEDARRVFDFMPVRNVFSWTSMIDGYGKNGNPAEALELFSILQRSHSVEPNYVTFLSALSACGHAGFVAKGKQIFDSMKTYYSMKPRMEHYACMVDLLGRAGSLNQALEFIMDMPETPNSDVWAALLSSSRLHDDVEMANIAANELFKLGSDSRPGAYIAFSNTLAAAERWDTVSEIREVMKARGISKNTGFSWVGADGNLEGFHAGQKM >KZN06026 pep chromosome:ASM162521v1:2:29264776:29269728:-1 gene:DCAR_006863 transcript:KZN06026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQGTLEAKHLESMNASEQAESSSCHHSKVTCEEFTPKNCSSENLAVPSKFGTDNLQSAQSRWNEPLSGQVSDRVSYTRDRDDVGCSSKSGLDRMSPDKQGSVDGTSDGDVAGRFNSGETSDLRKDVVCATKSELVDGNSPKNNCNEVEETGSLLASTNALVLSSSCTRTKMLTKSGNTQYFVKDTLKDKGTLCRGTAPCGEFLGQSYPEASSPNKVDTSAPLNSSRGVDLTEDASTYQNNLDSNALMLPHDGVSLRLWLKFGENNRNKVKSLHIFKQIVDAVSDVHSKGIVLLNLCPSYFKLLQSNEVTYIGSTVQIENISDQGAHDKPNYQNEKRPMESSSNPEAKRNKLGENPKLVISEPQSPNRSGVAAANENDVRSGGAQWNAMCNISNPKPVHVTESDISTVQMSDSSKLVLGSANNKSEEAKWYASPEEFNKRPCILPSNIYSLGILLVELLSAFDSERGRGVAMFNLRNRILPPDFLAENPEEARLCFWLLHPEPSFRPSIREIVESDVIKDIEDLSNSSSSFNHDDIDQSELLLHFLNSLQQHKHDRSSKLIKEITLLENDIEEVNKRRRRMSFSPEDLVHAREEVPRESSSGVNCNKSPQVSHEEMLVKNLDQLEKTYFSMRSNVGSSSTEPIRCDFKEMCSQETSYQAKNDGEMKKSTDDLGAFYNGLGKYARYTKFKVCATLSTENLYNLNIISSLSFDPNEDYFAVAGVSKKIKIHNFHAVLDNTGDGQYPVMQMSNNSKLSCTCWNNNISNCLASSDYDGAVKLWDTETGKEVSRYTEHSKRAWSVDFSQVSPTKLASGGDDCSVKLWDTNQKSSAMSIRIISPVCCVQFHSKSSNLLAFGASNSHTYCMDLRNTKKPWCMLVGHANPVSYIKFLDSETAISASTDSTLKIWDLNKRGSCISTLAGHTNKKIFVGLSVTDDGYIMCGSETNEVFAYHRSFSMPITSHKFGDDEDGHFVSAVCSRKQSELMVAANSTGSIKVLQMV >KZN07376 pep chromosome:ASM162521v1:2:40429860:40430375:1 gene:DCAR_008213 transcript:KZN07376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYNLAKLVILVSTLVFLSGSLPQGHAQVQPQLVTGLTGLLNITRIQFTTVQLACTPTGNPPSTGGGVAGVAGALFGGSCNGATGSLAGVFTNASGFAQGILTLAEGIVIDPSRGMPCFINTRLPVTGTTCTVLPPTGLLQAVFQLISVVTNPFGGLLAVATTGPWVIMP >KZN04337 pep chromosome:ASM162521v1:2:8422731:8423787:1 gene:DCAR_005174 transcript:KZN04337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPXAGGGNRGESGGHGVARSGGGVGEDGGGVREDGGGGSSAANIPLRLPPPAPAACPKNALKLSVVCANVLGIPVRPVGGLVTPVGKPCCKLIEGLVDIEVAVCFCTAIKANAFGAKLDLPVSLVMLINYCDKQLPQGFRCET >KZN04806 pep chromosome:ASM162521v1:2:16275457:16278579:1 gene:DCAR_005643 transcript:KZN04806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEVIKAEVATVSHQEEKKVVDEVAEVAEVVEVKKDVEAEPESVEKTPSFKEESNFVSDLKDHEKKALSDFKAKLEEAILGNNLFKKEEAKVEEVVVVETKEKEEVVECVEEKEVEKVEEKEKVEGEESSKKSGEKKGLIATIQGLFKKDEVKVEEVVEEKAKVEEEKKEEVKEEECVKEEAEKVEESVEVKEEAEKVEEKEKVVEGGEESSKKSGEKKGLNKLKSKIGEAFKGHGLFKKEEPKVEEVVEEKAKVEEVVEEKKDEEKKEECEKEVVQEDVKEKESETVEEKEKVEEGEESSKKSVEKKGLNKFKSTIQEAFKGHSLFGKKSKKEVEEKKEELKPEGDEKVEEEPKPEGDDKVDAESKPECEEKVEEKEKEEVVEVVETEVCEEKEPEVVVEEVVEEIKTEECEEEKKVEEVIEVAEEEKKVEEVVEVCEEEKKVEEEVVVDKDISLWGVPLLPSKLDEGTDVVLLKFLRARDFKVNEAFEMLKKTLEWRKEWKIDSILDEDLGTDLSLAGYMSGVDREGHPICYNIFGVLDDEELYQKTFGSEEKRDFFLRWRFQLMERGIQKLELKPTGVTSLLQVTDLKNSPGPAKKEVRLAIKKALALLQDNYPEFVARNIFINVSFWYYAYNAVFSTLLTQRTKSKLVFARPAKVTETLLKYISVEQIPIQYGGLKRDSDFEFSAEDCTASELIIKATSTATIEIPAPEAGTTFIWDITVLGGDVNYKEEFVPADECSYTIIVQKEKKLGSAEAPIRNTFRTNEAGKIVLTIENCTNKKKKVFYRHKVKKSAL >KZN06247 pep chromosome:ASM162521v1:2:31346352:31348773:-1 gene:DCAR_007084 transcript:KZN06247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVSGVDKLLEASNSYHIHSLLSSPDRDYLVCNSGEQVKIDMLQGKTVGLYFSASWCGPCHRFTRKLVDVFNDVSAKAGFEVAFISADRDVESFNKFFSNMPWVAIPFSDSDKRNELRDLFRVRGLPHLVLLDEYGKVLTSDGVQIIVDHGLEGYPFTLQHVKELKEQDELARRDQSLTSVLESQFRNFVITNDGMEVPVTELEGKTIGLYFTMSAFSGSAVFTEKLVKIYKELKEKGESFEIVTIPQDTDEESFRKGMEGAPWFSLPFKDIKCEKLSRYFMISAFPTLVILGPDGKTLHTNVADTVTEHGSLAYPFTPKKFSELEEIEKTKRENQTLESILVSEEKDFVIAKDGIQVPVSDLVGKDVLLYFSAHWCPPCRVFTPKLIEIYQNIKSKNVGFELVFISFDHDQTSFDDYFSTMPWLALPFGDPRKASLTSLFKMRSIPKLVAIGVSGKTVTTDAQDLVMLHGSDTYPFTEQHIKEIEAEHEEMAKGWPQKVKNALDEKHELDLTRYRIYVCQKCGKDGKVWAFRCEECNFDLHPQCALEEEANEKNDN >KZN07380 pep chromosome:ASM162521v1:2:40441614:40442171:1 gene:DCAR_008217 transcript:KZN07380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSTFKNISKHLIPPMSYHNSAKPVLATLVLLFASLPLGHALALLEPGTNLLANITKLQITGRLYCSPSGNIPPAPGSGSLGAAGTLVSGSCNGGTGDLGTVALNTTGFLLGLFSLPFSILFDSVAGPPCNLIVKLPATGTTCELLPPRGILIAGIRLVGLIVDAAGEIIAQTVLLPFQYLPDA >KZN06948 pep chromosome:ASM162521v1:2:37065664:37072793:1 gene:DCAR_007785 transcript:KZN06948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQDKGKGLRGIFLETNINLGEVIKDDNNEKNEVKSDVGETENDIDDGSLTDLTGTVISSDKLTNIFDYSFVMDNFRILQNSSSIAEYVDGFMHVKNRAEFNFKCKFDDDLLSYCFVEGLKEELRDALELWAPRTLQKAIVLAKYQELLLKESLMVVNVEMKCEKGPVTNYCVKNLSAGLREDEKPMVAEELPAMVNNKRQTPNDYVLQTIKEFTSTINYADLGMKSSNIDEANVLIFDPGGNSHNAHEACIIFMFREIIVKKGCEDDEGGEMRENCSKTRTSLVEELNVMRKATKWCLAFDATGFTLFSVAGSDLLGAAISGEIFFGFMLAGSFGYEEVLRYAIECSAFILLNQAFLDQFQTCVKHSNKTATEVFTRHQLGRLLNWIHGHRGGIVRFKIPISKKMASAWYWCEITLVFDPGNLFFYGAILVKLVAATMDEQEILFNNISRAPNHTPWIWTEVFTSGTSGCGETIFKWAQQHHYLVLSIARRMATACSPFKGDILKGQVALLTGGGSGIGYGIAEQLGRHGASISIMGRREHVVDSAVSSLISLGIPAIGIVGDVRKKDDVVKVLEATIKHFGKLDILVNAAAGNFLVPAEDLSPNGFRTVIDIDAVGTFTVCHEALKYLKKGGPGKDPSKGGLIINISATLHYTATWYQIHVSAAKAAVDSITRSLALEWGTDYDIRVNGIAPGPIGDTAGTSKLVPTEIKSSIPPDPLFKFGEKWDIAMAALYLACDAGKYINGTTLVLDGGLWLSNPRPIAKEAVKQLSRTVEKRSRESPAGLPNSRL >KZN06327 pep chromosome:ASM162521v1:2:31966247:31966825:1 gene:DCAR_007164 transcript:KZN06327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGWKLPKKGWVKVNVHGVYYQTPLTNGTRSGVGVVVRNEDGDIIVMVSGTLNLLNERVNDLWALLMGLRCCLYAGKHKVILETESGDAVREWQDWRWFINPSYSDVIESLVKRTDDERLELEVSVVTESSNHLARYLAIDGAVNRSVAVMFTRPFGRVRDLWHRDMGLGTTEFGFDLYTEEEYRKMQEGD >KZN05439 pep chromosome:ASM162521v1:2:23832992:23833834:1 gene:DCAR_006276 transcript:KZN05439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVLSSPINIYLIWYGKWANPHKLLIKDFLLSISSKGSPSPSVSDWWRTVSLYTDQTGANISRTVLIAGEYTDHLYSHGKDLTRLTVQDVIASAVKSKPFPVDHKTGIYLILTADDVTMQDYCRAVCGFHYFTFPSKVGYTLPYAWIGHSIKQCPEVCAYPFAVPSYMGGGGPGALHPPNGDIGVDGMISVIGHELAELSSNPLVNAWYAGEDPTSPTEIGDLCEGLYGTGGGGGYIGQVMKDRKGRTFNLHGRRGRKFLVQWIWSPILKACAGPNALD >KZN07236 pep chromosome:ASM162521v1:2:39335716:39335871:-1 gene:DCAR_008073 transcript:KZN07236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHDSMTLASKQLENKPDNEAKIMRIYQFIKFKLLQSEDNKQASNLYRPL >KZN06939 pep chromosome:ASM162521v1:2:36982082:36983731:1 gene:DCAR_007776 transcript:KZN06939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMTKLRCITLDVTGTLIAYKGDLGDYYCMAAKSVGLPCPDYKRVHEGFKIAYTKMAKEHPCFGFAEKIPNIVWWKTCVRDSFVKAGYDYDEETFEKVFKRIYSTFGSAAPYTMFSDSKPFLRWARDEGLLVGLVSNAEYRYRDVILPAMGLNQGSEYDFGVFSGLEGFEKPDPEIYKIALKRAGNIAPEEVLHIGDSMRKDYVPAKSIGMHALLLDRFKTPDAEEWRKSGATVLPDLVAAQEWLTSDQSTIQ >KZN07691 pep chromosome:ASM162521v1:2:42770429:42773018:-1 gene:DCAR_008528 transcript:KZN07691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNGTKCPHPMKATSNGVFQGDSPLDYALPLVILQICLVLVFTRFLAYLLKPLRQPRVVAEIIGGILLGPSAIGRSTKYLHAVFPEKSMTVLDTVANLGLLFFLFLVGLELDPKSLRRTGKKALCIALAGISVPFVLGIGVSFVLRRTISEGVDEAPFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAIALSGSGRSPLISLWVLLCGAAFIGGCILVIPPIFKWMAQRCPEGEPVDEMYVCAILALVLAAGFVTDTIGIHALFGAFVVGVLVPKEGPFAGVLVEKVEDLVSGLLLPLYFVSSGLKTDVTTIEGLQSWGLLALVIFTACFGKIFGTFVVSLLCKMPFQEALALGILMNTKGLVELIVLNIGKDRGVLNDQTFAILVLMALFTTFITTPVVVAVYKPAKKLDKAAYKHRTVQRIETKSPLRILTCFHSTRSIPTLINFIEASRGTGRRGGLRVYAMHLMELSERSSSMLMVHRARRNGLPFWNKVGSSDSTQIVVAFEAFQHLSKVSIQPTTAISRMATMHEDICGSADAKKVAMIILPFHKHQRLDGQLETTRNDFRQVNRKVLEHAPCSVGILIDRGLGGSSHVSASNVDSVMTVLFFGGHDDHEALAYGKRMAEHPGISLVVVRFVLDSKVTGEIISVDMTDFTNAEERAIDDEVLNDFKDNISNKKIKFEERVVDSAAQTVEVINEYSKCNMFLVGRVPEGEIARSLARKTDTPELGPIGSFLISPEFSTEASVLVVQQYHSQMSMHSLASLKSEDEGKDDESSDDLEDA >KZN05058 pep chromosome:ASM162521v1:2:19524964:19536805:-1 gene:DCAR_005895 transcript:KZN05058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFRVSPFRQSGLWRNSQRFNLYRIKAKAEQETEPSAEQNDAKNTKKNDIRTTSSGSSRKEEKKRGGWWGKSRKWEWKPIIQAQEIGILLLQLGIFIFVMRLFKPNIVLPGSDPRPHTTLVCVPYSDFLSKVNGNQVEKVEVDGVNIMFKLKDVQGSGMVGSGDAVQDSELLRSVVPVKRIVYTTTRPSDIKAPYEKMVEYGVEFGSPDKRSGGFFNSALITLFYVAVLAGLFQRFPFKFSQSTTAGQLRNRKSGSSRGAKETEPGESITFADVAGVDEAKEELEEIVEFLRNPDRYLRVGARPPHGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKAAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRTGREAILNVHVSKKELPLGENVDLGDIASMTTGFTGADLANLVNEAALLAGRQNKVVVEKIDFINAVERSIAGIEKKTAKLQGLEKGVVARHEAGHAVVGTAIANLIPGQPRVSKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAITEYGLNQTIGPVSLSTLSSGGIDNSSGASPWGRDQGHLDDLAQREVKALLQSALEVALCVVRANPTVLEGLGAHLEEKEKVEGEELHEWLKMVVAPAELAFFITGKQESALPLQTSPGQRLFDVQ >KZN06434 pep chromosome:ASM162521v1:2:32887635:32889400:1 gene:DCAR_007271 transcript:KZN06434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEKPASHCRFHDHPSLCSPYFCQSDSYDYPSLYPNLSSHVQFSPYSPGSIDYSYPPPYLFTDQQEDLKKQKSWCLSRLCETTKEAEALRQENINLQIANNELNKQFNNLLMLQSSSTLQNSRVAPVHEYVSAAPEPAAYPSSSLIDGFGRINIGGMAEKQVARNQEPDNSENLDVNRVSLPKSISVRSNGYLKTVQDVGSSSRGGGQVHVPNRIKPVKAAQRVYVRGGRREEKPLELQVYNQGVTKTELCNKWQQTGACPYGSNCQFAHGIEELRPVLRHPRYKTEVCFMVLNGEPCPYGHRCHFRHSLTDQEKLIAHQSKTL >KZN07283 pep chromosome:ASM162521v1:2:39690467:39693011:-1 gene:DCAR_008120 transcript:KZN07283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLGKVRNIPLLAFFLFLHMILSPSLAEPNQIFCSESEKYTPNSSYEKSLNNLLDLITLYTPKDGYYNSTFGSGGDQVYGQALCRGDVTREVCQDCVANASRAIIKKCGGDKEAILWYEKCQVQYSYSPGFASTYAAKFPDSNEHEERVVDHRDQYKKEVMRLMDSLAKETSGSKSMFRTKKTKSESTTIYGLVQCLRDITKISCDDCLERALGELYDNCGYSQGGTVFSRSCNVRFSVNKFYRETTDGSVKKTRKAVAIACGSTLAIVVLIGCYFFCRSKGMEKIIDDNSSQNVFLHSTGASARFVITDESNIVSGPDLTFLKFAIVKAATEGFSDCHKLGQGGFGTVYKGVLPNGMDIAVKRLSRKSWQGIEEFKNEIILIAKLQHRNLAWQLWNEGRELDIADALLTETCPASEVARYIHIGLLCVQEDPEDRPTMSSVVVLFSSEAIVLPQPGQPAFSVSRKASETAQSSITDISSKHLTDSVLAPR >KZN07230 pep chromosome:ASM162521v1:2:39293821:39296259:1 gene:DCAR_008067 transcript:KZN07230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGVDEGGINPHIRANHIKKRALKNKSLSISFNEKDLTDYVTGFHKRKKKRRKEGQLQQQEAERRKRIEKRKQRKLERDFVQNGEAPPTTDEVPDEGEEENEEEEIDKIATVSGTTMYDNGDVKVVVTTSEINDDEEELKSEKMEGDVPRLTTGSKEKQHKIPVSKKKPFKRVERRKSRPKPQSKREKRKGKIQSTKR >KZN06160 pep chromosome:ASM162521v1:2:30668978:30669307:1 gene:DCAR_006997 transcript:KZN06160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGQQKLKGMMKSKLVMSFYKASKPSPPPSQAGSVRSSLSTQKVATFTKQKSFGRSDGYVHGPDGGGGDRGVDNKASSYISYVKERRILEELVVTKNIGMKDQDKSTK >KZN05406 pep chromosome:ASM162521v1:2:23535923:23539169:-1 gene:DCAR_006243 transcript:KZN05406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIASSSWSRALLQISPYTFSALGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASQIYAPESMRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQASWPTKV >KZN05624 pep chromosome:ASM162521v1:2:25699091:25708255:-1 gene:DCAR_006461 transcript:KZN05624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGKKARKFAKKNLQSVLRKQRKTKTFFKKRPSKGGKDKGENEVEKTAVVVTGSVDDRAIEVTSLDALFTDSDVEMDASDSDGYLSEDNSCLHVAESDTPHVFEGNNDIGTLSTNNRKMQEELIMQRKKLDRLKKKDPEFVEFLRSYKKKEVSRMEEMYSDEDEENDHISQLLDEDDSDKNKSKVLSTLLVSSWSERVKEDHNDSALSSLLNAYRDACHFGTELSGPADDDLCYRIQNSEAFCNVIIFMLQEADNIFRGLLKISPTTLKKETILELKNTSKWKKIKPFVKSYLRSTLFLLNQVTDSQILALSLTRLRASTIFFAAFPSLLQRLIKISVHLWATGSGDLSSCSFHIIKDVAAVFSSSFLDTCLTRTYKAYIARCKVVEIADIQHIEFLKNSFIELCSVDVQKSCAMAILSIKQLAKILQHGLKTKNEEAIKSICSWQYANCIDIWVSFITAYVEDYNLKSLLYTTIQLVNGVAYLFPGPRYFPLRVKCIKWLNSLSRSSGVFIPIASFLLDTLEHNTGKEGGKNVKAINLTSSLKLPKQWLKSHSFQVECVFLVIEMLSVHFAQWSYHISFPDLATIPLIRLRKFYELTNVESVKRSVKRLIDQVEQNVEFVQKKRDEVSYSPNDQQNADSFLQLEKGSLNSPFTQYYRSVMEKAASRNLHMFQEISFPKRKRSEKGQTGNDVSDDLIGESGGKVLSGSAGTINSKRKRQRVPKV >KZN05095 pep chromosome:ASM162521v1:2:20147930:20148556:1 gene:DCAR_005932 transcript:KZN05095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYMKMLFLMLVALCTTTIPTGHARPLEKYTLYGVSSMPEASLRRLSVSSGPKGPKTSPVHVSVASEPKGPMGPKASSVHVASEPKGPMGPKASPVHVSVSSESIKRPSSAAPDTSPEHVASEPMANPLGDAPDTSPEHVSSESTTRPIAPKASAKRVFSVAKGGPAHVFLGPKSGPMDVEHTAAEPDPDHLHSVHTASEPAPGHLY >KZN07273 pep chromosome:ASM162521v1:2:39600955:39602535:1 gene:DCAR_008110 transcript:KZN07273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKQSESVATKSTMVLRPRTPAAGKKGTMVLRPRIPAAGEEKIEGKAKGKKTIGRGVIRIADSGKCEISRKRRGQAYENNSQTAEKMVRRVKGINKNFKETPLSIQSPEPKKNIHTTGSSMVLRPRTLKARAELAVKVDEKTKRKISMQVNKKNDGKKTVATGKNKIGNAIKIGTSAKRKLAADKGNTEIAGVTHKRNQRVKRKKTNIVVTNSVFPPELIMEILSWLPLKFFGSPMVVCREWYASIQDRHFIENQMNRNYDYTESKAREGYNVVYSCDGLKLEENTSTKKYCIRNPDTKQFLELPDPPSGSYVIMFVYVPLTLNYKAVSIYAEANNIQCCDLSVGNDELSWRLLKMPSTGYLEKKRKRFSIIPTNDVVHCVRVFGSDDDMVEEVVSLDLGTEKFTVTNLPKGQYKNWKKVWTINFKGKLALLDIIGSELCVLVLENYKKQKWGKKEPLVPLELMKTLEDEHGTIFPYTVDESDTLWFWVKDAKKYISYDIKTKYICFEHAYVHSLVRLKGMQPE >KZN07216 pep chromosome:ASM162521v1:2:39172178:39173929:1 gene:DCAR_008053 transcript:KZN07216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTMPNPFLAKSSQISLTKRPSTTHGFKNFTCKATNGEENPKDELIKSSRFDRRDLLVGLGGLYGATSLSSNPSAFASPVRAPDLSTCDEETVQQIIGNSATRCCPPLSKTMVDFKLPKPGTIRVRPAAHLVDKAYEAKFKKALELMKALPDDDPRSFTQQAKIHCAYCSYAYDQIGLPDELVSAHGSWLFFPFHRWYLYFFERILGKLIDDPTFAMPFWNWDSAGGMSMPSMYTDPKSPLYDKVRDAVHVAPGAMVDLDYGGTDEKITKEQQLKQNLTIMYTAMVSGSSSAKLFLGDPYESGKNVEQSGGGRFESVPHGPVHMWVGDRKQPPGLNMGALYSAGRDPVFYAHHSNCDRLWNVWKTLGGNRKDFSHKDWLDSEFAFYDENAQLVKVKVGDCLDSKKLGYVYQDVELEWLGKRPTPRVKRVLDKFRKKDVARAADFPVVRDVFPIKLDKVTKVLVHRPKKNRTKKEKAKDEEILVIEGIEVEVEAFVKFDVFINDEDEAASAPDKTEFAGSFVNLPHKHKHGKTMKTRLKLALNDLLEDLGAEDDDNVLVTLVPKPGSTCFVNIRNIKIELDD >KZN07683 pep chromosome:ASM162521v1:2:42712491:42714381:-1 gene:DCAR_008520 transcript:KZN07683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHLCGSELIGSRKLLPNLFLNSMHLVADKVSPQVCSCKGNLLHASYLSTAHNAASSAMKEKLMVDVYSFQMNGTNGASPTTYYSRRVLESMDDEYGGVVVNSEKLPSNPSVFASVLQSSLSQWKSQRKNGIWLKLPLEKSEFVPIAVKEGFQYHHAEKGYVMMTYWIPEGPCMLPENASHQVGVGGFVINEKNEVLVVQEKHTAPALAGLWKIPTGFIHESEEIFNGAVREVKEETGIDTEFIEVVAFRHAHNVAFQKSDLFFVCMMKALSNDIVIDNLEVQAAKWMPLVDFVQQPLSRGDRMFKKIIDICIARLGERYCGLSVHPVVSVFDGKLSSLYYNVVDAEDSNCQTTPI >KZN05486 pep chromosome:ASM162521v1:2:24295121:24295795:-1 gene:DCAR_006323 transcript:KZN05486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAAPGFDVEGVMTMKNQDVFLSEASFITREPNQWYREPYASNAGADSTADFAQSV >KZN07570 pep chromosome:ASM162521v1:2:41896213:41896929:-1 gene:DCAR_008407 transcript:KZN07570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVYPCKPASSSSCCTQSNSAKTYTIWMKSLVANGNGFTVYDSCGKVVYRIDNYNNKCCREVYLMDLHGNVLFSMFQKKLFGFGQWNGYNTDARAKNEKPYFEVHNTSKFLKRRETEYHVNMRYDDTSFYKIKGTEGKSEFNISDKNGRVVAEVRQKQSSSGVLLGQDVLSLKLESGLDDDSFVMALVAVHGLLTRKM >KZN04156 pep chromosome:ASM162521v1:2:2677526:2678759:-1 gene:DCAR_004993 transcript:KZN04156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRQEQVIKKGPWSVEEDAILLNFVKKNGPREWSSIRSNNLLPRTGKSCRLRWVNKLRPDLKIGCKFSAEEERVVLDLQARIGNKWAQIATHLPGRTDNDVKNFWSSRQKKLARIKHSSPGRELKSKGKGIAHKTQNLSAYKSNAIDQVAEGPSSQCSRSCSSETLKMVPIPDPMTSSPLHSLENDKTGLISSTEAQFLIEFPDIPQQLELPLLPGNNGMELIGDDEALMMNSGLFLEGPKDYKYCGDELESPDCFLDDFPDDMFDFIEKMPSQSPP >KZN05702 pep chromosome:ASM162521v1:2:26447826:26448199:1 gene:DCAR_006539 transcript:KZN05702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKGTFFGNYKPRNDILGVVEKYMNKEMVVEKFSTHKVNLSDINKAFDYMIRGKAQRHRHRCLGKANILEA >KZN04901 pep chromosome:ASM162521v1:2:17729095:17737596:1 gene:DCAR_005738 transcript:KZN04901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDSSSKFTKNARVEVTSEEEGFTGAWYLAKIIDPFPRKRPNQFYVQYETLLDENSAKPLKEFVNCSLVRPIPPQEIQKIQSFQLDDAVDAFYKDGWWTGVITNVFEGNSRFEVTFSNPPDLIVFPAGDLRLHRDWINGKWTRPKKNQVSYSPCNSRITGLLFSIGKKVEVSFSRVEHSEVWFPAIVQEDTGNGSFLVEYQCLGKNGEPESLKVVVDSLHIRPSPPQLKGKNYDLLEKVDAYIEFGWWSGVLTKELPDNKYLVFFNKMKKERLLGQSEIRPHMEWKEGNWFSTSQDISTTLDYQGLAKSDNLNVHQTEARTADFLNSLDIRTEWVTPSKEMEHSNNSNLPILPKDRLYSSLVKASGDDIHLISNSTNGGARTSNIEQSKFNLPSENFSRGKRARTKRHKVDEPEYHAPQHSKRSVGRPPKSRIDKGFDELEHYTSEQLGRNSKVLPIALISNPETQGDKNGYAVNCTEENFVQKDSVTEVPITRNPEKEVIEGSQAEILSHPAEVVLDLSGGEHLVHNPPVEGIKEADQLERQVESTGKRKRGRPPKLQKSLEVGQLNGGKNLAKGPEVAMPNGGVTAQNRSLGEVVFPVVVGLKAKKVDGSVNSKEMIGVDKKILNGLVDKKSKSSSKTEVYPAKKDKLQSKKGIQVSVGKQARDSSKRGRRTPIIDAESPNQDSEDLSAKIVTEVDGKGSSVKEAETSTVRSSLHMSDDQPLRMWLDCPKTADATSVSQGRNVEKQCITNFSKDIVVLPSQCDDQNWPFAKTNYSLWNSLESEEAFRLVPQTPHFQSLFSQRESSREGAAIASMVNFSNVFKMASNLQPDCPRNTIEDALETLLDLENDGFMVNVVRDRFLQLLSAKDKVEGFVAKAKETTDQIEEIDHDQSMIDLNIKDIQNRIRLLQEECALALSKKAEQYSTIAHLKSKVSGLNDSIRNAELEFTALVATPWQV >KZN04342 pep chromosome:ASM162521v1:2:8564314:8564765:-1 gene:DCAR_005179 transcript:KZN04342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMETYKGHTTTWQSDLNDEKHGQQSQVIKLRVKYNNPILSSQLNERKSDVLEAAKALCVDIASKKLTNFVIQSSQQSQEEEITKLSKPTTQKKKKDIDHSLEEDPE >KZN05718 pep chromosome:ASM162521v1:2:26625723:26626957:1 gene:DCAR_006555 transcript:KZN05718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAEVNSLHDSLNARISALEKLKEAEATKQADAAKEVQATNQVEATNQVEATNQAEAAE >KZN06456 pep chromosome:ASM162521v1:2:33049220:33049618:1 gene:DCAR_007293 transcript:KZN06456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSKQITFAFLISIILSYQTTVTSQVCPEPCRFSPPAILTPGPPAGSNNPRSPSNLTNAPPAIPVPGPPAGSNSPPDLTNAPSPPDGMVPWFPYYYRRPLPGSDQSSSPSAHISGWTALIICTSYLLVFA >KZN04509 pep chromosome:ASM162521v1:2:11992544:11995925:-1 gene:DCAR_005346 transcript:KZN04509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFTNGDTKDEQDAVVSRSSKVSWARSLSIASSSYDTRRSELDSESRDFTDSFGFYEFLGQHRANDLRVFSFSELKSATRGFSRALMLGEGGIQGHKEWINEVSFLGVVNHPNLVKLVGYCAEDDERGMQRLLVYELMPNKSLEDHLLARIPSPLSWISRLKIAQDAARGLAYLHEEMDFQLIFRDFKTSNVLLDEDFTAKLSDFGLARQGPAAGSSHVSTSVVGTVGYAAPEYVHTGRLTAKSDVWSFGVVLYELITGRRAVERNLPRSEQKLLDWVRPYVSDSKKFHLIIDPQLNGQYCIRSAQRLASLANKCLTKQPRSRPKMSEVVLMLGNIISDTPEMQGSSESVKESEDEAPSEEFESGKQENNFRRRVVEFREMVSFRNKSIGKFDWKNWTPGIIKTR >KZN06977 pep chromosome:ASM162521v1:2:37290382:37295388:-1 gene:DCAR_007814 transcript:KZN06977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCFDFALVVYFKMLSYGTLPDKYSFPYVIKACSGLHAVKLGKLVHDMVRLRGFELDVYVSSSLIKLYAENGCILDARCLFDKLPVKDSVLWNVMLHGYVRYRDSGNVVGLFREMRYSDVGPNSVTYACVLSVCASEMMIELGSQIHGVVVRCGLEMDSPVANTLIALYSKSCWLSDARELFDTIPEIDLVSWNGMIGGYVLNGYMLEALDLFRKMVSACVKPDPITFASFLPLVSETSSLNQGKEIHCYIVRHGVLTDVFLKNALIDMYFKCRQVEMAKCVFSCNSAVDIVIYSAMISGYVMNEMNVDAIAVFRSLIHEKMKPNVVTLASLLPACAGLAAISLGKELHGNILKHGLEGSFHIGSAITDMYAKCGRLDLARQFFFRMSERDAVCWNSIITSCSQNGNPVEAIDLFRRMGAEDVNYDGISISAALSACSSLSALHHGKEIHGFMIRDMVKRYLISDIGRYNQLTERSSYIVALAEKGRNHRMGSWENSVEIITGPGSTTQISWAI >KZN04894 pep chromosome:ASM162521v1:2:17663304:17666679:-1 gene:DCAR_005731 transcript:KZN04894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATGLDYWLQWQVLVCALIVFIPTIISLNLIIKTSRRQVKQQQLKSCDLWLPCWKNLHPKWLLLYRVIAFCFMALLLCQTVVSFGPFICFYYTQWTVALVLVYFAIGVIVSARGCWTYPKEPLANNAEREMLVAKDYSDSAHESTTDIKAVGENSIHQEAGALENLMQSIYHACGGAVMLTDLVFWFLLLPWGNDSLTMLLGLLHSANVVFLVLDSAFNNHPFPWSRFTYFVLWSCAYIISQWIIHFCGVVTWWPYPFLELDTPWAPAWYFGLALFHIPCYALYSLLIKAKDFILSRMFPRAFLRFYD >KZN07789 pep chromosome:ASM162521v1:2:43592080:43593855:1 gene:DCAR_008626 transcript:KZN07789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIWVLVVLMVGVVNLRGAECRKAKKRVENTLEYSAISCRAHSASITDYGGIGDGKTSNTKAFREAISHLSRYEADGGAQLFVPPGQWLTGSFNLTSHFTLFLHKDAVLLASQEISEWAVIAPLPSYGHGRDTAGGRYISLIFGTNLTDISNLTFLNSPSWNVHPVYSSNIIIQGITILAPVTSPNTDGINPDSCTNTKIEDTYIVSGDDCIAVKSGWDEYGISYGMPTKQLIIRRLTCISPYSAAIALGSEMSGGIEDVRAEDITAINTESGVRIKTGVGRGGYVKDIYVKGMNLHTMKWVFWMTGNYGSHADSHYDPKALPVIQGINYRDITAENVSMAARLEGISGDPFTGICISNATIGLAKKAKKVPWTCTDIAGVTSGVLPKPCSSLPDQGPEKVGMCSFPTDNLPIDDVEIKKCSYRMG >KZN05524 pep chromosome:ASM162521v1:2:24691005:24691478:-1 gene:DCAR_006361 transcript:KZN05524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSGISSKKGPSKPRGRPRGSKNRPKQEPKRENMAIKPVTLEVPVGVDIIDWVTNFAKSNQVCIAVTTGFGVVSRAVLTNVLSQAPHEEYKEYLAVNNFSGTYVFPPLAQATLSFFNAALSRVNGQLIGGAAFRMVTMGKVVLSAYVFRNPHVFTA >KZN06675 pep chromosome:ASM162521v1:2:34925612:34928354:-1 gene:DCAR_007512 transcript:KZN06675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGTGEGARRRSSNNKNSVPVQEKTNKKKSGENGEKSGSALQAPEKLRSLSEFRVKTCRGWPLWLSEVAGDALRDWTPRRANSFQKLDKIGQGTYSNVYKAKDLITGKIVALKKVRFDNLDPESIKFMAREILVLKKLDHPNVIKLEGLVTSRMSSSLYLVFEYLDHDLAGLAAIQDIKFSEPQVEQLHKIFKLCGSPSEDYWKKSRLPKATLFKPQNPYKRCTTETFKDFPPSSLPLIETLLAIDPGERASASAALNSQFFSTEPYACEPSSLPKHPPSKELDMKRREEEAKRQRGSSDKAEAVDENTKAVPAPEANAELETSLDRWRVVTQAIGKSKSEKFPPPRQDAEAGNPVDKSHNFPVSFGAAGSSFRSTIYDPKSSRSVKDTGTMRVKNKKDHYPRRAPSRRFLRTFIPSSVNISRDLRYKN >KZN04158 pep chromosome:ASM162521v1:2:2722819:2725999:1 gene:DCAR_004995 transcript:KZN04158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDCCGRLEIREFVHGETPLKKRCECLQSDDELVPSFPALVARLWSSDGDSQLEATTQFRKLLSTVGTPPNVEIPSGVVRHFVYFLTQDNHQRLQFEAAWALTNIAFESSENTIMVIEQGALPNLIRLLHSPSDTVREQAVWVLGNLAGDSPNSRDLVLAAGALLPLLALLNGNEKHSMLGVATWTLLNFCRGKPRPPFHQIRPALPALLRLVHSTDVAILTDACLALSHLSDGSCEIIQAVIEAGFCPHLVHLLGHFSPWVLIPALRTVGNIAAGGAVQTQDSEIRRLENHVGAVEGLKTQCIIEHSVLPSLLNLLMIQDHMTDVKKEACRTVSNIAAGNKENLQVIG >KZN06100 pep chromosome:ASM162521v1:2:30098202:30102249:-1 gene:DCAR_006937 transcript:KZN06100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVTDLDKLNVELKSQDTDDVCNGKRKRVCLLKSLNWARRVANDPCNLRYGSIPESSKWKHFGTNFGWKLFLSLRQAMMVERNGDSSFVQSIWQKKQKMLPTMYEETKRCSQRISSAKTSEAVKKSQAQHFAQSTSSNAKSGFWLESCSKIEGDAQAEIPEWMENFSSDSKWLGTRIWPQETGVDSHCLIEKDPVGLGRRDLCDCENKGSLKCVRFHVSEERIRLQHELGTAFYQMQIDKMGEEVALSWTNAEEMNFVAVVVKSKHLRAKRKNSESSSEDDEGFWKELLQIFPNKTRQQLVSYYYNVFLLRQRALQNRSTAVEISSDDENRV >KZN04615 pep chromosome:ASM162521v1:2:13524184:13552062:-1 gene:DCAR_005452 transcript:KZN04615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPASFSRETSVVVVALETSEVYIITSLSSRADTQVIYVDPTTGALVYHGKPGYDIFNTQKQAIDYVTNGSKYLCRSVAYAKAILGYAAVGSYGLLLIATRLSASIPNLPGGGCVFTVTESQWIKIPLQIPQPQGKGELKNVQELTELDIDGKHYFCESRDITRPFPSRMPLQNPDDEFVWNGWFSISFRNIGLPQHCVVLLQSRRGKVCINLSLPMAAWPTLRVGFAECRSFGSLGQHEGIVALTARRSRLHPGTRYLARGINSCYSTGNEVECEQLVWIPKKSSQSVPFNTYIWRRGTIPMWWGAELKMTAAEAEIYVSERDPYKGSAQYYQRLSKRYDTRDIEIVGGTEKKSGLVPIVCVNLLRNGEGKSETILVQHFAESLNHIRATKKLPSARVHLINYDWHASIKLKGEQQTIEGLWYLLKAPTGSIGIFEGDYLPSRQRIKDCRGEIICNDDLAGAFCLRAHQNGVIRFNCADSLDRTNAASYFGALQVFVEQCRRLGISLDSDLAFGYHSANNYGGYVAPLPPGWEKRSDAVTGKAYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILSPIHQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFSKFSAAQNMKITLQRRYKNAVVDSSRQKQLEMFLGLRLFKHLPSIPIHPLHVPSRPFGSLLKDVASMVPNPDDGVSLLSFKRKDLIWVCPQAADVMEIYIYLGEPCHVCQLLLTISHGVDDSTSPSMVDVRTGSSLDGLKLVAEGASIPQCVNGTNIVIPLPGPIRAEDMAVTGAGARLNGQDAPVLSLLYDFEELEGELDFLTRVVALTFYPAVSGRPITLGQVEILGVSLPWRGIFNREGRGARLCEGTQKNSGDANSSVYSTETNPFSADLCNEKTSPPLEPYNSVNSTVDLLSGGDGYLESSSPPVIRDDVHERGDLLDFLDDFVQQTVQSDSKSIHMQKGNIPEDSAYQYLNSYRLLAGQHLEKKLGFREAMKLEIQRFRLNLSAAERDRALLSIGTDPATINPNVLLDSSYIGRLCKVANVLALLGHSTLEDKSTAAIGLEITGDNSIDFWNISGIGESCSGGMCKVHAEGGSPAHAASEFPSSNTSQDVFVCSDCRRKVCKVCCAGKGALLLEAYNLKGVSSVSGQGGSAADLSTNRSVTLEGVICKSCCHGIVLDALILDYTRVLVSNRRSARADEATHKALKRVIGNFSNSLEKSQSSNSGGITKSLNQLFAGEESLAEFPFASFLNVVDTAPGSAPLLSLLAPLDFGPQHLYWKAPQSTSSVEFVIALANLSNVSGVTLIVSPCGYSMMDTPIVQIWTGDKVNKEERSCVGKWDVRSMTASSSEIYGPEDYSKDNQAPRNIKFSFRKPVQCRIVWVMLSLQRSGSSSVSLDENIDLLSLDENPFAQLDRRASLGGPIDSNPCLHAKRIFVVGRPLKNDAGVTSSLGSDVRSWLAKAPPVTRFKVPIEAERLMDNDLSLEQYLPPASPMLAGFRIDGFSAIKPRVTHSPCSDVNLWEPSSFLEDRLISPAILYIQVSALQDSHNMVTIHEYRLPEVKAGTAMYFDFPRQISSNRVCFKLLGDVAAFVDEPTEQDQAEFTVRPVATGLSLTNRIKLYHYADPYEMGKWGALSAI >KZN07748 pep chromosome:ASM162521v1:2:43316019:43317136:-1 gene:DCAR_008585 transcript:KZN07748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQLVPIGQKPRMLKDFLADNSHSKRVSFFQDNLNMTFSNSGFSKFLIKYLPFTTNIKPPSILPRSITKRLSKSRSVSRNVNMKELTAKVKVKDILRWRSFRDLAEVESQPLNFSSSPHHCTTVTTTTGSTSMTSNSGRSSWCDSDFTAEDLPSWCSNSVTPKGKWLCDEEKEQNSPVSVLDCFSEEDEESFSYFHQTPRRKQAGTTELFVNKTHKHEEPENRAEEKAMQLLNQVKTNISGYLEVEEEFLLLDFFSEQLTENKKGDYELTKVANEWIKGEDEGCLEWKLVGKKEFSIRDMERGVKWNKFDEDEQELGLEIEKQLLNCLVDEVLFDFLDL >KZN07252 pep chromosome:ASM162521v1:2:39428617:39429567:1 gene:DCAR_008089 transcript:KZN07252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEYDRLAQNHAPHSKLARLRLFLFPTDADSRNSSISSLSSLLTGSAKREHWFFDALNGRDASGLDRVGSEVSSIVSEVPDYLFGLENADDPTRLKTRRVLNDNASNSDPGSPAPIVSSPFCSTSSGLGPTYMPPPDLRPVKTRPEMQVFEPKQSPVEANESMDPKFVQQPGYGDNPMWHYGPGGQYPNPGVQNMPMYYLPGSGPQPGNIGIQQVPMQAQFVQRYSAGHNQIPVGLHQQNPGMGPVPGMGQVYARERTMNPYDVRRTPSGVSQPQQVYYEVGNAGAIPVYSRMVGPGGEEIQAAGNELNPGRVLQ >KZN06925 pep chromosome:ASM162521v1:2:36900775:36901863:1 gene:DCAR_007762 transcript:KZN06925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTKPTNQKRLDSFDRESGNLHGRHTIKDGHGLGWVDILRLAFQSIGIVYGDLGTSPLYVFAGTFPDGIKHEDDILGAFSLIFYTITLIPLIKYVFIVLKANDNGDGGTFALYSLICRYAKAGFIPSQEAADSDVSNYELETPNKRLKRASKLKSKLEKSQFCKYVLLFATMLGTCMVIGDGILTPCISDIEG >KZN06711 pep chromosome:ASM162521v1:2:35216872:35218088:1 gene:DCAR_007548 transcript:KZN06711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTTSHHKLPIIDFTDQLKNTTPNSTESSWIMKCKNVTSALEDYGCFVGVFDTVSTELDTAVFDALKDLFDLPTETKVQNKSTKPLYGYVGQIPFIPLYESLGIDFSNTPQGIQSFTDVMWPEGNEDFSKTLLSYTKLVAEMEQMVVRMIFESYGVEKYHDSHLKSATYLCRAMKYKTPEKNQSNMGFVSHTDKDFVTILHQNQVNGLEIKAKDGEWFSVELSPSSFIVMAGEAAMAWSNNRMYSPYHRVTMNYGKEARYSIAQFSFMEGMIETPEELVDEAHPLQYEAFDHLKFLAFYDKEENRKLECAIKAYCGVCDNNKKL >KZN06574 pep chromosome:ASM162521v1:2:34118485:34121238:-1 gene:DCAR_007411 transcript:KZN06574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPCSSSGSNSAKLQKEYTTEKRNSSYVDLPAEVKKDATMKPSSSGIHQRQQGRSKGLQKDELVKYMSRLPNYLEKGEKVKAKPLNLGVLDWHTLENWQNHHTQRPSSRCSTSRSITSSSLWTDGTSNKYGRGDGTCSPSGQKEHRPLRSYLNISPIEGHSTHVESSARNATDYADSNAISTYPSGRQQNIPAESVFPTKFSELKLKECRRENLDSSDISKTRSSRDFKNDKDLQSSKGKLKVQGDVSTKESEKLQNHYCDSIDHAFPNTYKDVILPMPKDSTETDHSRPFNLTRKSQELEDSSATRSDVFYMDEVYSSELNPSISNSCGITHEIDGRDEPDMEQKFSCSPSCANKSVRQATNKILEEKQSLMPINLASTKTSTVLGMKSAKSESEVRNLSPSRRVSFTLNSIKRSCKSRISSDIPQLRSENVTARAALEIADPFLCPSDATCNQYNAARTEHSSALKRLLTPLLKTKVANFKLVDQSQKKSTSTPRISASFDEQGELSSVHPLKEKLDMTNLRAAKLDRTRCDKVRGSSTLQAYVQVSSKDDLPVFTFAVDNNSDILAATLRKFSSRKNDGSWIYTFFSIQDTTRKSGGWLNQGSKGKDFVPNVVAQMKVSDLAFSNIGEHPSVDQWSTREFVLYGVDLKYQICDTQANDELAAIVVKFPRNIVTCLENSTKNVRSSSYSSDLQEDRFSIESQELFSTTVLLPGGNHGLPSKGEPSPLIERWLSGGQCDCGGWDLGCKVKVFGNNNNKNQKPTGQFELFSQPREEAQQPTPFFTLSSLKNGIFSVEFSSKLSALQAFSICVAVFDSTIPSELQQASNVFEEKLQDDCGLRIPKLVGVHVPARFVSQPPHSPVGRV >KZN04605 pep chromosome:ASM162521v1:2:13427337:13438043:-1 gene:DCAR_005442 transcript:KZN04605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKAEEEDLNLNHSAIPTSPLSSIDSRFNQTLRNVQGLLKGRSFPGKVLITRRTDPIDYPTLPSPGIRSPSDTNSGPSYNMDDFVEREARSGSKTNTDSDNSKLKTSTSTNEGTTKEVQKSTMGARATDSARIMKFNKELSAPAVILDKLRELAWSGVPPYLRPSVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVARYYDVSDNERTDEEINMLRQISVDCPRTVPDVAFFQQAEVQKSLERILYTWAIRHPASGYVQGINDLLTPFLVVFLSEHLEESLDKWSMLNLSVEDISNIEADCYWCLSKLLEGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEQGLEFLQFAFRWFNCLLIREIPFHLINRLWDTYLAEGDALPDFLVYIFASFLLTWSDKLLQLDFQEMVMFLQHLPTQNWTYMELEVVLSRAYMWHSMFESCPNHLAS >KZN04645 pep chromosome:ASM162521v1:2:14114721:14115220:1 gene:DCAR_005482 transcript:KZN04645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHDDDMDWDLIPKGHTKGLEWNFTQQNEKTCYDSFHDKKSIAHRANKG >KZN05083 pep chromosome:ASM162521v1:2:20075753:20077773:-1 gene:DCAR_005920 transcript:KZN05083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQENRRVQRLVLVLFPLQGHTTPMLQLGSVLYSRGFAITIAHTRFNPPDPINHPQFDFLVSGTPNIEDVESINLNCQAPLQELELQKQTAYSQVAGVIHDSLMYFAATVANDNKIPSLVLRTSSAAFVQAYAALPHLLAEGSFLFQDSMSYEQVPQLNPLRFKDLPVSRENMALTLELIDIVSKYKRSSVMIINTTDCLEHLPLLQLQQLFQVPLFGIGPLSKVASASSTSFLEEESSCIAWLNNQTLKSVLYVSKGSLAMSDEKELTEIAWGLANSDQPFLWVVRPGSVSSSDWTELLPQGFREHVGERGLIVKWAPQKEVLAHFAVGGFWTHCGWNSVMESLSEGVPMISCPQFFDQKVNSRYITYIWKVGIELDGELERGDIERSIRKLMGGREGVEIRQRAIELKQMIDVSILEGGSTYNALNDLTEFLLTNKIPPN >KZN05926 pep chromosome:ASM162521v1:2:28409220:28411292:1 gene:DCAR_006763 transcript:KZN05926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPPHEYLSALLLVVGLILFTLADANTSPNFSVIGVVMVSGALVMDAFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLIPPMILTGELFTAWKSCAQHPYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGSGLLLISMGIILKMLPENKAPTRIPMSNVKNKHETSYTREEKKAVQIREDVDHEEERPLV >KZN05863 pep chromosome:ASM162521v1:2:27910619:27912538:1 gene:DCAR_006700 transcript:KZN05863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLMIPITLLTILSESSVSSSNTNTSSCPLNLDYVLTVPWDSSSCKNYHSNAKSNNNTCCQTLRSLYGVAFAQHLRETSLFRLPDLSTSISCLAVLQKNLNSLALPENLTTSICNNFEPERFVNTTNICAGIQTRQDWLDLVGPSTALVRACDQDFEHDPTLCDACLRAGFRVQAELFAVDRNESHTVGCFYYTVLYAAAMAPKFGPETKSALVCPFGLPMISEERSSRAPKLALIFGLTGAGLALVVVLCLCKLSWWWTNMRKKRRKPRRVLGYEFDVEGESRSRTSTRPKVGSKWFTIQELEEATGNFSPHNFLGRGQFGVVYKGILDDGTMVAVKRLMESDFQGDADFCNEVEIISTLKHRNLVHLRGCCVESIKHHHDYEEEDNARYLVYDYMPNGNLSDHLFFQSEAGIISKPLSWPRRKNIILDVAKAIAYLHYGIKPAIYHRDIKATNILLDIDMRARVADFGLAKQSSEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSTGSSRNLLLTDWAWSLVKEGRMEEVFDATLLENQKAEGEGDDNPRWMMERFVMVGILCAHVMVALRPTILDALKMLEGDVEIPAIPDRPYYAVEYTKISTLPGSTSIR >KZN06632 pep chromosome:ASM162521v1:2:34567977:34569551:-1 gene:DCAR_007469 transcript:KZN06632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSFSAHPLYDNEQNVQLSGLDSRSSAMADYPFVHLQNVNDVASTWIPTLSDETGSYKRLKRTTSMGEFLESTYNSLYSKGSVGSRSSSMISRTISTSSLNSLPGLHIRDHIKTYTQRYLAAEGVEEATSEITGPENGETREDGVADGMRLVQLLISCAEAVACRDKSHASILLAELRANALVFGSSFQRVASCFVQGLTDRLALVQPLGTVGLTAATMNIMDIASEKKEEALRLVYEVCPHIQFGHFVANLSILEAFEGEKFVHVVDLGMSLGLPHGQQWRHLINSLANRTGQPPHCVRITGVGLCVDQYQIIGDELEAYAGSLGINLEFSVVESSLENLRPEDIKLRKNEVVAINSILQLHCVVKESRGALNAVLQIIHELSPKVLVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDAMLPKYDTRRAKIEQFYFAEEIKNIVSCEGPARMERHERVDQWRRRMSRAGFQPAPIKMIARAKEWLGKREYCEGYTIVEEKGCLVLGWKSKPIVAASCWKC >KZN06625 pep chromosome:ASM162521v1:2:34515243:34516805:1 gene:DCAR_007462 transcript:KZN06625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKLVLVFLSLALVLGLCQSFEYHDKELETEEGLWGMYERWRSHHTVSTSLEDKHKRFNVFKANVEHVHRTNKLDKPYKLKLNKFAHMTNYEFRSLYAGSKVNHHRSSSSNPSGYMSALKDEL >KZN04136 pep chromosome:ASM162521v1:2:2521437:2522956:1 gene:DCAR_004973 transcript:KZN04136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSSDKREGETMIQVAESYMGELVHRSMVQVRFNNVKSSPTKFEDYSRNTTRLVINLDEEYSRKKVNYYFSKKRDKKCYRSILLLGQFGARSLPRSLGSHVANFRFLKVFSVEKYTNFSGAFSHINFGRALGALVYLRYLSVRGTNLLVFPSIQKLVLLQTLRLHTCDDMYALPWLSRDVLVKLDCLRHLYLPKFKVDVLVRKSKLRFNGLSKLETLENFDTTWCEVKDLRELINLRKLMVTVRGSCDILEEMMKNLDDIASSPSSCLSYLGVAISNCHLELNNGLTILKQLVYAENLNLRDLKIYGRIPEVGLIFPLQYVSTIGITSLTLASSCLEEDPMPILEMLPMLGVLCLFKDTYVGKEMVCSATCFPKLTSLYLQEFPNLEKWRVEKGSMPMLSYLRIERCNKLEELPEGLVFLKSLQVLDIFHMLQDFNDRLKRHDGEEGPDFHKISHVDRLIIDDQELN >KZN05810 pep chromosome:ASM162521v1:2:27426576:27427588:1 gene:DCAR_006647 transcript:KZN05810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEEAIVPMEGVEDAVPVTATEVAEDAAPPAEEPPTKKGKVAKETKPKKAAAPRKPRSAPAHPSYLEMITDAITSLKERTGSSPQAIQKFLEAKHKQLPTVFRKMLSYNLKKLEAAGKLVKIKASYKLAPARASAPAKKKPAAPAKKKAAAAPAKKKAAAPAKKKAAAAPKKKAPVTKAKAAVKPKAKPVVKPKAKAAVKPKAKPAAKPKPAAKAKPAAKPKAKAKPAKVARTSTRTTPGKKAPAKPVAAPVKKAAPVKKAAAPVKKAPVKKAAAPAKKGKSVKTPVKRTSARKAGKK >KZN05202 pep chromosome:ASM162521v1:2:21087257:21091313:1 gene:DCAR_006039 transcript:KZN05202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALKPSNPDVAGETSAMIRPEPFESDDDFAFRLQLLEAMTASMSFQPSSSTQSSSNAAVSSSSQTLALHQEILDLNLAHQEAKKSLDDYNRWIDDRKLAEQLQNQSEGEWDDLESFSEIGESSEGGRDEIFRVYFKGLLANDENYDSKGKSCVSKGKNCVLKPKVAGIGVAICDESDRLLLEISKPEKVMEGEWMNNRVVAARALIEGLSAALNLGLKNVVFFCDYFALHQMAPQPKVCTHDHTDKFVVCTVVFCEGQMDLGLECCLGVFATVKVTGRWSAKQDKLAVLLNKVKSLRKRFTTCRPSFVARNEVKFAFKLAREAIVSLTTKHAESSGAKLESCSICLEDVEICQIFSVDGCMHRYCFSCMKQHVEVKLLNAIQPKCPHDGCASVLTLDSCQKFLTPKLAKIMSERLAEEAIPVTEKIYCPYPRCSALMSRTDSLEYTKTLYPYVQDSGVRKCMQCHRLFCANCKVPWHNNMSCYVFKKNNFNQHGEDVKLKTLAAQNLWRQCVKCSHMIELAKGCYHMTCRCGYEFCYTCGSEWKNKRATCTCPLWDDDNIMDDDFDDDYDAFEEVDFFDSDDEDDYF >KZN07333 pep chromosome:ASM162521v1:2:40088967:40093540:-1 gene:DCAR_008170 transcript:KZN07333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKEKTIGVALFFSFLLFPFVSPDTKDGFVRIGLKKIKYDQNNRVASQLYSKDSESLKKASINKYHFSDTLGSSEDTDIVALKNYMDAQYFGDIVIGTPPQKFTVIFDTGSSNLWVPSAKCHLSVACFFHAKYKASQSSTYRKNGTSAAIQYGSGAISGFFSQDNVKVGDLLVKKQDFIEATKEPGVTFVAAKFDGILGLGFQGISVGYAVPVWYNMVKQGLVKEPVFSFWLNRNPQADEGGEIVFGGVDPNHYKGKHTYVPVTHKGYWQFDMGDVLIDGKETGYCGKGCSAIADSGTSLLTGPTTVITMINHAIGASGVVSMECKAVVEQYGPTIMDMLSSGVQPKKTCSQIGLCTFDGTHGVSTRIKSVVDESSRISSGLGDAMCSTCEMTVSWMQHQLMQNQTRDLILTYVNEACILLYCTVHYSLCDRLPSPTGQSGVDCGMISSMPNVSFTIGGKVFTLPAEQYILKVGEGQGAQCISGFTAMDIPPPRGPLWILGDIFMGYYHTVFDHGNARVGFARAA >KZN06195 pep chromosome:ASM162521v1:2:30893372:30894448:-1 gene:DCAR_007032 transcript:KZN06195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYNFNGRACTVEFASPQTERQMADAYRNTSQVRPQSQSQPQGRRSLNDNAVIITCRTNDPTGDFGRGRGLPRGSQGGGNWRHGPNWGIGTSTRPNMPNARGSVAGASSGGFMNPHPMMGAGFDSSYMGRGLPRPTFPGIIPPFNVNALDISGAFRPVNPGFFGGGMAAYGMGMMRFGNVSMAGPSSGMWNYANMGGGRVLQQQLSQSTSDESSSASEANAGGGSPEQHSQRTSESNIDTEVNTGGGRPEQRGPRTTRESSFGSEANTGGGRLDPRGQMTRDSSFEYRELRYRDRRQYREERDYYDNHRAYRDDHYDRGQTSARSKGKSRVMEEDHGSRPGDSYHGKRRRRTDDDAF >KZN04860 pep chromosome:ASM162521v1:2:17364999:17370354:-1 gene:DCAR_005697 transcript:KZN04860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSGQKRANALDFFTRKSHGESSLRTGLLDDSPEIELSDYHRAPSAGSESPSGLLNGDSLNVEPISDLDLFFERLYSYYCEKGLLCIVIKWIVELLSLGFTICFSGFFLLYVDWNGLRNAKCGMDAVESGIKPCDLAKEALHEHPLTPFTLSKAVIVGFFTQLKETLKIRQFYYNSLNVTDNEMQTMPWASVIEKVVQFQNSYQLCIVKDLSAHDIVMRLMRKDNYLIGMLNKGVLSFPLSKWVPGAGPVVKSGPVGVRRRLILTKTLEWTLNWCILHSMFDRNFRVRRDFVSDPKTLRKSLVIVGIAMLALSPFIVIFMLVYLFLKHAEQFYNHPSTASSRRWSNLSRWIFREFNEVDHLFKHRINGSVVHASEYLKQFPSPVLSIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAITDDHLVLDPQGAMSLVFQHTHYMPKSWRGRENSDIVRIEFETLFQYTGMMLLEEMASIFLTPYLLIFVVPERVDDILQFIADYTVDIEGVGHVCSFSAFDFQNHGNIKYGAPQNSTRGQRSSQGKMEKSFLSFQSSYPSWEPNSQGKQLISKLKAFREQKLHVQERISPYLPPRMQQWSPESRGNVDINNVFTREAFHNIPERGYQVGSLWLFDSDQKNYLNILEWYYTSEPHPANEAERDAPSRNFWMSSSPIHNEATHDEDWGKIFDSERSQSYLEASPAASFFRGSVLDHDDSANAAHLRTRSHWWDRSEAQGNNPQSSFLEPPTYNRNTDNYYDNISNRSIIEEEQDHSELIRSHNRLSRTFYLDDLEGGQFNLPFDDIYSRHSTSPEPDPLNLV >KZN07187 pep chromosome:ASM162521v1:2:38949650:38958622:-1 gene:DCAR_008024 transcript:KZN07187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRSVNSPAGSDDDNTNQNNNNSSVQRNQNSDEQPQEEQSDSNGSRSSSPAGDDEEYIIVHLPDIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPKYDALISALYPDIDKYEEEELAFHEEERTRYKQIQDSIAQTQRRQSEALGKKRSSARATAAAFVRRSQVYRNSRGRRIQREQEASDEENYANGRDRGSADRGDENDAEVNRDSLGASAGLVVNSEMLAWGRGGMRSNTRHSNQSAGNIKIARSNRLSKLLESLQDTVEEDALKIHLILMPLDEQRLPSLQQPHMRCEPTATVRKLCQYVASYTAAEVHEIEIFLVQQSNKDVLRILEGHETLAELRAMYSITQHDMRFAYRQKLPASMNSVRT >KZN05237 pep chromosome:ASM162521v1:2:21558319:21567013:-1 gene:DCAR_006074 transcript:KZN05237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAFKKVLENRQEECSSGTLVTAPNRMGTLKESSEVIVRGLHQVSGGGFYNLGSEDEAVEHLLTELVPEYIDAVRNVLQFDAFGLYNCFGSGPLPLPDNRHPESAADKPNISNMLHEKATQKQKTTQLSIVKSEGNSEGHHTMSSTTNDLPDTYEDYLLDAEYLECGTDMNPVPSRGHSTENLHLDQQCKDSSLSHCNVMYEGWCTSSKLVSEANENSFSPNKMTVDERISVSSEEDMILTSASNPLTGVFNFRTKPRSRHMRRYRHTNSNYLKTFSVEDTCFSSSVPDMKEKVIVNVVRGKYKPAQQRYTSRSIAKSSRFSSRTCEYSAVSKDMIRPKKSVRQCPREGLRPRVLIHGSGKKNSEAASTGVLSGVPLEQRSMEKGNEFEDSADSVSAGSDVDFNIGLCSVESQETSSEGSFLSAAKTHKSNNSKRQRHIPWSHEEVLQLVNGVSKHGVGKWTDIKKVSFPLSPHRSAVDLKDKWRNLVRASCRFSERKKRVGKGKYWETQYLPDDILHRVKELSCIYPYPRERNFKVSQNPSGPCKPAGTLTCGKKKRTTYTTYSCSPPITASTPAVLTLNDFRKGGDGGAESSCDNKFHENWELIVALSTGWFDNSSRCFKKIRIRASNGRSVEAKVVDECDSMHGCDKEHSYQRPCDNNIVDGSSAVWNALGLNKDLGRVNINWSMV >KZN04522 pep chromosome:ASM162521v1:2:12255948:12257087:-1 gene:DCAR_005359 transcript:KZN04522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMETSLQVYILLELIVTAGYERMSHEKRRNEATWSSLPVLVLWMIKDKLDIFDNMCVSAICHDWRTASLSYPKKQSIGAPWLMQQSKNLDSCLQDFISIARNKKVTLYLPESSNALVLFSRQGWILMRRKNFFVTRERLPDSVFLINPITKAKIDLPDVAESHEFFGSFSTHEGCPVSVVLISAGTFCRITLRTAKPGDLVWTKHAPVERTMQFEGCRGLISIGEQIFYYDIWGKMTIYNMATHVWKELLRPRDELEGVNYITEHDGKLIKLFADGDEDHTSYSISIYNDTDTSWERVKNDEMSNTSWYLSRLHNCFCAWESGLKVYVLHPSYGGLLRCTRTIRGYTVLSHDINDGDTQTLQVPYEIHSSAKWVDLG >KZN07395 pep chromosome:ASM162521v1:2:40573531:40574774:1 gene:DCAR_008232 transcript:KZN07395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVSSVVSQPRISFTKILLPPNTTSPESVAVDRRGNGPYVTLADGRVLKWLGPDFGFMDFATTSPDRTKDVCDGTLNPDLIFQCGRPLGFSFDTQTDNLYIVDGVLGLYVVGPNGGQATRLASSAEGITFRFLNGVDVDTFTGIVYFTSSSTTYDIRNITQPGFQPDKSGRLLKYDPSTKQVTVLLRQLYVPIGPAVSLDGSFLVFSEYGNKRILRYWLKGLRANTVEVLFTVPGYPSKIKRTSIGDFWVPVNIINPATFIATPMGFRFNSFGTVVQKVDFSSQYANQNITVLNEQNGVLRVGCRTVNFIGIYSP >KZN07532 pep chromosome:ASM162521v1:2:41630226:41631082:1 gene:DCAR_008369 transcript:KZN07532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYPRVSEEHQPLSSHTGTSTVLSTGFLVDPNLETSIPDTYRPPPAPIPYDANLGRPHTASANQSVEESNNGISHESTPNISNKSDIKVQSDTVLDSSKEGEDELQKSGELKKSNEPLVLEEEECCPTCLEGNGKWHDTNSIFELV >KZN05385 pep chromosome:ASM162521v1:2:23304066:23305445:-1 gene:DCAR_006222 transcript:KZN05385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDSEVCVLVVPFLAQGHLGQLLHLSRLISSHNIPVHYVSTTTHIRQARSRHQGWDLQTSENIHIHEFPIQPFHSPPPDPKASNKFPFHLQPAFDASVHLREPVCRLITSLSASARRLIIIHDELMAYSVQDFISLANAETYTFYSTSAFFYYSYFWDLSGRSNVTDDHILRQLPCMESCISSELFALAEKQQVHLKKCSGHLHNTSRLVEGHYLDLLQKLQNDKKQWAIGPFNPVEICKNSDEKRHKCLEWLDNQASNSVLFVSFGTTTSLSDEQVHALAVGLENSSHKFIWVLREADRGDIFTGEVRKAELPEGYEDRITKADQGVIVRDWAPQLEILAHASTGGFMSHCGWNSCLESITMGVPMATWPMHSDQPRNAVLITKVLQVGTMVKDWAQGNELVESLVIETAVKKLMASSEGDEMRKRAAEFSEGIKKSVTEGGVRCIELDSFIAHITR >KZN04085 pep chromosome:ASM162521v1:2:1749351:1752167:-1 gene:DCAR_004922 transcript:KZN04085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPPSTRFDLPYTRLLDEEAAHEQPFVPPPVLEQRPRQHFLKFFIVLLTAFFCVGLIFAFSKVVEFRGSLSLGKPRDDNVDEVLPRGVAEGVSLKTFRWPVWEMKLPSFPWSPKMLSFERTSFHFQPKKNWMNGPLFYKGWYHLFYQYNPDGAVWGNKIVWGHAVSKDFLKWHHLPVAMYTDKWYDVNGVWTGSATTLPNGDVIMLYTGSTNESVQVQNLAYPADPSDPLLVDWVKYPNNPVLFPPPGIGYKDFRDPTTAWLTSEGKWRITIGSKHNKTGISLVYDTVDFKNYKLLKGVLHEVKGTGMWECVDFFPVSVDGTDGLDTSYMAKGVKHVVKVSLDDDRCDYYSFGTYEPRTATWTPDNPNVDAGIGLRYDYGIFYASKTFYDPNKKRRVLWGWIKETDSENSDVKKGWASVQAVPRTILFDKKTGNNLIQWPVEEVEELRSNKKVFDKVEIKAGSVVPLEVGSAAQLDIKAEFEVDQKVIESLNKQQVVYNCSGSRGAADRGVLGPFGLLILADNDLIEHTPVYFYISKGPGGNLHTLFCADHSRSSVAGDVDKGIYGSTVPVLHGEKLTVRILVDHSIVESFAQGGRTCITSRVYPTKAIGENAKLYLFNNATGSKISASVEVHQMTTSTSAANTRTRTTHIMMLAFISLLLLWILT >KZN05506 pep chromosome:ASM162521v1:2:24468185:24471370:1 gene:DCAR_006343 transcript:KZN05506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDVEKFIRDPTRQQMEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNSVPDGTASKIIVRKTSECRLPMIRLADIPVNLPTENSGVVKVAIKQRPQKRSQGANSGNSHSKNNSSKSVEERKEEYNRARARIFNSATSSGGPAISESVPRVQDRFHHGHGSIGISRIEESSAPLVTDTNAGRSLINSAGSSRSSSSGIEKEPVGRFKSNNRVAIFRDREVERKDPDFDRSYDRYAQRFDPGFGFNGGPYAIQPLYSPVLNYSTEFPQLGSAPRPSIPSEHQPRPLPQHLPGPWVTSTTPTGIGYVPSEAMMTAPFSPNHVGNHSASALYLHSTQFPRQLPGMQFIHPHEQVYQTFPQSHHQQPDATFGLARPR >KZN06113 pep chromosome:ASM162521v1:2:30244026:30245849:1 gene:DCAR_006950 transcript:KZN06113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLLDKAKNYVSEKLAEMKKPEAEVLDVDLTDVSRSCITYDAKVSVTNPYSTSVPICDITYCLKSNNREIASGTVPDPGSLKGNDTTLLNVGLKVPHSVLLSLARDIGADWDIDYDLAIVLIVDLPIFGNISIPINSKGEIKLPTVSDLWSK >KZN07708 pep chromosome:ASM162521v1:2:42945043:42946097:1 gene:DCAR_008545 transcript:KZN07708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLPQYSSSRLYSLLFLFFRLLQVKANMFMYAGCSQEKFQPNSPFETNFNSLLSSIVTSSSHDMYNIFALGNDTSISTDSAIYGLYQCRGDQKLIDCSKCIKSAVSQVGLVCPYAYGASLQLDTCLVRYEHFNFFGKLDTSLRFRKCSRSVSNDVEFFRRRDDVLADLQRAAGFRVSTSGLVEGLAQCSGDTSASDCAYCLSDAVGKLKSLCGSAEAADVFLAQCYARYWASGYYDSSPSSNSDDVGKTVAIIVGVVAGIAVVIVLLSFCRKACY >KZN07730 pep chromosome:ASM162521v1:2:43127780:43130414:1 gene:DCAR_008567 transcript:KZN07730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHCIGVNLVKKNYKGKEGAVKDESKFGLGICADVRPVISVNQLQELHQQVVIFNYIASGVDIPSKLVGPIWKRVASSVLGSVGSGISSSFSSYIRPRGITYKSLMDPEPGRCRRTDGNKWRCRKDVIPNAKYCERHMHRGRSRKRVETSNSPLQLNTPKITYPCSSTPTITFSRKASQKIKSHDHDDAITKVSTPDPEKQQKKIPSSNESKGLTCSTTAVATFSANGKSKTAGEFVEKDKSSSRENSDSRNGVNTGSGDNKNKNDKGNSTNGDKNGGPVTPELSISAKSVVDSVTVSSFQVYEHRGVTADETQRCKRTDGKRWRCSKEAIPQQKYCDSHMHRGSKRCKSSSKAEIVTAASEALASISAVKCAQPTVVNLDSSTSGSSSDAATVTDTDENTSASHILPLPS >KZN04292 pep chromosome:ASM162521v1:2:6863051:6863365:-1 gene:DCAR_005129 transcript:KZN04292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKTDFFNDLQEKLLPCMLHHLDSSRFPQPKFMKVRSVTERPKQVGGSDCGVYVVKYVDAICGGIQLKNAVWDPTLDILTFRYRMAWKLNRGRARHISEWGIK >KZN04456 pep chromosome:ASM162521v1:2:11182207:11184656:1 gene:DCAR_005293 transcript:KZN04456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETKSKQISTMLRQGFISDSYLYTSKMSHSPSPPQSPFRVSPTHNPTRPSTTLLEMMSAEQARDSKQPHETRRGVQERVAKALEHAPFKNPGAWGLGYGDVKLTVTARDGFKVSMDVHRSVLSGRSRFFEDKLMRSGAAAVVEICDCDDVEVYVETVVLMYCEDLKRRLNGANVSKILGVLKLLIQVDIVVMQCRLTNALFGWPEWNEFRREWNEKPEPVEDKDAEGGSVNREKPEPVEEKDAEGGSVNRGKTPELIKKIVINCTGDSFVGWLFILIL >KZN06003 pep chromosome:ASM162521v1:2:29064206:29076267:1 gene:DCAR_006840 transcript:KZN06003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIVPPNKVAPDSMVGVSELVDRFYSTTRKVVMMRGCFDDTTGAVLVKSLAAKEPSALGLDSYYKQYYLCLAAAAATIKWIEAEKGIIITNHSLLVTFNGSYDHMNIDATSVHNLEIIEPLQSTLWGTTNKKRSLFQTLKTTRTIGGTRLLRANLLQPLKDVGTIKARLDCLDELMTNEQLFFGLSQALRKFPKETDRVLCHFCFKPKKVTREVLGIDNARSSQVLISSIILLKTALDALPLLSTVLKDAKSVLLGNVYNSVCENEKYASIKKRIGEEIDEDVLHARVPFVARTQQCFAVKAGIDGMLDIARRTFCDTSEAIHSLANKYREEYKLPNLKIPFNNRQGFYFSIPQKDVQGKLPSKFIQVVKHGNNIHCSTPELASVSTMTVSIFFNSNFPPFENEPKELMDAIRKEVSALTLLAEVLCLLDMIVNSFANMISTKPVDRYTRPRFTDNGPLAIDAGRHPILESVHNEFTPNNLFLSEASNVVIVMGPNMSGKSTYLQQVCLIIILAQIGCYVPANFATLRAVDRIFTRMGTGDNLESNSSTFMTEMKETAFIMQNVSERSLIVMDELGRATSSSDGFAIAWSCCEHLLSLKAYTIFATHMENLSELATIYPNVNILHFHVEVKNNRLDFKFQLKDGLRHVPHYGLLLAGVAGLPGSVIETARSITSQITSKETKRMGVNSEQYHGIQKAYRVAQQLICLKYSSQDEDSIRQALQNLKESYIAGTL >KZN07575 pep chromosome:ASM162521v1:2:41934309:41937626:-1 gene:DCAR_008412 transcript:KZN07575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQAHSLSPCTYLLNKSSSRALASSRKPFNGYGRDLCRQIKIRTSVFSAPEGVNVSTQKPKRKVVEHITLVKANEGLSDEEEKDMLDYLYTCQYQMGGIISISLGRISNKNPDNYTHAIFMRFQEKENLAKFYNNPFYLGVIKDHVSPYCHDMLHADFESEVEDDILSIFRKGEEFNYGVEFVLLIALDGTAEDALESLSKLTMEFPSLIVQSTQGLNFNVGSKELTHVVFMRFRSSEAFKIFSESSEYKDIWRSKLQPVIHKVISVNYSVDPVGTEVM >KZN07312 pep chromosome:ASM162521v1:2:39923305:39932086:1 gene:DCAR_008149 transcript:KZN07312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVGFDMGNENCVIGVAKQGGIDVLLNEESNREIPAVVSFGEKQRFIGLAGAASATMNPKSTISQVKRMIGVKFREMEEDLRLLPFETSEGPDGEILIHVQYLNEKHSFTPVQILAMLFAHLKQMSEKSLMTSISKCVIGIPSYFTDQQRRAYLDAAEIAGLHPLRLMHDCTAIALGYGIYRTDFPSKRRSNVVFVDIGHCDTQVTVAAFRPGHMEILSHSFDRNLGGRNFDEVLFKHFATEFKEHYNIDVYSNTRAAVRLRVACEKLKKVLSANPEAPLNIECLMDEKDVKGFIKREDFEKLSADLFERISHPCQKALLDSGLSMDKINSVELIGSGSRIPAVKKILASLFGTEPRRTLNASECVARGCAIQCAMLSPIYRVKDYEVKDSFPFSIGLSLDEGLINNSNGILFPKGCLFPSEKILQLHRRSTFHMEAFYADQNELPSEVHXFEKLSADLFERISHPCQKALLDSGLSMDKINSVELIGSGSRIPAVKKILASLFGTEPRRTLNASECVARGCAIQCAMLSPIYRVKDYEVKDSFPFSIGLSLDEGLINNSNGILFPKGCLFPSEKILQLHRRSTFHMEAFYADQNELPSGISPQMSKFIIGPLQVSDAEKVKVKVKVLLNLHGIVMIQSATSLIEGCRDNSSGKSNAHSTSEHIEGDNHVSSDVANGASAESYLPTKRTADDMREEEIQRQDIPVSESVCGGMTWAELSEAQTKELQLTQQDIKMERTQEKKNLLESYVYETRTKLFNTYRGYAAESEREGISSNLQQTEDWLYEDGDDESEIVYSEKLAHLKELVDPIERRFRDEEARVRAASRLFNCIEDYQKAVGSLSSDQKEAVLIECDKAQQWLLEKIQQQECMPKNADPVLWSSEINKKAETLDATCFHITRPKDSATKPDEVMDDRNEEDDNMSVD >KZN06818 pep chromosome:ASM162521v1:2:36069828:36078413:-1 gene:DCAR_007655 transcript:KZN06818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEIEEVEDIAKPSERPVYLKKFNLYRTHSYFYMIGQDKSGAVRRVLKISRLEPFELDITEDSTTYSEVDCKELLKRIDDGNMSTGGLKFVTTCYGIVGFIKFLGPYYMLLVTKREKIGVICGHAIYSITGTDLFSIPNSTVLSNMAYSKNENRYLKRGVTEEGYVANDVETEQIVITDAPDGCTQISSVVQNRGSIPLFWSQETSRWHMKPDIILSKKDPGYKATRLHFINLAKRYGNPIIILNLIKIREKRPRESVLCAEFHNAIDIINRDLRAENRLKFLHWDLSNFSRSKTMNVLLILAKLAEQALKLTGIFYCQAQSSSKRKEIFNWFSSELYEMMGDELALQYGGSAAHNKIFCQRRGQWKAATKSQELFRILQRYYSNTYRDAEKQDAINVFLGHYKPHQGNLELWVLDSDQHPPNARNGRPYFLQENARSEILRSLSDGIVLSEAKSPNENANVSKEEKIKPVFPDKMQDSNKGHSESAPDIFTCKIQASYFRFTPGLPDRQLFPKNSEEDLFSSNFIDLDWNSQSENLYEEEMYDGYPVVNHDGLSSDNLVNELNVGGESGCSMQGKETNTKDREHDGECSSNILADFSFARMMEKCCSFN >KZN07068 pep chromosome:ASM162521v1:2:38097202:38103200:-1 gene:DCAR_007905 transcript:KZN07068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEAANTLIGQVPEWNLINQDGILKLQRSWKAKTFMKGLEFFQAVADVAEAEGHHPDLHLVGWNNVKIDIWTHSVAGLTENDFILAAKISGLNLPHLLRKQAEYDDLKGCKMIGARLLPSIQCYADPELEAIRQRRMQELMAQRGGAANQPNPEQQNAQEEAKREADERRQMMLNQIVSSEARERIARIALVKPEKARGVEDVILRAAQMGQIVEKVSEERLISLLEQINTQTTKQTRVTIQRRRSVLDDDD >KZN04403 pep chromosome:ASM162521v1:2:10321682:10324413:-1 gene:DCAR_005240 transcript:KZN04403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNVSHHVCSGNPGIRIEDLAATRIQSAFRAYRLKGTVNLRAVTLGSSSKKQASVTLRYLHTWSRLQTEIRVRRASMAVEARIKQRKLENQVKLDTKLHDLEVEWSNGSETMEEVLARINLREEAAVKRERAMAYAFSHQWRASSNPALGNYELSKAIWGWSWMERWVAARPWESRALTQSSPKKVVSSKKVSNTAKNMKSPTIKSSNSVKSMSPSGKITTNPRKLSYGEADQEANTKKEQIVSS >KZN07758 pep chromosome:ASM162521v1:2:43384814:43386617:-1 gene:DCAR_008595 transcript:KZN07758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTGKRTQSGDLSQSTVRKRSSDTEESDVQGASPFGSCHQGVHGHSPQSQSTMSQSGVTKKRRGPNDLLFRKSDSGTMANQNKENQTPDTGVQRRGRGLSIENQIRSGICKTTGRNAMHDISNTPLETQTRSTAVHCDSSDNAMNDCAGQRIGESSTMTNKGTNRAMTFSDRYTPSGVKNLMEVFNEEDDQVPFNVPTDYNHGNGPNIGSYHRFY >KZN05638 pep chromosome:ASM162521v1:2:25874536:25879880:-1 gene:DCAR_006475 transcript:KZN05638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALPSQLKSLRRLSLSSPSLIRSLFTLSKPTGPFFRPPTTPSPFLSLPINHCSFSLFIPKFRAVIVTDAGCYCSLVRFMSSVPETVESNDGGVVEEDAQPSIPVRAYFFSTSVDLKRLVEVNKKNFIPPTSRMTNYVVLRFGDLKSELKGSDSSLCGRDCCYIVVFQYGSIVLFNVPDNKIDGYLNLVKTHASGILPEMRKDEYEVREKPTLDTWMQGGLDFIMLQYLNIDGIRTVGSVLGQSIALDYYVDGMVDEFTGINRDMEKSGTFKMEKKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAEIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQESLQNRKSDSLEWLIIVLIAVEIVISIYDIVQKSVL >KZN07520 pep chromosome:ASM162521v1:2:41511135:41513471:-1 gene:DCAR_008357 transcript:KZN07520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNHRIHQVRNVFIVIASVILLSANNVDGKKDIAPKSQGAEYAAINCRAHSASLTEFGGVGDGKTLNTKAFQDAIKHLSQFESQGGSELIVPPGKWLTSSFNLTSHFTLFLHKDAVLLASEVETDWEVIAPLPSYGVGRDTTEGRYISLIFGTNLTDVVITGENGTIDGQGRIWWDKFKKNEIKHTRPYLIEIMFSTDIQISNITLVNSPNWNVHPIYSSNIIIQGITILSDLHSKNTDGINPDSCSNIRIEDCYIESGDDCVAVKSGWDQYGIAFGMPTKQLIVRRLTCISPTSAMIALGSEMSGGIEDVRVEDITAINTESAVRIKTAPGRGAYVKDIYVRGMTLNTMNWVFWITGNYGQHPDNKTDLNAIPVVENINYQNVVAKNVSIAARLDGIPGHQFKGICISNVSIEMAPSATPPIWNCTDEVAGMTTSVTPPPCPQLAAQGPPACKFVTDKLPIENVKLKMCPVPTGGTAPGAQPPTPSASAPTAFRGVASTLPGGKAPSAAPEAPSVASVENSPLPAPGLNAPLAAPGLNAPLGAPAN >KZN05370 pep chromosome:ASM162521v1:2:23152788:23157934:-1 gene:DCAR_006207 transcript:KZN05370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKHKHTPSYDFPEELLSEIFKRLPVKYVLRCGAVQKSWYHLIRTPLFISVHSNYQKLTAHVNPKYLLFHDTSITQVKVRLDDAQCNEYCKFEYPLDLPTCLWNLQSNGLICLSNMFNENVGYNPDIYLWNPLVQKFRNVPDSPFSMFTFRETKWNALAFGFLPEVNDYVVVHVIKPISTAAPPCYLISNPDIGYEEYPHSVKIGVYSLNSNSWKEVCQDKVFVDYMSTDVSVFVDGTAFWVGYNNEDLCQLVMYFDTKTNVLGQVILPDDIIFRACQLENPLILPFGQSIAYFVEVGEDDASEDDEDYGSPHMDIWVLNKDTMGEFSWEEKMSVTLSENVWPEVLGIRNNGSTHEEQVQAMKTQIDTLLSSILFITLLHQQLAQTPCKVTRSQKLAMEFWFRSCSDESSNNELVKAQEEALLLLPRRDLVRSFQKAPSQKWRTVPKSPLSTFTFRETRWNALAFRFLPEFDDYVVVHIVKPSSTCELLAFDFLGFDPPVAYEQFPHTVMIGVYSLNTNSWKEMSQDKVFVGRVSSDTAVFVNGTVWVGFGSDELNQLVMCFDTKTNILRKIMLSPYIELFGRQLDSPLILPFGQSIAYFVEIDQMDLDEEGDENGLPHLDIWILKDDMMDGLSWEKKMSVTLGENVWAEVLGTRDNETLVFHDMDREN >KZN06097 pep chromosome:ASM162521v1:2:30081869:30084937:-1 gene:DCAR_006934 transcript:KZN06097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPDLQINKVEERRSFDEKFSRMDTKRLCELTSAADSLHFKSLVDLTSRALARMIEGKTPDEIRETFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYARKRKELINRQKLKNVEAEIEHEDDRSVEDLLSFINGKDGDSKVVRGSKNKKRDRKRKNQQKNPPPSCSSSNGNPSSNTGNKIQNKVLNGSHGTRDSVMDHDDFLSAFCETLRSHSIGDDKLTLEEEFDDCDVDDELDPALKEKLDREVEDFARRLNSDWPERMQEILSSGQESRPGPVSSTEINPLGIDHDHT >KZN07558 pep chromosome:ASM162521v1:2:41813946:41814464:-1 gene:DCAR_008395 transcript:KZN07558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKTALPKTAATKDHRDSAAKPVNTERNSRVNGRSRRIRLPAKCAARVFQLTRELGHKTDGQTIEWLLRRAEPAIIRATGTGTIPAHATVTYGSMRASGRSVAAPLSGSGVEPQGSEPSVGSGNVEYPERGYMSLLMDPMEEAELQHHEERLWVEISDEVENGNVIAQD >KZN04345 pep chromosome:ASM162521v1:2:8803089:8804830:-1 gene:DCAR_005182 transcript:KZN04345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWSNLDGKVVMVTGASSGLGRELCIDLANAGCKVVAAARRMDRLKSLCEEINQFVDASELAYAPRPGSIRYRAAAVELDVAGDSEAVRLAVEKAWKCFGRIDTLINNAGVRGGTKSSLFISEEEWNKVVRTNLTGSWLVSKYVGLCMVGALQGGCIINISSTAGLNRTQIHGSLAYSSSKSGLNSMTKIMALELGKYNIRVNSISPGLFPSEITENLMKKEWLKNVCARTVPLKTFLTSDPALTSLILYLSHDSSEYVTGNVFIVDAGFTLPGLPLFSSL >KZN06548 pep chromosome:ASM162521v1:2:33909413:33911424:1 gene:DCAR_007385 transcript:KZN06548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMKVGSSCLNLSQHSVPHSSSSTQTLASAMSSPSRRRSLTEGFLRCAHTLERSAVSGFSSKIYRTRSCEVPNLRGRTIRRGCSANMEAFSDEEFSKKIQELAMRFQLSDAADSTGSGVDESELELEAEGNRNQNQSPFHTSRVEPVEPPDWQDIIPHGIERKANSVELPLSLRMIKKKKQWKQGFVEVGETACTSVKKAFSSMVFIIRELQSYTLQMREVLFYEDLQGILVRVHKEMNASFVWLFQQVFSHTPTLMLYVMILLANYSVHSMASNTALAASTPLLSYTTEVSDMSKQKDVDQKFDSSSIKTYTVSSSSGKTTSVGGNNGGGGKFRSIASGTDGDGRFDGSISSEHQRTVVPDGPSSIGRTGEEESVSGQVTREEELSLWNSVVEEASSMQAVLRDESLDHETMQRFVSPVTAKIESDEYADYFKTELFYQKELLQEPSNALLLANYAQFLYLVAHDYDRAENYFKRATNVEPKDAEAMSKYASFLWQARKDLWAAEETYLEAISLDPSNSYYAANYAHFLWSTGGEDTCFPLSSPDTDSSL >KZN06700 pep chromosome:ASM162521v1:2:35103027:35105110:1 gene:DCAR_007537 transcript:KZN06700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEEKNGSTWARICDTCRSAPCTLYCRADLAYLCTACDARIHGPTGLASQHERVWICEACERAPAAFICKADAASLCTTCDADIHSANPLASRHHRVPVAPIPGCVYGPQTAKGAILGLGTQLDNGYLTPETDEVIADDDDESEAASWLLIHPAKDNPQQMTNGLLFGGEAVDEYLDFVDFNSCQDNQFTAQQQYDVPQKAYGEQYNEQQKYYVPQKSYAGDSVVPVQNQQMKTHQFQPPQQAQPKQNQNFQLDVEYETSNPGYCYPTSLSHSVSVSSMDVGVVPESTMMDISATHPRPSKGTIDLFSSQPVQMPTPLTPLDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRADADTEVDQMFSSTLMAETGYGIVPSF >KZN07653 pep chromosome:ASM162521v1:2:42465435:42465797:1 gene:DCAR_008490 transcript:KZN07653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHLLALVKLKLLATASHSAFTPLVPCLAYPFVLKLCNSLRFGLPQSRASVAQFSGLFLFRLGRIIFHGRREEALGNAGRWRRALRLIDEMISRATLQAGSQGSSGYGHSLNGIAVMAV >KZN06078 pep chromosome:ASM162521v1:2:29956759:29968028:-1 gene:DCAR_006915 transcript:KZN06078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSACRFLQPRVICGNEGTSYSFLESLNWRSSFDCKIIGNERLKWKGRSTKLMKLASSSRRELDSYRGCHHLRKSLGFSFGYRVEPLRGEVRPCCQGNDSVAYIEGNGNDRRVEYGKSSDEKNLTEGVDDSSEGNEELGKSEEVEVPSLDVLRELLQKACKELEIARLNSSMFEEKAQKISEAAIALKDEAAVAWDDVNSALNSIQEIVNEEASAKEVVQAATMSLSLAEARLQVAGESLGITKRKTSYKEKVTDSDTETDIVGDKSNLLREEEETFLSAQEDIKQCRTALANCEMELMQLQSKKQELQQEVDRLTEVAEKAESDASKAEEDVANIMLLAEKAVAFELEVAKHVNDAEIVLQKAQRTLSVSPPSYSESTTLQNASSQVSEGALNDEDEMSRENSVDSIVDRDKKVQQDTTLLVSEGSSDSQFDIQGQRNEDSRESEDSDLENGKVSTSQKETEEETEMSKNVVQNKKSESTKDLNQDSSLFNTPKALLNKSSRFFPASFFSFAGDGTEFTPASFFYGLVNSGRKQLPKLVVGLLLAGSAVTFYTTRTERVSQIFQQTDIMATSIDEVSLNTKPLFRQLRKLPKKIKNLMDKFPHQEINEEEASLFDVLWLLLASVIFVPLFQKIPGGSPVLGYLTAGILIGPYGFSIIRNVHGTKAIAEFGVVFLMFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGMITHFVAGQAGPAALVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAGLKAVVAISAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIMGTLGLLIGGKTILVALIGKVFGVSIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQMSSLLFLVVGISMALTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIILCGFGRVGQIIAQLLSERLIPFVALDVRSDRVSVGRALDLPVYFGDAGSREVLHKIGAERACAAAITLDTPGANYRTVWALNKYFPNVKTFVRAHDVDHGINLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEITAAINEFRSRHLSELTELCEASGSSLGYGYSRMMTKPKSPPLDLSDEDEVTEGTLAI >KZN05504 pep chromosome:ASM162521v1:2:24446813:24457820:-1 gene:DCAR_006341 transcript:KZN05504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAGVLKALVLTGTSQFPHRNSYFPAYNPVSGVNNLTSFGRKSQSIPERCIIVRANSQLKQGNGGEYSNWAKPMLNFASKNFLPLALVGGVALGLANPTLGCLADSYNLSKFSTFGIFAIAGLTLRTEEIGATAEAWPVGLFGLVSILLLTPLFSRLIIQLHLQPQEFVTGLAIFSCMPTTLSSGIALTRLIGGNSALALAMTVVSNLLGILIVPFSISRYIAAGVGISIPTKQLLKNLIATLLVPIILGKVCRESFKGLADFADRNSKLWSMTSAILLSLVPWMQVSKSRSLLMMVKPETCLIATGMGILLHLVLLVFNALCIQSLSAISGGSSSVFSKKQNVTALLIVASQKTLPVMVAVVQQLGGALGDSSLLVLPCVAAHLNQIILDSFLVSYWLQKEQSLDNVKTA >KZN06761 pep chromosome:ASM162521v1:2:35648338:35648656:1 gene:DCAR_007598 transcript:KZN06761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSGLRSTLLPLFLLAMVLSPALPAEAARLPHRELLGGGALCVACVCCTRPPPGKCCAKCCASPIVDQSRTASP >KZN05496 pep chromosome:ASM162521v1:2:24359847:24361398:-1 gene:DCAR_006333 transcript:KZN05496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNTNREDEQWMMSAGGVEWSRFDDKLFEKALVMFPEDMPNRWQKIAEQVPGKTAEDVRVHYDALLHDVLEIDSGRVELPKYPDDGFLGFQETGANQISFGAKAKQGDGDRKKGTPWTEEEHRLFLIGLDRYGKGDWRSISRNVVVTRTPTQVASHAQKYYLRQNSMKKERKRSSIHDITTNVDTQPIPAPSNFTNQGDTMGYQNVRLPVLEDQIGG >KZN04916 pep chromosome:ASM162521v1:2:17919154:17920430:-1 gene:DCAR_005753 transcript:KZN04916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSDHSRILSTNISKDMSEPEASKKQKIYQKRVVAVKIDENEYDKKQKSEGPPSDCWSWRKYGQKPIKGSPYPRGYYKCSTSKSCSAKKQVERCKTDASLLIITYTSTHNHQSPKEPKEVEDPKQSEDPKEVEDTKQSEDPKHDELKIENNITEEPKEEDDKVEEAVNVQEGEAVNVQDGVSTENITEFYYCQSPFTSNSDHQDMIATIKYEEERLGSPLTEKLESVVFEEKSEEPLCCPHLMTFSTDEYDFYDELGELPISSSLTRFMRSNFSEERILIQ >KZN04455 pep chromosome:ASM162521v1:2:11163104:11163376:-1 gene:DCAR_005292 transcript:KZN04455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMNTGRGQNKKNWSDEEDRALIETLQEVAVNINWKSEKGWRDGYLVRVEVLMAMKVPMAGLQVNPHIESTWKYLKRKYHAIADMRASS >KZN06244 pep chromosome:ASM162521v1:2:31323745:31326675:1 gene:DCAR_007081 transcript:KZN06244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKSILLGFLFCLLIVVFGNIEDNNFSDNTVHEYLDNLDIEEGEDVELFELPSWTSERGSKILVNVDGLGAVGDGISDDTQAFVEAWKQACSTPKSVLLVPRGRRYLVNATKFRGPCVDRLVVQVDGTIVAPNDPKIWDLNNPRIWLYFSNLRAVHFQGRGVIDGSGSKWWAASCKKNKSNPCIGAPTAFTIDSSSAVRVQGLTIRNSQQMHFTISRSESVRVSDVLVSSPEDSPNTDGIHITASTDVVIQKSKIKTGDDCISIVNGSSNIKMKEISCGPGHGISIGSLGKNNSTGIVTKVVLDKAFIRGTTNGLRIKTWQGGSGYVRGVRYQNVRMENVSNPIIIDQYYCDSPKTCQNQTSAVKISLIMYRNISGTSKSAKAMQFACSDEVPCSHIVLNNINLGRMNGTAETYCHSVTGFGYGYMQPSAECLTSSDRDLSIKRLGDDQFAESSPEHLTHTEL >KZN04621 pep chromosome:ASM162521v1:2:13618611:13620084:1 gene:DCAR_005458 transcript:KZN04621 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MGEIDRELACKTHVMIIPFPAQGHMSPMMQFSKRLAFKGVKVTMVVPLKLQDSMQILYDSPLISLECITFDFGKDEIPNDMQSYMGFMKLKITTVLPELLEKQENNGCPVKFLVIDSLFPSGVEMCHQFGLRGAPFFTQSCAVNAIYLNVLQGRLKIPFDKGLSNFSLPSLPVLDTVDMPSNVIGTFPDLWAYFSRQFSGLEKADWVFLNSFDKLETEEAKWLASHCPLTTVGPAIPSMYLDKKLPNDKKYGISLYKPEAEVCLNWLDERDPGTVVYISFGSVDKLSQEYMEEIGRGLLKSNSFFLWAIRDSEREKLSSDFISESSEKGLIVSWCPQLDVLAHRAVGSFMSHCGWNSTLEALSLGVPVVAVPVWFDQPTNGKYIVDIWRVGVRVPVDESGMVSREDVAACIMDAMEGDSKEEIRENALKWRQVAIEAMDEGGSSDRNIDDFISKLVSS >KZN05696 pep chromosome:ASM162521v1:2:26412803:26420187:1 gene:DCAR_006533 transcript:KZN05696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRETEMKEKLESKIGKLHSAQMDLIASLQVQVPDIVSEIDLSLKVISSYGGKPYTPLAKTPLPIPTKPPKIAKTQFKSVLNLKNEVVSQSSGAKQESFKGLSKSNEVPGGGDGERLSLDRIGGGGKSSLSIVRYMVAVCLLERVPFTPIDSSTVLMKLENNVSGSNEEKAALRELGGDSGGIVGVEKALRSIAEENGGVELEEFVVSGKSRVMVVEIDRVRLVKELPESKQQAVKNEGNLSQGQQAATIGGGGGEFNNNNNGMFGMGGPMGRAPDVWMGPGDPHFPGMPPMFPGSGGPGAMMGPRGGPRGMAGMMMHRPPMGPNGQFGGPSPTSIKPRSEEDDLKDLEALLNKKSFKEMQKSKTGEELLDLIHRPTAKETAVAAKFKSKGGSQVKEYCSALTKEDCRRQSGSFFACEKVHFRRIIAPHTDVNLGDCSFLDTCRHMKTCKYVHYELDSTPDISQVMMGIPQKTLKPQRAEYCSEVELGESQWINCDIRNFRMDILGQYGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGDPIVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGWMSLGNQLNGVRLVDEGLRARFKAAYPEVEVQPQSPPRASAMEVDSSAPQPRNMFKGGESTSTSAQFADDRAPEAAYAPDKKPVNVDAEMTS >KZN04622 pep chromosome:ASM162521v1:2:13620454:13625908:1 gene:DCAR_005459 transcript:KZN04622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRLEEDEKSALDSVGHADAPTPATHKTTKPFSHQLRTNTGTHIKRHPQHRKQSLDDNAMRCNISYGGSSDDDFGAYSATPDACRWEATSNNAGDEYQTMPEFMGNDSSAPSTFKAPIRAAVHPNRPTCVELRPHPLRETQVGRFLRTIACTDTQLWAGSECGVRVWNLTDAYEPGLGIGGRAKRGDEDAAPFYESANTAPALCMMADVGSKLVWSGHKDGKLRSWKTDQAMDDTPFKEGLSWQAHRTPLLALTISAYGDIWTGSEGGAIRVWPWEAIEKSLSLSQEERHMAALLVERSFIDLRAQVTVNGVCNITSSDVKVLMSDHFRAKVWAASSMSFSLWDARTRELLKTFNIEGQIENRVDMSSVPDQVLEDDQSVKLATKSKKEKGQSSFLQRSRHAIMGAADAVRRVATRGTGAFAEETKKTEALVVTADGTIYSGCSNGLIIQWDGNGVRLRDFQHHPCAVLCFCTYASRVWVGYVSGMIQLMDLEGNLTTSWVGHNCPVIKMVVGNGNVYSLATHGGIRGWSVAAPGPIDQIIRPELAKKELMYTSKENFKILVGTWNVSQGKPSKDALTAWLGAASNDVGILVIGLQEVEMGAGFLAMSAAKETVGLEGSSIGQWWQDAIGKVLGEGTIFERVGSRQLAALLIAIWVRKNLRTHVGDLDVGAVACGLGRAIGNKGGVGLRLRVYDRIMCFVNCHFAAHLEAVNRRNADFDHIYRNMAFIRSPNLLSNSSAGVSSAAQGLRGPNAVEINPDEGKPDLAEADMVIFCGDFNYRLFGITYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREALIKFPPTYKFEIGKPGLGGYDSGEKKRIPAWCDRILYRDNRTKQEAECSLECPVVASILQYQACMEVVESDHKPVRCKFNVDISHVDRSIRRQEMGKIMNSNETIRALREELRHVPCTSISPNRITLQSQDSCSFRITNKSGTDNAIFHVVCEGQATVKDGEEHLEYRSRASYGFPRWLEVNPASGVIRPDQTADIYVRQEEFHSSEENANGAAPGYLSEDNQDKEVVLLVIIRGSCSTESKTHRLHVRHCVPSSKSGTDSTKPRGSRKYKGNTYHRSGLRHGGSTDDDHKS >KZN05463 pep chromosome:ASM162521v1:2:24115847:24116929:-1 gene:DCAR_006300 transcript:KZN05463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLATLPAVVLLSVFLPLLLANACSPADHSALLSFKAALTEPYLGIFSSWTGDNCCNQWYGISCDPSTNRVTDISLRGESEDPIFERAGRSGYMTGTISKSICSLDQLNTLVIADWKAISGEIPGCLTSLPKLRILDLIGNKLSGKIPADIGNLNRLKVLNLADNAISGSIPGSIVNLASLMHLDLSNNQLSGELPEDIGNLKMMSRALLSKNKLTGSIPCSVANIYRLADLDLSMNQISGSIPAQLGSMPVLSTLYLDSNQITGEIPAGILSNTGINIVNLSHNALSGYLPDVFHSKTYFAAIDLSYNNLRGSIPKSLSKAMYVGHLDLSHNHLCGVIPNGIPFDHLDASSFADNDCRI >KZN06279 pep chromosome:ASM162521v1:2:31631523:31640886:-1 gene:DCAR_007116 transcript:KZN06279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSVDDAYMNSQLKRPTVSSRPEPSGQAQMNGGGSTQKLTTNDALTYLKAVKDIFHDKREQYDEFLEVMKDFKAQRIDTTGVIERVKELFKGHHALILGFNTFLPKGYEITIRDGEPYGPDPAKKSVAFEEAIKFVNKIKTRFQGDDQVYKSFLDILNMYRRESKSIKDVYREAHLLVEFAHFLPDSTGTASIHYAHTTRNPILYRDDRSLPMTTMRPIHKGISSHADCDISTDRADPDEKELARVDKEQRRRGDKERDKDRDDKDIDQDIKCSPQKRKNAHRVDDIVTDPYNKGMLEEGCSFFEKVKERLRNSEQYLEIYRCLDVFNRGIITRSELDSLVGNIIGRYSDLMEGFNEIINRADKIGGFLAGILSKNDDNMDRESKERDGLDKGVGSGNKDVSGNRISLYSSKDKFLAKPIQELDLSNCESCTPSYRLLPNNYPIPSVSQRTNIGVEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLDRINDNSIKTDSLIHIEDHFTALNLRCIERLYGDHGLDVMDVLRKNAPLALPVILTRLKQKQEEWARCRSDFNKVWAEIYSKNYHKSLDHRSFYFKQQDSKSLSTKALLTEIKEINETQHREDDVLLSLGAGNRRPVVPNLDFKYPDSDIHEDLYQLIKYSCGEICSTEQLDKVMKVWTTFLEPVLGVPSHPHCAEDTEDFVKGNSVVPGCGDSDGSPLGSAVVSNCKHSKPSRNGDDNTPPEYSSTSRAWLVNSGNGVKENGYHDPDHIAHKNNLCQDGNAQIIATAADITSRISKNEQLACSSVSISAGVEESHGRLEGGHTSGLCATPLKPGKAVADGLSEKLPSSEGGDGTKPVSSSNGAVPESIRNRKYNEENAGHNKIEREEGELSPNGDFEEDNFQVYGDSAAEATRKLKTGTTNVQKYQTSQKKEVCGGERLKTDVDAYNEGEESAHRSSDTENASENGEASRSESADGDDCSHEREVGEQEEKAESEGEAEGTADAHDNEGDGTHAPFSGSFLQSVKPLIMHVPSTTKEKKKISQIFYGNDSFYVLFRLHQTLYERIKSAKSSAEKNWKGSNDKTPNDLYARFINSFYSLLDGSSDNTKFEDECRAMMGAQSYVLFTLDKLIYKIVKQLQAVATDEMDNKLLQLYAYEKSRKGGRFVDTIYHDNARVLLHDENIYRIECSPAPLHVSIQLMDYGYEKPEVTAVSMDPNFASYMNNDFLSLVRDTKKPGIALTRNKRKFACEDEISVTSQAMEGLQIFNGLECKISCNSYKVSYVLDTEDFLCRIKRRRTNHLSGSNCDSANPSNASSDRAEKFNRLLCAL >KZN05251 pep chromosome:ASM162521v1:2:21657554:21659656:1 gene:DCAR_006088 transcript:KZN05251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIEGSPGSSMHGVTGREPVLAFSVASPIVPTDPTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKGDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFVIMLTAPTVFCMSFVADASGYIAVRFMIGFSLATFVTCQYWMSTMFNGKIIGTVNGTAAGWGNMGGGATQLIMPLLYDLIQRFGATPFTAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLGALQKKEHGWLHVIMGILVLTLGQDLPDGNLGALQKKGDVSKDKFSKVFWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFDLKLQTAGIIAATFGMANLLARPFGGYASDVAARRFGMRGRLWTLWILQTLGGVFCILLGRSNSLPIAVTMMIIFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSSQISTAMGLTYMGIMIVACTMPVTLVHFPQWGSMFLPPSRDVVKGSEEHYYVSEWTEDEKQQGMHQGSVKFAENSRSERGRRVASAPTPPYATPNHA >KZN05272 pep chromosome:ASM162521v1:2:21934548:21937748:1 gene:DCAR_006109 transcript:KZN05272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFMNNGYGMTETTSVISVENQLGGPRNTGSVGMLVPGVECQILSVDTLERLPPKQLGEICVRGPNIMQGYFNNPQATKLTIDKQGWLHTGDLGYFDEEGQLYVVDRIKELIKYKGFQVAPAELEGLLVSHPDILDAVVIPFPDAEAGEVPSAHVVRAPNSSLTEEDVKKFISEQVAPFKRLRRVTFIKSVPKSASGKILRRELVEQVRAKM >KZN07381 pep chromosome:ASM162521v1:2:40445925:40450951:1 gene:DCAR_008218 transcript:KZN07381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGMDKSPKDVKEAKEPKTPTSQEQVSSAAAAAGPVTPDWSGFQAYSPMPPHGYMASSPQAPHPYMWGVQHMMPPYGTPPHPYVMYPHGGIYAHPSMPPGSYPFSPFAMPSPNGVAEAFVNTPGSTEADGKVSEGKEKLPIKRSKGSLGSLNMITGKNSEGSKTSGAPANGGYSKSGDSASDGSTEEGSDDANSQNDSQIKSGSRQDSLEGVHLANGEASQNGSAHGLQNGQNASHTLVNQPMSTVPISAGGSAGVIPGPTTNLNIGMDYWGGPTSSAVPAMRGKVPSPPVTGGIVSGGARDNVQPQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENASLRAELSRASNEYEQALAQNALLKEKIGVPGEED >KZN05918 pep chromosome:ASM162521v1:2:28322625:28326711:1 gene:DCAR_006755 transcript:KZN05918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSGLNASSNLPDSGERAYSTAYSAQSGNLLGLHSTHGSYNIPNMSGTYASRNAAANGGPSSGVQQTAGSIGNGRFSMNNLPAALSQPSPGSSHALSGITNNGGLSPNLGNGGRLINSMGNLVSGANVGRNLSSAAGLNIPGAGSRLNLTAPQMVSLLGNSYSAGGGQLSQNHFQTGNNHLALLNELSRDHASFDINDFPQLTGQPNSASGSQGQLGLTRKQGVGFLQQNQEFSMQNEDFPALPGYKGGGGGSEFQSNMHQKEQLHESVMSMMQSRHLPVGRSSGFNIGGSFPSHHQQQQQHAQSVTGGGLSFLPGNNQDIQFNSSEVRSAGLPASGSRPSNLSNAISGVGSYDQLIQQYEHFQKQSQYRSGSTFRDQESKSGPALQGAADRFGLLGLLSVIRMSNPDLTSLALGIDLMTLGLNLNSSETLNKKFASPWSEEPAKGEPHFSVPDCFNSKQLPLLSQAFFSRFRQETLFYIFYSMPKEEAQLYAANELYTRGWFYHREFRLWFIRVPKMEPLVKTNTYERGCYFCFDPNSWETTRKDNFVVQYEMVEKRPVLPRQ >KZN07487 pep chromosome:ASM162521v1:2:41253296:41256503:-1 gene:DCAR_008324 transcript:KZN07487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFMSVPKKPSHGRNGYENPVVLASQTAFTVSEVEALFELYKSISSSVIDDGLINKEEFQLAIFENKKRDNLFANRIFDLFDVKRKGVIDFGDFVRSLNVFHPNASREDKINFTFSLYDMDGTGYIERQEVKQMVIAILLESETNLADEVIERILDQTFLEVDVKRDGIIDREEWLSYVTKNPSLLDIMTLPYLREITMTFPSFVFNSEVDEISK >KZN05248 pep chromosome:ASM162521v1:2:21645307:21647165:1 gene:DCAR_006085 transcript:KZN05248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIEGSPGSSMHGVTGREPVLAFSVASPIVPTDPTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKGDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFVIMLTAPTVFCMSFVADASGYIAVRFMIGFSLATFVTCQYWMSTMFNGKIIGTVNGTAAGWGNMGGGATQLIMPLLYDLIQRFGATPFTAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLGALQKKGDVSKDKFSKVFWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFDLKLQTAGIIAATFGMANLLARPFGGYASDVAARRFGMRGRLWTLWILQTLGGVFCILLGRSNSLPIAVTMMIIFSVGAQAACGATFGIIPFISRRSLGIIYGMTGAGGNFGSGLTQLLFFTSSQISTAMGLTYMGIMIVACTMPVTLVHFPQWGSMFLPPSRDVVKGSEEHYYVSEWTEDEKQQGMHQGSVKFAENSRSERGRRVASAPTPPYATPNHA >KZN04375 pep chromosome:ASM162521v1:2:9731830:9733114:1 gene:DCAR_005212 transcript:KZN04375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAPHKTTRKKQRGGLFLGRYEIGKLLGHGSFTKVYHARNIKTNENVAIKVIDNEKILKDGLIAHIKREISILRRVRHPNIVQLHEVMATKTKIFFIMEYVKGGELFGKDLKPENILLYDNGDIKVSDFGLSAISEQMKGDGLFHTLCGTLAYVAPEILGRKGYHAAKGFDLSGLFEEEGKHSRFVTHAPVPVIMSRLEEIGKVVGFSVRKKDCRVSFEGSTESFKGPLTVSAEIFELTPLLRVVEVRKKGGTTESAKSFVTVN >KZN05099 pep chromosome:ASM162521v1:2:20166353:20168415:-1 gene:DCAR_005936 transcript:KZN05099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTWSGKLMNENSSPKVSASSCTKDARASRRRKMEMCRARFVAGEEENSRGLKRRRLQMFSGGGGVEEGEKGELRREMSLVGKNEKEGFVVSEKCPRFGHSSICGRRRDMEDFVAIHPSFCSKEDGDSSGFHYFGVYDGHGCSHVSKRCKERLHELIREELEGKVALEPTEWQEALRRSFSRMDNEVITKKEAVVLATGCRCQLPSPESDAVGSTAVVAIVTPEKIVVANCGDSRAVLCRKGKAVPLSRDHKPDPNVHPPLAGGRVIYWDGPRVLGVLAMSRAIGDKYLKPYVSCEPEVTIINRTADDECLILASDGLWDMVSNQTACGVARMCLDGKVPLPANHSGSGEPCADASLLLTKLAFVRRSSDNVSVVVINLKKEGS >KZN06459 pep chromosome:ASM162521v1:2:33076748:33076924:-1 gene:DCAR_007296 transcript:KZN06459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFDRANYTTLPRVTPLQHLLIHNNGGLLCDILPVIRSYKERKVTRAGTPLCRLYIAE >KZN06921 pep chromosome:ASM162521v1:2:36882723:36884977:-1 gene:DCAR_007758 transcript:KZN06921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKTREPKEENVTLGPAVRDGEIVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KZN05064 pep chromosome:ASM162521v1:2:19573568:19578450:1 gene:DCAR_005901 transcript:KZN05064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTPSPQITRHGQINTQNSNPQNGYLGNKNQRKPHVLKAFARKVSKVLSFISCKGEPNSSTLENDVHHHGIEKDFAASEDTSSKSSSRNKFINSPEYMKALSGEKPKRVSYTIEEIIKGTANFSTANKIGEGGFGIVYRAKLKDGSFVAVKRVKKKNLNDRTSSTEFRNEILTLAKIEHLNLVKLFGFLEQRDEHLIIVEYVGNGTLQEHLDGMCGKGLEIGERLDIAIDVAHAITYLHTYTDPPIIHRDIKASNILVTEKLRAKVADFGIARLAPEDPGATHISTEVKGTAGYLDPEYLMTQQLTEKSDVYSFGVLLVELITGRQPIDLKRPVNERITIKWALKKLKEGDAIMTMDQRLRRNPASIMVVEKVLKLARQCLAPSRQDRPLMKKCVETLWRIRKDYNEKCVNSAASAFANSANVVEGDARKKRHNYFGIEDSESYRFRSA >KZN05680 pep chromosome:ASM162521v1:2:26242810:26247142:-1 gene:DCAR_006517 transcript:KZN05680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLGCISQPHLLSQGNNGGMEDMYTELWKACAGPLVDIPRNGERVYYFPQGHMEQLEASTNQELNQSIPLFELPNKILCRVVHVQLKAEQETDEVYAQITLLPEPRQNEPISPDSCHPEPPRTAVHSFCKVLTASDTSTHGGFSVLRKHANECLPPLDMTQATPTQELVAKDLHGTEWRFKHIFRDITSMAESVYKTPGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENKELRVGVRRHAQQQSSMPSSVISSQSMHLGVLATASHAVATQTLFVVFYKPRTSQFIIGLNKYLEAVKNGYTVGVRFKMRFEGEESPERRFTGTIVGVEDVSSQWKCSKWRSLKVQWDEPASIARPERVSPWEIEPFVASVPPSLSQTAPVKNKRPRPPSEISALGKTTVPVIQSNPKRSISIILDSIVHDSCTTGVVEPTSTAVSAAWNPSHDSSQLNVSLEGQRTDSNVYRQPMQSAKYSNLTTESSHVRAPWSKISDENEDSKSASAWSVQRSFTNQNPTYQYNEQLPLPVEEKKSDAVTTCRLFGFDLKSSTDAAKKVTPLTPVNVFIKAADGGDSEEKSELSKDSKMKHQGLQVSPKEVQSKQNCSTRSHTKVQMQGIAVGRAVDLSVLRGYDELISELEEMFDIKGQLYPRDQWEIVFTDDEGDMMLMGDDPWQEFCDMVKRILICSSQDVKKMRVGMKLPISSTDHEVSGFSLDSAISLIELDRLTKFRSGKATVLGTLVRQPVVFQFFYHLSFSFYLHTVNTISSDYSSNEHNAQGWGTGPLSVKSVKEIAGFDFL >KZN06746 pep chromosome:ASM162521v1:2:35535136:35541879:1 gene:DCAR_007583 transcript:KZN06746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRETFAEGTGNLSRIISRNMEDTFGSSRKWNRANDDEVALRLAVLEKLPTHKRVRRAIVTSVTYGNDDKDNGKVEHKEIDVRKLNRTDRKEFIDRLFKIPKDDNDKFLRNFRRRIDKVGIELPTVEVRFENLTVDAHCFIGDRTLPTLSNAALNVAEAALSWFGFKSGKKAKLTILKDATGIIKPARMALLLGPPSSGKTTFLLALAGKLDSNLKQTAIQGIQSSLITDYTLRCKQVTSMKDQEQYWEDKTQPYKYISVSEFASQFKRFHVGLRLENDLSIPYDKSTSHGAALVFNKYLDSKVEILKATYDKEWLLIRRNSFFYVFKMVQIIIMAVITATVFLSKKMKADNEADGAVYIGSISFAVLINIFNGFAELSLTIQRLPVFYKQRDLLFHPPWAFTLPIFLLSLPISVLESTAYTGVTYYAIGYAPEASRFFTQLLLIFLIQQMANSLFRLICGVCRTKFVSNTGGGLTLLVLILFGFILPKDDVPSWLEWAYWISPIPYTTSSLAVNEMLAPRWMNKLASDNNTSLGVAVLKSFNFSIDPNWVWICAAALFGFIILFNILFTFALTYLSSPETRQATTSRETENAITKSPGSRSARIESDSLRGFEFTSDGGTPKEMANQQKSNQSNYNKPDMTDYASLATAAAGIGPKRGMVLPFTPLAMSFDAVNYFVDVPPQMKEQVDHDKLQLLSQVTGAFKPGILTALMGVSGAGKTTLMDVLSGRKTGGHIEGDIRISGYPKKQETFARVTGYCEQNDIHSPQVTVRESLIYSAFLRLSRDIHTEDKMTFVDEVISLVELDNLKDSIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVLLLLKCGGQVIYSGPLGRNSHILVEYFEVFLPSPELWERSNELYTIIGAMRIPVYWMWYYYICPMAWTVYGLIVSQFGDVEDTIEVPGVTPDPSIKAYMEVQFGYDPDFMVPVGTIIVGFTVFFAFMYALCLRTLNFQTR >KZN06769 pep chromosome:ASM162521v1:2:35687885:35688328:-1 gene:DCAR_007606 transcript:KZN06769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSIGRTQTKTETGSVNGEDSEDVEHKESVKRARSDNCFTFMEVSIEPGIKSLKRLDSKKLKIQIKKWAKAVVTYARQVFTSIRRLYICRVKINLSSVIVLGKML >KZN07491 pep chromosome:ASM162521v1:2:41284341:41285600:1 gene:DCAR_008328 transcript:KZN07491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIEAAPAKIPRKFPPPCWTQEEALALIEAYRERWYALRRGYLRTADWDSVADAVGQRCPGASPAKTSAQCRHKMEKLRQRYRAEKQRSHSYPGRFFSSWFYFDSMDAMENGTSPGDGSNQVTVVEHNSVPLGFNLKNTSKKIANDFPSPNVDHEGVLFRGGFRVKGVNDRNTVPLGFRLKNSDKIDAKLNPNVGYRVPSGYPFYLNGGSDEENENNGSQFEGGSSDYRAEKFGNGGIRIKTPSDQMMPPSFRARKVGKFEGKVDREYECDDGGGYDGVGEDGDGEYWVKVPHDRNSAPVGTRQKFSNKNDRNSSPSVDPRFMNGFPSQARSDYDRKSGSNGVKRGRNPIEDMVSSIKSLGEGFVKMEKMKMEMAKEIEKTRMDMEMKRNELWIESQQQIVDALVKGLFEKKVKSPDS >KZN04816 pep chromosome:ASM162521v1:2:16753477:16757973:-1 gene:DCAR_005653 transcript:KZN04816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGHVLNLARRSHRHSQSISTLPGPPGPYVMIYDRLAEAVKQKLKRLENPEDRFLKYNNPHPKDMIPPPPLSFPLTRVTTLPNGLRVATESAPNSPNMATVGIWIDAGSRYEPDNTHGTASFLDRIIFTGSKRRKKRQLEQIENIGGELFAETFREKTSYYATVMGNHVPLAFDILSDILQNPRFTTKRIHIVRNEILKEFMNQDNHKVLAQTEELIFDQLHATAFQHSPLGRTISGPAKNISLITEEDLQNYISTHYTAPRMVIVASGAVKHEDIVEQVQSKFIKFSEGLTTSELAAEEPAIFTGSEVRIIDDSVPLAQFAVAFKGASWTDPDSTALMVMRTILGSYNKRSVSSGGGKHKGSMLVQRIAINDIAESMTAFNYNYKDTGLFGVHAVAKPDCLDDLAYAIMYEVTQLCYRASEDDVVRARNQLKFSLLTEGTISVAQDIGHQLLAYGRRIPYAELFARIDAVDSSTIKRVACRFIKDKDIAIAAVGPVQGLPDYNYFARRTYWNRC >KZN07648 pep chromosome:ASM162521v1:2:42427182:42428576:1 gene:DCAR_008485 transcript:KZN07648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLLANSNFSQKEQHQFEPQLKRHNLGLTSCSTGVTKIPFVDEVLSTKQQTQIGFANQLNLLPTHQLLQKPFVPPNQDLTGGVREQISAASHLHQQQQQVIYDQLYKATELILSGTFSHAQAILARLNHQLSLNIKPFQRAAFYFKEALQMSLLMISNPVSPIPSRTPTPVDGMFKIGAYKVLSEVSPLIQFMNFTSNQALLEAVGNADSIHIIDFDIGFGAQWSSFLQELPRRDSGPPSLKITAFASPTTHHPIELGLMHENLTQFATKIGVSFELEVVNFDSFDPNSYSVSSDNEAVAVNFPIWSSSSHLPALPSLVSFIKQLSPKIMVSMDRGCERIDLPCPQHLLHTFQYYEILFDSLDAANVTTDTVTKIEKFLFQPKIESMVLGRLHFPEHTLPWKSLFASMGFSPVAFSNFAETQAEYLVKRTQVRGFHVEKRQASLVLCWQHRELMSASAWRC >KZN05482 pep chromosome:ASM162521v1:2:24267720:24268333:-1 gene:DCAR_006319 transcript:KZN05482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTFEERENFECYGNSSEQVISYEDLVPAPNVIGVMRRIIPIQAEISADAVKSVQQCVIKFIHYVTAETKTRCGEEFQAKQGPSGEPLVIRRTLQPGGMGIAASVALGFEVEGPMTMGGFMGDGQNDAPSGSAANSTGDT >KZN06786 pep chromosome:ASM162521v1:2:35842727:35843494:1 gene:DCAR_007623 transcript:KZN06786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVFPSKPPAATATNGTAAATTTGANPAFPATKAQQFTATRPAYRPTPYHNRRRRSFCCRCCLWTTLLLVILITLAAIFGAIVYVIYRPHRPSFSVSSLHLSQFNLSSSSHLTAKYNLTIKARNPNKKITFFYNPIQVKISSNGVNVGAGTIPAFNQGKKNTTTLKSTVSATSQSVDADSLNLKSKKSVLLKIQMDTKLRVKIFGTKTKKIRIRVKCDAIRANLPTGKTATVANTANMKCKVDLRFKIWKITI >KZN05725 pep chromosome:ASM162521v1:2:26659931:26662155:-1 gene:DCAR_006562 transcript:KZN05725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRMLILTSWSPGTSKKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKVIFSSLRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDEHDSTSSITLHSASESAGGEDGWVVCRLFKKKNYHRALGSPQGSSSTISLDSRRLIRGSADHHDQGDVMDQILLYMGKSCKKEDLHHQNSSTVINNSNPTSHLQFISTTNPTMISTSSPEDDIDDNHLAHARFLHLPGLENTSPSLLPPNSNITHQDDKDCSFKSIEQSIDDELMFATHQPTIEDHHLEDSKNGYNDWASLHRLVASQLNGQAADTSSKQLSTCCYSQDQALDDDDEDFFSFSFDHHDSEALQNPPRLSKMNQGPQVNNNEHDIAHP >KZN06268 pep chromosome:ASM162521v1:2:31543998:31546516:-1 gene:DCAR_007105 transcript:KZN06268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEWEHKSKVDGKMHACGHDVHVSMLLGAAKVLQQIRKQLQGTVVLIFQPAEEIGEGAKHMIREGVLDNVEAIFGMHAVLEYNTGVVAARPGELLAGCGSFEATIRGRGGPAANPHQCVDPILAASTAIISLQYIVSRETDPDDPKVVSVTIVDGGSTSFDLIPESAIISGTYRAYSKQSFYGLRRRIEEVIAAQVAVHRCSVEIDFDGKEHPTIPPTINDERLYEHAFHVSSMVVGEENTRISRKYLGSEDFAFYQEKVPGFFLLLGIRNEKFGSIHTAHSPYYTVDEDVLSVGAAMHATFAYTYLVNSTNS >KZN07707 pep chromosome:ASM162521v1:2:42940673:42940840:-1 gene:DCAR_008544 transcript:KZN07707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIFTCRNIQVAAAAQERAESRTTVPTEHYAYIQTERTYILYVKFRINICNIAP >KZN04789 pep chromosome:ASM162521v1:2:15991848:16010740:1 gene:DCAR_005626 transcript:KZN04789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSPEASDLLSKLESSPDFRNFYTLISSYLLPFTSTLTTSKPSKSSKRVVDNTRALAKQFLPFLNRCLSLIPKRLLSQCPKLDSSSALQLFDSYVVCLNCMDSVSSQLSGKAYAVHLQRVRLVHCYLFWERYGDASREGLSLLQSVCRLGGDGNRNEYVPDMTRENEKDHDFAWLVVEIVVSLVKCVAMDQSKVESDYRRLLVMISEVTPWFRILDANAYEKLHRVHVSYMSKCALILVDDLNDFDDKVARRFCLATFAELAKSSSKDQLFKFAHRLCYSLFSKEKNPSSVVDILACVLDSVANAGEVGTQTSTELLELVSYCATKSLYASSDMSFSVAEQLQKFADDICLVSPSPTPLLTSLYATGLFFSDFCGQTMAKGSTSSRTDKDSSIYGFLLYYEHRLQELTGYLQSLKHYYNSTKRISLHEDPDLVSYFNALKFLCKPLAEFVNSERGTIVTEIVDATSAAKLKYIQDALHQYLEIFILYQRGSEKKREANDGNNKTTLCVAVAAFILSVKRNQGIKESTTFIKNSISSDWIQFHGLKFLFSSLYNFGVVLYKNNNTKEASLSLKLCCRASWTCLLNNCKLFEDKSIEFSDEMSEDSIIGLLAEACTRSAFVLDVLYQCDCNKMNKLITYSLEKWAAAEKLFDRLPSPTALLKQWVKIQCKISKTDDVENNGKTLYSVLSSSVKMSKRAPGILLEQELLLYEEMRPLNPTFCRRMRMKIVDILLKEVYVTKTIQRSRVLIAKGRELRACGVERLDDCIECFRVKQIVAFIATLYTPTTWPMLIAYVHCVLKRLNLTERIFQDMDAAIKLWMSIDLPCLSGQIDMVFENILFLLYHVVDLLSLKGYMKFHANIYEIIIRLFEWRNVPVKKLLAILWECRRLGHALCASPVNETFITTLSNHCDASNTMEYWLSCLTESPLLEVGFKQNFSYMFTDFSLVAHHHVSSQAEITIDGVEQAVSNLLSSVPLSSGSASIAAHMYYDLGERLISNGQFIEALSYAKEAYQIRTKLFQEKFMYKIDQQSEVCNGTGEVLQKQCYSLKTLDIFSSVATAAWSSECVTRDFDSHVVTPWNVLQRYLESILQVGSIHEIVGNGSDAKRLLLWGKNISCFQDLPLFTISFSSVLGKLYCKEQLWEFAELELQKARHLLDKLDSWSPFYCCKCKLVLEVTIDQQLGDLSRGRCDDSNIGELSVDRLLYAEKRYRIALETLRFSEWKNSVSNPEETAVSGTGTSFSLQSNYLETKISFECCNREETKVDQEISRKSRKVAKILPKGQCLTRQQNRMMTRSCRKNIECDQEEKSKNKSKFPSSDAVHKNTKIVNLGSRASKEIKCWYCLPHDVSESGYLIDYIHMKWELVRRRLLLRVLTSIGKCSVIQNERQKGDEIFSESISVLVARNQFSPTYQSVPFSLKLDLIGSDIPGDALAVERATILYNLCWFILKYNHCKGTSVGSDFSGITISRIMAWLKLAFLICREVPVLFRKVSRLLAVLYICSSVKILSLPSSHSDTITASQWGSYFHQASLGTHFNQQLFSHKAGKQKGESFSKTKGCLSTSSSLGQETANSVRRTPDILLREFVSNFYQSLPPATIICISLLGVAYASLLRELSSSPASVRAWILFSRLKSDGQPVFLLLPADSILGEASGDETTSLGFLHESQSSVKRWHCPWGSTVVDDVAPVFKLILEQNYLSSSAYPLEDTKMTRSLWWTQRRKLDQYLGHFLRDIEEKWFGPWKFLFCSDWSDCKHLDLAINKLADDLKVKCDVNLHESLLRVILAGGQHACDSSRCVPNLILNNGCHVDGVECNIDEMSMVFKLILETIHEFEEDLCVNREPIILVLDHEIQMLPWESLPILRNQEVYRMPSICSIFASLDRHDHKKLGTGSMVFPSIDPLDAYYLLNPSGDLISTEVEFDKWFKDQNLEGKTGMTPTIEELTGALESHELFIYFGHGSGAQYIPNHEIQKLRTCAATLLMGCSSGSLSLSGSYSPQGAPLCYLIAGSPVIVANLWEVTDKDIDRFGKAMLDAWLRERSMDQASCAQCDAITDELKSLSISAAKGKGKKKTSRKILSAICDVSKCNTCRHRPKIGTFTSQAREACTLPFLIGASPVCYGVPTGIKKKVIL >KZN06172 pep chromosome:ASM162521v1:2:30753899:30759309:1 gene:DCAR_007009 transcript:KZN06172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTYMQEVEEELEAMEAIDVEDKEEDFISTARSTNEWTEFRDQLAKTMFEEYLVGGTGNIASIIQTVYCFKPDGDKKNTEGVGLVIRESEMVYKAFNFDQEKLMRLKKLIMEDGTIKNCSTFVALTALVWRARTEALQMKPDQQLKLLFVVDGRSRLVNPPLPKGYFGNGIVLACSLHGDMCKILSAIYGKVFAIFPDLEAARPRSTSGIQALCSLHIALEKTKNVLQHCAECSKLYLAITGDSVVLKFGKARSALEDSLRRVEDIVPQAISCQILEILSEIQGIDFVVDPLEKQVGDDIIALLQQGKKFNSGCSDTNELEAFHQAASKLGITSSRAALRERRALKKLIERARVEEDKRKESIVAYLSHLMRKYSKLFRSDFSDDNDSQGSTPCSPTIQGSFEGYGGPESNGQAFERQLSKLSSFNFNPNFRRSGQMAVPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHNTCPKSQQHLSHLCLTPNYCVKGLVASWCEQNGVVVPDGPPESLDLNYWRLCLSESESANSKVLQRIGSCKFRGMKVVPLEESDIAEVAEGNEQEDVSATEGEAECEVNTFDKYEEFLTILDNEKTLRKKCRVVEQIRLLLRDDEEARICMGANGFVEAIMQFLDSAIQTGNEMAQEIGAMALFNLAVNNNRNKELMLEAGVLPLLGKMMASSSSLSSATAVYLNLSCHEEAKSIIGSSEAVSFLLGVLLGESDSQCKMDALHALYNLSSLPSNIPHLLSAGIINALQALIKDYNDHTWTEKSVALLLNLASSKTARDDIISAPGLISGLSAILDIGEPIEQEQAVACLLNLCSGNDKCCQLVLQEGVIPSLVSISVNGTMRGKQKSQKLLMLFREQRQREQPPVQVDDMPESSEMSLHLKESKPVCKSTSGKKLSKNWSFWRKNKSFTVSQC >KZN05434 pep chromosome:ASM162521v1:2:23810515:23811456:-1 gene:DCAR_006271 transcript:KZN05434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQAEVVVKISGQSSPEADQTAKLSGQSPANTTGEDGSCEITNDTDFEIHTESPTSQPSPLSRIVESPTSENLRRRSGRAGGNGNGSGTSSDEVVTCNSNSSFRRKSSLLRMKAKSRLMDPPGMDERSVKSDELDEDDPFPEYDIPEKYKTMKLGKWTVLQCFILILIVAALVFSLFKKWRLFGLQLWKWYVVVVLICGRLVSGWGIRVVMFLVEKNFMLRKRVLYFVYGLRKGVQNCIWLALILIALQLIFDKTVERVTNWKILPHVTRIWVCLLVGILVWLVKTFLVKVFASAFHVSTYFDRIQESLFD >KZN04684 pep chromosome:ASM162521v1:2:14693258:14697541:-1 gene:DCAR_005521 transcript:KZN04684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMASADIMCVGPKSVREKFTGIIRQQDVRATEIDKVEMHSSFRPGDIVKAQVLSLGDARAYHLSTAKNEFGVISAESASGATMVPISWTEMQCPLTGQTEPRKVAKVET >KZN04468 pep chromosome:ASM162521v1:2:11394644:11395764:-1 gene:DCAR_005305 transcript:KZN04468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEEIRKGPWTEHEDVQLVFYVNLFGDRRWDFIAKVSGLKVAGDKWSRIARKLPGRTDNEIKNYWRTYMRKESQEKKRSMSPSPSHSNCSSSISSASPSLAADSQPIIDTRERNFYDSRGLDIYAANENKSSKIHDCDGEEGYSMDEIWNDIELSPEESIKPVYGGYNEEILASPIWDYCPDSLWMIDEEDSKMILPMSDPFQSLFVQGSTHITG >KZN06959 pep chromosome:ASM162521v1:2:37144694:37145791:-1 gene:DCAR_007796 transcript:KZN06959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARHINMNIFPQQIITNREFVNLNQGNNYGYDNSFGAMAEDLLPFNQSVIEAKNSMKAESGLTYNNFSSAPRKRSRDSMNELNRVIVPQQHSHLHEFEQNFPIQIQQQQHLEIDQIIAQHTKKIRIEIEERQKQQARILVSAIGERVMKRLREKDEEIQKIAKLNHALQDRVKNLFVENQLWKDLAQTNEAAVMSLRCNLEQVLTQVSDERQHLVPGNVEEEAESCCGSCGGDEEEVSVRRRVGNTMCRKCGERESCVLLLPCRHLCLCTVCGTTSQSTCPVCNSSMTATVHVNLSD >KZN04312 pep chromosome:ASM162521v1:2:7648790:7658183:-1 gene:DCAR_005149 transcript:KZN04312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNCGTVMELGITSIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIVQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFLVNLLGKWQESEYSGQSVPVGGLAYYVTTPSSLADMAANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGLFGF >KZN04224 pep chromosome:ASM162521v1:2:4320964:4323073:-1 gene:DCAR_005061 transcript:KZN04224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRHGTTRLYVGHLSSRTRSRDLEDVFSRYGRVRDVDMKRDFAFVEFSDSRDADDARYSLNGRDVDGSRIVVEFAKGAPRGPGGSREFLGKGPAPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGDRGHIEKNCQNSPKKLKYGIQSFSRARSYSRTPSPGHGRSRSPSYSRDRSYSRSRSPLKERSVERAERRSRSPRRRRSSPPPTKGRKYSLSPDEQSPRGRATPSPGNSKQGNGSDYHRRSKARSRSPLEDAERQIPRHRSPSEENGHGPSPSPREDRSPVDENHGSPRNSNNSG >KZN06054 pep chromosome:ASM162521v1:2:29670142:29677255:-1 gene:DCAR_006891 transcript:KZN06054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQDLSAPLVEPEPQVILTVHDDDDQRPFSNQVPEHQDNQLGHHHFQNLDDPFEFLGSSSGFEVPVSTTIDPFRNHTLEIEGVYEWVKIVICLPIAVLRLVLFAICMMIGFVATKLALFGWKDRDNPMPKWRCRLMWVTRLVTRGILFSFGYQWIKRKGKPAPREIAPIIVSNHVSYIDPIFYFYEFFPTIVASDSHDSMPFVGTIIRAMQVIYVNRFTPSSRKHAISEIKRKAGCNRFPRVLLFPEGTTTNGRSLISFQLGAFIPGYAIQPVVVRYPHVHFDQSWGNISLAKLMFRMITQFHNFMEIEFLPVVLPLENQKENAVRFAEKTAHAMCSALNVVQTSHAFGDVMLLAKALESKKENPSLYMVEMASVETLYHISSFEAVQFLEIFLSMNPDSSGHIGIDDFLRVMRLKHCCQSEKMFRFLDAKNTGRITFKQFLVGSSHILKQPLFRRACEVAFTICDANVNHYITQQELGVAVLPAIPDINEDETQEVFKLFDKDNDGKISKDDFMTCLRRNPLLISLFSCQLSQIDLTSEVADKSIEEMV >KZN04356 pep chromosome:ASM162521v1:2:9135351:9136665:-1 gene:DCAR_005193 transcript:KZN04356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATATKLGSSLEVPSVHELAKEKMAAVPSRYVRPDQEPALSRPNPGLEVPVIDMEMLLHGDKMDTELERLHSACKEWGFFQLTNHGVSDSLLDRVKAEAEKFFNLPLEEKKKFGQLEGDVEGYGQVFVVSEEQKLDWADMFFIITLPAELRKPHLLPQLPLPFRVAIEAYSRELKSLAMKILNVMAKALRMKPEDMEVLFDEGLQSMRMNCYPPCPQPDQVIGLTPHSDAVGLTILLQLNEMEGLQVRKDGIWIPVKPLPSAFVINIGDILEIVTNGTYPSIEHRGVVNSVKERLSLATFLSPNYHGDFGPAPSLFSSETPAKFHRTTTQDYLKGLFSQKLNGKSFLDTLRI >KZN05296 pep chromosome:ASM162521v1:2:22279753:22280343:-1 gene:DCAR_006133 transcript:KZN05296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKSPKKKAVGAVVKTTTKVIQETVQVSVIQTKPKPQQETPQTENNKNGPKDIEIQDVTTPTPTPKKATKTIPTQDTAKKTKKDSAQGATKKRKRSVEGYKRYVYKVLKQVHPDIGISSKAMTIVNNLMTDMFERLADEAARLTKYTKKMTLSSREIQGAVKLVLPGELGKHAVAEGAKAVTNYVQYASGPSKP >KZN05896 pep chromosome:ASM162521v1:2:28142515:28144136:1 gene:DCAR_006733 transcript:KZN05896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTANRLPPGLGGIKVAIYTLGRRGDELYMPDGDRVWGHWNKRHVSSSLGRKYVEATRPFIICYVEPAFGSFSKLRRLEYTISRLGGIKVAIYTLGRRGDELYMPDGDRVWGHWNKRHVSSSLGRKYVEATRPFIICYVEPAFGSFSKLRRLEYTISRRL >KZN05097 pep chromosome:ASM162521v1:2:20158011:20160945:1 gene:DCAR_005934 transcript:KZN05097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLYVFYCLDVCVSSAPHGSLEYLITHFSDFQLACIGSFLLHESVFFLSGLPFIYLERAGWLSKYKIQMKNNTAEAQEKCISRLLLYHFCVNLPVMLVSYPVFRSMGMRSSLPLPSWKVVSTQIFFYFIIEDFIFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVVRVLETVEAHCGYHFPWSISNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKAVKSSEDEIDAKQM >KZN05935 pep chromosome:ASM162521v1:2:28540562:28541855:1 gene:DCAR_006772 transcript:KZN05935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LDOX-1 description:Leucoanthocyanidin dioxygenase/anthocyanidin synthase MVATTLESPRVETLAGSGIQLIPKEYVRPKDELVSITNIFEEEKSDEGPQVPTVDIADILSDDRAVREKCYERIKDAAVDWGVMHLVNHGISNELMDRVRVAGQAFFAEPIGEKEKYANDPGTGMIQGYGSKLANNASGQLEWEDYFFHLVYPEEKADLSIWPKKPQDYIPATREYAKELRGLATKLLSALSIGLGLEEGRLEKEVGGMEELILQMKINYYPKCPQPELALGVEAHTDVSALSFILHNMVPGLQLFYGGKWVTAKCVPDSIIVHIGDTLEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKEKIILKPLPETVTEAEPPLFPPRTFAQHMAHKLFRKSQEAIDDSKKVQPQEQNNAETDIPQPEEQQKTVESKPQKIEILKPGEEASTSP >KZN04250 pep chromosome:ASM162521v1:2:5285051:5285350:-1 gene:DCAR_005108 transcript:KZN04250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQAMDSSSASLKEISGSSKTDSNAHRVVNFFSEVPEGEIFVQNPNISRNKCYEIRLKISRELGARDKKKRKCGNCNQLIRHNARTCPEPPKNVAKPL >KZN05518 pep chromosome:ASM162521v1:2:24625908:24626847:-1 gene:DCAR_006355 transcript:KZN05518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRYDKDILRVERNIFKFRSGIETSTLKWAKRISPFYVLIDYKRTCMRALLARLFLHPIPSPFTMNNSRNSSSVFVPQGSSERGPSKPRGRPPGSKNKPKESKRETMGMKPVTLEVPAGVDIITWVTNFANSNQVCVTVTAGFGHVSLAVLSNVLSQAPVRQYKEYLTVNNFSGTYVLSPLTQATPSFFNAALSRMNGELIGGAASRMVTMGRVVLSAYVFRNPHVFTVGVAEFH >KZN04094 pep chromosome:ASM162521v1:2:1862227:1862832:1 gene:DCAR_004931 transcript:KZN04094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQSQPLLNRLTTLDNRFSLTLYSLFHPILPHSLLKLLEFSGDGRLFFPLVLSTLALIAPSHPLYPLLGSLLIGSLLDLLIIGLIKHIVRRPRPSYNENMSLVFSVDHWSFPSGHSSRVCFIAGLVWLSDVGIGDFLGDVEGWRFGVCVWAGVTSVSRVLLGRHYVFDVVVGASIGVFNAVVVFYYLNYENLASIWADQW >KZN07782 pep chromosome:ASM162521v1:2:43547765:43549354:-1 gene:DCAR_008619 transcript:KZN07782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSDLPSPSAAYAAYASVSASAMLLRTTFNQVVPRQLQDFLLKAFFRIFKRTNTSTLALVVDQFDGMSRNDLFDSFEIYMSTKTNPKTERLKIAKRSKDKHVSIKLAQNENIVEFFQGIKIKWLFVCEESENMPGRNNGRNGSKYPNEYGNRPGDSKTKKWFELRFEKLHKETVINSYIPFVLEKTKAIQNDKKVVKLHTLGNKALYSEAPAWDSINLEHPSTFEKLAMEPSEKKALMDDLDLFVQRKEYYKKVGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLQLMNVKHDSGLRKLLLGTANRSILVIEDIDCSVELPDRNTKPVPSDRQPRDLQTNDADLCLGGLVNFLRGRKRGRSVESKDDVDSTDETQPVPETKRIKANGRQ >KZN05082 pep chromosome:ASM162521v1:2:20057786:20060233:-1 gene:DCAR_005919 transcript:KZN05082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRSSGGSSTSTKLLNRFRKDKRNVSYRDLPSEVKNDVTKTPSSVICRKKNCTSEGFQKDELVKYMSKLPSFLEKGERDRAKALNLGVMEWNKLENWQNFQSPRPSSRYSTSSSTTASSFWTEGSSSHYGRGDATCSSSGHRGSLRSYLNITLIEGHYKDVESHNVAKYTDIKANPTNSDRKKNILREPVFPAKSSELKLRKCRKESLDLRNTRSSTDFQNQGEPSSKGKLRVQADAYKHKSEKLQYQYDNTTRETNGSEVPHKEPVSRSTSKIWVEKSSTMPIDPNLYNSLKIPNMKSTIADSEVQNLSPSCRLSSVLNRIRRKSHLSRDSSGVLQPGSEDITARSGSKIADSFVRPNNETNDQSNAASRDHFSPLKRLLSPLLKTKVGSPKFSEHSPRNSTTTRRFSTSINERRELSDVHPPRVKLDFSNLRNPGWDVSRRDTLQGPSTLQAYFQVSAKNDLPLFTFAVDNNSDALAATLRKSSLRRNDPTWIYTFFSVHEMRRKSGGWLTQGSKVKDYVPNVVAQMKVSELPLSRLGEHVSVDQLKTREFVLYAVDVRGTDHQICDAQPNHELAAIVVKFPRKMITELNGPSQKVKVPSNSGDLQEDKFIFGNQELFSTTVLLPGAIHGFSSKGEPSPLIERWLSGGQCDCGGWDLGCKVKVLGNKKQKPNSHFELFSQYQEKSEQATPFFMLSSLKNGVFSVEFNSALSLLQAFSICVAVFDSMKPSEHQQPYNFIEEKLAEEATMPEDCGLNTPKLVELNLSARFASQPPHTPVERV >KZN04602 pep chromosome:ASM162521v1:2:13413033:13413767:1 gene:DCAR_005439 transcript:KZN04602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKYTPHVNIEKSSTHLTNYAYSSKVMLISVIVLFVFSVTFAIFHLYSRFVLLRRSRNLIRLRHHRTSFTDDSPLSSTEKGLAPSILNSLSTFVFVNKDNNASLDCAVCLSEFEKNETGRILPRCNHGFHLECIDMWFLSNSTCPLCRAPVQLCPVSEPGRNRVDVVIDIDRVGPDPVRSGLSSNHSCNRMVYSSSSSSLPQLLNCASVNRGTGLDVRVGSDSRIGSKPMGQPARSLTRLMSI >KZN04206 pep chromosome:ASM162521v1:2:3786595:3795038:-1 gene:DCAR_005043 transcript:KZN04206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEKYPDNPMLGHREIVDGKHGDYVWLTYKQVYDMVMKVGNAIRSCGVEKGGRCGIYGANSEGWIISMEACNAQGLYCVPLYDTLGASAVEFVICHAEVSIVFSEEKKITEVFKTFPKTAEYVKTIVSFGKVTSEQREEAAKLGVAIYSWDEFLVLGDGKHYELPVKKKSDICTIMYTSGTTGDPKGVLISNNSIVTIVAAVNRFLQGVNEPLTVNDVYLSYLPLAHIFDRIIEECFISHGAQIGFWRGDVKLLVEDIGVLKPTVLCAVPRVLDRIYSGLTQKISSGGFLKNILFNVAYSYKLLGMNNGHKHEEAAPLFDKFVFDKVKQGLGGKVRLILSGAAPLANHVESYLKVVTCSHVLQGYGLTETCAGSFVSIPNEQTMLGTVGPPVPNVDARLESVPEMGYDALSSTPRGEICIRGETLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPNGAMKIVDRKKNIFKLAQGEYVAVENLENIYGLVAAIDSIWVYGNSFESYLVAVVNPNMQAIESWSNENGLSGDFHSLCQTEKVKEYILGELSKIGKEKKLKGFEIIRAVHLDPVPFDMDRDLITPTFKKKRPQMLKYYQNIVDSMYKKK >KZN06025 pep chromosome:ASM162521v1:2:29224125:29227491:1 gene:DCAR_006862 transcript:KZN06025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >KZN04634 pep chromosome:ASM162521v1:2:13813713:13818950:1 gene:DCAR_005471 transcript:KZN04634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLKGESHANSAYIVKVELLAAKNLIGANLNGTSDPYAIITCGAEKRFSSMVPGSRNPMWGEEFDFPVDDLPVEINVTIYDWDIIWRSAVLGSVTVPVTSEVQTGAVWHTLDSSSGQVCLHIRTIDLPMSSPRLRRFHFWFILILYSSDFNLLFTTVVFTAYALILACLGDIDMYHTDIISDHVKSKRKLEFVCGLHITVQQAAEFGHATMFKFGFSV >KZN04311 pep chromosome:ASM162521v1:2:7510774:7512087:-1 gene:DCAR_005148 transcript:KZN04311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSEKPSTTRSKFSKTFHKVITLKSATKNLSGSGFCLSIPHDKSKKGHEVTKDESRSRNRAALEAFISKLFATISTIKASYAELQMAQFPDYNMDGIQAADKDIVDELRTLSELKHSFLNKNFNSSPPHVTILLTEIQEQQSLMKMYQITIKKIEGQLEAKETEISSLGKNLEETISINKSLEKTLNSSGCFSVLDNIKISSCSPKDFILVLHYALKSVRNFVKILVTQMENSNWDFDSAVHAIHPYTQFSNRTHQCFVFESFVCQEMFKNFDTPAFSLLNDQYFPYYVDQFRKLKSANAVHFLNQYPNSLFGKFTRSKYLRLIHPKMEASFYGNLNQRKLVNSWGCPETTFFAAFGEMARRIWILHCLAFSFDQEVSVFQVGKNCRFSEVYMESVTSEVFMDRDSEVSVAFTVVPGFKIGNMVVQSQVYLAPADY >KZN07334 pep chromosome:ASM162521v1:2:40102761:40104035:1 gene:DCAR_008171 transcript:KZN07334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNCRLQASSPFTTLKKDNSTLPINTIFKLPVPVPKWPPGTNFASGEIDLGALHVSQITSFNKVWATYEGGPDNLGATFYEPTSIPEEFFMLGCYGQPNNQTLYGWVLVAKDASLPADPPGLTLPIDYTLVWSSESQKIKQDDVGYIWLPVPPDGYTSVGHIVTTSPQKPPIDKVRVVRSVLTESVETDTWIWGANDGFNIYSLRPMERGIKALGISVGTFIAKKDGEEMSLSCLKNLNLSYPSMPNLDQVQALIQAYAPVVYFHPDEKYFPSSVSWFFQNGALLYTKGQESNPVLIAQDGSNLPQNGSNDGNYWIDLPTDKNASDSLKKGNLQSAESYIHIKPALGGTFTDIAVWIFYPFNGTGKIN >KZN06238 pep chromosome:ASM162521v1:2:31263324:31265371:1 gene:DCAR_007075 transcript:KZN06238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGKNGNATIPVFIDENKARYPTNASNQLQLFGNTLLEKQMLEYISGKEVSLTDDIRPSLWVVSLSAGGTTDPLNVFGREHNNAMFRPNKRGREAETISRQQRLQFSLNQNTCNDEADQVACIPIQNPVSTGLRLSYDDDERNSSITSGSGSMAASPPIILSLGDNLRNELERQEEEFNNYFKIQEENLVKGVKDLKQRQMVSFMTAIEKSVGKKLHEKDFEIENINRKNRELMERIKQVAADAQNWHYKAKYNESLVNILKTNLQQTLSQGAAQMKEGFGDSDLDDTASYINPANFLGVPSVPGRTTSVKNGNMLCKVCNVKEVSFLLMPCRHLCLCNNCEGRVVACPVCQSIKTAGVQVYLS >KZN05676 pep chromosome:ASM162521v1:2:26219959:26223373:-1 gene:DCAR_006513 transcript:KZN05676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLTELSQLFERFKAAMTRGDFDSCSNFLSQLKVMLTGFRSLPPLFEATPNAVHELTIARDIYEHAVVLSVKTEDQDAFERDFFQLKPFYTDAGDRLPPSPQEFPILGLNLLRLLVQNRIAEFHTELELLSASALENPCIRHAVELEQSFMEGAYNRVLSARQSVPHETYVYFLDLLAKTVSLVTYYRGINLNMGIARKEGSHDLDLNS >KZN05961 pep chromosome:ASM162521v1:2:28738397:28739203:-1 gene:DCAR_006798 transcript:KZN05961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKKTGCSSSQETVPMKKTRGRQKIEIKKIENPGRKQVTFSKRRAGLFNKASELCVLTGAEILILVQSVGSRVYAFGHPNVDSLIDTYLGNNVSNSPPVDENSACMYEEYNKKYLEIAKEMEVEKIKSNNVEESGEFWWQRSFEDLEMDELDCYIKSMEELKNNATRRADELRNSVEGLLLDDQIMLPNLDQFVPHNVGFADDSLMMQPVNYDPSGFNGYSFVNGELVSDSHDYFKNHGGDDLQVQTDYGALGARDDLYYGPDHIGK >KZN06312 pep chromosome:ASM162521v1:2:31881941:31884494:1 gene:DCAR_007149 transcript:KZN06312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSDLANKLGFTPSDSKRLVRKAAELRRLADIQFNSSVIGVGETCKAIICLEIAATSAQVIFDRKTAIKLSGMSEKAYTRSLIAMQNGLGVKNKLDVRELGIQFGCIRLIPLVQKALSLYRDRFLAALPASRRASADSTRPVFTAAAFYLCAKKHKLKVDKIKLIELCGTSEDEFNSVSTSMKDLCFDQFGITKEKTDAKKVKGNRDLLDELPEKRRIEDGGYLSDDEDEPSLYKKRKRMDEHAYKEWKSTVMESNNKNKETVSAKRTKQAQLNFPKLVPETKVEAV >KZN05032 pep chromosome:ASM162521v1:2:19120440:19121075:1 gene:DCAR_005869 transcript:KZN05032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSVAYCCLFLVILLVHGVLANTVENNNVLGSKKDDKATGAEVESSKPRWFGGGYYWGRPGGWYYGGGSGAPGRAGCGGNGGGPGGGAGGCAGNGGVGGYGGGMPGWGGRGGNGGGAGGGAGGAGGYPGGTPGQPGWGGRGGDGGSASGSQGGGGSYSMPNPNDPRCGNIQMAEIPNGYLISYDCGNCNYQYTIDYNGMTPGNGRITCY >KZN04581 pep chromosome:ASM162521v1:2:13011187:13012262:-1 gene:DCAR_005418 transcript:KZN04581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAASKSSLFSLSRTHVLFEDDWLLAVCKPQGVYCEAVLSSVPSLLSASLHSDQLDAQEKQPEFHLANRLDRDTSGVMLITKSHKVAAKLVKAFTDHKVRKTYIASCTGATPNWQRIDIESGHGRSKFGAWRVYATSDVGKKLPGGSVVRDMATTFEVLSVNGQCCTKDSSQLEEVKCKVIVEEKSVIDSGEGKDEIVVRAYPRSGRTHQIRLHCQYLGISIRGDVKYEGVCEWKGKVYDHHELHAESLTFEHPVTGLSVVIHAPLPLWASEAL >KZN06919 pep chromosome:ASM162521v1:2:36877336:36879161:1 gene:DCAR_007756 transcript:KZN06919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRFSVLVITISLVIVTAYSTLDADETIEFGYVGPKGPDKWGSLDPKFAVCADGKAQSPIDISRTKATPNNQLRALSRDYYSAGAKLVNNGFNIAVHFDSSAGTMNIDGKNYSLLQLHWHTPSEHTMDGKQLDAELQLVHKADDGSMSITGILYQVGNGDSFLGRVQKHLKELAKDKCGPNEHSEIPLGNLSTRQLKRSTRNYFRYTGSFTTPPCTENPSSMSQQQIDALKAPMEEAYKMNARPVQPDNGRPVNLHDEPKKV >KZN07034 pep chromosome:ASM162521v1:2:37836591:37838872:-1 gene:DCAR_007871 transcript:KZN07034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERIHKLQTGLISPTKLRMKLMGHQRKKDDSDFNSARTHPKLQDIEFVENSLLASNVDYDEQVCSTGNAPLNSSLSSMVSNGTQGDQSSFWLKEFTSGDHGNAIRAKVQRADSVNSSSVHPLRTSDDDLDYDSNAGSSTFEFPRGDRTVHNSMGRSPSLRPMSSKWNDAEKWIMNKQTGPTNHSKRINVQSHAGRVIKAVPEYANHNSKPSVQQVDLYQPAAESSLEKFSFASNGPHLIVDQGDETNAPIDMCPHTKDLKEVDSGNPSRLTSSLEERKGPTMRSVSMRDMGTEMTPIPSQEPSRTATPVEATTPHRSPHSSLSSTPRGASMSRPAEHSNENDLRSPTEHKTKERNAQELKLKTRKEIVALGVQLGKTKIAAWASKGDKDKNASAAKHTKLEELRRTEYEKRAAAWEELEKSKRAARYAKVEQMRAHAEAKMVKKVAMAKQRSEEKRDATEAKKTSQSSKLAAQAEHIRRTGRVSSSYMCCAWP >KZN05201 pep chromosome:ASM162521v1:2:21080584:21084379:-1 gene:DCAR_006038 transcript:KZN05201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKARKSSSEVDQQNSPQSSLPEVFRRLSGQNSSSEVPNKISPRSASKVPHKNSGPEKITPRVSRQLKTTGLESDAASSSNQLKTPKDKSPKVIERKSPRSPVPEKKHPNKVADLEAHISQLQDDLKKVKDQLTLSDSWKQQAEQDAEESKDKLLALSLKLEESQQLLSQSRSEDPRSIEFEKITNEKDQAFQSELDSSEAISSRSSAELASALDEIRQLKLQLEMVTDIEARQTKQSELASAELHSLKENLEETRLLADNMKNQLKDCKDSEVQAQALVGQTLLQLETAKQTVETLRSDNIKAKEAYHAIALELDQSKARVNFLEEIVTKLTKERDTISGNDSQNSAGEQVVKYETKENEEREKSMKAELESVTSEVEHLRSALETTEVRYHEEQIQNTLQIASAYELVEKIKSTSSQREDELEAELKKSKADIQELRANLMDKETELQGICEENENMKTRLETTLSGSKENQLEKELHRKKVDAESIKSNLKEKEMDMQTILEENEMLKLGRKEMELNLGNVGDEAVNELDAARAAERDTLMKLGYVTGEADKSHRRAAQMTEQLEAAQFANSELEAELRRIKVQSEQWRKAAEAAAAILSTGDNGKLVERTGSLDSNYSPTTGKISSRYPEDMEDDYLKKKNGNMLKKIGVLWKKPQK >KZN07767 pep chromosome:ASM162521v1:2:43442417:43442998:1 gene:DCAR_008604 transcript:KZN07767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNRDFLSGFSALTLLLAIMLVAELRTLDADACKPSGKVRGRKPPRGQCNTENDSDCCVKGKLYTIYKCSPSVSSHTKATLTLNSFQKGGDGGGPSECDNKYHSDHTPVVALSTGWFSHRKRCKNFITIHGNGRSVKAMVVDECDSTMGCDSEHDYQPPCKNNIVDASKAVWKALGVPESDWGEMEIKWSDA >KZN05470 pep chromosome:ASM162521v1:2:24178721:24183911:-1 gene:DCAR_006307 transcript:KZN05470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKELTGGYKIGYHANGFENEAIEIDFTPPFRRVDMIEDLEKMAGLNIPKDLASDEANKYLAEACAKFDIKCAPPLTTARLLDKLVGHSLEETCVNPAFIIDHPEIMSPLAKSHRSKPGKTERFELFINKRELCNAYTELNDPVAQRRRFADQLKDRQSGDDEAMALDETFCTALEYALPPTAGWGMGIDRLAMLLTDSQNIKDVILFPAMRPQDEPAVKSDASDDEDSDGVDDDESSVEKYTEMGVDVPKCQPDGVQDDMIGKTAEAEDGWTVVPSRRGKVYVAIVVLLLLALVLRFISFMAREITNKNVITVGAAADTDIA >KZN04208 pep chromosome:ASM162521v1:2:3836808:3837681:1 gene:DCAR_005045 transcript:KZN04208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVYYKKLMRLVLFYGGGFVTSTRTGLIRYAVKKIMVKDNIDIVKLSVSDIRKIFLRFIGENDHCYYLCNGITPTDGYKLFLMDDQVPELVYLAKRLGGDGGVRLYVHQPNFEADRNENELLLETNLGTGTEELDNNLRTGAQGDISESESNESERSFGDGSESDLSDYRRIIEKVRKEQKPLDDEHNAEIKKSLLKYKNRAEESDSDYYGSNNSEDETDEDVAYAEPHEFKKKTKMDEIFNINTAGKDIKWVPGLIYGDKCYM >KZN07042 pep chromosome:ASM162521v1:2:37879193:37880634:-1 gene:DCAR_007879 transcript:KZN07042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHELSRSIIFPQQTRTFIQMGTNLKVVDNSGAKRVKCIQALKCKKGARLGDIIVASVQEVSPPKEVKKGVVASTVKKGAVVHAVVVRAAMSRGRCDGSEIKFDDNACVLLKNVSGEYEPIGKRVHGPVPHELRKKKHVKILSLAQHIV >KZN04574 pep chromosome:ASM162521v1:2:12942367:12948361:1 gene:DCAR_005411 transcript:KZN04574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRTLLFKKYRDSLKTGRAPAPRSAGPVIEMATTSLMNPNKSYAPLSTEDPGTSSRGALTVGLPPAWVDLSEEITVNVQRARGKMAELSKAHAKALMPSFGDGKEDQHRIETLTQEITSLLRKSENRLRKLSAGGPSEDSNIRKNVQRSLATDLQSLSMELRKKQSTYLKRLKQQKEGPDGVDLEMNLNRTQSGMEDEDLDDMVFNEHQMARIKKSEAFTATREREIQQVVESVSELAQIMKDLSVLVIDQGTIVDRIDYNIQNVAASVEEGFKQLQKAERSQKKGGMVKCATILVIMCFIMLVLLILKEIIF >KZN04706 pep chromosome:ASM162521v1:2:14934364:14936218:1 gene:DCAR_005543 transcript:KZN04706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIYIDIQGNQKSSKVPTPVKQEVQKAVPTIEVPALSLDELKEKTDNFGSKALIGEGSYGRVYFASLNNGKSVAVKKLDVAPEPESDNEFLTQVAMVSKLKHENFVELTGYCVEGHNRVLSYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAIDAARGLEYLHEKVQPSVIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLRAATPAPEI >KZN06053 pep chromosome:ASM162521v1:2:29646179:29646950:-1 gene:DCAR_006890 transcript:KZN06053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLKHETASLAKKIELLEVSKRKLLGEGLGSCSISELQQIEQQLEKSVCTVRARKMQTFKEQIEQLKEKGKNLEAENAILLAKCEEEPRQESPEDRGNLTSITESSENSDVETELFIGPPEKRLKQRIIDK >KZN06531 pep chromosome:ASM162521v1:2:33782470:33782802:1 gene:DCAR_007368 transcript:KZN06531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLVMCRKQPGIAIGRLCEKDDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KZN04762 pep chromosome:ASM162521v1:2:15459627:15460746:-1 gene:DCAR_005599 transcript:KZN04762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSRLAPLEAVLFDIDGTLCDSDPLHVLAFQELLPQIGFNGGVPITEEFFIQHFAGKHNDDIASFLFPDDHARGVKFMEDKEAVFRRIAKDHLKPINGLDKLVKWIETRGLRRAAVTNAPRPNADMMVSVLGLSEFFEFVIVGDECEHAKPFPDPYLKAIEQLKVSKDHTFICEDSVSGITAGVAAGMAVVGLTTRNPEDLLKTAKPTLLVKDYADPKLWAALEEIDRKTGAAAGIP >KZN07377 pep chromosome:ASM162521v1:2:40433693:40434178:1 gene:DCAR_008214 transcript:KZN07377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYNSAKLVMVVSTLVFLSGSLPQGHALTGLLNITQIQFTTLQLACTPTGNPPSGGGAAGVAAATLNGTCNGATGTLGMLLTNATGFANGLFTFAQDILFDPSRGMPCFVILNLPVTGTTCTLLPPTGVLQAASQLVSVLPGPLGGLVARVTTGPWVYMP >KZN06554 pep chromosome:ASM162521v1:2:33948568:33955459:-1 gene:DCAR_007391 transcript:KZN06554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIDPLRPLINIQFHVNSTSNLRYIHNYTLIRHRITQFNEKPISFLSCARINPYGQSRVPKNSHKLDLLALFLKNQSTRIRAKSKNSHETDSSTEQKEKNSSETKKTPSSGHGSGSGSKKGGWWGKSGKWRWQPIIQAQEIGILLLQLGIVIFVMRLLRPGIPLPGSDPRPSTTLISVPYSDFLSKVNGNCVKKVEVDGVHIMFKLKDVVESGNEEDVVESGSKLAESELLLRSVTPTKRVVYTTTRPNDIKTPYEKMVENEVEFGSPDKRSGGFLNSALISLFYVAVLAGLLQRFPFSWSQHTTGQLRNRKSGSSNIAKETEHGESVTFADVAGVDEAKEELEEIVEFLKNPERYIRVGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDKIGREAILNVHVSKKELPLGEDVDLVNIASMTTGFTGADLANLVNEAALLAGRQNKTVVEKIDFIHAVERAIAILHVRLSTQYDTYQGIEKKTAKLQGCEKGVVARHEAGHAVVGTAIANLIPGQPRVSKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVYSGRVSTGAVDDIRRATDMAYKAVTEYGLNQTIGPVSLSTLSSGGADDASGASPWGRGQGHLDDLAQIEVKELLQSALEIALCVVRANPTVLEGLGADLEEKEKVEGEELHKWLKMVVAPAELAFFITGKQESILPLQTSPGQRLFDVQ >KZN05673 pep chromosome:ASM162521v1:2:26201757:26203049:1 gene:DCAR_006510 transcript:KZN05673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNISNIKKWNILYPVYMNSKKTIAEGRRISAAKACENPTCVEIADCCNHFKIPNAVELDKAYPRDFMQRGRVRFSLKRDDGTLYNPAISSKKQLMINVAELVPRHPGRTKKQEAATTSNAGPSKSGKGGKKKR >KZN07750 pep chromosome:ASM162521v1:2:43329372:43331299:1 gene:DCAR_008587 transcript:KZN07750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLYENDGFAVAGSENGETSNRVNTSFGDEINQTTENRKRKEPEGSSSGEQPDDGDQDDSHVQKRTRVIWTSEMHRKFVEAIAQLGEDRAFPKKILEEMNEPGLTKENVASHLQKYRLSLKKSLVESDFNADTGSTKTPYIYNSSLGNCQRHYMGTSQIGSRNYLPVSTSDHSSLYRINYTGIGSSGVRLAPPSYSPLNGSFGDHGGEKGDKLLSILNKRRCSNTSDHHATRSSTSHCAFMGLQFADDGKSLLVGGPKRSEGVPIENYSTNSDFYYQESAAPAFPSFSVEKYAQDSPLPPLPECEDYLEETTLPLPEFYVENSFPPLEDTVTSQPIITNSPWNSNMNMASDALINHEVSLPPLPSEITWDSYPACTTINSIPQTMTQHSVLPSESQWNANNEEQELLLPWSPSEIPWNLDLDPVP >KZN04843 pep chromosome:ASM162521v1:2:17096174:17103144:-1 gene:DCAR_005680 transcript:KZN04843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACLCLQDTFRRSSGEDLGKYYPTRPECEEDVPKSRFKHWVGRTLSERRWKAAFTEDGHLDIVGVLRRIQRGGIHPSIKAAAWEFLLGCYDPDSTYDERNELRKQRREKYAELKAECKKMVPIFGTGKFITTPIVNNDGEPVEGEAGNLNGSMPDTAGVTDKEVIQWKLSLSQIGLDVVRTDRTLVFYESEANQARLWEVLAVYSWMDNKIGYVQGMNDICSPMVILLEDDADAFWCYEHAMRRLRENFRTNASSMGVQTQLGTLSQIMKVVDPQLHQHLENLDGGEYLFAFRMLMVLFRREFSFLDSLYLWEVMWAMEYNPNMFKCYEKSEEPTAEKVDTEMLKKCGKFERKNVETGSEEDRKGALAVYLVASFLESKNKKLLQEAKGLDXISLSLSFSLFLSMLDMSIFLLFELPDHG >KZN04440 pep chromosome:ASM162521v1:2:10855634:10856134:-1 gene:DCAR_005277 transcript:KZN04440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVTEEIRSSASEIHYGNEICKVKTQLLLSEVGLPNGLLPLKDLEECGYVKETGFVWLKQKKKSEHKFEKVGKVVAYAPEVTAVVEKGKIKKLSGVKTKELLMWVSVSDICVDDSGKITFRTPAGLFRTFAASAFEVEEEAEDVKNGKGGNVAANGAKEVQVKEV >KZN06501 pep chromosome:ASM162521v1:2:33411342:33436300:-1 gene:DCAR_007338 transcript:KZN06501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGNNILVGSSVWTEDPSVVWIDGQVTKIKGKELEILTSNGNTVVANKSSVYPKDEDAPAAGVDDMTKLSYLHEPGVLQNLAARYQLNEIYTYTGSILIAINPFQKLSHLYDGHMMEQYRGAPFGELSPHVFAIADVAFRAMVNEGKSNSILVSGESGAGKTETTKMLMHYLAYLGGHKQSGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGKISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPEEEAIFKVVAAILHLGNIEFAKGKEVDSSILKDEKSKFHLRTTVDLLECDPRALEDALLKRVMVTPEEVIKRSLDPEAATVGRDGLAKTLYSRLFDWLVDKINKSIGQDPNSKSLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSTKLYSTFKANKRFIKPKLSRTDFTIAHYAGEVQYQSDLFLDKNKDYVVAEHQDLLSASKCSFVAGLFPPLPEETTKSSSKSSKFSSIGSRFKAQLQQLMETLNSTEPHYIRCVKPNNLLKPAIFENVNIIQQLRCGGVLEAIRISCAGYPTRKTFFDFLSRFSFLAPEIMDGNLDETTACKKILEKAGLTGYQIGKSKIFLRAGQMADLDSRRALKLSSSAKVIQRKIRAYLTRKHFRAIVFAAVALQAFCRGSIAIQMFGNLKKEAATLKIQTNRRGRSARRAFNRLRYSVALIQTSIRAMIARKEFNYRRQTKASILIQSRWRGHRDFAYYRKLIRASIVTQSRWRGIVAKRELRKLKMAAKETGALKEAKDKLEKQVEDLQLRLQLEKRQRTDLEEEKGQEILKLQNSLQAMQSKVDEANALLAKERENAQKEREIAQRESEEASVTVKETPVPVDNSKEIEELSAELETLKASCQSDKNRADEYERKYHEAIQSSEAKSQKLQETEVKIHQLQESLNRMIYSMSSQVSELKMIVRSSSDLSSTSGLLYKDSQDDGTSTFSNTSTDSEFTFPAPVSTPAKPYSLNPGALHPIVQDLSAAEVSEYIFPLRHQYQWKSRIEHHHKISLKVSSFDYLIFEVKVSDKEASFGTICQVYMLQLLDRKLEEKLSNKESEDKVFRQQALSTAQNNKVLADLEAENKALRQQVLQMNQSHKLLSSGRSRSVIQRGESLKGIDISGPSVQELREHADLKESPQKASNEKEQEYQDLLIKCISQDLGFAKGRPVAACIIYKCLRHWGSFEVEKTTIFDRIIQTISHAIETAESNETLAYWMSNASTLLLLLQRTLRASVAAGLTPKYRRSPSIFGRMTKSFRGIPLSSSLSFSHVDLATGLDTLYQVEAKYPALLFKQQLTACVEKIYGMIRDNLKKEISPLLGLCIQAPRISRTNLLKGTARTLANAAAQEILVSHWQGIAKRFENFLNMLKSNQVIHQKPQKTLDEISNELCPVLSMQQLYRISTMYWDDKYSTQSLSPDVISSMRALMAEDSNNAVSSSFLLDDDSSIPFSVEELSRSMVQIDIADVEPPPLIRESSGFSFLTPTAK >KZN06719 pep chromosome:ASM162521v1:2:35302110:35303134:1 gene:DCAR_007556 transcript:KZN06719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPDGDLIDCVPSHLQPAFDHPHLEGRQASAHIAKIDPPERPEGRNLVEMSSENYQLWSVSGEFCPEGTVPFRRTTEKDVLRASSVRRFGRKFQRPVRKDTSSSGHEQGICNVAIEANSFIDEISKVSPELYGDIYPRFITYWTADAYHTTGCYNLLCSGFVQTNNRITMGAAISPSSSYQGGQYDISILVWKVKLASILASILL >KZN04073 pep chromosome:ASM162521v1:2:1412990:1413626:-1 gene:DCAR_004910 transcript:KZN04073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIEVESVPQTLPEATTPVPEVIAAPEAIKEEVEVAPAAAAEPEVEKLAEETTEAVIPAEEPVAEPVEVEEPVVVEAEELIEAPEAVEEAPEEDTPEVEVETVVEAVVEETPVEAAPVAPVEAKEAAAAPVEETPAEAVAETTTEVPAETKTEE >KZN07211 pep chromosome:ASM162521v1:2:39130490:39142267:1 gene:DCAR_008048 transcript:KZN07211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRKYNRRNRSLTRNCSDEYDAVSDEYDTVLSQENSQDVFGVPFSSQGSSSRWSFESDLFGSNCSQDSGQLGIRTRGEIGVSGIEGGDLGGSRVLKKPRNEFDFEAYGLDFSQESQELAILPPKIGGDLEIGGGVFGKSKKVGNGGELGIDGGVFGKSKKVGKKGGKVKEKREVYMPVVEQTKTLMETQEFGEMMEHEDEVYFALDGLRKGQQARIRRASLLSLLAISKTAQQRRHLRTNGTAKTILDAVMGLSLDDPPSNLAAAALFYILTSDGQEDRLIDSPSCIRFLMKMVKPLSTNTSKVKAPSIGSKLLALRKDSSCSQGINDVESTSSAIFLKVQELLISCKQMKPRDGKDNVKEKPELSPKWISLLTMEKACLSTISFEETSGNVRKAGGNFKEKFREHGGLDAVFDVTRQCHLNMKRWLSDLACQVLEESKDDVNLESLMLLLKCLKIMENATFLSQDNQSHLLGMRSKPNSEHQPQSFTKLMLSIIQILSGISLHLNTSDSADDEMLCSSSGRPAAEKHNDSNGNNEIVYISSSTEFPSTQWTSSQTSSNLSQNSRQLASIQLGSSKSISDTTTKCPAEATMLKIRSDSSANNSCSGAVGCSNGQNRPSNSSWLKFAISKTSDASEGTRIELLEESQDPFAFDEGDFEPSKWDLLYGKESVSQNEKTNLADEEHKSVCQPHLSLGHEELSSMENQHSSQSSPQASCSSAVDEEKSSLLSNCLLTAVKVLMNLTNDNSVGCRQIASCGGLETLSSLIAGHFPTYSSHFSPSTNPQKHSLLSNKILTDDELDFLVALLGLLVNLVEKNGENRSRLAATSVSCPALKGFESESRDVIPLLCSIFLANQGAGEAAEEGRNMPSDEEDVLLQGEKEAEKMIVEAYSALLLAFLSTESTDIRSAISNCLPDHKLSILVPVLERFVEFHLTLNMISPETHTTVLEVIESCRMP >KZN07265 pep chromosome:ASM162521v1:2:39545770:39547966:-1 gene:DCAR_008102 transcript:KZN07265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKFQAGVCAENWWMNPYQSNFGSSVCSPIINNMDMFSWPADLDTKARASTDDQSGSANNSEGSIFFQDTQKPLNHDSVEGNLLSVNSGLDLMDNGLSSSITTADWNQALFHDSKRNECNYQHSLQDSLNPSSNYQQAVGVDHFSQIKKDWSPENFSAIDEVTSLDAYKGPTNQGFPVNSSSYGYTSSLIQTLFDTNSDQDTIFGNRSMDYPDTTNLYQEKLNGFLSSSLHKPSPALPKQAPLLDNSTWSSASSNGLFSTPLQSKFLPPTFEEKLSCSNLGVKPNNERIRDMSKVVKNNSSTEPVFKRPRMETPSPLPTFKVRKEKLGDRVTALQQLVSPFGKTDTASVLHEAIEYIKLLHDQVTTLGDPKRADHQELRSRGLCVVPVSSTFPVASETTADFWTPTFGKNFR >KZN07830 pep chromosome:ASM162521v1:2:43873619:43882084:-1 gene:DCAR_008667 transcript:KZN07830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDKGLIYLLLSYKLEGLERLSSSHSISGGSDQGNISEGADFELLNEVLKPSFGDYASNWILGDVALHIESQTKSDMAMHYLIKLVKEHPSWAGTNIEHSAICMHKKTDIQQYQVLLESFENKLRDWLANLEQKYLLVSHHLINKMVKYLCNSGLAFLGCLLLLSYTHTDQSKEITNSFGGFFLHPILSDMLFKATEDIAYLFSRYVVLCNISSSNLISCSTEDRTPAKNVFNNLGGWRFYMQGVMWSLWCLRSLLKQFSSSADTDFIRKTLLTIDLYEYYVYFSSAMLKRNLRALILIVKPLLMTCKNDHAHYEFSLDDINEVLPEITELLSHNPLIDEARDSASSVPPDHEDKELSVLIDEEWHILRAMLYRHMTAFLNNQLNSSLTVENSHANCLPFKLSVFVSDSSKCGPDDSNTTPQIVVVSAALINLLKSTSVHLSSNCERHLTLRLLNKVGNGFSTATLEWLEEFSWIPSEDHQKQCSHNIGDWNMKNSETELSAYKILWGMCAGPEFRYVGSELNNSKWIRYVKRKLPKRWIKIYKSTELECETEEICKQEGNLGSPLASNGGGLGSPLKGPSPDNSFFVGTGGRDTAITKKLMPFESPKEIYKRNGELLEALCVSSVNQQQAALASNRKGIVFFNREDGIVSMDKSCYIWSNADWPHDGWAGSDSTPVPTCVSPGVGLGSRKGTHLGLGGATIGAGSLSKPGRDFLGGGAYGVPGYAGIGSSGLGWEIQEDFEEFVDPPATVDNIRTRAFSSHPSRPLFLVGSSNTHTYLWEFGKERATATYGVLPAANVPPPYALASISAVRFDHCGQRFATAALDGTVCTWQLEVGGRSNICPTESMLCFNNCASDIAYVTASGSIIATAGYSSNAINVVIWDTLAPPTTSRASIMCHEGGARSLSVFNNDIGSGSISPYIVTGGKAGDVGVHDFRYIATGRTKRNRHSDSNEDFVNGSSTTIMRNKIGDQNSHGMLWYIPKAHTGSVTRISAVPNTNFFLTGSKDGDVKLWDAKRAKLVYHWPKLHDRHTFLQGGVVRVAVTDIQVVSNGFLTCGGDSSVKFVQIKDSLVE >KZN05411 pep chromosome:ASM162521v1:2:23584864:23585103:-1 gene:DCAR_006248 transcript:KZN05411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFNDNKMVVIGVLVVCLVMGSLVTETKAFDCIKVCHDACDDSRAAGKLPPGKLYQTCIDGCPQECAHFGGGPGNGHP >KZN04891 pep chromosome:ASM162521v1:2:17630309:17632828:1 gene:DCAR_005728 transcript:KZN04891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTIAFKDEFTFEERCQESQDIIAKYPSRIPVVAERYSKTDLPDMEKKKYLVPRDMSVGQFIHILGGRLRLDPGKALFVFVENTLPQTSSLMETVYDSYKDEDGFLYMCYSSEKTFGYGYIQ >KZN03971 pep chromosome:ASM162521v1:2:89551:90739:-1 gene:DCAR_004833 transcript:KZN03971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPIIDMEKLNGQDRAVTMERIKDACENWGFFELVNHGISIELMDTVERLTKEHYKKCMEQRFKEMVASKGLEAVQNEIDDLDWESTFFLSHLPHSNMLDIPDLVEDYRKAMRDFAAGIEKLAEELLDLLCENLGLEKGYLKKAFYGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWIDVPPMRHSIVINIGDQLEVITNGKYKSVMHRVIAQTDGNRMSLASFYNPGGDAVIYPAPELLEKEEKAKAYPKFVFQDYMKLYAGLKFQEKEPRFEAMKAMEIAT >KZN05620 pep chromosome:ASM162521v1:2:25683156:25683755:-1 gene:DCAR_006457 transcript:KZN05620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANNVIISVNDLGLPIEESDDDLSLRDLQVTVNSDAGSPRTSSVSAPDIFEFFSSTVTGQETSEAANDIIFCGKLIPYNNETEMIISSARTFNYLIDNERDRYSRGNRSENFPVRSEHSVHKVNISSLTTMSPTARRRMFMFGPVKFKPEMELSAIRERQSRRAPSLMFPVKEEKMEVGPVKSKVHLTDILAKSLCYR >KZN05105 pep chromosome:ASM162521v1:2:20199464:20204429:-1 gene:DCAR_005942 transcript:KZN05105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLMKSQKFAPELDNLVDITKVKMDVMKPWIANRATELLGFEDEVLINFIYGLLEGKVVNGKEIQIQLTGFMEKNTGKFMKELWTLLLSAEKTASGVPQQFLDAKEEEVKKKKAESDWEIQELQKRKEKEAREREQEKMDKMDGKVDNFVRADNDALNTTSRQRQPRDSSSRLSADEKEANGRNGFRGRIRSVPVSCLSMCAYLKYQYMTLTSQGCTGTPSRSNSGSFSNSRSRSEHRSRSISGPPSNRRSDSAEAVRRSPGGHSPPPDRRVSPQRSPRRRTSHYKERSISPLGRRSVSPIGRQRRRSRSPVRRRSRSPLRRRSPVRYRSRSPIRRRSRSPIRRRSRSPLRHRSRSPVRRRSRSPVRRRSRSPVRRRSRSPVRRRSRSPVRRRSPVRRLSRSPVRRLSRSPLRRLSRSPLRRRSRSPIRRRSRSPIRRRSRSPIRRRRSPSPVQSRSPSPVRSRSASLSPVRRRSPLRRRRRSSSSPSHMSPSPVQRKLPTQRRSATPVSLSSSPQELSPHSPVRQAPSLRRRRSPEHQRSPVEPLQNRSRTQEQSSPVRRTTPREVAGRSPETGKGSTLESRAHTLSQRGRSGRNISHRGVLSSSPPLYSSSGSESPPPSRMKSLSGDKSSPLVSPQREPVIRLSPPRKAKVHTNIPVSQKGEEDMLSSESEDTKRKASGKKMTHLSTPDELKLSAPSRMARTVEERKSKGSDDSDYGATEKLRAEGCEKRKHKKSKRQDSDDGNSSDSQLEGRKEAKKRRKEDKKLRREERRRRREERRRRKEKRRAEKIKSKAGGTVSPPSDFQENQQGYTSDGGRASKRDSRFVDSEEQSEQKKLEIALREKAIETLRAKKGAGH >KZN06392 pep chromosome:ASM162521v1:2:32510969:32511127:1 gene:DCAR_007229 transcript:KZN06392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNLMQNLWCSKRCGAVERKLVTVSALNLMPLYLYSSTPPSQSIGVMEYSY >KZN04658 pep chromosome:ASM162521v1:2:14298643:14300908:1 gene:DCAR_005495 transcript:KZN04658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDPDEVFADDEDELESASYQDNGDDESHFHVAVSCIAQCLKTQIINSSYDELAICFFNTREKKNIQDLNGVYVFNVADREYLDRPTARLIKEFDYIEESFSKLIGSQYGILAGSRNNSLYNALWVAQALLRKGSTKTADKRILLFTNEDDPFGSINGITKKDMIRTTLQRAKDAQELGISIELLPLNRPNEEFNASHFYAELLGLEDDDLNQFMPSALMSAPSEKFSLNSYPQTKK >KZN07235 pep chromosome:ASM162521v1:2:39331860:39334467:1 gene:DCAR_008072 transcript:KZN07235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDTDMNSDRKRGTNLAKLVGSNQTAPFPDEVLEPVLTFVTSRKDRSSVSLVCKVWYNAERWSRRKVFIGNCYSVSPEILVRRFPNMRSVTLKGKPRFSDFNLVPQNWGADIHSWLVVFAEFYPFLEELRLKRMVVRDESLEFIAKSFLGFKALSLLSCDGFSTDGLKAIATHCKNLTELDIQENGIDDFGGGWLSCFPETFVSLEVLNFACLNSDVTFDALERLVDRCKALRVLKVNKNISLEQLQKLLLKAPQLVELGTGSFQQQLVPRQFAELETIFSRCKNLQTLSGLREVSSVYLPALYLVCVNLRFLNLSCATLQSDELAELLSKCSNLRRLWIKRYLVIEL >KZN06932 pep chromosome:ASM162521v1:2:36949050:36951392:1 gene:DCAR_007769 transcript:KZN06932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAAGTTATASRGKNKDDEEEELEEDNMDVELGKLQSTGDPDKMAKMHAILWTRCNGGYHNSHAANLTSFVNLQRIFRTHVINTETYAIGKEFRVCIGVLIVSSGNMDMCSTIPAIEPAIMNWSILSQFTEEQMSRYESFRRSGFQKANMKRLLGSITGSPKISVPMTIVVSGIAKIYVGELVETARVVMTERNETGPIRPCHIREAYRRLKLEGKVPKRSVPRLFR >KZN05155 pep chromosome:ASM162521v1:2:20657759:20658889:1 gene:DCAR_005992 transcript:KZN05155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAAEKKPAEKKPAAEKAPAEKKPKAGKKLPKEAGAAATDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARLGID >KZN05154 pep chromosome:ASM162521v1:2:20639793:20643164:-1 gene:DCAR_005991 transcript:KZN05154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTLLLYAFPAFVLPVVCMMDAFRVLVVSMVCLVIYVVYDFILRPKSLRAKLVKQGVNGPEPTLILGNFPDIKQIGDEAQAAGAKTRDSSEAFSLDCCSILLPELKKWTKEFGKTFTFALGKTQFLYVGDHELVKEMSTCKSLDLGKPAYMWKERGPLLARGILTTSKEAWVHQRKTIAPTFYIDKVKNMVGIAVESGKTLVKAWEKVIETEGGIADIKVDDDVKTFTSSIFSMIMFGRYEAAEKVLFSKCRDLMEVSGSPTVVDGRPFHRFYPTKKHREQWRLRKEIYSIIKDLEKKYRSQGESIIQILAEASNHGELGSCSPQQFIVDNCQELCIVGQEVPGNTAIWGLMLLAMHPEWQERARAEVLEICGDQPLDNEKLSKMKLMKLIIMEIVRLYPGVGFTAREALADVQIGKSVHYYIILYRLRSRVGDKRTRTADIRRKVNYRLSGPRLILPETSDRQ >KZN06725 pep chromosome:ASM162521v1:2:35337861:35341001:-1 gene:DCAR_007562 transcript:KZN06725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGLLKSKFQTKCKSLVKMTKTRLEMIKKKRSAMQKYLKNDIADLLQNGLDSNAYSRAEGFLKEMKLSSCYEYVELACSCISTHLAAMSKNRECPEDCREAVASLMFAAARFADLPELRELRSLFTHRYGKSVDGYANKEFVAKLKLLPHSKDKKLQLLQDIAHESGIEWNSKALEQKLYKPPAVEENVSKNTSAVDRNKQNDQRVTSGAKEQDICSDKKEVNVSKNTSAVDRNKQNDQRVTSGVKEQDICSDKKEEVTYDDKKVTKSRRTPRNGFQAKKMDQDVRPSDPVRSSSKEKLDGGKTASSYSSIPPPYTRQPVSKTDPILDVPSGGSDVRGEESRKLDAQPDKESKPKPKSVRRKPAKLPNPPPGQDYIGSLKGDETATNSDGTTEEDANQIGHDLSDEEERKMDKLLMLYSNKNVAPEASKEPKSRHREFSLESRAASLPLETTSPVETKRGHARATSYETDMNANCHIHPKLPDYDDFVARLAAFRGEGK >KZN06569 pep chromosome:ASM162521v1:2:34092084:34094177:1 gene:DCAR_007406 transcript:KZN06569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRLVQGSLLKKVMDSIKDLVNDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNISMGMNLGNMAKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPEAEYHAIVRMPSAEFARICKDLSSIGDTVVISVTKEGVKFSTRGDIGTANIVCRQNTTVDKPEEATVIEMNEPVSLTFALRYMNSFTKASPLSSTVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEEEDESKP >KZN07799 pep chromosome:ASM162521v1:2:43653394:43659483:1 gene:DCAR_008636 transcript:KZN07799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKRERDTITSRSPAVINNTNTMTIHDSSSSTLPQIVTLNCIEDTAFEQDCLSGIAIINHVSLIHLADSKIDSAAVVILHSLSFLPRAAQRRLRPWQLILCLGSSDRAVDSALAADLGLHRLVHVDVSRAEEVADTVMALFLGLLRRTHLLSRHTLSASGWLGSVQPLCRGMRRCRGLVLGIIGRSASARSLATRSLAFKMSVLYFDVSEVKEKSNRASSFPPAARRMDTLNDLLAASDLVSLHCALTNETVQIINADCLQHIKPGAFLVNTGSSQLLDDCAVKQLLIDGTLAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAISILQSFFLDGVIPENAGSDEDEESEVVYENEQVDRHDDDGAVQGSISQQLTSAICVPTEGSRSQGTTTNQSKQSPSHLQGSVSSHNTPTRSDVKRTRSGKKSKKRHGRQKSLQKNDDNSILEKESTSHREDDTTMSGTDQVLSSSSRFASPEDSRSRKTPMETTQKLSSEHLSKSSPFLNKSADLLKDGYVIALYSRDRPALHISRQRVQGGGWFLDTLSNVTKRDPAAQFLVTRSKDAIGLRSFTAGGKLLQINRKTEFVFASHSFDVWESWSLEGPLEECRLVNCRNSSAALDVRIEILATVGEDDGVTRWLD >KZN06766 pep chromosome:ASM162521v1:2:35681675:35683168:1 gene:DCAR_007603 transcript:KZN06766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRSVAMEEGIAFIIISMLMSRHFYVDNVIIPATSNRVQPCFQEPLSFRSWIKPPSTVWHTMNDSQLFWRASFDPQITSYPFKRVPKIAFMFLTRGPLPMARLWDRYFKGHEEHYSVYIHALPSYVEDYPPSSAFYRRQIPSQVAEWGMMSMCDAERRLLANALLDISNEWFILLSEACIPLQSFPIAYLYLSISHTSYMGSYDDPGPFGRGRYNDNMAPLVNLSDWRKGSQWFEVNRKLAIDIVKDDTYYPIFEKFCRPACYVDEHYFQTMLSIQSPHLLANRSLTWTDWSRGGAHPATFGAADMEKKFFKNIIEKQKCMFHNQTSSACFLFARKFAPSALDTLLAHSFEFFGY >KZN04072 pep chromosome:ASM162521v1:2:1409420:1409581:-1 gene:DCAR_004909 transcript:KZN04072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYMGFKKISVISNYILYLNNHILPTYYPCTHVNDNTSTLNLWLEHCPFSTS >KZN04675 pep chromosome:ASM162521v1:2:14539238:14540543:-1 gene:DCAR_005512 transcript:KZN04675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQASKESGNKIDYFDMLPEGCLADTLAHTTPTDACRLALVAPAFRSIADSNGVWERFLPCDYSQLISRAVPAHRHILDHLALSPKKKLYLFLCDNPLVIDNGTLSFSLDKWTGKKCFMIAARELAIVWGDTPRFGKAIELISVCWLEIHGRINTSLLSPDTDYTAYLVYKPSIAVYGFEHQPVEIWIGLSGEESVKCTFILDPEGGLQANYVRMPPPRRLSLLHSNRANQPRPQIPIPVGVETRCPRVRKDGWLEIDLAQYFHKEGENRELEITMMETKGGHWKSGLIIQGIEIRAKSGN >KZN05837 pep chromosome:ASM162521v1:2:27638137:27645161:1 gene:DCAR_006674 transcript:KZN05837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDAASLYPIYVTLGGVVAYIRPSTFSWFAEKAPTSYSLGLGLIMLSMGLTLELKDLINLFLQNSFAIIFGCVAQYTIMPVLGLVISKVLGLSPSLSAGLILLSCCPGGTASNVVTLIAQGDVALSIVMTVCTTLGAVLLTPTLTKILVGTYVPVDALKLSISTLQVVVLPILLGSYMQSKFPKAVKLVTPFSPLFTVLAASLLACSVFAENIVHLKSSMASASLSPDLSPLLQSKAIFSSELGVTVLAVLLLHFAGFFVGSSAKLPISSGESKTAKPQLTIFYNGAINVYDNVSVDQAQAIFLLAGRATVSPPTVTETPKVDIAKPQKVDNTSSIGKLQTGIFLNKLNYSQLNLRPNSIHKDFIHIHIHVCELAPDLPLARTISLQHFLAKRRQRIMSKSPYPAPSSSSTRKDVDKEYAEMKQNRNNIVDLNEEKSFSKSPFPSQGFFFPIPANKRC >KZN04075 pep chromosome:ASM162521v1:2:1463792:1465395:1 gene:DCAR_004912 transcript:KZN04075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNVHRKLGQNAIRVLIPKLQSQQASIRTTMDSQATPQTKSFIGRGLNFIPKAPTNPDDRTMIHIRPFPHNNDFSDPKIVKCISQL >KZN07017 pep chromosome:ASM162521v1:2:37688655:37690452:-1 gene:DCAR_007854 transcript:KZN07017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPEKTPKLNETTESIEQRNWLDLPDDVTSNILKRVGVVEILESAQKVCTAWRRICKDPAMWRVIDMENLGDSATTHPQLEKLCMQAIHRSRGQLVDINVEYFPTNQLIEFLAHGERSSQLRRLQVSHCYGSLNKSWSDFLKKAPLLEELALTFTTISEEAVADASRYCPMLKSFIYNNYGWKYSNSADDFVIAFAKGMPQLLHLQLTGSTMTNKGLQAVLEGCPNLESLDLRGCFSINLGESCGKLCKERIKNLRLPGDSMEGHKVAPYTSDSEDEDEYVWDDYDGISDGEVDFSGVVAEYYSLL >KZN05873 pep chromosome:ASM162521v1:2:27965174:27965362:1 gene:DCAR_006710 transcript:KZN05873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARPQDNKVEKGDASKTRLLLVGRTPSSKVMFPGLIIWEDAPSPNRARHEVTLTISIKSYT >KZN07629 pep chromosome:ASM162521v1:2:42305870:42309690:-1 gene:DCAR_008466 transcript:KZN07629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPITISHYTPLPDGEHSPSLTTTNTAEQSSRRRSLTFVLLFSSILAACLVMGTMVLFPNSGNEAVEKSTVVPEETVEVAPRGVAEGVSMKSFRRPALNAEPPANFPWNSNVLSWQRSSFHFQPNQNWMNGPLFYKGWYHLFYQYNPDGAIWGNKIVWGHAVSSDLIHWKHLPVAMVTDHWYDVNGVWTGSATILPDGQIVMLYTGSTNESVQVQNLAYPADPSDPLLIEWVKYPGNPVLVPPPGIDFKDFRDPTTAWRTPEGKWRLIIGSKLNKTGISLVYDTVDFKNFTLLDGVLHAVHGTGMWECVDFYPVSKFGENGLDTSFDGVGVKHVMKASLDDDRNDYYAIGTYDPVSGKWVPDNPELDVGIGLRYDYGIYYASKTFYDSNKKRRVLWSWIKETDSEISDVRKGWASVQGIPRTILFDPKTGSNLLQWPVEEVNKLRLNKTVFENVEINTGAVLPLEIGSGSQLDITAEFEVDKESLERVQETNEVYDCKNNGGSSGRGALGPFGLLILADKDLSEQTPVYFYIAKGSGGNLRTFFCADHSRSSKAVDVDKEIYGSVVPVLRGEKLTMRILSFSQGGRTCITSRVYPTKAIYNNAKVFLFNNATEARIIASLNIWQMNTAQRQTHFADLVI >KZN07379 pep chromosome:ASM162521v1:2:40438739:40439687:1 gene:DCAR_008216 transcript:KZN07379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYHNSAKPVIATLALLFASLPLSHARTARASRQARGSNTGIAICIMAARPCTARASPSGNLPAPGSGSLGAGGTLVSGSCNGATGDIGTTALSNTGLLRAVYSLPFSNLFDSVAGSPCNFTVKLPAAGTTCEMFPPRGVLKAGIKLDRLNVDAAGEIMAEAGLLPFRYLPDE >KZN04797 pep chromosome:ASM162521v1:2:16045671:16051233:1 gene:DCAR_005634 transcript:KZN04797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENLSDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWTPEQLKMMTFGGNNRAQVFFKQHGWTAGEKSEAKYTSRAAELYKQLLLKEVAKSSKEEIGLPASPVGPQSVQAEDGFFDFKVQETPKESFPKKIETHDVPASQGTRAPHSVVASSVKKPLGGKKTGKVGGLGARKLSSKPNESLYDQKPEEAPAKVSTSKGSTPPVGPSFPSRFEYVDNIQTTDKGTGGNQVVSHEGDWYSFRHTLPGSTAISSADLFGNDDDDDLGLDLTASDLINKLSFQAHQDISSLKNIAGETGKMLSSIASSFMTDM >KZN05562 pep chromosome:ASM162521v1:2:25081673:25084128:-1 gene:DCAR_006399 transcript:KZN05562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKEGLVLLNSGNNIILAGENEHMVQRSTVASRNMERKGLRNITNLPQQFMPSIRDEKSKLKVACTEECINKLKENANLLNLLADKEYPLVFKLIYLVAKIIELDGIELQKLKSELHQVQQQNVALAQSNTQLLAELNSRKDRLKLMQHELGCKQGLLVAKKVELEVKMPKCKKTSKFLEVAKDEVPCRINRKQPSKSWAPFIVKQLEDNRNEMRLSVLSQSASFEYEDTKKVEDLLEVDSSRLSSDPLKDDITQEQIITSNLVDEEDKKSCKKSLRVRRQSARFYSEELKSDDDIFEVDTARFSSSPQGNGQNQHDDGRLVSTNLVLNLERLSSGRPSRQVTNKVSSYKEVPLNTKMRRS >KZN06009 pep chromosome:ASM162521v1:2:29116736:29117411:-1 gene:DCAR_006846 transcript:KZN06009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPVKTKTLHNFSLPCLKWAHKNSTPRQRTRLPDSPHNPSSQPENDPNHPPAPTQAGPEALDGPEDCSAKPWNLRPRKCASAQTALREASEPAAVEKEKDGAQKSERRDKKTKLWITLSREEIEEDLYSMNGSKPARRPKKRTKAIQKQVDEVFPGLYLAGVTVDSYRVQHSL >KZN04031 pep chromosome:ASM162521v1:2:874275:877041:-1 gene:DCAR_004868 transcript:KZN04031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQFGKRFQGKVAIVTASTQGIGFGIAERLGLEGASVVISSRKQSNVDEAVEKLKAKGIEVLGLVCHVSNAQHRKNLVDKTVQKYGKIDVVVSNAAANPSVDPILETKDSVLDKLWDINVKTAILLLKASDASPHLTKGSSVIFISSISAYIPHSSMAMYAVTKTALLGLTKALATEMAPDTRVNCVAPGFVPTHFADFITSNDDVRKTVEDNTLLKRLGTTADMAAATAFLASDDASYITGETLVVAGGMPSRL >KZN05090 pep chromosome:ASM162521v1:2:20117645:20117830:1 gene:DCAR_005927 transcript:KZN05090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLEAGRVLVGIVGLSEKARGVLFAISGVQKLAERLSISVQGLLEKVGGVMELAGSYGGF >KZN06381 pep chromosome:ASM162521v1:2:32382451:32383544:1 gene:DCAR_007218 transcript:KZN06381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRACCEKIGLKKGPWTADEDQKLRDFIHANDTKYCWRAVPKLAGLLRCGKSCRLRWANYLRPDLKTDTLSKKEEKLVIHLRSQFGNKWSQIASHLPGRTDNYIKNYWHNHIKKRLNQIDSKAHKPRFPDQPATTSTHYNTCQKRAEKRREDMDAVCEDDMAKENLTIDSGFSVDEIPLIEDHEIINPHSLHLPSNDCSTSSIPYPCTALTATKVENVKSSAYWQGNDECNNYDGNMGVYYSCEDDFTDWDWLLNDFDIDEEID >KZN07496 pep chromosome:ASM162521v1:2:41328104:41332763:-1 gene:DCAR_008333 transcript:KZN07496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCCHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLVNYTPLHARAEPDDLEEYRIRRVKTISLKNKEAKVLKRKQNHTSQVGGGAAYEYNQGFLKGGGPSGVALDYSRTFRKVLDEDTSNRSSSGSAISNSESCLQLANADASDLTGPAQSIIWDTMVPSRKRTCVNHPKQSSVEKLTKDLYTILHEQQSYLSGSSEEDLLIDSDTPMVSVEIGHGSVLIRHPSTIAREEESEASSLSVDNKHHSISEAYSQFSSLPVQTSSKGVNFSSPGVERANKLGPGMKQDLLIREKVQEEKMQLLANHGSPLCDIELKDVLNFEEFERLMTYDEQQQLLKYLPSVDTVAIPDSLKSMFDSPQFIEDLSMFQKLLAEGVFDFSPSGKTKSEGSGNLKRLVLCNLTRSTWVEHYNLLKDVKCDNSPGSAFVAAGPAAVASAQSMKVKRSRDIQFQNYPGGKTTMKSPKRVSTKANYDNKELIDNDATCFSPKSLFALPTDNSSLMLDSFRFDDHSDQELLLNVPSHSSFPQAELLMPASSFNAQASTSSSSIYQNHVRP >KZN04098 pep chromosome:ASM162521v1:2:1910156:1910569:1 gene:DCAR_004935 transcript:KZN04098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNSASIALFFTLNILFFALVSSTEKCPDPYKPKPKPTPKPTPTPYPSAGKCPKDALKLGVCADVLNLVHNVVIGSPPTLPCCSLLEGLVNLEAAVCLCTAIKANVLGIHLNLPIALSLVLNNCGKQVPNGFECT >KZN04596 pep chromosome:ASM162521v1:2:13281751:13282372:1 gene:DCAR_005433 transcript:KZN04596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTYVQLNKSLAACSASPEGELRPTFTTRVQQVGSCPGKGHPVIKSVRESGKSYTVEEFEAKAKSFERNYFKKSSIDKGALSPLEIESLYWEADANKPFEVEYANDMHISAVVELEKRRGEDGLSDDLNVGDTDWNLRGAARSRRCLLRFVKDDIPASTICTLVRGRPGMECLRMQQQHLRM >KZN05177 pep chromosome:ASM162521v1:2:20855468:20862690:1 gene:DCAR_006014 transcript:KZN05177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNAADDSASPFKLLLSCPSGLYPSQVSVVFDASFDRIPHPDVQLENSISEIWNRKSQHSSLLFNGSKFRFKIQYAGHSSSNVADSSQVASACLHLGLTDYRTFVGTNLNPMWEKFIVESQDECSRCQHTSNPLGNGAVVETSDKRILVLQRSNNVGEFPGHFVFPGGHPEPGEVGITAHQSNQDTELINKMISQEMFDSISREVVEEIGVPAESLCTPIFIGLSLRAINVRPAAFFFIKCNLPSEEIQQLYSSAKDAYESTQLYIVPMSELESMASNMPGCHQGGYALYKLMLDAMK >KZN06243 pep chromosome:ASM162521v1:2:31315459:31317288:1 gene:DCAR_007080 transcript:KZN06243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPTGEENLQTPVEDIRKWIDNTQEEFHFEDLNFPTPEKPQEFKTNASQFPQEEIGHDTTSKSPRAQSGTPDAPKVDMLSPELKSPILMQSENEASKGEAAAKIVQGRGMPADNDITIINPTVTGETTRNRKRKEIVVDVNDNYEKNARFPRRVASSSASQRVAHHTHPGKKSKLSINDQLMAIQELIPGSQKKDMATTLAEAVEYLIYMKIQEQMLQQEVQQLRQAMVMMYQSIQNFMQNPLGTPLNMGGHAQLGGMGFRPTVQPSMNSFVHPQAPGPSQQQRIDWDQIGDTQRII >KZN04882 pep chromosome:ASM162521v1:2:17529116:17534294:-1 gene:DCAR_005719 transcript:KZN04882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENEVDGGDTEFSLASTSRAQILEREAEKSTEDLKKKETENTKVVPFYKLFSFADSTDVILMSIGTIAAVGNGACLPLLSILFGELTDAFGQNQTNDETVDKVSEVSLKLVYLAIGAGIASFFQVACWMVTGERQAARIRSLYLKTILRQDISFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVATFIGGFTVAFVKGWLLTLVMLSSIPPLVVAGAIMSIVIAKMASRGQEAYAKASIIVEQTIGSIRTVASFTGEKQAVADYSKSLVNAYKSGIGEGLATGFGVGTLYSFVFYSYALAVWFGVKMILEKDYSGGDVLSVIIAVLTGSLNLGQASPCLSAFAAGRAAAYKMFETIHRKPEIDAYDTRGKKLDDIHGDIELRDVCFSYPARPDEQIFSGFSLSIPSGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNIKEFQLKWMREKIGLVSQEPVLFASSIKDNIAYGKDGATMEEIRAAAELANAARFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAMLKNPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADLIAVIHRGKMVEKGSHSELLEDSEGAYSQLIKLQETKSEGVGDNDKSGTRASDRLSSRGMSIKRSTSRDSSGLGNSSRRSLSVSLNLGTGPSFTEATLVESEGPGENKSEQPPKVPLRRLMHLNKPEIPVLAIGAISAIINGVIFPIYGILLASVIKIFYEAPHEMRKDSKFWALMFVVLGLASFIAYPSQTYFFALAGSKLIRRIRMMCFEKVVRMEIGWFDKPENSSGAIGARLSADAASVRALVGDALGQVVQNTSSAVAGLVIAFVACWQLAFIVVALLPLIGLSNYIQVKFMTGFSADAKLMYEDASQVANDAVGSIRTVASFCAEEKVIELYKQKCEGPMKKGIRQGLISGVGFGVSFALLFLLYATCFYAGARLVDDGKATFDEVFRVFFALTMASLSISQSSSLTPNSSKADSAAASIFAILDRKSEIDPADESGETLENVKGEIELRHVNFKYPSRPDIQIFQDLSLTIRSGKTVALVGESGSGKSTVIALLQRFYDPDSGHITLDGVEIRKLQLKWLRQQMGLVSQEPVLFNDTIRANISYGKEGGATEAEIISAAEKANAHKFISGLAQGYDTVVGERGTQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKGADVIAVVKNGVIVEKGKHEALINIENGFYASLVALHISAGK >KZN06767 pep chromosome:ASM162521v1:2:35683388:35685121:-1 gene:DCAR_007604 transcript:KZN06767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIRRKIHENPELGYEEFETSKLIRAELDKMGIKYRYPVAVTGIVGYIGSGEAPFVALRADMDALPMQELVEWEHKSKTRGKMHACGHDGHVAMLLGAARILQEHRQSLMGTIVLVFQPAEEGGGGASKILDSGILENVKGIFGLHVSSDLPIGKVSSRSGPLLAANGFFEAVISGKGGHAAIPHHSIDPILAASNIIVSLQHLVSREADPLDSQVVTVAKFQGGSAFNVIPDSVVIGGSFRTFSKETFAHLKQRIEEVIIGQAAVQRCNATVNFLEKDFCPPTVNDEALHKHFQNVAGDLLGISNVEDMKPLMGSEDFSVYQEAIPGYYYILGMKNETKGKFPSPHSPYFEINEDAFPFGAALQASLTASYLLEIQSENPQVKDDYHEEL >KZN06136 pep chromosome:ASM162521v1:2:30445303:30450638:-1 gene:DCAR_006973 transcript:KZN06136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRLRRGRSICCCVSPRSSSHSSSSFLPCYEEDLWIEIAKYLDGKSLVMLAATCHWFKRIMMEECVWKYACLRDLQVPDPRKVAFEWIKLYATTFDGSHSFMFRQQEKHIGEFPHIFTALLVLLVHILTCYLPCSVSMNKLDWMRIGAFFFDSSEAFLTEKLIYPSRLVRNENLEKVLQSLGSCVLRNIKNGIWIADLQLVRCPVCDQNTCDGTMQIVDVRHIELFLSEGYQDGSWDYEQIGHHDIKKHADGASGGIFDIKHLKDATTSELFNLKSWVGQPKDWQPKAMITLHAVAVNTNLQDNEVPRPTLYDDTFSSDLRYDLELTWSMPNCEECEKHGALCHFKNATSRQPTCSYEPKAGGIHVIKIIALCITVPAVVASMTIATFICFSKGPDAHHQDAELALQTVNDSEGLDESTIESYTKVVIGESRRLPAGPNDVTCPICLSDYHANEKLSAFLRADIASILSASMNGLDGKDLSSLQEFTFACTQ >KZN07599 pep chromosome:ASM162521v1:2:42118243:42118895:1 gene:DCAR_008436 transcript:KZN07599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNIFVTLALISVIIAPALATDFVVGDDAGWKTNFDYKTWAAGKEFHVGDKLVFKYSAGVHNVHKADLASFQSCTPPATSVALTTGNDVITLASEGKKWYLCSIASHCASGNMKLAITVLPQIESLAPAPQVMGDRNEFSAAAGFGTQLLWIGAAFGTVMMMI >KZN05066 pep chromosome:ASM162521v1:2:19588307:19588918:1 gene:DCAR_005903 transcript:KZN05066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLAGVAAEKQAHVVCIPVPVQSHIKAMLKMAKLLHSKGVFITFVNTEFNHRRILKSGGLQFLQGLTGFEFETIPDGLPPSDPDATQDILALCQSVAEYMSQPFQNLLTKLNTGKNQVTSILSDGFMTFSADAAQNLGVPIVSLWTVAACGFMGFYQFKNVLERGLVPLKD >KZN05091 pep chromosome:ASM162521v1:2:20119976:20121831:-1 gene:DCAR_005928 transcript:KZN05091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTARDGEEKPQGDASGESILSGFESSCGSSSDEAFDQEEFIAELTRRMAENMLQEDEEKLAISQPKPSQFHLQNIKGKKFRQQMKDYGNGSNRAKFLSSTLQNGSGMKVVFLGQTGLKNGSSGGTGVFIPHATNNLPDPQTRKKPGRCPTVLVPERVLHTLKQHFEKRTSNQAHPNGVFHTNQNQRVANNNVSNYQDLQLPQEWTY >KZN06325 pep chromosome:ASM162521v1:2:31961226:31962792:1 gene:DCAR_007162 transcript:KZN06325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGLILPKFIKFLSNAETKFDYLDISTAYERITAYWTRRDHITAYLGDRAWKLEVKARKPNRQRKTILEGWIQLREDLGLAVGDVLILECPTNSRHHFSLQVIKQAVA >KZN06177 pep chromosome:ASM162521v1:2:30789139:30794258:-1 gene:DCAR_007014 transcript:KZN06177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCSTRRELKDDNYKREHSLKGGILIREKDEDLALFNDLQSIEADNYLLQSDDDFEDACATKLSYFSDRKLGISVPIQGESSDILNVGEEKNDYDWLITPPEAPLFDFLDDETESLDLAQRGRPRSLPISILGSPKIERNRRSSKGSSSPNRLSSSPRSGNSTVQKRGGTSLTTLSSPTPSSRRSSSPPGKPSPSAPRSSMPTPQRLSTSCISGSRGTSPVKTSRGNSASPKTRAWQSNISGFSLDAPPNLRSSLADRPASVVRGSSPASNNGRDSSTRSSRHSMSPIAFRTVSSSHSHIQDPFSSHAKDVVVSSDDYDAESLLSISVGTSDHSPAKRLGSLANSRAPTFSKKLPRAGVCNSTSKRSLDSELRQMDRRKSPQNMFRPLLSSVPSSAFYAEKTSVVNHAITSRNSVTASSMTSSDPATSSTGFTEAIEKNQEEKINECVDTPYLDAQDDVFAFDKDDPLSEENVHGTQDESLSSHNGECEGGGVVDCNIQAGLEFQSNHDTAVSAAVNSEAFGVKGAALEVDGHENLVLCTNCGQLYSSNESLLEYFTLCPDCRSDVLMIDNSIAASTIVTENSPRVSTVTLKPSKLIDAVDSAIAEPKYSGVSGMVEPVTSQHEDIVGQSRTSYDESIWNFLSTDFLSRTPVEEGDSRHANQQVAGPSIASYCLPDGNTGGQHMQQNFEKSTVKVDASGGGAGIYVPLNRSSSCKASYVQSRSFTASSLSFDDPSYVRDSANSSRVSHGHGSLSASSSVDWGSCRRTNTRLQRQSSGRKSGVEINKYDKGATHRRTRSSLSRISNDGFQPSGLATNIPDCSDRSLTQVQSDMNITLTATDELLLSSRNIGEDDACNVSETNDSCRTLNIFATESSSHILQMDLEGRQDTPFTTSEGSISPDNGKDLSDNRKNVGDVEALTNHVKCSIIVEETTPSSFVEKVKVTEVPSQSLLEMETETKIEIVNDGSSGAQSDAVSLDSKRSFDEYQESQSTAAANKVTTSLLVGSDVLDQKNCTPESKRGSKAGSMIPGEVTDTILFCSSIIQKISYDAATIAVENEFPDLVEVSRPMVTMLGTKGPERKDSRERIIVKRTSKPQRAKRVEADLKPPNSSNGEENADATKTRIVGVSNIDDGNMKAPTKLESKCNCTVM >KZN06451 pep chromosome:ASM162521v1:2:33019349:33022011:1 gene:DCAR_007288 transcript:KZN06451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNAESLLCLVKDVTRISAAGYDGPFKKDCVDLSRRIVLLSHLFEELRHFSGESRPSDNLASSSTSSCCCLSEFTVVLEAAKTLLSSAASFDINISPEGVAKKISFQFQCLTWQLQTALRNLSFDQFDISEELQEQVDLVREQLRRATERYGGPVTSYVLSRSFSLPVDHKVTKKLERAVTGNSLKGHDQGQMIHSLESRTHTSVVSGLSALDNPDDDNIDSLATKGSVENKKLIPPDDFLCPISLELMRDPVIVSTGQTYERYCIQRWIDCGNKTCPKTQQKLHHLTLTPNFALRSLISLWCIKHNVDQPTALATGRMKRSDGTFCDVSGKVADILALVRKLSSHLTDECRAAVTEIRTLSKRSSGNRILIGEAGAIPILVKLLVSEDNITQKNAVTSILNLSLYQSNKGRIMLAGAVPYIIQVLRAGSMESKENAAATLFSLSLGDENKIIIGASDAIPALVELLESGSTRGKKDAATALFNLCIYHGNKGRAVRAGIIAVLSNMLKDTSSCMVDEALTILSVLASHQEGKVSIIKTITISVLIDHLRTGVPRNKENVASILLALCKRDPENLSCISRLGAVIPLSELVRSGTDRAKRKATTLLDHLQKLKQL >KZN06748 pep chromosome:ASM162521v1:2:35557577:35562691:-1 gene:DCAR_007585 transcript:KZN06748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVLPQDIYAEDNDKDYVFIKKNCSCASNVKKYLTNTTFTVRKNGGSVYDMVIDEYGGLGIFSNSRRAARMGSVVSLQLMCGCSSGLWNYLMSYVMKDGDSVQSLASRFGVSMDSIENVNRILDPSNVTVGDLYYIPLNSVPGKPYHLENEIPPAPVPAPAADNFPEHHHKASVPYGWIMGSLGVGLAVIVVVVLLFVSLSHAKDQDEKSSHKFQILRSRSFCCGSGRYLCCKPGDIKHSPGESSDRKINIPKVIGTDVFDMEKPVVFTFEEIVSCTEGFSDSNLLGHGTYGSVYYGLLRDQEVAIKRMTEMKTKEFMSEMKVLCKVHHTNLVELIGYAASIDELFLIYEYAQKGSLKSHLHDPQNKGHTSLSWITRLQIVLDTARGLEYIHEHTKPHYVHRDIKTSNILLDGAFRAKISDFGLAKLVGRTNDGEESTTRVVGTFGYLAPEYLRDGLATSKSDVYAFGVVLFEVISGKEAITRTEGSVVKNSERRSLVSIMLAALRNSPDFTSMSSLKDHVDPNLMDLYPHDCLFKVATLAKRCVDDDPILRPDMKQAVMSLSQILLSSVEWEATLAGNSQVFSGLVQGR >KZN04116 pep chromosome:ASM162521v1:2:2195046:2212562:-1 gene:DCAR_004953 transcript:KZN04116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPPFGMEDQTDEDFFNKLVDDDDDFNAVSLKKSGLGLGAKVGGGNEVDEVNVFRNLSKGVEESSAHKGLTAMEFVELTGKFSDDLIKLDNDEKGSVVEANLAGEISEDVGILDKGDKRTVVGVDLAASEGDKLGNSGIKEVQWSAFNAVVVQNGVNDLDIFGEFGEREKMEESLACESKVVYGSDEHCSAYMDGSQSCSQYQDGQVNAAEAVYSADGQDVNSTEYWEKLYPGWKYDHITGQWYQVNGYDATSSAEGRFDTAVSNETTDVSYLQQTSQSGVGTVAQSGTTESVTSWNQTSRMGEATEMASKWNQISQTIDSTESVSNWNQVPTSNNGYPSHMYFDPQYPGWYYDTIAQEWRSLHTYVPSTQSAVQSANHLNQNGFSSNCTSQIDDQKTPGLYGQVGNHVAGGFSHQSQDYNWSGSFTNYNQQDSNTWQPSSANSGFQGNQKSANQYDHKHSLTNQVSQQNSYDYEGSVPYNEKASQGLNDFSTISEHQSFISGGNFTPHYNQPQIKENEHMHTSSNYYDNQTASNYFQQQYQSGNQFSNASTAVRSSDGRPPHALVSFGFGGKIIVMKDGNSPPGNLSSAGQVTVGGSISVLNLMEVVSGRPDAINSRSGVFDYFNNLCRQSIPGPLTGGNVSAKELYRWTDERIANCESPDIDYRKAEVLRLLLSLLKISLQHYGKLRSPFGTDKALKETDTPESAVARLFASAKGHGSDSSKYGALAHCLQKLPSEAKMQATASEVQTLLVSGRKKEALISAQEGHMWGPALVLAAQLGDQFYVDTVKQMALHQLVPGSPLRTLCLLIAGQPAEVFSADSSANGNISNTKLGANGMLDDWEENLAVITANRTKDDELVLIHLGDCLWKERSEDQTDSSKEESSTNTQGKASVSGETSRFGRFGFGSQFIQKILKPRQEKQDYL >KZN05034 pep chromosome:ASM162521v1:2:19158212:19162492:1 gene:DCAR_005871 transcript:KZN05034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGTSLPNQDMDMEQGSDSSKTTYTQIILASTLGLIIAAALHLRLKKARNSRIVPLIRVADNGQPVKLERFSHYVARQLGFADKRECPHLCKLASEYLKKSEGIEENIYAFFADNLEVDSLFVKLVEEFERCILSYFAFHWRHAHTMISQVLGSDSEPKKKLKHIVMAATREQRFERVTKNLKVARVFTTLVEEMKAIGLAAADDSQCTDVMVPMAHKDRSPVLLFMGGGMGAGKSTVLKEILKEPFWAGAAGNAVVIEADAFKESDVIYRALSSRGHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGVGYKAENGVVTENYWEHCNEEVEQDGTKKRRPYRIELVGVVCDAYMAVVRGIRRAIMCKRAVRVKSQLTSHKRFASAFTTYCNLVDFARLYCTNALEGPPKLIGWKDKERNLLVDPEEIHCLKTIGMLNESADSIYELYKATHALKEGAIWKDIVLSPSRMLIQRELKFSIQKVESMKD >KZN07659 pep chromosome:ASM162521v1:2:42495996:42516750:-1 gene:DCAR_008496 transcript:KZN07659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDNDAFQDHYHRLSGEKSSTPHPVLRPYDLPKFDFDDNLQTHLGFDSLVENEVFLGISTQEENQWIEDISRVSSGIEFTSSATESCSISRRNVWFEATSSESVEMLLKAVGQEEGVLEEAVIEESYAVNELGKLTKEMEPILNQNDKVEENVLLQPALPLDIVTDGQVDSAVADHSSVVSTSEAQRDDPVGVVVNEENIEPDSKCDDADNMEVENSINQSPADNPKESPSVSRLHLEMVNIENASSVSQTVILKSGEMNNQEKSDQATVVFTESVDAMLVDNSEGVVEHKVQSKESAVVDETVSGNTGETSADRVKYPHCVDTRVEPLIEKKEEFYITTSEEPSESPSKVDSHIYVCKESSNDIASIDISKHLKAVVCSENPEPLREYCPSVSQGDRSSNDKVVEAKDAEPVLSATPELETGSVEHSKCLGNQVACSESQNIDICNNKVETLSRPEMEMDSKVKDKFIENNHQSDTNVLSVASVGHEAGKQDVRSGEAPIGGLSSLTSTCSSSSLLMEQPSNKSYIGEGCKSPLAPGESVKLKDKEINSAEVVESKVVGLLHSDECSEVNVIKPPVLEFIGTKEQAEEVNFIEQASSEVGVNSVDDDRTSAADTEARGDKDFSCLNKSLESATGLKPVNEYSKASQSDQEATEAGKECSEKLELCSVSVASTTKPTDATAAAESDNNNDIPKEINAKVALVESPDGLQASVALACPTTHACDSIDIKETTNDSSQHIESANADGTTMSVPLHLEIEATREPSSFTFDVCPSSAPYEGKESGSFLSFPSIQDLKESPVTPKSTQMVPEVSHKTPQAPLVTEGKAHAGVKVTPERKTRRASSKATVRSAKKGNSVKEVTSGSQLDKVDKSPVSIHTPRTGQSVQFKDLKPCSDVARSGTKPLAFLPIPTSNLLDLNTSVPSAAYFQQPFTDLQQVQLRAQIFVYGSLIQESAPDEACMISAFGQSEDGGNVWGPTWRACVERVISHKSHASNMETPIQSGLKASGQLDKHSTLRNKVLPSPSGRASSKSMPAPSATPIIPLSSPLWNVSTPYDGLQSSGMPRGGPVDYHYPLTPLESYQVPGTRNFVGNTPLWPSQSPVSSTWINSPQTSTSDANACISLLPSTEPVKQTPSKDLSVPSFPGMNIALLQPVPQDSSGTAVLPRTSLPDMSKVAAIPRTADSMPKKSKKVLTSEGSGHIPPLVFNQGVSVWPPGVNSQFSPAPEIVSQKLLLPQCRTESVQTAAVSSLFSTSVAVTADRSKPASSPSNFPAAVSSVYRGDQPNRMDQNLEKSIIPKEASSTVEEAKRYAETAAAHAANAVSHYHDIWSQLAKQKDPGVIDDVEAKLASSAVAITAATSVARAAAAAAMIASNVAVQAKLMADEVALSSAVVDTTGNTSLSDATSSAVLKRGDGSVGPSSIIAVAREAAKRRVEVASAASKHAENLDAIVKAAELAAEAVSQAGKILSVGGPLPLSELKKLAPVGSERSADVHIVDCDQPKAFSIELFNFSTEEPKGGPSSAESKKTGMLSSVQEISKARNGCNSVCYGFSGKVPSQKKELPKAQRGCRASELAKTTGVVPEAEAVLRSNLATSDDACANAVGPSIENGMKEGCLVEVFKDGGVFKSAWYSATILELKDGKALLCYTDLQAEDGTGQLKEWVPLQGDYTSMPTVRIAHPTTAIKSDGTSRKRKASVMDCSWLVGDRVDVWMHDCWREGVVKEKSQNDETTLTIDIPALGDTSIARAWHLRRTLTWLDGKWTEWSSPRQHSPSQENLPQEKRVRLGSPIEAKGKEKISKGVDFVESRIHEESRLLPISENEKEFDIGKNTVHENRQGSRRTLRTGLQKEGPRVVFGVPKPGKKRKFMDVSKHFDSDQSRKNMTTDDSVKLARYMAPQVRGSRGWKNSAKIDLKEKQVAGDKAKVLKSGKPPIASGRTQRRKDNYLASTKSSRAAMVTDKTSDEAISSEENDTSHDNLMEFGSVSDSQDTSEGQTLVAPKKGSSSNARIERHNKGNSVSSGGRMGKKNELQEKLVSEFGEPRRSNRTIQPTSRMDRRKIIKKAEELMETSMKGNDASHDAAHVYRVRDLALSLAREEGLSSSPHSMDIVELSALLHDLGDYKYARDPLEEKIVETFLVEEGIEEEKKLKILDIIKRMGFKDELQGPKDGCYSPEFGVVQDADRLDAIGAIGIARCFTFGGSRNRVLHDPSIQARSDLSKEQYVKKDEQTTVNHFHEKLLKLKDLMKTEVYSIIEGALFPALVL >KZN07298 pep chromosome:ASM162521v1:2:39829056:39829676:-1 gene:DCAR_008135 transcript:KZN07298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSFRVHSTSDKDVEANSDHQSEKRFDYLQRAQWLRGAVLGCNEGIMTSSILVMGMTVHIDTTKDLIITGLVGLIAGALAIATAEFISVYTQVDVVEAQNDRDRRSGRVGRAPVPSPTEISLAASLAYVIGGVVPILTALFVRPQVMRFVAVAISASFSMLGAGYFAASLGKAPVLRSCARVLMGGWIEIAILIGKRKVLESFGL >KZN06212 pep chromosome:ASM162521v1:2:31012765:31013121:1 gene:DCAR_007049 transcript:KZN06212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSDSTVIVVVFVSLGGFCFLAFVLFALCCFIKYRKKASQEADNVRVDEHMKIKEDIVQGPRGTEVVMLTIEDDVHIEEEIRKNENFGAGMHAKSADQIVAETSSRSGDQQAEHKV >KZN06815 pep chromosome:ASM162521v1:2:36046182:36049365:1 gene:DCAR_007652 transcript:KZN06815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELSVQSVTVILLIIAGVTQGSVHEYSAKDDKFVRKGNAFVLHGGSEGIYSSPSRNSFIRFEKLTFRRPKEISNFSSGVVHAVLFEVDDRETIGGSAYGGQRSVCCTSDLAKLGVCKQGEIIHRQSTKNPGWPHVLGASFSADEETSMLPPRSIEITRTGMYNLYFIHCDPRIEQLVVEGKSIWKNPTGYLPGRMAPLMNFYGFMSLAFVLLGIFWFSQYARFWKEVLPLQNCVTLVITLGMFEMALWYFDYAEFNETGVRPTGITVWAVTFGTVKRTVSRLIILMVSMGYGVVRPTLGGLTKKVMILGVTFFVASEILELVENVGAISDLSGKARLFFVLPVALLDAFFVIWIFTSLSSTLNKLQARRMMVKLDIYRKFTNALAVAVLVSVGWICYELYFKSTDVYNEHWRNAWIIPAFWQVVSFSLLCVICALWAPSQTSMRYAYSEDGTDEFDKEDTLTLIKPSPLPMGDARSPDVRQVHGGDGLSNDESEEDKTE >KZN06975 pep chromosome:ASM162521v1:2:37273650:37274183:1 gene:DCAR_007812 transcript:KZN06975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVAAASAAANYRRNSATTTTAKKTNYTPNNYYISFSPSPPCHNRYEINKRQDWNKFVHYLKNYHHGHGQPPMSVRRCSGSHVLGFLKYLDQFGATKVHKMSCEYYGQAYSSVACSCPLKEAWSSLQSVVGRLRVAFEEYGGSPLTNPFGSSVVWLYLEEVKVSQAKARGLSYKC >KZN07220 pep chromosome:ASM162521v1:2:39218857:39225092:-1 gene:DCAR_008057 transcript:KZN07220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNSLGDFCFEDDDEASDRLCFDIDSLWDEIDDQTKSEDSMVNVASQELPKLDELPSHGIDGLGSDACSFSFNSEVSDPRAGSSEGSSNSAGKSVIFDGENQYYYEQNGTPMCTLTGSFPDWKSVTPYGSEGLTHNLSTENSDITYGSYGDLADNSSHSLGAPDIAMEFYDQNSTGPGRAYSVPSIQCSTENFGGQFVENNVEMVANVIDDFEMLQRNVNCSEDTVKFESPLETSGGTYSAAPENIKGIDYRYYRMLFDNAVDNAVGKTYSAQFLPQITSNSCSNVQSICMKDEISNLQDHVTMNGATGRKFSGADQMSCKNQSFHLKDEWYATQGSANSTTVDLDYVAERKPSEIADGLSTDKNLRQPLTGKLASTIRKSQVIAKDEISDVYFASSKANHSFVKDESCMILSPIADDPCLDSLKRPCLEQCKQLVSPKEEMDNKWVQSRSRGSYSSKGSQQTVHRDSWVQKSHVDDDPDICILEDLSEPARRPQPIVNVKLNIPSRSSLLVESHNHTDVGGVRLKTKDERLIFRAAVQDIYQPNSEANPPDGLLAVPLLKHQRIALSWMVQKETNSEHCSGGILADDQGLGKTISTIALILKERSPSSKISTNKQKMDMINLDDDDDGISNLSSANQGNVSSQDRVSGTLSIIEDTSVQHKVRGSGKAFVPL >KZN07085 pep chromosome:ASM162521v1:2:38241075:38247982:1 gene:DCAR_007922 transcript:KZN07085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLSLLFSLTLLLIISPHVSNSLPFSSYQTLFSLAHSLTSRVANLRASRGDLAGAARARSFAQKIRPGTSLGFWRFMLSAGWDYVRNYSWRDLGSVSDAWGIVSDLNELMRVVNDLTRFDSNADRAAWVQGNYKNVLRITKSLFRRLTKVFYQKGPLRELVQMLQKEVVEGELLRDCLELGSGDLKALIQIVKDIVLQYSPTNGAHAQPQVPCYFIFGDSLVDNGNNNQITSLAKANYRPYGIDYPQGPTGRFSNGLTTVDVIAELLGFSNPIPPYSQARGRAILGGVNYASAAAGIRDETGQQLGQRISMSGQVNNYKNTISQVVNILGDENTAANYLSKCIYSVGLGSNDYLNNYFMPNIYSTSRRYTPDQYADVLIQQYRAQLVDLYNYGARKFVLNGVGQIGCSPNALSQSPDGRTCVQRINSANQLFNNRLRSLVDDLNRNQRDSKFIYINAYGIFQDLVTRPANFGFRVTTAGCCGVGRNNGQITCLPFQNPCRNRNEYVFWDAFHPTEAANIVLGRRSYAAESGSDAYPFDIRRLAQL >KZN05477 pep chromosome:ASM162521v1:2:24232966:24234486:-1 gene:DCAR_006314 transcript:KZN05477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDASAGEVAAVSDVLVQYIVLRRDLIDTWPLGSVVTQGCHAAVAAVWAHKDDEHTLEYCSPDNIDSMHKVTLEVKGEPQIRNLSEKLVAAGIAHKLWIEQPENIPSCLATKPYPKSAVSSFFKKLKLCK >KZN05697 pep chromosome:ASM162521v1:2:26420850:26421495:-1 gene:DCAR_006534 transcript:KZN05697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGIQKQLLCFATLLFLVLMQTQVLCYQYKVGDLSAWGIPTSSNKNVYSKWSKKYSLKIGDSLLFLYPPSEDSVVQVTEEAYNSCNITDPILYMNNGNSLFNITSVDNYYFTSGQKGHCEKYQKLKISMLSGSGPSSAPPYGPAASPSYENVFGSIPAPPSSSSSINIPVFLSVAIGFLSALV >KZN04053 pep chromosome:ASM162521v1:2:1237799:1238803:-1 gene:DCAR_004890 transcript:KZN04053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVWDAPEQGYKKINVHCVVVEHPMPNGNLNGVASIIRDSSGENLWTALGSLSDLTEEQAIVTALHAACEHAIAKGWDKTHIETVSPRVYDTISLPDHIILNDDQVEVYRNFNILYANNFKEGLTKRKVACVPRFMNQTAEFLAIYGLKNITEFGVVEQPIAGLGFHLARDMGKTLIPPYVAVSQILGDGEVVDGPPPPKKAKLFHVARPPMRAEKGKEKVYATFSFNNNGALHPTAVKLLDEGKLTRFSEEFAKQVVDLDTAVGNGIFARDVLHHAMMGTMKSIIPKIYVPKNRPVLENINGLMSVEQVLVLMGFDHDSAQATQSSSSGGIV >KZN06297 pep chromosome:ASM162521v1:2:31782612:31784208:1 gene:DCAR_007134 transcript:KZN06297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRKVVFFLIFLSFASGIYANIGEFDDFLKQQAEEAKQIAEQSYNPHPEEVANSLNKQVGDLLHSNRTRRHLAGAECMATNPIDRCWRCHGDWHENRKRLADCGKGFGRNTIGGRDGEIYVVTDPSDNNVEEPTPGTLRHAVIQMRPLWIIFSKSMVIRLEEELIMRKDKTIDGRGVNVHIAYGAGITIQYISNVIIHGIRIHHIIPRPGGMVRDAVNHIGLRTISDGDGISIYGSSNVWIDHVSLEKCSDGLIDAIEGSTAITISNCKFNNHDHVMLFGGSDKSVQDRIMQVTVAFNRFGKGLIQRMPRARHGFFHVVNNDYNRWQMYAIGGSANPTIISQGNRFKAPENPFAKGVTKRDYATEEEWKNWQWRSEGDLFKNGAYFNESGPPFIQENPAIRRRLIKAKPGSFAGRLTRYAGALKCKLGHPC >KZN07632 pep chromosome:ASM162521v1:2:42339356:42343182:-1 gene:DCAR_008469 transcript:KZN07632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPETQADKTLQDAWDYKGRPAVRSSTGGWTAAAKILGVETCERLTTLGIAVNLVTYLTSTMHLGSASSANTVTNFLGTSFMLCLLGGFVADTFLGRYLTIAIFAAVQGIGVTILTISTTIPSLRPPRCDPGSPSCVSADGMQLVVLFTALYLTALGTGGLKSSVSGFGSDQFDETHKEEKIQMTSFFNWFFFFISLGSLIAVTVLVYIQDNLGRRWGYGVIACAIGIGLVVFISGTKQYRFKKLMGSPLTQIATVFVAAWRKRNAESPSDLSLLFDIDDIENEGSKKKQKLPHSKQFRFLDKAAIIDTNNKMNAKMIHDKWSLSTLTDVEEVKMVIRMLPIWATTIMFWTVYAQVTTFSVSQATTMDRHMGKSFQIPSASMTVFFVGSILLTVPFYDKVVIPIAKKTLKHPQGLSPLQSIGIGLVLSIFAMAAAALTEIKRLDVAREHKLVHNHNAVLPISVFWLVPQFFLVGAGEALTYIGQLEFFLKECPKGMKTMSTGLFISTLSLGCFFSSILVTIIHKITGDHKPWLADNLNEGKLYNFYWLLTILSILNLVLFLFGAKWYVYKDKRLAEEGIELEEEEAAHH >KZN05354 pep chromosome:ASM162521v1:2:23002512:23004882:1 gene:DCAR_006191 transcript:KZN05354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPMLGVANLDASVTDSDLYDHFSNVVGYRVIWAEVRKDRRTDQCLGDGHVCCNNHQQAVYTIDALNGTTLKGKTIVVTPSTFTFNEVTVELCVPPRTFNMEIPLRRNFKEFGKILLIKVYEDMFSNTYGSIIFDSLQSAASAANELLRNEFALCNMYYGGKSLVDPQFPLDIVDPSTLFCPPKEQTSEGFNVRVDNLYFFVTDQYLLEQFSPYGKISFCEVMRKPDLSNGSGRVTFSTREEAMEAIAAMEGKDIRGSSIKVTLEEENIPSSQVPLVDELEHGHAVKKPKVDNAVGSSQ >KZN06485 pep chromosome:ASM162521v1:2:33273040:33277092:-1 gene:DCAR_007322 transcript:KZN06485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFMGLNSKESVIVIKEEEGCKDSLDTFNPPNKFQSGENQNREGGAYFSMTNYPVQQNAHLVHLPSHDAKAVVGMNQGISLSMNSSFFKTQFAGAGQKFTAASTKPFNLGGVPITAPNSHPSFASQAAQKEQWFGPKTPKAPSQMTIFYNGTVNVFDDISPEKAQAIMLLAGNAPSAAYNVAQRRHHLLAPSAIPVAEDVSFGGQLMNMPPGSGLSSPISVSSHPTRKSVGGSTNIDGPTKVNGSSSIPFNKAPNTGTSVGHVAATTMMQSAVPQARKASLARFLEKRKERTMSSAPYNTLNKKTTESTIPGSNVRTSESSGLAAAQVSNGRGSSN >KZN06211 pep chromosome:ASM162521v1:2:31011452:31011760:-1 gene:DCAR_007048 transcript:KZN06211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFITSYFDHKHHHHNGSGHQKLLETPHKLIDAPPYSSIYLTSSGFEAPTRRKLLLKYECANRGNAHPGVKVDAENNIDAEAEDFIKLKHKNFLLACTDIQA >KZN04256 pep chromosome:ASM162521v1:2:5374154:5374405:1 gene:DCAR_005102 transcript:KZN04256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPELMGIMPLIYRAMDNEKHDIVNLLTQTYQRGVQMMQFQKEVQTRESANVHQFISANVHQFISDMDRGNEGMYNAINFNIT >KZN05214 pep chromosome:ASM162521v1:2:21253029:21253385:1 gene:DCAR_006051 transcript:KZN05214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWMDESQDSVSAPPAHLLMFFGLLMLVMYYSIRSEYEEKVKETKMELRLFLVILLPLLVILATHLTVKWSDVRDTSQVVYETLHEEGSSPWGVAFVLLLVLFMVNYRSSIYSAWFRP >KZN04330 pep chromosome:ASM162521v1:2:8277031:8279378:1 gene:DCAR_005167 transcript:KZN04330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYNRKGLAPPPLQCYCIILFLITQINGQIPNLLPPIPNLLPPIPNLFPPIPNILPPVPNIQPPVPNLIPPIPNILPPIPNILPPVPNLIPPIPNILPPIPNLIPPIPNILPPIPNVLPPIPNLIPPIPNLPSIPGLQSPPAEAETCPKDTTKMKVECLDVLGVPVLPVGALLNPLRSPCCKLIEGLVNIEAAVCFCRALSFNNTNPKVNVPVSVVLLLNYCQKDRPPGFVCASS >KZN05678 pep chromosome:ASM162521v1:2:26231632:26231847:-1 gene:DCAR_006515 transcript:KZN05678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYREMKITVEKVLERGDVNGVNHITADEEVLKSFSKCSGIDGFTSRNHPTIIQVLPHSSLTAPPLLPIRP >KZN06745 pep chromosome:ASM162521v1:2:35518340:35528351:1 gene:DCAR_007582 transcript:KZN06745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCNMEDTFGSSKTTVSKTVQHTSVKKDDENDAVVHKEMNTHDGKIFIDKFFEIPEEDNDKFLRKIRTRMDKAGIDLPKVEVRFQNLSVEGNCLIGDRALPTLPNVAWNVAESALSGCLGIKLAGQAKHMILKDASGIIKPARMTLLLGPPSSGKTTLLLALAGMLNSTLKVTGEITYNGYRLDEFVPQKTSSYISQTDIHDGQMTVKETLNFSSRCQGVGPRYELLTELERKEKEAGIVPDPEVSYFMKQTATGGVENCFITEYTLKILGLDKCRDTIVGNEMHRGISGGEKKRVTIGEMIVGPTKTLFMDDISTGLDSSTAFQIVKCLQQIVHQTEATVLISLLQPDPEIFNLFDDIILLSEGQIVYQGPREHVIDFFESCGFKCPERKGIADYLQEVTSKKNQEHYWADRTQTYKYISVVEFAARFESFHVGLRLADELCVKYDKDRMQKGALVFKKDLVSQKELLKTIFGREWLLFKRNSFIYAFKLVHVIILAFITATVFMRTTSYTRNEDDGAAYIGALTFVIMSNMFTGFSELAIIMQRLPVFFKQRDHLFYPLWTVTLPTFVLNIPVSLLESTVWLAVTYYTIGFAPEASRFFTQLFLVFLIQQMAAGSFRVVCGVGRTNVVANTGGSLALLLLFLLGFILPRDEIPNWLDWAYWFSPIPYAFSSLASNEMLSPRWTNKMASDNVTSLGVAVLKSFNFSANPNWVWVSAAALLGFAILFNILFTFALTFLRNPTDHRQATISKVTGNDESRNHQIELLPNPRSSSGNIPREIAIQQINGSNFKEASRQDSSLEGVHAVNPKRGMVLPFTALNMSFNAISYFVDMPPIMKEKGMKEDKLQLLEKVTGAFKPGVLTALMGVTGAGKTTLLDVLSGRKTGGHIEGDIRISGFPKRQETFARISGYCEQNDIHSPQVTVHESLIYSAFLRLPTEVSKEEKMPMVAVERTVFYRERAAGMYSSIPYAMAQKIPKYWIWYYYICPMAWTVYGLIVSQFGDVTETVQTITLPHPTVKAYMEEHFGYDPDFMGKVAIILDLGGSLALPPPPVGVPTGVKLQLVDPVIL >KZN05412 pep chromosome:ASM162521v1:2:23588634:23590763:1 gene:DCAR_006249 transcript:KZN05412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVELDRLIAARDVLRNGIAESRDMAWAISEAGCRLEEMNQRLPALEGSLKNIARKCEMNKFHVDHAVGPAAAVLSIYNVVIQLQEWLVVADLRDSDVLIPYVYQVKRLEEALGFLTQNCKLAVLWLEDVVELVENNAVRAQYNHCFFSGHVRKSLGILRNLQAMEERSRLDGGLLHAAFTNLQKEFRHLLVQNTYPLKQQTNDTDSTAVSLLAYPVPVVEKLQLILERLTANNQLDRCVSIYTEVRAFNLQATVQALDFGYLETTSLSEFDSLQSVESCIEKWSDDLEFVVKHLLELEYRASNAVFNREVDGLDVANECFAKTVMKCGLLQRFIKFANTITRGKKEAVKLLKLLDIFESLNKLRMNFNRLFGGQTCVEIQSQMRDLIKKVINEACDIFWELPAHVESQRQSTPPADGGIPRLLSFVVDYSNELLSEYYRPILTQVLEIHWSWNCNHAPKEDLEKQRQQFLLNEELHYKKIMKALELNIQAWGRTYKDSTLSYIFLMNSHWYLCNNLKGTEIGDVMGDLWLRGHEECVEHYSSVFVRESWGRLAPLLTEEDEGLQVLLSGGGTAVLRDSMKRRIKAFTEAFDEMYRKQCHWVVPDTGLRWKICQLLVRAVVPVYSNFILSCISNLEAEAAEKDGNFSPTLAGKRCVNNSKESLENMLCSLFQPKLAKKHGASAKSRHLIGKIKNVVTNHFLSTSAAA >KZN04402 pep chromosome:ASM162521v1:2:10318482:10319684:1 gene:DCAR_005239 transcript:KZN04402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQKQKAESSNVVQCVLPDGENRDHCQAYSEPPQQRRLNGKAVIDDYWLKSDQKYIGNTRFRERVHGCDEHEEAALNVCFKRARGDRESFIPRLGGGKDRHCKVATSKGLRDRRVRLSANTAIEFYDVQDRLGFDRASNAIDWLMKEAEAAISALGKDLPLAQLPYYYANKETHQQMQLQSNFLNPEFSIHRSDQHHFNDNPDENLSFISLLGGSNSSNSVAFPDYQHGFDPRIRNQNQDSCLSLQSFQDDPTILQQNLSPFSLAEHDNSISLGPSPIINFNASPNPRWFHKVADRNSTSKLRVLDSTEGPFLQQSEMCFQGESIQSTFIPSTNNSPSRRDYDWMPLLNTSSVTRTGLVYNELSNSAVIRGQGETTKPIASSSSAANAFLHYQDYQNQD >KZN05916 pep chromosome:ASM162521v1:2:28311869:28313807:-1 gene:DCAR_006753 transcript:KZN05916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKESLDKFKKQQEMCQTTLSSIKAGSKSTPRVTPYSTPANAKSSAPAVKFSSDTERLQHINSIRKAPVGAQIKRVINLLLETRQAFTAEQINEACYVDVKANKAVFDSLSNNLKVYYDGRRFSYKSKHDLKDKGQLLKLIRKFPEGIAVIDLKDAYPSVMDDLQALKAAGEIWLLSNFDSQEDIAYPNDPRVPIKVDDDLKQLFRGIELPRDMIDIEKDLQKNGMKPATNTAKRRAQAQVHGISNKPKQKKKKHEISKRTKLTNSHFPELFKNLS >KZN07439 pep chromosome:ASM162521v1:2:40858724:40859581:1 gene:DCAR_008276 transcript:KZN07439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTSRFNNIHQQFHSPDFTLQTQQQQQDDRNNHPFSGDHDEDSGQQPGHDLVPGNNGSGNIVGRRPKGRPAGSKNKPKPPVIITRESANTLRAHILEVSNGCDIFESVATYARKRQRGICILSGSGTVTNVSIRQPAAAGSVLTLQGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELTAAGPVIVIASSFTNVAYERLPLEEEESGLQMQGGGGGGGGDGSVHNTSNNPFPDPSSGLPFLNLPINMPPNVQLPVDAWPGNSGGRPPF >KZN04723 pep chromosome:ASM162521v1:2:15053623:15056094:1 gene:DCAR_005560 transcript:KZN04723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQFTQDSTNVPRGNAQQMEGKKKWQLDKILKHWFLLDYDLVEAINKGNHSLMPMAIARVNYLIGKVPAKLLAEGINGEEEALWSIHELLYNNGCWEKANNLVIADYDVSAIEKSTDPVLLFLNAYTHLIHPNTRVGALKGKREDVRMALNQIHYSSIEAARQSSQVGVTIKNNRTKFYSQLQEARQFISAHSNLVEPSVLKDAMSVALATTKAERAAPNEPQQQEEAALQETVLHTSNWIPREADSSCSLPKSSTDLYISEVDNNVSEDGTVCSEVLAELQNLKVQVKRGRPRKYKKPQLNKHFKLPRKKKTRGEGLKQTTHYFLNAGFDEAEAIYETGRLMGLLPSDSKERSIELIKENL >KZN04533 pep chromosome:ASM162521v1:2:12319327:12321553:1 gene:DCAR_005370 transcript:KZN04533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDTDTSWVSHSLDSIVRNIGVFNSYSELSDEENNESTTVSVDLILPDDLLELILAYLPVASIIRVGCVCKRWHEIVSSMRFLKSLTHTVPQKPWYFMFTSSDEPIGHAFDPLLRKWYSIPLPCIETSSWFIASSCGLVCFMDNDSRSELYVCNPITKYYREIEEPPGVKFCDYSALAISVKKASYCYNVSVVKSVQVQGNFFEWEVSIHVYESETMTWATPLVEVLTGWRGGDESVICNGVLFFLIYSTGGGMPENRHGLVAYNLSGRLSHGSLMRGFIPMPCPLTCGRLMNLKDKLVMVGGIGKHDRPGIIKGIGIWLLNGKVWQEISRMPHKFFQGFGEFDDVFASSGTGDLIYIQSYGSPALLVFDFNQKQWKWSQKCPVTKSLSDNWSVVLILTGNVILVSDTELIILLNSPVASSAALVPSSFDKFLSKNQNVYGKGPNHVRSVIRIRDHLMLRNVRNYINHLLGKNVDSQASLQIHRSRDEMQKKSIYIAVHNDEDTH >KZN05011 pep chromosome:ASM162521v1:2:18932830:18933777:-1 gene:DCAR_005848 transcript:KZN05011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSMVICKPSQPFLQIRNSCKSSRPPSKDKVVVVLGATGTGKSRLSINLATRFHGEVVNADKIQVYEGLDVITNKITDEESCGVPHHLLGFMNPAADFTSTDFCDRASSALASVVERRRVPIIAGGSNSYIEALVDSKMHGFHSRYDCCYLWLDVSMPVLHQVISERVDVMVDKGMVEEARQYFNPNGDYSKGIRRAIGVPEFDYYFRVEAFADEETRTRLLQKAIYDVKINSCRLACRQLEKILRLRNDKGWNIYRLDATDALQKNAKESSKAWKELVLEPSMKIVNKFLTTPGPNIYTNKVPTLTAMAASIR >KZN05218 pep chromosome:ASM162521v1:2:21344068:21346031:1 gene:DCAR_006055 transcript:KZN05218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPEDLSSSLSGFIQEPLPISNPIPNPISGPATAKRKRSLPGTPAEETASRVPAPNTLHLRNELMMNMNNLQSNGSAQFSGMFGSVDDQTGQLNLDGNKSRLPLWLDHNPNAFLASNSGGLAPELVQQIPNNMYGLVLKEEQDTGGNMSSMYYANNINTTPTHHHQEASQSHMSATALLQKAATMGSTRSNSPGMLANSFGLMNSTMSSNAHNFSSLNQGAIMLMGDHHNNAARASDRFMMMMGEKQIHENSMNYNQLGGGLTRDFLGVGENNSNQNIFNSGSNINNSELESGRLFLQQELQAKFGSMSSTMDLAEQYSCTTTGTH >KZN06283 pep chromosome:ASM162521v1:2:31691087:31691557:-1 gene:DCAR_007120 transcript:KZN06283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGGGLGFFRALFAILILLGFVLSLAGGMIESSVKSETNWINLSESEAGGLKQMKVIDHTLAKKLQVPGPNSDFNFNMNKRRVPNGPDPIHNRMAYN >KZN04172 pep chromosome:ASM162521v1:2:2943690:2945127:-1 gene:DCAR_005009 transcript:KZN04172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKLSGSVMILGSHILDPGDDYGEVDDRLTLSYKIETKAPDDESHHEEPGREEPTASSEARTGSTIPENKGEPETLAPTGKKRKIQEGQNSEGTSVTREEKKVKTITIRPLNMADFREAKNQVAASYAAEGSAMNKLKQWNELYGEGGSRKKE >KZN04018 pep chromosome:ASM162521v1:2:661362:668256:1 gene:DCAR_004816 transcript:KZN04018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSASFNRQSPEGEKSCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVVASTNREVDAHIPNHPNLPPQLICQLHNITMHADAETDEVYAQMTLQPLNAEEQKEPFLPADLAAHSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHENEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLFLGIRRAIRPQTVMPSSVLSCDSMHLGLLAAAAHAAATNSRFTIFYNPRACPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWQNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFNGKLILHSYYDNGNPHDYILPTRIKDESLGMNSPLMWLRGDNGEQAFQSLNFQGLGVSPWMQPRVDASMLGMQTADIYQAMAAAALQEMRTVDTSKHSNPSAMQFQQTQDEDSQLNFSRSAALLTSAGWPPKRVAVDPLLSSGVSQSFLPQLQQLGSLHTNSSQDAFPLLPYNGRDCSIDQGGDNDSHRPLLFGANIDSTSLLVQNGISGLGGVGSDGNSTSVPFTSSNYMSNAGVDFSLNPSITASSCIDETNFLQSHENLVQKDTPPTFVKVYKSGSFGRSLDIAKFSSYIELRSELAHMFGLEGQLEDPIRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQEMGKQGLELLNSVPVQRLSSNNCDNYPSQHESRNLSNGIASVGSLDY >KZN07608 pep chromosome:ASM162521v1:2:42161520:42164460:-1 gene:DCAR_008445 transcript:KZN07608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQSFCKTSNATRFSRPDCTKFDPFSPTLTQSLKPHSLKNSWLGTTKLSLHSSNLRPLTLKQSPITATVVSSLPTKKSDGVSSAVKVPKWSSKAIKSFAMGELEARKLKYPNTGTEALLMGILIEGTNQASKILRANGITLMKVREEIVKLLGKADMYFFSPEHPPLTEEAQRALDWAIEQKLKSGEDGEVTTVHQLLGIWSEEESPGHKVMATLGFNSEIAQQLLSLISEPGYVES >KZN04557 pep chromosome:ASM162521v1:2:12587369:12588358:-1 gene:DCAR_005394 transcript:KZN04557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDTGSDLVWLQCKPCLKCYSQSDPVFDPTQSTSFVGIGCGSPLCKKLDARGCSKKKKCLYQVQYGDGSFTVGRFATETLSFRKGNKVNNIAVGCGQDNEGLFVGAAGLLGLGRGELSFPSQAGPKFGSKFSYCLNDRSASSKPSSIVFGESAISKTAVFTPLKTNPKFDTFYYIDLVGISVGGERLRAVLPSLFKTDVSGNGGVILDSGTSVTRLTRPAYTALRDAFRAGATHLKTAPNFSLFDTCFDLSGQSEVKVPTVVMHFTGADVSFPASNYLIPVDSSGTFCFAFAGTNNGLSIIGNIQQQGIRVIYDLAGSRIGFAPRGCA >KZN07652 pep chromosome:ASM162521v1:2:42449955:42458942:1 gene:DCAR_008489 transcript:KZN07652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGGLNILPQKRWNVYNFDNREKVRKDEEAAAKEEQLKREQSRKRDTELRLEQLRQARGVASSSKTESKNELNIESPEKSETEKPKNEHINLFEGIKIFDPIDAGIKSFDDDKRGRFKQLKREAKKMRKEAEERVVDPEDAKYKLGYGLVGKGVELPWYMSKKARIERSDEEGKEKGEGSKKSGGGKKTIEELREERLERERRERERVKALLSGKGPRDGPDYKDRYYTKRSMICVQLLDEHKAIMEKISKQSLLHVASLSLPSFQVIVITGSFGCGYCRQRVSQIISKINGLKEYTVDVQNKQVIARGDVKLYTANHRYLMMKKKKIKFKMKKKHLIINFFRTAWIYKLDEPMSRYFLRNILVFSNTTTSNTHFVTRTMSSIPTPSHRQFQLRVDPLTGNSEWVVIEEQESQVDMFTEPLLATTSYLDMLNDSPRNRAYCDAINKTVTKPCHVLDIGAGTGLLSMMAARAMSSSNDATCSTSKGMVTACESYLPMVKLMRKVLRANGMERKVRIINKRSDEVEVGVDIASRADVLVSEILDSEFLGEGLIPTLQHAHDKLLVDNPETVPYHATTYGQLVECKHFWRLHDLVNTEANVSDGIHLVPTGFEKSLYVKPHQLAMHCDALKEEMELLSEPFKIFEFDFSKRPDSYGEAELHVKATKDGTIHAVVSWWVLQLDREGSIFYSTAPKWISCPSDMNGSLVPGAREWCDHWKQCVWFTPEKGLRVCTDEAVQLRAIHTDTSISYDFGTQSCLKEVGYQQCAARIQKSQIALPPERMAIYGDNSWRCSFIKVLSNALRKKISSICVVADDSIFLTVAIAHLSDTSNVLSFLPGLREQGARYLEDVSVANGYSMDRVAVLNKQSQLTLHDTHERLVDLFIGEPFYYGGDNMLPWHNLRFWSERTKFNSILSEDVLIMPCKGILRACAMSLPDLWRSRRSLKEIVGFDHSVVNATLGACGDLPASEESPFLPFTIWQCGDVKRLSEVFTVMEFDFMKPIHPCSGTVEAKFTVSGICHGFVLWIDWVLDAENSVVIPTGPDQRYWKQGVKLLSVPVEVEKQGSGADKCCLTIISTSFDPISGEMELKHIFS >KZN04086 pep chromosome:ASM162521v1:2:1752797:1763172:-1 gene:DCAR_004923 transcript:KZN04086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKTTKASHVLTDPTSPISKSRLGVHSSAMPCTQSGTSFSSTVLTDKEFCLFQPAGEYLPMIEEVFRTLVNLTKDINGAKVENHKFCASVHYRNVDEKSWGTVAQIVHDVLKDYPRLRLTHGRKVLEVRPAIDWNKGKAVEFLLKSMSEGISQASRDVEGGKYAAVNVMVLAQMQIAIDVQFDWARNQFGDPEVEASLQRGEDPGLTLVTKAYNYIHKYGHKSKLMAAAMRNKQDVFNMLGIDYIITLLKILQSLKEFVIPPDEKYSYLVKWDQYNLESAMRPASLELLTAGLDGYVDQAKRVEELFGKIWPPPNV >KZN07597 pep chromosome:ASM162521v1:2:42108257:42109327:-1 gene:DCAR_008434 transcript:KZN07597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQGSNIACETRPLVLIHQWSSSNLNFNDWLQARFRLLEPNHPAFPFLSKSCRALVCVGAAPLTSENLRQYPSLELVVGTSTGLDHIDIALCHRSGVKVTNVGNAFSEDVADYAVGLYMDVLRRISAADRFSRTGMWPVMGSYALAGKFLTMQLGGKRVGIVGLGNIGLLIAKRLEACGCIIKYTSRKKKPDIPHLFYANVSDLAADSDALIVCCALTKETRHLINKDVMTSLGKNGVIINIGRGALINEKELVDFLVRGEIGGAGLDVYEDEPSVPEELFGSDNVVLSPHRAICTPESMAALQNVVIGNLEAFFANKPLLSEIKFE >KZN03975 pep chromosome:ASM162521v1:2:116702:117793:-1 gene:DCAR_004837 transcript:KZN03975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICESSGRQTCMDDLPEELFIEVLLRLPVKSLLRFKVVCKAWRSHILNRKFVERHNVRTTANPGNDYFIAHNSCSDYNKFCAISRNSLHKPIGLNLPIAASVSGDECSVVGSCNGLICLDYKRGIRRHTTSRNRTTNVYLWNPATGKVKDLRRYSIDISACYDDFCVSLGFGFELASRDYKVLRIVRNKKSSVTRVEVYSLKKNSWKEIAVELKYRLWTFVYPAFVKGSLYWDLNERNLLLFDVQNEEFCTILLPPDSWCGVREVFELKESVAFANLFDLRSKDIAIWTLDENSCWIQKWSIRKPFDRGSSIVGYLKTGEYVGRNRYDDLVLYDFASEAIKPIEPKMHTLGVYNYSESLVFLN >KZN05629 pep chromosome:ASM162521v1:2:25748573:25750042:-1 gene:DCAR_006466 transcript:KZN05629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPGGLGSVSRVLDSGESGFEGNSGFGADEIMVEIVGSDVIVDGDGGNEMSWGDGGVFGFEGNVGGADFVDEGVGVEDYGVTEDVVFLENVGVERRDAVMASGNENRLGGREEVRGNGGKGCEMMTLSEALSCGSEISGGNFVVAAGLSSGETEVIREKRDCGLSGDKMVESCDGLALQMGFMNKDASSNASTGYGFRSNSDMEISMEVKDRGLNGRDQVAHDIAFDAESTCLETVQSTSVETDTGATCVNSKYGDHQTEAVARMNEMLSEKNKAPQSNINSPEDFEFDNTYDSYWTDIIVLNYDKDQILYRGQNGEAENPLMANEADEEQMCDAAQYGEARSQLVPSEADKPVKSGRKFWKPFSRGKQVMVSDKPVEDHADVRSPDCTPTELILKFSEGKFVPSEIDLNKIFRRFGPLMEHETEIDMENSCAKVVYKRCSDAKVALSSAGLFNIFGPMLVSFELSSVPSASFKTLPAATVYDREDAS >KZN05919 pep chromosome:ASM162521v1:2:28328985:28343539:1 gene:DCAR_006756 transcript:KZN05919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSASILILSLLSLSLIHFTSGVQILSKSKLQKCEKVSDDSTNLNCTSKIVINLAVPSQSSGREASIVAELQEVEGEGNSSNANMRTLRVPPVITVNKSAAYASYDLTYIRDVSYKPEELYVKTRKCEPDAGADVVKQCERQVMLRDDQGHIIEHTQPTCCPCGNERRVPSSCGNFFDKLVKGKANTAHCLRFPGDWFHVFGIGQRSLGFSVRIEVKTGSTISEVTVGPSNRTAISNDKFLRANLIGDYVGYTNIPSFDDYYLVIPRQGGPGQPESLGTNFTMWMLLERVRFTLDGLECNKIGVSYEAYNGQPGFCSSPLWSCLHNQLWNFWDNAGSRSFSIGITEVLNTNLLIELTADDIEYVYQRSPGKIVSITVPTFEALTQFGAATITTKNIGEVEASYSLTFDCSAGVSQIEEQFYIMKPKEMLTRSFKIYPTSDQASKYVCAAILKDSDFSEVDRAECQFTTTSTIIDNGTQIAFQPPKSGIKGFFESIEIFWKSLWDGMADFITGKTCRQKCSGFFDFSCHIQYICINWLLMFGLFLAIFPTVLLLVWLLHQKGLFDPLYDWWEDHFLAAEDIRPYRGDAYPSHVHVRKHHKHDIRHHEVDMKRKHKSIHGEHKNKPLEHTTDHHHHLHHGHKDKHKHYRTRNSSLIKQTPLDRRHDDIVGHQRHRKEGESVERLYNISRHADESQEEFHKHKHMMDNTRHKLHTKRNY >KZN06082 pep chromosome:ASM162521v1:2:29990556:29994271:-1 gene:DCAR_006919 transcript:KZN06082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQNYQTGDSSVENLRRTLRITSLDDDDDEEDESPPNNTTNLINRMDEEDEDDEEDEEDEMAVTIGFVEKPKHDWSLLPHLFPSKAGGFPAWLDPVNLPSGSSTVCGICGDPLQFLLQVYAPLFEKKSTFHRTLFVFMCPSMKCLLQDQHEQWKRPSDNPSRSVKVYRCQLPRSNPFYSSEPPKHNGTVKPSEVKAALCSWCGTWKGCSVCGNCKTARYCSEKHQAAHWHKGHNNECRKLAIDPQNSSSSPGKIASNSIWPQFEIVDEDEPEVDTMSENGGYATALASVSHVDEGFNSLIHSFEADADKKSSATFQERVSRFPEQVIRYCWSTRAKPLWPMSSGRPSETDVPKCRYCGGPRCFELQILSKLLYYFGVKNDVNSLDWSTIVIYTCEASCDEGVVYKEEFAWVQLPSQTSATVG >KZN07378 pep chromosome:ASM162521v1:2:40436634:40437140:1 gene:DCAR_008215 transcript:KZN07378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYNSAKLVIVVSTLVLLFGSLPQGHAEVQPQAIPAGLLNIVQFQFTSFLACTPTGNPPSGGGVPGVAGALLSGTCNGASGSLATAFTNATGFAQGILTLAEGIVIDPSRGMPCFLQVRLPLITGTTCTVFPPTGILEAAFQLLSVVNNPLGGLVAIVTTVPWVYRP >KZN04303 pep chromosome:ASM162521v1:2:7298053:7298397:-1 gene:DCAR_005140 transcript:KZN04303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGWELPPKGFVKVNVYGIFRETPLANGNRSGLGVLVRDSDGQILAMVSGALQIVNKRVNELWAMLMGLKCCLYVGKHQVILKTEHAEVLAEWEGWQKFVDPCYSDVIESLVQ >KZN05501 pep chromosome:ASM162521v1:2:24396869:24400419:-1 gene:DCAR_006338 transcript:KZN05501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKEDHSQLSAIARQGSGSACRSLYGGFVKWIMGKEENGSDSIAVQLADEKHWDDLVIVIAVVSARQKETSSTSGMQDSCKTSMLIQHRAKEVVPKRVIQMEEAIEKRDFSSFARLACADSNQFHAVCLDTSPPIFYMNDTSHKIISCVEKWNRSEETPQVAYTFDAGPNAVMIARNRKTAALLLQRLLFHFPPHSDTDLNSYVIGDKSILQDVGVQDMKDVENLPAPPEIKDNIPAQKSKGDVSYFICTRPGRGPTVLSDSQALLNPETGLPK >KZN07232 pep chromosome:ASM162521v1:2:39307662:39314719:1 gene:DCAR_008069 transcript:KZN07232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETEQQQLNQEDIEMAEEIKQETQQAEQSSYTWPVIRFDVSPYRTYNFYNQFRTNPSNPNNFLKGIRWSPDGSCFLISTDDNTFHAFNLPDNESSNYVNASEGNADSYNASLVLKEGEAIYDYCWYPFMSASDPVTCVFASTTRDHPIHLWDAASGQLRCTYRAFDAMDEITAAMSIGFNPAGTKIFAGYNKTIRIFDIHRPGRDCEQHSTHHKNKEGQSGIISAIAFSPTHTGMLATGSYNQTTAIYREDNMESLYVLHGQEGGVTHVQFSNDGNYLYTGGRKDPYIICWDIRNTVNILYKLYRSTETTNQRIQFDIEPSGRHLGTGGQDGLVHIYDLQTGQWVSSFQAASGEENCASVWSFSYYSAEDYDASTNSGDINEKPENELNGQPENESFP >KZN04947 pep chromosome:ASM162521v1:2:18231094:18232142:-1 gene:DCAR_005784 transcript:KZN04947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIPPRSNTKYVSSSDIWPSSPLSPKRDHQPSHNISGGEELKKSVKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEAAARAYDKEARKIRGKKAKVNFPNEDVTNDCLVRPRSDPPSEFSTNDMAHNCENDHNKVGTGETRVMEEENQVQKLTEDLMAYESYMKFYEIPYFNGSSVTVAAAAAAQENAEINLWSFDDVV >KZN06752 pep chromosome:ASM162521v1:2:35597787:35601579:-1 gene:DCAR_007589 transcript:KZN06752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSQGLSKNLGLTKPISLTAPTETDYIRNNQLQKFLEDAGLYENSEDASKSQHVLGRIREIVKDWVKQLTRLRGYPDQIFKDANAVIFTFGSYHLEVHCPADDIEMLCVGPSYVNQEDLDISAVSVLYGVDKPSVVTLNGCRVADLIPKLVPNVEATGFLGDASWALLVARVCQLYPNDIPCMLVRHFFRVYTQWRWPNPVLLCAIEDDELGLSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSDSTLRVMREQFQFGNKICEEIELNKSQWKDLFEPCMFFKSYKNYLQLDMVAADADDLRAWKGWVESQLIKLTLMRKEGEVIQQGQQFDIRGTIDEFRHSVNMYILWKPGMDIYVSHVRREQIPCYAFPDGYKPSRAPRLQQRKQSSHIDHGGSSGSASAGRQLNKEFDEANVQGSVEKCEYRASPQDP >KZN06957 pep chromosome:ASM162521v1:2:37128422:37131501:1 gene:DCAR_007794 transcript:KZN06957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPSRIYRIIKSATELYFFPYVYHIQAAHSICILPRVGHPSLVFWGNGMRSRSGFLSLDILYFQGTGNTWVTEGHCCPILLLYWWWELRPINAWFSPAGKLTPLHHDPHHNILAQHGGDVNAADHTGQTALHWSAVRGAVQVAEILLQEGASVGAPDMYGYHTLKEKLSAETHCQYF >KZN05229 pep chromosome:ASM162521v1:2:21447415:21454757:1 gene:DCAR_006066 transcript:KZN05229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDVAHGESNEGSITKHLDNGKYVRYTAEQVEALERVYMECPKPSSMRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTVPSVTTDLSCESAVTPQHSLRDANNPAGLLSIAEETLTEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISHTCSGVAARACGLVSLEPTKIVEILKDRPAWFRDCRRHEVFTMLPTGNGGTIELLYTQIYAPTTLAPARDLWTLRYTTSLDNGSIVVCERSLSGSGAGPQAAASAQFVRGEMLPSGYLVRPCDGGGSIIHIVDHLNLQAWTVPEVLRPLYESSKVVAQRMTITALRYIRQIAQETSGEVIYGMGRQPAVLRTFSQRLSRGFNEAINGFNDDGWSVINCEGSEDVIVAVNSAKNLVSTSDLSSSLSLVGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNIDAYSAASLKGSSYAYPEMRPTRFTGNQIIMPLGQTIEHEEMLEVIRLEGHPLGQEDSFMSRDIHLLQLCCGVDENAVGACSELVFAPIDEMFPDDAPLLPSGFRIIPLESKSGDVQDSITTNRTLDLASSLEVGPAANHAASDSSACYNMRSVLTIAFQFPYENNQPENVATMARQYVRSVVSSVQRVAMAISPSGMSLTAGPTVSPGAPEAQTLANWICQSHRYHLGTDLLSSDSVGGDTSLKNLWHHPDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKIFDDTGRKAFNSEFAKIMQQGCAYLPGGICMSTMGRHVSFEQAIAWKVLAAEENTVHCLAFSFVNWSFV >KZN06979 pep chromosome:ASM162521v1:2:37307302:37307649:-1 gene:DCAR_007816 transcript:KZN06979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLFFSMFVILSVLFLAAQVSDAAVPCSTVTVKAAPCLNFARGVDATPSAQCCSGLTALASGAKTLDDKKAICNCMKNAVKNIKGVQDKYLSKIPTACKIKVNFPVSLSVNCNT >KZN06218 pep chromosome:ASM162521v1:2:31078979:31079767:-1 gene:DCAR_007055 transcript:KZN06218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSVKFLCSYGGKILPRYPDGVLRYNGGHTRVLSVDHSISFSDLLVKMGEMCGTSVSLRCQLPMEDLDALVSVTCDEDLSNLMEEYDHAESSSMKIRAFLSLHKPTSPSPSTTDSTSPRSPSNMHVGTSRFPPVSSRRCTHQTLMPNAYSSFHNKSVGIRVPCHAHVSPDRSHNGNHW >KZN07440 pep chromosome:ASM162521v1:2:40867770:40875401:-1 gene:DCAR_008277 transcript:KZN07440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRVHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPTDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNASIDCLVWKIRKFPGQTEPTLSAEVELISTIAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >KZN04987 pep chromosome:ASM162521v1:2:18641552:18643012:1 gene:DCAR_005824 transcript:KZN04987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFPPHGQAPRTPNFEYDIERHEGLRQSYECLNNYCNYRILPVNERPANSVKLTSKTSVVRKAHGHRLIINH >KZN07288 pep chromosome:ASM162521v1:2:39737962:39744726:1 gene:DCAR_008125 transcript:KZN07288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPQIGFLVPLNDKLEENTSNPRIPIVNGPNIVGRDSVPVADKRLSRKHLSVTASADGRAHVIVEGSNPVVVRSNDQRKKLLSRESCVIKDGDVIELIPGYHFFRFWGVKNSVAPISQKRDCSEGNRVGEEDESVSRKRAKQVSQDEAFARNLQAELNNGSPVHGRSAETIRYFSPTNDKLPSTFRLMKVKGLPPWANTNAVSISDVIQGNVQVAILSNYMVDIDWLTSACTTLNKVPHVLVVHGEGDGTLEYMKRKKCANWILHKPPLPISYGTHHSKAMFLVYPQGVRIVVHTANLIYVDWNNKSQGLWMQDFPWKNSNPPNKGSGFESDLVDYLTALKLPEFSVSLPGLGNCNINASFFRRFDYSSATVRLIASVPGYHSGSSLKKWGHMKLRTVLQESIFDQQFRKAPLVYQFSSLGSLDEKWMTELASSMSSGVSEDQQPLGLGQPLIIWPSVEDVRCSLEGYAAGNAIPSPQKNVEKEFLKKYWAKWKARHSGRWYGFIPKECNKMMFLDVVFCFSHAMPHIKTFARYNGQDLAWFLLTSSNLSKAAWGALQKNNSQLMIRSYELGVLFLPSKPHGCSFSCTDNGNQSEGKCEMANNSEAERTKLVTLSWEGNKNTKISEVITLPVPYELPPQRYSSEDIPWSWDRRYNKKDVHGQVWPRQVQLYTTQG >KZN04802 pep chromosome:ASM162521v1:2:16184599:16185042:-1 gene:DCAR_005639 transcript:KZN04802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKVSSSAANITLQDQRNSEALSLPEKVMLNLDLGFYQNQKELGENLEADQKSAVSLKDIQSPRSGVAMVRKWPASTAINEDVKMKVPKRILEIIEEESHIGEDVAVHVITDESDYCKYQDKDVWIWILLDRPTTPASPMSGKADY >KZN07120 pep chromosome:ASM162521v1:2:38515334:38516356:1 gene:DCAR_007957 transcript:KZN07120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKTSQPLERSPDARKALLDEQIVYSQEFLDLLSTLPKEKNIFGADIYQYNGFWFPKKPLHGMIESLKHFQPRKNDVFLVSAPKSGTTWLKAIIYTLLNHQVHHPQDPHHPLLTKTPHQLVPFLGLLKPSEYDSISNSPDSNTRIFGSHVPTIGLPKSVIEDNGSCDCKVVYLCRDIKDTFVSFFHFLNQHLEPSSNCMENLFDLYSRGVSGGGPVWDQIIGYWKESLERPNKVLFIKYEDMKCEPHIQLKRLALFLGKPVSEEEENTGLLDQIISLCSIDNMRKLEVNRRGTDSLGMKNHTFFRRGVVGDWKKYLTAEMAAKLDHITEEKFRGSGLSL >KZN07455 pep chromosome:ASM162521v1:2:40967367:40975581:-1 gene:DCAR_008292 transcript:KZN07455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTINIQSMLKPEAPSRTFISDHRLSSAANVCTSDSLHNSRGRRKCLRKQNVSTVKSMRIAQPNHGTKFDSPNGPLTPDKLDSPFDLHSSDYSQGQSPSTSSVTRKTKIVCTIGPSTSSREMIWKLAETGMNVARLNMSHGDHASHQKTIDLVKEYNAQFEDKVVAIMLDTKGPEVRSGDVPKPILLKEGQEFSFTIKRGVSTENTVSVNYDDFVNDVEAGDIVQVDDTVSVNYDDFVNDVEAGDIVQVDGGMMSLAVKSKTRDTVNCEVVDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNEIDFYAVSFVKDAQVVHELKKYLRSCNADIHVIVKIESADSIPNLPSIISACDGAMVARGDLGAELPIEEVPLLQEDIIRRCRSVQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVKEGADAVMLSGETAHGKFPLKAVKVMHTVALRTESSLPVTAIPAGEFNAFKETLPDYFVNIFSSEPYGPRVKQRLILYHGLMPIYMEFSDDAEETFSRALKLLVSKNLIKEGEYVTLVQSGAQPIWRRESSHHIQVRKVQG >KZN06697 pep chromosome:ASM162521v1:2:35086136:35091537:1 gene:DCAR_007534 transcript:KZN06697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQRFAVVLITFIIHALNMVIGEGSGIWVSIVAYELNSLNKMTREIKAVFWFFTFLWFSCPSNGLLSSKGVNFEVQALMGIKAFLADPHGVLDNWDGDAVDPCSWTMVTCSSESLVIGLGTPSQKLSGILSPSIGNLTNLQIILLQNNNITGPIPAELGRLRKLHTLDLSDNHFTGEIPFTLSHLKSLQYLRLNNNTLSGKIPGSLANMTQLTFVDLSFNNLSAPVPRFPSKEFNIFGNPLICATGAEPECYGTTLMPMSMPLNRTETAAYMRRAKSHKLSIAIGSSVGCISFLILGLGLLLWWRQRHNQQNFFDVKDRHHEEVSLGNLKRFPLRELQIATNNFSNKNILGKGGFGNVYKGYLQDGTPVAVKRLKDGSTAGGERQFQTEVEMISLAVHRNLLGLHGFCMTSTEKLLVYPFMSNGSVASRLKAKPVLDWGVRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLNHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELLTGHRALEFGKAANQKGAILDWVKKIHQEKKLDILVDKDLKNNYDLIELEEIVQVALLCTQYLPGHRPKMSEVVRMLEGDGLVERWETSQKVVSSKYRTQELSSSERFSDLTDDSIVKKIHQEKKLDILVDKDLKNNYDLIELEEIVQVALLCTQYLPGHRPKMSEVVRMLEGDGLVERWETSQKVDSSKYRTQELSSSERFSDLTDDSIVINVQAIELSGPR >KZN07627 pep chromosome:ASM162521v1:2:42290093:42292399:1 gene:DCAR_008464 transcript:KZN07627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNSNSPSVPSSLNHHLPSSSSEQLCYVHCNICDTVLAVSVPCSSLFKTVTVRCGHCSNLLPANMRGLLLPAAAPDQLQFPHSFFFPPSTHHNFLDEIRNTAPDLVSNQLAISDFSPSSGIGLGFDEHPRPPAINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSTAAKNWAHFPHIQFGINLMHSDQATFKKTTATSTVRQQGNADDTTLAKDCFPTPAI >KZN05724 pep chromosome:ASM162521v1:2:26654589:26655284:1 gene:DCAR_006561 transcript:KZN05724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTYAATDEAGRRASSPIREGTLSMILCRTLQNNALRKPSWDTLDNRVPNANFHQMYGDLPYRLDNQKSILNKLRVVYGHKILTWQNNNKKDSVMRGATYLTKGKKIVG >KZN05835 pep chromosome:ASM162521v1:2:27628641:27629261:1 gene:DCAR_006672 transcript:KZN05835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPRQLLASPSTNVDDNAKEIPAQPLPQRAISQSLTSMAHLANLLPTGTVLAFQLLVPVFTNKSSCDSTTRPATFFLLVFLAASCILATFTDSFRSAEGRVFYGFATFKGMWLFDYPAAQRSGTPDLRKYRLRSIDWIHAMLSVLVFVAVAFKDKNVLNCFYPVPGHGTQEVLNIVPVGIGVICSMLFVVFPTSRHGIGYPVST >KZN04152 pep chromosome:ASM162521v1:2:2629853:2634054:-1 gene:DCAR_004989 transcript:KZN04152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHTYATDSQTKSAELAATIAAATSPAQIADACAAVESFLAKRTPEQNKFFFTTAFPTLICKLFGFEDAPSSKPNGWTEIIANCGDSELNSKVFNFLSPNSVLISSIFAADRLSQVKYVFPTERLPEWMRFMFRNEREVSVLSELCPLFRGRVSSNAGCLNEVRLNVFEYYMFWFAYYPVCKGNSESFETVKAPSGESKRFRFENWAYSIPVFSQVKRGGGEPKSECSLYVKLLYAYLREYVTVGELSSYQPYRSSLLHYSGGCDDLSGDKAEFVVYTLMHFWLVDNDFSPLPVNVCKSFGVNFSFRSVLGETPPTAGLGEIVNVFVKYLNLISMNLADGSDKVASIESPRWGKLGGGGVVKSGDVGNRSVGSWNALIKRPLYRFILRTFIYCPMETSIKNASQVFSLWINYIEPWNISSEDFSGLDVNAGVSSNGTKKEVQSSSSGYSSSWQGFVLINYLFYSSLVMHFLGFAHKFLHTDTEAIVQMVSKVLSILTSSRELINLIKNVDTVFHSKPSGSSKPMHNNLYRFVPSIREQLQDWEDGLCENDADGSFLHENWNKDLQLFSDGDDGGQQLLQLFVLRAESELQTVLGDNHAHNMACLDSIKAQMGCLFGNSTPRNTQAVPETRENQHPRDEIFSPRMIRNKKQTGTKYRGDWLKRPVSNDEIAWLAKLLVTLSCWLNEKLGLNQSGTTDNQGAAWSYVEVSGGTRSVNGPADTMKVVFLSVVFWLISVIRATVKLMRDHGMKVNLRILASKKIMISLLMLVAFSILKKAVSPS >KZN06092 pep chromosome:ASM162521v1:2:30040476:30041186:1 gene:DCAR_006929 transcript:KZN06092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLCCLPILECVYCLACARWVWKKLLYTAGQESEHWGLATATEFESIPRICRFIQAVYEDDLRNPIWAPPGGYGMNPDWVVLKKDYDETDGQVSPYMIYLDHERADIILAVRGLHMAKENDYLVLLDNKLGQKSFDGGYVHNGLLRAAEWVFAAEVETLRELVLKNPNYTLTLAGHSLGAGVVTLLTIIAIKNQEKLGNIRRNQIRCFAYAPARSMSLNLAVRYADVINSIVLQV >KZN06606 pep chromosome:ASM162521v1:2:34336147:34340817:1 gene:DCAR_007443 transcript:KZN06606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYISSSTLFLLVISQNILFYQIADAGKRRVHITNDLDDVVDNEEDEAWKEWGKKKSSPDADLPDIDFSQQTDFTKMQTEMMKYQTGPAFGFVKLRLGQRRKPDMVSEIALKWTKLSKTGTLDVKFMGVDLSTIMFTLEKGQDSFELKDFILNQPDAYEVKIGDQIFRRPGDAPFDVEFQKHHGDEDKLSSWRMFELVIVTRQAYQDALMNKGCLRIYGLKCPSFEKECRIATHLEALGFDVLLVANEWFLGAFSKSLPLEVDQRSPSQTVSSPALSRVESLTSRSGTEQKAGLSCKHPKVFLRGKREESTCLILQQILDLKRLQCSDGSNCSSFMRCQEKPDASLPEDNENWKLHNKLNQQFQVFPRSCLRTAADRQS >KZN04334 pep chromosome:ASM162521v1:2:8357734:8362207:1 gene:DCAR_005171 transcript:KZN04334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSMTEVIDRHNFHGFDSGLKVIMESHLWSIIQNSQVKANRVKTNQELYTAHRFFLIEHHLEELLSSRTIYSSSLFPNRTPPRRILLLNCIGVETNTGILFKQYLPDMSVNDIYS >KZN07562 pep chromosome:ASM162521v1:2:41833439:41836407:1 gene:DCAR_008399 transcript:KZN07562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQAVKTNLVLILDFGSQYTHLITRRIRSLSVFSLCISGTSSLKSITELNPSVIILSGGPHSVHAPNAPSFPDGFIEYVESKGVFVLGICYGLQLLVGKLGGEVRVGEKQEYGRMEIEVVKERCGLFERGGKQVVWMSHGDEAARLPEGFEVVARSQQGAVAAVENPGRRFYGLQYHPEVTHSPEGMATLKHFLFNVCGVVDGWTMTDVMQEEIKVINEMVGTEDHVICALSGGVDSTVAATLVHKAIGDRLRCVFVDNGLLRFKERERVMETFEKDLHLPVTCVDAVDQFLSKLKGVVDPETKRKIIGKEFICIFDAFANDLEQKTGKKPTFLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRELGKILNVPVPFLKRHPFPGPGLAVRVLGDVTEGNALDILRQVDEIFIQSIKDAGLYDSIWQAFAVFLPIRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYNFEHKFLDDVSRKICNSVRGVNRVVQDITSKPPSTIEWE >KZN04915 pep chromosome:ASM162521v1:2:17908245:17913179:1 gene:DCAR_005752 transcript:KZN04915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQLPCDNDGICLICKTTPSSDQTLTCNTCVTPWHIACLAINAQSSSSNLWECPDCTTLVDAGAPAAGEKSELVAAIQAIEADATLTEKQKARKRQELLSGKEAPANEKEGERNEVADMINSNFKCCVCIQLPERPVTYSNIILMYQNKCFSGGRDLSGNKRTNKDQSFDQQFESYNESLRFSCKKGYPVRVVRSHKEKRSSYAPETGLRYDGVYRIEKCWRKVGKQVNTDTGLSWKIPLVHVSDEHGDRPRPLPTVKELKPAVDIFERNESPSWDFDVEDNLWKWKKSPPVSQKPVRDGKPEDIQNARIAIRKAEKTASKDKLLKEKLQESSKEEADAPVEFPAASSEDPVKENESPNPQVEAKTVEENPEASSEDPDKENGLSNSEAEAKIVEDKKRKEANAKPPPKNKKRKVNNDNLLKDENAS >KZN05040 pep chromosome:ASM162521v1:2:19231132:19231863:1 gene:DCAR_005877 transcript:KZN05040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYIWEVLEKDYIKINVHCIISEVPMPNGNTVGVASIIRNDKGGMLWGALGTLTNSNEEQAILTALQAVCIHAVRNEWNLVHLETVNRCVYDTLHLQEEIMLDEDQLEVYSLFNTWHANSYKRKGKGKDAAGIQLQQQWVTLSGSHPFVG >KZN07091 pep chromosome:ASM162521v1:2:38299219:38300714:-1 gene:DCAR_007928 transcript:KZN07091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGRKKPSSSTNPRVISHVFAKSWFSRFRKMSEDTKPVVGSVKGEEKKSLSSPRTQGRFHEGHDCSYLRLSLGAERCKCQHLKSVWYDSDDEIQVMLQSPPCSRHRIRELEGQEVVGRRAVSELPRNVEFLQRNYICDREKEDLKSKILKRRAMKDPKSRTMHQTAHEEKQSESPRKLYTSVENFTKPVHKGNSELEPARNIQTEKDHQKLNALQLRKKRGNYSTINSSLKGIQERCALGTRKMEQDDAACEELLFTEWQNMKDAKINELMLKSEEQKRNVLLRRDSSRKRTKQARRVRVYTPRTAARIECKIRALEDLKKAKIKTTRARKMRPAKEATAFDSFAVVKSSLDPHMDFKISMAEMITAKCIRQPQELEELLACYLTLNCDEYHDLIIEVFRQVWFELNQVEIILPYNSIPSKAENSEKFHT >KZN04114 pep chromosome:ASM162521v1:2:2179004:2179351:1 gene:DCAR_004951 transcript:KZN04114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPLLALLKLKILASTSQTLSFTPLITCLVGPFVLKVLLNLRTIPSVYDDVSHASRLFLFQVNQIVFHIRREDLGDRSRWERAIRLLSEILVSSRRSTPADEARSLHDLSMITL >KZN04444 pep chromosome:ASM162521v1:2:10931876:10934446:-1 gene:DCAR_005281 transcript:KZN04444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKLQNIDTSSFHKLCPGQAPITSVPISISPQVSTFQHQPIKKQHLIVENSDGVGKTSSRSIGKTVEGDGASSAHHVFVKKSERNKESIGEMDKIRECNGKFRDRERVHAKWARYGGRIVPMLEALERVKDLDEALRPWKETLNKKERTIILKEQTHWKRALEIFEWFKMRGCYEVNVIHYNIMLRSLGRAGIWDEVERLWDEMGTRGITPINSTYGTLIDVYTKGGHREKALNWFVLMQKQGMEPDEVTMGVVVQMYKKAGEFRKAENFFKKWSADKFYEEKRAPSLATNGAVDGESQAHICLSSYTYNTLIDTYGKSGQLKEASQTFAWMLREGIAPTTVTFNTMIHMFGNHGKLEEVASLLQMMDQLGCLPDTRTFNILISLHTRHDNIELAADYYRKMKDASLEPDLVSYRTLLYAFSIRHMIGEAEELVSEMDDKGFEIDEYTQSALTRMYIEANMLNKSWAWFHRFHVQGYMTSECYSANIDAFGERGHVLEAEKVFRCCLEKSNPTVLEFNVMIKAYGLNKRYDEACHLIDSMEGQHGVVPDKISYNSLIQMLANAELPHIAKSYLRKMQEAVVVNDCIPYCAVISSYIRLNQLEEAVGVFREMIASNVHPDVIVYGVLINAFADVGNVNEALGYVNAMREMGLPMNDVICNSLMKLYTKVGCLKEAEEAYRMLLSSDMGADVYPSNCMIDLYSERYMVEQAEEIFENLRVKGKANEFSYAMILCMYKKLERIEDAIQVAEKMKELGVLTSLLSYNHVLGLYASDGRFKEAMKTFREMLESGVQPDASTLRSLGIVLVKRGVPKQTVNNLEVMWKKDQHNGLKEWTNTLYSMDVKADDDDETFIADYA >KZN07147 pep chromosome:ASM162521v1:2:38667661:38668110:1 gene:DCAR_007984 transcript:KZN07147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAARRAIAIMYTIHEADEAFIAAACEAEQKLESGQISGKKSLILKWLAVVAVVLIQCATLVFIVVYSATEEHLGRLLILLLIMLMDPLIYWYVKRVYHKTRAYIEATELIKKAKELQAEMNKLTQRLHKPSRHSAIHINNLINSRPC >KZN06061 pep chromosome:ASM162521v1:2:29840506:29840829:-1 gene:DCAR_006898 transcript:KZN06061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAIPSNAGPTYWMMNPAASQVPQLWTLHAAAPLISVSNSARPISSLLASMQPVELKAQSSGAATGNSDMKNSNTNHSGASSSNESGKTNFSLEVCDKEELQLMGRK >KZN07047 pep chromosome:ASM162521v1:2:37934120:37934750:-1 gene:DCAR_007884 transcript:KZN07047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNCNLELRLVTPSSDDHAVGGENICQHQSMIEEVTNRNPRDTQTLTIFYNGTVSSCDVTEIQARSIISVASEELVRENNEATTPTLSETSSESCRKPQVIYKQNTGLSMKRSLQQFLQKRRTRIQATSPYCTQ >KZN04282 pep chromosome:ASM162521v1:2:6263160:6266699:-1 gene:DCAR_005076 transcript:KZN04282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKPFLEKASARMSKPSSIPSVLFPVPEDDIIFSSLPLTPSELKERLIFGSPPKDFSSSSSLVDALNLTLNSPRLAHSVSVDSTTSGFCDPNGESQRKSSLNDFDFQKSNLHRSKTAPAMAVIDDFVHQAVTKPPQFGSSSSIVSQAVLLLILYLCLGVVIYWLNKDDFRSIETNPVVDAFYFCIVTMCTIGKSEYVVYKLIEMEKVSQKDVAQICKTFDRLDAGSCGRITLADLMQSHHHEN >KZN05975 pep chromosome:ASM162521v1:2:28830310:28832235:-1 gene:DCAR_006812 transcript:KZN05975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSLSLLFVYISLFLSYSYAEDATVSYDFVVSYITASPLGVPQQVIAINGKFPGPVINVTTNNNVKVNVKNKLDEDLLMTWDGVQQRRTSWQDGVLGTNCPIPPKWNWTYNFQVKDQIGSFFYFPSLNFQRASGGFGGIIINNRDVIAIPFSTPDQDVPIMIGDWYTSNHTALRRTLNGGKDLGMPDGVLINGKGPYRYNDTLVPDGIDFETIDVHPGKTYRFRVSNVGISTSLNFRIQNHNLLLAETEGSYTVQQNYTSLDIHVGQTYSFLVTMDQNASTDYYIVASARFVNESVWRRVTGVGILRYSNSKGKASGPLPEPPQDQFDKTFSMNQARSIRFVLAF >KZN04244 pep chromosome:ASM162521v1:2:5142749:5145455:-1 gene:DCAR_005114 transcript:KZN04244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVPEKSAPGQLPRTVDVIVEDDLVDSCKPGDRVAIVGIYKALPGKSKGGVNGVFRIVLIANNVFLLNKEANAPIYTPEDINMIKKIAERDDTFELLGNSLAPSIYGHSWIKKAVILLMLGGTELNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAEVTSDQETGAMVLADRGVICIDEFDKMNDEDRVAIHEVMEKKTVTIAKAGIHALYDGSITPTKNIGLPDCLLSFFDLLLIVLDQMDPAIDCQISEHVLRMHRFCSATDGGTATLDGSSRYGVDEEADTGSTQKRDTRTIKFLKKYIHYAKHRIQPDLTDEASDHIATAYAELRNASSSVKTGGGTLPITARTLKTIIRLSTAHAKLKLSRQVPVSAVEAALKVLNFAIYHEQLTEMEEHHEQEREKESESKCKADHDAGRNVRSDLGGASAGGRLVHYMVKIRRCF >KZN04310 pep chromosome:ASM162521v1:2:7504750:7505034:-1 gene:DCAR_005147 transcript:KZN04310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFNKSPIFSFRTKLLFILMLADLVLSISGARTINMSQNFDRRSVLASLNLRTQRHHVEKDIFPMLVKGRKAPPSSPSHKGHKVAMFTRPGPP >KZN06656 pep chromosome:ASM162521v1:2:34757941:34759887:-1 gene:DCAR_007493 transcript:KZN06656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATALKPSIAKPPPSLFPKSPQPFSRFSLPIRPPSQFHRPATLRITNVLSKPTPTTTTTAPQQFISRFSSDEPRKGSDVLVEALEREGVEHVFAYPGGASMEIHQALTRSQTIQNILPRHEQGGIFAAEGYARATGRPGICIATSGPGATNLVSGLADALLDSTPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRIVKEAFFLATSGRPGPVLIDIPKDIQQQLVVPDWDQPMRLPGYLSRLPKFPNIGILEQIVRLISESKKPVLYVGGGCLNSGDELKRFVELTGIPVASTLMGLGAYPCSDDLSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKTPHVSVCTDMKLALEGLNKILESKRGSLKADFSSWREELKEQKTNNPLVFKTFGEAIPPQYAIQVLDELTNGEAIISTGVGQHQMWAAQYYKYNRPRQWLTSGGLGAMGFGLPAAIGAAVGRPDCVVVDIDGDGSFMMNVQELATIRASKLPIKILLLNNQHLGMVVQWEDRFYKANRAHTYLGDPANESEIFPNMLKFAEACNIKAARVTKVGDLRAAIQKMLDYPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRSSY >KZN05757 pep chromosome:ASM162521v1:2:26977057:26980700:-1 gene:DCAR_006594 transcript:KZN05757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALSAATSRVLLSNNRYQQQKRNQNVVRCFRIRASAEDQEDCNAEECAPDKEVGKVSMEWLAGEKTKVTGTFPPTKRERGWTGYVEKDTAGQTNIYSVEGRYLTDKIIRLNDPAVYVAESVISSGSAGSSADGAENTAAVAAGFALIAVAAASSVLLQIGKNAPPKIQTADYSGPSLSYYANKLKPPEVVEASATAETEISTSAEPAEVSTPAEPENSKPEVLDVQVAPADQENSAVEVPIENQLDAPSLNSAV >KZN06876 pep chromosome:ASM162521v1:2:36543309:36546894:-1 gene:DCAR_007713 transcript:KZN06876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENSDEMEKMKEPLLDSKQDSSKGGFRTLPYIIANEASERLASHGLTANMIVYMRDEYKLEMITGNNILNSWIAASNFLPVVGAFLSDAFVGRYPMIGFGSILFLLGLIMLWSTTMIPQARPSTCIESSSSCSSSTAFQVFYLCCSIGIMSIGCGGIRASTLAFGADQLIVRDSSKSSFPLERYFGWYYASTSISVLIAMSCIVYIQDHLGWKIGVGVLVMLMLATVLSFFLASPFYIKLKSKANFLTSFAQVIVATYKNRNASVSSEGTSMTYHHKKGSVLLVPSDKLRFLNKACTIRHPGQYSSANDINLDSWNVCTVDQVEDLKAVIKVIPLWSTGAIMSVNVNQPSFPVIQARSMDRHITSWFEIPAGSFGMFMTLAFILWVFAYDRLILPLASWIMRKPVCLSPKQRMGIGIFLSIFPAAIMAIIECFRRAMAIKEGLSGDTDAVVKMSAMWLLLPNCLNGIAEALNIIAQNEFFFSEFPRSMSCIALTLRGLGMSAGSLLATFIISSVDKVSASGGSMSWVPSNINEGHYDYYYWVLCGISAVNFMYFLLCSWAYGPEAERGKVNVIEQLVD >KZN05256 pep chromosome:ASM162521v1:2:21714032:21714736:1 gene:DCAR_006093 transcript:KZN05256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMLQLDLGSGEIYSIVSYAKKTTVMSPEVILNVGIPCCSFNLL >KZN04398 pep chromosome:ASM162521v1:2:10246045:10246919:1 gene:DCAR_005235 transcript:KZN04398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNTLFVKITQGQDRKSDSEVFENIEKKAAPSNKSSLMRNSTSKREEKVNDMTYEEDNVKQPLFRTVVGEVDKEFKNHLGRTRACKANGGGSSSKMKSTSADEDSSGDFNEAVDTDVNSQENSMHEEKGMDRTSESD >KZN05043 pep chromosome:ASM162521v1:2:19256923:19258231:1 gene:DCAR_005880 transcript:KZN05043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVSTPTINLISEAYMTDQPAPESPTSTDGWGEIENGIPDDNGSEKDGWDDIEPLEDPKPSAVLATIQAAQKRPVTQPKQVPSSKPKITPNVPKHEDDDLWGSIAAPAPKSVKPSTSKTSLASDDDDPWAAIAAPPPTTRAKPLASGRGRGSKPVVSKLGAQRINRTSSSGV >KZN05709 pep chromosome:ASM162521v1:2:26510615:26512383:-1 gene:DCAR_006546 transcript:KZN05709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLMADLAHQHLAAMAKVLKARRLMALSLGETRLIVTSDPDVAKEILNSSVFADRPIKETAYRLMFNRAIGFAPYGVYWRTLRRIAATHMFSPKQMNTSEAERSRIAAQIVREIGRQTKGSVRECYEIRKVLKRASLSNMMSLVFGRDYESCIKNSEADELTNFVEEGYDLLGQLNWSDHLPWFSSFDPQNITLRCSKLAPQVHRFVTRIISEHRASSLKKLPSETAHAFVDVLLSQQQNNLSEADLVAVLWEMIFRGTDTVAIMIEWILARMILHPTIQAKVHEELDRVVRNSRAITESDISAMVYLEAVVKEAFRLHPPGPLLSWARLATTVTTIDGYHVPAGSTAMVNMWAITRDPSVWTDPLKFVPERFLTKHSRNDDFSMMGSDLRLAPFGSGIRICPGRFLGLTTVTFWVASILHEFRIVQSDHNPVDLSEVLRLSCEMANPLVAVFLPRRRYDVWR >KZN03987 pep chromosome:ASM162521v1:2:221597:222022:-1 gene:DCAR_004849 transcript:KZN03987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLESSTEHGGDDNDQASSQPKILSDEKIDPMANSLKPEKDDTEQEMSETKLTNQVVEPMSRGDDKETETLEENEVRPGELKTTTKGVPDDVRNLTRDGSINPLPEVTVCCLCCTVFSGACAFLLCLPCLAVAMVWRCIV >KZN04943 pep chromosome:ASM162521v1:2:18209126:18211926:1 gene:DCAR_005780 transcript:KZN04943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAAGAGTVTTIVTNPLWVVKTRFQTQGMRTGLVPYRNTFSALRRIAHEEGVRGLYSGLVPALAGISHVAIQFPLYEKIKFYLADRDNTTSDKLGASDVAVASSVSKIIASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIKKVFQQEGITGFYRGCAINLLRTTPAAVITFTSFEMIHRFLVTTFPTESHRQTFRN >KZN05485 pep chromosome:ASM162521v1:2:24282713:24283322:-1 gene:DCAR_006322 transcript:KZN05485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTHEERENFECRGNSSEQLMISYEDLVPAPNVTGLMRRIIPNQSEISADAVISIQQCVVKFIRSVTAEANTRCGEGMRTTTTAHDVLIALNKLGFHHYIGPLFIYLNRFQEFQAEQGEPLVIRRTLFQPPGMGIGAPGFDVEGLMTMGGLMGDDQNDAPSGSAADSTGDA >KZN05157 pep chromosome:ASM162521v1:2:20663968:20669218:1 gene:DCAR_005994 transcript:KZN05157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRKDRSLKTRKGAGRTLAFQNWALTTNFRNLKKKNLGGVIFGCTNTTFKESLVKQLFGMPASHMSYVSNIDPGLPLFLFNYNERKLHGIFESASSGKMNIDPYMWTADGSGRTMYPAQVQICLRLQCQALHEDQFKPIINDNYFTESRFWFELDHEQTDKLMSLMSSLAFSPSTSLPQNAARCKNVRKEIPLAHKGVENEGNDANSDVDFYLLEELNRDMPSASLEVVPGYSDNGQSSGLGLEKRTVPEDEKDYILMKLKEISLARQHSESLLKKSVEDTNIINEASLDMPSANSDVVPGYSGNGQSSGLRLEKRTVPEDERDYVLMKLKEISFACHHSDSLLKKSVENTNIVNDVSMEQSSIPEGQEVSREKVEPDTSYDYFDVISQLTKQLEEQESFEDEKLWGTEYEEQNLVERYRENSGWEVIYRGSIACRCFASAIVL >KZN05842 pep chromosome:ASM162521v1:2:27682596:27684674:-1 gene:DCAR_006679 transcript:KZN05842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTRSFHVQGVILEAWCMLLLLSCLAKADQYDNTFDPLTRRTMIETDAAHPAAPGGDDKSCPNYKAFDVSKCPGAKGDGVSDSTTAFIKTWQDVCNCPTPAKLLIPKGTWLTAELDFRGPCASQQILMELQGTLLAKPDKEAFPKGFWINIMGVHGFTLNGGGTLNAQGEKVWATRPLGEKGSPLPDSLVLAQSNNSRIENVNLLNGKGFNMKVYENENVTITNVHVTSPGDSPNTDGVHIGRIKNVQITDSVIGVGDDCVSIGDGSIDVTVRNIMCGPGHGISLGRFPYETDVRNVWVENCTFTQTLNGARIKTFHDSPQIAATNITFTNLVMNDVYSPVIIDQNYACTKPVPSKVQLSQIYFKNIRGTTWSNIAISLNCSSSHPCQGVELMDIDLKYTGNNTVDRITHSECANAHAKFGGVMNPPACKI >KZN04625 pep chromosome:ASM162521v1:2:13659587:13666975:-1 gene:DCAR_005462 transcript:KZN04625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGSDKPPAHLGSSRDYNVDMIPKFIMANGELVRVLIHTDVTKYLSFKAVDGSFVYNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYNETDPRTHEGMDLTRVTTKDLIAKYGLDDNTIDFIGHALALHRDDRYLNEPALETVKRMKLYAESLARFQGGSPYIYPLYGLAELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKACGVTSEGETAKCKKVVCDPSYLTNKVRKVGKVARAICIMSHPIPNTSDSHSVQVILPQKQLGRRSDMYLFCCSYSHNVAPKGKFIAFVSTEAETDQPQSELKPGIDLLGPVDEIFFDMYDRYEPVNEPSLDNCFISTSYDATTHFESTVADVLNMYTLITGKVLDLNVDLSAASAAEE >KZN07557 pep chromosome:ASM162521v1:2:41809569:41811531:-1 gene:DCAR_008394 transcript:KZN07557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPGSNRKSSALSIEANINESVQAVPAIVRDENKCKNIIKHTTRKSSFKREGKKEIECSTKRISDAVEDFKKFSDSLMEELEADYQQMFEQMKKELENLAALPNSTMQTIDNEGEGIQNSNHVPELTRTHSEPQEQQNRSSSSNFITPAGHQLVPYTGFSQGSGSLTTTAVNLPMIELQEQQNRSSSTNFITPAGHQLVPYTGFSQGRGSFTTTAVNRPIIGQFTTNTRLIPGTRVSSLTTAVNRPAGYFTNLLRQVTNPGNLSYPHGNNAQAFNRAGSTDQFPLPPARRLGDASSFLNRNMENTSFGSSHALTYDSVPANRFRDINFFENFEMNESWLRRHGRRNGDSC >KZN05283 pep chromosome:ASM162521v1:2:22123768:22123992:-1 gene:DCAR_006120 transcript:KZN05283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFGSVVVWLIIPAEKCVVQKSAPLKGALLKSVVRKSALLISAARKSALRTSVARKSALLGHKNALPNRKTLS >KZN05313 pep chromosome:ASM162521v1:2:22508328:22519963:-1 gene:DCAR_006150 transcript:KZN05313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQENLNTQPEIDAVTAAVVEDDTEEEAMVGPAPPQVRRKRPLQFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSAADFFITGSLDGHLKFWKKKGVGIEFAKHFRSHLGPIEGLAVSVDGLLCCTISNDHSVKIYDVVNYDMMVMLRLPYIPGAVEWVYKQGDVKAKLAVSDRNSPSVHIYDARDGSSEAIISKEIHLGPVKVMKYNQVLDSMISADDKGIIEYWNPATLKFPENEVKFKFKSDTDLFEIVKCKTTVSSIEVSPDGKQFCITSPDRRIRVFWFRTGKLRRVYDESLEVVNLHTNKVSRILGKVENNDRFLRIALYQGDRSSKRVRKIPAAAVNANESKEPLTDPTLLCCAFKKHRIYLFRAPSIIAVSDIDILKQHVFVIIINVPYIGITQVTLAFLEREPEEPEDATKGRDVFNEKPPADELLAVSDIGKSSTTSLPDNVIMHTTMGDVHMKLYPEECPKTVENFTTHCRNGYYDSLIFHRVIRGFMVQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQDIERAKVDKQDKPYDDIKILNVTVPK >KZN04850 pep chromosome:ASM162521v1:2:17257644:17269884:1 gene:DCAR_005687 transcript:KZN04850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPSPGKIQRIELENFKSYKGFQTIGPFYDFTAIIGPNGAGKSNLMDAISFVLGVRTGHLRGAQLKDLIYAFDDKEKEQSGRRAFVRLVYLLASGEELHFTRTISSDGGSEYRIDDKAVTWNAYGARLKSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDEFKREYEELEVKKGEAEEKSALVYQKKRTIVMERKQKKEQKEEAEKHLRLQDQLKSLKKEHFLWQLSNLEMDFEKANNDIDAEEKGREEIVQELETYESESSRKKKEQAKYLKEIAQCEKKIAERKNRLDKNRPEVLKLNEERSRIAKKIKSTEKELEKKKVEKQKHAGEIRKLQNDLEDISKQLDELKQKSQDGGEKLQLVESQLDTYHQIKEEAGMKTAKLRDEKEVQDRQQHADIEAQKNLEENLQQLVSRKNELESQEKQMQSRLKKMLDAAGKNKEELARSNKEQRELKEKLEDSRRRHDNLRKKIGEVENELRELKADRHENERDARLSQAVDTLKRLFPGVHGRMTELCRPRHTKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRIKPVICDELNEAKRLSWSGERHKVVTVDGILLTKAGTMTGGTSGGMEARSHKWDDKKIEGLKKKKETFESELDGLGSLREMQLRESEASGRISGLEKKIQYAEIEKKSIADKLKKLEDEKVNIEKEISRRSPELQKLTDIIASRNSKISALDKRINDIVDRIYKKFSESVGVKNIREYEENQLMAVEQNAEQRLSLRSQQSKLKYQLEYEQNRDMDSRFTKLESALNSLKSSLKAVEERENELQSAMEKATDEIDHWKTEAQDWKLKSEECEKDIQEWKKKISASTTNISKHNRQIKTKETQIEQLNSRKQEILEKCELEQIILPTVSDAMDTGSTSGPVIDFSELSRSHQQNLKHSEREKLDVEFKQKISSIVSDIEKTAPNLKALDQYEALQEKERIVNKEFEEARNEEKKVAGEFNRVKQNRYGLFMEAFNHISGNIDKIYKQLTKSNTHPLGGTAYLNLDNEDEPFLHGIKFTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSCGGARVNQDPDGGSGFQSIVISLKDSFYDKAEALVGVYRDSDRGCSRTLTFDLTKFHE >KZN07775 pep chromosome:ASM162521v1:2:43495202:43496405:1 gene:DCAR_008612 transcript:KZN07775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKPCCDKEGMKRGPWTSDEDEALVQYINKNGPGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEDEKLVIQLHGMLGNRWAAIATQLPGRTDNEIKNLWNTHLKKRLISKGIDPETHEPCTSMNGSLIRSSASPSARHMAQWETARLEAESRLSKESSLVIPPSHTTKPDADFFLRMWKSEVGDSFRNLNKVTEPENCPSPFSQASSAKNGSVSGITTELCLTAAASEFPLEKKLKEADDDQNLKSNTDHYYTATSYSSSPDDLEDPSNTAVQLLWDFPGSNDMSFLEGHIDNYDIYRAISDYST >KZN04931 pep chromosome:ASM162521v1:2:18073857:18074195:1 gene:DCAR_005768 transcript:KZN04931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQPGHFISPAEDLRRTLRFPAMKADEELEPGKLYVLVPTTRLHSKASASEIAALDSALRNRRSKRATAKVLPTVVVEEEGEGEESCAGLEDCRLENKWRPSLEPIYEASD >KZN07274 pep chromosome:ASM162521v1:2:39604810:39605970:1 gene:DCAR_008111 transcript:KZN07274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNINSASRRKALALKHSKRKTTTTNIVVTDSIFPPEIIIEILSWVEIECHGTLMLVCKHWNALIQDRHFMEKHMSRAQRTVRKFVYYYKPDVKTNRAEEIVLRRYYRYVYACDGLYLLKNVITKKYCIRNPFTKQALELPDPHEGIRGIVFSYVPSTSNYKFVSVYDDERGTECCEVLSVGSDELSSWRVLKMPKRDYLKKQRKKFSVVSTGDAVHCVRVIARGAVMVEEVISLDLGTEKFTVTNIPSGLYESWEKVWPLNFMGKLVLVDRMGADLCVLELEDYKKQKWGKRKTLIPSASMKALEDEHGTVFPYSFDFQLAEVFWFWVKDTMFISYDLRTREENELERLEKEGCETMHPLQHSLVHLEGMQPEENQRRHPHSPWH >KZN06492 pep chromosome:ASM162521v1:2:33334400:33346748:-1 gene:DCAR_007329 transcript:KZN06492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWENEINLSDVSSAGLVVSDRIGRDVASHLDLEEALEASRYSSHPYSTHPREWPPLVEVLDTWELPPVLVEKYNAAGGEGTALCGIFPEIRRAWASVDNTLFMWRFDKWDGQCPEYSGEEEAICAVGLAKAKPGIFVEAIQYLLILATPVEIILVGVCCSRKGDGTDPFAEVSLQPLPQYIVPSDGITMTSVACTDRGHIFLSGRDGHIYELQYTTGSGWHKHCRKVCVTAGLEGVISRWLLPSVFKFGAVDPIVEMVVDNERQTLYARTEEMKIQVYSLGPNGDGPLKKVAEERNLISKDLHYGGRQASGNRTSRSTKTSIVCISPLSTLESKWIHLVAVLSDGRRMYLSTTQSSGNNGSVGALGGFSNSHQKPSCLKVVTTRPPPPLGVGGGLAFGPMSLASRSHNDDLSLKIESAYYAVGTLVLSDSSPTTVSSLLIVNKDSTTQSSSGNIGTGARTSKALRESVSSLPIEGRMLFVADISPMPEAGATLQSLYSQLEFLGFDRSMESCERLTEKIWARGELQTQHILPRRKIVVFSTMGMMEIVFNRPVDILRRLLESSSPRSILEDFFNRFGAGEAAAMCLMIAARIVQTENLISNVVAEKAAEAFEDPRVVGVPQLEGSSGLSNTRTAASGFSMGQVVQEANPVFSGAYEGLSLCSSRILLPLWEFPVMVSKCDIASSDRMDENGIIVCRLSIEAMQVLEDKLRSLERFLKSRKNQRRGLYGCVSGLGDLTGSILIGMGSDLGASDRSMVRNLFGPYPRNVESSEAVLSNKRQRLPYSSAELASFEVRAIECTRQLLLRCGEALFLLQLLSQHHVTRLIQGFDENIKRALVQLTFHQLVCSEEGDRLATRLVSALMEYYTGPDGRGTVDDISGRLRDGCPSYYKESDYKFYLAVERLERAVATPNKEERESLAREAFSYLSKVPESADLRTVCKRFEDLRFYEAVVRLPLQKAQAVDPAGDASNEHIDAGIREYALAQREQCYEIITNALRALKGDVSQRELRSPIRPVAQSTLDPASRKKYICQIVQLGVQSPDRVFHDYLYRTLINLGLEKELLEFGGPDLVPFLQNACREPLQEVRAASAMASATVPSNQAKYFELLAQYYVMKRQHVLAAHVLLRLAERRSTNDEVAPTLEQRRQYLTNAVLQAKSASDGDGIVGSSRSALDDGLLDLLEGKLTVLQFQIKIKDELEAMASKLEASTYPSEPVAGDIPTDGVMYDANILRTAREKAKELSLELRSITQLYNEYAVPFELWEICLEMLYFANYSGDADSSIVRETWARLLDQALSNGGIAEACSVLKRVGSHIYPGDVSILPLETLCLHLEKASLDRLTSGVESVGDEDVARALIAACKGSTELVFNTYDQLISSGVVPQPLSLKLRLLRSVLTVLREWAMSVYAQRMGTSKQGVRDKITSAANRYMTEVRRLPLPQNQTEAVYRGFRELEESLLSPYHLDRF >KZN04640 pep chromosome:ASM162521v1:2:14045484:14049037:1 gene:DCAR_005477 transcript:KZN04640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCYPAVSEEYQAAVNKCKRKLRSLIADKNCAPLMLRLAWHSAGTYDHSTKTGGPFGTMRLKGELAHGANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPDVPFHPGRKDLAEPPVEGRLPNATLGNDHLRDVFVKHMGLSDKDIVTLSGGHTLGRAHKERSGFEGPWTSNPLIFDNSYFKELLTGEKEGLLQLATDKSLLNDHVFRPLVEKYAADEDAFFTDYAESHMKLSELG >KZN05476 pep chromosome:ASM162521v1:2:24228316:24231567:-1 gene:DCAR_006313 transcript:KZN05476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNCPPFDFSGEYYSFDKAAGSCVRQSSFFQGKAVLNQSVGYSVILGFGAFFALFTSFLVWLEKRYVGSRLTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWQYGVLLFGVMAIEIKRKAPHAHTVCEIVKARWGTAAHLVFLSFCFLTNIIVTAMLLLGGSAVVNAITGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHIVLVIFVYLVYTASSELGSPGAVYRRIMEVSSKSRLCQEPVSHERFGQSCGPVSGNYKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATALGLGALALDLPLTASEAGRGLVPPATAIALMGKGGSVLLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPNATGKQILKVSRGVVLGFGCFMGILAVILNKAGVSLSWMYLAMGVFIGSAVIPIVFMLLWRKANAIGAILGAIIGCVLGVIVWLSVASIEYGRINLDTTGRNAPMLAGNLVSILTSGAVHAICSFMWPQNYDWDTTKKISMVEKDKTELPSDEFKEEKLIRAKTWIVKWGIGFTIVIVILWPLLSLPAGEFSKGYFTFWAVIAIAWGTIGSAVIIALPLIESWKTIESVCLGMFTNDRLMEKIDELNLKLNTIMLSVPEAERIYLLEKEKAKKNDASENISQTIDAESKY >KZN07130 pep chromosome:ASM162521v1:2:38563970:38565916:-1 gene:DCAR_007967 transcript:KZN07130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSSPSRLNTLNLLVFHMLFIAPSSPNTYFAVLASPCKRLNSIISFGDSLADTGNLLHLSTSNIPPHFAVPPYGETYFHTPTGRFSNGRLIIDFLAQYLEVPLVAPYIGSKYHRSSANIFSSGVNFAVAGATAMDNKFFEQRGVNMTKVQNFPLGTQLGWFKEVLPSLCHTASGCEELFKRSVIFMGEIGGNDYNHALLAGASKELVQTFVPNVVGRIASAVTELLELGAKSIVVPGDLPIGCSAALLTHYMSNSSQQDYDPKTGCLTWLNQFAENHNKLLQTELKRIQERHPQAKIIYADYYNAVMPLYLSPVKLGFSNGALRACCGGTGVYHYNSNVECGKAPATACPNPSFYVNWDGLHLTEATYKFIFQRLIKGPFMIPPFKTLCTV >KZN04786 pep chromosome:ASM162521v1:2:15967910:15970857:1 gene:DCAR_005623 transcript:KZN04786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEHRNNGGGHGEVVTEKRHGGGGGDDGDDDGSGAQGGGGGGGIGGDGAEKATARTTEEKVIAHEKMKAKEAEAKMKLHEAKAEHAAEKLYGKHNLHHHDPVLAGTTGHVPVGTAVAPGHTGHHLPGQGHQHHQHQQPVGTVVPGAGVAAPTYPLGGNPPGHNNYI >KZN05988 pep chromosome:ASM162521v1:2:28937013:28939124:1 gene:DCAR_006825 transcript:KZN05988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIGYNLQAIVDNKGSSFEAKCKKEAILGGIHESLFPLDTKRSPSPSTSTSALSSSFGGGGGNASSGGGGHNNSANLVLISEDTMNPKWQVSEPENERESGGRKDEWAVELQPISGAGSPQRFGLGNGMEDWESLFSDTASHDQLRWISGDVDETPLSLKQLLQGGNNHEIEDASAIQPWGNAATNFNSNMGVSNSGFTSALAPNSRVLNYNPNPQAPNSPLPVNHQFMNQNMGNLDMSSVLLQANSNNGQKDHNHYEPQPKRHNLGIPNCTTGVAKSPFDGFLPSIKQHQMGFANQCNLLPPHQLLQKPFITPKQELTGGIREKISPASHLHQHQQQQQVIYDQLYKTTELMLSGNFSHAQAILARLNHQLSLNIKPFQRAAFYFKEALQTSLLEISDPVSPISSRIPTPVDGMFKIGAYKVLSEVSPLVQFMNFTSNQALLEALGNADSIHIIDFDIGFGAQWSSFLQELPRTDRSPPSLKITAFASPATHHAIELGLMHENLTQFATKMGVSFELEVVNFDSFDPNSYATSLENEAVAVNFPLWSASNHLPALPSLLSFIKRLSPKIMISMDRGCERIDLPYPQHLLHALQYYEILFDSLNAANVTSDTVSKMERFLFQPKIRSMVSGRLRFPEQMLPWKSVFASMGFSPVAFSNFAETQAECLAKRTQVRGFHVEKHQASLVLCWQNCELMSLSAWRC >KZN07259 pep chromosome:ASM162521v1:2:39476374:39479309:-1 gene:DCAR_008096 transcript:KZN07259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRHSKNNNDLAFFTYDEKKKLGYGTQKQRVGKDSIKPFDACCLCLKLIIDPMCCRKGHIFCKECILECLLAQKKDIHRKLAAHATQQKQDKEEKEEKLALQKAKELDAFDQQNHGALPQYTDKNYNRDKNGFHGANSVKATSYEEEALRTMKAFWLPSATPEAPSKVEAPSTSTTCPEGNEKLKMKALFHIHFTEDKTEQKKSTSFDKSYICPSCQVTMTNTIALVALSSCGHVFCKKCAEKFMAVDKVCMVCNKVCKERDLVCLEKGGTGFSAHGDNLEAKDFKHLGSGSGLGLVRPAMKT >KZN05443 pep chromosome:ASM162521v1:2:23908329:23911776:1 gene:DCAR_006280 transcript:KZN05443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMVLDPKPYPDPTHTSLSSPDDDDLYSRLKSLQRQLEFIEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMIDTNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVSYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLGDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFDFYK >KZN07442 pep chromosome:ASM162521v1:2:40881057:40881481:-1 gene:DCAR_008279 transcript:KZN07442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLKEKVSNAASAGQEHLDKYKAHVEEKAEKATARTKEEKDMAHEKMKAKEAEAKMNLHEAKSEHAADKLHGAHHLPGQPHAHHNQPLGTGVAAPTHPLAGHPPGHNHHI >KZN06245 pep chromosome:ASM162521v1:2:31328712:31330849:1 gene:DCAR_007082 transcript:KZN06245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKASVYNFTYYQIIFHISNRSTIKSLFRIFNG >KZN07212 pep chromosome:ASM162521v1:2:39144957:39146921:1 gene:DCAR_008049 transcript:KZN07212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLEKLLLEAAGRTGGSGRKRHSPPSPRRRRGGSYSDNGSDSREDDSDDDRGYGSRKRTSSQVPLKKRLDPLEREEHSSQEEGNDGYGREGDSDDDSIGSDLYKDDDDRQKLAQMTELEREMILSERSTKITDKKMQEKLRKQKEKMNQSRKDSRPHLSSRTSVRSSARSADRATAKDDALNELRAKRLRQQDPDAHRRLGDSSRGGSGSRRYSPVKRRSFAPEAVSSSSQSGSGSGSEDEESTGDGRRGDSDYDKTSPESTIPTFEDIKAITIKRSKLAKWLMEPFFDDLIVGCFVRVGIGKSRTGPIYRLCVVRNVDSQDPDKPYKLDNKMTHKYLNCVWGNENSAARWQMAMVSDSPPLEKEFEQWVKEVERSGGRMLHKQDVLDKKDAIEKTSTFVYSAATVKQMLQEKKSATWKPLNVAAEKDRLRRGLEVASSTNDEAEVERIKARLSELEASQQTQGNDKKAIKLAEMNRKNRVDNFKNASGLKSAGGLKAGDAGYDPFSRRWTRSRNYYVAKSGGGDEAAVAGNSDQVAALVGPGSSGAVGVVTTEAGAVATAAALEAAADAGKLVDTSAPVDQGTESNMLHDFELPISLTMLHKFGGAQGAQAGFMARKQRIEATIGCQVPENDGRRHALTLTVSDYKRRRGLL >KZN04391 pep chromosome:ASM162521v1:2:10019253:10020474:-1 gene:DCAR_005228 transcript:KZN04391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNPNSPSSRAIGADSDHGIVLLPGCNCGSIGSPLSNIDQNTSFDVQLKSKAKVIGRSPLAAYHQDLSGAFNAASSDAVKGTDKHYVLTRMHYSEAVFVVVELLALN >KZN05438 pep chromosome:ASM162521v1:2:23827622:23828169:-1 gene:DCAR_006275 transcript:KZN05438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLAAPENMATGHMYVKSDVYGSGVMLVEMLTGLRALDINRPSAQHNLVDWIKPYLSDKRKLKNIMDARLEGKYPSRGEVLIAQLALTCLATEQKNRPGTKQVVEMLERVEAVNEKPRPPRVHSSHHTISRNGQNSATYRSPHHTRQDRNRGSQLPSG >KZN05592 pep chromosome:ASM162521v1:2:25390852:25397693:1 gene:DCAR_006429 transcript:KZN05592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKVRSVAAPVEETAGFDEMLSGTQRKYYLLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVEGPLAPLYALEIDPDQAKAEFRNASKKNGGTGVKDFMDGMGLGLIAEQLGELKLGELLDTPPPGLDEAIAISKVIQFLELEEYNKFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKISSATSAIKSVFGQEGNQPDAADKLEKLRERMIKVRDLFRDTESTEFVIVTIPTVMAINESSRLRSSLKKENVPVKRLVVNQDQTRALDLIETDPELSTLTVVKAPLVDIEIRGIPALQFLGDMLWK >KZN06950 pep chromosome:ASM162521v1:2:37086504:37086761:1 gene:DCAR_007787 transcript:KZN06950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHCRRKVPGPGNHLQRHEEAVVGRLIAREPLSRENSSTTLFATQISAMDRLCVRNQVSQTVFAAPADIPHITAVSRLHAGRIM >KZN07234 pep chromosome:ASM162521v1:2:39322226:39328652:1 gene:DCAR_008071 transcript:KZN07234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNWNIFHKFSKTLRDNPSLSSLLVVFTVSGGSLLAYSEANASTQLSSAVASEDGKKKKRVVVLGTGWAGTSFLKSLKDPSYDVQVISPRNYFAFTPLLPSVTCGTVEPRSIVEPIRNIVRKKNVDIHFWEAECFKIDAANKKVYCKPTQGGKMDGNSEFVVDYDYLVVAMGARANTFNTPGVEENCHFLKEIEDAQRIRRTVINCFERASLPNLTDEERRRVLTFVIVGGGPTGVEFAAELHDFISEDLVKLYPKAKDLVKITLLEAGDHILNMFDKRITVFAEGKFKRDGIDLKMGSMVVKVSDSVISTKDVKTGEVSSLPYGMVVWSTGIGTRPVIMDFMKQVGQVNRRVLATDEWLRVERTDSIYALGDCATINQRKVMEDISEIFSKADKDSSGTLTVKEFQEALDDICERYPQMELYLKNKKMSSLVDILKESKGDIAKESIELNIEDFKLALSQVDSQMKNLPATAQVAAQQGAYLASCFNRMEECEKNPEGPVRFRESGRHRFKPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKLVSWRTRALVITDWTRRFIFGRDSSQI >KZN04896 pep chromosome:ASM162521v1:2:17683790:17686444:1 gene:DCAR_005733 transcript:KZN04896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KZN07270 pep chromosome:ASM162521v1:2:39571844:39572911:-1 gene:DCAR_008107 transcript:KZN07270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIWEVPEKKFAKINVHCIIAPEPLPNGNSVAVGVIVRNDGGAELWKALGPMNGLNEEQAIMAGLQAACVQAVKKDWEKIHIETTNRDVYDAIRVQNHFGIQEDQLEVYRLFNTLYTNHFKEGSTVVCVSWAPAHMNSTAEYLASYGMRNLTCFAEAKSRVGDLDFFLERDMGRVLPAPINELALNMGEGEVIDGPPPNPAKKRRVNVEYGAGNSSSAVHHSLNAGCVSAMVNKGKRKFYEGMAFNNGGIFSPSAIRIMEEGELGEFSGIFQQKVVDFNGPVKKGLRACDLLHHAAAGTLNEVKPTRMELLDELLFGGREFVSVDEVLHALGLSRKKALNLTIPATSSSVPLFP >KZN04721 pep chromosome:ASM162521v1:2:15037599:15038219:1 gene:DCAR_005558 transcript:KZN04721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLISYLDQFPMLLSVAIVVIGLLEFIFSPIDINWFERVCKYFSRSFSSLQYQTVKLSDIFRHKAGTVKQEDFELTKRDPVLSGQVHDPSLRTEDVEMVFGRLGISGHPREDERLQERLGVEYFSSMFEENEPSLDEVKEAFDVFDLNRDGFIDAIELQRVLCGLGVSDGSDIENCKLMIRGFDENRDGRIDFREFVKLMEKSFG >KZN04886 pep chromosome:ASM162521v1:2:17585069:17590429:-1 gene:DCAR_005723 transcript:KZN04886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSTSTYQTSICPTEAAIANDEEFDNSYLSVELQNDSDEWLALQVERGKKSSVHDDMEKSDTSEYQPTDQEESEALQTDDELLETSDEDQLISTMRDKILKKKGKAESSTGAKKRKEKGKAKAKESQKGKQRQDEDEDEDDEDDEEDIKKPGMGKGSSNNYFCGPLVFLILFYVDRVWIKIETEVVRTIPRFTAWSDKDLRARERKETSENLFGKGRIRSADESTEQTQEVDRNLDEERKREQMIVELENLAFILVESRKQFDAANRQFNKCLKSCIDYNTVNNNEEFLTRVEAAQVFVCSEADQFENQSRQQEKETDGASQYNFEPLGNPVSEEREVQAEKQAEEERQVEKERNIQEVEEEREVESEKGADGVQKEIEKERPVEKTVSPVQSSKEIEQEKPVEKTVSPVQSSKEIEQEKPVENTVSPVQSSMGSEVIRMLDAAEKDYQEKIRAQEMASNVNVVGIATEAVSGLHDERTSDTEMPVAEHGADKEEAVEEQAAPEALDVSSRKAAQLVKKDGKKVKIIFNTSRRMNVVSSTVPPPQKLTIKTTAQPNVQSSGEVLPSFSLGLTQVEKEAELERQRKSEEEAAQVDKGKRIIHAAEVLKSPWKIRLTRISTKINKEEQKLKDWLLTIDPEGYFLYFDTANAILDNSNCISFQPKQLVTAQVVDAFCHILNMNEMYKAEQSPLRLFVPHHVTVYVLRHSNSEEEEQHFKKFVRDFDDVLAAYDHIKFNDVDLIFFSMTVSDHHYLLCFNIKKPSFEVIDSSALQPDFDAKYQQIPQNIRNFLVRYMVLKNHSKAKDIASLVPVRLEMKWRTEHNHIDCGLFVMRHMEHYQGVSKNWDCGLAVEGKVQDQQLDVLRTRYAHQILLHECNKQKHHVEYQIFEEHMKKAELEKQKAKEKKEKDTKAPAKRQRRA >KZN07396 pep chromosome:ASM162521v1:2:40577668:40579823:1 gene:DCAR_008233 transcript:KZN07396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQVDGPRISFTKILLPPNTTSPESVAVDRRGNGPYVTLADGRVLKWLGPDFGFMDFATTSPDRTKDVCDGTLNPDLIFQCGRPLGFSFDTQTDNLYIVDGVLGLYVVGPNGGQATRLASSAEGITFRFLNGVDVDTFTGIVYFTSSSTTYDIRNITQPGFQPDKSGRLLKYDPSTKQVTVLLRQLYVPIGPAVSLDGSFLVFSEYGNKRILRYWLKGLRANTVEVLFTVPGYPSKIKRTSIGDFWVPVNIINPATFIATPMGFRFNSFGTVVQKVDFSSQYANQNITVLNEQNGVLRVGCRTVNFIGIYSP >KZN06830 pep chromosome:ASM162521v1:2:36157288:36157542:-1 gene:DCAR_007667 transcript:KZN06830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACNSCMMHPIKVVVCIDHSIENRVHPLPATGRSRVVELHSGLGPQSGQNLSFSQMGSLNMFLEVKNHNNTAKSDRYCAEKPN >KZN05662 pep chromosome:ASM162521v1:2:26103326:26111610:-1 gene:DCAR_006499 transcript:KZN05662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALISEALPKFTAETLRSAAKQSERCAVVPPQLRQAIETYLQEKEEPHMKRNVLQLSESFNNIKDVNLQLATSTSKELVEHPLKSMESSKRWKIKSLYGETGLKYREDQTVAYVASRMPAVFSACRRVLSEVRRRVPGFSPHRVLDFGAGTGSAMWAVREVWPQSLERFNLIEPSQSMQRAGQSLIKDLKDLPLIQSYSSIQSLTQSINKAEREHDLVIASYVLGEIPSLKDRITIVRQLWDLTQDILVLVEPGTPHGANIISQMRSHILWKEKRKNRKSEGTSNGASIDFMTLKSDAHIVAPCPHDGACPLDKTGKYCHFVQRLERTTSQRAYKRSKGQPLRGYEDEKFCFIAFRRGQRPRESWPLDGVKFDTLKEQHDKRKPEDFEIDFDDQLESEAEIVPEEEDPVFCDSDITNNVNEDEDGEINRADLGGGWGRIIYSPQKRGKRVEMDVCRAINREGSDGAFERIVVTQGKNPALHKQAKGSQWGDLWPF >KZN05645 pep chromosome:ASM162521v1:2:25939213:25940812:-1 gene:DCAR_006482 transcript:KZN05645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNAVNAKKDTTDLENGIQTDSETEFDSGICSWDSAEVVTIVQKVIAEVCGTYFVIFAGCGSVAANKVYGGTVTFPGICITWGLIVMCMIYSVGHISGAHFNPAITVTFAIFRRFPWKQVPFYVAAQVAGSTLASGTVRLVFDVDKDKYFGTVPAGSDIQSFAIEIIIAFLQMFVVCGVATDTRAVGELAGIAVGASVVLNVFVAGPMSGASLNPARSLGPAIVMNVYRGIWVYMVAPFVGSIAGGFVYGWIRITDRPLIEIAKTASMGRGLQ >KZN05029 pep chromosome:ASM162521v1:2:19082437:19084528:-1 gene:DCAR_005866 transcript:KZN05029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKNTIVPPGFSPILPRFGEYVSVNHSPNQDFGFENRQMMDNTPLYPQGCLYEYSVPQHIYPAYHEHVSVNQSLDQGFVFEKHQMTNNTPLYPQGFLYEYSMPPHTMTDSSASHQANAPQEERFTPENVFVVEVESPILPMSKPRLRWTPELHERFTHAAEELGGYFKAKPKAILQKMNVSGITTEQLKSHLQKVRNSILRTSSSAGGILNGQVPSTPSFESDIERHERLQMNSECLNNHCTCRIAPVNEYLANNVKFDLEDFCSLKSSRTSTYHQILKNTMLDRDDRDMKFEHFSFG >KZN07510 pep chromosome:ASM162521v1:2:41428928:41429605:-1 gene:DCAR_008347 transcript:KZN07510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHEQERPLASEAHQISIPNDTVTQRSTEEETERKRLRRRCVQCCGCTSAVLVILGVTLLILFLTVFKVKDPKINLNNVTIRGLEGVDPRNLVPSTNLTLIAEVSVKNPNIASFRYKNATTEIYYENVLIGEAQIPKGNAKARKTIQMTVTANFLLEKIIRVSQLPADLTAGTLALDTKTSIRGRVEIIEIVKKTVGVKLNCTSTVVIADQTVRDQKCTKSVKF >KZN06036 pep chromosome:ASM162521v1:2:29480692:29481537:1 gene:DCAR_006873 transcript:KZN06036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLTAHAHSLPSSFHPRDLQLPHHPLHLQNHHTTQQPPNSEEEQSEGSFNHNSRIDGKELVSLNGSEAEGSRRPRGRPAGSKNKPKPPMIITRDSANALRSHIMEIASGCDIQESVSSFASKRQRGVCILSGNGTVMNVTLKQPAAPGGIITLQGRFEILSLSGSFLPPPAPPGASCLTIHLAGSQGQVVGGSVAGQLIASGPVVIMAASFGNAAYERLPLEDIETSVPGIGPLESPRLQQQQLMGDPNASLFQELPPNLLNSIQVPSDQAYWGGARPPY >KZN05172 pep chromosome:ASM162521v1:2:20815039:20815647:1 gene:DCAR_006009 transcript:KZN05172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEATKQAAGDEDLPSAPQRKPKLCGYCKEQGHDSRTCSAKVKFLFTSVIVMIVEDIVGYYYLLLLQAVDQQKKLQEAREAREKTQEAREDTRREKTHEREEERGSPNKGNQTGCSTPQKSPGGRIKQLAKRGKNTPPLKNSSLVFITRKEGSDASHAGIVRAFKPPAQDGKVHPVEGQKFTSLKELERARLHKQKTLGKKD >KZN04868 pep chromosome:ASM162521v1:2:17418708:17421627:1 gene:DCAR_005705 transcript:KZN04868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTGDTPPNQTIYIKNLNEKLNKDELKRSLYALFSQYGRILDIVALKTTKLRGQAWLVFSEVTAASNAVRQMQNFPFYDKPMRIQYAKAKSDCVAKEDGSFVPKDKKKKLEEKAERKKQEAQPAGTNGVRAGTNGGPMGSHQGKPGAQDTATAPNNILFIQNLPHETTDIMLEVLFKQYPGFREVRMIDAKPGIAFIEFEDENQSLVAMEALQGFKVTPQNPMAITYAKK >KZN04142 pep chromosome:ASM162521v1:2:2563982:2565440:-1 gene:DCAR_004979 transcript:KZN04142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADGILLVLSILTSSSELMNLINNVDTVFHSKPSGSSKPIHNNLYRFVPSIREQLQDWEDGLCENDADGSFLHENWNKDLQLFSDGDDGRQQLLQLFVLRAESELQTVLGDNHAQNMACLDSIKAQMGCLFGNSTPRNTQAVPETRENQHPRDEIFSPRVIRNKKQTGMKYRGDWLKRPVSNDEIAWLAKLLVTLSCWLNKKLGLNHSGTTDNQGAAWSYVEVSGGTRTVNGPADTMKLVFLSVVFWLISVIRATVKLMRDHGMKVNLRILASKKIMISLLMLVAFSVLKKAVSQS >KZN06319 pep chromosome:ASM162521v1:2:31929014:31930173:1 gene:DCAR_007156 transcript:KZN06319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTRSLAIKLLMIQCLTVVCFSQDFDFFYFVQQWPGSYCDLKQSCCYPSTGKPASDFGIHGLWPNYQDGSYPQNCDPDAPLDPSKISDLKGNMQKEWPTLACPSGDGLTFWGHEWTKHGTCSESVLDQHDYFATTLHLKDQVNLLQSLESAGIHPDGGSYSLESIKSAIQQGTGYTPFIECNVDASGNSQLYQVYLCLDNSASKLIECPVFPHGKCGAQIQFPTF >KZN05164 pep chromosome:ASM162521v1:2:20725839:20728753:-1 gene:DCAR_006001 transcript:KZN05164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAAEKKPAEKKPAAEKAPAEKKPKAGKKLPKEAGAAAADKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARQLFVTRLSFYTTNQELKKLFSRFGSVTEAKLVIDEKTQRPKGFGFVTFKSEDEARNALKAMNGRVSISRLLVFCFHHTSLISKNNILQFLFVCK >KZN06570 pep chromosome:ASM162521v1:2:34094925:34101643:-1 gene:DCAR_007407 transcript:KZN06570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVYIFGINVQRASAGLLLWIALLLLLQNGKGVVSDSNYLIGLGSYDITGPAADVNMMGYANTEQVASGVHFRLRARTFIVGEPKGSRVVFVNLDACMASQLVTIKVIERLKARYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVNGIEQSIIRAHENLRPGSVFVNKGEIVDAGINRSPSAYLNNPAAERSKYKYDGDKEMTLLKFIDDEWGAVGSFNWFATHGTSMSRTNGLISGDNKGAAARFMEDWFDQNGAREKHLERYESSEIPRRVSNIIPVARETHHELLELAASFRSSSGKLATKILNVANRVRSALRQVDKPRFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNQSTCGGKNELCYGRGPGYPDEFESTRIIGDRQFRKAVDLFSSASEQLKGKVDYRHAYLNFSNLEVTLHNQDGTNQVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDQGNAFWRLVRNLLKEPSNEQVDCHQPKPILLDTGEMKQPYDWAPSILPIQMIRIGQLVILSVPGEFTTMAGRRLRDAVKTVLTSGGNKQFNSNVHIVIAGLTNTYSQYVTTFEEYQIQRYEGASTLFGPHTLSAYIQEFQKLAKALVNGKPVEPGPQPPDLLDKQISLLTPVVVDSTPLGVNFGDVSSDVPKNSTFKRNGMVTVTFYSACPRNDLMTEGTFALVEILRGKDSWVPVYDDDDFCLRFKWSRPSKLSARSYATIEWRIPESAASGVYRIRHFGASKSLFGSIRHFAGSSSAFIVT >KZN05564 pep chromosome:ASM162521v1:2:25105965:25112311:-1 gene:DCAR_006401 transcript:KZN05564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGKEIVTEKGKGKLNHSSASSGFRERKNSDGVLRFLDIAASVADGENDSSSGDSFIDDQNVGTDYQEDEIPSTAIVKQETETAIDFPFAQNVEDMNVEELERLVLARYKPGSSLVTYAEDEAEELIHQNTEIPSLEYKSASKTPTIWKVKCKVGREKHSAVCLMHKFVEFQNLGKMLQIVSAFAVERVKGFLYIEAYKQCDVYEACTGICSMYPRGMVPVSEKELSCLFNVHRSSSNISTGTWVRLKKGKYKGDLAQVTAVNGSRRKAMLKLIPRIDLQFVTQKFLGGVAAMKNAVPAQKLISSSELEEIRPLIKNRRDRDTDEVYEILDGMMLKDGYLYKKVSLDSLSCCVVQPSEDDLLKFENCTNEDPGDKEWLSELFGEQKKRLMTKSDSFNMEGEDSSSISMEDLSKVSKVQDLVLFREKEYGLVISIESDDICKVLTESPQGQSVVDLKLSEIKKLSCERKFTASDRQHNIIYTNSRVHVVEGPLQGRVGIVKHIYRGIIFVYDKTVLENGGYFCCKSQDCVKIKVSGVSSKDKGGELLSSGANDVSSSSKSYLLPAKSTKENINNWDSQNLEITKISGVPGKGKGYEVEGLGTDDLLSSSKSPLSTKQATKENTDNRKYNLGGKDVIFTVGQSLKIRMGPLKGYCCQIQELSHSKIMVKLDSQPNAHAVKPEHLLGVQERSPAKPSVQARIRKISRGKEKIQRKSDAGKNAATSFGSQEWSKEWNKTKTLTKQVDGPQNKQRKKVLCSKQTETSVRNVGESTGGRSGRGGGRGEFGRGRGGGRGVPGRGRGRGRGEPGRGRGGGRGEPGRGRGGGRSEPGRGRGGRGGRGGEFGGGSGGGQGEFGRGRGGGRGESGRGRGAGRAGKALIGRGRGSGRGDKEQFHMGMGSVNQFNTVKGNGQHNTERVDGQFKMGRGDGQFNMGKGNGRDSMDQLNIGRGNGRGGNMGRGSNNQFDMGQGRRDQFNMGWESQQGGKEHFVMGRGGKEQFNMGRSRVNQFNTVWGNGQHNRERGDGQVNMVQGNGQDSMDHFNIGRGNGRGGNMGRGSNNQFDMGRGSNNQFGMGRGSQQGGKEHFVMGRGGKEQFNMGRGNGQGGQSYSLGGRTGTGGSRGGRGPSGRGISCDRGQSSGWNGQDNKKYLANYGKQMGSPFDSNGSQAGAIGWQSAAGQTGNQTRSRFSGASNAGMQGNAEAVGRKRGAEMMGDHTESWGYKKWKQ >KZN05128 pep chromosome:ASM162521v1:2:20376726:20377555:1 gene:DCAR_005965 transcript:KZN05128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELLTNWTIYANKFGALLSLVSIILINIAVGILPHVDNFAHLGGFLTGFLLGFILLIRPQYGWVNYKSAPPGYHASKPKSKYKSYQVVLLVCSILVLIVGFIGALVSLLEGVNGNDHCSFCHYLSCFPTPLWKCDDRCTAQHTENEVILMCLGNGKRGNYTLSSQDTTSEMAELCTSLCR >KZN04791 pep chromosome:ASM162521v1:2:16020411:16021496:-1 gene:DCAR_005628 transcript:KZN04791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSRNRHNDHLGVNKIGKNIRKSPLHQPSFTNTARQQPQPQVYNINKNDFRNIVQQLTGSPSHHDPLPRPAQNPSKPASMRLQKIRPPPLGAITVNRPQMPMRPPPPPIPALPPPRAAPPFSNNFSRPPPGHFGPPSPSMMPPPYPPGDQVWSNTAESPISAYMRYLQHSILDSGPRQSHMQPQNQPPYPSQNQAYPPSSGLHPNPSGPPPLPSPRMNGPPVLPSPRMNGPSLLPSPRVNGPPPLPSDRMNGPPPLPSPRMNGPPLLPSPTSQFLLPSPNGYMNMLSPRSPYPLLSPGYQFPPLSPNFSFSPFPQLGVLGPGPHPPPSPGYGFPLSPSGFFPMSSPRWRDQIASPRWRDQ >KZN07605 pep chromosome:ASM162521v1:2:42145984:42146633:1 gene:DCAR_008442 transcript:KZN07605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNFFVAFALISAIIAPALATDFVVGDEAGWKTNFDYKTWAAGKEFHVGDKLIFNYPAGVHNVHKADLASFQSCTPSSTSVALTTGSDVITLASEGKKWYLCSIASHCASGNMKLAITVLPQVESPAPAPEVSAATGFGSSALTLWIGAAFTTLMMMI >KZN06732 pep chromosome:ASM162521v1:2:35415248:35417642:1 gene:DCAR_007569 transcript:KZN06732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRANDDEDEHQNVKARIFQFQRQRDGGRQTQSMADIDIDTNRSVSPGPENKPLRTHASAPLEDEIQAALESDETVLSPLSTEKVPSLAELKGKSLRFRSPLHSVLLILSCILYMEAMKERFAKLLLGEDMSGGGKGAPSALALSNAITNLAASVFSEHKKLEPMPPEMKARWKKEIDWLLSVTDQIVEFAPSKQSKNGVTFEIMTTRQRTDLHMNIPALRKLDGMVIEVLENFKDQNEFSYMSKNATDSQKAKNKRNEDKWWVPTPKVPPNGLSDVTRKWLQFQKDSVNQVHKAAMAINAQILSEMEIPESYIESLPKNGKACLGDLIYKNITLEYFDPDYFLSSIDLSSEHKILDLKNRIEASIVIWRRKMTAKDGKSSWGSGVSLEKRELFEERLETILLILKHRFPGISQSSLDISKIEHNRDVGHAVLESYSRILESLAFTVMSRIEDVQHADETSRNPSVRSVERKAYSFRESPSVIVERIPNAKEEVEKINSEEAPLSMTLLDFMGWQMDQEEAEHKKEAQEDDSTEKKKIPSLLANKKFNYMDR >KZN06060 pep chromosome:ASM162521v1:2:29827944:29829495:-1 gene:DCAR_006897 transcript:KZN06060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSKLSKLSIPQDILQSLFLASNSSTLEIALERLIQVARETNGRTQLAAKNILTIVLQLCQCVSDPSCRQIRLLSLKLLRNLCAGEIINQNSFIEQKGVGIVSSVIISANRCSSDLEMVRIGLQILGNVSLAGKDHQQAVWHDIFTPDIVEIAQIRNRGTCDPLCMIFYTCLEGNSGYVSDICSDKGLPVLVEIIRTASAVGSGEDWFELLLSKICLEESYLSPLFSKLSLCDDTKLKSDVFEPEQAFLLSSLSKILNEQISDISIFDEFALSVLGIFRKAAGVVRFTSRGNSGLPTGFPNIDVLGYSLTILRDICACDPNKAKSIDVVESLLSTGFLELLLDLLCDLEPPAIIRKAARQGTINEGISSDSGKCCPYKGFRRDIVAVVGNCAYRRKPVQDWIRENNKLLVLLQQCVTDDDNPFLREWGIWSMRNLLEGNEENQRKVSELEVQGSVDMPELAGLGLRVEVDPKTHCAKLVNVS >KZN04663 pep chromosome:ASM162521v1:2:14353471:14358867:-1 gene:DCAR_005500 transcript:KZN04663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMLLVAIVMCISTTTSSSSSGGRYVMRVKSKFSGGGVDNSLTALKSHDSLRHLHILAAGVDLPIGGIGRADTVGLYYANIGIGTPSKNYSVQVDTGSDIMWVNCIQCTDCPKRGYHNIPLTLYNPLDSITGSKISCDHQFCLEVSKASASTCKPNRSCLYSEVYGDGGYSLGYFVQDVVQYDRVSGDRQTSLANGTVIFGMKKYFKMHLDKKISLVDDTLDVLSGKLTPSHLSCGARQSGDLGSDDDALDGILGFGKSNSSIISQLASSGKVKKMFAHCLDGVNGGGIFAMGHVVQPKVNTTPLMPNQLHYNVNMTGVEVGYEFLNLTADVFAIGDKKGTIIDSGTTLAYLPEVIYKALIRKIFAWQPDLKLRTLRDQYTCFEYSKSVDDGFPLVTFHFEKSLVLEVYPHEYLFPFVPDHARSSILICKEECHRKYKLDMEVAPEKKKKS >KZN04866 pep chromosome:ASM162521v1:2:17407534:17409263:-1 gene:DCAR_005703 transcript:KZN04866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIMVAEAHQAWCKEMEAFEETKAGIKGLVDSGVSKVPRIFVHPDQDKLPKAPSDSLQVPVIDLEGIDVRRAEIVGLIRGACETWGCFQLINHGMEGGIIDATLEAIRKLHEQPNEAKAGLFSDDSSQQDHWVDAVPIPGALIAHVGDLMQVISNDKFKSVEHRVLARADETRVSAACFLYPSAQNIQKPFGPIKELISDTNPCIYKEVFPLEYATFYQTKPLDGSSALSHYKLELNGNQENAQPA >KZN06178 pep chromosome:ASM162521v1:2:30796538:30799496:1 gene:DCAR_007015 transcript:KZN06178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAAKNRCVSTVIQKLGFHRGVSSLNKIDLFNGIVTDDNANNTPAAARRDILSLPEVEKILSDVKADDVKVIPVQNDSFTDHIVLATGRSAWHVRNIAQALFYKAKLKQRGEEKMLLPSMEGQKGGKWIVVDSGKLVIHALDEKMRAYYNLDALWTEEKLPKSASEERQDEAVENGLVKVRRKNNSKKRPVKSA >KZN06055 pep chromosome:ASM162521v1:2:29678887:29682051:-1 gene:DCAR_006892 transcript:KZN06055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLLTQLYRRKIPPASLPDANGRLFSTSDTSSNLRSKYPFHPPSSLNPTKTRPESKPPKKPKPQYKPPSSLDRSGKKPVRSDLPFDFRFSYTESSHKVRPIGLREPKYSPFGPDRVDRVWTGVCAPVVNPKVASLDEGKVELNLEGKKRRTREKVQGVPLTNAERIALVERFQRHRTKRQINIGRDGLTHNMLNDIHNHWKHDEAVRIKCMGVPTVDMKNVCTQLEDKTFGKIIQRHGGLLILYRGRNYHPRKRPVIPLMLWKPQEPVYPRLIKTTIKGLSIEETKEMRRRGLAVPALTRLAKNGYYGSLVAMVRDAFLMEELIRIDCKGLEKSDYKKIGCKLRDLVPCILVTFGKEQIVIWRGNNYKPHQGGIFLTERELYDDPADSESAVNREKHENSGGNNNKPHERGIFPANEEELSDDGAECASILNVEHHKNTDN >KZN07505 pep chromosome:ASM162521v1:2:41398857:41399501:-1 gene:DCAR_008342 transcript:KZN07505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNMSFDSDFSFLDSIRRHLLDDHAAADTNPSNSPAIYCRSDPSSTDNNNSRELELKLNDNDSQNMVLNDMLNDAVNIGSMSSMQEPICDVKCEADQNYINQAAVEVAAQPYMRKYRGVRQRPWGRFAAEIRDPAKNGTRVWLGTYGSAEDAALAYDKAAFRMRGARAILNFPLLVNSGLPEPVKVVDKRYSESSECSWEENVSPKKRKRVVR >KZN06799 pep chromosome:ASM162521v1:2:35917903:35921220:1 gene:DCAR_007636 transcript:KZN06799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSGDGTTFVSTAIAVDKDKNSPHAVRWAVENLVKKNASVYLVHVRNNTSQPNDAVPKEGRAPTEFEMQQFFLPYRGFCARKGVRAIEIILLDGDVASALVDFVVRNTISNLVVGLSNRSALTRAFRNPDVPTNLSKTAPDFCTVQAISKGKVQNIKTATRTVTPSNAVSSKASQSGYSSDSSFSQSSWRSGSDLTSFDMSSQSSFQSGSTEYGVMNPFERPSGGKSPYPPSVSNLNYMVRTKGMGSPLHHNFSSASSEYSGMSSFQSNNYSFENLDRSQSPGNSNPNSYASSRREGELEDEMMRLKQELKHTMEMYNSAYSESMNANQKVRELDQWKSTEEHMIAQQRQSEEDALAIVEMEKQKCRAAMEAAQKAQRLAELESEKRRCAERLAKHEAEEMRKAMDALSRNGARYRTYTIEEIEMATNKFSVTAKIGEGGYGPVFRGYLDHTPVAIKVLRPDISQGKEQFQKEIDVLSCMRHPHMVLLLGACPEYGCLVYEYMDNGSLEDRLFRKHNTPSIPWATRFKIASEIATALLFLHQSKPEPLVHRDLKPANILLDRNYVSKISDVGLARMVPPNVADSVTQYHMTAAAGTFCYIDPEYQQTGLLGTKSDTYSLGVMLLQLLTAKPPMGITGQVSRAIEKGNLLEILDPTVPDWPVEAATAFAKICLRCCELRRKDRPDLATVILPELERLAKLGCGEQDL >KZN04861 pep chromosome:ASM162521v1:2:17379360:17380681:-1 gene:DCAR_005698 transcript:KZN04861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAWVYTEYGPSGSVLKLETDYSVPEISDEQVLLKVEAAALNPVDYKRMLGYFKGIDSALPHVPGYDVAGVVVKVGSKVKKLKEGDEVYGNINVTPLHNCKRYGSLAEFTAVEEDLVAIKPKNLSFAEAASLPLALSTAYQGFELGEFTPGKSVLILGGAGGVGTLAIQLAKHVFGASKIATTCSAAKAELVKSLGADVVIDYTKEQFEELPEKYDLVFDCVGQCDKAVKAIKEDGKAVTISGQVTAPGVIFLVASKASDLDTLSPYLESGKVKALLDPKSPFPFSQTVEAFAHLETERAIGKVVVYPIA >KZN05572 pep chromosome:ASM162521v1:2:25201284:25202297:1 gene:DCAR_006409 transcript:KZN05572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEKKLVEDVSGWLRVYDDGSVDRTWTGPPEVSFMTDTVMPHENFVDGVAVKDVDTDSGARVRIYLPEKNNSDSATLPIILHFHGGGFCISEASWFMYYAVYTRLARSSRSIVVSVYLRRAPEHRLPAACEDSYNALLWLRSLAKGDLHEAWLNANADFTRVFLMGDSTGGNLVHQVASRAGSEGLELKLSPSHVAGGILVQPGFVRATRSKSELEQPQSPFLTLDMMDKFLSFALPLGSTKDHPITCPMGAAAPPMSSLKMPPMLLCVAETDLMIDTEMEYYEAMKKAGKDVELFLSPGVGHGFYMNKIASDLDPVTATRTQELIAAITDFIKKH >KZN06844 pep chromosome:ASM162521v1:2:36301633:36303513:1 gene:DCAR_007681 transcript:KZN06844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIWNNQKQDYFPRIKEGDVYKITDFKIMPAQKKFRPVKKDISLSFYHKTKVEPMEDNGLIPKYKFDLTSFEVARTLLWDTTNFIDIMGMVKDVSSLETTSKGSKKLDVLLVDDRNHDMVISLWEEKATHFMESMAPLQDAAVFVIITGLLAKQYSGNSIILSSGDPTKCYFNLDYDPLKELMGNIQAITGHSSTSLPPPTKKRFVSTEDNIIADATIQTILDAQLPDDKKVMRFVCEATIVDISKYDGWYYNSCPTCPRTIRFEHGNLYCDECTKETGDYVQRYKITIHVKDDTAKTTFTLFNKEAQRLIGAPIQTIIAEIGQDKITSDIPVLVKNVKGKKCVFEVKVTIFNLDGREGYTVARLSEVTDQPPSTSNPPETLMDSPRKKKKLA >KZN05585 pep chromosome:ASM162521v1:2:25334546:25351983:1 gene:DCAR_006422 transcript:KZN05585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSDSYLSIESEENDENVYDKGEDSDDSDSSNYSYNNEHNSRPASVSSAWPQSYRQSIDMSVPSPSLNFLGTPVLSRLSNSFLSSSLTKRKTPEIIQSTAKPLLQEASDEQQPQLRRSSHSLLPPITERKHAKKVTSDQKYSKVSHEIPVARKSSYGQAVLNGMNVLCGVGLLSTPYAVKEGGWSSLSLLFMFGILSFYTGILLRHCLDSQPGLETYPDIGQAAFGTTGRIVISIILYVELYASCVEYIILESDNLSSLFPNANLSLAGFDLNSRYLFAIITALTVLPTVWLKDLSILSYFSAGGVIASILVVVCLFWVGLVDDVGFHGKGTTLNFATLPVALGLYGFCYSGHAVFPNIYTSMENRSQFPLVLFTSFALCTVLYAGVAVFGYLMFGEETESQFTLNMPNHLVASKIAIWTTKNICINYVSGGYESGRADTIRQSPISHLLDSDKNGIGAFDFICGSQCPLFRKPEKCQARRHYKQALVDGRVSFTLGDDAHVMAGDGEDNYICRIIEMFEGVDGEPYITAQWFYRAKDTVIKDCSDLIDKKRVFLSDIKDDNNLDCLLEKIKIVQLSLNDDSDTKMRTVAKCDYYYDMLYHVPFSTFLNSKHDDAEDKNESGSTISSETGIHQDEENKIPKEMKLLDLFSGCGAMSTGLCLGANLSGVKLVTKWAVDLNQYACQSLKHNHPETEVRNEKAEEFLALLKEWQRLCAYFSLIEDKGSSEKYVPPEITSNDEDDEDEVQVDDEEIFEVEKILSICYGLPAGQKEPGLYFKDKLKDFVTNGFNSKILPLPGDADIICGGPPCQGISGFNRFRNSKNPLEDPKNEQLLVYMNIVEYLKPKFVLMENVVDIVRFAKGFLGRYALGRLVGMDYQARLGMMVAGAYGLPQFRMRVFLWGAHHTMKLPQFPLLTHNVVVRGHSPLEFEGANFRDLKGVRVGPDNKVEFDPDVERVLLSSGKPLVPDYAMTFVRGTSPKPFGRIWWDETVPTVVTRAEPHNQIIIHPEQNRVLTIRENARLQGFPDYYKLFGPIKERYIQVGNAVAVPVARALGYSLGLSVKGSSSPSQPLFTLPAGFPNCQSHVSDEA >KZN06018 pep chromosome:ASM162521v1:2:29179485:29182114:-1 gene:DCAR_006855 transcript:KZN06018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGTDCPHPMKATSNGVFQGDSPLDYALPLIILQICLVLVFTRFLAYLLKPMRQPRGGILLGPSAFGRSKTYLDAVFPKKSHTVLDTVANLGLLFFLFLVGLELDPKALGRTGKKALAIALAGISVPFVLGIGVSFVLRGTISQGVDEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGSGRSPLISLWVLLCGAAFIVGCIIVVPPLFKWMARHCPEGEPVDEMYVCAILALVLAAGFVTDTIGIHALFGAFVIGVLVPKDGPFAGALVEKVEDLVSGLLLPLYFVSSGLKTDVTTIKGLQSWGLLVMVIATACIGKIFGTVGVSLLCKMPFKEALALGILMNTKGLVELIVLNIGKDRGVLNDQTFAILVLMALFTTFITTPLVVAVYKPHKKVDKKAYKHRTVERSETNSQLRILACFHGARNIPSLINLIEASRGTGRRGGLRVYAMHLMELSERSSSMLMVHRARRNGLPFWNKVGSSDSTQIVVAFETFQHLSKVSIQPTTAISPMATMHEDICGSADTKKVAMIILPFHRHQRLDGLLETTRSEFRQVNRKVLERAPCSVGILIDRGLGGSSHVTARNVDYVMTVLFFGGHDDHEALAYGKRMAEHPGISLIVVRFVLDSKVTGGVISVDMSDFTNAEERAIDDQVLDDLKRTISDKKMKYEERIVNSAAQTIEVISEFSKCNLFLVGRIPEGEIARSLLTKTDTPELGPIGSFLVTPEFSTEASVLVVQQYHSNMSVHSLASLKSEDEGKDDESSDDDEK >KZN07372 pep chromosome:ASM162521v1:2:40413565:40414718:1 gene:DCAR_008209 transcript:KZN07372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGQWIRELDRNMDQMQEGPVKLVIFVSTLVLLLGSLPQGQGVVQPQATGLIQIFEIQFSGILACTPTGNPPSTGDVVPGVVGAVFSGSCNGASGNISQFLIDQNGAFSGVLSLLDGILFDPSQGMPCFISVQLPVTGTTCTVLPPTGTLRAALNLVSLVVSLAGNLVCVAIIGPWGL >KZN04577 pep chromosome:ASM162521v1:2:12981120:12985983:1 gene:DCAR_005414 transcript:KZN04577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEKARLISLALDFGFDEETAKTGLDHLVHLYGDEGQEFITVEHCGDDFLVALAESIKGNEDWDDLQTLESQACGTLADMFDKDVPNNHIPAVDANAKKNLYIIHDSPEPQKRQNFMHLDSSSDNEDEEFKILEKSSIRYPPSGSKRVSQDYKSNITRDPVSSSSNISASSGTLNTNETLSYEMLQSLDDIELANVVIFGNRIFRPLQHQACSEYLKNRDCFVLMPTGGGKSLCYQLPATMQPGVTIVISPLLSLIQDQIITLNLKFGIPATFLNSQQSASQAAAVLQELRQVHSPACIVFHIPRSDKPSCKLLYVTPERIAGNLSFHEVLKCLHLKGLLAGFVVDEAHCVSQWGHDFRPDYRILGCLKQNFPNVPVMALTATATQSVRQDILKVLRIPNATVLEASFDRPNLKYEVMGKTKEPLKQLGELLLSRFKNLCGIVYCLSKNECVEVSKYLNEKCKIKTVYYHAGLAARQRMAVQQKWHTGEVHVVCATIAFGMGIDKPDVRFVVHNTISKSIESYYQESGRAGRDNLPSVCTVLYQKKDFSRVVCMLRSGQGYKSQTFKLAMEQAKKMQQYCELKTECRRKNLLEHFGEAFERSVCRYGSSPCDNCLKASS >KZN04113 pep chromosome:ASM162521v1:2:2165077:2167911:-1 gene:DCAR_004950 transcript:KZN04113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSTAVATTYLITGLFSILAGKYLDSVVACALCTGNDLFIEPKITRGCRMEKIRNIFKAKKGKGGEESSKFICSNTQPSRPFASREKYRRLSCDIILKVITFYSLKFHRMMKGAEKSQTVLHGFEGPHDSKDEVFVESLRKMLSLEGLVLQKHTDYYTLLRFLRMKEFDLTKAKEAFLNYIKWRREYGVDAICKEFKFEEYRELKICYPHGYHGVDRCGRPVYIEQTGLVDLNALLQITTTEKFLKYHVSEQEKTLNQRFPSCSIAAKKHITSSTTILDVKGVGMSNFTKPARNIFMELQKIDSNYYPGTLHQVFVVNAGSGFRALWKVVKAFLDARTLGKIQVLGGNYKSKLLEAIDPSNLPTFLGGNCTCSEFGGCHFSDKGPWNDPEITRLLQEMIDTEEHIQDGECSVATTNEKMQSDMDNIYIKDVNDTIPEENTHPEDFSVVDKPLLSKLQALETALKDAKTQIQMLETSIEGTKTVLRGLGLHMEELLSKLN >KZN06387 pep chromosome:ASM162521v1:2:32431710:32433835:1 gene:DCAR_007224 transcript:KZN06387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQNMSDAYFQAAAGSNMMFPEVMPWNLPHAGFNPVHFQTNPMIDHDPFLLPPQPPSQYGGAAMFNRRSYGNYDGSSSDHLRFLSDTVLGQMVHQPCSVPFGLQAELGKLSAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSIIAESSPVPTESDELSVENEADEDGRFVIKASICCQDRNDLLPDLIKTLKAMRLRTLKAEITTLGGRVKNVLFITADDQKSSCDVDEQMQPHEYSISSIQEALKAVMEKSSGDESSPGTVKRQRTNINII >KZN07149 pep chromosome:ASM162521v1:2:38673634:38677945:-1 gene:DCAR_007986 transcript:KZN07149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLLKLGTLALKTISKPIAARLKAEAGLHPRFRQSIINIAQANHRFTTTIQRRIYGHATDVEIRPLNEEKAVQAAVDLIGELFVFSVAGAAVIFEVQRSARSEARKEAIRRQEVEELRQRDEELAKDVEVLKNKLQEFEQVFKSKQASTGGDKLAKQS >KZN06445 pep chromosome:ASM162521v1:2:32951279:32956380:-1 gene:DCAR_007282 transcript:KZN06445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIGGKGGVAQILTAVAAALLLRLFSAPGPALLPEDESVDDGEGDSGDAPVPGKVSPVTILWKNITCSLSDKSTKSVRFLLKNVNGEAKPGRLLAIMGPSGSGKTTLLNVLAGQTVSSPRIHLSGLLEVNGRPMANKAYKFAFVRQEDLFFSQLTVRETLSLAAELQLQEISSIEDRDEYVNNLLFKTGLVSCADSRVGDAKVRGISGGEKKRLSMACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAQEGHTVICSIHQPRGSVYAKFDDIVLLTDGTLVYAGPAHEEPLAYFSKLGLLSKLLYSCPDHVNPAEFVADLISVDYSSAESVYASQKRIDGLVEAFSQQTSLMLYATPITVLDTSKKSVGARLKTVVKRKSNWWKQFWLLLRRAWMQASRDGPTNKVRTRMSIASAMIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERTKGSYALGPYLLSKLLAEIPIGAAFPLLFGSILYPMSRLNPSLSRFGKFCGIVTMESFSASAMGLTVGALVPTTEAALALGPSLMTVFIVFGGYYVNAENTPIIFRWIPRASLIRWAFEGLCINEFSGLEFDCQHSFDIQSGEQQLERLSFGGSKIRDTLVAQSKILLFWYCTTYVFLEKNKPKYQKLEPPSLDEEKPSAELEPLENGPTDEDQEAESSPSEQGKPNQEYESPTTDQLNLFDLDGF >KZN07238 pep chromosome:ASM162521v1:2:39340069:39340771:-1 gene:DCAR_008075 transcript:KZN07238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSSPTLGDLPEITGADTTEASSSADAEFILINDENVIRDTVDSEPQEAKASVTDQETKPVTFIDTIPIIMNVDDTNTPCMIENKNLLINDANQIILGSVKITITTNGGQVTKVSESSEDGAERSVPGGIGGNVFFGKAVSGSSEMYNVGENDFYNQIFQTMNNDVFVVGRFAKVADTAHNRMVLAKFDKEETNSGDATTGKYYKY >KZN06558 pep chromosome:ASM162521v1:2:33980677:33981397:1 gene:DCAR_007395 transcript:KZN06558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKSLQPPKTGLEALKSFNADKYLKKIGLGKEDHYFWKQVGKSLLCTYALFGTAWLYNETSPLGWWTLKPKPKEEKELAHLYERRQFPYPGDAEAMEEFIAQGGMIGTTIGPKGGPETDKDSVNFQKQLQDRKFENEAFKMWVRMRNEVVAELQSKGYDVE >KZN04691 pep chromosome:ASM162521v1:2:14780978:14783898:-1 gene:DCAR_005528 transcript:KZN04691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTMLKSQPAEAARAFFVFGDSLVDNGNNDYLATTARADSPPYGIDYPTHRPTGRFSNGLNIPDLISEAIGAESTLPYLSPQLAGERLLVGANFASAGIGILNDTGIQFLNIIRITKQLEYFEQYQTRLSSIIGVEQTQALRLYELGSRRVLVTGTGPMGCVPAELAQRSRTGECAVELDRAANIFNPQLIQMINELNSEIGTTIFVAVNAVQMHMDFIHDPQAYGKYFNQLSFPTCQYFYEV >KZN07822 pep chromosome:ASM162521v1:2:43796423:43797390:-1 gene:DCAR_008659 transcript:KZN07822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVEVVSRHHKRLRSPAASQCSSSVVKHIKAPVDIVWSLIRRFDQPEKYKPFVSRCIVLGDLMIGSVREVNVKSGLPATTSTERLELLDDTHRILGVAIVGGDHRLKNYSSVITVHPEVIDGRAGTQVIESFLVDVPQGNTKDETCYFVEALINCNLKSLAQVSERMAMQDLLKV >KZN06407 pep chromosome:ASM162521v1:2:32628954:32630435:1 gene:DCAR_007244 transcript:KZN06407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFSSCVKLKYLKLGYQILVNHFVASVLITILVYILSEVLRSSPHEIVAFWNCLHFDLVQILWSSFLFIFISTLYNMSKPQTVYLVDFACYKAPAELCFSIRDYVERVKLIMSGPENKNLDFLLRVSERIGLGERTCMPPAIHLNPPNPTMKALREEAEMVIFSAMDSLFQKSGLNPRDINVLVVNCSICFSTPSLSAMVINKYKMNASIKSFNILGMGCSGSLISIDLVRQLLQAHPSYNAVVVSAELMTTIFYRGKERSMLVPNCLFRMGGAAIFLSTRNSFCNVGAKYRLLHLVRTHTAGDDKSHNCVYVKEDPEGVLGIWLSKDLLAVAGEALKLNLTSLGPLVLPASERFLYMLNFIARRIFHLRWKPYIPDFKQAFKHFCIHAGGPAVIDGVQDTLQLSKEQVEASRSAFHRFGNTSSSSLWYEMSYIEAKGRMNKGDRIWQIAFGSGYKCFSAVWECNETVKFPPTEPWLDCIDNYPTCIPQVAK >KZN04276 pep chromosome:ASM162521v1:2:6134285:6134665:1 gene:DCAR_005082 transcript:KZN04276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLAINCEYLKGYAKYIRPTVLMDALKGDDKAMSLALGQVHHHTLPNREGTDIPKDNLNFKQSFKKILMQPQIPAHSEGNLVSATKSRCHIHYNSLQRGRDLNRRNDTPSNQIHESKISRWRKS >KZN07328 pep chromosome:ASM162521v1:2:40039622:40042488:-1 gene:DCAR_008165 transcript:KZN07328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFFLIFIEIGLIVMFVFKTPLRKLVIMGLDRAKRGRGPIVVKTVGGTVGVVMMSSLYNVMSIQKRRIEDGEYVNPTDQVLSAKSLLEASLMGFSLFLALMIDRLHHYIRELRIRRKGMEAVKKQNRGFEDVIKAGGSDEIKALEDEAVMLREKYKKLQSELEAKTKEANVAEANAVALKKQSEGFLLEYDRLLEDNQNLRNQLQSVDRTLSHSGSKKVM >KZN07171 pep chromosome:ASM162521v1:2:38806264:38806629:1 gene:DCAR_008008 transcript:KZN07171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISTRLVLRSVRVGSTRFAAVAKPNKSPLHLPNQKPQFSLISRMPVEMSSAVMRTMLPFHTATASVSLTSMLSVSPRFYIWTLEGVILF >KZN06109 pep chromosome:ASM162521v1:2:30186990:30188835:1 gene:DCAR_006946 transcript:KZN06109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFILKLEVQDVRDKRKAMKTVSTLSGIDMISIDMKDKKLTVIGTADPVTVVSKLRKFWRSTDIISVGPAKEPEKKEEPKKEEPKKEEAKKEEGKEEAKKEDTKEEPKKEEGKKEEPKKEEEAKKEEGEKKAEPPQPMVATIMPYRPYYHPVNTYYPAMSSYHPPMNAFYNPAVNTNYYAQPVVEESPSLCTIL >KZN05999 pep chromosome:ASM162521v1:2:29022749:29034188:-1 gene:DCAR_006836 transcript:KZN05999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDNNEFEAHSHKLSGEECSKVSSVLHPYALPKFDFDDNLQNHLRFDSLVENEVFLGILSQEDNHWIEDFSRGSSGIEFNSSAAESCSVSRRNNVWFEATSSESVEMLLKSIGQEEEAALGEAVIEESDAVIKVGTLEKEMDPILNKQDEVVDNTLPQHELLPYSVTDNLEDSALPEHANALFTSEPQRDGFCNSLCSAEVESNVDVVLVNAEKLKDDLKSGDAKGVINENLTNKSPTENMKEASSVSAVHMEMLKIENANSVSHNAIVNSGELEKQVITVFAESVDALPTGNIAGNKVEVSITTSEVPSGTPIKVGDHSNMLVDFEDNLSAAARHTKLLNCSPCEDAPVVCSSDNNPNEKVVEVSNTQAVASACPELDMGSVEEKDCGSQAVSTESPNVGNPNSQIESSLCEVSPVVCSNEYKPNEKVVEVSNTQAVASDCPELELGSVEEKDLGSLVVNLEVQNIGIHSSETETSSCPDLKMDLAVENDSFAGSSHQMGSHVLAEATVSGDNDPGYTQHTGITEDVGVDLSSLSTPLTSGEREQPLDGNMTPEGCRSPPTLGKSVNPKEKDVASEEIVHTCEQVVTINERLNGGSQTESVDMECKVIGLLPSDKCREAMMIEPHGSDFRDTKEQAKEFTFNVQTAPEVGVMSMDTDKSYVAETEGHDNTGLRGRKESLPVETCLNPVNVDGEGRSDQAAAEAGTECSEKQEVCSVSVDSTVKQVDGTAAAEFQKGKEIPMEKIAETSLKEVPDDDQAPGALACTSVYGSDSMVEDCGHPLNVKETTIDSFQHIELSGAVGTDMSVPLNSEIEATGGQSSFSFDVFPSNSPSKGQISKDCQSFPSIQVSKGPLLTSNSDQADLKTATEFSCITPQAPGVGKVDVSVKGTPKPKTRRASGKASVRSAKKGSNLKEATPGRQSDKKENSPSFMQTPRTGQPGQFKELKPCGDVTKSGTKPLAFLPIPTSNLPDLNTSVPTAAGFQQPFTDLQQVQLRAQIFVYGSLIQESAPDEACMISAFGPSDGGGDVWGSAWRACVERAHARKSSASNMGTPVQSFSGGKAPIQPFKHSILQNKPLPSPAGRASSELISSPAVTPIIPLSSPLWNVSTPSCDGLQSSGMLRGGLVDCYQPHSPLHPFQVPGTRNFVWHSPSWPSQGPFSSSWMATSQTSASDANVRFSVFPNTEPVKLTPAKYSSVPSFPAMKIASVPVPNDSCVAAVSTGASSQPSVSNPRKRKNSPASEAVGNIPLLGLNQGASVWHPSVNNQLTSVPEIVGQTLLLPQSRTDSVQTAAVSAVFSTSVAVTAPDHFNFGNSSGNILGNQPNRVGKNVERSCIPVQISSTVEEAKLHAETAAAHAANAVGHYHDFWSELDKQKNLGVISDVEAKLASSAAAITAATSVARAAAAAAMIASNVAVQAKLMADEVSSSSVIVDPTHSNSSSKATSAGGERREGSVHPSSIIAVAREAAKKRVEAASAASKHAENLDAIVKAAELAAEAVSQAGKILSVGGTLPLSALKEIVPAASEQGANKHIVNCDQPKAFSIELFNFSAEESKRGSSAMEAMKTGKLPSQEKESSKAQRGGRESKLTKTHVIAEAEAGSRCVSGFVDAASAANAAESLIENVMEEGCLVEVFKDCGNGKAAWYSANILSLKDGKAFLSYTDLQAEDGTGQLKEWLPLQSDSISMPTVRVAHPTTTMRFFDGTRRKRKAAVMEYSWSVGDRVDAWLQDCWREGVVKEKNKNDETTLTIDFPALGDTSVVRVWHLRPTLTWKDGKWIEWSSPKQQSPSQVDGPREKRARLASPTEAKGKEKFLRGLDPLESRKLEDSRLLPICENEKEFNVGKNTVLANKQEKRRIARTGLKKEGSRVVFGVPTPGKKRKFMDVSKHIDSDKSSTIMKTDDPVKYARNVAPQVSGFRGWKSSTKDNKDKQAAEDKPKVLRSGKPPSASNRTLPRKDNILTSNRSMPRDASATDRTSGDAISNEETCTSQENLMEFGSVSDSQDTSEGQTLASSLGFSRVPSKKGSSSNSRSERRNKGKYVPHAGRNSKKDELEEKLVHEVVEPRRSNRKIQPTSRLLEGLQSSLSIPKMPFSHDKSYRSQSRSKS >KZN04112 pep chromosome:ASM162521v1:2:2160965:2163695:-1 gene:DCAR_004949 transcript:KZN04112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELMNIEPRELKFAFELKKQISSSLTLFNKSDKHVAFKVKTTNPKKYCVRPNTGVVQPGSTCDITVTMQAQKEAPPDMQCKDKFLLQSIVASPGADAKDITPEMFSKEAGHVVEECKLRVVYVAPSNLPSSVTEGSEEGSSPRASNMDLGSRNQSEASHRSFVDSPDKSPEVRTLMSKLNEEKTTAIQQGNKLRQELELLMHSSGTRSQGGVSVKFVAIVGLISVLVGYLMK >KZN06848 pep chromosome:ASM162521v1:2:36316373:36323542:-1 gene:DCAR_007685 transcript:KZN06848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSHASILKSAASAGQPSGQMLHSTAGGMSPQVQARNQQLPGSAPDIKTEMNPILNPRTAGPEGSLMGIPGSNQSGSNLTLKGWPLTGLDQLRSGLIQQQKSFMQGSQPFHQLQMLTPQHQQQLMLAQQNLTSPSSNDVESRRLRMLLNSRSMSMGKDGLSNSVGDVVPNVGSPLQHPTLPRGDPDMLLKNGRKRKQPASSSGPANSTGTANTAGPSPGSAPSTPSTHTPGDVISMPPLQHNGSSSKPLMMFGTDGPSSLASPSTQLWDDKDLVPADMDRFVEDGSLDDNVESFLSHNDTDPIDAVGRCMDAVLWHADSLKPKSTLEEHTALITDVRFSPSMSRLATSSFDKTVRVWDAENGGTTQMRFQPRQGRLLAAAAENVVSILDVETQACRHTLQGGKSKRSCRGTTAVQQHCKPISVSPHKAVLARRFLF >KZN06129 pep chromosome:ASM162521v1:2:30382596:30383870:-1 gene:DCAR_006966 transcript:KZN06129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLILDDFRGGFEEGPWWQRLFNGYNVITWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMILSIILFSFKPTLQLFLGIIVCIMSLHMYFAPAHMLVDLPVPAKTAPETLVEVSVDRKTDS >KZN06368 pep chromosome:ASM162521v1:2:32262715:32268790:-1 gene:DCAR_007205 transcript:KZN06368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKGKTLRACQKKGKSSNVVVIDIDSDNCGDDIFVDAPEYLPGSSSNGVRRDGKGSLESVICIDDEDDDENTGSNNLDKGRYVQNNTPVKLSKCKRTYSGPSASNRYGWTTDTESVSSDDDDDCDSDLEFEGSSGKLKRDWEKAFMRRQNESFGGVDQAGTSFVYDSHQDNGAGEYTVRYSDGSESTNYTSHEEGDRSPSIPMDNGKSESIFCKNTGETSTLNRADNVCNDESFASDHYGTSHLFTDNQHTGIDMDNKKDGSLPEINDVGNGQSFMDESNGSVFFNREMLKETDEYRRSVQEELEARQRELQIQAEEAQRLKRLEKRKKAAAMRLLDMEKRQKLRVEEIRNTQKKDEENLNLKEQIRTRVRKDLDRLEAACHDMESILRGLGINVNGVNGVHVAFKRALLTFHPDRASQSDIRKQVEAEEKFKLVTRMKEKYLSTLTCKPPA >KZN05204 pep chromosome:ASM162521v1:2:21108674:21112710:1 gene:DCAR_006041 transcript:KZN05204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTTPWRDDPTSFVTDGVRIGVAAAPPAMPTVPPAVPYGGGVDRNWKFHAVEFWRGFAEMSVEFGKGVRDVMKQSVMRDDSFLVKNFGPPVRKVRKELKFLNEYLPEDRDPVHSWSVIFVVLFFVIAALYVNTECDATSPLVKKLYIQPANATRILLPDGRHLAYQEQGVPAASSRFSIIAPHSFLSSRLAGIPGIKISLLQEFGVRLITYDLPGFGESDPHPNRDLKSSADDVLYLSYAVGVTDKFWVLGYSGGSLHAWATLRYIPDRVAGAFMVSPMINPYEPSMSKEEKKRTWSRWTLQKKFMYFLARRFPRFLPYFYHHGFLSGSVGQINKWLSLSLGKRDRDFIEDERFAEFWLRDVAESVRQGNVKPFVEEAVLQVSSWGFRLSELKVQKKRKGRGIIFWITSKYSRVEEELIGFLGPIHIWQGMEDRVVPPSMTDFTQRVLPGVMVHKLLNHGHFTYFYFCNECHRQIFTTLFGNPQGPVANNDHTLIERDNEDTEDVTLGDSPQILQSSKQCEHNSILNQQLLLVDINCLRGGMHCGRELETRELE >KZN04358 pep chromosome:ASM162521v1:2:9165934:9166344:1 gene:DCAR_005195 transcript:KZN04358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLLHGDKMDTELNRLHQACKEWGFFQLTNHGVSDSLLDKVKAEAEEFFKLPLEEKKKFGQLEGDVEGYGQVFVVSEEQKLDWADMFFMITLPAELRKPHLLPQLPLSFR >KZN05247 pep chromosome:ASM162521v1:2:21640839:21641120:-1 gene:DCAR_006084 transcript:KZN05247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRDMTNPSQGSVRVGRVEPGCENRAAAATVQLRSSEKYKVQAEETSDCWTNAGMVGGCDQSDNEGEKRSK >KZN07656 pep chromosome:ASM162521v1:2:42476299:42482246:1 gene:DCAR_008493 transcript:KZN07656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVLSPATTLRLNPFYATARFLSFRRKTLPTTLLPSLLKPIIPTPQNYTHYYVNPSFSGGRKFSSVIFAKISPENAVERQKDEVLGSDMKVGKFRKRLRVVDIKGGVDEGLDRLGQNLVVKGWVRTLRVQSSVTFIEVNDGSCLSNMQCVVGSDAEGYDQVENGLTTTGASVCVEGILVASQGSKQKVELKVEKLTTVGKSDPSFPIQKKRVSREFLRTKSHLRPRTNTFGAVARVRNALAYATHKFFNENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREANESLADAIPKTKDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDMIEPELAFANLDDDMACATAYLQYVDVVEKDFVQLTYTDAVELLLRAKKKFEFPVKWGCDLQSEHERYITEQAFDGCPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDLLEDRLDDLQLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIENIRDAIPFPRTPGSAEF >KZN07766 pep chromosome:ASM162521v1:2:43437862:43441037:1 gene:DCAR_008603 transcript:KZN07766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKLGVEVVSAHNLMPKDGQGSSSAFVELNFDHQRFRTTIKEKDLDPVWNESFYFNISNPENLPNLTLEAHVYNNNKANNSKSSLGKVCITGTSFVPYSDAVVLHYPLEKRSIFSRVKGELGLKLFLTDDPNIKSSNPLPMENSSQKNSLSAQAQATVQQTQNRSSKVKDESVRTFHNLATPKQQQQQQQQPYIPSTAMQQPVRYGVDDMRHESRASNLARMYSGSSSQPMEYALKETSPFLGGGRIVGGRVIRADKPASTYDLVEPMQFLFVRVVKARDLPTMDVTGSLDPYVEVRVGNYKGVTQHFSKTHNPEWNTVFSFSRERVQTSVLEVVVKDKDLLKDEFVGIVRYDITDVPTRVPPDSPLAPEWYRLEDKKGQKTKGELMLAVWIGTQADEAFPDAWHSDAAIPADSSMPSTHIRSKVYHSPRLWYVRVNVIEVQDLVLSDKTRFPDVYVKVQIGSQILKTKPIQVRSVNAMWNEDMMFVAAEPFEDHLFLSVEERGGQNKDEIFGRVLIPLNSVEKRADDRLIHSRWFNLQKPSITDVEEIKKEKFATRLNVRVCLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGILELGILNADGLHPMKTRDGRGTSDTYCVAKYGHKWIRTRTINDSLSPKYNEQYTWEVFDPATVLTVGVFDNSQLLENGSSGNRDMKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSMTNMMYMYSRPLLPKMHYVRPLTVMQQDMLRHQAVNIVAARLSRAEPPLRKEIVEYMTDADSHLWSMRRSKANFFRLMSVFNGLFAVGKWFGEVSMWRNPVTTVLVHALFLMLVCFPELILPTVFLYMFLIGLWNYRFRARYPPHMNTRISNADSVSRDELDEEFDTFPTSRSSEVVRMRYDRLRSVAGRIQSVVLAVLTGFYVMRHPRFRHKLPSAPLNFFRRLPARTDSML >KZN04407 pep chromosome:ASM162521v1:2:10357994:10358636:1 gene:DCAR_005244 transcript:KZN04407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSRRSAKRKCEALKNDLYVELTNLTNDYRNLQNDIHNANEDFFLMCKSMSERQADFLTRALFLEEKYGEVIGMLDGKLKQGEGESTGENVVLPTPYADSFARFAEDSNYSLKGHGVLMDEEERKMSQLLKEYDEVFSENMKVFGERAKAIVVMRTNLAAKLTKFNTSAQQPGESD >KZN06680 pep chromosome:ASM162521v1:2:34962922:34964040:-1 gene:DCAR_007517 transcript:KZN06680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLTKNSAVNSPESTSTILPDPASYEAACRLDPELRSFDSSVHHRTTTLLNSLSGSGSFDVQSVSLDSLLEVTKSLLEMDQDVVSVILECKKDIWKNQELFNLVDDYFKNSIETLNFCNALNSCLKRARESQFNLNVAIRQFDEEKREGSKEFPLTLQEFEKLKLGGDPFGDEFFVLFESIYTKQIAMLRRLQVEKGKLDKKLKSMKKWRKLSNVLFVATFTTVLICSVVAVAIAAPPVLAALAAAAALPLGSMGKWVNSIWKKYENEVQEKKGLVGSMEFGSFIVIKDLDNIRVLVEKLEIKMESLLHNADFALGEGEAVELAIDEIKTELGAFMQTIEDLSHYADKCSRDVGMARTLILHKMIKYPSA >KZN05228 pep chromosome:ASM162521v1:2:21443047:21445764:1 gene:DCAR_006065 transcript:KZN05228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARKNLHLEQSAKLLASIPKPSLLVYDRETTPWWKQILDPRSDMVRRWNYFFLLTSIGSLFLDPLYLLLPSVTAESVCISMDLAMRILLTFWRSVVDIFSIMHISMKFRLAYVAKDSKVFGRGELVMDPRSIAIRYLKTDFIFDLAASFPLPQILIWFVIPATTHNTASRDNHSLSLAIMLQYIPRIRSIFPLTRQILKTSGSLAQTSWAGAAYNLMLYVLASHVLGAVWYLLSVERQYACWKQECKKEWNGTHSPSCELSFLDCTIAEGPARDAWLQTTKLMTTCNPRGNSIDFQFGMFAEAFVEEVSSENFIPKLFYCLWWGLKNLSSYGQGLMTGTYKGENLFSSFICIAGLVLFALLIGNMQAYMQSTSARLEQWRVRRSDTEEWMRHRQLPEELQDRVRRFIQYQWLATRGVDEEEIVKSLPLDLKRGIQRHLCLALVRQVSFFSQLDDQLLDAICEHLVPSLNTKDTYIIREDDPVNEMLFIIRGQLESSTTNGGRSGFFNSITIKPGDFCGEELLTWALVPSSDHLPSSTRTVKCLTEVEAFALRAEDLKFVAKQFKRLHSKKIQHAFRYYSHQWRTWGTCFIQVAWRRYKRKVLTEELMKKESLYYKYMAESAKNHDFEGEQSEGSSKDHRNEQHLGATILASQFATNTRRGVDQKLARVNPDDPSLKMPKMFKPDEPDFSAGGYD >KZN06424 pep chromosome:ASM162521v1:2:32825762:32829720:1 gene:DCAR_007261 transcript:KZN06424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLQFGRSRGEDRFYNPAKARSSRRGQLNQDHLRRALSDVTASQSKAAALQRDPEIRKAAPLPPPPPVIQPVSSPLCNLERFLESVIPSVPVQYPSKRNAKLRRSCAGEALPYFLLSDLWESFKEWSAYGAGVPLLLNDTESVVQYYVPYLSGMQLYVDRSKPTASARRPGVDSDMEFLDSSSDGSSDYEHDRNCRGYLREQRSYNHPTGEVLPRVERLSLRDQNIPLQDDFSSDEGESGKAQDYLLFEYLERDPPFGREPLANKVLDLALRFPELKSLRSCDLRPSSWISVAWYPIYRIPMGPTLKDLDACFLTFHPLSTSMADLPSSVPAPVVTDASEIDCAPRMSLPVFGFASYKFKLSLWTENGQLVSSLLQAAEEWLTLRKVYHPDFRFFCRR >KZN06824 pep chromosome:ASM162521v1:2:36115563:36119168:1 gene:DCAR_007661 transcript:KZN06824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKACVKRLQKEYRALCKEPAPQCVARPSSNNILEWYYVLEGSAGTPFAGGYYFGKIKFPPEYPFKPPGISILTGLLSFMMDTSPTTGSVTTTVAEKQRLAKSSLAFNCKNVKFRNLFPEYVEKYEKEQQLFEKVVPEQKRAEHASEEVRPLLEQRGQSIGEGNKPEPQQDIKNVRRQSFPTWVLVLLVSIFGIVISLPLLQL >KZN05682 pep chromosome:ASM162521v1:2:26266125:26269173:-1 gene:DCAR_006519 transcript:KZN05682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATCSSGLIFKSRESIGNGVSLFQYNGLKAAGAKQVAVNGVKTHGPISTSAPKCRTVKAMASPTVTAPKRETDPKKRIVITGMGVVSVFGNDVDTFYEKLLEGTSGITLIDRFDASTFSVRFAGQIRDFSSTGYIDGKNDRRLDDCWRYCLVAGRKALDDASLGPEVLDTMDKSRIGVLVGSGMGGLTAFSNGVEALIQKGYKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADVMVVGGTEAAVNATGVGGFIACRALSQRNDEPHRASRPWDKNRDGFVIGEGSGVLIMESLEHAMKRGANIVAEYLGGAITCDAHHMTDPRKDGLGVSSCITKSLEDAGVSPEEVNYVNAHATSTLAGDLAEFNAIKSVFKNTSEIKMNGTKARNLIHAYHFNLKNVIVLFNCLDYFVPTTLFPFSQSMIGHGLGAAGGLEAIATIKAINTGWDLEPSVTIDTVPNVKKQHEINVGISNSFGFGGHNSVVVFAPFKP >KZN07266 pep chromosome:ASM162521v1:2:39559613:39564065:-1 gene:DCAR_008103 transcript:KZN07266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCASNKYEYGIDVTQLTENSYPYTGQFTSASNRTVPVSRSETPTHADKICQQLSHSVQCSGSRLVTPTIAGKTYPVPYQHSEAAGSGITAATPNELIRLVGSSKRLKHMIKKIPNSHRLATQHSVYKGLHYTIITLLFIWQFICHAKTDVIGVIENYHHVQEIDTVMDREISYSSTVTIWGDQALTAQEEKDKIYVNRFAFETSAVKVSQGGDNPGTARSSSKRVKLRILALLLMHSCFGK >KZN07435 pep chromosome:ASM162521v1:2:40816946:40818058:1 gene:DCAR_008272 transcript:KZN07435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSKNKYNEHLGVNKIGKNIRKSPMHQPTFTNTARQQPQPQVYNINKNDFRNIVQQLTGSPSHHDPLPRPPQNPSKRRPPHLAPINVNRPQMSQMPHRPAPLPVPSLPPSGSAVPLNNNFSRPPSGNFGPPLPPMMPPPYPPGDQVWSNTAESPISAYMRYLQHSILDSGPRQNQMHPQHQPPYPGPNMAYPPSSGLLPNPSGPPLPSPRMNGPPPLPSPRMNGPPPLPSPRMNGPPPLPSPRMNGPPPLPSPTMNGAPPLLPSPTSQFLLPSPLPSPYGFMNMLSPRSPYPLLSPGYQFPPPLTPNFSFSSVPQSGILGPGPHPPPSPGYGFPLSPSGFFPLSSPRWRDPISSPRWRDQIPSPRWRDQ >KZN04087 pep chromosome:ASM162521v1:2:1776989:1779841:-1 gene:DCAR_004924 transcript:KZN04087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKSLFHLSNPLTRHPTRRPFKDFYSEWLRTLKHTLIPLLRRSLSSESSSSATLLSKHVEMIHQHFVVYYDALDNAASNDVAQLLFPEWRSACEKPFLWLGDVHPYLFAKLVRQFLDDPDDEIDDNCNVLHVGDISGILNENWHVVNAWNSPSKVLITRIDEIERGLRLIVPALVVRAREAQGVLVAKLGEEWGKMAEAVEGNVEEMVSVFVDANRIRRSVLSEIISVTSVYQAAFFLEALAQFLVGFGDKKLVSEFRRWLVVDGGGVKNGIEGIVVGILIDGKEGIVVGMVGSDAGKGGSVTLGIVVGIVGRDGIVGREVVGIGGSAAALGRLGIAGSGGNVTLGRVGMVGSVGIVGIVGNVGIAGTVGCEDCIKWRAAKLTSKLEIDRARTKARTRLWLKAAIFDVTVITWNILR >KZN04287 pep chromosome:ASM162521v1:2:6541685:6542123:1 gene:DCAR_005071 transcript:KZN04287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNSIPEKSPLLKVYRSPDNKIIKSTTRRNIKNLCGNNYVEEVQDEEQNDDNPVEEQDADQVNDQKSDEAMEKTEQDSAQEESEQEDSAEEDDMEQEDSAKEDDMDQEDDSQEDDEQ >KZN07624 pep chromosome:ASM162521v1:2:42272155:42276532:1 gene:DCAR_008461 transcript:KZN07624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWQFMLQINPDSAKGYKSRGMARAMLGQWEVEPIVLKIKEHQRRYARLRKESNHEEAIELLTQAILLNPTSAMMYATRVLCTFTSSTTMFDYQINPDSAKGHKSRGMARAMLGQWEEAVKDLHAAYKKAKRQEKASSSKRSGGMRGGFPGNMPGAGVANGSGDFEDHYHNRGYSA >KZN06093 pep chromosome:ASM162521v1:2:30045024:30048273:-1 gene:DCAR_006930 transcript:KZN06093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANILTDPEENGLEKIGPPNPKTSVKELMEEAMSGENTLKIKSGSSDVTLDQSNPEHGGHPRGNSKRVKNHRSKSCDVECIKDWGAAENSDQVLDQETLKNLDMGIILEEFCRLNPKGSYLKKDSQDAVSMKSDQVRTQAEEKLAAAIKVFTSQRFGNDEHLTKDKRTHYSKELMDALQTLSLNKELLFELLQDPNSLLVKHIQSMEDGQYDKDQKTSSFSNQLEEELSRSTPGHKNRRIFRRRSKSQDSNFLKDYDKSQPSSRIVILKPGPTTTRNSGTDRTVSTSLQSIDDRSHSERNYYHFSLNEIKRKLKHAMGKDRQGLSFNDTLPRHFPNLRNNEKGIGEGHGGWSSPNRDHFYTEKFAKSPLGTRRGDKIGNLKESQRAPENETIEITSQGVSSIYFEAKKHLLEMLSDGDEKEELVERQLPKSLGRILSLPEYSSTPIGSPGKERERSIVIPQKKSSPSSNYHTVDENMWRVIQENHLIQLSSPKKNLGKPSIIVNDEADNKLQFPELNSDGQHDADRDTLAQEPMSTMKEETICEETNCKAVDQAISEIYAETLESVRFTNPDAQECVQISAVSRESSSSLKREVRSCDAAKVCDDEKHSASDLSKGTDALSSPPRSPPSPSITQKVGTPGMCIDREERPSPISVLEPLFSEDDISPSRTKVKPAVQPLQIQYEELVSSDPDHTMCTRTCMNDEESAFEYVEAVLFGSDLNWDEYLSRWLSSDQVLDPALFDDVELFSSRSSHDQKLLFDCTNDVLKELCDRYFAHSCVKQNVRIIPRGMKLINEVWQGVERHINQPPAPRSLDQLVRTDMSKPGTWMDLHVETDNIVIELENFIIEELMEDTILSLANNRIKDVRCETIEDEEAVYT >KZN06264 pep chromosome:ASM162521v1:2:31503399:31506064:-1 gene:DCAR_007101 transcript:KZN06264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSCTDDFFPDLLCCEDSEILSEDLPECSSDLESPADIEESIAVFIEDERKYIPGKRTHSQFVDSSARKAYVAWILKVQRYYGFQPLTAYLSVNYLDRFLYCHRLPESNGWPLQLLSVACLSLAAKMEEPLVPSLLDLQIEGAKFVFEPRTITRMEFLVLSVLDWKLRSITPFTFLSFFAHKLDSHGTFTGFLTDRATDIILSKIQESSFLEYWPSCIAAATILCAANDIPNFSCITAGHAESWCEGLQKDNIVSCYRLVQECWVNTRVRKRSKALPQIRVMTRAGKVSFGDSESSSSSTSSIKRRRLNNSLWVDDDKETSN >KZN06608 pep chromosome:ASM162521v1:2:34346195:34347034:1 gene:DCAR_007445 transcript:KZN06608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEENREVPTHGNEWEVVSLSASAYAASPGAEQDKLNDDDQGHPVGEEKEGTSEAMFMSRHFVFPPSEHENLPLVPEKIEIPDGHADQVNDDAPDLVAEEGGISSTKDGENWDVKTLHMTDEIPGMQFVDEKGKSLSMSGTEFEEGMAHHSLTSVDKEQSIYSATKLTCVHGEATIGGSALVGESKILAEPIDASEEGLDINISRSPKHMDGDKDDGSDLPCEAWWKRGAISLYAHAKEANAVWSIFIAAAVMGLVVIGQRWQVLQHKWPYGDEVWF >KZN06189 pep chromosome:ASM162521v1:2:30853550:30864474:1 gene:DCAR_007026 transcript:KZN06189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNYDSDELVDASPEDISAGETDGEHSPATQVEGIDQDNGVDRVLDGQNKGKGTEDGGKDDMFVDCPDELVSYDGRANVADNSKGMETYEPHERFEGYSDIGKTNFNESDNGKDSTGELESVRAMLGKTVAEKESFAQEYEAERKSVMQGLANLHYQLSALSTQGSLVNENNGGFIDHYDSGIWVGEKSVVTDAQLQDMIYNCSKFVKDVLDERSQTQGTLSELYSILHKKDYEIHELSARISGSSVQHDAEAIADRVLNCLTSAPNQEELLDNSFTQKMFHIEKSITFLIEKHNSLLSESNLLGQCITNVRSDHILQDEGSIFLSAREELLELRRKEVDFTQRVTELEENNWRMREELKRCSVIIDTANANIEKIKAELEHEKARCNNTKEKLNLAVTKGKAVVQQRDSLKLSLADKTNQLERCSIEIQEKSSALEAAELCKQELVKCEILAAFLQEELSEKTTLIDNCERVLFESHLPEELKLVDILGKVQWLTNENNKLIGKLEHVITTEAANNEIERLTALTLAESHEKHCLQEELENLRYRYDRMVEKEHQNSLEKDRTVRLLHEASGIAMNDAEGLPSDMGFMIDRFLGKTKEKTCVSIGSSHVVREIFERMQSLLYTRDQEAMLFKKVLEEDIMTRSEVNQLANKVEVISQELCNIKEENESLQNALSCSEEKASLLREKLSMAVKKGKGLVQERENAKQLLYTTREVAHNEIDRLTALMLTEIQEKDYLKDELEDLLYKYEGIVDREHHIMLERNRLVSMLHEASGIAMTDSEEQQSGMDNIMDICFQKLREKARVSTEFSQVAHELFDRIQSLLYIRDQEAILFENVLEEDMLDRSQVNNLANKILVLSQELHDLNDEKDTLQNKLLHSEESTFILRENLSSMVKEKEKLVKEWEDMKQLLDKTREAAHNEIDRLTLSIFTETQEKHYFKEMFETSKYKYEEKVEKEHQISLDKGRLVKMLQEASGIALNNPEEMQADLDCIIDQCFQKLKPRDESSVQSSQVESEIAKRFQCVLYIRDFEAMLFETLLEEEMLKESEVNQLKYKITVISEELHNLKDEKDTLMNDLSRSEEKAALLREKLSVAVKKGKGLVQERENLKKILDEKNASIQNITLELEQLKVTLNDYRNANHKLSTEADCVPRLEMDLDAIKEQRDQLEQLLSERNGMLQRLIESIESIRLPDGSAVKEPTEKVQRLAGYISECEAAKAQAQRELEIVKEESITKSNDLALAENQITMIIDEKEDLQVSRTAALTELHKVKEEASYLRSELAEAQKTIKSLEDSISQVQTKMSLLADENYTAQVGRTNLEIEIEKLKEEVGLQVRKLEDASISIKSYEETIWKAENTISAISGEREAAKQEILDLKSQLNSCMKELGDKHNAEQEIADLKSRLNACMQELAGPRATKRIGSHELYGHLTNLQCILKDDLMLCLLKESFQKKFDSLKDIDDILKDIMDHFIEKDVNKWQNLPSSEEDSFALNRFTDGLHNFKIIEMFDGEVDTADDDSFTQCIGKTVDNLQFKNKFIVEVFESSSSFMDMMITSLSKKLVATRDESLVRWEHIKSLKQVMNNMENNKQAQENAMQMLENNIAILLSACCDATLELKFRDENSLLESGSFPGIDDLDNSLFSDVRTISTDPEHQATLVSSKYIKTANELLSGAKIVQKLIEQLQHMGTTVENLRRNLKESSAAFSKAIGERDFYQSRVSKLEADLEASKILCSNINDKLKEHQAQEGKWQEREKEIMTMYNILKKEKEDTLLSAPQLKSLSRKIDRIKIPTDFEVKELEPHDSNFIKKLYYIVDWVGGMQHEIGSVSFNNELQETIVKDQAIEIEHLKEEVSKHIIDKQNYEKMEHELFELAKGLKNMVHKLGGNEMAETQRTADVIGQLPLLEKLVTAIIWDSENSRSKAQELDTKILRTQEVVDELSSKVKFFEESNKGRGALTNSIQEREDHEAHALSTRSEISEIEDGGPLVNIPIPPAASSTNVTLRKGSNDHLAIDIDSESDRLISKKDTAEEKGRKLRVHYSSPILLPAESCNKLRARTRVFASKKSTKKFRRKGDAQKNATALPDNANASDRAGSEDESMSKDASVQDNSMMSTDNLDSTMSTSLPSRTSVLQACTSTSILIAALGVTIRQMWHIELITGLVVLVSSCRYLLLQTWPDFAESSEAANQQVLSSLQPLDYLAVASLSGFSEESTGRVR >KZN05108 pep chromosome:ASM162521v1:2:20222750:20225893:1 gene:DCAR_005945 transcript:KZN05108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISHVGAVSHKMLSLNSSSAGGSAPSSAFYGSSLKKLNSRVTHPKNSDSKTFRIAAEEKKKGDRWAGLGTDISDDQQDITRGKGLVDSLFQAPSGAGTHDPIMSSYEYLSTAQKTYNLDNNMDGFYIAPAFMDKLVVHLSKNFMTLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREASDIIMKKGKMSCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENMRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFRTDNIANEDVVKIVDTFPGQSIDFFGALRARVYDDEVRKWISGIGIEGIGKKLVNSKDGPPTFEQPKMTVEKLLEYGHMLVQEQDNVKRVQLAEKYLNEASLGSANEDSIKRGEFYGKAAQQVGVPIPEGCTDRTAANFDPAARSDNGTCLYE >KZN06188 pep chromosome:ASM162521v1:2:30850049:30852176:1 gene:DCAR_007025 transcript:KZN06188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMMYYPLGRYSCGDSLKVLEADIQHANSLAASIPRGKGGSHLQMKLVYNHLAPLLLFLLQWIDCSCTCLLPNYLNLFHVLVYKVQRDGKPKISGRGRKATIKDFYAVILPSLRRLHNDLELTNIKDEKPELGIISKKKLEAETSFSNIDLEREDECGICLEPCTKIVLPNCCHAMCINCYRDWNARSESCPFCRGSIKRVRSGDLWVLTCGNDVIDNDTVSKEDLLRFYLYINSLPKDIPDGLFFMYYEYLF >KZN04000 pep chromosome:ASM162521v1:2:342093:344829:1 gene:DCAR_004862 transcript:KZN04000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGQDLGNGVDRYLTSWRSADDPSPGNYTMRVSLDGPPQILVRNGSAVQFRFGPWDGVKFSGTSFDNPDPNFISNFYSQQTEIYYQFDVRSDSVTIRGVINPDGNVQVLLWANETQTWKNLQTTQKDICDLYATCGPNGFCNIAKASSGNGVCDCVEGFVPKNPERWRTGDWSGGCVRRTALSCGTGDGFFTLLNRKLPDTRGCWFNQSMNLEECRIKCLNNCSCTAYSTIDIRERGVGCIIWLKDLIDTRDYKLDGANLFVKVAASELGSYKRSRKKRKLVIIVIAVASLVLIVLLGMLLRYVLMKRKQRRNAFTFNSVGDPNNKDKDEELDLPLFDFPVIANATNHFSEKSKLGEGGFGSVYKGVMKDGQEIAVKRLAKDSRQGLTEFKNEISCIAKLQHRNLVRLLGCCIEKDEMLLIYDGYMSPEYALDGIFSVKSDVYSFGVLLLEIVSGMKMRGFYHLDPNLNLLSHAWRLYEEERCLELLDEAAMDSYNQSEVFRTVQIGLLCVQPYPEDRPTMSMVVLMLSSDIQLPQPKQPTFFTERNQFASEFSKVSEMSSSVSTSSVFTPR >KZN05580 pep chromosome:ASM162521v1:2:25276619:25285157:1 gene:DCAR_006417 transcript:KZN05580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPSLDNAPLNLSALRDQSRKEILNILKNIRGNKCLVVDPKLGGSLSLIVQTSLLKEHGAEVRHLNADPIQTDCTKVVYLVRSQLDLMKLICLQIHNDTSKGLQREYYVYFVPRRAVACEKILEEEKVHHMMTIGECPLYVIPCDEDVLSFELDLAYKECLVDGDTTSLWHIAKAIHKLEFSFGLIPNVRAKGKSSVRVADILGRMQVEEPVNTSDMVVPEINTLILIDREVDMVTPMCTQLTYEGLLDEVLRINNGAVEVDASIMGGQQDGKKAKVLRQKATSMKQDYTDMTTTSQTVSELKDFVRKLNSLPEITRHINLAQHLSTYTSKPSFLARLDMEHTIVEADSFDMRELLHSYGFEHMATLCNLEKAGLLKKQEYKSNWQIIKNDLQLIVEDTDTANPKDIAYVFSGYAPLSVRLIDKAIRYGWRPIEQILKLLPGPHSETKRSGFASSASFDSLPGAAYNTNKVGDGRRSLALVVFIGGVTYAEISALRFLSSQEGMPHEIIVASTNVVNGHTLAETFVEKLG >KZN04295 pep chromosome:ASM162521v1:2:6928398:6929138:-1 gene:DCAR_005132 transcript:KZN04295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDYVISLFDSCWFGNDSFVKHSPKSNSDEKNINHINKEEGSAQPMFTKQPSILVRSKSDQLSSMTSYNSDYASPTSVLVTPHLQTIHSGKEVKEETVQEKRLNSTDCIGSNLDTCHVSENIHKRYKKNVVGGRKKLSSMSKSLSDLEFEELKGFMDLGFVFSEEDRDSSLVDIIPGLHRLGQENSEENDEHVVTRPYLSEAWEVMDEEKRMYALNNWRVPAAEDEIHMKDSLKRWAHTVASAVR >KZN06119 pep chromosome:ASM162521v1:2:30300044:30300211:1 gene:DCAR_006956 transcript:KZN06119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGREYARLEILVFIYNIVTKFKLEKVNPQEKTELYFLPVPTEGLFVRLTCHKM >KZN04995 pep chromosome:ASM162521v1:2:18774823:18775987:1 gene:DCAR_005832 transcript:KZN04995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTKPWLSPAPSYEAFETFWDTEEDAPGPRCAHTLTAVAPTKVHGPRLILFGGATAIEGGGGAGIRLAGVTNFVHSYDVLSRKWTRIKPAGEPPSPRAAHTAAAVGTMVVFQGGIGPAGHSTDDLYVLDMSNDQFKWHRVVVQGDGPGPRYGHVMDLVAQRFLVTVSGNDVRLPTIGANEYVR >KZN04211 pep chromosome:ASM162521v1:2:3928021:3928419:-1 gene:DCAR_005056 transcript:KZN04211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHFPTCNLVKEDYIDMAVSSQRSKFCHAMNSSLHPREFEFQMFPSLSERDRTTSPADELIYKGKLLPLHLPPRLQIVEKLLQNSNTYNKYADSFDECFSIPTENTAPLQAPTPLNPVIFHPLNLARLVEN >KZN06862 pep chromosome:ASM162521v1:2:36438101:36450491:1 gene:DCAR_007699 transcript:KZN06862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIAAINDTDAKSQWEPLAPTKEAQEFHLSQTYHEGLRKLQSKEYEKAQELLEEVLKDPILSSVQADVSASDGHLLQLRFLALKNLATVFLQRGSNFRENALKCYLQAVEIDSKDSVVWNQLGTLSCSMGLLSISRWAFEQGLVCSPNNWNCMEKLMEVLIAIGDEVACLSVAELILRHWPSHSRALHVKNTIEDPDPIPFAPKGIDKLEPKHVRLKFQEKRKATDDDEAEGVAAKKLNQNLDVHLAEATWIALVDALLNILLPSSVSGSKVAIEGSCRDGNTRFNIHLPQNSENAISFEEGRGPAVTPNKVNISAADSNPERGHFRVKEPNAFEEQPQERRSTRLERLRSRKSDKEELDFSKDLGKVVIQSLEPFVVGGSVCKNFIGDKSTEVVTNSYSTEADEVARFAEKVSKNYSAYYAGHLLLEEVSSKGILYQEAFNKFLELEKLTRHIGLKRTPECSLFLSELYFDFGCRSSDSSVQLDLMTDANHLVCDVIASLLKNYPIPMGGVSKDKISEDNSEISIDFTSLLSDNPSFWVRFYWISGHLSVLDGNKARARKEFGTCFSLLADENGVSNPGASVQVPHSKVIQLLTADKVLHEITILEVDFLLKPVEDMLKKEMYSECVLLLAPLLFCTEDVHIGVSCVINKEFDGISVILAALDLLSKACEMVRPMDVEVKLKCHCRKLQILMVKAGISVHPALKSSGFKMSEFNSITLPEELKDSTEQWNHLLVEEVKAISRDVSSIKTFTNPCGNSQPPNVIVALGIVGEIQLSLLALMCSNLNMNVSRRSSGVSVSEESEHWQKGYFVDAATAFFKLQHLTPSVPIKSQIELIVAIHELLAEYGLCCAGGDGEEEEGTFLKLAIKHLLALDMKLKSNSNSSSKGMEVTQADEQLSLDGHAKRSQYVTNLDSSLVLDHTGIKETSNFEKETVRWMTSNGIQSHKDLDKENKDVVCGNYSSDGLDVVVHKSDNVNSQYAECTDELTEDERDELELGIDNALDQCFLCLYGLHLRSDSSYEDDLVMHKNTNRGDYQTKEQCADVFRYILPYAKASSKSGLVKLRRVLRAIRKHFPQPPENISTQNAIDKFLDDPGLCEDKLSEEAGSEGFLNSIMQVIFPNDGSLKQQTTSLIGSLLLSDELPISVVLLDILNKFHYDLLVCSDLVIRNSEPYLEVYNNLYYVLALSEEMSATDKWPGFVLTKEGEEFVEQNANLFKYDLLYNPLRFESWQGLANIYDEEVDLLLNDGSKQINAAAWKKNTTLSTRVETSRRRSRRCLLMTLALARTAVQQVCMRNNVLTTNRLITNVKGEIHELLALVYYDAIQNVVPIYDQRLVMPSKDATWLREDWSLVFYLGKLCEKLEYSHEMSFSFYDKAISLNQTAVDPFYRLHASRLKLLYTCGKQDKEALKAVSAYSFNHETKVATMAIFGDMEPETSILATAGEEGSRESNINNIKRVSSQQLEGVWHMLYNDCLSALEICVEGDLKHFHKARYMLAQGFYRRGDKGDLEKARDELSFCFKSSRSSFTYNMWEIDGMVKKGRRKTPGVSGNKRALEVNLPESSRKFITSIRKYILFYLKLLEETGDTSTLERAYISIRADKRFSLCLEDLVPVAVGRYAKSLTSSIYKTESARGAEQTPNGNLLEKIFSLYMEQVNLWSDICSLPEIKCPELSESSLYGYLYQYIQTLERYVKSETLEGINEKIRKRLKNPKLSNSNFAKVYKQVSVAWCRSLVIRMALITPLHSRISSDIQVSNLLNGASENTQLLCVDLQTDELWTSSLEDSKHLKTLEMQWNPLVSKIKNVIIKKASDEDLETATTLLRSCYNFYKDTSCAMLPSGINLYTVPSQLASEMYIQLGIDGVDIIDMSTSRKLILWAYTLLHGHYTSISVAIKYCEEQAKVWNLAPL >KZN07365 pep chromosome:ASM162521v1:2:40352850:40359221:1 gene:DCAR_008202 transcript:KZN07365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDSEKKSKKKDRSEQKRKLDDTEEVQDVVETKKDKKKKKKEAALVDGSVVNGSDGKSSAKKIKKVKKNQEEEGKIDGSGQNGSDEKSGKKIKKVKKNQEEGGEIDGSGQDSGGEVRESSEGVVVSGKGIDDSKFRPLRSFEEAGLPDEVMECCKTFDKPSPIQANSWRFLLEGRDFIGIAKTGSGKTLAFGVPAIVHVLNKKKNKTCKRVNPQCLVLSPTRELAQQISDVLCDAGKPSGVKSVCIYGGTSKGPQISALKSGVDIVIGTPGRLRDLIEMGVCYLKDVSYVVLDEADRMLDMGFEPEVRAILNQTNSVRQMIMFSATWPVSVHQLAQEFMDPNPVKVVVGSEDLAANHDVMQIVEVLEDRARDERLVTLLDKYHKSRSNRVLVFVLYKKEASRVETMLQRRGWKVVSISGDKAQHARTNALSLFKDGSCPLLIATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTKENKGLSGELINVLREAGQVVPAALMNFGTHVKKKESKLYGAHFKEIDANAPKATKIKFDSDDED >KZN05893 pep chromosome:ASM162521v1:2:28132786:28133451:1 gene:DCAR_006730 transcript:KZN05893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIEVDINRGASLYHVLNELHVTHKCCGHENILGCKRTVQNQKILVSFESAKSVSSFSLFKQGCRKEEYVSYVIRGTLEALQCIHSRGNRVHGNINLNTVFLDKDCIVKLGFPARINNPDLEYTKKKEIDLDMKKIDFEMVGELACSLYHGKSGEKKMLLPVLLQDFVKLSSERPTISQLMEHGFLKEFNKFDKYQEDLKKLLGRKLLGKHRKFYCLWFS >KZN07110 pep chromosome:ASM162521v1:2:38457894:38459222:1 gene:DCAR_007947 transcript:KZN07110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIHPLNLAILFLFFQTLSFSSCQATVPANETFKFVNEGELGEYISEYLGDYRALSVFTSPFQLCFYNQTPTAFTLSLRMGLRRTESLMRWVWEANRGNPVDENAVFSFGTDGNLVLAHSNGQVAWQSGTANKGVVGFKVLPTGNMVLYDSKGSFLWQSFDSPTDTLLVGQSLRVGAATKLVSRASQNENVNGPYSLIMEPKGLTMYYTPANSPRPMPYFTFSQWFNITKGATIQNMTFQSENEYDEGFAYNLQFLLGVANSPYSAGGILSRIKYNTTLSFLRLEMDGNIKIYTYNDKVDYGAWEVTYTLFSKDSDASECQLPSRCGKYGLCEDSQCVGCPSPNGPALAWSKSCEAPKVSSCAAKDFHYSKMEGVDHFMVKYTRGDGAVKQSDCEMKCSRDCKCLGYFYHLDTSRCWIAYDLKTLTRGDNSKHLAYVKVPN >KZN07383 pep chromosome:ASM162521v1:2:40462155:40463015:1 gene:DCAR_008220 transcript:KZN07383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKIPKRPWDFPTLLSLELGENKYPNTQSSCIGYIVHSKADYQSNSRPFAQRQILTNVSTTSRLMPSPVEKSVDKLELKSFSGLFAVCGLACVLALIICFAIIMHKFTRHLPEEPGSPGGSSHAARLQTFLSSVDEKEVDLKSKSKRRNMELASNVGVDEVTSVNRSNRSCKFMSTTKSINTQGASETTWNCYKVLCQQIMRDIGLYVTYRLRPTVSIKHKF >KZN04588 pep chromosome:ASM162521v1:2:13076524:13077285:-1 gene:DCAR_005425 transcript:KZN04588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAVKEGVKLYVNTIRSSHVEKARHKAIEAALIDALSQGLNAKDAALQAQQEGKKAAKLATRKAKRILGPIISSGWDFFEAIYYGRTITEGLLRGTGTLVGTYAIGFVGEQKFGRFGYLVGSQFGSWVGGRVGLMVYDIVNGVGVLLQFTETMETISSDNVPDSEAKDYVTSVLESAQPPEGGNILIDIISNLVSQIFPETPYDSDKNSASGTESSKPVEDSYTYENLPETQEHTDDYESTTYESSETREEL >KZN07031 pep chromosome:ASM162521v1:2:37791205:37792690:1 gene:DCAR_007868 transcript:KZN07031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTTTADEDKKPGTEGGAHINLKVKGQDGNEVFFRIKRNTQLKKLMNAYCDRQSVEMNSIAFLFDGRRLQGAQTPDELEMEDGDEIDAMLHQTGGGII >KZN06511 pep chromosome:ASM162521v1:2:33554846:33556193:1 gene:DCAR_007348 transcript:KZN06511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPRSYQLLSHLPSYPKLSSALPRTTTRTRLLPVSCTLSESKSIGSEVSFKVGTHLIPHPNKVERGGEDAFFVSPYSGGVIAVADGVSGWAERNVDPALFSRELMTNASSFVEEVLSCARNFALCNSQVGHDPRFLMRRAHAATFSIGSATA >KZN07631 pep chromosome:ASM162521v1:2:42330540:42337576:1 gene:DCAR_008468 transcript:KZN07631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKQMYHPLCCLPLENTAIGNQSPEISTSGIAGSESSSSFAGVLSKWTNYSKGWRSRWFVLRHGVLSYSKTHRLENFATPPPESDVSLIGPVPSVNPTTTSRHKNTQSGIIHLKISSFRESRSDNRRFYIFTATKTLHLRTHSKKERVAWIRALVSTRCLYSLRPLNDNLSLVPSNLSLSTAKLKNCLLENGISEVLVKDCEQIMISEFSDIEGQVKVLCEERSNLLDTLRQLEAANFEAETSGATDGDYQLTKFEYSSSSLGRGKFAGYSTTESSDDAGKQELDEVSDEEEAQFFDTEECFPEATTSSGSIGVDYMAKSIDCQINNIGSASSKMDINASGYPPFRRRNKLPDPVEKEKGVSLWAMIKDMVGKDLSRVCLPVYFNEPISTLQKCFEELEYSHLLDQAYEYGKEGNSLLRILSVAAFAVSGYASTDGRHCKPFNPMLGETYEADYPEKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRSKFWGRSIQLDPLGTLTLEFDDGEIFQWSKVTTTIYNLILGKLYCDHHGTMQICGNRQYSCTLKFKEQSILDRNPRQVHGFVEDDTGEKVATLYGKWDEHMYYTLGDRVSKTKDFSSASLLWKRSETPPNLTRYNLTPFAITLNEIAPGLKEKLPPTDSRLRPDQRHLENGEYDKANEEKQRLETRQRMSRKLQENGWRARWFNRESEDGPFRYAGGYWEAREKRKWDDCADIFGQFCEDLSSTKGS >KZN04474 pep chromosome:ASM162521v1:2:11473559:11476355:1 gene:DCAR_005311 transcript:KZN04474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILSFKSERSRAFWTTCLASAFRTALACAIVGGITLFGPMSIRSQITLPAFSYVTVIIIIVDATLGDTFRGCWYALYATVQGVCPAIFCLWLLGPARLTTLVTSVLVGGSAFVVVLPQNTHVIAKRIALGQIVLVYVIGYINGVNTEPIMHPIHVATSTAIGVFACVLALLFPVPNLACVEVKRSCRLIAENYSERLNLMMKAFLEEDKKSALAFMSQAKSKATKGTKLLHSIISKQESMQWERFGIKCLGPYCATPGQRLQELETPLMGMSIALSSCQKFPMKLLNLELKDGLHKLEEHIDMSFTRIKNRLPFDLATVSESNTDDEVDALQALQSVPTEQKDLPSFFFLFCLKLLQNKVLGTKRDDPPNKSAKVDDSQNKQIHQGSICTGAKRLMPAFKCALSLFLAVLFGLMYSKKDGYWSGLPVAITFAASREATFKVANLKAQGTVLGTVYGVLGCFLFERYVKLRFISLFPWFVITELLRRSKMYAQAGGVSAVIGAVLILGRTGFRSPSEFAIARIVETFIGLSCSILVNISFQPTRASTLAKTQLSASLKSLHECVEGINLSFSSITKFRESQKGLKSSVTELRKLIAEAEVEPNFWFLPFHGAAYNKVLKSLSKMVDVLHFGAESIEFIEKELHGPHHDRHVEIMTELDSDIQVLKEKVGNSVKCCEGITKIKSVAVLETEIEKNGIACDVEAGKASIPSMFMSSDYDLDNKIEKILSCYLGNVKKMIDRDERQEKKQMVLGLSGLAFCMGILVAETTEVEKTIEELVQWENPSSHVNLHDISFKIHALQNL >KZN04635 pep chromosome:ASM162521v1:2:13827780:13834432:1 gene:DCAR_005472 transcript:KZN04635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGNGVPPLGSPDGRVRYMFASFWNRNHALRGLQRATKNYHATLEAEKKEKEQSALRAHSSSIRCTEGQIKILEESVPTSGKLQPFIKDEVLVDIYNGVFPATADQCFELLFSDNSSYTNEYRSTRKDTNLIMGQWHSADEYDGQVREVTFRSLCNSPMCPPDTAMTEWQHAVLSPDKKTLVLETVSQAHDVPFGSLFEIHCRWSVETNSESSCTINVKVGAHLKKWLIMQSKIKSGAINEYKKEVGVMLDVAQLYIKSRTTASVVNDADAPGSKNQQTMLTHAETETI >KZN04424 pep chromosome:ASM162521v1:2:10558066:10559411:-1 gene:DCAR_005261 transcript:KZN04424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPLRQKKASLLGVVKGAAKEAVGPEVVVHVKAKSDDGGALMDGIFRAVNDQSGLYGDAPVVGHLAKEAPEGNLLEKWAEKLQIERFMVADKGPPIFQSGGEFDLRPSATSNDHNLFYETTSVILCAIGSRYSSYCSNVARTFLIDANPLQSKAYGVLLKAQEAVINALKPGRTAAGAYQAAVSIVEKEAPELAANLTRTAGTRIGLEFRESGLNLNGKSDKILKAGMVFNGSLGFQNLQTGTEHPKTQKVSVMLADTVVIGENGPEVLPPSRGLVIQID >KZN06943 pep chromosome:ASM162521v1:2:37023732:37026034:1 gene:DCAR_007780 transcript:KZN06943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVKDNSQPAIYYFTAVWCPPCKLLSPIIGQLSEKYPHVTTYKVDIDHKELGNVLSKLDINSVPRLHFFHNGEKASEVVGADVERIKDTMEKLYK >KZN06807 pep chromosome:ASM162521v1:2:35999789:36002733:1 gene:DCAR_007644 transcript:KZN06807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWLGFSLTPHISIVDADHTAAAGENDDATAAEDHSFLPPCTTTPIFSHSLDSLEDSFRPSPSPPQPEWRYDNREDPKLEDFLGSCYSTSPPHQTHLVEHESKVTYSSLDQINNNFNINIPPNNLENIHYNYQRYQYYPNTYFPQNVQTQPCQFQAQAQLVESSATLSRFKSWLRQTQCQKSEDGGVNEGSPVKALALTIKPSSPSGFAVSQSREIVAVDQTRKRGAGKSNREVVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDKEEKAAKAYDLAALKYWGPTTHINFPISIYEKELEEMKNMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDIGRYDVKRICSSSTLIAGDLAKRSSKESPNRLEDYNSCASSSSPQPLLAITNGKQSEELTNMIWSNDNGGYPQITDVRTNMSADPLSAHSSPNENGGSSSNGMEVELRDGSGAADYSQAYFSTLQGPKFSDGANASDHDPNRLGNMALVNQVPMFALWNE >KZN07026 pep chromosome:ASM162521v1:2:37757351:37761827:1 gene:DCAR_007863 transcript:KZN07026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIPLVLLWLLSIPFLINAAIPQPKGFLLNCGGPEFTAKNGLKYIPDHSHIFVGNVTSVKKPDVLPLLKTLRYFPDTASRKYCYTFPVIKGGKFLIRTTYYYGDFDGKKEPPVFDQIIDGTRWSTVNTTEDYANGLSSYYEIIITALAKSLSVCLAKNEHTVSSPFISALEVIYLKKSLYNSTDFSKHALSMVSRASFGSDGDIISYPDDAYNRDWQPFMDRNVAEKSHSNISTSGFWNSPPAKTFTTAITASQAQTLTVKWPPFTLPDSLYYVAFYFQESRSPGPFSWRVFDVSVNGKVFYENLNVTTTGVSVYSTEWPLDGQVEIVMNPRRDMPVGPLINAGEIFQILPLGGRTATRDVKVMKKLAKGLKNLPPDWNGDPCLPKQNGWTGVTCSEGKNPRIISLNLTSCGISGTLPKDIANLTAISSVWLGGNKLYGTIPDMSSLKALESLRLEDNKFEGKIPQSLGELPELKEVFLQNNNLTGSIPYNLANKKEINLHRQNSGSLPVPEPNTDEMRQIFDKFDIDRDGKISHEEYKVIIRAVQKDSTSKDVQKIFEVADLDGDGFISYEEFVNVQKRGGVLKMVDIQNAFRVFDLNGDGKISEEEIFELMERLGEKCSLQDCRKMVRAVDTNHDGFVDIDEFVAMMTNSAN >KZN07639 pep chromosome:ASM162521v1:2:42379345:42379728:1 gene:DCAR_008476 transcript:KZN07639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKNSTSSLALLLSLNILFFAVVSATDSVPNLPGSATPSYYPGGKCDLLKLGVCANLLNLVDVVVGSPPTLPCCSLIEGLVDLEAALCLCTAIRANILGIDLNVPIALSLVLNNCGKKVPSGFECY >KZN05306 pep chromosome:ASM162521v1:2:22418232:22430516:-1 gene:DCAR_006143 transcript:KZN05306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIRALHMSNNDCTAAINIILDTPKLRKPEITARKSKAFDQTCGGHLKEEGNCVVKESALVSNGGSKGVEVMSKCSSSMSSDEWWFVGCSDVSGLSTCKGRTLKCGEEVNFTFAPERKGSLVTPRKFGGGRGRGGNASSEIVRFSSKACGEIGRIPNEWARCLLPLVRDKKIRIEGSCKSAPAVMSLMDTVILSVSVYINSSMFHQSHQTSLKATGSATDDSVIHPLPTLLRLLGLTPFKKAEFTPGDLCTKSDPLHSKEKSDIPATLLHLNKFKNPSPDGETVENEESISDADLDNIVGVGDSSELEEMDPPSTLQCELRPYQKQALYWMLQLEKGQYLDDAATTLHPCWDAYWLADKRDLIVYVNSFSGDATVELPSTLQMARGGILADAMGLGKTIMTISLLLAHSEKGGRLGNESTSRSLTDNIEADRSPPVKRAKKFPGFDKLGNKQSALIGGGNLIVCPMTLLGQWKGEIESHSQPGSVSIYLHYGQTRSKDAKLLARNDVVLTTYGVLASEFTAENAEDNGGLYSVRWFRVVLDEAHTIKNSKSQISTAAAALIADRRWCLTGTPIQWTIWMYMLWNIATLYVSVFALNKVVLASVTAKACFYLIRPGKMSSGNTFYTAHLSLLCNFKGDHVPVVHGSAYGTAIEFPHSETQFLFDPDHQGSRSNSLTSAVHLKLRWNKLVQKPFEGGDERGLKLVQSILRPIMLRRTKFSTDREGRPILILPPADMKVIYCELTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYSDLNKLAKRFLKGGSSLMEGEAKDMPSRAYVQEVVEEIRKGEEGECPICLEVFEDAVLTPCAHRLCRECLLASWQSHTSGLCPVCRKTVSKHDLITAPTTSRFQIDIEKNWVESSKVSILLSELENLRSSGSKSIIFSQWTAFLDLLQIPLSRSKFAFLRLDGTLNQHQREKVLKQFSEDSNILVLLMSLKAGGVGINLTAASNAFVMGTVEERMEAVQARKQRMISGALTDQEVRSARLEELKMLFT >KZN06314 pep chromosome:ASM162521v1:2:31893613:31897261:1 gene:DCAR_007151 transcript:KZN06314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDALQIACHVCGHVGLEDGSDGFYYCQNCGSQANNIQDTGEDVDDFQDFGNPSAYMSNRRRRTAAEPLSQSQPQASQFWDSLKAEVDEQQKVSTSKVDDVGPTGPMDFGESDGQLAYSDYYSQIRMRYAMGFQVMIQLQCTALVEKFHVNERVVNLANSIWLKFLVLTGIFADDWADEVITQSESQTEGPVDGIVSSGSHRDEPHNLLGQRAAVLPTDILKWIFEGKLPFFAAFTDIEKEIDPPPRGCPISSSRMFRPIHALSSQKLESTAAIIAETVGLELPPVNFYAIASRYLRLMSLSVDKILPHACLIYEWSMPPECRLSANEVRLPTRASVMSILIVSIRILYNLHGFGYWEANFSGSDCPSSTACEKTELESKSDADLNDVATQQSTVPDIDAKELLLNLDTKYVDLLVMFEYVKDMPAYLQYFRDVIFAGVGPSFEDIEECKIIKEFWSLYQRKVGLSSSLDHREMSLGDNSGDNKRPRENSRDTPMSSKKLRDHDFNCDTVESGKSHSDKSESNINQEPSSNGGPNSFSSKHSCDASDREEAVNRIKLNMEANRFCYIPPRDKCNEKCYIHYSRKKDEGAYTYATHADYYILLRACARVAHIDIRCLHTGVLSFERRLAWIEKNVDSCLHVKLPTDTCEVCCDDEVEVNAADEMEVNAADEMEVNAADGLEVNDTDDPVLRFSELNL >KZN06964 pep chromosome:ASM162521v1:2:37201009:37201614:-1 gene:DCAR_007801 transcript:KZN06964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRTCPCLCCLNRHLDMFSSELMTCIKFERNYVATEEYIRSFDKSYEFVASHTFESSDDALMYLTADYNDTLPEKLMDFRVQHLPPPMEGDEHCYSLALFNPLMEDKLVMDAKIACSASPFHIDIIRGVRMNIDKFFKNMSPGDLEKAQLDLARICSRQKLNSPDKRKLLKKRGASRARAPTRENPKRKARADAKTEKR >KZN05758 pep chromosome:ASM162521v1:2:26982777:26989237:-1 gene:DCAR_006595 transcript:KZN05758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLDTRFPAARIKKIMQADEDVGKIAMAVPVLVSKALELFLQDLCDRTYDITLQKGAKTVSSLHLKHCVQSYNVFDFLKEVVSKVPDYTHSEAGGDDANVTKRRKVTSEEANDSDEESKRNRMHEASHVSSSSKGRGRGRGRGRGRGRSARSAADKEASQTEFDSESCNNNQNLVPGAATGVVDEGSELKELPKDSINVDNGSNSAPRNFDLNADLDENVVDKTPTEATGCLPSAKPAVPKSEDEYPGWSLSEVDRIAIDPAHLAQLNSRMDDDDEDYDEEG >KZN04560 pep chromosome:ASM162521v1:2:12810183:12810632:-1 gene:DCAR_005397 transcript:KZN04560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTIEQRKSPHPTTYNCIVANICREGIWQLCEREGRGIDPGGAAAGRRRVEEKKSMEAAVFRPVKAVGLTWGVTVSSAVTTALRHRQQHQRKREEEEKIAAVRGGERDEQVVSRRGAATESRCGERESISGRLCVMVVLGGWWEVNGK >KZN05715 pep chromosome:ASM162521v1:2:26600451:26601896:1 gene:DCAR_006552 transcript:KZN05715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIISSSSSSIFPCHGSPSPTIQERLQFILQNRPEWWTYYIFWQTSNHNATDDRLVLSWGDGQFRGSNTSNFGFESERGKVIRGIQSLFADSRTSGGDRVFVDSEFPSCEWFFMVSVTRSFVVGDDNIVSRTFGSGSYEWLVGDEELQFNACERAKEAYLHGVKTLACIPTSCGIIELGSSNVIRQDWGLVHLAQSVFGPDNKNMITCPPNSSTHQNPNRGLSFLDMNAGFPREHIKSTNSNEEEENVGKKLKIAQSSPELGNSESESLLDSHQTTLMNLRSSNKRLRRTTTSSGREVAQTHVEAERLRREKLNHRFYALRSVVPNVSRMDKASLLGDAVTYINELKSKVEELDGKLREEVRRSRYITDAFYNDPSLIQSISTTSHASGARSLLLSCGRIQMEVDIKILGSRAMIHVQSPDINYPWARLMDALRILEFRVHHASVSSVKDLILQDVVIKVPDGITDEEGLKSAILGTLQI >KZN06221 pep chromosome:ASM162521v1:2:31095890:31096177:-1 gene:DCAR_007058 transcript:KZN06221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVESEAKKRILLAGITLRASLKPIFTKQQTEEDDENSRNTTPTYEESRTSSIFRCPPAPRKRKAKPICYYGGVREYFATPPDLESVFIRRAEKA >KZN05030 pep chromosome:ASM162521v1:2:19102277:19103365:1 gene:DCAR_005867 transcript:KZN05030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLSADHRFLIIHRSLRPCIRHVRFATGSRATLFDLTGNLSTSYGLKSWIKAGVLRRKVIMGLPFYGKTWSLKDPEVSGLGASAMSIGQGQKVA >KZN06038 pep chromosome:ASM162521v1:2:29502826:29505860:1 gene:DCAR_006875 transcript:KZN06038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPASYQQMSALKSLKDSTFQLTAFNSPPSSYKRRNTTWRPPKAAIIPNFHLPMRSMEVKNRTFTDDIKSLRLITAIKTPYLPDGRFDLEAYDALVNMQIENGVEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHGALHINPYYGKTSLEGLVSHFDSVLSMGPTIVYNVPGRTGQDIPPRVIHTLAQSTNLAGVKECVGHDRVDQYTKNGIVVWSGNDDQCHDSRWDFGATGVISVTSNLVPRLMRELMFRGKNQTLNAKLLPLMEWLFEEPNPIGLNTALSQLGVVRPVFRLPYVPLPLAKRVEFVNIVKDIGREHFVGQKDVQVLDDDDFILIGRY >KZN04643 pep chromosome:ASM162521v1:2:14092878:14093375:1 gene:DCAR_005480 transcript:KZN04643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPWNKPPAGILKINVSGHSDEQIRLSSIGCLMRSTSGHFFSGYYGTRDFADPLYTDLLAIYYGFKLADDEEQRYIEVESDSATAVHLVNNPNQNANYSDILLNIRRLKDMAAPSCILRYVERSSNLMAIRLSSYSIQKRVPITHLNSCPAELFEELAADWYYSA >KZN04556 pep chromosome:ASM162521v1:2:12578594:12581464:1 gene:DCAR_005393 transcript:KZN04556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERKTTAVAELIEKATNSIEPNLDPRLLKSIKFVVRSSELDLRIAAQTLMNLMKRDHSQVRYLTLLIIDELFMRSKLFRTLLVEKLDHLLSLSVGFRRNLPLPAPPAVASLLRSKAIEFLEKWNTTFGIHYRQLRLGYDYLKNTLRFQFPNLQANAARMQQQRSERERKTKEILLNKFQLLKGSFSTIKDEIQSTIDEIGECLDIIKSKDENMSLAPIDDEEMEEFRNSELRQIRFDSLKEGEKVHENSENKVVFDALRESYKLLVTKHLVSVQEWISVLIRVETSENRFRDLMLKEFIDIRNRVQLVKKKCEESGCILPNTKNHEEDDFWEEGQVETHGNGRINPPSKISEDTSIASTSHEKIEYAGYDDRGLNGNKPNHDGNKTDLDPLKSKLLAEAPVMNWGSFLDNWGSNRDFMAHQRGLELESHWGRVDYDAVIPAAKIAELNVQTTLYNEEPVALQECRAPLRKGGLCRRRDQRVCPFHGPIVCRDDEGKPIYQTSSVETTALDLGEDVLEQLAQQAVSNVRKNDQEEAKKKEHDKKSLKRARLAKVREHNDAVLRDAALASTSGSQHFGEDMGTMGGSSSTRNKKQTLASMLKKKVTVKDRLSQRLLNTRVRDSTTKQLTLGEDAKYREAFPNQW >KZN04673 pep chromosome:ASM162521v1:2:14518475:14532149:1 gene:DCAR_005510 transcript:KZN04673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDDMHDCNDLEEFYSSSDNDVENDSDNDYVYDNDSDDASPKLVSIRQQKNYIVLKEEDIRRRQEDDIVRISAVLSIPRVAACMLLRQYKWSVNNVHEAWFSDEDGVRKAVGLVEEQAVKSQNDRELICEICFEPYPVDKINSAACGHPYCGTCWAAYISTSINDGPGCLTLRCPDPSCNAAVSQDMINTLVSSEDREKYYRYLRRSYIEDNRKTKWCPAPGCDCAIEFDVGSGSHDVSCLCSYSFCWNCTEEAHRPVDCETVSKWILKNTAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGVWAEHGERTGGFYACNRYEAAKQEGAYDESEKRREMAKNSLERYTHYYERWATNQSSRQKAVADLHQMQTVHLEKLSEKHKVPESQLKFVVEAWSQIVECRRVLKWTYAYGFYLPEDELAKRQFFEYLQGEAEANLERLHQCAEKELQNYLGDGTSEDFNSFRTKLAGLTSVTRNYFENLVRALENGLSDVNSTGASSMKPSSKFVAGSSNAKSGKNSSSKI >KZN06573 pep chromosome:ASM162521v1:2:34114107:34116865:1 gene:DCAR_007410 transcript:KZN06573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGVLTVLADLKLVFEFEGVHFCPSGLSFDRIKEVIGSSFLTLHMQIFGMAGMEMEFRVEMFNKYKECELNLGETKCIDDCVSKYWQVTNMIGQLLCNGKPSM >KZN04228 pep chromosome:ASM162521v1:2:4574207:4574743:-1 gene:DCAR_005065 transcript:KZN04228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFSSPLESVAFDYFISDVSTIFVSNIWTCLAVFFAGAASFIWRAKSPVKFQLASPEPEGRVASLSPNISVTAPSEPDEVVLSEPGYQIVEQATILESGIGVTKGKFVAYYYPDEENENVCDDEECESGEEHAELMRLFKLDRLERVKEMNEMGLYCSQDSSFLSGDIVRLWDARLM >KZN04392 pep chromosome:ASM162521v1:2:10095349:10100904:1 gene:DCAR_005229 transcript:KZN04392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKNAILITMTPVEMFVRLKIDLIVRINIPIPRVPVSEILLGSIQRQTTNQTQHHLLSVKYVSVDAISGRKVCFPSLLKVHEDEPVFQAFKLMRQEGFSRLPVVESNGKRDKTVFNSARGAVKPSSLCAVSPSSNREKKNAILITMTPVEMFVRLKIDLIVRINIPIPRVPVSEILSIQRQTTNQTQHHLLSVKYVSVDAISGRKVCFPSLLKVHEDEPVFQAFKLMRQEGFGRLPVVESNGKRDKTVFNSARGAVKPSSLCAVSPSSNRERHNFTKEAPNKKALLNLMQDKVKEISPVQCVENTS >KZN07302 pep chromosome:ASM162521v1:2:39842813:39844439:-1 gene:DCAR_008139 transcript:KZN07302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPTKSSILDTEHSKNARKKGQADECTPIARSRSMLPASSKQRMIAEDVLKHEKVKIVPQVYTFRELAAATENFHPELQLGEGGFGRVYKGKDTRTNEIVAVKQLDRNGYQGNREFLAEATPQFKDKRKFKLIADPLLKDKYPVKGLYQALAVASMCLQQEANTRPLISDVVTALEYLSMENFGETDEIITTADYELASGFEITDDDNDFVDCPTSSPDTTQ >KZN06576 pep chromosome:ASM162521v1:2:34130162:34130656:1 gene:DCAR_007413 transcript:KZN06576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMHGGGMAGMSPPPTNTTAGNMTMSGDSHPHKMMNTAFYWGKDTVILFSGWPGSDLGMYILALFLVFVLAILVEWLSHCNLIKEDRTGSDHVVAGLLKTLMHTVRVGLAYLVMLALMSFNVGVFLVAVLGHAVGFLLFGSRVFSKHPPTPVLGKTSDLPPMTC >KZN07803 pep chromosome:ASM162521v1:2:43680889:43681491:1 gene:DCAR_008640 transcript:KZN07803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPFYPPTTTSTPSAAAAPPPPTNNNAHLDLRYATALSLGFLLCFALILLSYICFRFSRRRQLNPNNTNHNPNFAATSDNGIILSRRIFVAEDEDDEHNSVIGLDQAVINSYPKFPFVTGAGGDSVCAICLGEYKDAEMLRMLPDCRHFFHLTCVDEWLRLNASCPVCRNSPLPTPLSTPLSEVVPLSLYTDGRNRRR >KZN05833 pep chromosome:ASM162521v1:2:27614984:27618365:1 gene:DCAR_006670 transcript:KZN05833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYADSLPGRVKSDVRAPLVERDKDALKNVIPSHTSSSISSSNPGGSMEGETGEHSAAEQGFYYPPTSYYPYYYSASDATHRTAAVRGGAKLSLSSDISENTIGSDDRMKRYNFLDSKAPNLAQNAKSHSSASSKLYESLLNDQSLRNFHQMGSRYMLTGDAQNSQPYRNWSSFTGTKQGGYFANDASLNYKSADLRMCRGNNGSSSSKKPVLGELEDTTDATRGPRRHSKNTPLGSSSEKEQTGRFMNKYQFNIEDFRTEYANAKFYVIKSYNEDNVHKSVKYNVWSSTPDGNKKLDAAFRDKMAKTSEAGIECPIFLFFSVNGSGQFVGVAEMIGPVDFDNNLNFWEYNKWNGYFPVKWHIIKDVPNAQLRHIILENNDNRPVTFTRDTQEIGLEQGLEMLRIFKTFAEETSLLEDYNFYEKREQSVEARKLPAPQTEMYGNHDSPKLKKECKRVVDEESSAGNNFCDSTSALIELAKSLSLTD >KZN07123 pep chromosome:ASM162521v1:2:38528784:38529407:-1 gene:DCAR_007960 transcript:KZN07123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKHVLDVSEDEAADVKKARVDDEMNVNVIPSREERLEMFKSTFRNRKELEDDDVDLDIRPDGTHPMTFEDIANFHLDMYETQGFDVQDYSLVDDAGLILQLYDPPKVTRGESCLPELTECADEAIQDYNRQNGKQFGEVVVVKANSEPACPYRFYITFKATDETEKITETFQAKVDVCIPITKRVVRFVRIQQPPRLFSLPCRG >KZN05327 pep chromosome:ASM162521v1:2:22656728:22657347:1 gene:DCAR_006164 transcript:KZN05327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKTAPVADPEELPKTIVRRLVKDTLSQCSKDGDISVLKDSLLAFSVCVRIFIQYLSATANDVCKEANRNIITANDVFKALEEIEFPELIEPLKASLEGL >KZN07501 pep chromosome:ASM162521v1:2:41372586:41374223:-1 gene:DCAR_008338 transcript:KZN07501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGLTLPVQNMNFFPARSGGFNCNGSASPLKAWSRNVNARCATMKAVSTTKMTRKHLSSLEKLLQKESEPSPPEDVQVEDVNKVTQKSPEKENKGRGFLENLNLSRVWPESKAAEEVSPRHLVRLKRMLSISNQYSPRNILASKWREYHGCNNWEGLLDPLDENLRREMVRYGEFIQAAYHCFHSDPATSEGHANVDRHVAVPDRAYRVTKNLYATSSVGLPKWVDNVAPDLGWMTQRSSCVGYVAVCEDQREIARMGRRDIVIALRGTSTCLEWAENMRDLLVEMPDQHNTGEANEVQSISKVECGFLSLFKTGGAQMPSLSESVVSEIHRLMEMYKGETLSITVTGHSLGAALALLVADELSSSAPNMPPVALFSFGGPRVGNKGFANRLNKKNVKVLRIVNSQDVITRVPGMFVSEELDKKIRDSSVGANVLNVLDNNMPWAYSHVGTELRVDTKMSPFLKPNADVACCHDLEAYLHLVDGFLASNCPFRSNAKRSLVKLLNEQNSNVKKLYTNKAKALTLKLNPQREILQMPSCLPSPST >KZN04426 pep chromosome:ASM162521v1:2:10578498:10579996:-1 gene:DCAR_005263 transcript:KZN04426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYHCQKKASLLGVVKGAAKEAVGPEVVVHVKAKSDDGGALMDGIFRAVNDQSGLYGDAPVVGHLAKEAPEGNLLEKWAEKLQIERFMVADKGPPIFQSGGEFDLRPSATSNDHNLFYETTSVILCAIGSRYSSYCSNVARTFLIDANPLQSKAYGVLLKAQEAVINALKPGRTAAGAYQAAVSIVEKEAPELAANLTRTAGTRIGLEFRESGLNLNGKSDKILKAGMVFNGSLGFQNLQTGTEHPKTQKVSVMLADTVVIGENGPEVLPPSRGLVIQID >KZN06982 pep chromosome:ASM162521v1:2:37328559:37335709:1 gene:DCAR_007819 transcript:KZN06982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMRCYFELDLGTPEIEVDKLSEMKEKIENLGISCDFTSPGQYIVLTCPKCKGGRSLEKTLSFHFSQNKKLAMWRCFHMECGWADRVFADNDVNQANKLDLFRKTEESLRLEPLSHEMTAYFADRMISGKVLQKNSVMQLSTDQDVIAFTYKRNGAIINCKYRNIVNKRFWQEKGTEKILYGIDDIKDTDEIIIVEGEIDKLSMEQAGLANSVSVPDGAPQKLSTEELPSPDKDSRFMYLWNCKEYLDKASKIILATDGDWPGQVLAEELARRLGKKRCWLVQWPKKDESCCFKDANEVK >KZN06091 pep chromosome:ASM162521v1:2:30035732:30036314:-1 gene:DCAR_006928 transcript:KZN06091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKPNTGLFVGLNKGHIVTKKELAPRPSDRKGKTSKRTHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAGGGTEKKK >KZN07188 pep chromosome:ASM162521v1:2:38962312:38963401:-1 gene:DCAR_008025 transcript:KZN07188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKQEGGKRKKEINEEEKYEDEDEQEDDNEKKKAQSYSVSKEAGGGSTQACCQVEYCTADMGNAKSYHRRHKVCEFHAKAPDALIAGLPQRFCQQCSRFHELPEFDDSKRSCRRHLLGHNQRRRKVSYHFQGEE >KZN05133 pep chromosome:ASM162521v1:2:20419634:20420026:1 gene:DCAR_005970 transcript:KZN05133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWIFDKRGVARLISNPTRESFEQNEPPYPGTPTAPGARPRLLVYLPANQAIRSYADLEARLGELGWTRYNNPAEPKLLQFHRSDHTNHLISLPKSFANFKSIHMYDIVVKNRSFFEVRDLSGATYSS >KZN05829 pep chromosome:ASM162521v1:2:27587891:27588634:1 gene:DCAR_006666 transcript:KZN05829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGGGGFVLSYPLANELERMRDRCLQRYPGLYGSGDRMQACMAELGVPLTREHGFDQIIRKVLSPRELELPTRTFLNWYKKVAYTASAFNTRLVTRYPCQKPYAYYMTSMRFDEERRQIIAFHSLNKERHPYCTWRMDSSTY >KZN05219 pep chromosome:ASM162521v1:2:21350474:21357479:-1 gene:DCAR_006056 transcript:KZN05219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVLALQGSFNEHIAALRRIGARGVEIRKAEQLQNVTSLIIPGGESTTMAKLAELNNLFPALREFVKMGKPVWGTCAGLIFLADRATGQKIGGQELIGGLDCTVHRNFFGSQIQSFEAELLVPEIVVKEGGPPSFRAVFIRAPAILEVGPEVEVLADVSYPSDKNVSQEPEDAEVPKKAIVAVRQRNILATAFHPELTADTRWHSYFLKMTAEVDNEASSSIIIPYGGHQSYEQPKIDLPVYQ >KZN04809 pep chromosome:ASM162521v1:2:16404596:16405224:1 gene:DCAR_005646 transcript:KZN04809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASASWKSGVRESADSSTSAFIRRRSYCRCCFYEKEPKSSRKSKTCNSTEEGTICPGSSRKRQIRRCRRRCTSAPKSVVRAHHDPARALGDLTGIKKHFSRKHGEKKFSCTRCHKRYAVLSDLKAHSKICGTTEYKCECGTTFYV >KZN04532 pep chromosome:ASM162521v1:2:12310379:12312778:1 gene:DCAR_005369 transcript:KZN04532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTFTLAQTNYHGYFNPADCPVERFKPWIRFLNEQCFASTAITADVELQLDPLRDYYSTLFNSTLVDNPRVTGTIRNKNIQITADDVNRILGFPRENFEEVPTKDELIQFFQTIQYQGVIKLSKMLKNKLVPEWDYFFNTMAKVFAPTTSKSFNNITSLLQIIGFCITHNRRINFGRMILITIIKRLGTFASRQLVVDNSVLCYYPRFLMLFLNDTMTAEDKAFYANSPTEQPHPPKDYYEDPEEEEVQFHMTRAESRRKPGEPFLLEEPITDEPPTPVHRNQEVEEGEVVRSDD >KZN05512 pep chromosome:ASM162521v1:2:24520644:24522737:1 gene:DCAR_006349 transcript:KZN05512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTRYIRHFGDTWCSFKGRATHCKLITSGSRPDVYINNHLITMYSKFNRIKDAQNVFDRMPERNVISWTTLISSYSQMGLLEQSLSCFRLMVGDGFEPNDFTYVSALSACASLEAVRNGKENHGRIFRLQQDVNSFVSNCLINFYGKCGLLRPARGVFDTMLEPNQVSWSSIVSCCCYCGENLEGVKIFSKSHRAGVKINEFYCASVLGACVALEYLEFGIQVHCHAVKCGIRKDPFVMTGLVNFYVKCCKLDLAQNAFWELDNQHLSAWTALIGGYVQLGNGRKAIDLFCKLLSTGTKPSEQTFCSVLGAFVDAKDIKVGQQLHSLIIKMGFISFTFVGNAVLDFYSKSGILEDSLKIFEDIDSQDVVTWNALIDGHVKSGCHNEAIELLRSMLVEGFDPNLYTYSSLLSICGDLPAIEWGKQAHCRVLKSGFHSSVVVGSALIDMYAKCGRLHDAQKTFDRLASKNLVSWNTMLVGYAQHGFGKESLDIYNLMPKDKIRPNHVTFIGVLSACGHVGLLEEGLWHFNSMIKDYKITPRADHLACMVSIFARKGQIQEAYNFIRKFPAKPDKVVWRCLLSSCKTHKNLDIGRYAAEKILKIDPDDTSALVMLSNIYAESNMWNETAKIRNLMKEKSLKKDLGTSWTELNNKRHSFSSGHSVQIEGYSVLEILDGLTTQLYDAGYVPDVMFPLNALE >KZN05781 pep chromosome:ASM162521v1:2:27166776:27177326:-1 gene:DCAR_006618 transcript:KZN05781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGCLVSYPLQLGAKKVILKLKPYTNWALKPSKQPLNINIITTTTSRRSICCGINVEICLDYIAETMNFVDEADVGITCFISQLPGFRGILKQRYADFIVNEVDLDGNVVHLTSLVAPPEIAEEKVVKMADEPNKSYDAEIESFRVLAGDADANCLRAFIDQINSGAEESVAHITLSPSSDKSHRTAMHNFFKENMKFLVTDTVDGPDTSSKCIRVRLNSSVNAGRGKNSRKRKERNEKSYDSRGSKNWPENLGKFIKFHLYKENKDTQEALGVIGKMLGIQPRSFGFAGTKDKRAISTQRVTLYKVRASRLAALNARLIGIKIGDFCHVEDGLVLGQLYGNKFTITLRGVTADSDDTIKASADALGRRGFINYFGLQYIYFLNYQVRSQRENIREVREYYKESDDIEGTLRQLPRHLVAERAILQCLKKCPGNYLMALKAIPRTLRMMYVHSYQSYLWNHAASTRVQKYGSDQVVLGDLVLCKEDSGKATEEVIFDAELEDNICDDPDDCSHLDDISNTIAAEVKSAVVKAITQENLLAGDYTVEDVVLPLPGSRVTYPLNDIGKVYHDIAKKDDVNLKESAHGIKEFSITSMTGAYRRVFQKPQDFKWEMLTYTDGNIPLAETDLDVVNRILVKEDMDCGREDVKHQNNQKIATVGNDVMVPTNTDEPENNMKAKSHSKESLASSHEEQKAVKMSFTLPSSCYATMAIRELLKSSTSVCFKKLT >KZN05785 pep chromosome:ASM162521v1:2:27216480:27219945:1 gene:DCAR_006622 transcript:KZN05785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRNEEFKKSFIHKIFSTNASNGGKTIKDILDSSDIHTESVFERSICKSLGRAMAVSQDVQSLRVFAATWNVGGKSPHSGLNLDNFLQIQDQSDIYVLGFQEIVPLNAGNVLAIEDNGSAAKWLSLISQSLNKTPDDHASYNTHSTFKSKPSKQGSIKPVTEKGSSLFSQRISLRTASRIYMAERRRRIKSCNCIPESERKYSKESCFKCQQASFDYNDFSSEEDEGSSGTVTPELTTPGSTNQMRYSLIKSKQMVGIFVTIWVKRELVQHISHLRASCISRGILGYLGNKGCISVSMSILQTSFCFVCSHLASGEKEGDELRRNSDVIEIIKNTQFPKICRLPNSSMPEKILEHDRVIWLGDLNYRIALSYSDTRKLLEGNDWDALLGKDQLKIEREAGRVFKGWKEGKIYFAPTYKYSYDSDTYAGETIKSKGKRRTPAWCDRILWHGSGIYQKSYTRWESRFSDHRPVCATFLVNLKAVEGKFKRYTYLIMHCKVKSSYTQQQIWISRCVIFL >KZN05794 pep chromosome:ASM162521v1:2:27289038:27289826:1 gene:DCAR_006631 transcript:KZN05794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVIGDCEEFRKLPPLKGAKKSDEPREDRRTLGLVLLRGEEVISMTVEGPPPPDESRARAAAAANLSGPGVGRAAGRGVPTGPLVQAQPGLAGPVRGIGGPAPGMMQPQISRPPMLNPPMQYSQQAPPPVIRPPGQMFQPGMRGPPPQMPPPQFAQRPGGPPGPYPMPPQPQYGQRPMGPPPQLMRGPPPRPGMVPPPPGGVFGPPRPGMPPPPNPQQQNQQQQ >KZN07261 pep chromosome:ASM162521v1:2:39501069:39507457:1 gene:DCAR_008098 transcript:KZN07261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIYRNPRIVDLCLIILFYHNYVGFSQTLLGNQLMQGEVMKDGQIIISDNQDFAFGFFSPGNSSFRYVGVWYNNNQDRTVVWVANRNNPISGKSGLLSFGDDGNLRLTNGNNSDIIWSTNSSSISKNSSISLRDTGNLALCRSEDVDNNNKALWQSFSHPTDTFLPEMRVYTVSQTRDLLKLVSWKSPSDPSEGNYSMAFDPRGSPQIVVWEGMNNRKWRSGHWNGLIFLGVPNNRARFTSGFGTTTDSSSGDTYFSYTAANRSQLVRFNILPNGKTDQLIWDDSKQVWNVALSQPSDECGNYNICGDFGVCNMKDSKRCSCIKGFGPKSEDEWRRGNWSGGCIRKKALKCDKNGTNDGFLPLEGVKLPDFVDILAGETTLDGCGDKCTKNCSCSAYAYVVGIGCMVYGGELIDIEHLGSDNTLYVRVSKSELGGKKSVSSAAIIAIAVVGTIIIGIILWASWRFRGKIKGSWKKQSTSLLTVTRRSEELSAEFLGVDELTSEGKQGTGPHLPLFSFTFVELATDYFANKNKLGQGGFGPVYKAWTLWKDGKTEEMIDPLIADSRKIALHCIHVGLLCVQMSAAQRPTMSQVLNMLESESTSLPLPRVPDMSSMNSTEMESAMRDHDINLSSTEVTVTEVIGSCDSIDTITSTQFLSDAKNEFLLSSNEDFCLGFFTAANASSKRYLGIWFNKVPTQHIVWVANRNDPIVTKDAVFKINTEGNIAIFSDKSATKPLWSSNISENLRLPQFFLRQKNLDAPIWRIGPWNGVTLSGAARLANSLKTSVVDFSQFASLFNYTYIENKDEVYMTLTFPNPGRFRKYVMDFKGLAQQSFYHYAPQEKTYYTNRWIPFWSAPNPSDGCDKYMTCGKNSVCSSSNSSTPNCTCLPGYEKESDHVHCKEKRKNLHVCGKGKGEGFVNLTSIKLPDSRRARYIANFSLKECEVECLKSCKCNSYASANVQVGGKGCYVWEGELNDIRTYQDGQDFYLRIDSVELALSQKSSRSDVKKRIPAVIIVLIVVFGVILLFGCLYAYRIHTVRKGQRQKQRYRDMMLAGSDAETNNDFTTADNFTDNSNIDLTFFDLDTIIRATDNFSPAKRLGQGSFGPVFKGELSNGHEIAVKRLSRTSGQGISEFKNEALLIAKLQHRNLVKLLGCCIDEGEKMLIYEYMPNKSLDYLIFDESKKSMLNWRKRHEIIVGIARGILYLHQDSRLKIIHRDLKAGNILLDIELNPKISDFGTARIFGGDQVEANTCRVVGTL >KZN04700 pep chromosome:ASM162521v1:2:14862179:14870972:-1 gene:DCAR_005537 transcript:KZN04700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYELTEQKKIGLGLIGFGFFFSFLAVVLFFDRGLLALANIFFLAGVVLLLSWRSTLQLFTTKANYKGSVCFLLGLFFIFVRWPIVGIIFEIYACVVLFGGFWSSVKVFLYQIPVLGLILQFPVMVLDRLRRPG >KZN04487 pep chromosome:ASM162521v1:2:11659516:11659878:-1 gene:DCAR_005324 transcript:KZN04487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRMVTGEDIYLGLNGDLYGGSCIMNLKHGYDFKESLNMDKYEGDWCRGLQEEKGKYQCENENSYVGEQRNGRICGKGKLHWPKGNGTFECPDGSFYVWNWSKDPKEQNEKYHPHHVHL >KZN05285 pep chromosome:ASM162521v1:2:22161824:22163066:1 gene:DCAR_006122 transcript:KZN05285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAGSSENPMGNVQEAYCLADLVDEANLARFISLSTGDTAQPDVHFCHNFLDCDTINGCLVINQFEPSFGLPYDHVDNPPITPFSDPHSLFNNLPNSCNELKEAEDACEYSSGTMTTTTTSAPKRSKKGDRTRTLVQEQRRRSRMKDKLYALRALVPNITKMDKASIVGDAALYVQDLQKQAKKLKAEVASLESSLTRMERQQGGINDNENKIRTADFYPTIKIILQISVCQVEENGYYVRVVSNKGHGVAASLYKALDSLSTFILHSSNLATQNETDTFHFTFNLNVKEVESDMNAPNMKLWVAAAFLNQGFDLKQPL >KZN07521 pep chromosome:ASM162521v1:2:41514953:41516929:-1 gene:DCAR_008358 transcript:KZN07521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIVVIASVVLLSATNVHGRKDLAEPASAGGTDFEAFNCRAQSGSIMDFGGVGDGKTSNTKAFQAAITKLGAAPGGGQLIIPAGKFLTSPFKLASHFTLYLQKDAVILAAPEEAEYPVVDALPSYGKGNGPGMGRFTPFISGSDLTDVVITGDNGTIDGQGQFWYDKDATLKAHRPHLIEIMNTKDILISKVTLANSPSYHFHPVYSSNVIVRGITILANLTTKNTDGIIPDSTTNMLIEDSYIASGEDCVSLKSGMDDFGVKFAKPTSQIAIRRLTCITPTSSLISIGSEMSGGVSDVRAEDNTVINSESGIRIKTNPGRGGHVKDIHVRKITMDTMKWAFFMTGEFASQPNKNPPAATPPVIEGINLMDMTAKNVSTAGQLGGIKGHPFNGICMSNITIEMAKAPPPAPPAWNCSDVAGTSSTVTPPICPPLAAKGPPACKFPTDKLPIETVKLQKCPVQVSAKASGPSASPVGKAPSPSP >KZN05366 pep chromosome:ASM162521v1:2:23092829:23098965:-1 gene:DCAR_006203 transcript:KZN05366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGGEESMPSGSSAAPCSEATVEIKIKTLDSQTYTMRVDKSVPVPALKEQIASVTGIVSEQQRLICRGKVLKDDTLLSAYHVEDGHTLHLVVRQPIPPSSESLPDHPATDSMPSAEHSHGASVLVGTFNISDQGDGALPDLGRIVSAVLSSFGVTDVGSGGEGANMQVPGLERLSQGFRSSSRQQYNHTAPAAGEFPTPLVFSANPMQPPVIPDSLTTLSQYLNRLRQELSANVGEQNNPSQAANNHASDVRSASGTSGSAPMHGAGGLPTPASLAELILSTRQFLSEQAGESLLQLTRQIEDHTTVTNPVERMGIQSNALRVGALMQNLGALLLELGRTTMTLRIGQTPVEAVVNAGPAVFISTSGPNPIMVQPLPFQPGASFGAVPMGTAAHAGSGLSGGSSGSGFLPRNIDIRIRTGSSMPSSGSGPGEQTPGQSVGQTNQTSLGTENAVNQAPSGTNGSSSTIRHSGVRVVPLRTVVSAVPATGGRAPTSLGSMGLIYPVLARVHHGHSGNSNSNRGQSSDELPHGAETYQQTNSNVATHQQSDVPGADEIRGSLDQFFRTMLANEQIHDINFQGMSTAPVNGNVEATNASNTQASSEVGDEGTFLSNLLRQIMPIVSQNTSETNNSSVEGDIEDGNPRVSSTSVSISLDII >KZN05510 pep chromosome:ASM162521v1:2:24507093:24507326:-1 gene:DCAR_006347 transcript:KZN05510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSSFNTSNLQTTEIAPPRLIRAEKRNLNLEKKLDTIHEENEGSHGHGSNEKAVPLFGSFAKSCYSKQFSGVRAA >KZN04651 pep chromosome:ASM162521v1:2:14215995:14241904:1 gene:DCAR_005488 transcript:KZN04651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDKMLIKGIRAFDPENKHVITFFRPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKTSKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAVLENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEIIKKLHKDQAQEIKTYKLKLENLQTLKDAAYKLRESIAEDQKKTELLKSQIEEIENNIRSLDAKIQNTESTRKVLEDQQNLISNKKTERSTLLKEKERQYNALEVEIDDSDEDLRRWNSTAEAQTAALKSKICKWEREKEEIAIRAVVHKDNINKRIQEISKLQTEAEACMSLKKERDATIQRLYEMLNLGTLPDVPFSNEVALNLTNCIELRLEDCRKDLEDQRESNKKEIQVVWELYNAATDRWKDVQAQQNAKREIKNGNIKRIEEKEKERYTRELQISDVDLVHIDEREKQMGIDVARKIMQLEQRNFKTLIEQAHLEIVTSTHQLTTLNREKDIMAADSADRRIDEFKDRIRVVLKGRFPPEKDVKKEVIKALRALELEHDDLHVKSREAEMEVNMLQMKKEEAENHLSQLHKDRDSRKRFLESKLKSLDQQTASIDLYLEVLDRARAQRDDKKSKYNMAEGMRQMFDPFERVARAHHMCPCCERSFSAEEEDDFVKKQRVKATNSVERIKALATECTHVDSSFQQLEKLRIVYEEYTKLWKETIPLAEKNLNKLSAEMDIKSQSLDDVLGVLAQIKADKDSVEVLVQPVETADKIFHDIQAQQNEVDDLEAKFDIQGQDTKSMEDIQAEINTLQKKIDNLHNERERLHVEQSDMEGDLHRLQLRWADAREVKVKASSALTELKKIEAELEHLAEEQRQINLEEKHVSEALGPLLKEKQKLLNDYDVTKESLERRYEEQDKCVRSYEMEFRTLLNQNSKIKEYNELKKLELLNNASENKAVLDSQLQNCNTRVEEISAELSKSNELLQNQAGLKRNIQDNLHYRITKQRVDELGYEIELMEEKIAEMGGVSKFEAEVDKLKQERDRFSSESNRYLGTVSVHQSNISKNKIDLKQAQYKDIDKRYFDQMIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKLLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRITKDDQ >KZN06646 pep chromosome:ASM162521v1:2:34679221:34683060:1 gene:DCAR_007483 transcript:KZN06646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTKSTKKVKPPPIGDPQRPVASETPGKKRQRFAHKVTASPLEPSMMKSLERAEKSNIQGLPAFCSAKDIPRRKRTKRGDGVKNAINSERTGLEVLIPSTECRRPCQKRKCKEVPAQSTDSEQTVPCFTRIRGQTRRRGTGNGTDQGKLNSSLLNNYLGNMWQSIPRGKKESSTYMDCLWFTMYHEQSQREKVLRWIVREKIFSKKYVFLPICQWFLLGVYKAEKRPETKKLIDKIPLLVPKVPQQRDDKECGYYVLYYIKLFLMNSPKSISKSKSSGYPYFVSSLYPYLAVSSVLIFFP >KZN06866 pep chromosome:ASM162521v1:2:36474139:36474618:1 gene:DCAR_007703 transcript:KZN06866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEGRRTNLHQNPHCVVPAWEREFTEKIGGFAWERFVMAKKRVYSNAKIMEWDDSAAEKAFLDAKNRFWCEYNYLRSDEFLYDSYVYINNNLDWHSRIQEHEEDKNLMLVSKEEFSRNGGTNHGIFKLMLHPVANVKRYAMKKWLPGARALPDMSVSN >KZN04100 pep chromosome:ASM162521v1:2:1921418:1921831:1 gene:DCAR_004937 transcript:KZN04100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNSASVALFFTLNILFFALVSSTEKCPDPYKPKPKPTPKPTPTPYPSAGKCPRDALKLGVCADVLNLVHNVVIGAPPTLPCCSLLEGLVNLEAAVCLCTAIKANILGKNLNLPIALSLVLNNCGKQVPNGFECT >KZN07145 pep chromosome:ASM162521v1:2:38662285:38662725:1 gene:DCAR_007982 transcript:KZN07145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRAFANMYTIHEADEASIAAACEAEQKLESGEMSGKKSLILKWLAVVAAVLIQCATLVFVAVYSASEQHPGRLLILLLIMLMDPLIFWYAKRMYHETRGYIEAKELIKKAKELQDEMDKLTQRLQKPSRHVVININKLRNSRRR >KZN06335 pep chromosome:ASM162521v1:2:32015150:32016580:-1 gene:DCAR_007172 transcript:KZN06335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQHKLLGGLLAPGFDEKSCLSRYHSVSYRKMSRYMPSSHLLSKLRSYEDLHRQCGPHTKSYNETLKQIKAGNTVASSTECNYIVWISFSGLGNRMLTLASAFLYALLTNRVLLVDRGKDMADLFCEPFPDMSWLLPLDFPITNQFESFNQNSPSCYGKMLKNNIITKESKPSFLYLHLVHDYQDHDKMFFCDQDQTFLKRVPWLIMKTNNYFVPSLFLILSFEKELSKLFPEKETVFHHLGRYLFHPTNQVWGLIIRYYQAYLAKADERIGIQVRVFDTGKGPFPYVMDQIVACALNSKLLPKLSMKESGITPLRNQRSKAILVTSLDSGYYENLSNMYWEHPTVTGEVISFFQPSHEEYQQTEKKNHNRKAWAEMFLLSLTDVLVTSSWSTFGYVAQGLAGSKAWILYKPENRSAPNPPCRIAMSMEPCFHAPPFYDCKAKKGIDTGLVVPHVRHCEDMSWGLKLVGADNHLQQ >KZN05179 pep chromosome:ASM162521v1:2:20874690:20874902:-1 gene:DCAR_006016 transcript:KZN05179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRRLLKVGLPFWGCSARGLVGWTIRSYFLGTGVHVFIARALLLRSTHGHKRLVRNRNPRPASLKVLDF >KZN06782 pep chromosome:ASM162521v1:2:35786918:35793046:1 gene:DCAR_007619 transcript:KZN06782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVMWNVLLMILSSWVCFSAASVSYDHKAIVVNGQRRILISGSIHYPRSTPEMWPDLIGKAKEGGLDVIQTYVFWNGHEPQPGKIENEYGPVEYELGAPGEAYTKWAAQMAVGLDTGVPWVMCKQDDAPDPIINACNGFYCDYFSPNKDYKPKMWTENWTGWFTNFGGAVPYRPAEDLAFSVARFILKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEFGLLRQPKWGHLKDLHRAIKLCEPALVSEDPIRTSLGNNQEASVFNSKSGACAAFLANYDKNSFAKVAFNNMHYNLPPWSISILPDCKNTVYNTARISAQSAQMKMTPVGRPFSWESYNDETTSYQDNTFTTVGLLEQINITRDVSDYLWYMTDVRIDSDEGFLRGGEWPVLTVLSAGHALHVFINDQLTGTVYGSIENPKITFSSGVNLRAGVNKIALLSIAVGLPNVGPHFETWNAGVLGPVTLNGLNEGRRDLTWQNWSYKVGLNGEALSLHSLGGSSTTEWAEGSFVAQEQPLTWYKPAGNLLVLFEELGGNPYGITLVKRELASVCADIYEWQPTLMNWQMQHSGKVNKPLRPKAHLWCAPGQKISSIKFASFGTPEGACGSFLEGSCHAHKSYDAFERNCIGQNACSVRVAPEQFGGDPCPNIMKKLSVEVICS >KZN07756 pep chromosome:ASM162521v1:2:43374783:43379992:1 gene:DCAR_008593 transcript:KZN07756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMRRIKSIASGRSSVSDLGGDSTVKTVKAEQEGDQGDSADSHTTETFTSSVDQHIDYAAPESSASTSNVYSIARPEQPDYDQLPHRMRGMEITDNKSENHDDRDIEATVVSGHGTDTGQTIVTLMGDPNGEQKQTMSYMAERVVGTGSFGVVYQAKCLENGEAVAIKKVLQDRRYKNRELQIMRLLRHPNVVQLLSYFYSTTQIDEVYLNLVLEYVSKTVHRISTHYSRQNQRVPILYVQLYAYQICRALNYMHNVVGVCHRDIKPQNLLVDPHTHQLKLCDFGSAKKLIPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSAGCVLAELLLGRPLFPGESGADQLVEIIKILGTPTREEVKCMNPNYTEFRLPQIKAHPWHKVFNKRLPADAVDLISRMLQYSPNLRCNPLEACAHPFFDELRKSEACLPNGHPLPPLFDFTPQELTAASPELCERLIPVHAKK >KZN05027 pep chromosome:ASM162521v1:2:19048311:19049288:-1 gene:DCAR_005864 transcript:KZN05027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSVMDLTLHVFLLFTVVAPSGARDAVPLTSLPSPTPSSNTASSSVVTTVPAISDLHESPSSQVLAGAATTIADTGNLGDSASTSYRSADDDEDLPYFSLEAPGNSPSASSPRSLLDDGVIFRQDESSTDAGVVSEREDVPFIRVELLESASVSKSGSASAKDDGVSKSRSADANDDAVSKSRSAGDTYASSSQSGAGGDSPSASSPRSLLDDGVIFRQYESSTAAGVVSERKYVPFMRLERLEAASDSVLSRSASDSVLSQSGADDDLTTILDDSTVVPPRSGYSVSFEDIGSSFASLYRRYKSTPKKSAEQPTDKAAEDDK >KZN07538 pep chromosome:ASM162521v1:2:41694972:41696384:1 gene:DCAR_008375 transcript:KZN07538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIIASRLPANGSKSKQADSSSSNIFTIDVGGQLFQTTKQTLTQSGPDSILSKVCNTSDASVPFIDRDPELFSILLSLLRTGNLPSKAKAFDLQDLVFEAKFYGIEKLLVNSQSNPDQFEPFNLEKALILNLSGRDSPSSICTTPYGSVHVAHGSKITSFDWSLQRKSTILTQFTAVDSLLSLSPVVVAAGATDFSGLQILDLDQGFLKETLYWENVTRSSSTVQSIGASPDFLFTSFESGRRNSSAIMVYDLNGDFRPVIEIGHCEIFGADLDSAIPATKLSWVPSYNLLMASGSHSGPHGVLGNIKFWDIRSGNVVWELKEKVDCFADVTVMDNLSAIFKVGVNSGEVFFSDMRNIGAEKSWECLGDSQKPINGKKEGLGCKIKSHGNQVFCSKGGDLEMWSEVMVGSLRKNGTDAEERMFRKNLMGRAKDVGGSKITNLDFGGNKMFVTRKDQQFVEVWQSSVRGY >KZN06693 pep chromosome:ASM162521v1:2:35055990:35057592:-1 gene:DCAR_007530 transcript:KZN06693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRASSLSSSKQLLHSLSSRLIRPLSTYPAVSSLGLAEKHEPGLVSDDVKGLFSSVPTGKLLRSFLTLKMVASEPVVDLGTWVMTSRLMKTALFREIVVGVTKATFFDHFVAGTNHEEAGEIVKMLWNDGLSGMLDYGLEHAYDNVSCDLNMEAFVKTIESTKSLPQSSVSAAVVKITAICPVDLLKRMSDLLRWEYKEKSFNLPWKMNTLPIFSDSSPLYHTLHEPEALNSQEEKDLELAHQRLNKIIQKCQEALVPLVIDAEETLIQPAIDYFTYSAAIVHSKEDSAMIYGTFQAYLKDTKERLVCAKRAADKMGLRMGVKLVRGAYLSTESQVAASLGYDSPIHSSIQHTHDCYNDCASFMLNEVATGPGSLVLATHNFESGKLAAAQARDLGIGKDRQKLQFAQLYGMAEAMTYGLKNAGFQVSKYLPFGPVEQIMPYLVRRAEENRGMLSTSSLDRQLMKLELNRRVKAAIL >KZN06496 pep chromosome:ASM162521v1:2:33371854:33372699:-1 gene:DCAR_007333 transcript:KZN06496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASGTSSPCGACKFLRRKCATDCIFAPYFCSDQGPARFAAIHKVFGASNVSKLLLHVPVADRCEAVVTIAYEAQARIRDPVYGCVAHIFALQQQVAYLQAQLMQVKSQMTQSLIDSRTSEIQWSGNMCMGGNGMQTTLPPAYDNYSSHSSAKNEYMNSVSPQSSMESGDYGNEGMNMQEIQSRDDQLMLLIQNAMKRPPDSFSPTEYGELQSLAHRMMRN >KZN06581 pep chromosome:ASM162521v1:2:34152676:34154385:-1 gene:DCAR_007418 transcript:KZN06581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNEKQAASSPENLLDDFFGIKQHPDSASVLALLSSKPKDSDTANSAPTRRMPMCLGGSQAWNRNQGSFPQDSGANKENKLTFPQESVHPSPLSSSIYYGSLEDMYVQSSSSQASKSYPTYYKYENGYRREGRSVEDEGAATIKWWEGMVLNNGLTFLAYTRVSGIHYSATDVNCAEILNFEYMQDQSTIKK >KZN05291 pep chromosome:ASM162521v1:2:22237120:22237737:1 gene:DCAR_006128 transcript:KZN05291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIDGDHIIRGKRTKRSRQSSSSSSLESLREDEDLAKCLIMLARSGSLEKPNSRVEKAEFCFYECKTCKKCFSSFQALGGHRASHKKPKTGDKHVGMTSDFEDVENAVSGVVSVGDVKAKVHECLVCGSEFGSGQALGGHMRRHRSNNTTTTADSATKMMSIDGKVRNVLELDLNLPAPEDQHMDSRFIFSYPCQAPTLIDCQY >KZN06736 pep chromosome:ASM162521v1:2:35470961:35473162:1 gene:DCAR_007573 transcript:KZN06736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALQEHDYIGLSELDAASDKLSSSDVSTENEKNKLKETELRLGLPGLGLSLFGKDLEESEKSVGGFCASPFKNFASSGAKRGFSDAIDGSSNWGLSVKVGSEVDLSRGGVDGVLEKNGAQKLGFTGANFSPSLKVVEEKKVSVPAPKAQVVGWPPIRSFRKNTIATNLTKKTEVVEPSSGSGCLYVKVSMDGAPYLRKVDLKTYCNYTELSSALEKMFSCFTIGKCTSNGLPEREGLSASRLKDLVHGSEYVLSYEDKDGDWMLVGDVPWDMFTDSCKRLRIMKGSEAIGLAPRTMDKCENHRQ >KZN06310 pep chromosome:ASM162521v1:2:31856482:31863888:-1 gene:DCAR_007147 transcript:KZN06310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLQKNSLVDRDIDQAFTALKRGACLLKYGRRGKPKFCPFRLSSDESKLIWYVDREEKQLELRHVIKIIPGQRTAIFQRYPRPEKEYQSFSLIYNDRSLDIICKDKDEAEVWFVALKALIARGSYRKSKSELTTEARTESIASESPHGRRVSPSSAFSDQGEGQQADTRLGKAFADIISYTAATKSLPQAESVTYSPTSVQSGGQENANGRTSASDTMRVSLSSAVSSSSQGSGLEDIDSLGDVYIWGEGTGGGVVGGGVRKSGRPSSSTMDAHLPKVLESTMVLDAISIACGSRHAVLVTKQGEIFSWGEESGGRLGHGVEVDVSHPKLIETLSSMNIEMVACGEYHTCAVTLAGDLYSWGDGIYNSGLLGHGSGTTHSIPKKVSGILEGIRVSFVSCGPWHTAIITSAGQLYTFGDGTFGALGHGDRNNIRIPREVEKLKECRTIRVACGVWHTAAVVEVENGSSNSPPPEGSIPGKLFTWGDGDKGQLGHCDKAPRLAPESVAALVDISFSKVACGNSLTVGVTASGRLYTMGSTVYGQLGTPLADGKTPICIEGKIADCFVEEIACGSHHVAVLTSKTEIYTWGKGANGQLGHGDFADRHTPTLVDFFRDKQVKGVVCGSNFTAAICVHKWASSSDNSLCTGCRNPFNFRRKRHNCYNCGLVFCKACSIKKSLKASLAPSSNKSYRVCDDCYTKIQKTMEVGSPGVIPKVKSWSTLPKNSELGEKETGGPRVQGQMSKLFSFNSFNLGEGSNSRNCMNNETRDNRLNQLPNGNIEMQSLTLKSPGSFSGASRNCISLSVPNSRIVSRATSPVKRKTFSPQFPSPTQSLTRFTTKMILDDPKQGNESLSQEVIYLKAQVEDLSSKSQFLEAELERKSRELKEAAAFAADEAEKCKAAKEVIKSLTAQLKEMAERVPERHSSSKKDLNSEMSKDTSNPVDESIKTNPSPPKSKSVENSAHPSLPNGSKVQTGTTESVLQYEPGVYVTLSSLPGGGNELRRVRFSRKRFTEQQAEKWWAENGSRVCEEHNILTTD >KZN07050 pep chromosome:ASM162521v1:2:37945434:37946357:1 gene:DCAR_007887 transcript:KZN07050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDPRVCVMGEDVGHYGGSYKVTKGLAPKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKEKIPDEEYVCNLEEAEMVRPGEHITILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFQDYLDAPIVCLSSQDVPTPYAGTLEEFTIVQPAQIVTAVEQLCR >KZN05293 pep chromosome:ASM162521v1:2:22256209:22263063:-1 gene:DCAR_006130 transcript:KZN05293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNGSDSPNPKEIRHGMKREFAMMMKNQSQFLSIGRTRSSKSPIPPAPGLVGDKRARVSANLSEEEKVVAAAAVRGEVLSEEEEPKSGIVDMVSDDDKRSVLEEDFKVPNDVEGDSGEVVSDNTHSLRRVTRSLSRPGVESVGEGVGESGAVKEGLGDKIGEKNVVDKQNVGVEKRPKKLKELLETGLLEGVGVRYLRGSKVRKDNGLNGIIKGDGILCFCDVCGGAAVVSPNHFELHAGSANKRPPEYLYLENGRTLRDVLNACKNAPPEAVTATIKHAIGSSAEKPIPLNCRNCRASLPNSGDFRRKGLCVSCIVTEELQPRSPEQSDMGDRSPQSPYGDGSSDRNRNESLSRSKGKGRLTRKDLMMHKKGFEELAEGAEVAYFASGKKVLDGYKCGSQIYCFHCHDKVSPSVFEAHAGYPRRRKPYLHIYTSNGVSLHEWAVKTSLKREISAAENDDLCSICADGGDLLCCDTCPRAFHPECLSMQVIPEGKFHCRYCKNTFEKEKFVEHNANAVAAGRVSGVDPIEEITRRCIRIVETMATTIDGCVLCRGHDFSSGGFGEGTVIICDQCEKEYHVGCLKDHNIVDLKELPTGKWFCCSECSNIYSALESRVAVGDTELSDSLMDMIKKKHEEKGSQSFGDLNIRWRLLCGRTAADETRVLLSNAVAIFHEQFKPIANSGTREFDFIANMVNGKCSPDHDFGRMYCAILTVNSSVVSAGLFRIFNQEVAELPLVATGSNFQGLGYFQSLFSCIESLLQSVNVKDLVLPSADESKSIWTKKFGFGTIDKKQLNAYRKLHRMMVFQGTSMLHKPVCQISH >KZN05025 pep chromosome:ASM162521v1:2:19035317:19037622:-1 gene:DCAR_005862 transcript:KZN05025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFAFVLIFCVSFISTTTSFEAKQQDPELPQCLHLCKQQQAYSETEKRECREMCKKYIEEKHQRQRLGEVERESGDENPYVFEEKHFSTVMKSDHGEVQILQRFNHSLLKGIENFRLSVLVGNPRAFFSPAHWDAETVIFVAQGKGSISLVRPEKRESINIKCGDILHIPSGTTVYLINRDDNEKLIIIKLLHPVSTPGVVETFFGVGKETFFKAFSGELLEAAFKTQCSRVEKMFEGAGDSILEASEEQIRALSHHEEGGIWPFSESKGTINLFEQKPLKSNDYGELREVSPKEHRQLQDINIALAFINVTKGGMENPFYNSKSINIGVVNRGAGYLEMACPHLSSHSSSGGAPISYRKVNAHVKQGTVYIVPPDHPVIIVASKDQNLELVVFQINARDNKRFSLAGKNNIWKQLEKEAKELAFGRPSREVDQVAGKEDEDWFMKGPSQIPQDGAYIRMRTE >KZN07143 pep chromosome:ASM162521v1:2:38656849:38658767:-1 gene:DCAR_007980 transcript:KZN07143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPRDEIRRIRAQLEEYGEIGDANVFYWFQNKKSRSKNKKTSNFLNSTTKSRKRTVSKPNTSQLSYTSSSSSDKSLQKSSPGEFMPEPYSFHASQSTLVGGAIAGNAAVGTPLIRGLCSPQVISSNVDYVAQYNNSRHNLSECHGQTYGKCSAASVVVADLINHEAPNNYHKRGEDNDQMKMQRQLSYNSVTTTPTPISAQTILPPTVVSNINHIQAEGIRKCTVFINDVAFEVASGPFDVRGAFGEDAVLLHSSGQPVVTNQCGVTLHSLQHGAFYYLFRMRTPSSTSQTHGSGQYLSIG >KZN03996 pep chromosome:ASM162521v1:2:294388:297215:1 gene:DCAR_004858 transcript:KZN03996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKVEKIGRSLQVPSVQELAKDKSATVPSQYIHSDQDPVILSSTNLPEVPVIDMEILLHEDLKDAELNKFHQACKEWGFFQLINHGVSDSLLEKVNTEVVESFNLPLEEKRKFGQLDGDIEGCGQSFVVSEEQKLDWADMIYVITLPTDLRKPHLLPQLPHSFSCIEDLLAEIHESHANLETYEKYDLTHQQQHAQSPSRLHVMHHFLNIAINGGASKQTVQDINREVGTLRNGNRGQWGGHGGPKPSLRV >KZN05940 pep chromosome:ASM162521v1:2:28577737:28578009:1 gene:DCAR_006777 transcript:KZN05940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYNEDNLSHLTPTFPDQNSTNLPNQNSTSPDPPNQNFTSPDSLTPAAEIEKAKPAIPLWRKRKVRGLGSVPFLMCMCDNKDSEASCVD >KZN05162 pep chromosome:ASM162521v1:2:20710146:20710647:-1 gene:DCAR_005999 transcript:KZN05162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDDCKLRFLDLKTKRTFRFVVFKIEEKLKQVMVEKLGEPAESYDDFAACLPADECRYAVYDYEFLTPENVPKSRIFFVAWSPDTARVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVFKSRAN >KZN04243 pep chromosome:ASM162521v1:2:5140845:5141030:-1 gene:DCAR_005115 transcript:KZN04243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYGRIQLYVDHLIRDVDFEKNHNQTEIFKISPVMDDDVEDSDGDSDYKIETETDSTKKII >KZN05264 pep chromosome:ASM162521v1:2:21844421:21847361:1 gene:DCAR_006101 transcript:KZN05264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLPDDLWFQIFLHLPVKDLLASKCVCKSWLANISSRRFVTDHLRRSISSGEDNETLIVHHSVNRAQHGWGPFSLTHLTSGDVLEQLDSPYEALKVWRVAPMRPRCFNSADSTLQVSQMYLLTHMPVFAEEIIYFFESFCGKVLRLRVFENHHYPTCAAFMEFATIVISVSQPPADGVYSSASSPSYQGSLK >KZN05656 pep chromosome:ASM162521v1:2:26023153:26024127:1 gene:DCAR_006493 transcript:KZN05656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKAAIHLFWHPISHKKYSGFGHQVMIYTASTELPDWISPNNLGSKVSIDLPSHVSQNFLAIILCFKRDLDNKSYKIDYSIENTTSGAIWSHSHYSLDSKESWMVLVPRSICPVENGNNIIELRATNADILGYHLLHSTTVTVEDESSCPTKRLIHLESDENSCPSKRLKHSESEN >KZN07059 pep chromosome:ASM162521v1:2:38049650:38055637:-1 gene:DCAR_007896 transcript:KZN07059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAFLLIAKLPPLPSALARAPLCPKRTYATSPSFFDKLDLKYRPQLLHNSFQPPESMVPDPHNLELLLKFSRSMLKETAEDEAAVNFLLDEDEGDSTNSWHLFTSGDMVQGREKAEARSLKQEAEKPLKQILACEDRLVSMDASLKECMQQLRFAREEQEKRIYDAIRKSREYEEHPLSCYIL >KZN06944 pep chromosome:ASM162521v1:2:37026991:37028215:1 gene:DCAR_007781 transcript:KZN06944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIFTFLFILLQLFNILLTCNALPKFSAILIFGDSLLDTGNNNFIPSFAQANHPPYGVSFPGGVATGRFSDGKLMSDFLAEALGIKQLVPPFMDPKLPPSELPTGVCFASAGAGYDDKTSATQVIPVTEQYQKHFKDYKQKLIATVGDKKAADILQNSFMFSTSGSNDMALNFYANPLQAGSIDQYQNFLIGNIAKFIKSLYADGCRNMAIAGLPPICGPLSAGGILGCVFDNNSDANVYNRKLQAMLTQLQSSLPGSKLVYADIFTPLVQISSDPLSHGILFPINCCGEGFPTMAATCNEFVLTCPNPGSYMLWDSVHPTQAVYRVMTDFLIKNVLSQF >KZN04076 pep chromosome:ASM162521v1:2:1476145:1476729:1 gene:DCAR_004913 transcript:KZN04076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTAESSLVTTSSYNGATNFIRISCRATLYPALCYQSLSIYAAKIQQNERQLAKAALSVSLAKARFTTMFVSKLTKVSGIRTREFLAVQDCIDNLGDTVDQLSRSLEELNHMNRVHGGQDFMWHMSNVQTWVSAALTNENTCSDGFSGNFMAGNVKAVVKRRIVTVAQVTSNALALVNRFAERHQAAAPNMP >KZN06029 pep chromosome:ASM162521v1:2:29414205:29418517:-1 gene:DCAR_006866 transcript:KZN06029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEQANWSPYDNNGGTVVAIAGADYCVIASDTRMSTGYSILTRDYSKICKLADKSVMASSGFQADVRALQKVLAARHLTYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDNEGKGCVYTYDAVGSYERVGYSSQGSGATLITPFLDNQLKSPSPLLLPAKDAVTPLSETEAIDLVKTCFASATERDIYTGDRLEVVILNANGLRREYMELRKD >KZN05490 pep chromosome:ASM162521v1:2:24310419:24316194:-1 gene:DCAR_006327 transcript:KZN05490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CMK-1 description:4-(cytidine 5-phospho)-2-C-methyl-D-erithritol kinase MASTQFLCSSRQLYTSKTQPNCFRNGGLKTHLPFSFSTKKTHFKTAHFVTIKASAGKKQVEIVYNPDERLNKLADEVDANAGLSRLTLFSPCKVISLGDKIKFSLSPSKSTDRLSTNVPGVPLDDSNLIIKALNLYRKKTGSDKFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCIATEKELQEWSGEIGSDIPFFFSNGAAYCTGRGEVVQDLPAPIPSDIPMVLIKPPEACSTAEVYKVRLQLDRTVSVDPLTLLEKISTSGISQDVCVNDLEIPAFEVLPSLKRLKQRVVAAGRGQYDAVFMSGSTIVGVGSPDPPQFVYDDEEYQDVFLSEASFITREPNQWYREPYASSAGADSTADFAQSV >KZN04279 pep chromosome:ASM162521v1:2:6164592:6165435:1 gene:DCAR_005079 transcript:KZN04279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVLRPGMDPEMSLDGELGKTCRNCPESLDMFLKLLRISRYVHVFDSDGGEGGGDGGGEGGAGGRMIVAEKNNGGGDGCGGYRGGGRHTGGGGYGGGDDGGGGSGGWGCGVGEDGGGGGVV >KZN06712 pep chromosome:ASM162521v1:2:35219285:35221288:1 gene:DCAR_007549 transcript:KZN06712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQLAEGHQVETEDVNFTDLEVSYHVRDALKSASLGQSDSYHDLLGVLHHTERLTPDEVAMLVTSLKALSGAVSYIDSDHHQMLLSSISGMSLWNYGPDVMDVLVELVVALAAVSGKYLHLCLDMLVRNFVPPLSFLRLLQQPRGLAKKEQVLCRVHAALKEIADLVPLAPLRLEKVIKERMPHKSSKQPWIISIKMIRGEVRRLRTNIDTNKIALLIQVPSWLSEGSLGPCRCISGSSELHLAPLFIKRLCCNCGRVRDLKHWSDPVAGKGPRSAYVVFIRR >KZN07102 pep chromosome:ASM162521v1:2:38384790:38389198:-1 gene:DCAR_007939 transcript:KZN07102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKEMTAPVVDGSNEVTGHIISTTIGGKNGEPKQTVSYMAERVVGTGSFGVVFQAKCIETGETVAIKKVLQDRRYKNRELQLMRSMNHPNVVGLKHCFFSTTSNDELFLNLVMEYVPETMYRVLKHYSNANQQMPLIYVKLYTYQIFRGLAYMHAVPGVCHRDLKPQNVLVDPLTHQVKICDFGSAKMLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVGQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCSPLEACSHPFFDELREPNARLPNGRPLPPLFDFKQELSGASPELVNRLIPDHVKRQMGLQFLHPTGT >KZN07170 pep chromosome:ASM162521v1:2:38804425:38804924:1 gene:DCAR_008007 transcript:KZN07170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGWPLGLENMSTKLVLAPADSSSQATEAASEPSSTCHVPSYSFSSFASSGLDTESTASFFIDQSVTLGRLIGMNPIPGKSRASQTGHEMKVEQESGDIICVNGDKEMFRGICVPLLQNIMVLRSKSKGSSRY >KZN04473 pep chromosome:ASM162521v1:2:11447027:11454654:1 gene:DCAR_005310 transcript:KZN04473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSFQSPSPERNNLATSVNTRETVSGIRLVSSRSKSFARESLEKLVTLNGGKLGSGTFGHVAVFLVKVAVLEAIRKLSKSKYPFIWSGLQALQVISYSPFKWMQRWVPFRVLAKGMKMLSRPLLFLSVATAFTDEYGRDNVNPDGLEESHGLSNFQAAQEENSDILHVQSLPNTETNDEATQSLQPTSWLQRFYMEFENEGIALPERINEDELYKFYTAANGDFTCFLSSVKKTIRWRETYRMLSSEELEMWSNLVFWHGTDVKQRPCLVVRLGLACVSLPSNDRPRFAQAVVSQVELGVLHLVDNQNPQITVLMDCEGLTSLGFPMQMMRSCSTLLQDNFPNRLGCLLVIRLPPVARVIAQTVIQVLKPITRQKLNVIGGMYEDILHSHLQTLPSYLGGACMCTTCVNLNTWSMQPSHINEAARSQQRNEQDASSENFLLPHSHTPIDTYTNGNYDHVLRTAVITILIIWAIIALLSGLTET >KZN07749 pep chromosome:ASM162521v1:2:43323455:43324282:1 gene:DCAR_008586 transcript:KZN07749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSQIGSRNYLPVSTSNHSSLYRINYAGIGTSGVRLAPPSYSPLNVSTWAINDGNMHKQYSLWTEVNGNIKDDHAGEEGDKMLGILNKRPCINTSDIHATSSSPGNSAFPGLRMANDGKSLVFGDSNGTEVVSTKRNDFNVGNGAQDFALPPFPDSEDQFEEPSLPPLPEFYVEYSLPQLETTNTSLPPITSQWNPNTDMASYSFVSHEDFLSPLPSSEMPLNLCGDVTTTNFVPQNSLLPPLASESQCNVNPDASSSTKFFFHVHPPKFHGVV >KZN04213 pep chromosome:ASM162521v1:2:3936194:3938311:-1 gene:DCAR_005054 transcript:KZN04213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQQDYEEREEIVVSSSSPATAVMPTFGQVLKHVADVPKQVTENDSPVHRISPAMITEPRSVPFVLSFSNLTYSVKVFRKIKVSNLLGSKRMATKTLLDDISGEARDGEILAVLGPSGCGKSTLMDALANRIDKGSLKGTVTLNNQQLESRLLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPITLTRSKKKLRVETLIDQLGLRNAANTVIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSAYMVVKVLQEIAKRGSIVIMTVHQPSYRILGLLDQLLFLSGGQTVYSGSPENLPLYFSDFGLPMPENADRTEFAVDQISELEAAPGGTRSLVEFNRTWRKTNIYLNSPSPSHGLSLNEAISASISRGNLVSATSAFANPMWKEIMVLTKRSVTNSLRMPKLFGVRVAALMTTAFVFASVFWNLDNRPKSIHERVGFFAFAMYNIINSCVDDLPVLLHERYIFMRETAYNAYRRSSYVVCQAIVVLPSIVFSAALFATLTFWGVGLDGGLSGFLYYFLMILASFWAGSSFVTFVSGVVPSVILGYIAVVAILAYFTLLSGFYMSRERIPNYWIWLHYISLIKYPFEAVLQNEFDNRNNCFVRGVEIFDTSPFVSLSAATKMELLESISNTLGMPINRSTCFTSGPDILKQLGVRELSKWNCLFVTVAWGFLFRILFYFSLLLGSKNKRR >KZN06383 pep chromosome:ASM162521v1:2:32393448:32399650:-1 gene:DCAR_007220 transcript:KZN06383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQRKNWGNFTTTPRGPNPKSSDKGKSVAFLDGPPPPARSILTESHTVAVSRSDIGDVEDWRRFTEAGLLDEASMEKKDHQALLEKIAKIERELYDYQYNMGLLLIEKKEWTLNSENLREALTETQELLKREQTAHLISLSQVEKREENLRKALETEKKCVGDLEKALKEVRAEHAQMKQSFEAKLVNTDFLKSGLEEKSLDVEKKLHVADAKFAEVTKKSLELDRKLQEVETRDSLLQKERLSFIAEREAHEATYNKHKKDLHEWERKLQEGEERLCEGRRICNQREEKVNEIERDFRLKETKLKKAQEKIDSSKSALEKAEDDIEHRRAKLTAEEHKAEALRSDLEMKEKELEMKEKELLALAEKLSVRERVEIQKFLDEQAVLDARRQEFEAEMDGKRKSLDEEMRCKMGALCDKEVAINRREEDLGKLEQSLQNNSERLKEKEEDLETKIKELKEKEEVLKSDERRLDMEKKKISVDENILQTLKHEFERTKTDVSQKLKISEEERAEYLRLQSQLKQEIEKYQHERDLLLKERESLKEDRKHFEEEWEALDERSTALNRELREFGEEKEKFEKMRLFDEDRLKNERLAMEKHFKVKLEALETEKESFATVMRHEQALLSEKSKAEHSQMLLDFELRKKNLEDDMQRRKDELETHVHDRERAFNEEKEKEYSKIKSLKEAVQKDMEELRSERSRIEKVEQEIALNKKQLEEHQLEMNKDIVELDLLNKKVKLQREQLIKERDRLLAFVDRLKSCNHCGEFTREYELSDLQQQLETEYKLSPLSRSGHGRVYKVQDGTDLTSSNSGGHISWIKRCTSNIFKYSPNETAQYSKSQSDMLANVDEKDEGPSASDLASRGLRKAEDGPEPSLGLANESSDVHLVTPKTDREVAEGHDICTDEFSNINSTTAEAPEDSQQSELKSGRRRSSRKPKVGIHRTHSVKAVVEDAAVILGRTSAGKLGDNVPHESADVNEESRGDSSYGEKALGTTQRKRQRAQTSRVTVSEVAGDYSEECSESVSEEVGRRKRRQTVVSSVQTPGERRYNLRRNKTVGTAASAQASVDTENMQSKVNVDKVEAVQNPKDAPTRSLKIAPEKSNPTTFIQVTANKSVQFQDLSAESDAKLKTFETPEKSIEQLVIAENIGFSEEVIAMRPEGCVGAERGSTLHEDGDNGLEDPDNAEDVDDEPENPGEVSIHKKIWTFFTT >KZN07202 pep chromosome:ASM162521v1:2:39062710:39063144:1 gene:DCAR_008039 transcript:KZN07202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSVFSVVCILHSLIASFTGVLMMFYMKDIYTFSHGTEAATKLLGSTPQAQLVIRSSDSFSGLLLLTIGLVLFMVAFVDDKEFQSFFAKGCVVLHVLMAIWRYNYERRVEDLAWDWMRQIFGDCLLALSWVFFLLQSWKVKYE >KZN06262 pep chromosome:ASM162521v1:2:31489395:31491803:-1 gene:DCAR_007099 transcript:KZN06262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMNMTTGVGEEEIAVGCILAIKTTLGDEFEGQVLTFDRVSNILVLQDSVPAAGVLKSSNGRRNIRMLKANFIKEVTFLGQADEDPLDVNKCXSPSLFPLCLFSFTYLQAEIDSERIGVGVTPEAQSIFDALSKTLPVRWDKTVIVVMNEVRVSSPYTSERVTGGTPAANERVRKVLELERKRLQNRSPAQ >KZN07278 pep chromosome:ASM162521v1:2:39624468:39627294:-1 gene:DCAR_008115 transcript:KZN07278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQCEDTTGILEVSWLLTSNNNRKKPAAYVTYPYTNGGTHVSPNTSAYVTYPYTNGGTHVSPNTSEVRSVLSRKIEHGFCIVPGYRSIGWEFNWLGVLPSSFYKDISVSTAF >KZN05508 pep chromosome:ASM162521v1:2:24481322:24482609:-1 gene:DCAR_006345 transcript:KZN05508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRLGKNSRLGVGSHDEKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTERDFEQLARKTEGFSGSDIAVCVNEVLFEPVRKTQDAQFFIKDSDTWIPCGPRQPGAIQTNMQELAAQGLASKILPPPISRTDFDKVLAKQKPTVSKGDLEVHERFTKEFGEEG >KZN04201 pep chromosome:ASM162521v1:2:3661042:3663460:-1 gene:DCAR_005038 transcript:KZN04201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFSGLFLSLAVVFLLLDYLVDAEMMTCSNIVPMRYRKDKISITDFGGVGDGRTLNTKAFREAIYRIQHLKRRGGTFLYIPRGVYLTGSFNLTSHMTLFLARGAVIKATKDVRHWPLIAPLPSYGRGRERPGARYMSLIHGNGLSDVIITGEKGTIDGQGDVWWNMWRHRTLQFTRPNLIELMNSNDIIISNVIFKNSPFWNIHPVYCSNVVIRHVTILAPANSPNTDGIDPDSSSHVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSFITIRRITGSSPFAGIAIGSETSGGVANVLAEHISLFNMGVGIHLKTNIGRGGVIRNITVSSVYMENVRKGIKIAGDVGDHPDGKYNRRALPVVKDIVINNVWGEKVQQAGSIQGLRNSPFTGICLSNIHLNGARGRKNSPWKCSDVTGAAVQVRPWPCSELSSSHETGACSVPL >KZN05861 pep chromosome:ASM162521v1:2:27838719:27857683:-1 gene:DCAR_006698 transcript:KZN05861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHFRSGFVTLIVIILLVCVSSSGVSAQTRRPKNVQVALKAKWAGTPLLLEAGELLSKEWKNLFWEFIEVWLQNENIDSDSHSAKECLKKIVNSAKPLLSDHLASIFEFTLTLRSASPRLVVYQRLAEESLASFPLDDDVSYNSPDGHYKQTKKVDTLLSGVNLRSPGGKCCWVDTGGAVFFDVVELLHWLRKPSKSSGDSFQQPDLFEFDHVHFDSNFGGPVAILYGALGTDCFKEFHVTLVQAAKEERKPELTSEIMDFRDSLLSSTISDTLNVWELKDLGHQTVQKIVHASDPLQSMQEINQNFPSIVSSLSRMKLDESVKDEIIANQRMIPAGKSLMALNGALLDIEDIDLYLLIDMVHQELSLADQYLKLKIPSGTVRKLLSTLPPAESNMFRVDFRSSHVHYLNNLEVDAMYKRWRSNLNELLMPVFPGQLRYIRKNLFHAVYVLDPASTSGLEVVDSILSMFENSLPMRFGVILYSTKFIQKLETNGGEFPSSVGNGSEKEEDLSSLIIRLFIYMKESYGIQMAFQFLSNVNKLRLESGDFEDAPEMHHIEAAYVDTTLPKAKVPPQETLLKLQKEGTFSKESHESSMFVFKLGLSKLHSCVLMNGLVHEPNEEAVINAMNDELPRIQEQVYYGHINSKTDVLDKFLSESGIQRYNPQIVADGKVKPMFKSLSPSVLGTDSVLNDIGYLHSPDTVDELKPVTHLLSVNITSMKGIRLLREGIHYLMAGSRNARLGVLFNADLDVESPGLLFVKFFQITVSSYSHKKSVLNFLDQLILIYEHEYMLRSSINAEHGQAFFEKVSQLAEANGLPSKGYSSSQSEFSFDKWRNQLNKVGQFLFSQLGIAYGVNAVITNGRVIQAVDGDTLLSHDLHLLESVEFKQRIKHIVEIIEEVKWENMDPDMLTSKFISDVVMAVSSSMATRDRNSEGARFEVLSATHSAVILKNENASVHIDAVIDPLSSSGQKLSTLLRLLWKMFQPSMRLVLNPISSLVDLPLKNYYRYVIPTLDDFSSTDSTVYGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDTRTLQAVFELEALVLTGHCSEKDHEPPRGLQLILGTKAAPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYVLNEHGVGNQDSPTMKRITIRDLRGKPVHLEVHKKKGKEQEKLLVSTENDDESAHELEKQKSWNSNLLKWASGIIGGSDQSKKSRGTSVDEKKGGRQGKTINIFSIASGHLYERFTKIMILTVLKNTRRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPSWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQVVRADMGDLYDMNLRGRPLAYTPFCDNNKDMDGYRFWRQGFWKEHLRGRPYHISALYVVDLIKFRETAAGDNLRVIYETLSKDPNSLANLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNSTKSKAKTIDLCNNPMTKEPKLQGAKRIVAEWPDIDYEARQFTSKILGENVNPVEKVVPNPGTGNKITDPPSKDPESKAEL >KZN05481 pep chromosome:ASM162521v1:2:24262516:24263145:-1 gene:DCAR_006318 transcript:KZN05481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTYEESENFECYGNSSEQLISYEFQAKQCPSGEPLVIRRTLQPGGMGLAASLAPGFEVEGLMAMGGFMGDGQNDAPSGSAADSTGDA >KZN07810 pep chromosome:ASM162521v1:2:43729700:43730098:1 gene:DCAR_008647 transcript:KZN07810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFPDISVKKKENSTMRCVAFGANGDIQFSNVTVPNKCLARGHGQFSIVVPKSKKSSKKGLAIGLGVGFGILTLLLVILLMCRIARNKELEYMQNEPEKSENLDTAWVGSSKLPAAEWSRNFEIPENDYIP >KZN04958 pep chromosome:ASM162521v1:2:18349890:18352690:1 gene:DCAR_005795 transcript:KZN04958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMPNLGLPRGQLLIFLLQLTSLSVLQVYGIGINYSRDDFPADFVFGSGTSAYQVEGAPFEDGKTPSTWDIFTHDGYVNNGETGDIACDGYHKYKEDVKLMADTGLEAYRFSISWTRLIPNGRGPINPKGLQFYNNLINELITWGIQPHVTLFHGDLPQSLEDEYGGWLDKKILKDFVVYADACFREFGDRVMHWTTFNEINMLPMLGYDAGMMPPRRCSSFYGMNCTQGALDEPYRVAHNTLLAHASAAKLYKQKYKPVQHGFIGLNVLAFWFSPYTITTEDLLATQRANDFYVGWFVNPLVKGDYPDVIKKNAGKKIPSFTKTESLRLKGSFDFLGVNHYASGYVKDQTINPAVETRDLMADMAVELTLGADGMEQYQGLTHVLEYFKDVYGNPPVYIHENGLRTVRNGSMSEELNDAARVKYMRGFIGSVLDALRNGANTKGYFTWSFLDVFELLGGYDSAYGLHYVDLNDKDLKRYPKSSATWYSSFLKKRGIQSVGQGKDSVSELFHSHL >KZN05063 pep chromosome:ASM162521v1:2:19567228:19571856:1 gene:DCAR_005900 transcript:KZN05063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPAAVKRPITKFSSPPLQTHKPLKPHQSLIKSPPTLFKKSPNFNNHLKNDSFTSLSSSRTGFPMSSRVNSKRASSGDDRYLVTDVKAPSFVEFITSERIKVVAMLAMALALCNADRVVMSVAIVPMSLSNGWRQSFAGVVQSSFLWGYLISPIAGGTLVDYYGGKIVMAWGVTLWSLATFLTPWAADTSLLALLLARVLLGIAEGVALPSMNNMIARWFPSTERSQAVGLAMGGFQLGSAIGLTLSPILMSQAGVFGPFIIFGLCGFLWVIIWISATTSTPDQNFQISRYELEYIRSMRPKSLKVENDLAKPKVIPPFRRLLSKMPTWSIIVANAMHSWIYHVDLRQAAWFSAVPWSMMAVVGYYAGVWSDDLIRRGTSVTFTRKMMQTIGFAGPGLALIALTMSKSPITASAWLTVAVGLKSFSHFGFLVNLQEIAPQYSGVLHGLSNTAGTLAAIVGTVGAGYFVELVGSFQGFLILTAILYFMSTLFWNIFSTGELVDFDETNQVKQH >KZN04296 pep chromosome:ASM162521v1:2:6943003:6943239:-1 gene:DCAR_005133 transcript:KZN04296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIAQPFGRVFELWSLEMGLGPMEPQFMAVNEADLGPEVVNEGEVNEDDENEEMAVAGAGGLALAGEADHEVIELLD >KZN04941 pep chromosome:ASM162521v1:2:18187786:18190523:1 gene:DCAR_005778 transcript:KZN04941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADYCTWEGVTCEEKTKNVIGLDLSCSQLQGAILPNNSLFQLSHLRFLNLSYNDFSLSNQFPQEFGLFAKGLTHLNLTHTGFSGRVPHQISHLHKLVSLDLSWLPVKLEYDLFELLLQNLTQLRVLNLNFIDISSVLPKNLSASLTVLMLADTDKWGSSGSLHRLILMNINLHGGLPDSIGFLESLTFLDISYCDLSGLIPRSIGNLSRLMRLGLDGNHLHGQIPVGLANLTNLRNLYLPSNNFTGPFPSWIFHLKDFISLDLGSNSLTGQLDVFNSLNGSFSQLVNLIHLDLSSNNFSGVMDLETFSRLEYLEHLDLSHNSLLVTSTGTATLPPKLHHLGLSSCKMKKFPRISKDVEFFLHIDISDNQIEGEIPHWISLVNRSPISVLFPKTYLNLSYNGLTGGLEQLPWNEIQILDLQSNMLNGSLPNLFCKSRSLEILNLSHNNLSGVLPNCSTSMSPLLVFDLRMNNIQGNLPSTLSNFHYLETINLNGNKLQGRIPSSFSKFDYLQVLDLGNNQLHDTFPQCLEGLPNLQVLVLKSNKFHGIISKSSEIVHPFPRLRIIDLSCNEFSGPLPALYFKNFKAMMNGDMNKMKLAYMEHNTYYRHIPSTVGKLSMLESLDLSSNRLEGEIPQQITNIYSLSRLNLSCNQLSGHIPQGYQFNTFENDSYVGNLGLCGNPLSRECEHDTVMQKEGEEEDDFFFGGFTWQAVVIGYGCRVVPAFIIGYLMLQAGKPRWFSGIIARELGLKIRRMEVRMR >KZN04722 pep chromosome:ASM162521v1:2:15040903:15042243:-1 gene:DCAR_005559 transcript:KZN04722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHPSYSSTTSAANNNTPDDDQSKAALNNARSKPVPDDNQSTAPGDDHNKSAPDDDLCTEEAQTEMGDSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKYSASHYRQIAVSEALQNAGVDIPNEIHSAGIKTNGTVLTFGSDSPLCESLDSVLKINGKSLVNGSENGFQKPGEFSPKVSCKAKNNSDDHPSGSSVIVSCSKNEIVAPSLQNLASQNGHSFSPVPYFPGEPWPYPWTVSQWNAPVTQVPPPGFCAVPMPFYPASPYWGCNVPGLGPWNVQWVTPMSLSVNHPAAPSSSPSSPTLGKHLRDENMANPSSCADEGSPKENDPVKCLWVPKTLRINDPGEAAKSRIWETLGIKNEITGNPDCGEGMFKAAFQSKDAEKCDYKSSSVLHANPAAMSRSQSFHEST >KZN04788 pep chromosome:ASM162521v1:2:15983399:15986512:-1 gene:DCAR_005625 transcript:KZN04788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDQGNNLAAEVGKTLKEGERILGPTRRPDGTLRKPIRIRAGYVPQDEVAIYQSKGALWKKEMESLEGMPPGCDPALDAKPKTKSAKRNERKKEKRIQAAVDKNLNNDKVASGDDVIKVPAVDVVTSELNDLNIATNSSAAIAPSNSGEHLGSQDPVPDIDKKIRALRKKLRLAEALKEKNVQELKPEQLEKLAKLEDWCKELKLLEEKKTELAAL >KZN04174 pep chromosome:ASM162521v1:2:2970916:2972218:-1 gene:DCAR_005011 transcript:KZN04174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMYVTAHHLKQQQLNKKSVQVGKNVKMPTRKSPRLNKQQQNNEASATKDCASAKRKLVLPEPSHEDECMGDNQLEGSALPPPPPPPPLTPSNKKFAMRIEDYDKGLTDYEKQRAANLKKNNEVLIALNLPTLAAAIKPPDLKKKQKERVQEDSEDYVPEHTEEHDDDSDSEVPAKAATTKKPPQPAEPSLADYEDGEENEGIGIVITYGYL >KZN04327 pep chromosome:ASM162521v1:2:8152707:8154869:1 gene:DCAR_005164 transcript:KZN04327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAIFSSLRRRRSPSVDAFVAPVDLTDGALLNTLFAVTSEMTSSLSGVTSAFGGGGLMFQRRNSRALVRKIKVIHVMLENLCELGSNLPGSAVLCLREMYLVLYRCKVLLDYCLQSSVLWLLIQNHSISGNFHDLSLEISTLLDVFPLGDVELSDDVREQIELLRKQCRNTRLLIDKQDEILRRKLFSFLYEFESGRVPETMELYLFFVDKLRITDVKSFRAEIEFLEEQIVNQEGDIEPTASVLYGFVALARYCRFLLFGFKNGESGLRDKLKKGKRRLASQEITDTFISVPKDFACPITLDLMLDPVIISTGQTYDRSSITRWMEEGHCTCPKTGQVLIHTQLVPNRALRNLIMQWCNAHGIIYNSPEGLDSSEMFAAPSASKAAIEANKATAQLLIQQLADGSQGAKTVAAREIRLLAKTGRENRAYIAEAGAIPHLKALLSSVNAVAQENSVTAMLNLSIYDKNKSRIVDETGCLGSIVKVLRFGHTTEARENAAATLFSLSAVHDYKKRIAQEDGAVEALAGLLTEGTQRGKKDAVTALFNLSTHMDNCAKMIDSGAVAALVQALGFDGVAEEAAGALALIVRQPIGAEAVGNEETAVAGLISMMRSGSPKGKENAVAALLELCRGGGAAATQRVLKVPAMIGLLHSLLFTGTKRARRKAASLARVFQRCENAPLHINGLGIGYPFSGNSAANRDSSFAGDVSVPMSISVPVV >KZN05521 pep chromosome:ASM162521v1:2:24656646:24665555:1 gene:DCAR_006358 transcript:KZN05521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADVQAFSCNGCIHTISFLLGVVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIVVFRSEAVMGFLLAANDVLVLYIAINLFKLYHGDDWEALFESITGYGLGRSSMVFFGRVGGGIYTKAADIGADLVGEVERNVTEDDPRNPVVIANNVGTMLALCVKISCLCVITEDNLVSWDRMLNEKLPSRSGIGIVCVSPRVVQTNFMQLSDVGYLCGLLCYRQLFLCICVGFVEGLLIGFVTEYYTSNAYSPVQHVADACCTRAATNLCCYVRYCSSCPGMLSTIATGLAIDAYGLISDNAGGIAEMAGMSDRIRE >KZN06951 pep chromosome:ASM162521v1:2:37087454:37091818:1 gene:DCAR_007788 transcript:KZN06951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLRVRRRPSYASHLCAITTAILLLISVSLLHSRLTSDRPTNPLLLSNDAVSLSDHLLDDGDPEDLKNLTGSDDRIDELDDGDSDPSKIPNEDEILRGVELEDDDDKDQKKPSVLVSGYYFDHVSNVIRHAFDKKSIDLWEDYVAFDANLGLGLEDLSRGVFGSDDIPVDDNVRRKVGEVKGIEDALLLNIGGRVSPLREGWGDWFDKKGDFLRRDKMFKSNLELLNPLNNPLLQDPDGVGLTGLTRGDKMVMKGLVSEFKNAPFLIRKAPEIGNVKGIKDGGKVVESEMKVAERKTLGDNVSKSTVSERGVVGSGRDGKRVDSVVSDSGSKSVDGNVWSEFSGQVYADGKRWGYYPGLDGHLSFSNFMDAFFRKGRCSTRIFMVWNSPPWAFSVRHQRGLESLLVHNPDACVVIFSETIELNLFDGFVKDGFKVAVAMPNLDELLKDTPTHIFASVWLDWKKTKFYSTHLSELVRLASLYKYGGIYLDSDIIVLRALSSLNNTVGLENEHSESDINGAVMAFDKHSPFIWDCLTEFYSTYDDTLLRWNGAELLTRVGKSFLNKKSPLNKKMELKLQPSSAFFPISRDNITSFFTTPSTTSERSLQDGLFKKILNESVTFHFWNSLTSALIPEPDSLVAKLINHSCIRCSDVL >KZN04255 pep chromosome:ASM162521v1:2:5317993:5323581:-1 gene:DCAR_005103 transcript:KZN04255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKTCSIQICLHKYSPPNIFCSLAAKKEDRDVIGRIIESVKGVRDHQGKYGRDERLINFFNRTDQKKCMVLELAVEGNYLDVVKLIFEENPVYRLRKPRHRDLIGIKPLVYKAMDKEYNEMVNLLTETYERLIREANERRVQTNAALNLEFAIMSQLIHAISIRLEDFAMMVLHGWRRAKFEFVDNMGWTVLHHATYYEFNSILDYIVRVQQELEHPFSYQDMISTPFHVAAQKGYTSTVKLLLDKWPSTSQAYTAVDKNGQNILHLAALQSNKEIIQCSIKKCPEEYKKKFINEMDNNGDTPLHILILRGCFVPELLRYEGIDMTIKNKKRWTPADMLYFEDQIADDQVQIKIALDGIQTDGKIDIFSSSVLPSKRMRKDEILKKETQSKTHEKYAGMKEDPDAIASCFADAIAGDAISKAALKMKVDKVNELGETILHVESKKGDIDNVQFIVSSFAKKNLLDKLDRSNQTALHLAAQHGHTQVVEALVHAAKKLFCSANDDANIPVSSFQNFVRQANVPDKNTTLHLAVLNNNVAIVKLLVEADPYDDHVQNNVGKTPIYIASENGYKDIVREICSTCNALSIDGPDGTTALHALIQNIGPGKYTMLYEWMICFFSPLTLFKFVYDISRMD >KZN04628 pep chromosome:ASM162521v1:2:13698027:13711943:-1 gene:DCAR_005465 transcript:KZN04628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRMIDTESAKKKKKKSKSKKKKQPPQQTDPPTIPVSELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLEKPIYDSVRQAAEVHRQVRKYMKGIIKPGMPMTELCETLENTVRKLISENGLEAGIAFPTGCSLNWVAAHWTPNSGDTTVLQYDDVMKLDFGTHINGRIVDCAFTVAFNPMFNPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHMPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQTYPPLCDVKGSYVSQFEHTILLRPTCKEVVSRGDDY >KZN05754 pep chromosome:ASM162521v1:2:26945854:26956923:1 gene:DCAR_006591 transcript:KZN05754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWWRKSELPLLVLYAVVFYVYVIRRSLNLSHDYFHQARGLVPGWIPNQFNDVSDAQWRNFRGNLPILTFVLGIFTMVANMLRAHYNLKAKGMSIVWLVISWIYLAYLHGALHHVSFCASFELDTPQKNFSLQKVAWYGFRWVMSLFLMELMTHFCYYNAFALSGLWKNLSPVDVFIIGYGVLNFMWLKFFLIWRYFRFWALVSGIETLENMPKCINNCYNLESFWKNWHASFNKWLVRYMYIPLGGSQRKLVNVWVIFTFVAIWHDLEWKLLSWAWLTCIFFIPEMIVKSAANTLKVESMFGVVIWHELQAVSGAITITCLMVANLIGFVIGPSGINWLSSVFFKREGLPVLGGLFLTFYVGTKLMIHISDAKQKRF >KZN07057 pep chromosome:ASM162521v1:2:38039848:38042151:1 gene:DCAR_007894 transcript:KZN07057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRGSRVSSGAESNQLGLRQINSHLCNAGKERVGSVEEQVKEVLRHWFLLEWDMKTTLEKGNFKFLGVAIARINYLKRVINQSKLQGCLRGDEDCLRSVKRFLLNNGWWERANIRCYSEVEGGNLDDSLFQFISNYSHLVDKNVMNMVEKGDKEGIRMALNQIHYKSLQLNRKQGSNRAGDVHFKPGPMESRNSYKEALLDYEKLDLRNISRPAALPIGNSSLHGSRSVYFTGFPDNTQTKDIWKLFKQEGMVIRDITLPKNRDKRGKRYGFVLFQSVNDAESFIRKVNGKRFLGGKLTLAPAKNNQRKNRKVQGVSSSSSHQETNRRYSSPSFAREARQDPHPISPDIKIGSNKISDNIYLEPDLSLIDNLKASLLLESALPMTGQDAESILYGFGFDEIEVKQLGSRNFLVHFKDEDNTDRLDKEFLEIGFSKVEEVKWENLVPSRECWVECRGLPLVGWSSKNLEFIVGKLGKIQNWKLGPDDRGIYRNPRILLETWEVSNIDSTRSLVLEGKDIKVRLVEVCHHVVEEVTHPSPFPEEEEQIYRPSSSHSNYDNAATPPSVEIFPGGAEINEGEVINGNTSLIRSEGSIVEESQMDPVTPRTNNILGEEENDNNAQVQIQSDDEHPVEISNWKPRPVLSVSSATGKDDSHILSLTEDESGHESKVQGEVPNSIMHALAKMKIKPKRGRPRSLRKERDNKSLKVPKKKRYHKGPGLPMIQVGKGMENLDEAQAIFETGIMMGLIPTQDLEASMKLIRDNLCD >KZN04343 pep chromosome:ASM162521v1:2:8588522:8588964:1 gene:DCAR_005180 transcript:KZN04343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADRMRRSAPDEEDDEFVTPLERFDSSGKKTAGQAGRKYGCSSLSAKSRVGTASIGKNLRSKTLQNNSDARDVSALSKPDRPRVWSSSSRNPGEFAIMERGNSLMCI >KZN07254 pep chromosome:ASM162521v1:2:39435063:39437240:-1 gene:DCAR_008091 transcript:KZN07254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDAWISLWSTWDIRIFVFLSLLVQTFLFLAAPLRKRTSSIWVIIPLWLAYLLADWVADYTLGLIARSNRSSSTSAMNTTSTDDGLQAFWATFLLSHLGGQDNITASALEDNELWRRHVLNFIVSSIGVCYVFINALPVKEYLWIPTTLMFITGVIKYFERTRSLFLASASSFRESMMPQPNPGPDYAKLMYVYKSNAAAGIPTRIELLPQPDRNPLLSQTYKKHELTDLQVVQYAFRFFMAFRRLAVDLRLSIPDRNESQEFFLARSAKDAFRVVEVELLFLYEVLFTKLPVVYDSKGFCLRGFSSFAVIMSLLLFYQMEKMKFEQLDVAFTYTLFTGAIVLDLIGYTMLVFSNWTFVHLMNNSPDRYQSLFQFICGRIRSLLLRINMSRFKNKNSWFTLPMMRRRWGESMATFSLLQYCLHERPRKRELFIGYLGLTNFFDEIGYVNHIRFNVTLRDFIFTELKEKSELAYDLETAKEITLARGEWVLLIEDYGHKQLHPFLVGVDYDESLLLWHIATEICFHDRKDEPLNKDYRIIAKTISDYMIYLLVMRPDAMAGVVSVGLTRFQDTCADAKRFFRSSKQELRKPGFQFRGINDPTMLQRACESILNVETVVKPVTLKGDESKSVLFDAAILAKELKALPRRNNRHQIEVDKWFIMSKVWVEMLSYAARGIRADTHAQQLSRGGDLITIVWLLMAHFGLGEEYQLTHDHERAKLVVGK >KZN06047 pep chromosome:ASM162521v1:2:29605498:29613470:1 gene:DCAR_006884 transcript:KZN06047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANCFRLIMGLLIKQQHIVATLLLPAVDIKLLGSLSRDDLKKICGENFPEWISFPVYEQVKWLNKQLSKLWPFVADAATAIIKESVEPLLEEYRPAGITSMKFSKLSLGNVPPKIEGIRVQSLKEGQITMDIDFRWGGDPSIILGIEAAMVASIPIQLKDLQVFTVIRVIFQLTDEIPCISAVVVALLSEPKPRIDYTLKAIGGSLTAIPGLSDMIDDTVNTIVTDMLQWPHRIVVPIGGVPVDTSDLELKPQGKLTLTVVKANELKNMEMIGKSDPYVVLYIRPLFKVKTKVVDNNLNPVWDETFELIAEDKETQALIFEVFDQDIGQDKRLGIAKLPLRELEADTTKEMQLRLMPSLDMLKIKDKKDRGTITVKVLYHEFNKEEQYQALEDEKRILEERKKLKEAGVIGSTMDAVGAVGSGIGAGVGMVGTGLGAGVGIVGSGFGAVGSGLSKAGKFMGRSITGQSTSKKSGTSTPVNSTQENGGAKPL >KZN04203 pep chromosome:ASM162521v1:2:3729794:3730430:1 gene:DCAR_005040 transcript:KZN04203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKFTLLLTLLILVTSATNEAELKVNGGSGTNTSEISVQTKGLNRKVGGSVSDLDSKNVDLLSKGKKQVKGDGVEKSGLEKKTDLSKPVEGHNVEVESNVGKKSSEKQEKKVDKKDGEFEESEEKGKNEEVFPAVRKENEECGLPDRCTAENDALVACLRVPGNGNAFFLSNFVMV >KZN07488 pep chromosome:ASM162521v1:2:41265345:41267868:-1 gene:DCAR_008325 transcript:KZN07488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNKLNSKGGPSTDNTGAKAPKAIGPSTISCPDHLKIADLTVAKSLGPAKKCAVKIVGRKMCREKGERVHICTRCDFPIAIYGRLSPCQHAFCLDCAKTDPTCYICKERIEKIHPIKLLEGIWICSAPQCLMSYLKKDEFEDHVLKNHGDILTPCPRKGEENESEAAISAGKPTIESTVQVPAKSALSLSSSSLQRDQDGGKQPKLEPTILGPTPNVAADTVLGNSNPQGFNRVDAPNPPFTSQLSGPSITAGGRRDAQAPGGKPEHRNNS >KZN05322 pep chromosome:ASM162521v1:2:22587182:22587889:-1 gene:DCAR_006159 transcript:KZN05322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPDPLVVGRVVGDVVDYVSSSVKMVVTYNPNKQVYNGHELYPSQVIFKPRVEVPGGDMRIVTDIPGTTDASFGMPSHLYIGYIY >KZN07745 pep chromosome:ASM162521v1:2:43299224:43307499:-1 gene:DCAR_008582 transcript:KZN07745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPEESKLEFFLEWLKVNRVELRGCKIKYCDSTKGFGIFSSDGVSENDGILLVVPLDLAITPMRVLQDPLLGPECSAMYEEGDVDDRFLMILFLMLERLRKKSTWKPYLDVLPTTFGNPLWFNEDELLELRGTTLYRATELQKKKLQSIYIDKVETLVKRLLDLDGDSESQVSFEDFLWANSIFWTRALSIPLPRSYVFPENHEPQDSTLLGNGSSLNDEELASKNSGKSLELKGVKIQAAAITSSPVQEETVWVEGLVPAIDFCNHDIKASATWEVDGTGLTTGVPLSMYLLSVVQGSQSTEKEISISYGDKGNEELLYLYGFVVDNNPDDYLMVHYPSEAIQNVPFFESKLQLLEAQKGDMRCLLPRILLRHGLFEASDKQKESNSNGTTSHVCNYSWSGQRKLPSYIGKLVFPEKFMASLRTIAMQEEDIHRVSSLLTELVGSGGERQPSDTEVQGAIWEACGDSGALQLLVDLLNMKMMDLEEASGTEDADTELLQKANNSKECFNNGANISADETTFSRNKWASDMRCLLPRILLRHGLFEASDKQKESNSNGTTSHVCNYSWSGQRKLPSYIGKLVFPEKFMASLRTIAMQEEDIHRVSSLLTELVGSGGERQPSDTEVQGAIWEACGDSGALQLLVDLLNMKMMDLEEASGTEDADTELLQKANNSKECFNNGANISADETTFSRNKWASIIYRRGQKQLTRLFLKEAEQALQLALNEGN >KZN07813 pep chromosome:ASM162521v1:2:43735638:43735904:-1 gene:DCAR_008650 transcript:KZN07813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDAMKSGSRRPSLGAGGGSHVENGQQAKVVYEHFQMPVNYPRYTRPEYERMPEWKVNCLLAQYGLPVSGDVDQKRKFAMGTFLWSC >KZN04267 pep chromosome:ASM162521v1:2:5803870:5804136:-1 gene:DCAR_005091 transcript:KZN04267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVRRMVDAAEKEYQEKIMAQEMATDINVVGIATEAVSGLQDERTSDTEMPVAEHKATEEEQAAEELPNEEALDVSSRKAAQLYMN >KZN05909 pep chromosome:ASM162521v1:2:28283514:28284334:1 gene:DCAR_006746 transcript:KZN05909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVSPEINLLSPLSCAETARGHSYTIWMKSLLFNGCGCTVFDSNGEIVYRIDNYSMKCRSQVHLMNLQGKVLVSLRRKKLQIFEQWEGFRWTGSGDRTREKEKPWFQVRRDCRILRRSVSSCHVTFGCDYKAKKKYYRIIGFPERSEFKIVLGENQLVAEVKRKQSCGGVVLGKDVLSLKVEALAQIDHLLIMALVIVYGLMNDKL >KZN06416 pep chromosome:ASM162521v1:2:32757742:32764356:-1 gene:DCAR_007253 transcript:KZN06416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKVEGSSAPVLRRDPYEVLSVSRDSSDQEIKTAYRKLALKYHPDKNVNNPEASELFKEVAYSYSILSAPEKRRQYDNSGFEAIDADGMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEAMNGTVTVRPLPVGSLVSGKVEKQSAHFFGVTISEEQAEAGLVVRVTSAAQSKFKLLYFEHDANGGYGLALQEDSEKAGKVTAAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTASYTIEALCTKSYEDTTEKLKDIEAQILRKRNELRQFETEYRKALARYQEVTNRYSQEKQSVDELLKQRDSIHSTFTVNKPVVQSTSSVSNSSSSNAPGEDIRDESPGDDEKDKSSKKKWFNLGGLKGSDKKGG >KZN07512 pep chromosome:ASM162521v1:2:41438129:41444968:-1 gene:DCAR_008349 transcript:KZN07512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFTRHERRWASDTVPGGRIASESSSPATELASPAPEEEFVEVTLDLQDDDTIILRSVEPATLTARIPDEEAGLESSVPETPSRSPTVRRSSSNRLLQFSQELKAEAVAKARHFSAELRRFSWSRQGSRASSSSMNVLGGGSNDAIDSALAARAMRRQRAQLDRTRSGAQKALRGLKFISTRKSSEIEAWEEIQANFNKLSKDGYLSRSDFAQCIGMKDSKEFALELFDALSRRRRLKVEKISREELYEYWSQITDQSFDSRLQIFFDMVDKNDDGRITEEEVKEAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLAGLRKKSSIQKLSTKFVYYLEENWKRLWVLSFWILIMAGLFTWKFIQYKEKNAFKVMGYCLLTAKGAAETLKFNMALILLPVCRNIITWLRSTKLSYVVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINETDDQYSNYLIDDFGPYKPEYIDLVRGVEGVTGVIMVILMAIAFILATKWFRRSLVKLPKPWDKLTGFNAFYYSHHLFVIVYVLLVIHGLFLYLVHKWYYKTTWMYLAVPVLLYAGERTLRFFRSGFSTVHLLKVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCPVVSPFEWHPFSITSAPGDEYLSIHIRQLGDWTQELKRVFSEACEPPMGGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQAESASDMSRNSDQSPGTSDSGSFNRFSPKQRKLLKTTNAYFYWVTREQGSFDWFKGVMNEVSELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVFSRICTKHKNKRIGVFYCGAPVLAKELSNLCYDFNQKGTTKFEFHKEHF >KZN07823 pep chromosome:ASM162521v1:2:43800147:43807857:1 gene:DCAR_008660 transcript:KZN07823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENENWVQPSGIFPNGLLPNAGPLIHLLESDRWLKAEERTAELISRIQPNQPSEQRRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTTFTNNQNLKDTWATQVRDMLESEEKDDNAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEVDHLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSNFDWDNFCVSLWGPVSISSLPDVTAETPRKDGGELLLSKLFLDACSSVYAVFPGGQDNQGQPFISKYFNVIDPLRVNNNLGRSVSKGNFYRIRSAFALGAKKLARLLECPSESIVLELNQFFMNTWERHGSGIRPDAPEANNILSLRSPTPGNVPGSVNSKERLSSNKVKSSSSVLDSKVERTSLYGVSSQHGNISSDSISGASDVSETSRGESQKRQGNLNSKRISNQIIRQISSNQATSTDKGQRNLQSQHLLNDIQGRFLFARTRSSPELTDTYGDLYSQSRQARVPDNSKTQGTATESSTYINSYQRNRKNPEPDLAPSHSGQSVNDDPSSVRHTAFHQTPDPDASFNSAPSNHQPESGSRDMAEDPSTAVGMHDMHQEQQDIVNMMASSTINGYNGQVHVPLNLAAGHLPYPVPPSVLASIGYAQRNFAGTLPANFPLIDHSFSSMQFAHGFVSPQLSHYFSGIRLPTNIEDPNDPGNENISSTEMKPGEADHDYWQERDIGSTGDFDFDIGNVEVLRTDDKPQSTSSSANFIPSSRSRVPGSSTRGQHNYRKEKHGSLQDDLLDSFQFEQNRESEVYSDERTTSSKFSSAAHSSSLISKTSSESSWEEPSPKVIKSTREKRGKKIVSESSTMHGKGKIMSEHVSDQHEENNQDWNRNRQTITSNEFAERSSEMQSIPSLHMVRPHMPDYESAQTSGSEVMVPMPPMIFSSRQRMMENSGAVPFAFYPTGPPVPFVTVLPVYNVPPDAGASAASTSHYGMEGLENNDPAQKFDVSEGHDQSMNPTNVDSLQRIANTDTSIEQKSDILNSDFASHWQNLQFGRLCQNPRSPSPLAYPSSVMVPPMYLQGRVPWDGPGRPLSGNMNGFTQIMNYGPRLVPVSPIQPVSGRPPNVYQRYGEDIPRYRSGTGTYLPNPVSGRDRYSSGTRRGNYSHDRSENNGDREGNWNVSKSRAPGRNHNRNQNERSNSRIYRPAAAASESRPDRSWNSYRHDTFSSYQTQNGPFYTNSPQAGLPNVTYGMYSVPAVNPNGSGLSSNGPTGQSLVMLYPYDHNPNYGPAAEQVEFGSLGQVGFSGMNEQYSSEASREAEAFDEHRLQGRSAHYSSPDQPSSPHHFR >KZN06104 pep chromosome:ASM162521v1:2:30118953:30131984:1 gene:DCAR_006941 transcript:KZN06104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMTYGWPQVIPLESGNCPTSERIIYLKVLNRLLLVVAPSHLELWTSSQHRVRLGKYKRDSESILKEGENSQAVWSPDGKFIAVLTASFYLHILKVQFTDKRIHLGGKQPSSLYLASISLLLNEQVPSLKTGLNVSNLICDNKNLLFGLSDGSLYNISWKGEFAGPFELDFNLGNSNEASTTTRSLENGVSFGRIQTVPVCSQNLSKKSAVTCLEFSMSLRLLFVLFSDGQLVLCSVSKKGLKQADSIKAEKQLGPGDATCTSVASEQKILAVGTRRGIVELYDLAESVSIIRSVSVYDWGYSMDYTGAVSCISWTPDQSAFAVGWKFRGLTVWSVSGCRLMSTIRQTGLSTVSSPVNKSNHDFKHEPILGGTSLLQWDEYGYKLYAIEEGSSERIIAFSFGKCCLNRGVSGSTYVRQVVYGEDRLLVVQSEVTEELKLLHINLPVSYISQNWPILHVAASKDGMFLAVAGLHGLIIYDVRLNKWRLFGDITQEQKIQCRGLLWMGKIVVVCNYDDSSNTYELLFYPRYHLDQSSLLYQKPLLAQPMVMDVYQDYLLVTYRPFDVHIFHVKISGELTPSSTAYLQLSTVRELSIMTAKNHPSAMRFIPDQHKRDYIPGKSMSSSLDLSAKEPVRCLILRTNGGLSVLDLHDGREKDLTDSIELFWVTCGQSDEKTNLIGEVSWLDYGHRGMQVWYPSSGLEPFQQEDFLQLDPELEFDREVYPLGLLPNAGIVVGVSQRMSFAACTEFPCFEPTPQAQTILHCLLRHLLQRNKNEEALQLALLSAEKPHFCHCLEWLLFTVFEADISRQSPSKNRRLNPDNFSSCSLLEKTCDLIKKFPEYFDVVVSVARKTDGRHWADLFSAAGRSTELFEECFQRRWYRTAAGYILVIAKLEGPAVSQYCALRLLQATLDESFYELAGELVRFLLRSGREYDPPASDSEKLSPRFLGYFSVPSSFRRQSVEPKSPPFKEQNAHVASVKNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLDDFASGLELIGQKLQMGILQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRSEILFDLFQHDMRLWKAYSTTIQSHSAFTEYHDLLEALEEKLSSTKESEEE >KZN06437 pep chromosome:ASM162521v1:2:32907688:32911144:1 gene:DCAR_007274 transcript:KZN06437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAVKLNATSWIAQQPFNQRTGSASFSPSARRVSVVRAGSYSDELVKTAKTIASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTPGLGEYISGAIMFEETLYQSTTDGKKMVDCLIEQNIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYNQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHSIDRTLEVAEKVWSEVFYYLAQNNVMFEGILLKPSMVTPGAEHKEKASPETVAKYTLTMLKRRVPPAVPGIMFLSGGQSEMEATLNLNAMNQTPNPWHVSFSYARALQNTVLKTWQGLPENVDAAKQSLLVRAKANSLAQLGKYTAEGESDDAKKGMFVKGYTY >KZN05653 pep chromosome:ASM162521v1:2:25998486:25998689:-1 gene:DCAR_006490 transcript:KZN05653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHPSLLPGAISPVRQLVIASKTALVPFLATQTKRYVKESCININIDLYHISFRFNPVLCSGLIFS >KZN07141 pep chromosome:ASM162521v1:2:38642472:38644186:-1 gene:DCAR_007978 transcript:KZN07141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAQTQTPALNTSNLAFFVFGDSTVDPGNNNYIRTLLKGNFPPYGNDFVNQTPTGRFTNGRLVTDYAASYAGIKDFVPPYLDPKLSMEELLTGVSFASAGSGFDPLTPTLSGVISIPKQMEYFREYRERVEASIGSERSQYLIKNAVYIISAGTNDFALNYYGTSPVRRFTYSIPRYYQFLVEQIQKFLQDLQDMGARKIVMVGIPPIGCLPMVITLNSNIVDRLLRRQCIQRLSDVAQGFNQIVEQKVKEVERIDSKIYYVGMYEMFQNIFQDPQKFGFDKVDTGCCGTGLLELSYLCNPRSRLCANISDYVFFDAVHPTERTYFLLFQALSSTIDIILHVK >KZN06653 pep chromosome:ASM162521v1:2:34741365:34741802:1 gene:DCAR_007490 transcript:KZN06653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEEDHQELQLLPSPNYTTSSSLHAPRWPSELYSYINYRSDNKFEALSLDLQLSVPDGAERVKWQATEQTRLAALEKAYVERVRDLTRREMEMAQSEFSRARLMWERAREEVEQAERLKRKAIRWINPTCMEITCQSCRQKFRS >KZN06750 pep chromosome:ASM162521v1:2:35575655:35580912:-1 gene:DCAR_007587 transcript:KZN06750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWVPKLLEDAELYETPEEASKRQHVLTRIRKIVIDWVKQLTRLRGYTDQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVSREDLDISDVSVLYNVDETTLRILNGCRVADQILKLVPNVEHFRTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVAKVSQMYPNAIPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFSIWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSISTLRVMMEQFHFGNNICEEIELNKSQWGALFEPYMFFESYKNYLQVDVIAADGDDLRAWKGWVESRLRQLTLMIERDTCGKLQCHPYPHEYVDSSRQCSHSAFFMGLQRKEGEMKVAACRPRSAGRQVRRRRQFNAANVQGSPEKRQCSASPQKCDSSSPDIVSFVDTHAPRECSPLESEVPRTEEMAEVNGTQRAEQIEGDSELVIRQIESEQQTMSGRVDGCLSNSSVVTSLTSEVGCVVDRGPASSSEGNPGSILCSSSQVSPHGDSCESESGLLMHDGCAIDGKVSESGSKDELELGMALQRAIVSDDNAF >KZN04831 pep chromosome:ASM162521v1:2:16948149:16950371:1 gene:DCAR_005668 transcript:KZN04831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLFFTLLCLSSLLCPAISQPNLSSRTYIVHVNVPDTMVLSDSKNFDKYYRSFLPLRTSSLDLQPRMVHTYRKVVRGFAARLSPMEAKAIEKMQGVLSIRQQNVFSLHTTHSPNFLGLHQNLGFWEGSNYGKGVIIGVLDTGIADGDHPSFSDEGVPPPPAKWKGVCEFNGTTCNNKLIGARNFVAGQSGPPVDVEGHGSHTASTAAGNFVKGANAFGMANGTAAGMAPLAHLAIYKVCSPEDCAESDVLAAMDAAVEDGVDILSLSLGLEPNTSFYADSVAVGAYGAIQNGVFVSCSAGNSGPVNSTLSNEAPWILTVGASTVDRSLKATALLGNKDELDGESVFQPRDFPQTLLPLVFPGSSGDQNATWCAEGSLDNMDVKGKVVFCERGGDIARITKGQTVKDAGGAAMILMNEEANGDTTIADSHVLPATHVSFSAGVSIKNYISSTSSPVATILFKGTVIGGDSAPAVASFSSRGPSNASPGILKPDIIGPGVSILAAWPFSVDNTTNTKATFDILSGTSMSCPHLSGIAALIKSAHPTWSPAAIKSAIMTTADQLNLKNAPFIDQTGSPADIFAVGAGHVNPSKASDPGLVYDNQPSDYIPYLCGLGYTDDQVGIIVNRIISCANETGIQEAELNYPSFSIALGSSAVEYSRVVTNVGSADSSYTLKIVFPPGVIVSVTPSRLEFSEVNQQLTYRVKFSRSGDVSQTPFVQGSLQWMSAKHVVRSPISVKFT >KZN05397 pep chromosome:ASM162521v1:2:23389835:23395076:-1 gene:DCAR_006234 transcript:KZN05397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEAPWRVLEFYSGIGGMRYSLMKAGVNAQFVEAFDINDLANDVYQHNFGSRPYQGNIQNLSAADLDSYKANVWLLSPPCQPYTRQGLQKHSGDARASSFLKIIDLIPQTSQPPLMLFVENVVGFETSDTHSKMLDMLEQNHFTTQEFILSPLQFGVPYSRPRYFCLAKRKPLSFRNMALNKQLVLDPSPLLGHDKDMISGGDQLRRNSDNLPITCEAIESFLEYPSFSNAFNPESCLPAHISRSSEAVDELVSGTLNQYIVPLSLIERWGSAMDIVFPESTRCCCFTKSYYRYVKGTGSLLSTVQSQTKDKTSSLVESGLRYFTPREVANLHSFPKEFQFPEYTSLRQRYGFENANSACCFSAGRRGGIIPCGPGPSAVCPDRSKYALLGNSLSVAVVASLLSYLFNKVNDDLVNFVELTVAEQTLYGGMELRNFTRLQLIKNFTGIILVVRWKCPDFDSSNSS >KZN07821 pep chromosome:ASM162521v1:2:43793395:43795628:1 gene:DCAR_008658 transcript:KZN07821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANWSSGAKMQSLVTSYLAFGDPRSAAMLFLVDSPGNCLYWNSFLQEFKIKGGIPFEILQVFCVLHHKGVSFDDGSLTVILKLCANLKELCLGLQVHAYLIKKGFDLDVYIKCALMNFYGRCERIHSANLVFSETSDCNFQLWNEIVLVNLRSERWKHAIGLFREMQFSYVKSNSFTLAKVLKACSRTEALHQGRQIHGYVIRRLALESNLLICNSLISMYCKSKELELARKVFDLMESRNLSSWNSIISGYSALGYLDEAWSLFSEMEKSGIKHDIITWNCLLSGHFLHGSYREVLSILCSMQLSGFKPNSSSIISALQAISELQILNFGKEIHGYVIRNGLDYDICVGTSMLDMYVKNNDLLNAQAVFDCMSRRNIFAWNSLISGYSFKGQSEDAVKLLKRMESEGIKPDIVTYNSLVSGYAFWGNIDEALDIIRRMKISGCTPNVVTWTALISGCSQNEKYKAALEFFVEMQKEGIKPNSTTVLCLLQACAGLSLLHKGKEIHSIAIRNGFIEDVYVMTTLVDMYSKSGSLKTAYNIFQRVENKTVATWNSMMMGFAIYSLGKQVMYLFRSMQKEGFQPDAVTFTALLSSCKASGLLNEGWRIFDNMKSRYGIAPSIEHYSCMVDLLGKCGYPDEAWELTKSMPYKPDAAVWGALLGSCRGPDDIELAESRRCGACKNVNGRWRSRIRKCLELDRNKSDGPCVLCNRKASS >KZN06889 pep chromosome:ASM162521v1:2:36630727:36634898:-1 gene:DCAR_007726 transcript:KZN06889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSLVTLIAFLIALTIMSRTCVPWFLKLMMSLSSQTNELYQLAAVAFCLLVAWCSDKLGLSFELGSFAAGVMIATTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLASVFLVVVVKTVIISVVVKGFGYNNKTSVLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGILLRWFSPDFQTEIVFKGEILRSDSSAKQRLAVVAKDLMMHEV >KZN07626 pep chromosome:ASM162521v1:2:42282793:42286207:1 gene:DCAR_008463 transcript:KZN07626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFAAGNYSDAIKHFSDAITLSPANHVLYSNRSAAYASINNFSEALVDAKKTVEIKPDWAKGYSRLGSAHLGLHNYVEAIEAYKKGLELDPNNEALKSGLSDAETRVRTRPGFGNPTRPGASPFGDAFGPEMWAKLTADPTTRAFLQQPDFVNMMKELQKNPSNLNLYLKDPRVMQALGVLLNIKLSTRGSDDKGVEMYDVSSERKRPAEEEPVNVKEKKREAEPMQEEVEVSEEEREKKERKEKARKAKEEGNVAYKKKDFETAIRCYSEAIELDDEDISFVTNRAAVYLEMGKFEECIKDCDTAVERGRELRSDFKMVAKALTRKGTALVKMAKCSKDYEPAIETFQKALTEHRNPDTLKKLNDAEKAKKDLEQQEYFDPKIADEEREKGNEFFKQQKYPEAIKQYTESLRRNPKDHKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFAKGYTRKGAAQFLMKDYDKALETYQAGLKHDPHNQELLDGVKRCVAQINKASRGDLTPEELKERQAMQDPEIQNILQDPVMGQVLTDLQENPKASQEHMKNPLVMNKIQKLISSGIVQIR >KZN06347 pep chromosome:ASM162521v1:2:32092581:32092862:-1 gene:DCAR_007184 transcript:KZN06347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFSSSPNNLLQLLFLLILLTSVTSNPQFSQPSALLVIRHRTPPGPAASWARFHVKRTRYPPPPLRDEGDEIDPRYGVAKRLVPSGPNPLHN >KZN05923 pep chromosome:ASM162521v1:2:28382514:28383847:1 gene:DCAR_006760 transcript:KZN05923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRPKSTGMFFSGKATTAAGQPEAWEVRPGGMLVQKRNSDSDHTSIPVPNIKIKVKHGSSYLEFNISSRASFGDLKKMLAGPTKVHHEDQKLIYKDKERDSRSFLDVSGVKNGSKIVLVEDLVSREKRYIELRKNAKIDKASKEIADITLEVDKFAKQVANLDSQIFGGKRVVEKVLLNLIEQLMSLLIKLDGIVADGDIKSRRRFQVKRVQKYIETLDMLKIKNSMINNVEQIIPMQKHPKIQQMSKIPIQKHQEHQRRHVSPKSPLREVRMQQPVVVTTNWETFDSGLSTSPQLISSGTAVGPNENNGSSKQSWEYLL >KZN05839 pep chromosome:ASM162521v1:2:27655808:27656960:-1 gene:DCAR_006676 transcript:KZN05839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEYVSGEIEEIISWSSKPEVPLLALNHVSYVCKSLPTSVKFYQDVLGFVLVKRPSSFDFEGAWLFNHGIGIHLLEVKDAVTKQGQKINPKDNHISFQCTNMDLIIQKLGAMGIEYATALVTEGGVEVDQLFFHDPDGYMIEICNCQNLPILPLSGLSSCPVPNSTTLSNNKALPSPCGKVSSKREVEAMMMEHLVVGMMDIF >KZN06536 pep chromosome:ASM162521v1:2:33836153:33836849:-1 gene:DCAR_007373 transcript:KZN06536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIEKKLLPLRFGVAKLIDQAFAKGVKVAICSTSNEKAVPK >KZN04231 pep chromosome:ASM162521v1:2:4713798:4714935:1 gene:DCAR_005127 transcript:KZN04231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESQFGANSHVLKLVRRHRHDWVVLILLGLIDGMLILIEPFHRYLSEEKLTPDIKYPFHHDTIPMWVVPAFKENEDVLCCENSKVIKEGYKSFPSGHSSWSFAGLGFLALYLSGKIRAFDRRGHAAKLCIVLFPYLVAALVGVSRVDDYWYHWTDVFSGALLGLL >KZN04476 pep chromosome:ASM162521v1:2:11483427:11485997:-1 gene:DCAR_005313 transcript:KZN04476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTKQLGTLSQSARFFLNGSRCNAGDGNSCTCAEDETCISRKQNTKTAVRDPQAPSALSSKSSIKVSSLISGDGVSSPASSPQVISTPSNLGRIGTVTYASGADCVQNDTMHPSPPMSDQFVKAGIAAVSFLSDIVSYKIPTSDGSGILSSQQNNVVERVRPLSTIKASNVKALGREKIEGKSSSGTEPGANSKSKVHEGKGSAQNVGSVSSVNNSFAKKTTHPAESERQSNFHEKRRTMPQQMKANSSRFVSNVMPAEGKFVGDVSEELNRRPKLAKQSSAVSSIARQFTRSGNVVESVSQILRQLNWTPATEVALGKLNCSMDPYQANQVLKKMQDYSSALGFFYWLKRRPGFKHDEHTYTTMVGILGRARQFGAINKLLDQMVRDGLKPNVVTYNRLIHSYGRANYLNEAFNVFNRMQEAGCNPDRVTYCTLIDIHAKSGYLDVAMDMYQRMQEAGLSPDTFTYSVIINCLGKAGHLTAADKLFCEMVSQGCVPNLVTFNIMIALHAKARNYQTSLQLYRDMQNAGFEPDKVTYSIIMEVYGHCGYLEEAEAVFAEMKRKNWVPDEPVYGLLVDLWGKVGNAGKAWEWYCAMLNAGLRPNVPTCNSLLSAFLRVHRLSDAHNLLQSMLNLGLNPSLQTYTLLISCCTEAQTAFDMTFCGKLMAVTGHPAHTFLMSMPAAGPDGQNVKDHMSSFLELMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAHKNVYPDAVREKSSSYWLINLHVMSDGTAVTALSRTLAWFRRQMLVSGICPGRIDIVTGWGRRSRVTGSSLVRQSVQELLNMFQFPFYTENGNSGCFVGCGETLNRWLLQSYVERMHLL >KZN05151 pep chromosome:ASM162521v1:2:20617205:20617921:-1 gene:DCAR_005988 transcript:KZN05151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTEFAVLLVLIAAFWPFLRLCKTIFPKTSDSHQKPPPGPSGLPVIGHLHMLGKLPHQTLYKLSQKYGPIMSLRLGSVPTIVVTSPGAAELFLRTHDAVFASRPKMQVAENLWYGSKGMIFTEYGAYWRNVRKFCTLELLSASKIDSFAGRRRKELGMLVESLRKSAGAGEVVDVSEKVAHLTVDMTCKMLFGEIIKDKCELNEIIHEMAEIMGAFNIADYVPLLGALDLQVFQILY >KZN05168 pep chromosome:ASM162521v1:2:20791220:20793853:1 gene:DCAR_006005 transcript:KZN05168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMASYWTYQECIDELKQKLIYTTFELEALKKKNEDYVKQLMQLLNMTLQERDEARDQLNKLLNKLMIPSAMPSTEILPAIPQIAGHNPRVKATRANSSLIESRNFSDQTCSYHSQNSSPAESFFDAATSPDLSKINSHYIGDLSSNMAFMNQHQFVQDYSVPTGVVKIDQASLVINNLVKDKALPHKGNLLQSVLKAGPLLQTLLVAGPLPKWQNPPPLQSVHIPPPPIKGFDPRNVGVEACESSGHDPLLMNLKSYSEISCGTSQMISTSVMSFRAGVPNACAGNGKFMTSAGKDEHFVAGKRQSIFLILTFHRLMHHQCCAFRSTTSSRNQARRRRRLHEANPEDTSLQFHSQGLESYIARSLPIFQYIKNEKDDLPGISTECAVCLGEFEEGEWIKHLTNCSHFFHVSCIDIWFQTHSSCPLCRAHIFDIECAYTLQAILTREDFDEEQPSPARQNHSLDLERNH >KZN07811 pep chromosome:ASM162521v1:2:43730811:43731943:-1 gene:DCAR_008648 transcript:KZN07811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCITFQQYVYVVSMMIICVFLRYSEANCSFQAIFNFGDSNSDTGSMPMSSPNGMTYFKKPAGRSCDGRLIIDFLAQALGLPSPNPYSQSSGGGGADYTHGANFASQGATVKPPDSSNKDWVSKYYLPIQLNQMKTFASSISKGGGSSNLPSADILGKSLYVIYIGQNDVTGVLGSAGVGGVKQNLPQVANEIVNTVKELYGLGGRTFMVLNTGPMGCYPAFLVQYPHGDSDVDQAGCMTSYNNAVSEFNQMLKGALFGARQQLPDANLVYVDTYSALLNIFQNPSSHGNH >KZN04825 pep chromosome:ASM162521v1:2:16875692:16878877:1 gene:DCAR_005662 transcript:KZN04825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHIDWDNINHYIVENDVRYQRRLRRKQLYITCSPWVPPVHPTSTLNNTVVSRVDPDMEMFLEGFRESDRFLVCKDDDNVSDDVSLEILENGQHGNDDFGVECSRVVANSEPIGVKCGFGGDFVDPDVKMFKEDLGVKCSTREDVVDPDFKMLLENLVVYGHSFALEVKSMDGSIRYIEYEKDELCKGNDIKERKRGKVVPKGDLDSDSTKKKRNVMVRGEGFSRNSDRCTVSKRSSVVKRGDDIEIIFIGKPNEIEEVTVSKNYRPSKIIKNNKRPSKMDDGCNTDYLRKSKKQYEKPQRRPCLTRTDNVMVCGEGSSRNSNRFTVSKRSSVVKRGDDIEIIFIGKPNETEEVTVGKNYRSSKIIKKNKRPSKMDGGCNIDYLRKSKKQYEKPQRRPCLTRTDHVRNQMDILEKGRRPVAVLSRETAKYRRTAPGNKQRGLVVQLGEDTENSRFFSSTGNIDVMGKEEKLDDTASVVLVKKSMQMIQGKDSAYDDNLPPLYKSDKKLGKYAKVKQENATSLNYDEEENEYSPDLEKLRERKKEHEKLERRPCLTRTDNVSNLMNIAGKPKRHLAVMSRQSAKYRRTIPRNEQRDLVVWQGEDIEDSKFSSGKGNMAVMVKEEKFDDSAHVFLVEKSMQVIPGRDSVYDNNLRPQYKCDTNFGKYAELREENAKRLNYDKEDDEDSSDLEILETDNPQNLTKFELTKVFNDSDIEDSAVSMKPTSHCKTSSFREELLVILKKPYNLEEYRSLMQKAKYRHLNWAPEYSDGLKGKDISNTYGKSPLDYHPGCE >KZN07307 pep chromosome:ASM162521v1:2:39892263:39895172:1 gene:DCAR_008144 transcript:KZN07307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDITQALLSSFMSVDSTVRSEAMGALKKFEEDNPEYYLLSLVVELSNDTKPDASRKLAGIMLLDLIPNFAARPAFEFFEDDESEDDEEGDVEHVDEDGEEESDDQIKVEYPESDESEYEDDEEEDDDDVEDVDDEEDDDDVEDVDDEEEDEEAYKREFELRNKIMKFSVYWRKMDVVIKSEIKQRLLDTLGAVHLVNGRRHHASETASQLIARIARIGPKLKWFGFFDKLNMNLKPNKSETLKHATLEVFEGFFSDMHFVVGEFGKNPDKVKSVIKAIVQVMNGDQICSQILLAATKTLKNIFGGMYHHRRDAGSQKYWWKPDFSAKVICKAVLAEMCDVRRFALTCLRFFKKIVPLPEIFMQVIFELTTNSFLLTTEAEAKLDVQRKFERVPKFRKLYPNLDVWSPLIQMYLNLLKHDEDQNIFIASKACVCLLARIVKDDVLEPVINFIEGNFSNSDWQSRKAVAHAYCLILEGPSIEKLLGVVKPTLYILLLLMKDEIDHVKYTTACTLSRMFELLHSPGYSLIPLKNFGFSKRVVVILLESLECAPCISKEICRSICAIARTSAFLLSSDLPNIVKSLVQTAGRRDGDSELRVVAYETLRVVIGYSAEKDFCEIKDLPAVMFELLETAVLKTGSSDDREIQEHLQASDLQASFFGVLQVIIRRMGDVDNMEPVILQVGDKVISLFFGSQCSGRKVQKEEMLVIGALACAAKTEFVKYMPDLYPYVLMGLQNVEEYQACSTSVGVVGQICVALRKEFLPYCSCIMIRLKDLTSSEVKKSVTPIVFLCYGNIAKSIGEHFKNYYQDVVKIMQDASDLHVYSDNSDDMVEYGDRLRQSIFEAFTCILIGLGDSNADLLLPYVPYMLQLIQKHKPRDKGRMRTAVKLLKELAVCSKIKGSLKVHSDILLTELQQSDNEELRQTAAWTQQELGGTH >KZN04067 pep chromosome:ASM162521v1:2:1367358:1368380:1 gene:DCAR_004904 transcript:KZN04067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLFTDMDPLFDGSKHSKLFYTPESFATDVTCNNCFVRIHGVCNGLICLSCDCYSNPGQNIYLWNPICRKFKRLPQLGPSMENDSFFHLNAGVSFGCDGDDYKVIVIAQVDESYVVSVYSLTTNSWKYIKTSFYSQAASDESFFRDTKFVDGTAYMTTSSRVVVCFELINETIRVIEFPKEFSENTGVTMEAYGETIALLRHEKSYLTLWILRNKSSWEKKLSIELQEASPYHQAVGFLNNGKYMVRTLSLVGELRVVTKLYTCDLEMECPKLTEFRSYTQLRSRNRLPPKYSNFMGRIHSNYSGSLLLFNEDSVDPFVQTEETESSCNSMLFTLQWDV >KZN07103 pep chromosome:ASM162521v1:2:38393939:38397553:1 gene:DCAR_007940 transcript:KZN07103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQDFPKSPRPENPNSSPASVSKDYQFRPAELQNNGEPFLGRRLSGGEFKWNRDSLFTGLKNDSVKFGACKGGYAGKRNTIWLRKNVGTILLTLGLMGFLFLLDSIMVSIFNPSAVNYNSSPSGSVVAKRGSTQTLSTKPEREFKQYPTTFWQEPPQASRWKPCADRKSAKGQARNNTGFILVSANGGLNQQRVAVCNAVAVATLLNATLVIPKFLFSNVWNDPSQFGDIYQEEHFVNSLKDEVNIVKESPSHLHSLDLEAIGSLITDADIPKEATALDYLNIVLPPLLKNGVVHLLGFGNRLGFDPLPYELQKLRCKCNFHALKFVPKIQEAGSLILKRIRKSDVSTNLIDKEVLGNFITEAPSENNSSKDGPPKYLALHLRFEIDMVAYSLCEFGGGEKERKELQSYREIHFPLLIERLKLSKPVSPEELRRTGKCPLTPEEAALVLAALNFKRDTFIYLAGSQIYGGQSRLQPLTSLYPNVVTKEDFLTPNELAPFKNYSSQLAALDFIACATADVFAMTDSGSQLSSLVSGFRTYFGGGHAPTLRPSKNRLATIMLENGTISWNRFEERVKKMIEEGQRVGVRSFGRSIYRQPRCKECMCKSH >KZN06083 pep chromosome:ASM162521v1:2:30000841:30003882:1 gene:DCAR_006920 transcript:KZN06083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLKLGVEVVSAHNLAPKDGQGSSNAFVELHFDHQRFRTTVKEKDLDPVWNESFYFNISNPENLSNLTLEAHVYSNGKANNSKSSLGKVCITGTSFVAYSDAVVLHYPLEKRGIFSRVKGELGLKVFLTDDPSIKSFNPVPTMEPFSHTNSLSAQAQATVQQEQNLDQDWSLKEKDESIRTFHHPENPKQQQQQYQQQQQYIPTATVQQPMRYGVDEMRSAPPASNIGFMSSGSSSQPMEYALKETSPFLGGGRVIGGRVIRANKPASTYDLVEPMQFLFVRVVKARELPNMDVTGSLDPYVEVRVGNYKGVTSYFSKTHKPEWNTVFSFSRERVQTSTLEVVVKDKDLLKDDFVGIVLIDVNDVPTRVPPDSPLAPEWYRLEDKKGRKINGELMLAVWIGTQADEAFPDAWHSDAAIPVDSSMPSTQIRSKVYHSPRLWYVRVNVIEVQDLVLSNKTRFPDVYVKVQIGSQVLKTKPIQARSVHAVWNEDMMFVAAEPFEDHVVLSVEERVGPNKDEIFGRVIIPLNSVEKRADDRMIHARWFSLQKPSITDVEEIKKEKFATRLNLRVCLDGGYHVLDESTHHSSDLRPTAKQLWKPPIGLLELGILNADGLHPMKTRDKRGTSDTYCVAKYGHKWIRTRTINDSLNPKYNEQYSWDVYDLATVLTVGVFDNSQLTENGSSGTRDMKIGKVRIRLSTLETSRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSMINMMCMYSRPLLPKMHYVRPLTVMQQDMLRHHAVNIVAARLRRAEPPLRQEIVEYMTDADSHLWSMRRSKANFFRLISVFNGLFAVSKWFGEVSLWRNPVTTILVHALFLMLVYYPEMILPTVFLYMFIIGLWNYRFRERYPPHMNTRISYADSVHQDELDEEFDTFPTSRSSDLVRMRYDRLRSVAGRIQSVVGDIASQGERVQALLSWRDPRATIIFLTFCLVAAMVLYVTPFQVLAAFAGLYVMRHPWFRHKLPSAPLNFFRRLPARTDSML >KZN06438 pep chromosome:ASM162521v1:2:32912637:32914280:-1 gene:DCAR_007275 transcript:KZN06438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACQSYLFSYSVWCSRPRRVDNSCKMVMDHVKINGTTSIPASCSSVTGSENGRISVEQLRQNIPTTKQLRDPYRQAVMIEEDVGYRQKVVIRSYEVGPDKTATIGSILNLLQETALNHVWMSGLLGDGFGATHGMTRNDLIWVVSRMHLQIDHYPIWGEVLELDTWVGASGKNGMRRDWQLRSHNTGIVYARATRRLSKMPDEVRAEIGPWFIEKRAFEEDVPEKIEKLDDSAKYVTSNLKAKRGDLDMNHHVNNVKYVGWMLETIPEKFMEENQLTSIILEYRRECGNSDVVQSLADPDEVGIFNGVHQTCNDSPINGYSLPSEILRGNGLLNSIEGRELRYTHLLQTKGEHKSEEIVRGRTIWKKKLYKKPFPP >KZN07809 pep chromosome:ASM162521v1:2:43723560:43726145:-1 gene:DCAR_008646 transcript:KZN07809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATSMRTTGVLSPLAATTSHRSCGLPNLPTRFSTSSPAAASFTFKLSSVSVSRRRGQFQIKATSEETPSDGGEILTDLKEKWDAVENKSTVLLYGGGAIVGVWLSATLVGAINSIPLLPKIMELVGLGYTGWFVYRYLLFKSSRKELATDIESLKKKIAGTE >KZN04925 pep chromosome:ASM162521v1:2:18017986:18018147:1 gene:DCAR_005762 transcript:KZN04925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGAGLSLHCSLAYRFVSEMYKRGLMPDVFTYNTQVFLLRKKRLPRLVIYTG >KZN04478 pep chromosome:ASM162521v1:2:11547121:11547486:-1 gene:DCAR_005315 transcript:KZN04478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASILLLYTVIWTIVLMLVVAVASFSAEFAFVSAVISSPSSSLSQSCEGHELIKIPVEVSGQVTCLPASMVRRSGFDVFVPILCLAFVVVGSAFMLRAVGFMVDVTDYDNSDDTEQQLI >KZN06708 pep chromosome:ASM162521v1:2:35185303:35190505:1 gene:DCAR_007545 transcript:KZN06708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGPARALFMDEISTGLDSSTTFQIVKALQHTVHILQGTALISLLQPAPETFDLFDDVILLSDGYIVYQGSREHVLDFFESMGFKCPKRKGVADFLQEVTSKNDQKQYWLHRDQPYRFITSKEFAEAFHSFHVGQQVGNDLATSFDKSKSHPAALTTDKYGINKTELLKVVTAREILLMKRNSFVYIFKLFQLATMALILMTLFLRTEFEQDTTTDGGLYMGALFFGVGMLMFNGMADLALTIAKLPVFYKQRDLLFFPAWSHDLPTWILKIPITFVEVGVWVVLTYYVIGFDPNVGRFAKQYLILALINQMSSALFRMIGALGRTMILANTFGGFGLLVLFVLGGFVLARGDVKDYWTWGYYSSPMMYGMNAMAVNEFLGHQWSKLTSNGSETLGVAVLKSRGFFPYSYWYWIGVAALVGFILIFNFWYTVALMFLNPLGRPQAIVPEEINAAGQAAECAENDHNKKKGMVLPFEPHSITFEDIKYSVDMPQEMKGQGVVEDKLLLLKGLSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSITVSGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDTDKRKMFVNEVLELVELDVLKGALVGLPGVDGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGLELYVGPVGDQSCELIKYFEAVPGINKIQDGYNPATWMLEVTSSSQELILGVDFTALYQNSDLYKRNKALIRDLSTPRPGSSDISFPSQYSQSFMVQCVACLWKQRCSYWRNTSYTAVRFLFTAAIAIMFGSMFWGLGSKTTPVWWRWYVWLCPVAYTLYGLIASQFGDIDDKTLSDTDQTVQNFIEDYFGFHHDKVWAVALAVVGFTFLFAFTFAFSIRAFNFQRR >KZN04090 pep chromosome:ASM162521v1:2:1794081:1795397:1 gene:DCAR_004927 transcript:KZN04090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLLLPLILTLLITSTPTISQDLETECTDQWIYIRQLPSIFNLDLLTNCSQYQTFDNFCPYISNHGLGLKTHNNSHSWFRTDPHMLELIFHRRLLEYPCLTNDPSMASAVYVPYYAGIDALKYLYGQEQNFSFLHGLELYDHIRNVDAPEIWNRHNGHDHFLIMARPAWDFSQPLSNDPPIWGTSFLELQEFYNVTALTFETRAYPFQEMGIPYPTSFHPPNLALFNSWVTRVRRSRRDTLMLFAGGGGISNNPNVRRSIRLECENSTSLRNESYGYSNLCNFVDCSNGVCEHDPIRFMKPMLQASFCLQPPGDTPTRRSTFDAILAGCIPVFFEELSAKLQYGWHLPEAEYEEFSVFIPKEDVVFKGLKIVDVLTSIPRAQVRRMREKVIEMLPRVIYRKHGSSLGMRAKKDAVDIAIEGTLQRIKSRLQEVEVQ >KZN04422 pep chromosome:ASM162521v1:2:10529713:10531691:1 gene:DCAR_005259 transcript:KZN04422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAMASIVISVIVLVILRYTVKLANTYWFRPKKMEKRLRNLGFRGNQYRIIFGDAKDVGKTRAAATSRPMEPSDKIASRILPYYHNMVQKYGMNSPVCKTFFLWFGTKARLTISDPVLVKDILSRTEEFRKPNNDQMARVLVGGLFSSEGKTWAQHKKILNPAFHIDKTKNMVPSIVESCSQMMNKWNISVASNKSVEVEMRPQIDVLIYDIMCRALVAGPISEEAKEIYQQRMILNQQAAKLARLMFYPGWWNLSTQEVKTMRAGHKETERLVKKVVTRRLEEMKQGASDHGDILSLLLEAFQDPASGFSLDDVIEECRTFHFLGVESTARSLIWVLYVLANYPEWQERARAEVLQVFGDQKPNAEGMNQLKIVTMIIYETLRFYPPNGVIHRSISKDTKLGDMVLPAWIQVTIPIALMNHDPDIWGEDVNEFKPERFAQGIFNSKMQSIFLAFFSGPRRCTGQTMGTVLITFVVATLLQGFSLELAPSYSHAPRYSFFLVPEHGLQLVLRQHI >KZN05657 pep chromosome:ASM162521v1:2:26030364:26032112:1 gene:DCAR_006494 transcript:KZN05657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTFTQHLFQIYSGFGHEVKIYARSAEFPDWISRSRDSGSTVTLDLQPDVSQNFLGMILCFKYCDDEGFYRLDYSVKTTTSNLKCSYDGLYAHYYNESWMVVVPRSVFTVTDADYSIELVANQDILGVHLLYKMEIPKIEHDSTKGQVQDEGSYPFKRKWMNKKALCWYMCAIDLQEQPRTRNSQEQVTQESGCSNFAGLKAVGGSAENTSMYHKIAFPGTRDLLEFMAAVLQMIARGSLSGYITV >KZN04166 pep chromosome:ASM162521v1:2:2861986:2864755:-1 gene:DCAR_005003 transcript:KZN04166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQRDELGLSLSLSFPGSFNHNHKLTNNNNQTAHVSSPLELNDLVPSATPNLVPENNTQKSHSGPKMETCREDRRYSLTGIDLNGLPTADMGDEADRVLSPNSTPSSLCGNKRSLIREGANGENVTEFSIDQEDGDNSRKKLRLSIDQTAILEASFREHNTLSHNQKTALAKRLGLKHRQVEVWFQNRRARTKLKQTEIDYEFLKTYFRALTEENAKLKKEVQELRTFKLSPQVSIPPTTLTICPSCEHLSEPSSAPTFSVCPSSTRSETPQVHAMSTTTIASISTCAPNVD >KZN06811 pep chromosome:ASM162521v1:2:36023896:36024087:-1 gene:DCAR_007648 transcript:KZN06811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSASFVTLSVIAVVLALVGSAFSADAPAPSPTSSAGSVSPSFAAVGCAVAAVLFGYALKM >KZN05495 pep chromosome:ASM162521v1:2:24350398:24358561:1 gene:DCAR_006332 transcript:KZN05495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNRALQYCTGLHFRHSSFGGRLDSFVDLNLNKNRVSCVSLVDYPRAIRLESRVISRSCVSRVEEPRGVSGIVRSVGVFGNGLVPRGAVAKRFFCHISEGGTGKGGNFCFKDEKKSSFDVFNKHKKRAKGNQIAGYSSSSSRIAASEDVDSVTSSIIEEVKKDVNGSTAKSKPKKQSGSRIRPKKSSDATASEAVEPKVSKGTATRKKNTKVAQSEQVSLELEGVAVQPKVSKTTSQKKKARVAQINQLSAEPEKSTTDAVTEKLLDSSVPTPQQNKKKVKRKPKPKSKAVPSPKAEEPSVSSAIDLPQKETSQTSVKTKPRKQRVIKQLYPPTGKSVLVVESVTKAKVIQGYLGDMFEVIPSYGHVRDLAARSGSVRPDEDFSMVWEVPSAAWTHLKSIKVALSGAKNLILASDPDREGEAIAWHIIEMLEQQDALHDDINLARVVFNEITEASIKSALLAPRDIDANLVNSYLARRALDYLIGFNISPVLWRKLPGCRSAGRVQSAALSLICDREMEIDGFKPQEYWTVDVVFKEGGSSSPVDSNSISSYLTHFQSKKLSKLSISSQTKAIDIQGEIEASKFEVSNSKRSKMRKNPPPPYITSSLQQDAANKLNFPATYTMKLAQKLYEGVQLPDGVSAGLITYIRTDGLHISDEACKDICSLVRERYGESFASDGARKYFKKVKNAQEAHEAIRPTNILRMPSTLAGVLDEDSLKLYTLIWSRTLACQMEPAIYDQIQVDIGNASRSIIFRSSCSRTEFSGYQAAFEDVETRAIRNRDNEVNDRSDVSDVLSNLKAGDPLCLGKVDLKQHHTQPPPRFSEGSLVKTLEELGIGRPSTYASTMKVLQDRNYITVKSRILYPEFRGRMLSAFLSNHFSEVTDYSFTADMETELDNVSAGLTEWKGLLGDYWTRFSKYCESTSNVHIHEVEKMLERTFGDFLFTSLPNKSRTCPSCREGTLIFKVSRFGVGYFIGCDQHPRCKYIAKTIHGGDDDDDDEVGSENHNLEEPKVLGVKPGTDEKILLKNGPYGYYVQLGVDRKGYLPKRASVNQVKDTSSITLEDALEMLRYPVTLGEHPEDGHPVILKLARFGFSIKHRRTVSPVPKNVKPDDISLEKALQILLSKDAKRSGRPKNKPKYEEIDAIEVM >KZN07207 pep chromosome:ASM162521v1:2:39105474:39108418:1 gene:DCAR_008044 transcript:KZN07207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGGDSVVAGESNQLSNFMNSIGLNDKKFVFVYLLGFVCALAVSRVRVSSIVVIPACAVVFAVGFSFGFVNNGLSLNGSEKKVKFGDNIDVAIEKMNNLMGLFGGLDGRILELRNDISNCLKDNRITVDDLERYVVSMEMIGSSALNARNIVHDCIESLVVENQEVQQTEKQHSSKRKKGTDGKKFDLSQFLVSMFQEKSANLKSHKSKDVDKESTNMKVDDARRVSILAPSVEERRRSSVSDGSVVYGRTRSSREKPDYIQNRIKQANDGTRRTKVIAENGRIYTSDFEFNASRVFESKEYNYEDKSTGFMGHENIYRKKGKYNTAKAYTSGVDSFDLDFDVSQSAGHEPVEMESKENFEASVHIDQSKEEIYENRIRETVRSEDKSSYTSTRSAQETDFASSTSSKVIDDILFNRYLMEANALLKQARETLKSRGNEGDAENALQETALLLSKAIELKPMSLLAMGQLGNTYLLHGELKLKVSRELRARLWRSNASLFEEDYYSKGLDGQACSKEELASVLINVCQECEELLVEAGRKYRSALSIDGDDMRALYNWGLALSFRAQLIADIGPEAALDADKVFLAAIDKFDAMMSKSNTHGPDALYRWGVALQQRSRLRTRNSREKVKLLQQAKRLYEDALDMDSDNLQVRKALVSCISEMNYR >KZN06409 pep chromosome:ASM162521v1:2:32679172:32680626:-1 gene:DCAR_007246 transcript:KZN06409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFAIQQNSFAAREEMRSSITVVSISDKRDTLVCPKPRRLGLINTIANEQIRPLRWHMSYQSELCDSKAGSELLDIIMSKGVYAVEQACSQAASSPPFFCGSPPSRVSNPLIQDSHFGDAKVTPLSPRSIPIPVGMSSSPLSSARKVACARENFGNKPAVRIEGFDCLDRDRRNCSITAMA >KZN05041 pep chromosome:ASM162521v1:2:19234358:19237706:-1 gene:DCAR_005878 transcript:KZN05041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFAPNNSPQNLASSTPSSAPTSPTKLAASDAQNDVVLGSTLVVVSFYKFADFPDHADLRAPLKQLCRQLRAYQADTFQCVSGGIILAPEGINGSICGTRESVEKVIGFIQSDERLMGLRQVESPVSPEEEAIHHGHTSSSPLAAGEDAPFRWDHVRVKLKKEIVSLGMPSVSPIEKVGKYISPKDWNALISDPDTVVIDVRNDYETRIGKFKGAVDPTTSAFREFPSWVDDQYQPDKAQNEIECDNSAANMSQTEGPKLPPRVAMYCTGGIRCEKASSLLLSKGFKEVYHLEGGILKYLEDIPKAKSLWEGECFVFDKRVSVDHGLVQGTFKLCYGCKQPVSDADMEAPEWEYGVSCPYCYSSKSEEEKERARARQRQFENWGVIGGPDKGRRPARTVDGNEKSKAANSNV >KZN04354 pep chromosome:ASM162521v1:2:9082837:9083346:1 gene:DCAR_005191 transcript:KZN04354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSYDQTSTASASTSPPTTRWDVFLSFRGLDTRNTFTDHLHKALIRTGIRTYKDDPELHRGEVISRSLPQAIQDSKIYIVVLSKNYATSSWCLEELEEILDCHIKTKRLIIPVFYYIDPSVVRHQTQRFETAFINHQTRYDAEKVNRWRHTLNEIADFKGYHVSKDR >KZN06908 pep chromosome:ASM162521v1:2:36791507:36792492:-1 gene:DCAR_007745 transcript:KZN06908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTQQQAPGPVSQSFSFNGNLTKEEEEELSKSALSTFKAREAEIEKKKVEVRERVQAHLGRIQEETKRLADIRKELEGLADPLKKEVSVVRKKIDSVNKQLKPLGHTCQKKEKEYRNALEAFNDKNKEKVQLITRLMELVSESEKLRMKKLEELCKNIELN >KZN07219 pep chromosome:ASM162521v1:2:39217914:39218108:1 gene:DCAR_008056 transcript:KZN07219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLGPSSPSLQHPFRLSFSARVAKVTPQIKGNSFSSLSQQIIQATDNSKHDQIKIMKEAILVA >KZN04360 pep chromosome:ASM162521v1:2:9240355:9240732:1 gene:DCAR_005197 transcript:KZN04360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPPGRKAAKKLKKASNEAKDGEGLEILKTMQKDAVAIASSRFESIQMSLQLQKEIRELQKEELQIRSAKEERERQKEERERQKEERERQIYEASIMAVDTTQMLLDQAKYYNVLKARIMKNIL >KZN07182 pep chromosome:ASM162521v1:2:38903259:38903708:-1 gene:DCAR_008019 transcript:KZN07182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSREVHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGPKAKTNFPAPELGASLDLNLPSDPRWVMGEFLQTSIIKDPGENASVSGCVSRRDGDAHGETPLLESSETAAYLGIVRRGLPIDLNEPPPTWLA >KZN04200 pep chromosome:ASM162521v1:2:3657733:3659546:1 gene:DCAR_005037 transcript:KZN04200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFNCRKRISMCVKRRVSPIESNESNTEKKAGLELKSNESKRKRVWSWRVESVGDNFTTPPMSSKRKSRYIGPILHEWTAEQEELVIVSFISSLNSDMGRPDYLKKMTWSEIKQRAIELYRVKFGQEPYVTANKIKNKVDWLRDAYDQKDTSMSSKRKSRYIGPTRHEWTAEQEELVIVSFISSLNSDMGRPDYLKKMTWSEIKQRAIELYRVKFGQEPYVTANKIKNKVDWLRDAYDQKDTSLHIWLHMRLHLVAYGFKELKNLGLEEDIYYSVYPKMLSPEFRTLFLDCDTPESKLSFIRSYSFLSTRK >KZN05611 pep chromosome:ASM162521v1:2:25610217:25614316:1 gene:DCAR_006448 transcript:KZN05611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPALTGGTNAFSGFTRLCKGLAVVLVAGHIVVQILPASVTYLALIPARTIPFGWNLITAGYIEQSVYGVVISTLGLLLIGKVLEPIWGTREFLKFISVVNFLTSVCVFVTAVFLYYVTMKETYLYMPISGFHGVLSGFLVGLKQIIPDQELSLLKIKAKWLPSLALVLSTALSFFTAESAIYLPTLILGTYISWIYLRYWQKKPETKLRGDPSDEFAFSTFFPEPLRPVIDPVASVFDRMLCRRPATSDEDSGFILGGSSLPGSDPIEASRRRERGARALEEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGARAWEERLAAERLASVASTEEKHRDAADNV >KZN04035 pep chromosome:ASM162521v1:2:938672:941448:-1 gene:DCAR_004872 transcript:KZN04035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLGKTTLAEKIYNHSTIRACFDGLAWVSISQKWEKKQVLQRILVCLDHEKKEEILAMNDDSLVKNLLQIHEKKKCLIVLDDIWSNDAWDSLKAAFTAEGSLSKLMLTSRNVEVAEHVNPRGFIYQPECLSADHSWELLRLKALPKGYYLDNTEDVKRREEIGREMVRKCGGLPLAIVILGGILVTKPSLRQWERVYNDSLSSLKKGKGLGENQQNQLNDILVRSYKELPPQLKSCFLYLGKFSEDEWIEAENLYQLWIAEGMILSCDKREGETMMQVAESYMGELVHKSMVQVSFDDSGSLLTKFKSFSLHDLVRDLSVSQGKAEDFLEAINLQDGSDLHLSKFAYTRQLVVHYDDEYRSKKKCNHQPYRSSLRMNGDSLTQILGSHLANFRLLRILDLENIYLDRQTVSGNRFGTSIGRVIGNLVYLRYLNARNSNLIIVPWIQKLVLLQTLKVDGYKVTCSPLKSIKTLGKLTHLRHLYLPSRRLGTFEKDVKLRLKGLRKLETLENFNPLFCEVKDLQELISLQKLRIKVKDSYGIVEEMMKYLKKLAFSSTSSLRYWALDFEIPPQGYLTDLNIIRQLFWNNKFKFQELSIRGKIPELGELLQQQQLNNTHIDASLICITRLTLESANLKKDPMSVLEKIPTLRYLYLGLQSYMGKEMVCSAMGFPKLSQLGLSNLDELEKWRVEKGSMPILSVLMIVLCEKLEELPEGLMYLNSLKELLLKRMPLCDKVYGVNGEKGQDFYKVAHVPDINIARL >KZN04223 pep chromosome:ASM162521v1:2:4310531:4314028:1 gene:DCAR_005060 transcript:KZN04223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRIHLGKAVSTVDPEILNDDQGDQYFSLLNSFYKYAKRSCSLKNINSIPLLMPEVPKQNDDKSCGFYVLFYTTQFLKMCPYTFSLMADYPSFMSKTWFTAEDVEELRSGLLNLFRKTGSGAWRSKCDMDMLRLIDTCKSAGNSVQRNNRKNPKRGRPDCKKDDDVTLVFLEKKRRTRETKEQETDDRDDDKIVCQGNKLFMKLSPSLFCDMIKNLSVSQREWISQTGFGSILNFDLKSYPKYLSYSVVNNFDYDSCCIVICGRSIEVSDVDVHDVLGLPLGPQKIPFVKSEPLAKEWRKQYGESKNSFRVAVKDVISAVNDSMLADMFEKVYKVLAAKQGNKILYGLVTASTDRNLPRFTPWNNYCLIKLEQVLIQRSFLVNGELRTPDIDYMTLQGCRVKGKIDDAEVQTDTHATPVEEMHLERGNWCSLERDDGDLDWDLLPKSTTKGQEWPCRTAYRASSSRTSYHQEKQEWSDNNRDVKVQGTEPSSIFEQVKTSEWIGCTTIDEDKFVNVCYSH >KZN07358 pep chromosome:ASM162521v1:2:40291398:40298517:-1 gene:DCAR_008195 transcript:KZN07358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILLFLCLTTVFHAKVVNAQVLGDTLQQGELMNATNSLMSSQKKFSMGFFDGHWGRYLVVHEDAHLSMPDSPGWKLTHDGNIYEHGNVERVIAAVDDCYGYYRKGSKSSPVNNTAGCELFEQPKCRNVMLKFEAQTGFFSNSTDIKYSTDDHITGYSDCKSKCWEDCDCIGFMTNEETECIFYRGTTNTKFHNEDNSNRIYILTTDSANPSHNKRRRDILIVVFILAFYVVLVLLGILHGDQGKNINEEAAATIRAGEQLNSTSQLVSEEGKFTLGFFTAETNFSYFGIWYTNDDQARKVWLANRNQPILNTTSAALAIDKTGQLVITSAGRIVVNVSDQGYGGNISATLQDDGNFVLADESDRRVLWQSFDDPTDTLLPGMKLGSNLTAGKNWTLNSGFSAQVPASGAFSLSWEPFNESNQLVIRRRGEVYWTSGRLMQSQDDPALQTFEFMTLNSPFDAYKYNLSTRDDAEARYFTYIFPRDGRFPMWILDFQGNIRQDDSFNLATTAFCLGYDNGNGCVASSGLPVCRSQDLRFEEKNAEFINGDSTFDDNTTISLSDCMRRCWDDCNCLGFVNSSNGTGCTMYFGTSYTITDQPNSVSKYVLVSSKTDKKAKGSKWVWIVIAVVVSLVLLILASFCVFRMRKTRLKEEEERKREKYLQELIASDSFNNPDETGGMGALERRTCFGLKRSNSESQGCRC >KZN04970 pep chromosome:ASM162521v1:2:18509675:18510034:-1 gene:DCAR_005807 transcript:KZN04970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMAPYFLFHFTIVSALFLTTILISSPVMVAAGGDSWMPMKRSCTGSVGDCSTIGEEFNMDSESNRRILATTDYISYDALERNNVPCSQRGASYYNCEQGAEANPYDRGCSAITRCRS >KZN05769 pep chromosome:ASM162521v1:2:27092268:27093863:1 gene:DCAR_006606 transcript:KZN05769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPTFSLAFFFVSTFSWSALASSEPNYIKLDFVSCLRASITVVYTPTDSNYTSALLYSINNLRFAQPQTPKPIAIAIPGSEPEIVAAIFCCKTYGLEMRVRSGGHSFEGLSYVANVTFMVLDLRSFNNVTADLTTATAWVDSGVTNGELYYWIFRASSAYGFPSGLWSNVGVGGIISGGGYGMMRRKFGLAADQVIDARLIDANGVIHTRLTMGEELFWAIRGGGGGSFGIVVSWRVNLIPVPEIVTVFRVTRTLEQNLTSIFYRWQSVAANFPYEMDIRCTGQAVQSEASPRADKMTMAMLFESLYLGGAEEMLLVMQDEFPELGLVREDCSEVSWIQAMQFFSNFPLEAPPEILLDKTLLPRPAFKGRSDFTQVPIPVQGLEGVWDFMFQLPAQAATLQFTPFGGRMSEISDSAIPFPYRAGYLYMINFFALTDVDEAGRMDWVRNLDTYFTPYVTSNPRSAYVNYVNLWMGTNNPTGTTSYAQALQWGERYFKNNFRRLVDIKTLVDPGNFFRHEQSIPPFSLFNDV >KZN07445 pep chromosome:ASM162521v1:2:40910395:40911227:-1 gene:DCAR_008282 transcript:KZN07445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYRLSDDVSQDSSSSQGPFALCRIIKKNEQGIKNGDYYGDLTAKQVGCSSSNGASMSNQASGDLVVIPDDMPVQASPLSTPYRTTTMVESESVQVQANPSSLWHSPNFIFDSSLEYSQGQEGLSECFPQNEVSNTMTPWDTFGQFEISPSSSYSNFTEKVELADDLTQFGCMSPYSGHIDYATYFGNGSMPPPEAYDWSMY >KZN07007 pep chromosome:ASM162521v1:2:37561291:37567114:1 gene:DCAR_007844 transcript:KZN07007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAMHRDQQMDSSKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLGAMNKLLMEENDRLQKQVSHLVYENGYMRTQLHTVSTTTTDTSCESVVVSGQQQQLNPNPPHPQRDANSPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRTSWFRDCRCLDVLSVIPTGNGGTIELIYMQTYAPTTLASARDFWTLRYTTTLEDGSLVICERSLNSSTGGPSGPPSTCFVRADMLPSGYLIRPCDGGGSIINIVDHVDLNPWSVPEVLRPLYESSRILAQKMTMASLRHIRQIAQETSGEIQYIGGRQPAVLRTFSQRLCRGFNDAVNGFLDDGWSILDSDGVEDVTIAINSNPEKFIGSQYNNSLSMLPTFGGVLCAKASMLLQDVPPALLVRFLREHRSEWADYGIDAYSAASLKASPYAVPCARPGGFSGTQVILPLAHTVEHEEFLEVVRLEGHAFSPEDMALSRDMYLLQLCSGLDENAAGACAQLVFAPIDESCADDAPLLPSGFRVIPLDPKSDKPSANRTLDLASTLEGGPGGARPPGEADINSYNLRSVLTIAFQFTFENHFRDNVAVMARQYVRSVVGSVQRVAMAISPSRMNSHLGPKQLPGSPEALTLSRWICRSYRAHIGADLLQVDSQADDAVLKQLWNHSDAILCCSVKTNISPVFTFANQAGLDMLETTLVALQDIMLDKVLDEAGRKILLSEFSKIMQQGFAYLPAGICVSSMGRPISYEQAIAWKVLSDDNSSHCLAFMFVNWSFV >KZN04388 pep chromosome:ASM162521v1:2:9974865:9976469:-1 gene:DCAR_005225 transcript:KZN04388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSARCQSCGERSLVTDEVSENLICTTCAVVQDYNNFQAHVGGISGPAGTYVRTGTSGSGNNYSYKESKIYKAGVLIDDILFKLNFSVPSIDEVKKMIVTITEGEYGQGDWFSIFVGACAYVVRRKNGNLLPMTDVAAVVCCDTYELGRMVNRVVSFLDLKLPEFDIVYLYETTLRNSPSFSCIEAEKKEVMLKQGVFLVQCLIKWFVTTGRRPVQVVVSVLAFIGQLNQVNVKIEDLASELNVPAVTCRLRYKELLERLVEVARVLPWGKDVNVKNIVKFAPYVIQYMEMKSMGNGLKQIKNSDNVGFDITYFLSDCLQERSDNMIDSYRSCEGDDVFSQNTEQEQSPSLDFANLDKFNISPECLKMIYSKCMEEVSDFRSTSEFGKENRKKRKRHDDYLDCTDWWKGKSELSKKLSLKKILEKDVGMDVMPPAFVNGCLTYQTRRDKIEAAKARIKNIMFPSNAGLRAGNSHCLSTTAKKEKKKKKRHVDVDWEDLIIETLLLHQVKEEEIENGYYRTLMDLHVFNSGCFE >KZN06358 pep chromosome:ASM162521v1:2:32161859:32164673:-1 gene:DCAR_007195 transcript:KZN06358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEMEAPSQVVVEEWNGTASSKLSKTATITAVSSTQFTISRHGSRFNHVSNRFIQAFVPEGFPSSVTPDYVPFQVWDLLQGLSTYVRMMLSTQALLSAIGVGEKTATVIGATFQWFLRDLSGMLGGMLMDLVSPLFPSAFVFIVCIGSLSRSFTGVASGATRAALTQHFALQNNAADISAKEGSQETVATMIGMALGMLLAHITMGHSVAICKLQGRQVPVANYIKL >KZN06282 pep chromosome:ASM162521v1:2:31679897:31681720:1 gene:DCAR_007119 transcript:KZN06282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHPLFFIFFTTTILFFFTSAIEDDVKCLQGIQSAFTDPQDKLRWSFDNTSVTSICQLTGVSCWNEKEIRLISLQLPAMGLAGTLPESLKFCRSLQTLDLSGNQISGMIPQQICTWLPYLVTLDVSSNDFSGSIPSALVNCKFLNNLILSNNKLSGSIPYEIGRLDRLKRFVVSGNDLSGDIPEDLSRFQEEDFEGNNGLCGKPVGGKCGGMGSKNLAVIIAAGVFGALGSLVIGFGCWWWFFVRGNRKKREERGVGGGKDGRSWVERLRAHRLVQVSLFQKPIVKVKVNDLIVATDDFSDDNIVITTRTGVSYKAILSDGSALAIKRLSACKLNEKQFRSEMNRLGQLRHPNLVPLLGFCVVEDERLLVYKHMPNSSLYSLLYLGVAPTSNSFLLDWPARFRIGFGAARGLAWLHHGCQPPYLHQNISSNVILLDDDYDARITDFGLARLVGSVDSNDSSFVNGDLGEFGYVAPEYSSTMVASMKGDVYSFGVVLLELVTGQKPLEVSNAGEGFKGHLVDWVNQLASVGRTKDVIDNSLRGKGNDDQILQFLKIACTCVMSRPKERPSMYQVYQSLRSMGADHGCLEQFDEFPLNFGKQEHDHKD >KZN04774 pep chromosome:ASM162521v1:2:15627660:15637309:1 gene:DCAR_005611 transcript:KZN04774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGFSQLFPCINPNRNEPELNLTEPLDETLGHSFCYVRSSARFVSPTQSDRFISPSQSFRLSPSHEPVRSRPAGSHETGFKAISGASVSANTSTPRTVLQLENIYDDATDSVGNGVKSSIVNGFESTASFSSLPLQPLPRGGSEPASGFFMSGPIERGAMSGPIEPGSVGDGGNGSRVPFSAPLGGLYVKKRRKKGINGIKKAFSRNFSTKNRPWVVPVRHKEECNSNSNGNEGLENVRRGECDDVQWALGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPEFLMGNLYKAVFRELEGLFWDCVESENVEGLGNGDGLSGNVGEELMIGKDLGVNTGGDNRTVAEGDNVNVAVKKVTFESDEVVVRRKRLWEFLAEEDPEDGLDLSGSERFAFSVDDALSVSNAGSGVSKRSLLLSRLKSGLIRNKDSGKLFSWRFGLEGKGGKGESEENVRMGEERSGVTRSGRKRKVGPVDHELVLRALSRALEKTEVAYLEMTHKVLDRYPELALMGSCLLVVLMRDEDVYVMNLGDSRAIVAHYESQEGSSTEPKGPGDSESAAEDIVEESIGGSMRAKEMSNEVAAEALRLTALQLSTDHSTSIEEPELNDALLEMFRNEYIGTVPYLSCSPSLCHHKLRTPDQFLVLSSDGLYQYLTNQEVVSHVECFIEKFPEGDPAQHLIEELLIRAAKKAGMDLHELLDIPQGDRRKYHDDVTVMVISLEGYKPKSVRKRKNSAEKENIRGNSNKRFTYPLSPLTPTSLHSDISNCNEAGRQPIISPTDISNRSTAYKTADQKVFLPSRTPLSNITNKLEESTKRDGRPYGGISKFKAPETTRNLFAPEDPPSADTQKYLQDDENECSTIADPVFSDSSDSDYLSGKLYFSLTYIYISQTIPWHHAVVVIAVCNDFINISGYSSTDDDYIPGVELDSDSGEHFSFPITVALKCIYIGTHNLTESMPLQTMNNG >KZN05543 pep chromosome:ASM162521v1:2:24897093:24903591:-1 gene:DCAR_006380 transcript:KZN05543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMEKELESKLSIQSNNPPNRSKSFAFRAPQENFSIHDFELGKIYGVGSYSKVVRAKKKDTGIVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIIRLYFTFQDTFSLYMALESCEGGELFDQITRKGRLSEDEARFYAAEVVEALEYIHKLGLLHRDIKPENLLLTSDGHIKIADFDDKACTFVGTAAYVPPEVLNSSPATVGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDVKFPDYFSDASRDLIDQLLDIEPSRRPGAGPDGYDSLKKHPFFNGIVWENLRMQTPPKLALKQKVHYSGGNDAQDSSWNPSHVGDSSGKQTEENYVPVASITRIASIDSFDSKWQQFLEPDEVVIMISMVKKLQKLTNKKVQLILTNKPKLIYVDPTKLVVKGNIVWSDNPKEMTVQVSTPSTFKILTPKKVMSFEDPKHRAIQWKKAIEALQNR >KZN04607 pep chromosome:ASM162521v1:2:13444748:13448941:1 gene:DCAR_005444 transcript:KZN04607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTAAVATALFSPLISDKIFILKQSKNIVIPRMYRRRSCIPLQMIDRAPTFQERMSAKQIISVQAVSSVNLNIEVPSSEYEEFSVRSEKEGNKLKLSVEVCGAKTQEIFNEVFTKMVAAAQPIPGFRRVKGGKTPDIPRDILLEVLGPSKVYKEVIKKVINSAISEYVKKERLIVGKNVRVEQSFDDLEAIFEPGDSFQFDAVVQCQQSNES >KZN05760 pep chromosome:ASM162521v1:2:27003567:27006067:1 gene:DCAR_006597 transcript:KZN05760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNPYFDDFRTKSEIIDSANSDDMTDVTEYANDPVHIARKPSMAVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCQYQSFGCAGIFPYYNKLNHETGCAFRPYNCPYAGSECTVIGDISYLVDHLKDDHKVDMHNGNTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDENDAKKYCYSLEVGGNGRKITWQGEPRSIRDSHNKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KZN07291 pep chromosome:ASM162521v1:2:39755864:39756496:1 gene:DCAR_008128 transcript:KZN07291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKLWNDKQEREMYENFAELFAIIKATEKLEKAYVRDVISSSEYEVECQKLIAHFKTLSSTLKYAIPSVEKFHETYKLDCPAAINRLVVSGVPATVEHRAAAAISSAASASVVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLNLLGPDFEGKVKMKEWIVRLAKMGAADELTEQQARQLHFDLESSYNSFMAALPSAGT >KZN07546 pep chromosome:ASM162521v1:2:41755395:41756012:-1 gene:DCAR_008383 transcript:KZN07546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYSIQDFYIAGAEGTSGSNFNQSIIYFKLDIVNPGKSMGVYYDNINLTFSYYATEDDLVPLANYAIQGFRQGVDKKTDRKDFVVTTRGMAWQEISNNVPVLSLLPSVSSDVVFRVDLATAVRFREFMTGTKSKRLETMAWCEVEVDQMTGKKSSDKAIKLNHMIKHHLSGWLVFGLIILLLATFLSPCLGFLCLGACRDCTPA >KZN05107 pep chromosome:ASM162521v1:2:20218665:20221661:1 gene:DCAR_005944 transcript:KZN05107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFLFPALGGLLFGYDIGATSGATISLLSPELSGTTWFNLTSIELGLVVSGSLYGALLGSILVYPIADFIGRRGELLIAAFLYLLGGSVTAYAPGLSVLLIGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKEVFIVFGILLGYFVGSTQINAVGGWRYMFGFSAPVAVIMGLGIWSLPPSPRWLLLRAVQGVGSLEEYKKKAILALSRLRGRPAADSVSEKQIDETLISLKTQYNSQESEGNFLEVIQGPSLKAFIIGGGLVLFQQITGQPSVLYYAGSILQTAGFSAASDAARVSVIIGVFKLLMTGIAVLKVDDLGRKPLLIGGVSGIAISLVLLSAYFKFLGGYPAVAVIALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLKELLGAENLFILFAVIALISLAFVVIYVPETKGLSLEEIETKILK >KZN05871 pep chromosome:ASM162521v1:2:27954903:27955871:1 gene:DCAR_006708 transcript:KZN05871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVMFQYLISCILLCALFSEAHPSPFEFLKNLQGSQKGDKPQGLHQLKSYLTKFGYINSGIHDNKLNVKSLDSDSNNVDHFFDETLEAAIKTYQLNYHLKPTGILDAKTVSSMMLPRCGVSDITNGHSRMRAARKRAPHHRHHHHHHHTVSHYKFFSGSPKWPAFKTDLSYKFENNTPADAANAISRAFDKWASATQFTFTRIENDTSPSCNSTSDITIGFHSRDHGDGFPFDGPYGVLGHASPPTRGSLHLDADEPWSIGPMEGYIDLETVALHEIGHLLGLEHSSVESAIMFPSVADNMTKDLHGDDVQGIRELYHTF >KZN05763 pep chromosome:ASM162521v1:2:27026635:27032655:1 gene:DCAR_006600 transcript:KZN05763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVVPRKYILLYTFRYIGANFSCSLPPTVTFCISLSSMVKVAGFRPPQFSEDVNWLPPWLQPCESAEQIQGLSQFKLTSEELPCLNTVTREDANFLITEEGRYKSCHLFLSAEDNSPLTFASSSGNVPKFHLHLSTDDDSENKESQFLEISQAEISEPDHSLKSIIPEDEAKKYKYKGSQYAGSTNLPTNLLEKSCNPSPQCTGNNLDNGAKSEDHVKPVEVDDITAAVELSIAASEALLIHEIIDSGQTTNSLSASAILEVALRVKQARLEGYIEASNIMHEETSDVDYLSDLDDSMMKGAYEDVGLNLCDFHLPSSDLNISQVNDTYSLENCNGDEKMDYEDAHRLGVNSADLVTKLQPNDSTCFGIPPKRNDAIKQPEDSTCFGLPLKGNDALVPFDNVKQKKFSKDLSQGPGTLDMAFDMNVLETPDIISTTNVIEETPGIISTTKKAEFRVEDFNSLQVTLNTSHPAMILEESEMNDFTNKAQEKFRSRWFGGWTHKAEVNSVVAIEHNSERSIPAFVIGETSILSESADIAPDENSFVQKQDKVSNLASQSSIPSNRLHTESIKNKYVDEDIMISSTSSMGDPLCSFVPCSISLENACSGQAQNHIGQAETHNSFPPINKHNGDIPERSSSVDNFICGQKATGRTTDSVVSQLIARRQVTTLKTYSMLLPSNGPYLGNEKCDHSFPLQCKYNKLDSSFPSSMANTIDGTRNLDESAKEASKLVFHDQEDTGALVNLNCGSKFFDVSKSSLLDINGEEDPEHRALRNKDGKVLQINNLRMAEEQNGIVPGRKRVRFAETNSSFPQKKKAQMMDPTISKRSTRRKVGLKSSKTDVEPELKAIKRRPSKISDKSLKRRIFQNLKFLLTGFSSKKEKEIEGLIRTYGGIVLPDVPSPPTSRALRSSRFKCQLLPVILCSRKLQTLKFLYGCAIKACLVTVDWLKDSICAGSVLPTKKYIILPNLWGDKYPRIGRPTLHDKYIFDHVGIMLHGKPNFCNKIAQVIRHGGGLIFKTLHVLVQAIDDDKISTAAIVTENENGLSRHLKHCALERKIPLMPETWIIQSLYAGKLLPVTQNNRRGNLRPFRLLDIPVSPELSQEI >KZN04771 pep chromosome:ASM162521v1:2:15590563:15596512:1 gene:DCAR_005608 transcript:KZN04771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSSKTAHLPSPDNPSKPESVNGDECVIEEVEVNQVQVFKEYELNELRKATNGFSSECIVSESGERAPNVVYRAKLENNHFVAVKRFSRQSWPDPQQFLAEAAGVGKLRHKRLVNLIGACAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVAYHIAQALDHCNMEDRKIYHDLNAYRVLFDEDGEPCLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNVLLLMDSSMEGQYANDDATKFVELASKCLQAEARDRPDSKFLLTAVSPLQKQKEVASHVLMGLTKTAPVVPTILSPLGKACVRMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKSAIDYYSKLVVMMPAPSATVFARRALSYLMNDQAELALRDAMQAQVCIQEWPTAFYLQALALSKLGMETDAQDMLNDGAAFDSKKQNSWRN >KZN04718 pep chromosome:ASM162521v1:2:15018714:15020655:1 gene:DCAR_005555 transcript:KZN04718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDALQLYADRRTTDGHGVSIPSQRRYVGYWEKLLSVPKGISRSPLNVHLPEPCNREVRRIRIYDAVNIKSVFFVVSQLEEVPDQRYQPPAEVSKNCCRPIRDAWQTTVCDRYYYSITESNDSGKQPHLKESRLVVQMDTEIPVIYQKTCLEYHYKTPVKVSGDVRVAFYEKMIGGRLFYLCFNTTFISNNLLQFTEHDLDKVGKRGRSISGSAFCVELLFGPRNAIGVLPALGDA >KZN05954 pep chromosome:ASM162521v1:2:28666074:28668788:-1 gene:DCAR_006791 transcript:KZN05954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRKYVLCIFVLLGYQFAAVCPLTEKEILLQFKGNILSDPYKSLSSWDSSKSLCQDFNGVSCNSAGNVYKILLWNTSLGGILSPALSGLKSLRILSFFGNKFSGNIPTEYGEIDTLWKMNFSSNSLSGDIPDFIGKLSNIRYLDLSRNGFSGEIPSALFTNCFNNKFISLSRNNLSGSIPVTIGKCFNLLGVDFSFNNLSGGLPPQICDISGLVYFSVSNNGLAGPVEEQISRCKSLEFLDLASNRFTGSAPFDVLQFSNLTYFNVSSNEFVGMIPKVESCSQRLEILGASSNELSAEIPGSIAKCSGLKVLDLGYNMLNGSISVNILDLKRLLVIRLGSNLISGTIPTEFGSIELLQVLDLHNLSLVGEIPDEISNCRFLLELDVSGNALQGNIPSKLYNMSYLVILDLHQNSLNGSIPSVLGNMSNLQLIDLSENLLSGQIPLLWNLLNLTHFNLSYNNLSGVIPSSSTIQQFGASAFSHNPGLCGAPLTSCSRNDTIPTTGKSKLLSVSAIVAIVAAAVILIGVGMVTIFNRRARRWRSDEVTVVEESTPMGSADSDVIVGKLVLFSKTLPSKYADWEAGTKALLDKESLIGGGSIGTVYKTKFEGGILIAVKKLETLGRIRNQDEFEQEIGRLGNLRHPNLVSFQGYYWSSRMQLVLSDFISNGNLYDNLYGLNHPSTSTSIGNHVLNWSRRYHIALGIAKALAYLHHDCKPPILHLNIKSANILLDENFKAKLSDFGLVKFLPLLNNGGITKFHNAVGYIAPELAQSWKLSEKCDVYSFGVVVLELVTSKKPVEYHQNEVVILCEYVRRLIESGNVSDCLDRSLHGFVENELIQVMKLGLFCTSEMPSRRPSMSEAVQILESVRLGLDS >KZN06059 pep chromosome:ASM162521v1:2:29768916:29770129:1 gene:DCAR_006896 transcript:KZN06059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEQSGIQPSLQVALLQSTGQRESVENKEKMLLDSREDWCSTRKNARPCGNPVKLVAIHGHYADVGDFAAQPSCALNARPWCFIGRGSECYNTCTWVYES >KZN07078 pep chromosome:ASM162521v1:2:38175928:38177859:-1 gene:DCAR_007915 transcript:KZN07078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAILFSMERPSSEEVEVNFGRSLIVPSVQELAKQPLIKIPPRYVHHDGPQQDSSTLLYPSVPIIDFHNLSSGDSLYDHELEKLHNACQQWGFFQVVNHGVGASLLEDLKREIVNFFKLTLDEKKKLWQQEDNHEGFGQLFVVSEEQKLDWSDMFYITTLPVSLRKTHLFDNLPPILREKVEAYSLEAKKLAMKILGFIARALEMDGQEMIELFSDGVQSMRMNYYPPCPEPERAIGFTPHSDADALTILFQLNETEGLEIRKDGRWVPIKPLPNAFVVNIGDILEIVSNGVYRSIEHRATVNPVKERLSIATFYSSNLDSQLGPANSLVRPDRPAVFRRVPIDEYFMEFFARKLDGKAYLDFMKIEVEGATT >KZN05688 pep chromosome:ASM162521v1:2:26305947:26307332:1 gene:DCAR_006525 transcript:KZN05688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLFSCFSSKKDGHKPPPKERAILASETAFTINEVEALFDLFKNLSSSIIDDGLIHKEEFQLALFSNSSKKNLFADRLFDLFDIKRNGVIEFGEFVRSLSIFHPYAPEADKIAFLFNLYDLRHTGYIEREELKEMVLEHLRESDLTLPNDDVEAILDKTILEADLKGDGKIDLEEWKVFVSKYPALIKNMNLPLLREITQAFPSFVLKTDVHDSELHSNSR >KZN05568 pep chromosome:ASM162521v1:2:25137163:25141713:-1 gene:DCAR_006405 transcript:KZN05568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAKEPQEYRIFVGGLSWEVTERQLEDAFSRFGKIIDSQIMIERDTGRPRGFGFLTFADRRGMEDAIREMHGRELGDRAISVNKAQPKMGGDDAGRGYSGGYPSGGRGSYGGDRSSGQDECFKCGRSGHWARDCPSAGGGRGGGGHLSSVPRFGGSGGRGDRFGDRYVERDRFVDDRYDGGRYGGDRYEIRETKYPSRDYVTDRYPPSSDRFGGDRYGESDRYPPNGYGKERVYERDVGPRRSTERYGGGGPARYEGKGYRDRAGPYDRPRRGGHPSSFDRY >KZN06907 pep chromosome:ASM162521v1:2:36787968:36788141:-1 gene:DCAR_007744 transcript:KZN06907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKHMESRTHDDNGGARAYKAPAVICLHDGIDSRITIHQWPVNVRLCAIAMSNFSN >KZN07783 pep chromosome:ASM162521v1:2:43551743:43556520:-1 gene:DCAR_008620 transcript:KZN07783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKREEEKIEDADEAKRQKLLHQSTVSSPPQSAFENALLPLATYDDDEEEDEQTYLDNIVDDPVQSKENGIEHQEGEEEEDEDDEVGVAQGQRSRMIELRRDCPYLDTVNRQVLDFDFERFCSVSLSNLNVYACLVCGKYFQGRGQKSHAYTHSLEAGHHVYINMQTERVYCLPDGYEINDPSLEDIRHVLNPRFSQEQVDQLDKNRQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYAHSKSPLVQRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFKIGSQSDPVEFMSWLLNTLHEKLKSSKKKHSIIHECFQGELEVVKEVHGKAIGERNENDALNNGTGSDGAAGGKNVVTETSRMPFLMLGLDLPPPPLFQDVMEKNIIPQVPLFNILKKFDGESVTEVVRPRIARMRYRVTKLPQYLILHMRRFTRNNFFVEKNPTLVNFPVKNLELKDYIPLPAPKGKEKVRSKYDLIANIVHDGKPGEGSYRVFVQRKSEELWYEMQDLHVSETLPQMVALSETYMQIYEQQQH >KZN04154 pep chromosome:ASM162521v1:2:2664402:2669182:-1 gene:DCAR_004991 transcript:KZN04154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADERRKAEFDVDSMKIVWAGSKHVFDVVDRMSKLVAADPVFRKDDRTMLSRKDLFTSSLKKSAHAFKRMNELNLTYEEATELRFFVDEPTYTDLHWGMFVPAIKGQGTDEQQEKWLPLAQKMQIIGCYAQTELGHGSNVQGLETTATFDSKTDEFVLHSPTLTSSKWWPGGLGKVSTHAVVYARLLTDGQDHGVHGFIVQLRSLEDHLPLPGITVGDIGTKFGNGAYNTMDNGVLRFDHVRIPRNQMLMRVLQVSREGKCTYSDVPRQLIYGTMVYVRQKIVKDASNALSRAVTIATRYSCVRRQFGSQNGGPETQVIDYKTQQNRLFPLLASAYAFRFVAEWLHWLYGDVTDRLKVNDFSTLQEAHACTAGLKSLTTSATADAIEECRKLCGGHGYLCSSGLPELFAVYIPGCTYEGDNVVLLLQVARYLVKMVSQLGSGIQPVGTTAYMGRAEQLMQCHSDVKKAEDWLNPSAILEAFEARAIRMAVSTARSLGKFSNPEEGFAELSADLAEVAVAHCQLIVVSKFINKLKQEIHGNGVKQQLILLYNIYALSLLHKHRGEFLATSSITAKQASLATTQLRNLFSQVRPNAVALVDSFNYTDHYLGSVLGRYDGNVYPKLYEAAWKDPLNDSVVPDGYVEYIKPLLQNNLHLSRL >KZN03974 pep chromosome:ASM162521v1:2:105396:106996:-1 gene:DCAR_004836 transcript:KZN03974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASGQASVFVKPRIVVNKFLARPQREGVGAVVRRSIGRPELKSLDPFLLLDEFTVSPPAGFPDHPHRGFETVTYMLQGEFTHQDFAGHKGTIRAGDVQWMTAGRGIVHSEMPVGEGVHTGLQLWINLSSKDKMVEPNYQEMLSDDIKRVEIDGVEVKIIAGESMGVESPVYTRTPTMFLDFTLKSNSEVNQKIPESWNSFVYVIEGEGIFGSLDSPPVSAHHVLVLSPGDSLSVWNKSSNALRFVLIGGELLNEPVARHGPFVMNTHEEIDQTIEDYDHCKNGFEMAKNWTSE >KZN05675 pep chromosome:ASM162521v1:2:26214986:26216952:-1 gene:DCAR_006512 transcript:KZN05675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGTSLFSANVAQQSSNYDELFMQQHILFTDSLKDLKNIRKQLYSAAEYFESSYERDDDKQLVVETVKDYATKALISSVDHLGSVAFKVTTFLDDKVTEFSDTKVRFSNIEQSQHVYVQADTRGVSPLRFSLKRAESVADRSRSPNPANNNIQQHFPVPQRSSSMLIHTEDKGTSKAIVQYRKMA >KZN05850 pep chromosome:ASM162521v1:2:27737010:27737252:1 gene:DCAR_006687 transcript:KZN05850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFTANKLCAYYLFHCHSALIDYFSITLHSSNIEFCITNAVPTAFLSFFEAGSYSWHNGIRSLSYQSGKNLDPNRYNQ >KZN06308 pep chromosome:ASM162521v1:2:31844531:31845967:1 gene:DCAR_007145 transcript:KZN06308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFGGDSKGEQDPRRLTEVGIVLSSENNQENMLEEQSFLVSRRFSRSCDRENSWDCMNYRMEKIEIQHGKRLLESNEDDEVGARKLPKQLDAYNSLDLASTTQEVSMIPVDQSGNQRHAGDNSDTSSLIHSIGRDNSISALIRSSRSDYGALASLNKSFRELIRSGELYRLRRQNGVIEHWVYFSCQLLGWEAFDPTSRRWMRLPTMTSDECFMFSDKESLAVGTELLVFGKVFSSLFIFKYSLLTNTWSSGMKMNFPRCLFGSASVGEIAILAGGCDLSGNALSVAELYNSELGTWEALPNMNKTRKMCSAVFMDGKFYVIGGIGGSDSKLLTCGEEYDLQTRIWTEIPNMSPARTRPDGEIGMPVTADAPPLVAVVDNELYAANYAEMEVRKYDKNRRIWETVGRLPERADSMNGWGLAFRACGDRLIVIGGPRAAGEGFIEINAWAPKDGPPQWNLLGRKQCGSFVYNCAVMGC >KZN07025 pep chromosome:ASM162521v1:2:37754164:37755060:-1 gene:DCAR_007862 transcript:KZN07025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGTLEPEVAFLNASIIPDIFPVLAAAHKTLVAKSRESLATRTPHSELVFNYSGSKHIKESFKRCGITEEVTYVLVARFNASVDEIAAIEKLVEGKKVDLTELEKRANHAQIQKQYKITPAELAISSLADSITCRIAARDAL >KZN05405 pep chromosome:ASM162521v1:2:23532322:23533504:-1 gene:DCAR_006242 transcript:KZN05405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFWIVTVCIFALSFASSAEQCGKQAGNALCPNGMCCSEFGWCGTTPDYCSDTCQSQCGGSTPAPGGGSTPTPSGGSGDVSSIIPESLFNDMLKHRNDGSCKSNGFYTYSAFINAAQSFDGFGTTGSTDQRKQELAAFLAQTSHETTGGWASAPDGPYSWGYCFIAERNNPGTFCTSPDWPCASGKEYYGRGPIQLTHNYNYGQAGKAIGADLINNPDLVATDAVISFKTAIWFWMTPQSNKPSSHDVITGKWSPSADDNAANRVPGFGVITNIINGGLECNKGTDSRVEDRIGFYKRYCDILGVGYGNNLDCNSQRPFA >KZN04807 pep chromosome:ASM162521v1:2:16363892:16364425:1 gene:DCAR_005644 transcript:KZN04807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLREGTEIVPEIQILMRWLLHSLLNLLLPRIILYVSCATRVFLGNRTCNSTEEGTICPGSSRKRQIRRCRRRCTSAPKSVVRAHHDPARALGDLTGIKKHFSRKHGEKKFSCTRCHKRYAVLSDLKAHSKICGTTEYKCECGTTFYV >KZN06173 pep chromosome:ASM162521v1:2:30760347:30764862:-1 gene:DCAR_007010 transcript:KZN06173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLRSKHRKDASVQVDYVVYVGEINPWPPSQSLRSVQSVLLQWENGDQNSGYLTSSTGDSSVQFNEAFTLPVTLRREKRSSEKYQKNLLEFSLFEPKKDKVTKGQLLGTAIINLADYGIIEDIVSVSSPVSCKKSSKNTKQPVLFLRIQQVDKNSSNSTPKTGLSKQVSLDKDRQDSVPGSRNEENDDVSEIASFTDDDDDISSHSSRTFASSAIDAANSPHRSEKVGSNIAAENTGRIKPEPALPVVVYPSTTKVNPETEAFKRPSGTSHTLLSKDLVPGVERPANDHVSFPEFPERNITSIKKECDPPVQYSSSFPQFLDSSKDSMGIMNSLEQENTSDSLYENVASSVDGTKASTLPLAEEIFDKFGVRVVAGNAYTDSPSNQKSGQVEATIVTNLDIDMTEGKLEIEQQEISHDEQRSDEKIQGLGNKVASKVYQNAARKQGTLRSTTLASNGKVVGGQGTPFTDSKLKHVNSVQLPLEPAKAKMYNENEKKINKVGGVNDAQSNLTNTATFGRKELVNGSDQKNEWKSKAEILEEELREAAALEVSLYSVVAEHGGSINKVHAPARRLSRFYLHACRARFSDKRASAARAAVSGLVLVAKACGNDVPRLTFWLSNSIMLRAIVRKTAGELRLSSEPCIKSCIGKNESNGKVKTTEEFGDWEDPVTFTTALERVEAWIFSRIVESVWWQTLTPHMQPAAAKSSRTISSGSRKTTGSKHILRDQEQGNNSIELWKRAFKDACERLCPIRAGGHECGCLPVLARLVMEQLVSRLDVAMFNAILRESAEEMPTDPVSDPIADSKVLPVPSGRSSFGAGAQLKNAIGNWSRWLTDLFGIEDNDSHEDTDRLGDYEEVETDTSFKPFRLLNALSDLMMLPFEMLADAPTRREVCPTFSAPLIRRVFINFVPDEFCPEPIPDSVIDSLDSEDAAATVEESLTVFPCNANPTVYHPPAAAAFSSIVGEMGSHELRRSGSSVRRKAYASDDELDELESPLTSILSDNLLVSQPSTRPNIKLNEKGGRNVARYQLLREVWRDGE >KZN06634 pep chromosome:ASM162521v1:2:34581053:34581925:1 gene:DCAR_007471 transcript:KZN06634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLIEDEPEKYQTHFSLYAKKGIDADNIEELYKKVHAAIRADPTVKKSEKPQPKEHKRYNLKKLTYDERKNKLIERLNALNNAAGADDDDEEDD >KZN04689 pep chromosome:ASM162521v1:2:14771148:14771621:1 gene:DCAR_005526 transcript:KZN04689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEYAVHGRFSAKSDVYSFGVLVLEIISGIQMRGFNHSDPSENLITRAWRLYQDENCLELLDKAAMGSYIQFELFRVIQIGLLCVQPCPEDRPSMSMVVEMLNSDTEVPQPKEPDFINIRHQSVSE >KZN03978 pep chromosome:ASM162521v1:2:144792:148232:1 gene:DCAR_004840 transcript:KZN03978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYSQAHICQCLTRISTLRHNATVTITETRRKTGEKFVADVLSLAGGLVEVVGLKPGDVVAISALNSDLYLEWLLAVTYVGGICAPFNYRWSLAEAKSAMEVVRPILLVTDVNSGYWHSKFRVDTISSISWHVLMEHPVELDITANGVPYFPVLTTEMLKKLCVKSQSLNYVWGPDGAAIICFTSGTTGRPKGVTLSHSAFTVQSLAKIAAVGYGENDVYLHTAPLCHIGGLSSALAMLMVGGCHVLIPKFEARLALEAIDQHGVTSLITVPAMLSDMVSVIRTKEAKDSLQSIKKILNGGGSLSAELLKDAIQIFPRSKIVSAYGMTEACSSLTFMTLYDSAKENCDQSLMKGETRPEFHEPRGVCVGKTAPHVELKINSEDVSHAGLILIRGPHMMLGYWGQIPTEKPVPGNGDWFDTGDIGRLDNDGNVWLIGRTNDRIKSGGENVYPEEASTVEAVVSKHPGVAGAVVVGLPDSRFTEIVVACIQPKPTWTWSDYSSKLSAKDDDRCLTSQILQNFCKENNLTGFKVPKYCILWKKPFPLTTTGKLRREQAKSDRALSNKERVLHPTRNFLLFGIKLQFQCSKTTVLGPFLGPMIMV >KZN04150 pep chromosome:ASM162521v1:2:2617594:2620387:1 gene:DCAR_004987 transcript:KZN04150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSIVVGRLADLLVEKPQTLYEVRDEIQLAVTELTRIKTFLPDADSRMDVERIRILLRDVRELAYDAEHVVETFVIKASSTKKPFQRMNRVKFSRKMKNIQKKMSLLFNLFSDYNIIPTSESTSSKRESGKLKRFHSFTTPEPQIFVGFHEDVECLVRHLVNEADDSYPLISICGMGGLGKTTLAQKIYNHSAIKTHFAGLAWFSEDESIDIETLYQLWIAEGMILSSDKREGETMIQVAESYMGELVHRNMVQVRFNDVESSLTKFEYCSLHDLMRDMSLIQAKAEDFFEEIHFQSGNEFHLKSTTESRSASTRVVIRLDEEYSSKEANYYFSKKRNQKCYRSILLLCEFGARSLPRALGLHFANFRFLKVFSVENYTNFSGAFSHFNVGRALGSLVYLRYLSVRGSNLLVFPSLQKLMLLQTLKFDTKKKIYVLPWMPRDVLVKLDCLRHLYLPKVQVNLMGRESKFRFNGLSKLETLENFNASWCEVKDLRELINLRKLTLAVIASYDILEEMMKNLADIASSPSSCLRYLGVTISHCHLELNNGLTILKQLVYAENLNLRDLKIYGPIPEVGLIFPLHVSTIRITKLTLARSHLEEDPMPILEMLPMLDVLHMCTDTYVGKEMVCSATGFPKLTYLFLDSFPNLEKWRVEEGSMPILLYLSIERCNKLEELPRGLVFLNSLRVLKIFQMPQDFNDRLIREDEEGPDFHKISHVRRVRIDDLVYDFL >KZN04950 pep chromosome:ASM162521v1:2:18278805:18279413:1 gene:DCAR_005787 transcript:KZN04950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTMSSFIFLLSLTLSFSICPDPTTAAVTPAQEISASLNELIAQACSSTPNKDLCHQTINADNKTPKNDINDLAFITFEAASIKTVANIEYITRSSEDPEIGQAGDAGKSSLKQVFDDCEQQYEGAMDDVDNSVNYLASRTKDDDKQIHGLLKDAVSSIEACQKNLEGKGPKTEQLIKLNQEALDLLNNALGVFHVIYKQK >KZN04586 pep chromosome:ASM162521v1:2:13060446:13066374:1 gene:DCAR_005423 transcript:KZN04586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSLLFGCRLDIFYLKCSITDTDVFNNVAVEEELHEDLSTSSCSVPIIHLNTEVLEAESVNLLSEGTYVDTLLTKLPVLTEEEQSIIAATPAHPAGLYGKQLAAPALYASCMVGCLVEQLWTFAGPAAIAMLHPSLLPVAVIGFFTKISIILGGPLLGKLMDHSPRMPTYSALATVQTTAHLLSVGLIIHAHTVHSTLTLSVLLRPWFIVLVLASAVERLSGLALGVAVERDWVVLLAGTNRPVALAQANAILSRIDLLCEIAGAALFGVLLSKYDPVTCLKLAAGLMISSLPVVVCLTWLTNKLSAGVLDRAKSLETCCSSSAEDSLHNVKSLVEMSVEAIKQGWSEYMQQPVLPASLAYVLLCFNVVLAPGGLMTAFLTHRGLNPSVIGGFSGLSAFMGIAATFVSASMVKRLGLFKAGAVGLFLQASLLMMAVAVYWSGTLSQQTPLFFFLFLIVFSRLGRMSYDIVGAQILQTGVPASKANLIGTTEASVASLAESLMLGVAIIANDVSHFGSLAMLSVLSVVGAACLFCRWIAVANAITNFTKPADRDSFVDLHRGTENYPELRILQINDLMEWSGLWRRS >KZN06631 pep chromosome:ASM162521v1:2:34563994:34567310:1 gene:DCAR_007468 transcript:KZN06631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQGFIKTMTGMLMKCIVPEKEAGLLGRSLRRLHPDNDIYRDQEQHKIHVDINEWRCGYCKKSFRSEKYLDQHFDNRHSNLLNISHSKCLADLCGALHCDLVTDFKAKKNKCNPSASARNKHLCEGLADKCFPINQGPSANRLHELFLRQFCDAHTCSGGHKPFSRGSKKHTSIFYLVISILTSLLVAIFYIVVYVYRRTAKDLNASHDGDKSQNHHSASFSGVHQVTCKIENSDHEDLIVMKLKKILETP >KZN05114 pep chromosome:ASM162521v1:2:20251158:20252103:1 gene:DCAR_005951 transcript:KZN05114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVSLSPSSPQLLTIFNPKKHHNSHNHPASSLFISSNSLLYSKRCKLYHNPPPLVISPSKINYNSRVYAISENLKLAEVTPVESSKQIVNAATTSGDGVSNIITVLLFLAFVGLSVLTIGVIYIAVTDYLQKREREKFEKEESAKKKKANKKRKVKARAGPKGFGQKIVEVDDDDS >KZN04483 pep chromosome:ASM162521v1:2:11577709:11580849:-1 gene:DCAR_005320 transcript:KZN04483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLQEVTAQLESALSEISFEQLDISDEIQEQVELVLAQFRRAKGRIDAPNVELYEDLALLYNMKHDAVADPDVLRRLVDNLQLSGITDLTQESLALHEMVATTGRDPGESIEKMSMLLKKIKDFVQTENPKLDSPLMESGSVQAGTDKIQKIPEDFRCPISLDLMVDPVIVSTGQTYERSCIEKWLEAGHGTCPKTQQTLSSLSLTPNYVLRSLIAQWCEANGIEPPKRSARLSKTKSACSPAERSKIDTLLQKLTSVNPENKRFAAGEIRLLAKRNADNRVALAEAGAIPLLVGLLSTPDSRTQEHAVTALLNLSICEDNKGSIVSSGAVPGIVYVLKKGSMEARENAAATLFSLSVVDENKVTIGGFGAIPPLVTLLSEGNQRGKKDAATALFNLCIYQGNKGKAVRAGIVPTLMKLLTEPQGGMVDESLAILAILASHSEGRAAIGSAEALPVLVAVIASGSPRNKENAAAVLVHLCSADQQYLIEAQELGVMSSLIDLVQNGTDRGKRKAGQLLERIKHQNLTQALNESQAQPLIESQSQARATSLIPAPAPAPALASSPIPAPSQTQQSRPCLSAHFVDS >KZN06107 pep chromosome:ASM162521v1:2:30162008:30164365:-1 gene:DCAR_006944 transcript:KZN06107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPALLHSSASSFHSHFPALSSRGSLRLPGRHSLTVKAETNIVLVEKSQAETTSRLKKSYLETIVPLLKDEFSYTNIHQVPKVTKIVVNCGIGDAAQNSKGLDAAVNELALITGQRPVKTRAKNAIATFKIREDQPLGIAVTLRGNVMYSFLDRLINLGLPRTRDFQGVSPNSFDGNGNYSIGFREQSVFPEISYDALGKPRGMDVCISTTAPTDKEAHKLLALMGMPFREGAVSSNVVRKKKLKRHHFDSKAKQRARR >KZN05567 pep chromosome:ASM162521v1:2:25134186:25135925:-1 gene:DCAR_006404 transcript:KZN05567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAGSNASPMTSLTLQILAGRWFMMFASFLIMAVAGATYMFGLYSGDIKGTLGYDQSTLNLLSFFKDLGANVGVLSGLINEVTPPYVVLSMGAVLNFFGYFMIWLAVTKRISTPQVWHMCLYICIGANSQSFANTGALVTCVKNFPESRGAVLGILKGFVGLSGAIITQIFHAAYGNDTRSLILLIGWLPAAVSFAFLRTIRILKVIRQANELKIFYKFLYISLGLAGFIMVAIIVDKQVTFTKNQFRGSTAVVVFLLMLPLAVVVKEEYNIWKAKQQAQEVSLSQVNVITEKPSQEPPVPVSTQPQPSPQHVACMQDVFSPPERGEDYTIFQALFSMDMLILFIAITCGVGGTLTAIDNLGQIGIAQGYSKHSISTFVSLVSIWNYLGRVVAGFLSETLLKNYKFPRPLMLTSTLVLSCVGHLLIAFNVPNSLYFASIVIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPIGLYVLNVRVTGHLYDKEALKQLRASGRTRVPGESLECTGDECFKLAFIIISVVTMFGALASVVLVMRTRKFYKSDIYKKFREAAEREDPLPVNDVPPTKS >KZN05802 pep chromosome:ASM162521v1:2:27346849:27348171:-1 gene:DCAR_006639 transcript:KZN05802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELISRISLCFLVLSFLTCSIHANPSGFSLNLIHRDSPLSPYYNSSNTYYQNLRNSICRSMSRTRRVFAPKLATSKSKIQSSLTADPGEYLMKISVGTPPVNFLAIADTGSDVTWIQCKPCTECYEQKAPLFDPKKSSTYKKQHCNSINCHASGLSCDDKQFCTYSYTYGDGSFSAGDMSSDSFTFESTWGKPVVLPKITFGCGHSSGGNFDNVTDGIVGLGNSDLSIINQLSYTINGKFAYCMVPVKVLNASSKIKFGSDAVVSGYGAQKTPFFAKNADTFYHLDLKGVSVGETRFKFETKAHGNRVNSSDGNIIIDSGTTLTFLPSEVYSKVETEFKRKTSLKPVQGDLEFSLCYKNEKGFEKKVPKITFHFSGADWELSAVNSMLEIEEGKICFTIGDAEQIGIQPIFGNMAQMNYAVGYDLKEKSLSFKRTDCIKQ >KZN04382 pep chromosome:ASM162521v1:2:9927105:9937656:1 gene:DCAR_005219 transcript:KZN04382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRVEVRNEYTLGMREVYKDEDDPKALLEGVVVAGLVGVLRQLGDLAEFAADVFHGLQEQVTNTSSRSNQLKIRARKIEAAVSPLEKSILSQRSHLHFAYTTGSPRAVHKASVLVPDSTIMIKFDTGGPGSCLKKFSDPAFFRRESAGFDGAYAENFSRHKKARKRKKKKRTWVPDDDEPQSVNHGTPTSSHSGRLHYASQNFHSPSQTVSTYDAAKQFEIETHLIPSYSRNAEPEEYVFNPSNTSKFDGCEPEEISSRWMMNHSDNFDTFSLDEQTRVVANDVQEQTGAASSCITWDEKIEIVEPTGPQYHFNENVYGHPTNSDPILLEGDASNFETECCSNKSPPKSVCAAHQFDEYESDIDIYMDSRNTMESESETDLECQTKREVEQYSDMNTIAIDENLNRINPEYMESNSANAPTHVPPRISTDGDISDNHHHSFVLESSSVLSKEISTAKPISVTPVCNAQVQSRDTGKSSILDSLSSSELIDSDDMTSSKTETAVRNLSSFDSNELVIQALNSDKVLSNAYGSQDSPSLPSVTGTNFWTNGGLLGLQPSKPPDFSTPGPMNHDSMGPSRAAKSLGYIDKNSSRIFELSNEVLDNSQGKVCFSLEDNPLNSFTSGISERNSVHQTFQTFSDRIFTEQFGTKFSFISPSSSPPLEHMKISFQPIDGFEVSKLKLDFPGGNESLEGGRDVFPSFQLVPEVSLSLLDINSDSDDTFCDSNSHGSDDSITHYSVSYFEEWGTIEYPKCDDSDMSDALCINSIESVSSNLDFGRIAPGVITSNSGLPNLFYEKVMEHSETDLPSFDTLDDSINEELQNDSKAKIELDSGPLKDSTLSPTLPPTECGMNENPDMATENQVPSVKALKHEFDQKLQFSTISHQQKVEPFNHGNMETIGCTKNKKMARSSIYRXHSPSQTVSTYDAAKQFEIETHLIPSYSRNAEPEEYVFNPSNTSKFDGCEPEEISSRWMMNHSDNFDTFSLDEQTRVVANDVQEQTGAASSCITWDEKIEIVEPTGPQYHFNENVYGHPTNSDPILLEGDASNFETECCSNKSPPKSVCAAHQFDEYESDIDIYMDSRNTMESESETDLECQTKREVEQYSDMNTIAIDENLNRINPEYMESNSANAPTHVPPRISTDGDISDNHHHSFVLESSSVLSKEISTAKPISVTPVCNAQVQSRDTGKSSILDSLSSSELIDSDDMTSSKTETAVRNLSSFDSNELVIQALNSDKVLSNAYGSQDSPSLPSVTGTNFWTNGGLLGLQPSKPPDFSTPGPMNHDSMGPSRAAKSLGYIDKNSSRIFELSNEVLDNSQGKVCFSLEDNPLNSFTSGISERNSVHQTFQTFSDRIFTEQFGTKFSFISPSSSPPLEHMKISFQPIDGFEVSKLKLDFPGGNESLEGGRDVFPSFQLVPEVSLSLLDINSDSDDTFCDSNSHGSDDSITHYSVSYFEEWGTIEYPKCDDSDMSDALCINSIESVSSNLDFGRIAPGVITSNSGLPNLFYEKVMEHSETDLPSFDTLDDSINEELQNDSKAKIELDSGPLKDSTLSPTLPPTECGMNENPDMATENQVPSVKALKHEFDQKLQFSTISHQQKVEPFNHGNMETIGCTKNKKHPDGQKLNLQKDSNQSPNSKVTDENEDFLQQIRSKSLSLRRTIIERPNLGPGVPTNVSVTAILEKANAIRQAVGSDDGEDNDSWSE >KZN07071 pep chromosome:ASM162521v1:2:38121691:38122794:1 gene:DCAR_007908 transcript:KZN07071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQNKVLDNQENKLLSSSEFGTQLDTIPIINPTTPSTTTPIINPPSPTTATPTYNPTRPPPATPTYNPMPPATTTPTYNPMPPTTTTPTPSGPTSSGGSWCIASQSASETALQVALDYACGYGGTDCSAIQQGASCYNPNTLRDHASYAFNSYYQKNPVPTSCAFGGAAQLTNKDPSSGSCRFATPRTAQSTSPPANPSPINPSPVTPTPPAPSTPTGSGSMPSPTIFDGSEPTATPNSGVPLSLDLMLFFTATSLLSIATNLF >KZN04043 pep chromosome:ASM162521v1:2:1152099:1155716:-1 gene:DCAR_004880 transcript:KZN04043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATVSIVAGRLSDLLTEEGQLLHGVKAEIEQVVTELMRMKTFLADADSRLDEERICILLQEVRELAYDAEHVVETFLVEASSSPGKIMQWMNTRKFTRKIEGIQRKMSVVFSGFHDCNIKSTSETGEPSDSSNGIRGRLKRFHSFTTVEPEIFVGLEADVDHLVGLLVDESDGCYPLISICGMGGLGKTTLAQKIYNHSTIRRHFAGLAWASISQKWQTKEVLQRILICLVHDKKEEILTWDDDKLVENLLEIQQKKKCLIVLDDIWSTDAWDSIKVAFKADKSLSKLMLTSRNVDVAEYVDPEGLVHKPETLSADESWKLLQLKALPTRGGYIDIARDYKRMEELGREMLRKCAGLPLAIVILGGILVTKPSLVEWEKVYYDSLSSLKRGKGLGENQQNELFYILLWSYNELPPQLKPCFLYLGKFNEDEWIDAETLYQLWIAEGMVLSSDKREGETMMQVAESYMGELVHKSMVQVRVDDSESLLTKFKSCSLHDLMRDLSLSLAKEKHFFEAIDLREENDFHLSMFPYTRQLVNRDWTYRSKQSDSYIVKIPNHQHYRSMLLMQVSDSRSFPPVLGSNTANFRLLRVLALENVKLRIHAQTVSGNRFGTSIGSVIGSLIYLRYLSARNSNLIILPWIRKLVLLQTLKLDGDNVTPYPAKSIAILSKLSHLRHVYLPDSFYNFEKNAKLRLNGLSKLETLENFNTEWCEVKDLPELTSLQRLRVRADDIHCDVEELMKYLATLALSSTSVLRYWVLHFEIIHGRSFNDPNIIRQLFWNDKFNLQELFIRGRLPELDELFEYPQQQLNNTHIDASLICITKLKLWFSYLEKDPMPVLEKIPTLRYLDLELNAYMGKEMTCSAIGFPKLIHLKLSNLSKLEKWRVDEGSMPILSELTISECNKLKELPEGLVFLDSLRKLRVGRMPLEFSLNIRLLNGKQGPDFYKVAHVPYITFDCSNCIDNINGLICHTGLSDPANEECSSIRDDQSRNLQILIR >KZN05973 pep chromosome:ASM162521v1:2:28816247:28819817:1 gene:DCAR_006810 transcript:KZN05973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKLSELKQFIEQCKANPSILSDPSLSFFRDYLERYWSGNFEEAIEHLTQAILLNPTSAIMYATRATVYIKMKKPNAAIRDANAALEINPDSAKGHKSRGMARAMLGQWEDAAKDLHVASNIDYDEEISAVLKKVEPNAHKIEEHRRKYDRLRKEREDRKAERERQRRKAEAQAAYEKAKKQEKASSSRGSGGMPGGMPFPGGMPGGFPGGMPGGFPGGMPGGFPGGMPGGFGGMPGAGGMPGGMPGAGGMPGGMPGAGGMPGAGGMPGNMDYSKILNDPELMAAFKDPEIMAALQDVMKDPANLSKHQSNPKVAPIIAKMMSKFAGPK >KZN05305 pep chromosome:ASM162521v1:2:22406184:22410034:-1 gene:DCAR_006142 transcript:KZN05305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFELKGKFGSAMGSLASVMRFLRSCFMEHVKVSSLLRFKSNEASEKKKVVKATDSDRKDDHDGVLSSDGKVTEKVEKGRQNKRERSRACKCINSCCWLIGCMCTTSWLLLVFLAYIIHASMPAVQLPEFPGARMKREGVVANHPVVLVPGIITGGLELWEGKPCAAPLFRKRLWGGWGSGCTNIFRSPLCWTEHLSLDYETGLDPPGIRVRPVPGLIAADYFAPGYFVWAVLIENLAQIGYEDRNMYMAAYDWRLSFQNTEVRDRSLSRLKAQIEIMYATNGDKKVVVLPHSMGAVYFLHFLKWVEAPAPMGGGGGPGWCAKHIKAVVNIGPTYLGVPKAFSTLLSAEGKDISIIRAMASGLLESELFGLQTVEHVMRVSRTWDSIISLLPKGGEAVWGNLDWSPEEGYECGLEKKRHFQADSRENNSKSSEENSSLQVNHPKKYGRDFMHTSISTNLNASHGKFRTEFDEMSHESFDKIVEKKVYTTTDLVDLLRYVAPKMMRRAEAHYSHGIAENLEDPKYNHHKYWSNPLETKLPDAPDMEIYCLYGVGLPTERSYVYKMSPSSDKRKGIPFRIDNSVDGSDDCLKAGIYFVDGDETVPVLSSGFMCAKGWKGKTRFNPSGSATYIREYRHKPPASLFEGRGLESSGHVDIMGNLAMIEDVLRVAAGATGPEMGGDQIHSDLLKMCDRVNVPL >KZN06350 pep chromosome:ASM162521v1:2:32110561:32113038:1 gene:DCAR_007187 transcript:KZN06350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHVFESELAGVIPVVKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRVDERLSALGNCIACNDHVALTHTDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVVAAGLTVNDWTAFCGSDTTATELSVIESVFKLRESQPSSIVDEMRKSLIDTYV >KZN04983 pep chromosome:ASM162521v1:2:18610734:18615170:1 gene:DCAR_005820 transcript:KZN04983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFDSFRKAVGALKDTTTVQLVKLNSDFKDLDIAIVKATNHVECPPKERHIRKIFWATSAVRPRADVAYCIHALARRLSKTRNWIVAIKALIVIHRTLREGDPTFREELLHYSHRGQILQIYNFKDDSSPLAWDCSAWVRTYAQFLEERLECFRTLKYDIEAERLTKSIPGTSKAHSRTRLLNGEELLEQLPALQQLLHRLVNCQPEGAAYHNYLVQYALALVLKESFKIYCAVNDGIINLVDQFFEMNKYDAVKALDIYKRAAQQAESLAEFYDISKGLHLARNFQFPTLKQPPASFLATMEEYINEAPRNSSESIKRLEYAPEPEQPEEPEPEEEEVEEVEEKEEETQEEPETKEEDQVPPPHEEMGDLLGLNEEINPRAAELEESNALALAIIQPGSEQQSTSNALSEIGKTSGWELALVTTPSNTSNNNQPQNYNLGGGFDKLLLDSLYEDDSARRQIQLQQAGYNTYGYGMPSNSLNPFEQQGDPFAMSNTIAPPTNVQMAMLQQQQMMMQQQQQQNNMMMVPHQSFQNAPPYPQQQQQMQPYMGAPNPFGDPYSFSANNAPSQGHNPLI >KZN07331 pep chromosome:ASM162521v1:2:40082954:40085673:-1 gene:DCAR_008168 transcript:KZN07331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAGFWQLGQSITRRLAQADKKSVARRCYATEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTLNCRANGSLFDVSHMCGLSLKGKDSISFLEKLVVADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVTDDHIYLVVNAGCRDKDLAHIEEHMNAYKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFQILDINGARCFLTRTGDLTSFELHEFFRYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGAEVILKQIADGPSIRRVGLFSPGPPARGHSEIQNEKGEPIGEVTSGGFSPCLKKNVAMGYVKSGNHKAGTKVKIVVRGKAYEGAVTKMPFVATKYYKPS >KZN07450 pep chromosome:ASM162521v1:2:40941885:40945775:-1 gene:DCAR_008287 transcript:KZN07450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIVEDKVQITLKTIGPSPPTRLNLPSSIRVHDLRKLVAESRRLPIENLRLILHGKVLHDSKDGNHMFIKLCDGDSMIAAVKPKPPVRHGRDGLEEDDDDDLKFQLPHSTSAWKRRIFNFLHDKLKLPDFLLLALSSLSLKMWVIIILWFILAPVAHRWDVGPLYILGTGFVIIFINLGKRQHGEMSAYSIFNEDFRELPGTFNADRVDRDIRAGQL >KZN06166 pep chromosome:ASM162521v1:2:30696696:30698839:1 gene:DCAR_007003 transcript:KZN06166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLTGYYGIILVVGLIVLNFVAEGADPLEKECADNFQKLTDCLGYATGKGTTPTDGCCKSVSGIKESKPVCLCYIIQQMHTGNNPQLKSLGIQESRLLQLPSACKLANASSSDCPKLLKLPANSPDAAIFADPSPSTATPAASNETSSTTVAKPSDSKSAGIRLQIAAPHLIAGMLVSIFTTVVFFSYA >KZN05409 pep chromosome:ASM162521v1:2:23570890:23571267:-1 gene:DCAR_006246 transcript:KZN05409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKATSIVAFLVIVSVLVSIAVGRDIPKDSKDVDKKKPETFTQEGSLPIQGAGRFMVPTKKYSFKGINPFTYNPVTGKNTGNGISIPTLPSTGLPGYFPGADDTFIPNPGFEVPTGFPAPPIRH >KZN06161 pep chromosome:ASM162521v1:2:30670174:30670383:-1 gene:DCAR_006998 transcript:KZN06161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGQVLVAVVLFVLLSPGLLFQLPGSHDCVEFCNFRTSGVAIMVHALIYFALACLFMIAIKLHIYLD >KZN06517 pep chromosome:ASM162521v1:2:33609982:33615936:1 gene:DCAR_007354 transcript:KZN06517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMYGHEGDGSAPPSYGGGGYGGGGGYGGSRDAGGYGGGNRGGYDGSGGGGGYGGGGGRDGGGGGYGNRGGGGGRGGGGGGYGGNSQSRGGGYQGGSGGRGGGRGGGGSGRDGDWRCPNASCGNMNFARRDKCNKCGAPSPAGPGDSHGSDGGNYSRGGGGGGRYGDSRGKGDNYDSGRGSASYGGRDGGGYNQSAPSYGGSGNNYPPPSNSYNANPIYDADNAVPPPTSYTGGPTSYPPSYGASTGYGGDANSDSRGGGRGGPPGGQRNDGGLYGAPPVTEEKVKQCDDKCDDTCDNARIYISNLPPDVTVDELQNLFGGIGQVARIKQKRGYKDQWPWNIKIYTDEKGKSKGDGCVVYEDPSAAHSAGGFFNNYEMRGYKITVGMAEKSAPRPAPAHGQGGGRGGGGGRGGYGGGGRRDNYGGPDRNNRGGNRSNPY >KZN05925 pep chromosome:ASM162521v1:2:28397560:28401044:-1 gene:DCAR_006762 transcript:KZN05925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRASTAVYKAVKKLPFASSVKPRRAILPRSISRKLFKRSFWKKSDRKEIQRWNTFHTVVDTKSEPPIYSPVQTNTVITNSKKVKSYSSSTVSWTESEFNSSSSCSSGNSGTVNSSENDGVKNSEQGVNIGKRVDVIVGEAMTTSDANCAVDSPKKPWPSEENKEQFSPVSVLDCPFDNDDAAEEEDEVSSAFKHKRAPPVEGSKHKLKKTPRRLESLSKLEPVALEKRIALADCENESVGSPLLSIHENLVSDNDEDYTNSGKKSEELLEQMKARTPSMSLRLNSDDNLFLDFFIEATCEDNNVSDCELLDMAKDWMNGGPQEVLLGWEVQKNREVYIREMEKGGMWGKLDQDRQEVALELEAEIFTSLVNDLIVSF >KZN05882 pep chromosome:ASM162521v1:2:28048248:28051627:-1 gene:DCAR_006719 transcript:KZN05882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGSKPTGCGMGIRRKNKPTRKRLVVRKTSSLSHKLNQVDSSVSIDRSYCNPTYQVNSDSWFDPVLVVESDEDDDFYSIQDDMSLNGSGSTVVTPRCSDYVNHNGTGPTFVTSDPSVHPSTVLEDNSEDNQNEGKVMVDNIATYVDESAGGEDMGMLHNCGLLPNNCLPCLAGTASSSDEKRKSLSSSPKKKAALRLSFKWRDGPAAPTILSPRPPLHRPIAGSQVPYCPIEKRLSDSWSPIEPSTFKVRGQNYLRDKKKEAAPNHAAFSPFGVDVFVSPRKIDHIARFVELPVIESSGKVPSILVVNLQIPLYPVTIFQNDYDGEGMSYVLYFKLSESYLKEMPLHFQESIRRLIDDEVEKVKGFALDTVXYMERLKILGRIANMEDINLSAAEKKLMNAYNEKPVLSRPQHKFFSGENYFEIDLDMHRFSYISRKGFEAFQERLKFCILDFCLTIQGNKPEELPECILCCVRLKEIDYTNFHQLAL >KZN04810 pep chromosome:ASM162521v1:2:16436139:16436603:1 gene:DCAR_005647 transcript:KZN04810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLREGTEIVPEIQILMRWLLHSLLNLLLPRIILYVSCATRVFLGNRTCNSTEEGTIYPGSSRKRQIRRCRRRCTSAPKSVVRAHHDPARALRDLTGIKKHFSRKHGEKKFSCTRCPRGMLCCLT >KZN05560 pep chromosome:ASM162521v1:2:25060457:25063846:1 gene:DCAR_006397 transcript:KZN05560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVFWVSFVCYMLALLTSSHGGEVFVEWHVEINNTIRPLTLDQPVITVNGLFPGPLLNVTTNDDVHVNVFNDMDEPLLMTWNGIQQRLNSWQDGVSGTNCQIQPKTNWTYLFQVKDQIGTFSYFPSTAFHKAGGGYGPIRVNNRIVIDVPFPKPEAQFDLLIGDWYQRSFKDIRSELKDPSMAYDTPPDRILINGKGPYGDLLVKNHESFNVTKGKTYLLRISNVGIVWSINFRIQNHQMVLVETEGSYTNQITLDSLDIHVGQSYSVLVTADQDTADYYVVATPKLVGFSDALEAIAVLHYDNSTRDPSGPIPSGPDPFDMEFSLNQAKSIRWNLTAGASRPNPQGTFNVTNVTLSQTFRINANIAEIDGAPRYTVNNVSYLVPSTPLKLADFVNNGSGVFELDKYPIYGDNPSAVNGTFVQTGNHKGWVEIVFTNELQVLDSWHLDGFGFYVVGFGDGKWNPGIRSAYNLYDPVVRSTVQEVVCNGKIEPPPPPPPSPPPSPLPPPSPPLPPSPPASPEPVTVPPPGAPSSSAAGSHITLFNILLLCTLLVL >KZN04731 pep chromosome:ASM162521v1:2:15184558:15186364:-1 gene:DCAR_005568 transcript:KZN04731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSSTFLQASHPTLHHKPYTSPTSSIRCCSCDQTSHVHVDKNNVVENSSTIRPPRPRRIILVRHGQSEGNVDEGAYTRVADPNIALTEKGLKEAAECGHVIKEMIKKDGADDWKVYFYVSPYRRARETLRNVGKAFGRSRIVGLREEPRLREQDFGNFQDREQMKIQKAARHLYGRFFYRFPNGESAADVYDRITGFRETLKSDIDVGRFQPPGEQSPNMNLVIVSHGLTLRVFLMRWYKWTVKQFEGLNNMNNGSVMVMERGHGGRYSLLMHHTKEQLREFGLTEEMLTDQEWQKIAKPGELNYDSLTTGPSFFTHFEEEENVSQSVNVKADG >KZN06935 pep chromosome:ASM162521v1:2:36964503:36971673:-1 gene:DCAR_007772 transcript:KZN06935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKSLVLSTCPSVISSYSVSPVLRNGVAKYLPNLNSRPGKRLVSIKATSVNPGNTQPPSEQKNPLAVVLEIPKTIWRQTLRPLSDYGFGRRSIWEGGVGLFLVSCTVLFVLSLAWLRGFQLRSKFRKYLAVFEFAQACGICNGTPVRIRGVTVGNVIRVNPSLKSIEAIVEVEDEKVIIPRNSLVEVNQSGLLMETMIDITPRDPIPTPTVGPLHIDCVKEGLIICDRQKIKGIQGVSLDALVGIFTRLGREAEEIGVANTYSLAVRAAAVIEEARPLLAQIKAMAKDVQPLLSEVRESGLLKEVESLTKSLTQATLDLRNVHSSIMTPENTELIQKSIYTLIFTLKNIESISSDIVGFTGDEATRRNLKLLINYYARKFDTRYQPASVMASSQVEISSRAPFRHVLGHPGSREDLWEGDCARHTKSPKVSDRWARAREIVFETETSPTTPDINSSENRKIRAVSSLVQKWRDFEAESKNSNANNNNISPTLSSRSNASSVFSDSSTFTDVMHVSNSDVSTEYETNRVADIIKRLTSVNGEECNDRDQNVCKLMKIRTTSPISPQKKNAGKVKSPRIRGRQASNEVFMQMERDRYQELQRLGDCKAVSSFAHRKQFQKLLRVRFLRQGSDAKDYSKSNLTVSKIKEPSEGSGIMHLRDKFNTESTGAESSPRKGHRVRKSADINPTKEVIDHTTANAKTLTISTKHEGKTHPQDIAQSGSSPRTNAVANIQEADTCNEDFTPSHQNTSQVQESDKEVGPGLGVTWKPTSYNIKTFDLQELADKAAVVSLKRFKGLDAEPSLESLHDWIKESGPEWTSEFPQNQSEWEDIEALNYEQAESYQDWVFDVSRPRSDWEDLRQERYQEMLDPFLKNKDIKKLLERRSVSSFLTSEMRDKIDQIMISRTEAKPKLIVNRVEHKLEDEKKGYEHPIVTKKIFEEENRSPVAPGEAAECFEQTPSSICSASPSVQYRSWTRNPIHSPTDESFSSPSLDQSPTPNFYTRDTKPSLEMELIYGLKDHMEQIHQELSDLRKALSVCISMQQAQPQNLMQKGLSDSYIHSVAVGKGNESIGKTPGKGSCCLCFKSEVDSLLYRPGLKEVYPEDRVIGTNASRIRPVCVQAVLRGACTVKKYNSIWNTGMGRKGAGVLRPQQ >KZN07049 pep chromosome:ASM162521v1:2:37943315:37944071:1 gene:DCAR_007886 transcript:KZN07049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFQGVGAATAISSSACFDSKKLIFSAATPRFLTEKKGSFFMVRSDGRLNHNNGISSRAEKIVTNAVAAKADAPAASATSKSGLIEYCHVISWVCLCMPVNISVGFCMLVDIVTALECSAHS >KZN06056 pep chromosome:ASM162521v1:2:29683881:29687412:1 gene:DCAR_006893 transcript:KZN06056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLFINVLQIYPLVLMFFASSIPVKGELQKEFYIVFLEDHPVDKEFSLQQSHINVLTSLKGCEDDARESLLYSYTKSFNAFAAKLYEHEANRLSGMDGVLSVFQNKYHQLHTTKSWDFIGLAQNTKRRLKMERDIIVGIFDTGITPEAESFSDRGLGPPPAKWKGSCMKSANFSGCNNKLIGAQYFKLDKRTPDPQDILSPIDVDGHGTHTSSTVAGALVPNATLSGLAKGTARGAVPSARIAMYKVCWRNFGCSDMDILAAFEAAINDGVDVVSISIGGFSGSYDTDSIALGSFHAMRKGIITVASAGNDGPSLGSVTNHAPWIFTVAASGINRQFKSKVVLGNGKTILGVGVNLFEPKQKFYPLAIGADAAKNAASRESAGFCMEDSMDKNKVKGKVVHCKLSSWGIDSVVKGLGGIGTIIQSEMTPTGVIYRSEETTIPAPFIASFSSRGPNHGAKHLLKPDIAAPGLDILAAYTPLKSLTGLKGDNQHSKFTLMSGTSMACPHVGGAAAYVKSFHPDWSPAAIKSAIMTTAKPMSSRVNHEAEFAYGTGQLNPRRATSPGLVYDMDEMDYIQFLCHEGYPGSSFGPLIGTKSINCSNLIPASGEDAINYPTMQLALKNQHEQRTAVFRRTVTNVGPAMAIFNATILAPQGVEISVSPTSLSFTRVSQKKSFKVVVKTKTMPSAKILVSGSLIWKSPRHVVRSPIVVYNVQEN >KZN04163 pep chromosome:ASM162521v1:2:2847196:2853053:1 gene:DCAR_005000 transcript:KZN04163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADKSLSVLEAISKETVDLENIPIEEVLEKLKCTTAGLTSDEVQERLEVFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAISMAHGGDKGVDFHDFVGIVALLIVNSTISFMEENNAGNAAAALMARLAPKAKILRDGKWSEQDASVLVPGDIISIKLGDIIPADARLLQGDPLKIDQARSSLTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGRAAHLVENTTHVGHFQQVLTAIGNFCICSIAIGMVIEIIVVASQHRKYRQAVDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDKDTVVLMAARASRIENQDAIDTAIVSMLGDPKEARAGITEVHFLPFNPTDKRTALTYIDGAGNMHRVSKGAPEQILSLAWNKSEIEKRVHTMIDKFAERGLRSLAVARQQVPENTKDSAGGPWEFVGLMPLFDPPRHDSAETIRRALELGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGEHKDSSLATLSVEELIEKADGFAGVFPEHKYEIVKILQGRKHICGMTGDGVNDAPALKIADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLSVFWRFNFPPLMVLLIAILNDGTIMTISKDRVKPSPLPDSWKLTEIFATGVVIGTYLALTTVIFYYGMAETSFFAKHFHLDDFNKHNYDAYLKTLGKEESKKQTKFINGRLSSAVYLQVSTISQALIFVTRSRGWSFTERPGSLLVCAFIVAQLIATVISAVVTWDVAEIVKIGWKWTAVIWIYNILTYLLLDPIKFGVRYALSGRAWGLILEQKTAFTTQKDFGREAREAKWATEQRTLHGLQSSETKMFADNYNIRDINIMAEEAKRRAELARLRELHTLKGKVESFAKLRGLDIDVNPHYTV >KZN05000 pep chromosome:ASM162521v1:2:18848012:18854837:-1 gene:DCAR_005837 transcript:KZN05000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSNPASVDNIALFVALICACIVIGHLLVENKWTNESTTPLLFGLCSGIVILLTSGGTNSRILEFDEQLFFIYLLPPIIFNAGFQVKKKQFFQNFMTIMLFGAIGTAMSFSIISFGAMHIIDMLNIGSLEIKDFLGMLFSSSVFIVIDLPTILNQEEAPLLYSLVFGEGVVNDASSVVLFHAIQKYDLSNINIKTAFQFGGNFLILFTTSTLLGISVGLLSAYIMKKLHLGRHSPDREVALMIVMAYLSYMTAELFDLSGILTVFFCGIVMSHYTWHSMTDGSKVTTRHAFATFSFICEICIFLYVGMDALDMEKWKFVSSSEYYTPHGPYYISCYLLMYGSDLRFTRFGHTQQPANAIILTSTITVVLFSTMVFGLMTKPLVRLLMPPSILSGDVLSSEPSSPNYSTVPLLRSSQDPESETGDQGIQRPASLSRLLSTTTHTIHRYWRKYDDRYMRPLFGGRGFVLPVPAPPTDNGLH >KZN06230 pep chromosome:ASM162521v1:2:31164480:31168439:-1 gene:DCAR_007067 transcript:KZN06230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSNYRNAVVTQAVLATDSPFELAGKFNLEGNTELQVNVRAPSPGCLPQIELQVTNSSDNLVFHWGGWVLPSRHPDGTEVYNNQALRTPFIKSGSTSFLRLEIDDPVIQAIEFLIFDESRNKWFKHKGNNFHIKLPSPNVSISEELVQIQAYLRWERNGKQIYTPEQEKARKIPIPFLVMLYEQKEFEEARKEILSELEKGNSVDNIRNKITKGKIETKVSKQPEKKKMFTAERIKRKKRDLMQLLNKFTPVPAMETILARPPSLSEMALYAKAKEEQSGGTVLRKNVYKLADKELLVLVTKPSGKTVIDIATDFEDPLTLHWALSENPGEWLAPPSTMLPSGSILLDNAVETQFSSDSSNDKAYKIQSIQIETEENFAGMPFVLLSGENWIKNNGSDFYIDLSSVHKQIQKDASDGKGTAKFLLDKIAEMESEAQKSFMHR >KZN07452 pep chromosome:ASM162521v1:2:40947843:40948229:-1 gene:DCAR_008289 transcript:KZN07452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKISAEGDMYSYGILLLEMFSGQTPTGSNILMDHADNLHHYVKKALPHRVMDIVDPQIILQSEDHCSLKHKSLSRSHILSRMEGCLASVFEVGVLCSVEMPKERIGISVAIEQLREAKDKLLLQGL >KZN06374 pep chromosome:ASM162521v1:2:32317403:32320010:1 gene:DCAR_007211 transcript:KZN06374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFEMNNGDEELRDYSSSEMPLSLRFSRGGSKESIDADNKIAICREGLLESSSCSAASNVDSLCPIVSDQLITSQKFGFCGIDVLSNMGSFNASGMGGTVDMDWTPPIPVLGGNISLGSSSSMLTLPGSSSHIPTDLGFIERGAMFPCFSGGNFGEMLNSVTIPGFMSQYTREVAPMHGLRVINSDVRLNSISNLEVQENESNVLEGAKEVLISFEHGPGGKDPDSGKKTESFVRPHDEANEGPCESGSESVDAEYSTGANQAEPSQLDGTGQGFLAKGHGSKKRRKIAQGDQNPASAATKPSGKHGKLVPQPSESLKQEYVHVRARRGQATNSHSLAERVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMKLASVNTQLDIDIERTAGKDILQTAGPSSGLGFYTDMSMHVSQPGTIQAGLLGMGTFPNALNRTISSHMAISGGNTDSTSQVPDVW >KZN06017 pep chromosome:ASM162521v1:2:29172850:29174297:-1 gene:DCAR_006854 transcript:KZN06017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARASATSLPHIFLLSLLLFSSSFSSASSPWKSKKQHKPCKHLLLFFHDIIYNGKNAANATSAIVAAPQGANLTILADKFHFGNIAVFDDPITLDNNLHSPPIGRAQGMYMYDTKNTYTAWLGFSFVLNTTDYQGTINFIGADPLMNKTRDISIVGGTGDFFMHRGVATVMTDSFEGEVYFRLSVDIKFYECWSLRSASLSDPLAYISKALSSQHQAKPEYKNDLRRALSTLAVKCSRRIYHE >KZN07177 pep chromosome:ASM162521v1:2:38853814:38854479:1 gene:DCAR_008014 transcript:KZN07177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEKVAESSKAVEAGASAVVSAKAVEAGGSREEVRYIGVRRRLSGKYVAEIKHPLKNANVWLGTFETAEQAAMAYDEAARELRGNKTRTNFPLPDAFYQRRNVGQTKLSKILIPKSTGKSADQERFSAAVRPTLATVTGGAGSWIGYGSSYGLSPMYPYHRLPYVPMQWGFPPMLNRSPEFRTNLLPAQSDSDSSSVIAGNPTRKGLDIDLNLPPPSED >KZN05446 pep chromosome:ASM162521v1:2:23940542:23944417:1 gene:DCAR_006283 transcript:KZN05446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCILIKPTSHFHLIIRLEQEQTNAAAAISKHLPDKDIDIIVTYSDISGDVRIKSVKSKDLSASWVWKNPIDDHTQNSKPFAKPLQFDTRFEDSDDHYTNESLTGDEIQHPVGPPVHPLKIKRQKLRLERRKRRTLELIEQGKEIENEMNAAAAERAKTLDTTVMGRYSIWRIEYENPNSDSTLKLMRDQIIMAKAYAKIAKAKNYDDLYDSLLEHSRKSQYAIGDAYSDAELQPCALDRAKEMGHILSLAKDQLYDCIEVARTLRAMLQMKETNVLEMKKKSAFLTQLAAKTVPRPLHCLPLLLATDYLLQGYENKEFPNSENLEDNSLFHYAIFSDNVLATSIVINSTVLNAKEPEKHVFHVVTDKLNFVSMRMWFLVNPPGGATIEVKNVDAFTWLNSSYCPVLRQLESARMRDYYFHAHQASSTTSGADNLKYRNPKYLSMLNHLRFYLPEVYPKLEKILFLDDDIVVQKDLTPLWSVDLKGMVNGAIETCKESFHRLDKYLNFSNPNIYENFDPNACGWAFGMNMFDLKEWRLRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTYPLDRTWHVLGLGYDPALNQTAIQDAGVVHYNGNYKPWLDLAIDKYRSYWSKYVMFDNPYLKLCNIG >KZN05883 pep chromosome:ASM162521v1:2:28055907:28062550:-1 gene:DCAR_006720 transcript:KZN05883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLLLQPQPSTQRRRILSLYRHCSSSSTTSGEAPVSSFVEHLEDDNYDVSKHSSPQSSATLSIDRSGLYNPPGLSLSLSPPLPLPPPLSSTFLHIYVYVNNIYSGYVYIAEHSHEPSSDSELVKHLKGIIKFRGGPISIAEYMEEVLTNPKAGFYMNRDVFGEGGDFITSPDVSQMFGEMVGVWAMCLWEQMGRPSKVNLIELGPGRGTLMADLLRGSSKMKEFTKSLNIHMVECSPALQKLQYKKLECIDEDGDDKNVDNHVVSALTGSPISWHTMLEQVPTGVPTIIIAHEFYDALPVHQFQKASRGWCEKMVDVAEDDTFRIVLSPQPTPATLYLLQRCKWAETEDISKLNQIEVCPKAMDLTQNIAKRISSDGGGALIIDYGLNGIVSDSLQAIRKHKFVNILDKPGSADLSAYVDFSAIKHSAEEAADDVSVHGPITQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGEGEAPFWEGPDDQAPIGMGTRYMAMSIVNKKQGTPAPFQIGLYIYKLFIIQSRRMKLKGGPNKACAACKFQTRRYHSDCSLSPFFPADQPKIFNDVHRLFGVSKVIKILKKMRDHDQKVDAMKSIIFESYIREKYPVHGCFGIISQLDQELHQATEELHYVRAQLSMLKENNSQSSQIMNSYDVASENNDNGAFYSDQSGDQTKSFMNLNSYYSDGMSDDNKLLEIQTQFGDSNTFALNHSQVGEASDQDYDIMNLYAIDDQSSKEACESSSESSCKDGKQQVELVPKNELKSAAAYFSLMSINSDQVTHPVVQ >KZN06259 pep chromosome:ASM162521v1:2:31460391:31466103:1 gene:DCAR_007096 transcript:KZN06259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETGSNKNHVKRESWRTVLTLAYQSLGIVYGDLSTSPLYVFNSTFAEDINHSETNEEIYGVLSFVFWTLTLVPLVKYVFIVLKADDNGEGGTFALYSLLCRHARVSSLPNNQLADEELSSYKTEVGANPDPDRIGSRLKSTLEKHRVLQRILLILALIGACMVIGDGILTPAISVFSAVSGVEQLAMHKEHHKCSEAMFADLGHFSQLSIKIAFTTFVYPSLILAYMGQAAYLSQHHMTAHDNDVGFYVSVPEKIRLPVLVIAILAAVVGSQAIITGTFSIINQCSSLGCFPRVKVVHTSTSIHGQIYIPEINWILMILCLAVTVGFRDTRRLGNASGLAVITVMLVTTCLMSVVMVLCWHQSIFYAICFLFFFGTIEALYFTASLVKFLEGAWVPIALALIFMIVMYVWHFGTIQKFEFDLQNKVSVDWLLSLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVEQEERFLLGHVGPREYRIYRCIVRYGYRDVHKDDMEFENDLICSIAEFIRTGKSDTNRDIHSGNNNEKMMVVGTPSTSAQSINMWEDKSEDSEMAGTSEQDIQSPEVKKPKKRVRFVVPESPKIDRVAQDELQELMEARESGVAYILGHSYMKAKPASGFMKKLAINYGYEFLRRNSRASTNALNVPHASTLEEKITRHPTETPAQTGTFHTVDEQG >KZN07070 pep chromosome:ASM162521v1:2:38112882:38119802:-1 gene:DCAR_007907 transcript:KZN07070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHRGSNNGGGAGVQSIPPAARKIVQSLKEINKDSAESRSRSGSNTSNRGARSGTDRYVGRGGSTQFSSSDSGPPHGKVAYRKENGTNPYTSSSSTAPGGSAHRTFQHPPAPSDFVADEKKASTLSRADGNLSASQPSTGYQPAWVGAHGQKSMADIVKMGKPQSKVSSTPTSSHYSGDQGSKVPDLYVEPGAAPDEWPLIEPTQASLTLGLESHTDSQPHPDQSNMLSNKINLQIHSEPDEVETEEDSSVENPDYVGSATMSSGNLQVDNPGDATLVDNMYEKIDSYQSNHHAFQSEEVEDAKTSVAAETANMHQLSIHEEDHEAAYEEDTPSVVIPDHLQVQSADCSHLSFGSFGSALTGYSDPFASRSSRGNIEETHLETDAPATGHSDTRNSEYYGDESIRAAADANLVHRTSGDAAGYDSPSAPQEELLKQEHSEVAHGNQYAFPSSSTGYTFESAQQLNGMNASYNYSQTSAQMQNLPFSSSMASLPNTLLAANVHPARESELPYSPFPMSQSVPNKYGNTVSSISGSSISVAEALKTGFQSVQSTQQTPSGTSVATGPALPQHLVHPYSQPTLPLGPFANMISYPFLPQSYTYMPSGFQQAFAGNNTYHQSLAAVLPQYKSSASVSNLPQSAAVPSGYGAFGSSTAIPANYAMNPTAASPAGSTMGYDDILSSQYKDSNHLISLQQNDNPGMWLHGPGSRTMSAVPASTYYSFQGQNQQPGGYRQGQQPSQNYGNLGYPNFYHSQTGVPLEHQPQNPRDGALSGSQGQPKQSQQIWQNGY >KZN06356 pep chromosome:ASM162521v1:2:32148084:32150740:1 gene:DCAR_007193 transcript:KZN06356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVEKKEGAIACMLLALFFLGTWPALLTLLERRGRLPQHTYLDYTITNLLAAVIIAFTFGEIGKGTDEKPNFLIQLSQDNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITSSITVVIGTTMNYFLDDKINKAEILFPGVGCFLVAVCLGSCVHASNASDDKAKLRHFANSKSDASRSSLPVSHETYEDSVGKNLQGEITTSDKTKVGSASFLIELENRRSIKVFGKSTIIGLGITFFAGVCFSLFSPAFNLATNDQWDTLKDGVPHLSVYTAFFWFSVSCFVVAIILNISFLYRPVLNLPRSSFKAYLTDWDGRGWALLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRRTYILLGGMLFMFIVAVAVLMASSGHRK >KZN05360 pep chromosome:ASM162521v1:2:23046011:23046217:1 gene:DCAR_006197 transcript:KZN05360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLQLAASVNLMKLMKKQMQEKMGFYNNALQSFMTKDQDEANLLLQTNKKTVVAIEGKRSRTSAAST >KZN04322 pep chromosome:ASM162521v1:2:7843666:7844094:-1 gene:DCAR_005159 transcript:KZN04322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAPLRRAAVQGFNDIRLETDNYEAYMTIKHIREAVAVSVFDITDQLDTLILDESRKCVGAYVNQSRCRVARFLAKFDMETCKKLYTFDRPMSGVEELLDWDQGIRLQHPDFMDILVEDSFICSFGSKGSLWVLERPLGEMD >KZN06937 pep chromosome:ASM162521v1:2:36974699:36975739:-1 gene:DCAR_007774 transcript:KZN06937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRWFSKLRTNPIINIHTATYGTISKDLRDLKLNTVVNLFESFGFSQAHVDRILLVKPTRLLSPRLLKPKLQFLQSIFNQSDSDVVKIVNKTPHVLKRSLKNHLVPIFDLLKSITGSHQNAAASIMSNPFVLTYSISTDLLRNIELLQRIGVPEDQVLKFITGYGQVSGKKHDKFCKVVEKVRDMGFDVSSYSFRRAVNTMCLISDETWEAKCKVYRSFGFSDDEIVLMFKKLPAVVAYSEKRIRQVVGFYVEKLGWPQSRLAVMPYVTAFSLEKRIVPRCSVLQALESRKSITSKLGLYQILVMADSAFLEKYVIAHIVEVPDVMDAYTGKLRFDEYNFRCRF >KZN06219 pep chromosome:ASM162521v1:2:31081604:31089776:-1 gene:DCAR_007056 transcript:KZN06219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQQGCMRPPPPPPPPEQQSWYSGQFQYLSHSPSPNQQWAPPPPSHPPPPYPAQHHYYPPPRLNQEWHNTGWNQNQGVQYPVHNNGEDWAAKAREWAAAQSSSNNQFPEAQYIPVGSLEENQYSMAKPQNSDGQHFPVPASSCQQNQPSTAPPYQSSEASFSSGYVLDGHMPFNGRNGSLVGESTAAFLYQESSPSNSSVHQQEVPSSYSSVAGKEEFAEQNKQSNQSPWSFNSNAQQQAQPTAVHRTISMGQTHYAFSHQSDDRASDPSDQPLDFAPGFIRDRDLVQSACSQNSGVYVGGTDSPAMGSIHSLKATAAPGVAYPPLHAPGPQLDPSLAISSPVPGHSGPLFGRITGQNLQPVGPSVSVPFSGSAAFPGNRFGASGVSDRPKKPSVPNWLREELIKNKAVIPTSAPEFSVDAKSVQEEVINKSFLKGNSESKTIDSSRSAEDDDDDDEEDDAEIARTSAINHEIKRVLTEVLLKVTDELFDEIATKVLSEEGSSIPRKVNYNASTSAPEVPISKASTKVLITAKPSENDLDDTRKSTSSVPGDLLGLGSYASEDDEDDDVENIHVPNSRRDTIHRPSAIKIRSDNVSGDGNDLSVANTKDTQENNSVFKSHSGRVYPNGNSDNFKPDDEPSNHGSGKESMHVSVGSKNSSKTNISADSHDLKNGAEVVYKTDISMLKDNIEKAERKTEPLVESVGAKGTSDDTKVEEAKNKPVKNDKYGRKGRSFGKDIVKEIRDDRGYVGGYDSDRRQEERKVKKERIDDRDGFKDKLKVHDRKYAEKSVDTDSKRRSSHRDKKETKETEKDRRTKEGYERMKDGTKDEKGERSRDKNSSRHKRRRSSSVGSRDRDRKNHAMDSHTKDSSDDESKPDSRRLLENFGLVTVVLFLLQKKEIKIQVTCSSEKMIEKGNMSQYEVQSVDPGGAWYYDPLY >KZN07528 pep chromosome:ASM162521v1:2:41598456:41599926:1 gene:DCAR_008365 transcript:KZN07528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLFMFDGSIYSDPFSPVNDPFASIDDILQEDFTANKSYQIDEIDQIASTIVSSSPPSHRMGSLSLCQAPLAIEYPNFSVKAEDSQLPFYSTTCGYNNISYSSSFPTYGTDEPVKMMQRSFSSNSFDRKSGFMFQSFDSFAESSSIAATTAQVQALSSPECNSSSNSQMRRFCSTGDLQSLKTSQMRARLSCSPLATESTFMEDTYSKVGRYSAEERKERIHRYRAKRTQRNFNKTIKYACRKTLADNRPRIRGRFARNDEPIVIPKTANYNPYEDEDDLLIGGFFEEENEGPLGRQALLSSYGIQYYTPASN >KZN07715 pep chromosome:ASM162521v1:2:42992895:42996464:1 gene:DCAR_008552 transcript:KZN07715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLTLSQAILSRSIPRHNPTCSSPQQQNPSSSLSSLPIFSLKSAPRRRNYRPAVVRAAAVETLEKTEIELVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCIVGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDTRFEGLGWHIIWVKNGNTGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGAALGASEVDATRKNLGWPYEPFHVPEDVKKHWSRHTPDGAALEAEWNTKFAEYEKKYAEDAAELKSIITGVQPAGWEKSLPTYTPEVPADATRNLSQACLNALAPAVPGLIGGSADLASSNMTLLKMFGDFQKGTPEERNVRFGVREHGMGAICNGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNMLMFRPADGNETAGAYKVAVINRKRPSILALSRQKLPNLPGTSIEGVEKGGYTISDNSTGNKPDVILIGTGSELEIVAKAADELRKEGKAVRAVSFVSWELFDEQSAEYKESVLPAAVTARVSIEAASTFGWAKIVGSAGKAIGIDGFGASAPAGKIYKEYGITVEAVVAAAKELC >KZN05377 pep chromosome:ASM162521v1:2:23208116:23210014:1 gene:DCAR_006214 transcript:KZN05377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIRKAIGAVKDQTSIGIAKVASNDAPELEVAIVKATSHDDDPPDEKYIRRILQLTTYSRGYVSVCVSKLSKRLGKTRDWIVALKSLIVVHRLLSEGDLVFQQEIMFTTRKGTRLLNMSDFRDEVHSNSWDHSAFVRTFALYLDQKLEMIVYERKLTGNSGCGEIQKWRSPQNNGAYEYGNYESRGENGYGGQGMRRSRSFGDVRESEAEKKDQTPLREMKPERIYGKMGHLQRLLDRFLSCRPTGLAKNNRMVLVALYALVKESFKLYADICEVLAVLLDRFFEMEYHDCVKAFDAYTSAAKQIDELVSFYNWSKDMGLARSSEYPEVQLITGKLLETLEEFVRDRAKAMKSPERKVEPTPVVQEEEPVPDMNEIKALPAPETYTAPPPPPEAPQPPKQQETGDLVDLREEATTADDQGNKFALALFAGPAANTKNTNGNWEAFPSNGAPEVTSAWQNPAAESGKADWELALVETASHLSNQKAAMGGGLDPLLLNGMYDQGLVRQHVSSAQFSGGSASSVALPGKSATPVLALPAPDGTVQTVGGDPFAASLSIPPPSYVQMAEIEKKQQMLVQEQMVWQQYAREGMQGQTSLSRINGGGYYTPAMPYGMPPANGMGYPPPGYYYNQ >KZN05612 pep chromosome:ASM162521v1:2:25615414:25619206:-1 gene:DCAR_006449 transcript:KZN05612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLITNFESDKSAAEYASLYKEDGLRGGHVIMLGADPLSNSAAMEALHAYPGGLQVGGGINSSNAMNYIDEGASHVIITSYVFNNGQMELGRLEELVHLVGKERLVLDLSCRKKDGKYAVVTDRWQKFSDVYVNEEVLNFLSTYADEFLVHGVDVEGKKLGIDEELVALLGKHSPIPVTYAGGVTVMDDLERIKIAGMGNVDVTVGSALDIFGGNLHYKDVVSWHDEQAAVAA >KZN04820 pep chromosome:ASM162521v1:2:16787234:16789521:1 gene:DCAR_005657 transcript:KZN04820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRRGHWDPRSETGAPFAGFDGPRGRQIPVPGVFGAHDPFDHPFFTDPFGLPGRGPLMNTPLPGVHMGRSPVMPAPMPHFPIDESPFNHAHLPNFHFGGHPFMNTGPTNFPIGNNPFSSSPLPFGFGTEISAMNVQAPEFIDVKSSQMNRSKGPIIEELNSDDEKEMDDQEEKNGSKGPIIEELSSDDEKEIDDLMEKNDNPRKHDRRRKEAYAEDQDYQTDGMNKRQMLFCNKSNSVNNAQLSGSHSSQSFTFHSSTVMHGGSNGTYYTKSRTLRRGTDGLMIDESKEADFTTGRAAHRLSRAINDKGHTVARNLQSDGNVDTMQILHNLEKDDLATFEQTWNQSASKSSPGWSEGLYMQNGSGSSREGVLQRRAIQALPSTENPHILGGTQPGVSSRPGYSEFHNPERMDVNNGGGGNSFGGRF >KZN07834 pep chromosome:ASM162521v1:2:43904099:43906231:-1 gene:DCAR_008671 transcript:KZN07834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGQELHTTLEWRVHAPDGTVEILVQEELGPRWRLFRWMHGLVAEFIIKLSTFMLKAWNIGVNDPKKFIHCLKVGTALSLVSLFYYMRTLYEGVGGNAMWAVMTVVVVFEYSIGATLYKCVNRAAGTLVAGVLGFGVHWMAIQCGEEIEPIVLEISVFLLAAAATFSRFIPTVKARFDYGAMVFILTFCLVSISGYRVDQLFEMAHHRLLTVTLGASICIITSMLVCPIWAGQELHALITRNLEKLSESLDACFIDFFADRGVKNVSHGYFSKMNQAYKCVLNSKTSEESMANFARWEPAHGDFKFRHPWKQYLKVGAAIRSCAYCIETLNGCINSDIRAPETLKKHLKDMCVSLSSYSSNVIKELAAMMKTMTKSSSIHISIGQMNIAVYELQDALKNVPSQVVVQILQASNNSINKETTNTRPLLEILPLATIASLLIELASRVEVIVKEVNQLADQADFALNSNSGSKETHSIT >KZN04071 pep chromosome:ASM162521v1:2:1397926:1402033:1 gene:DCAR_004908 transcript:KZN04071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLDSTAHQRIKRKLDDYDYDFDDDDDDHSPDLIAVRMRKDDSNDAVSSFPSVNSPNHLLNHLIVPNTSCSSSIASSSRGLEVNTRIQFFVRMISGGNTLVFQANCFDTVKSVHERIQGITGIPVIEQRLIYRGKQLQWEKTLAECDVQKDAGLQLVGRMRSTDHPQAWQVIDMMVSTICRMCKGEREVFKKCEGIKRVDVKNQLAEFLTMTPKTCTEQAAGHLQIFISSCAPAAIVMLYLSSLDGNKKCAEDMIGQFISAINMYPKSIHPQCAPILLEFCKLLRRKSQNDGMYKLCRCSLGSMVEYIGIGRSIACKGSEISKALIAFQDIFMFVSELAANLTHDLTVTVESDTVGEQPLLNDVSDFSAFLIPLITVIKEQVILGGLISIPFSEDIKDADPFMYSSCKKILEMDPETVDQDALGLTFVREVDELGSIKVVELCHDGRNLSVNSKNRRAYVELLIQHRFVTSISEQVYHFAQGFSDIMNSSEYQKFFFQSLELEDFDWILYGRESTISVDDWKAHTEYNGYKEDDPQILWFWKR >KZN05350 pep chromosome:ASM162521v1:2:22959515:22963255:-1 gene:DCAR_006187 transcript:KZN05350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWIFMNKQSVLPSDSISFGPVSFLEHFSYKDIKRATDNFRRITDNSSSGVVYRAHFKGSKIALVKEVSVYNEDDDAFCREVQLLGRIHHRHIAVLSGFSTGRKRFLVFENIENGSLKEHLNDPLMTPLNWRTRLKIAIGVAAALEYLFYFCDPPVHHVSISSSTIMLDENFTPKLSDVGIFGSAGTPHSSCPKDCTSQQCGSIVFQLGVLILELITGQSSGEEGGVDLIKWVQESSFQDTIHNMIDPDLGNNYDSKELKGLLAVARLCVKSSDKPTVFISQIFWYLQKKLGITDELN >KZN04029 pep chromosome:ASM162521v1:2:823018:824931:-1 gene:DCAR_004827 transcript:KZN04029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELMTDEDKEGADLVIIPPPGPPEQKWALKSRKTYTREELDVVRYETLDYIQSIM >KZN06364 pep chromosome:ASM162521v1:2:32203837:32206661:1 gene:DCAR_007201 transcript:KZN06364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPGARRDGVELRIHETLEELSTDLADYISDLSEMTIKERGAFCIAISGGSLVSLMGKLCQAPYLKTIDWGGWHVFWADERAVAKNHADSNYKLAKDTFLSKIPFVPSYLHSINDSLTAEKAAEEYEFVIRQLVRTRVIGASEISDCPKFDLIVLGMGCDGHVASLFPNHSVLNEKSDWVTYIIDSPKPPPERITFTLPVINSSSNVAVVVTGSNKADMVHLAIDDVGPECPSVPAKMIHPIDGKLLWFLDKSAASKLDGATQFSD >KZN04665 pep chromosome:ASM162521v1:2:14391283:14392714:1 gene:DCAR_005502 transcript:KZN04665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQIIFKGYIDRAPQITDMELKITNISTSELVETMEDGAVMVKNLYLSCDPYMRGRMRDFHASYIPPFRPDSVMEGFGVSRVLHSKNEVFKCGDVVTGMTGWEEYSVIHNTAQLRKIEQDPDSGNDPIPLSYYVGLLGMPGFTAYAGFYEVCSPKTGDSVYVSAASGAVGQLVGQLAKLHGCYVVGSAGTSHKVDLLKNKLGYDDAFNYKTEPDLNAALRRYFPGGIDIYFENVGGPMLDAVLLNMAIHGRIAVCGMVSQHGMSSWQGIHNLQTLIAKRVRMQGFLQSDYLHLFPRFLEDVAAYYMQGKIVYIENMNEGLESAPAAFVALFSGGNVGKQIVCVATE >KZN06051 pep chromosome:ASM162521v1:2:29634993:29636397:-1 gene:DCAR_006888 transcript:KZN06051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPSPTLKSWYSPTKESKNEQKALVFDASLLQHELNIPAQFIWPDHEKPSADQPQTPPLVVPPIDLKGFLTGEPDAISNAIKLVDEACRKHGFFQVVNHGIDSKLINEAHKNISGFFGLPLLEKQRAQKKAGDVSGYASSFTNRFSSKLPWKETLSFRYSADQQSAYKVEDYFSSVMGEEFRQFGRICQEYCEAMSNLSLVIMELLGMSLGIEAFVVNIGDTFMALSNGIYKSCLHRAVVNNRTPRKSLAFFLSPKMDKVVRSPEALIKMDGARNFPDFTWSTFLEFTQKHYRSDMNTLEAFADWLKLRNK >KZN06847 pep chromosome:ASM162521v1:2:36313211:36313426:-1 gene:DCAR_007684 transcript:KZN06847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKEKSPGVKILWIWTIGTAAILVANVATTRMRDLQIQMDTQQSIHPNPPAQESEPVIADDSAGFVREDKF >KZN07036 pep chromosome:ASM162521v1:2:37846471:37851261:1 gene:DCAR_007873 transcript:KZN07036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVVKAVELDVITSLHTVHQFKQQNLPACKPVLTPAWVITTYFLIGVLFIPIGFISLRASMGVVEIVDRYDTECVPNSYKSDKVAYVKDMSVSKNCTRYLKVKKRMKAPIYVYYELDNYYQNHRRYVKSRNEQQLLHGLRYNSTSSCRPLELNKGLPIVPCGLVAWSLFNDSYSFSRGAEKLNVNRKNIAWQSDRDHKFGKKVHPFNFQNGTLIGGGKLDSRIPLSDQEDLIVWMRTAALPSFRKLYGRIEEDLDEDDVVIVNLLNNYNTYSFGGKKKIVLSTSSWLGGRNNFLGMTYILVGASSMFIALVFLLLHVKSSRLPAM >KZN06316 pep chromosome:ASM162521v1:2:31909680:31914195:-1 gene:DCAR_007153 transcript:KZN06316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNAKPVVDDPPVAEKDSMDSLKYRPINRSPNLFSRAQVNNKNGPRSKLFLFSVVLISFTLLYFLFSGSRIAGQKNFRVLIDGGSTGTRIHVFEYWVKNGVPEFDFGNDGLVSMRVMPGLSAFADDPEKVGGSMNELVEFARKRVPKEYWGKTEIRLMATAGLRLVDGHVQEEILEACRKVLRLSGFKFSDNWASVISGSDEGLYAWVVANYARGSLGGHPEQTTGIIELGGASAQVTFVSSDPVPPEFSRIVKFGNSSYNLYSHSLLHYGQNVAFNLLKDSLLTKELRVAAKSVPMEKQVDPCTPRGYKHELSEGLSPSSMLENRRYSSDLHPSGNFSECRSASLKLLQKGREKCSYKHCSIGSTYIPKLKGKFLATENFFHTSKFFGLAPRAFLSDLPMAGKEYCQEDWSILKQKHPTLEDEDLLRFCFSSAYIVALLHDSLEVSMDDHSIEYASQVGDIPLDWALGAFILQATTNSAVEDSSWIANIVSSDSSAWLTFSIFFLVLIFTTCYITRWRKPQLKTIYDLEKGKYIVTRVGRYS >KZN07747 pep chromosome:ASM162521v1:2:43309906:43311808:-1 gene:DCAR_008584 transcript:KZN07747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAEQGRSLFGLSLSERPRWQQFLICSSGFFFGYLVNGVCEEYVYNRLQFSYGWYFTFVQGWVYLALIYLQGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISALLLVAGLILFTLADAQSSPNFSIIGVIMVSGALVMDAFLGNFQEAIFTMNPETTQMEMLFCSTVVGLPFLIPPMLLTGELFKAWTSCSQHPYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYMIFTKPLTEQHGTGLILISMGIILKMLPLHDNAPTKQSTRLPAAARIEKSSYIDERRTVVETEEEEQRPLV >KZN05484 pep chromosome:ASM162521v1:2:24272348:24276947:-1 gene:DCAR_006321 transcript:KZN05484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEEENGRDDMIIDQPQSDFMRINALPDEFNPNYLKLYYDGKHPGCDQSFFGRREFSFTLDNDIYLRFQSFNSASDMENAIREKCPFKIDIGPVYSVDPAKRHAYAQAGDNVFTPVERELVFDIDMTDYDDVRYCCSGADVCLDCWPLMTIAIKVLDTSLRDDFGFNHILWVFSGRRGVHCWVCDAKARRLNNEQRAAVADYFRVYKGTENSHRKVSLMGQALHPFLARSYTNVLKDFFERKLLSSQQLLSDERYEKILDMIPDEGITSDLRGKWQDNRRSSMAKEDINIFRWDQLKHLLQSGKQKAPGLRRCVEEIVFSYAYPRIDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPDHCEEFDPTTVPTLSKLLGEINMGLRTAGDNEWDATSLGQSVRYFRSSFLQPLLKSCKEEIESSYIAKKEQSRNSLSW >KZN04321 pep chromosome:ASM162521v1:2:7825818:7837163:-1 gene:DCAR_005158 transcript:KZN04321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTLFDAAMAGDAAAVAELEIEADRLHRYEETILHLQSEKGNTERVKFILRKFPHKNLLAKLSRYKHTALHLAIYEGQTEVAEVLIDEARHLPPLSDDDNNPKLTSFQAFLRQGDKDMDTALHAAVKRVNPTIVKRLVEADPTDTHIHNDKGETPMYIAVENGLNDIAEIIYTTCTAPSFDGPHGSTVVRNKNFDQGMSPSGTLYKIMDRYSLYVAAIEGDTDAIAKLETQADILNQGDYQKKYAERVRDILREFAKKNLLATLTSEKQTTLHLAAIYGHTELAEILIDAARHLHPSDNDNTPEDPTASFQAFLRKADKLLNTALHRAVMEGHPSIVKLLVQADPTDTHIQNDEGKTPMYIAVEEGLNSIAEIISTTCTAPSLLGPDCSTLVRNKNFDQATSPGESLYKIMHKDALYAAAFAGDADAIAALEMHADKLDKYEETILHTESENGNTERVRFVLREFADKNLLVKLNKYEQTALHLASCEGHTEVAEILINAATHLPPPSLNDDNPVTGFQAFLRRADVDMDTALHEAVRKGNVALVKLLVEADPSDSHTQNSEGETPIFIAVKRGYYDIVKVICTTCTAQLDLDGPAGRTTVLHILIKNLDQGTKEERTDVIKLIIKAFKHANKHGTSDERSKRLMQFFNKTNEKRCTIFELAVEEDHLDVVRLILEKNPAYHRPDRYPDLIGIMPLIYKVMDKENNAMFNLLTRAYQKGVRIVESQMTVETREAANMHKMISAIDSRKEEYVLSLLNNRGVQDLVTFYDNLGWTILHHAVYYEHNSIIGIIIEAQKTYGHGFIYPDKTSTPFHIAVQKGYVSTMKLLLKLWPSSSSAYIDVDKNGRNILHLAALQNGKEMIQCILKYCPQINKEFVNKKDEDGNTPLHLLIRGGCFIPEFLRYQGLDIKIANEKRWIPRDMLYFQDQIVEDQVQIRISLDGIKTDPTGDSKFSLFVLPSRRMRKDVILDRQAKLMIQEKYTRIKEDPDVIANCFADAIAGDPISKVALKMKADKLNEWGETILHVESKKGDIENVRFIVSEFANKSLLDKKNRSKQTALHLASQHGHTQVVEALIDAARLLPNDAHNQVTFLQDFIRQADAQNGNTTLHLAVLNDNMAIVKLLVEADSNDSHVQNDEGKTPIYIAAENGYKDIVKVICTTCTALSLDGPSTGRTTALHALMQNIDQEGTDGESDVIRMMVDAAQRWSRAQIASATDFEALFSRTDELGRTVLQIAVERKDVNAVRLILGKDPAYQRGGEMKRNGLMRLICKAIDDECSDNIITALSQTYKAGIIDHDPNDVLALIRAIQDLDKDAVLRLLGNAQNLATFTEDNGWTPLHYAVYYEFDVILDAMIKAQKDVGYPFVYKDMEATPFYVAIERGYTSTLIRLMELWPTLSSDANSPYTFLTQDGQNILHMAAVAHAAENRKAVAHAVDTRKAAADNRKEMVQSVLKYCPNKYKDKILKQKDKNGDTPLHLLISHGCFIPALIKHKGLDTMARNKRDFTPRDMLYVEDATVVDQVQIKIALDEVLTSKSGWKLWGRKIEKKADIWRCNKTPPSKRKEKDVKFEGEKKILEKQRTRDRKTYQIRTNTQILVTALTTTVAFTVGFTMPGGLHQSGEHDEGLVILSRKTAFKIFMVSDALALLMSTSSLFFYFLESMNEDLQQVSLLNASSTVLNILSISAMMVTFIAGTYVVLSATPVLAIAICIIGSLFFFLILILWIMKIVFDRYKRNKD >KZN04271 pep chromosome:ASM162521v1:2:5946604:5947047:-1 gene:DCAR_005087 transcript:KZN04271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLDTLFHAAMARDADAIAELEMEADRLFQDEETILHLQSQQGNTERVKFILREFPHKNLLTKLTRYKHSALHRAIYFGHTEVAEVLVDAARQLPPSNDQVTSIQSFLRQGDKVMDTALHTAVKYGHLDIVKLLVQNTDVHRIWRS >KZN04859 pep chromosome:ASM162521v1:2:17362476:17363764:-1 gene:DCAR_005696 transcript:KZN04859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLVSSWSSVQNLPEDYSFRWSRDLARNFQFLYAKPSQLSILGREAMIEQVINHGVSGVLMDETMSVVKEFFNMADERRADVFTDDHTKSCRFRTSSTNYATEETHYWRDFLRHPCHHLEDFIHLWPQHPTTYRRDVVGKYSVEVRNLLLRIMELMSEGLGLEPGFFEGELSDDLLILTNHYPPCPDPSLSLGLPKHSDPNLITLLLQEHVYGLQVYKDGQWLGVEPLPNAFVVNIGYQLQVVSNDKFKGAEHQAVTNSREARTSIGAFMGPCNNCIIEPAKALITEDNPPHYKAFNTKSFLKITWQRLLVTQKLHCWLSMF >KZN06079 pep chromosome:ASM162521v1:2:29971723:29976127:1 gene:DCAR_006916 transcript:KZN06079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRFPPGLAGGRGGNFNPNPNYQNRNYQHQNHQGQRNSNSNVQVHQQQQFQQQQWLRRNPGGADSGNDEVEKTVQSEAVDSGSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPVQEESIPIALTGSDILARAKNGTGKTAAFCIPALERIDQDKNVIQVVILVPTRELALQTSQVCKELGKHLKIEVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGICDLKNCGMLVMDEADKLLSPEFQPSIQHLISFLPTNRQILMFSATFPVTVKDFKDRYLQKTYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLARKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPQIDQAIYCQ >KZN06637 pep chromosome:ASM162521v1:2:34599043:34604001:-1 gene:DCAR_007474 transcript:KZN06637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSRKVSKGYSSGFIPDYRHAVETMAESEGFGSSGRVDAEFTGSDDSCAPKRKCISLNVESYDQLGVPVTILPLSTMSQSERKDLEVRLQHELEQVRILQKKIAFMSSSVVALSPTSDIHSCSDGQRKLLPDAFQRSVGVSASKGKKRVPTGRNGSRTKYPTAFGRVEPAKQGMLPSASHAMLMNKCETLLQSVMKHQHGWVFNAPVDVVKLNIPDYYTVIKHPMDLGTVKSKLVSGQYSSPLEFAADVRLTFSNAKTYNPPGNDVHVMADVVSKYFEVRWKPIEKKIPVIVDVSAAPSRSNVRVEAEITNVLPPTKKKKITSFENIVRQEPVKRIMTGVEKQKLSMELEALLNELPESIIDFLKEKSSNGEQTNEDEIEIDIDTLGDDNLFTLRDLLNDYMAEKRKHGTKVEACEIELHNESGFSNSSVQPCKGNDLIEEDIDIGGNDLPITSFPPVEIEKDTALRNSKCSSSSSSSSDSGSSSSDSDSGSSSGSELGGAKASAPADTTKDTLATGATIEVRKSDTCDPSIEKDSLNNLNQVVPSSQSKPVSVESDDRQEGESAPPERQVSPEKLYRAALLRNRFADTILKAQERTLGKVEIQDPERLRLEKEELEKRMREEKARLQAEAKAAEEARKKAEAEAAAEGRRKRELEREAARQALQKMEKTVEINENCQFLEDLEMLRVDPAEQIQGFVDETSPDRSLAALGSFKFQGNTNPLEQLGLYMKADDEEDEEGDPSNVPPAVDDPEEGEID >KZN07579 pep chromosome:ASM162521v1:2:41980464:41983111:1 gene:DCAR_008416 transcript:KZN07579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSDGSLEPRRMVFVTVGTTSFDTLVRAVDTQEVKEELSKRGYTDLVIQMGRGSYFPAKSSGEDGSLNVEYFTFSSSIADNLKEASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLFCARPQTLYNIIATMDLDALIPYESGDAMPVAKLINRFLGFPDD >KZN07129 pep chromosome:ASM162521v1:2:38559520:38559723:1 gene:DCAR_007966 transcript:KZN07129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSIVHYVSLLLGSIYAAGNTVPIPFLKATDISPIALLSNNVLGCRDSGEILLWKQCRSSLQVMAI >KZN05093 pep chromosome:ASM162521v1:2:20133813:20135895:1 gene:DCAR_005930 transcript:KZN05093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVMRWLRELRDHADSNIVIMMAGNKSDLKHLRSVAEQDGQALAEKEGLSFLETSALEAVNIEKAFQTILTEIYHIISKKALAAEEAAATALPGQGTTINIGDASGNTKKACCST >KZN06423 pep chromosome:ASM162521v1:2:32816767:32820124:-1 gene:DCAR_007260 transcript:KZN06423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIYHCHIHVCLLISVISLFFNRCCCVDQQYEACVPRNCGSLSISFPFFIVGTQEAYCGSPGFNITCVNNTKPLLRIPDYNEALLVDEIFYDNRSLRVSNAALRSNEGSCGAVREIARNLSVRSDHFEYANDSRLVLLWDCSGIEPRLDRYRVGCDGISYLVMKGNDANLKGSTDACKTRAEAAVIDDEQIVTGSNYSTVMRRGFVLKWRATDCTECKLTQGRCGFDIEHDQFLCFCPDRPHYKNCTATPAATISTKSLTCSHYIHEFIIVSGLDKAILGASFVLMICLFTIIWMYKGCGNIFTTFLSKKTSSDIDDDISYFGVPVFSYKDLEEATHKFDASKELGDGGYGTVYYGKLKDGREVAVKRLYENNFKRQEQFMNEIEILTRLRHRNLVLLYGCTSHRSHELLLVYEYIPNGTVADHLHGDRAENEPLTWHVRMHIALETASALAYLHKSDIIHRDVKTNNILLDKNFTVKVADFGLSRLFPTAATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLIELISSMPAVDISRSRNEINLANLAVNRIQKCAFDELIDPSLGYKSDPVIERMTTSVAELAFRCLQLEKELRPAMDEVYECLRQIQVVDESNKAKPQPSPESENVVLLKNKTCPASPVTVIDNWMSSRSTTPSVSEI >KZN06514 pep chromosome:ASM162521v1:2:33577656:33577850:1 gene:DCAR_007351 transcript:KZN06514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIDTFLSYSRQTAQDDDQEDGCCWMTGGGCDTRSSIHTPDEAMFFKVNDEYFLFHMGEAAKIL >KZN04093 pep chromosome:ASM162521v1:2:1846117:1847007:-1 gene:DCAR_004930 transcript:KZN04093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGWVKSLQCKSRAVDDVVHSSKQHYHSLISPSASCRKSVNSLNDVVENRKNKSKKHKTAPAPVKVVPEDLKKVGSRKNENGENLVGGRGRVRASGLTRHRHAPDAFFPALTELPEGHPSRNVVEIIFHTSWSPKAFSGRIEMVFKVQNLTRTVTRFEEYREIVKAKAVEAGLEGGSEDHARCVADGNEVMRFHCLGPTNSGPYDPCGGAWTFHAGRGAAICTFSGSGGAHESAGGGKGRRAMMVCRVIAGRIRKRVGFDTKDRVGCDSVSGENNELLVFDSHAVLPCFLIIYKL >KZN04437 pep chromosome:ASM162521v1:2:10814558:10817793:-1 gene:DCAR_005274 transcript:KZN04437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVMMQHKVQPSFSLLTSSLSDFNGTKLTSSLQYKRKVCQPKGALHVTASSAKKILIMGGTRFIGIFLSRLLVKEGHQVTLFTRGKAPITQNLPGESEADYEAFKSKISHLKGDRKDFDFVRTSLAAEGFDVVYDINGREADEVEPILDALPNLEQYIYCSSAGVYLKSDLLPHVECDAVDPKSRHKGKLNTEALLQSRDANWTSIRPVYIYGPLNYNPIEEWFFHRLKAGRPIPIPGSGMQITQLGHVEDLAKAFVEVLCNEKAMKQIFNISGAKYVTFDGLARACAKAGGFPEPELVHYNAKEFDFGKKKAFPFRDQHFFTSVEKAKSVLGLIPDYGLVEGLTRSYELDFGRGGFRKEADFTTDDMILGKNLVLQT >KZN05006 pep chromosome:ASM162521v1:2:18904503:18906269:1 gene:DCAR_005843 transcript:KZN05006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAVGKSVEDHVIRQEENRNKGKINKMEGCEMMIVRFMGVLLCLAAAVVAGVSEESSIISLATLAPCLPPVDLSVTAKWHYLSSTVYLVVANAIACLYAAASLLLCFVSWRNKRYSTTFPLLILDLMMVALLFSANGAATAIGLVALHGNSHTHWHKLCYLFHRYCIYGAASIVVSTLGSLVFLCLVVLAALKLYRE >KZN05903 pep chromosome:ASM162521v1:2:28192557:28196361:1 gene:DCAR_006740 transcript:KZN05903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGQLPESQKPSITYKHNQTGGAFFKLVESNHEDCTPSSSAINQHNGNRIESKSAEIVRTSDLIAAISHLWDRAILPPALKADSDHDAKNLKKANTFCYSAEEGHIDASTSAEGQDFSVNVVPDYSSPLVQATIECLKVTQKISFFEPGTISGSSGYANSLIWGLIKSGSSNPMESFKDTGHARGNLHNAKDAQQLLSETTISRSMHLVNSNVSDKVKNQDCCNTGQRNSTCINSCDNASNSTKNTSTVNTDCLPETAETIDILSGPSPIMDKHLSVTSLFTDHLLQAVEDTNENISLTSISRLHVEHSANPLATDTGAHSESESNPKESHLLEGESFQQQVCFTESKSNLKISSSNHDKPGFARQEHAFAGAFAGIFVSLCLHPVDTIKTVTQSCRSDPKSLLDISRSIIADRGLIGLYRGIASNIATSAPISAVYTFTYESVKGALLPYFSKECHSLAHCVAGGSASIATSVIFTPSERIKQQMQVSSHYKSSWNALLGIVGKGGLSSLYAGWGAVLCRNVPHSIIKFYTYERLKKLMSSSLQPNGQREMLRTLICGGLAGSTAALFTTPFDVVKTRLQTQIPGSRNQFDGVFNTLVETAKHEGLKGLYRGLTPRLVMYMTQGALFFASYESFKRLLSLEAVHDSAQHLI >KZN06105 pep chromosome:ASM162521v1:2:30141310:30143295:1 gene:DCAR_006942 transcript:KZN06105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIWVILVVLGVATLRGAECRKARRLEETLEYYAISCRAHSASITDFGGVGDGKTSNTKAFREAISQLSQYESDGGSQLFVPPGQWLTGSFNLTSHFTLYLHKDAVLLASQFLAYYTVPAKQEISEWAVIEPLPSYGHGRDAAGGRYLRIKKTQYLTLSECHKCNIHVDANVKSGDNGTIDGQGALWWQQFHRKKLKYTRPYLFEIMHSDNIQISNLTFLNSPSWNVHPVYSSNIIIQGITILAPVTSPNTDGINPDSCTNTRIEDTYIVSGDDCIAVKSGWDEYGISYGMPTKQLTIKRLTCISPYSAAIALGSEMSGGIEDVRAEDITAINTESGVRIKTGVGRGGFVKDIYVKGMTLHTMKWVFWMTGNYGSHADTHYDPNALPVIQGINYRDIIADNVSMAARLEGISGDPFTGICISNATIGLAKKAKKVPWTCTDVEGVTSGVVPQPCGSLPDQGPEKVGMCAFPTENLSIDNVEIKRCSYRMI >KZN05334 pep chromosome:ASM162521v1:2:22732625:22743689:-1 gene:DCAR_006171 transcript:KZN05334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARREIIESLYSMISLVFILVACVEICDAATVVDVYRLIQYDIAGEQFGSRLASLNHHATSLLSHNTDLSRTVVILPVRDLNLTFIKECIGQKKPLGGLLLMLPQIFSSENKDGVEDDPNSEKELIRIQLTELERLLIHANIRYPVYFAFEDDDIDAVLADVKRNDATGQPATATTGGYKLVVSVPEPRKVASPAITNIQGWLPGLKADGDSNQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTHKWLRSFDQRLRENIEYAICLNSIGSSGNDLWLHVSKPPENANIKQIFDGFSNVAEELGLKVGLKHKKINISNPRVAWEHEQFSRLRVTAATLSKLPAAPELLESTGGLSDNRHFTSEAAVAQTVSLVAESLARHVYGQQGRNIKVFEENSSLAVQPSYIQSWLHLLSTTPRVAPFLSKNDPFIMALKKELADHTVEVNVQHEVLDGIFTFYDSTNAKLHIYQVASVTFDLLVLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKVKTA >KZN07172 pep chromosome:ASM162521v1:2:38809879:38812096:-1 gene:DCAR_008009 transcript:KZN07172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNTNQQQEGAASVPAKRKPVFVKVNDLKPGTKGHNLTVKVVSSTTVLDKKSIRNSGNTRIAECLIGDDTASILFTARNDQVDLMKPGTTVIIRNSKIDMFKGSMRLAVDKWGRVEVTEPAKFEVKEDNNLSLVEYELVNVEV >KZN07700 pep chromosome:ASM162521v1:2:42875379:42878007:1 gene:DCAR_008537 transcript:KZN07700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >KZN07104 pep chromosome:ASM162521v1:2:38399372:38402086:-1 gene:DCAR_007941 transcript:KZN07104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILYKLMILISFVALVESSCNTTDHILVTKAFSSVTGFNSSWFKSMDLNCTNPHISNISLSSRNLTGSLSWRFFRNLTHLHTLDLSNNSIKGSVPAWFWSIPTLVAINLSKNSLGGAIGSNSTHFSSIQFLNLSQNRFTNLARLSIFSNLTSLDLSHNNLKILPLGFKNLTKLQYLDISSCNISGKSKPISVLHSLRYLDVSRNHLNGTFPSDFPSLHNLIFLNISFNNFTGYISKINFQNYGHLAYINAGNFNNTSENIQNLHVKPHPLSAQPIKKHQNQTSTKPKPEPKPELKSKPKPKHNHFILATTLASSTLALAMLVCLCCMYRKIKLAKTNKWAISKPIQTPFKMEKSGPFSFETESGTSWVADLKEPSSAPVIMFEKPLMNYLTFKDLIAATSHFGKESLLAEGRCGPVYSAVLPGDLHVAIKVLEHARDIDHEKAKLMFEEISKLKHPNLLPISGYCIAGKEKLALYEFMVNGDLHQWLHEELPSGEPDVEDWSTDTWDQRNDIEFRSRNVSPKITEWRVRHRIAVGIARGLAYLHHGQSRPVVHGHLVTSNVLLSDELEPRVTDFGISQDSVSGSTEADVYSFGTVLVELLTGRPGSEETVEWARRLIREGKGANALDSRLGVGDGSVSEMVESLRVGYLCTAESPRKRPTMQQVVGLLKDINPVTD >KZN05213 pep chromosome:ASM162521v1:2:21246772:21248202:-1 gene:DCAR_006050 transcript:KZN05213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTLKPGMVVTFGPSGLTTEVKSVEMHHESLQEALPGDNVGFNVKNVSVKDLKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMLPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKAVDKKEPTGAKVTKAAVKKGAK >KZN04016 pep chromosome:ASM162521v1:2:631070:637372:1 gene:DCAR_004814 transcript:KZN04016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLQYKSSDYKPPKQYKSSSIDYKPSPIVALATSPDDSRVAAAREDGSLEIWLVSPGAVGWHCQLTIYASPNSRVSSLVWCHSNGYSLGRLFSSSIDGSVSEWDLFELRQKNVLSIGVSIWQMASAPYKNPHSNEKKHPQYIKMISDSSDDDDCSESDNDIDSVVTTDGSIVEDANVAMACDDGCVRICTVSDEFIYHKSLPRVSGRTLSVTWSQDADKIFSGSSDGLIRCWDFKTVREVFRITVGLGELGSGPELCIWSLLSLRSGTLVSADSNGRVQFWDSQMGTLLQAHSRHKGDVNALSAGPSHNMVFSAGSDGQVILYKLSRDVSGSTDKKSSVRVIEKWVYVGYSRAHTHDVRALTVAVPISREDTFPDEKVKKEKSDSVPKNEKVYRKRKDRKKVKKEKSDSVPKNDKVYRKRKKDRVKKPHDFSFRKWGHIGVPMLISAGDDTKLFAYAANEFTQFFPHDICLVPQRPPMQLVLNTVFNQSPLLMIQASKWLDVFCVQSKTSSHPDTSSDPCAGIATTDMVVRVKSKASQKIICSSMSNSGVFFSYSDEKKPSLLKLNKIEAQKSAWTIEKRKLPNLPFAHSMCFTYDSSWLMIAGNDRKIYVVDVESLILICTYTPRCKDIDDEILSEPPLTRMFTSPDGQWLAAVNCFGDIYIFNLEIGRQHWFISRLDGASVTAGGFTPRNSNILVISTSSNQVYAIDVDAKQLGEWSTRHKFTLPRSCPKFCGEVIGLTFPPSLNASSVIVYSPRAMCEINFETPVIVKDLDAPVRKLPSSPSKKELKRKLKGCDFEKKVEKKTKHNGKEDFEITPFDEPVLFAGLLSKGSLFVMEKPWSRVITKFDAPPVHSHIYGT >KZN05055 pep chromosome:ASM162521v1:2:19370402:19373093:1 gene:DCAR_005892 transcript:KZN05055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGNNWDVEQGEDKALVKEHHRRRSDLEPVTKARFRLFSVFLANLQEVIFGTKLFVLFPAIPSAIFAKSYNLGRPWIFALSLLGLTPLAERISFVTEQISFYTGPTVGGLLNATCGNATELIIALLALHQGKIDVLKYSLLGSVLSNLLLVLGSSLLCCGLANLNKEQKYDRKQADLNTLLLLLGLLCHALPLMFKSSVQPASHRVHPLVQGYILLFSRVSSIVLLIAYVAYLFFQLRTHQQLVEEQEEGERSEETDEKPVIGIASGIIWLIGMTITIALLSEYVVGTIEDASDSWGISVGFISIILLPIVGNAAEHAGSVIFALKNKLDGTSHYLKGVVLCLAYVVIAACFFFQKLPSDSECLQLINNFC >KZN06755 pep chromosome:ASM162521v1:2:35621655:35624621:1 gene:DCAR_007592 transcript:KZN06755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEKRDSVHPVLLKLGVTIVVSLGGLLFSMFRKNLMKPSQPPPSSSDSRHQAQFEMEVDGHRDTAASTASFVPNEHEDSHRPIENSTTGLSRSGKSIENKEEFLLPDFDEILKEFDISATKLRTPEKHVEPLVPATESPTGYEDTEMDDQEKEMKNLRNLVKTLKERERALEDKLLEYYGLKEQESVVMELRNRLNISNTEVKLFTLKIESLQADNKRLEAQVVDYSKVVADLEAAKAQIKLLTRKLRSEAEQNKEQILSLKQRVTKMQEQKPVAAKSNVDIQTMLQKIKVLEEKIEELRKSNYNLQLEHHNLDPQDRENLVLANEEAGALKAECQLLRKENEDLTKDIEQLQEDRCADVEELVYLRWINACLRYELRNFQPSQGKTAARDLSRTLSPKSEEKAKQLILEYANKEGLDGSGINCTEFDLDHSSSSRSSYLSDSGDLDYSSVDHSSATKNNTSSRRKIFAGLIKLLRGHGHNHSIGSSQELPGSVEDVTGLDSTDFAEGNFRVPAGTDGKISKSRTSSLSSFRYSLGGSSRLSLESTSRSAWDHHKPPYLQELRIENSNDTGSSSVYENSVFSSDVASSTPKYQSSEELEKDDKNNLLKYAGALMNTRGKASKPRRKSASFSSL >KZN04985 pep chromosome:ASM162521v1:2:18624197:18628776:-1 gene:DCAR_005822 transcript:KZN04985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVALRNPNSRRLALQLSPQLFCTCRGSITPNVSHSVAPNDAVSASPWWSRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRDLLSFYKFPGDDIPIIRGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEDVEILGLMQGNLKSTVTGVEMFKKILDHGEAGDNVGLLLRGLKRDDIQRGQVIAKPGSVKTYKRFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADITGKVELPEDVKMVMPGDNVTAIFELITPVPLDAGQRFALREGGRTVGAGVVSKVIS >KZN07620 pep chromosome:ASM162521v1:2:42236315:42238063:-1 gene:DCAR_008457 transcript:KZN07620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSLVLFTVSWYSWMLNKPKHLLLPPGPRGLPVVGSLPFLKPEFHSYLESLARTYGPILTLRLGQKISIVITSPALAREVLKDNDVNFANRDVPAVTKAMETGACDIVWTPYGPEWRMLRKVCVREMLGHSILDTVYHIRQQEIKNTCKYLYDQANCPVNLGEQMFLTVLNVITNMLWGGSVKGKERAAIAMEFRQAVAEVSSSLAKPNISDFFPVLARFDLQGVKKKAEGANKKLDLIFDAVIDQRLKMKEGGGAESKDFLQVLLQLKDDQDAKVPLTMNHLKALLLDMVVGGTDTASNTIEFAMAELMNSPEILKKAQEEIDLVVGNDSTVEESHLPKLHYLNDIMKEVLRLHPALPLLVPHSPNESCIIGGYTVPKGSRVFINAWAIQRDPSIWENPLEFRPERFADGKLDYSGHDFSYFPFGSGRRICAGLPMAERTVMYFLASLLHSFNWELPAGEKLDVSEKFGIVLKKRVPLVVVPTPRLSVSSY >KZN04082 pep chromosome:ASM162521v1:2:1715570:1718264:-1 gene:DCAR_004919 transcript:KZN04082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESDFSGSTFNGAYMEKAVAYKANFSGADLSDTLMDRMVLNEANLKDAVLVRSVLTRSDLGGALIEGADFSDAVLDLLQKQALCKYASGTNPTTGVSTRTSLGCGNSRRNAYGTPSSPLLSAPAPKLLDRDGFCDPASGLCDAK >KZN04968 pep chromosome:ASM162521v1:2:18493151:18496968:-1 gene:DCAR_005805 transcript:KZN04968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTQPSPPAQSVVVDEKKLKYLDFVQVAAIYVVVSFTTLYEYAKRNSGPLKPGVQTVEGTVKTVIGPVYDKFHNVPLELLTFVDRKVGEVLSELDRHVPSLIKQASSQARSVASEIKRAGLVETATNAAKSAYVKYEPVAEEYYYKYEPVAEKYVVVAWTSLNRLPLFPQLAHILVPTASYWAERYNQSVGYFVSKGYAVSFYLPLVPIDRIAKVFKTSENGHSLRNGDADVIKGETLLNHTSLSDGYFVSKGYTVSFYLPLVPIDKIAKVFKASENGHSLSNGDADVGWCWYCWVGVVIDGYAVSFYLPLVPIDRIAKVFKASENGHSLSNGDADVVMSQ >KZN06527 pep chromosome:ASM162521v1:2:33754986:33758274:1 gene:DCAR_007364 transcript:KZN06527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRARVLELSRRLKHRGPDWSGLYQYGDSYLSHQRLAVVDPDSGDQPLYNEDEKIVVTVNGEIYNHEELRKSLPNHKFRTGSDCDVIAHLYEEHGENFVDMLDGVFSFVLLDTSDNSFLAARDAIGITSLYIGWGLDGSIWISSEMKGLNDDCEHFEAFPPGHLYSSKRGGLKRWYNPTWFSEAVPSAPYDPIVLRNAFENAVVKRLMTDDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCQKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVVMSIDPEWKMIKPEEKRIEKWILRKAFDDEEHSYLPKHILYRQKEQFSDGVGYSWIDGLKAHAELHVSDKMMLNAPNIFPHNTPATKEAYYYRMIFERFFPQKSAILTVPGGASIACSTARAVEWDASWSSNLDPSGRAALGIHDSAYETKLSSTVNTNLATKIVDTVPQMMERSSTQVTIQS >KZN04088 pep chromosome:ASM162521v1:2:1783578:1783745:-1 gene:DCAR_004925 transcript:KZN04088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVVYLRFNRITAITLRETCLEGDPVPVFEKLPALHSLVLLKNSFVGTRIVCLS >KZN04539 pep chromosome:ASM162521v1:2:12366439:12370450:1 gene:DCAR_005376 transcript:KZN04539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFKGFPTSDASLARWALAAEDICFKNTVLSYFFRLGKCIPITRGGGIYQEHMNEALDRLSDGEWLHTFPEGKVSQEDAPIRRLKWGTASLIARSPVTPIVLPIVHRGFEKVMPEDYLFGRRPPFPLCNKRVEIIVGDPVEFDIPNMKEMAISLSRDTSLPLIGWPSTADGLDEPAQRHLYTTIADQIRTVMERLRSLSKSNSELKA >KZN04600 pep chromosome:ASM162521v1:2:13376543:13378318:1 gene:DCAR_005437 transcript:KZN04600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIGMLFSWFAWHVKDHDLHSLNYMHTGERKTWYGVPQNAAAAFEDVICDHGYNGEMNPLYYRTVSGSNCKCRHTMLQLVGFYVCLCCKSAIIKPGEVDVHSLISADCGNIGGSGVASDIASSNRWFCSPGYAKNAVLESCLGNEYILV >KZN05062 pep chromosome:ASM162521v1:2:19563847:19564830:1 gene:DCAR_005899 transcript:KZN05062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKSLQPAKTGLSAIKSFNADKYLKKIGLGKEDHYFWGQVGKALLCTYALFGAAWLYNETSPLGWWTLKPKPKEEKELAHLYERREFPYPGDAEAMEEFIAKGGMIGTTIGPKGALETDKDSVNFQKQLQDKKFEHEAFKMWVRMRSEVVADLQNKGYEIE >KZN05329 pep chromosome:ASM162521v1:2:22667188:22667421:-1 gene:DCAR_006166 transcript:KZN05329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGEPRISRITGERMPPPISTIAGNGKPWVCFKSFRNDGRLVLREARIPTKELLQSRREEGRLKLQYVQSDEETMD >KZN05930 pep chromosome:ASM162521v1:2:28487533:28489467:1 gene:DCAR_006767 transcript:KZN05930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPCIREATTCFHGFCTNPSFDRLDSQSSTTRSTATTQHDFANSTNSSLSPNSRFTNHESLPSVAELFSNFTKAYPQYLKTYQADQIRVQEYYHLSNHVCLDYNGHGLFSYDQQPSHRSSSLIASTSTSAPSTQYSVGSDVPFFQISNKSANLYSKIHYGGQESEFESIIRKRITRFMNISEDEYSMVFTASQSSAFKLLAESYPFWSNRELLTVYDFQNEAVEIMIDCSKQKGAKVMSAKFSWPKMSIHSKNLKKMVVSKSKNRKRGLFVFPLQSKMTGARYSYQWLSIAQENGWHVLLDATASGAKDMETLGLSFFRPDFLFCSFYKIFGDDPSGFGCLFVKKSSASVFKSSKTKSIGIVSLLPATTNGQYFDKSSSFDIDTEQQPSPDDQPPKVELAFASSPSSPVSVQQNEEIIELQALQKGNEKQEQESDFQIIEQEQPQVINQFGDRDATSSRYSDIEFRGLNHADSLGLILIRNRSRYLINWLINALMSLQHPHSDFGLPLVSIYGPKVRFDRGPSLAFNIFDWRGEKVDPALVQKLADRNNISLGFGFLKNIWLSDRHEEGNTLLHTRTPEHRKLLKNKEKLNVSISVVTASLGILTNFDDTYKLWAFASKFLDADFVEKERWRYTALNQRTIEL >KZN04764 pep chromosome:ASM162521v1:2:15470503:15472551:-1 gene:DCAR_005601 transcript:KZN04764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSTHNRRVLDPDDPSTLMKEDGVAVCSQMWIENFRDPERTATNLVSYLRRFELWVLAYQKVCADEMGAYMPRSAVQRSALEDLLALRNAVLDNRFKWGARLEFFIKSPKDKTEYESLSKRKIRAILTTTQPAAFQDKIVQEVLFMILEPIYEARFSQKSFAFRPGRTAHTVLRVVRRSFAGYLWYIKGDLSTVLDGMKVGIVISALMRDVRDKMVIDLVKSALVTPVITSQVDEAEKKKKVKRKYQKKKILADDEPKPDPFWLESFFGFAPEEAEKVPSWGHCGILSPLLANVCLDELDRWMEGKIKEFYKPSKSDVIWNAPDGEIEQGNTSWPEFVPTSGPDKTRKIDYVRFGGHILIGVRGPRADAATLRKQLIEFCDQKYMLKLDNECLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNYLKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRFADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPIKEKKGQSPEYHNLLRMGLAESIDGLQYTRMSLVPETDYTLFPTGWRPEHEKALIEYIKLDDPKTLEEQRQCLSEQGLVSPQDYTSMLVWNYKRSALPKLEQCSTTEKREEMLVESNDKSDDQLAGDEKENGISYYAEQM >KZN06200 pep chromosome:ASM162521v1:2:30925725:30929164:1 gene:DCAR_007037 transcript:KZN06200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRTLLNHPGPLDPGAQEFIPQAPFPVATTQIYYSHTYHLPSETLAVQNIAYPAPPAYVSVDQPPLPLALPPASTTPSRVLLLSSVPTDVSESTLRRDLEVFGDVRAVEMERVGEGIVAVHFYDLRHAKTALAEIQKQHMQHQCRVREHFNDVMNMNKNNSPYEVAPLSFPVPLPPPACGLVAGRAVWAQFMVPGIGGYPESYNQGTLVIFNVPPHFPARSLMDIFEEFGCVKELREPPLKRSQRFIEFYDTRDAARAFAVMNGEEINGRHLLIEFSKPVGYNRRFPKSFNCKLNSIPSRPPRSPAFAHSNSTPWQPRVSGRISKSPIATMASLNLKGGGGVENRDRNSNRRGATSSSIGMGGVTNMKHVKSNKAGWSVQKEHDLRFLINEDSIKLSNSSDPRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNQQIDDDEQPLSSYDFVYLPIDFGNKCNVGYGFVNMTSPEATLRLYKAFHHQNWEVFNSRKICEVTYARLQGLEALKEHFKSSKFPSDAEAEDYMPVVFSPPRDGRTLSNPVPITTSKIAFNKNHQEGGDDEAREGEAGGGESDGVNSGDDYDNDVISGGSNSDGL >KZN07628 pep chromosome:ASM162521v1:2:42296152:42298078:-1 gene:DCAR_008465 transcript:KZN07628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTSLSLLLCISLFLSYSLAEDDTITYNFEVSYITASPLGVPQQVIAINGKFPGPVINVTTNNNVEVNVRNKLDEELLMTWDGVQQRRTSWQDGVLGTTCPIPPKWNWTYNFQVKDQIGSFFYFPSLNFQRASGGFGGIIINNRAVIPIPFATPHGDIPIMIGDWYTSNHTALRRTLNGGKDLGMPDGVLINGKGPYRYNDSLVPEDIDYLTIDVHPGKTYRLRVSNVGISTSLNFRIQGHNLLLAETEGSYTVQQNYTSLDIHVGQTYSFLLTTDQNASTDYYIVASARFVNESVWRRVTGVGILRYSNSKGKASGPLPEAPQDQFDKTFSMNQARSIRFVRGS >KZN06605 pep chromosome:ASM162521v1:2:34317264:34321411:1 gene:DCAR_007442 transcript:KZN06605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKRLNIVFNGHEVPPVPRAARSPRRRLPCRKKIGDHQMCAFDLLATVAGKLLEGESTPSATELLIGEDESSLGKDFLKTEKVAEENPEQVNPSHKEDRGRILFVSDTNPQAPEERHDIKGVPNVQNDACSAFASAVTTRDGPEMFGSASKLVNDESRIKYGNLSKVDVGQYHSATSLYSLDVKSKNCMKIEPQMIGKDPSSAGDVICLEDLIVQNVKPPVQDKSDNIDQPAVKPSVLHRSSQKALFRDQTSCGFFPGCRNNVNLVVRDDDENSSWCTPPRKINKVFWPPARNGDRRIKRLLASRYWKATLKSSKRDCYITGLDTRYAYHNRVKDYKRQRSLRDHPIKKRKLYDHTFTTNAYERVNKNNASFSSGKSYGGNASSVATMHEATGTSSFAASPQKCAQTNDSHVRVPELFFEIPETATVGSLKRTVMETVTSILGGGLCVGVLVKGKKIRDDNKTLVQTGIYNDDRADALGFTLEPLSSQVPSAQCLEDHTFIPPEELTRCPHASTIVKQGDSDVLPEVCATKIFNCLESDHDSAPSPPDMSRDKCQIDSRDLIASPQISAEVLSAVPIQSSKKLDIGQRRTRRPFSVSEVEALVHAVERLGTGRWRDVKMRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVMAAHAFWSQQQTKQHSEASLLF >KZN05740 pep chromosome:ASM162521v1:2:26771435:26777977:1 gene:DCAR_006577 transcript:KZN05740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKKGAAIPPETVKMKTLECEVLRGSLSPSNTRKFKLSTRHREGNQPLYGISYNFLDSHLFDLFATVGGNRVGTPIPSVNVYQCLEEGRIALLQSYVDDDVNESFYTVTWSCNLQGKPLLVAGGVNGVIRVIDVGNEKIHKSIVGHGYSINEIRTQMLEPSLVLSASKDESVRLWNVQTGVCILIFAGAGGHRNEVLSADFHPSDKYRISSCGMDNTVKIWSMEDYWTNVEKSFAWTDLPSKFPTKFVQYPLFMAKVHTNYVDCNRWLGDFILSKSVQNEMVLWEPIIKDQPPGEGSADVLQKYPVPECDMWFIKFSCDFHYKAAAIGNKEGKIFVWDLQSSPPVLITRLCHGTKSTIRQTAMSYDGKTILSCSDDGYICRWDEDTTS >KZN07054 pep chromosome:ASM162521v1:2:38008081:38014508:-1 gene:DCAR_007891 transcript:KZN07054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPSITRWSFQDFKMFYDVKFGRKRETNGKDANTNGHPDGKDVANGSVASNGNGHVKNTSDMAVYEQFISQNQGSLVNDNGVSSARINDRPQKALLPPFESAEMRTLAESLSRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIITQRGEARSEHEASRRLKTELLIQMDGLTKTNELVFVLAATNLPWELDAALLRRLEKRILVPLPEPEARRSMFQELLPPAVDAEKLPYDLMVEKTEGYSGSDIRLVCKETAMQPLRRLMTCLEEKQEVVPEDGMSSMLHILVVKDFESEIKCLWLKMVST >KZN04389 pep chromosome:ASM162521v1:2:10007009:10009511:1 gene:DCAR_005226 transcript:KZN04389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSPPQPNIMSMHSEVAHTIEELMVANTLSSMSGIDTVVSDPIQGQVPSQASGGNLGMELNITFKKLKKMVHLQTLKKMKKNLEQPPPDNTLEQVALSTITVRIQEIENKITQKKEEDAAKRRAEQKLINSRAKKPKKN >KZN05964 pep chromosome:ASM162521v1:2:28757360:28758210:-1 gene:DCAR_006801 transcript:KZN05964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWSPETASKAYIDTVNSCKIFQDSSVAELISAMAAGWNAKLTVETWSRGGAIATSIGLAIASHHTNGRHVCIVPDNLSRTEYLKAMKISGLAPEIIVGDPEEAFQTLQGIDFLVINCEIDNPSRILKVVKLGHRGAVLVSKNVTSTMRSPSSEFRWRGVVEGGSRLVRSVILPVGEGLDIAHVGAVRSSGNKVESRWIRRFDRQSGEEFVFRK >KZN04386 pep chromosome:ASM162521v1:2:9950084:9950849:1 gene:DCAR_005223 transcript:KZN04386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTFKEIEFAIGHFVSSSLIEGSVYCGKINGDLVAVHTYNGDVSKDINTKQDHPFQYLSAFQASASSRMEPGHSWNTGLHDAKVFREWNDLSLKLDIYSFRVQMEMLKGKEVSSLYKVLTDLSNALGPTLQEKNEGANFHGSFAGRYLSGRSRNFCDQAGR >KZN06186 pep chromosome:ASM162521v1:2:30838482:30840348:1 gene:DCAR_007023 transcript:KZN06186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFFFLASIFFSSILVAKITSIWLTKKFKLETKYKLPPGPPRWPIVGNLFQLGQLPHRNFASFCEKYGPLVYLRLGTVDAITTNDPDIIREILLRQDDVFASRPRTLAAVHLAYGCGDVALAPLGPKWKWMRRICMENLLTTKRLDSFAKHRADEAQHLVHDVWALAQTGKIVNLREVLGAFSMNNVTRMLLGKQYFGAGSAGPEEATDFMHITHELFWLLGLIYLGDYLPIWRLVDPYGCEKKMREVEKRVDNFHKRIIEEHRTRKQIKGHIDDGDMDFVDVLLSLPGENGKQHMDDVEIKALIQDMIAAATDTSAVTNEWAMAEVTKHPRVMLKIQEELDSVVGLNRMVLESDLSQLNYLRCVVREVFRMHPAGPFLIPHESTRATKINGYDIPAKTRVFINTHGLGQNTKLWDNINEFQPERHMPTDGSRVEISHGADFKILPFSAGKRKCPGAPLGVTLVLMALARLFHCFDWSPTDGLKHEHIDTREVYGMTMPKAEPFKAIAKPRLANHMYH >KZN05795 pep chromosome:ASM162521v1:2:27293786:27307014:-1 gene:DCAR_006632 transcript:KZN05795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYRLAFFLLSRTTRTSLIHTLSSSSIYNLTPVCPPLFKLPTSPLYSPSCLFAGIALLGRRNFSGYAVEQFSDDEYECDHENHPASSSVANIDEWKWKLSLLLRNEKDIEIISRDKRDRRDYEQICNLAKRMGLYCELYGKVVVASKVPLPNYRPDLDDKRPQREVVVPLSLQRKIEGLLQEHLDRMHLISGEINSSASNIGASELDDYVNTGKNDDSFLDRSVMEKILIRQSLRMQNMQRAWQESPEGKQMLNLRRSLPAYREKDRLLQAIAQNQVIVISGETGCGKTTQLPQYILESETESGRGAFCNIICTQPRRISAMAVAERVSTERGEPLGNTIGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKELLPRRRDLRLILMSATLNAELFSNFFGRAPVIHIPGFTHPVRAHFLEDVLEITGYKLTSFNQIDDYGQEKVWKTQKQLVPRKKKNQISALVEESLNKSSFEKYSSRARDSLSCWSPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQVKAHPLLGDPNRVLLLTCHGSMATSEQPGECYHLYPRCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIGDFLSAALQPPAPLAVQNAIDFLKMIGALDEGENLTHLGEFLAMLPLDPKLGKMLIMGAIFRCFDPILTIVAGLSVRDPFLLPQDKKDLAGTAKARYSAKDYSDHMALVRAFEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLLDXLAGTAKARYSAKDYSDHMALVRAFEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLLDADAGTNNRLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFAEKVKVNTVLLRDSTGVSDSILILFGGNLHHGVQAGHLKMLEGYIDFFMDPSMAECYVKLKQELDNLLQKKLKDPGLDIHKEGKYLMLAVQELVSGDQCEGRFVFGRDCKKPKECSDSDRFTRDGANPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDKPDEEDNSPLDVTDNMLKLLGKRRRSKGRPG >KZN04297 pep chromosome:ASM162521v1:2:7035560:7035859:-1 gene:DCAR_005134 transcript:KZN04297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCMLDNHEVFVTRDALLRMIATSRPAAPVSEDGLVRRSEVIDVMHLTATSVITGLQSAIAELPSSSAGAVDQGAVAALVELTRCEFLSRVDEMFRPH >KZN04531 pep chromosome:ASM162521v1:2:12304510:12306358:-1 gene:DCAR_005368 transcript:KZN04531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKYRSTPNMLENFVAVIAKDPRFERLPCTHKGTYADDCLVERVTQHKCYMVATCDRNLKRRICKIPGVPIMYITKHKYSIERLPEATMGGGRVDIPGRHYNIISDSLCFCLIYVCIMVQLQDFRNGNKNHLVEKELQQSS >KZN04946 pep chromosome:ASM162521v1:2:18228232:18229989:-1 gene:DCAR_005783 transcript:KZN04946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLASSVLKCGKGKVWLDPNESNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHAGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNVYKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKFARREERLAQGPGEKAPEAPPAATPAAQSQPSKK >KZN07065 pep chromosome:ASM162521v1:2:38076066:38080137:1 gene:DCAR_007902 transcript:KZN07065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMALSSPAFAGKAVQVAPSSSELFGNGRVSMRKTVKAPVSNSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAVVFRICYVVYWSSKPFRTKSTNSLSTLIILGSGGHTAEMLNLLSVLQENRLYPRYYIAAATDTMSLQKARVFEDSLADKRGSKTEETAQFMRIYRSREVGQSYVTSVGTTIYAVAHALLLMIKIKPQVILCNGPGTCIPLCAIAFFFKVQVLGIRWSSIFYVESIARVKRLSLSGIILYKLHMADQLFVQWPRLQRKYPRTQYVGRLM >KZN04803 pep chromosome:ASM162521v1:2:16209301:16211551:1 gene:DCAR_005640 transcript:KZN04803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYQGELADILGSSSLIPSSQEPSHVTTADMWQLSHSAMKKDHSLDDFGNPFCTLIKDPLFEDLDIPISNIFTAVSSNIIQQSPTTFPDDDKYSNKFQISRDLSSSSDISCANSSSLLKPLAQNRELICDNHVNHMMFSGISSGTSKPCNCFIENRRAQISSPQYTGIKRRKGQGKKVVCIPAPAPVNSRPTGEIVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRNNPSKNSIIASATKNAHGSNETPKSPLPGLKEEHKELMSTNTVTVNNSSSEHVNIKGIHLEEKDTGTEAGDHYINHPDKDFFAELGEIEGTDPLELMFTQGFTGEESESHKALDPYGLYEWSGNSKH >KZN05860 pep chromosome:ASM162521v1:2:27828184:27836495:1 gene:DCAR_006697 transcript:KZN05860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGVESPEKGASVNAIAMDFPVYDDGNTVCSPPMIPSRIRRRLSENKSYSPSSVEEIEAKLRDAHLRRQKFYESLSSKARPKPRSQSQSPSRNEDLGQRLEAKLQAAEQKSCVGVESPEKGASVNAIAMDFPVYDDGNTVCSPPMIPSRIRRRLSENKSYSPSSVEEIEAKLRDAHLRRQKFYESLSSKARPKPRSQSQSPSRNEDLGQRLEAKLQAAEQKRQSLLEKSKTRLAKLDELRQAAKTSVEMRFKKERAELGTKVESRVKKAEANRMLILNSYCQRRATLKERTSQSLSRRIARDSKYKQRVHAAICQKRAAAERKRLVLLEADKTRAAARLLQVKEVANSVSQKREMERRTLKDKLEDRLQRAKRQRAEYIMQRAKIQNSIPVNWIKRQQADLLSRKLARCWKRFLKTRRTTLDLTRAYDVLNLNEPRVKTMPFEQLALLIESASTLRTAKAVLDRLESRLKVSKAVSSSANSCALSDINHLLKRVASPSKRTTPRRPGRNKDAKKQVAVRGTAKAPAELSRYQVRVVLCAYMILGHPDAVFSGRSEREHALARSAEKFIEEFELLVKIILDGPIHSSDEESDPASTRRWTFRSQLTAFDAAWCAYLNSFVVWKVKDAESLEEDLVRAACHLELSMMQKCKPTQGDSSTLTHDLKAIQKQVTDDQKLLREKVMHLSGGAGIQRMEDALSDTRTKYFQTVENEKSAVFPPITHISSPSLAMPMPGSSAGSSSAMSLGKNVAMARGERSSRVVRSLFKEEGFVSGKEDAIPARTENLGLDNELMVNEIIHEQRHIFDGAPATEEVSIQAKVQAAMEKVFWDSVTDSLKDNNYERVVELVKEVRDELCDIAPQSWKQEITEAIDVDIIAQVLNSGTVDMNYLGKILESALVTLQKLSAAAHEDELKKTHNNLLKELAELCNDGDGTNYSHVIALVKGLRYILEQIQALKQEISRARVRIMEPLLKGPAGLDYLKNAFVKRYGPPSNAVTALPLTTQWLLSIWDKRDQEWGDHTTALSDMRKRNGASGHILMPSITLRTGGNLSARTTGSQVPSFSLDSPGKPCIHIDASEIDNRPLECKGEKVDLSVRLGLLQLVNQVSGLTEETMPETLKLNYTRLRAVQAQVQKIVVVAVSILVLRQTLLSEKIVRNAEDMDHMISSCGDKVSALVDTVEDAGVEEIIDVLSKLVEDYDMSNDTAKRQSRKNVMGRMLVKSLQAGDAVFDLVSRAIYLAARGAVLGDAEGLGRALTENSLRKIGAAVLTDRVVEAAKVLVLAATVSVNVHGQWYTQIVENM >KZN05581 pep chromosome:ASM162521v1:2:25288173:25291361:-1 gene:DCAR_006418 transcript:KZN05581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSDEAKGVVVSQLVEAIKDISALPECKNASKKICSNLVRRIKLLSPLFDEIYDRYDELNDNELEGLQALKVCFDTVKEFIKSINEGSKILQALQIDKIAGKFHELTVQIEETLSQIPYNLLNISEEVREQTELVHAQFTRAKVRMDSPDPQLQLDLAIAQKEKDPDHAVMKRLSEMLHLRTVNDLKKESLSIHDMVISSGAVSDDLFETMSCLLKKLKDYIVMVNPEVSMYEGEKTVIRHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCESNGVELPKKQAGCRNKKPGSSSSDTDRAAIDTLLQKLANGNSEQQRAAAGELRLLAKRKADNRVCIAEAGAIPLLVDLLSSPDSRTQEHAVTALLNLSINEANKGTILNAGAIPDIVDVLKNGSMEARENAAATLFSLSVVDENKVAIGAAGAIPALIDLLCQGTPRGKKDAATAIFNLSIYQGNKVRAVRAGIVPPLMRLLKDAGGGMVDEALAILAILASHQEGKVAIGQAAPMPVLVEVIRTGSPRNRENAAAVLWSLCAGDVNYLQLAKGLGAEEVLKELSENGTDRAKRKAGSVLELMQRIEPGVVPQV >KZN05340 pep chromosome:ASM162521v1:2:22870230:22874159:1 gene:DCAR_006177 transcript:KZN05340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQNQANGCLLGHVSCTTFNILAPIYKRLSDKNCENEFPELWVSRNESILDKLLEIKSSIICLQEFWVGNEELVKMYEKRLGEAGYRTYKLARTNNRGDGNDSVISALSIKCSNYSGSIYVSRNMIVKYQEPGLLAAVHQNSFHVLGYKECVINGIGDRVAQLLDLELVHPEDQIHKLGMRMILVNTHLIFPHDYRYCFVRLKQVYKILQFIESYCKEYKLPAVPIILCGDWNGSMKGNVCRFLLSQGFVSAYDIAHPYMGHNVGNCKQLQSKVTEGIYAPPSPQTDLSCLTFTQFSDALAKLQLIGPPHNVFTAEEIKDFWDQIDCNGDGMIDMSHFSNTFDHQTFQQQQGKYIDEAETQSEESADALTTVSKVGFNVDKAMLFPVEVEQGTWPESYSLSDHALLTVEVSMVHIPMLH >KZN05931 pep chromosome:ASM162521v1:2:28490515:28492128:-1 gene:DCAR_006768 transcript:KZN05931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPADESPLNFLIYGRTGWIGGLLGKLCQAQGITYSYASGRLENRASIENDIATVKPTHVFNAAGVTGRPNVDWCESHKVETIRANVVGTLTLADVCREKGLVVINFATGCIFEYDDAHPLGSGLGFKEEDTPNFIGSYYSKTKAMVEDLLGNYENVCTLRVRMPITSDLSNPRNFITKITRYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPKFTWKNFNLEEQAKVIIAPRSNNELDASKLKKEFPELLSIKESLIKYVFKPNQKTSAA >KZN06102 pep chromosome:ASM162521v1:2:30111130:30112635:1 gene:DCAR_006939 transcript:KZN06102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFEMFVPDSTTFTGLFKLCIEINDIEIGRQLHCLVIKAGLCEDCFVSSVLVDLYAKFGVVEDARKVFDFVLDRDVVLWNVMVSCYALNGLGGEAFRVFRSMEFNGVKGDDFTITSLINVCSSCASCELGRLIHGLLIRQGFDLDVVIASALIDMYVKTENICDALKVFQAMNLRNSISWTTLIVGYGRHGDGNKATILLVKMLGEGLNPDELTLASVLSSCGNLSLFGETVQIHAYALKTAFSAFLSVGNALINSYSRSGNFASAYLSFISIIEPDLVSWTSMIGACAFHGLSGEDTLGAFIGACKVYGNLELEKWSTEQLIILEPNMSVSYTLMSNMYASAGSWKDVSRVRKMMRENCHFKVPGSSWTDIAGEVHTFFSSDRTHPQMLEMNAALVTLFGLMKNKEHRPSIDLLH >KZN05494 pep chromosome:ASM162521v1:2:24347085:24347852:-1 gene:DCAR_006331 transcript:KZN05494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKIVKFPSMFKKRSSSDQWQWPSSGKHNVNYENGDELMFKTVNSVFLEPCIGDQTSGDKPSYGWFTNAEYSPSLSDTSEQVEDEDDQEMEDELEEIIRGVRSDRLFFEPCNSLLGSSTTTTTTTTGTAATSDTRGASDDVCYNDVEELPFKESIVLAMESEDPYEDFKGSMLEMVESHGLKDWECLEELLEWYLKMNGKMNHGVIVQAFVDLLVGLASDDSTSFSSAASSFSASSSSPLSTVSRRELCNHDHM >KZN07457 pep chromosome:ASM162521v1:2:40990690:40992029:-1 gene:DCAR_008294 transcript:KZN07457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKGENSSQYGGSIPVENVQSLASKNLIEIPPRYLRGEYESEELLDDEALEIPVIDMHKFAVGPSEYKSELEKLHLACKDWGFFQMINHGALEEIEKMKAVTEEFFKLPLEEKMVSAQLPHSIEGYGQAFVHSDDQKLDWADMLFLLPRPSSNKNTEIWPKAPPSFRPALEEYSEKMHELSIKLLRLMAENLKVEPESLVSKFDPDGGQGVRMNYYPPCTEANKVLGLTPHSDSIGLTLLIQVNDVEGLQIRKSRKWLPIKPIAGAIVVNIGDILEVFSNGEYSSIEHRAVVNNVKERLSIAAFHSPHIDTDIAPLPELVQDNSPKYKTIRHIDFIRLVLGSHLDGKSILDYLKL >KZN05714 pep chromosome:ASM162521v1:2:26584297:26585745:1 gene:DCAR_006551 transcript:KZN05714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIISSSSSSSFLCHGNPSPTIQQRLQFILQNRPEWWMYYIFWQTSKDNATDRLVLSWGDGQFRGSKDSMLKPTNASNVGFELEQRKVAKGIQSLFTDTITSGVDGVVVDTEFPDSEWFFMVSATRSFLAGDDNIVGRAFSSGTYVWLAGDEELQFNACDRAKEAYLQGVKTLVCISTPCGIIELGSSYAIKEDWGLVHLAKSVFCPDNTNMNMSTSPNQSLSLLDINAGYPREHIKSTNSGGDEDIAKQTKMTRSSSYLGNSGFESPLDIHHDTTMCTRSFNKRGRRTVASGRELAQNHVEAERLRREKLNHRFYALRSVVPNVSRMDKASLLGDAVTYIKELKSKVDELDGKLREEVRKSKYTTDAVYHDTCATQSTSTTTHAYNAAAARSTVLNYGPIQMEVDIKILGSQAMIHVQSSDVNYPWARLMDALRMLEFRVHHASVSSVKDLILQDIVIKVPDGLTNEEGLKSAILATLQI >KZN07155 pep chromosome:ASM162521v1:2:38722257:38724968:-1 gene:DCAR_007992 transcript:KZN07155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVTWRHSSVFIIIFTLLSLINSSCCKSSSPSSCGDGLNINCPFRLKGDNQKCGGSFSQELSCRGNRTVFYLNELWFYVQEINYDDHYIRIVDPGIQKNNFTSLPFHSITQDDLTLAASPLSGYNQPITMIECPSPLTSTSYINITDSYVYSYAYLVTGGSCNFDEVEMEDNCRINKVAWVAVPEPCWEFSSMSEIHDALVYGFKLPWSYFYCLKCEDMVLTDQGYCETYDTDYRRWACIDYNLCNILHLRSYHLSVSYSNYRERDGKLAGRVAGTILGARFSLGIPFLLALLVYRARRRHLSMYDTIEDFLQAQNNLMPIRYSYSDIKKLTNNFKDKLGEGGFGTVYKGKLRSGLLVAVKILANSKATGKEFINEVATSGRIHHVNVVELLGFCFEGPKRALIYEFMPNGSLEKYIYSKEGTEEEVVPLSWEKIYKISYKVATGIDYLHRGCDMQILHFDIKPHNILLDENFNPAISDFGLAKLYATDHSIVTLTAARGTMGYMAPEMFYKNIGGISYKADVYSFGMLLMEMAGRRKNLNPFVDHISQIHFPSWVHDRFSEGKELEMEDVTEEDRKLVKKMILVALWCIQMKPSERPSMNRVIKMLEGDIEQLVMPPKPFLYPQEDTTEIDNPIHSSPNLLPDED >KZN06598 pep chromosome:ASM162521v1:2:34250046:34250276:-1 gene:DCAR_007435 transcript:KZN06598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREAEQERFMAADEDGCATPKHCLTPARLVCPPPPKKKKYAGEAARGPPKNGYFKSPDLDLFLAIASAGRTVFNN >KZN04449 pep chromosome:ASM162521v1:2:10961762:10972121:-1 gene:DCAR_005286 transcript:KZN04449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGFGLWSLESVFLKCEGGLKLWEGSLDLVKALRSEDEAKHLSIAGKRVLELGCGHGLPGIFACLKGAAAVHFQDFNAEVLQCLTIPNVNANILQNSEADIRYFAGDWGEVHQLLRHLNTDENCNCELNEYGGYDIILMAETVYSISTLPNLYQLIKKCISRPGGVVYMAAKKHYFGVGGGSRRFLSVVEKDGFLKASLVAEVADGSSNVREVWKLEIK >KZN05755 pep chromosome:ASM162521v1:2:26960535:26965371:-1 gene:DCAR_006592 transcript:KZN05755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRHSWLLSLSVIGILVSCCYSYEYDEYLNKTEILYKDSVFGAAAYTPLTVGLTIIQGAASRGAVCLDGTLPGYHLHRGYGSGANSWLIQLEGGGWCNTVRSCVYRKKTRRGSLNYMEKQLVFSGILSNKAEENPDFFNWNRVKLRYCDGASFAGDSEDKAAGLQFRGERIWWAAMEDLKAKGMRYANQALLSGCSAGGLASILKCDDFRGLFSSRTKVKCLSDGGLFLNAVDVSGGRTLRNMFGGVVKLQGVGKNLPRTCTNHLDPTTCFFPENLIANIQTPMFLLNAAYDSWQVTASLAPPSADPHGYWTACKANYAHCSTSQIQFLQRFREHMVNVVQRFSRSPKNGLFINSCFAHCQSERQDTWFSDNSPLIGNKGIALAVGDWYFDRAGCKAIDCPYPCDKTCHNLVFR >KZN07593 pep chromosome:ASM162521v1:2:42076242:42078947:-1 gene:DCAR_008430 transcript:KZN07593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQVEGMLGSGGGGMSHDFSGEQHHRAKVEIATHPLYEQLLSAHVACLRVATPIDQLPLIDAQLSQSHHVLRSYAAQQNQIHHQHPGERQELDNFLGQYLLLLCSFKEQLQQHVRVHAVEAVMACREIEQNLHSLTGATLGEGTGATMSEDEDELQMDFSLDQSGSNAHDMMGFGPLLPTESERSLMERVRQELKIELKQGFRSKIEDVREEILRKRRAGKLPGDTTSVLKEWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSNSMTSLKSKRKR >KZN04647 pep chromosome:ASM162521v1:2:14162012:14164099:1 gene:DCAR_005484 transcript:KZN04647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVNSWGNTPLDTVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSPLTNKYSEGIPGNRYYGGNEFIDEIENLCRSRALIAYRLDPTKWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWEYKKLREVADKCGALLLCDMAHISGLVAAQEAADPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPAKKGQPEGAEYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAATPGFKAYAKQVRANAVAIGNYLMSKDYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLLEKDFEQIGEFLHRAVTITLSIQKEYGKLLKDFNKGLTNNKDIEALKADVEKFSSSFDMPGFSMAAMKYKD >KZN05774 pep chromosome:ASM162521v1:2:27121101:27125974:1 gene:DCAR_006611 transcript:KZN05774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPFLLESNLKWNPLLHTFPIHYSPIKPTNHFIKHLVKYNQTPKFHIKCSSSPSFNNIPTRAVSNSPNKQNPVQILAQSIIRTLKSLQKPAITAVLLGILLLSDPTNVLAASGGRMGGKSFSRPSVSSSRSYSAPRMDSGRSFSSAPYFGPSPFGGGGFYVGPAVGIGSSFFFIMAGFAAFVLVSGFLSDRSDDGLLTATEKTSVLKLQVGLLGMARSLQQDLNRIAERADTSTPEGLSYVLTETMLALLRHPDFCISAYSSVDVKRSMDEGEKRFNQLSIEERGKFDEETLINVNNIKKQSATSRRANGFSNEYIVITILVAAEGVHKLPSINNSGDLKEALQVLASIPSSRTLAVEVLWTPQNENDTLSERELLEDYSLLRPL >KZN06737 pep chromosome:ASM162521v1:2:35473775:35474224:-1 gene:DCAR_007574 transcript:KZN06737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDLEWGESLAQRREAEARKEELALERSKPFARSRDDPELDRMMKERLRWDDPMAKLIKKKRDVELGLPDLGDCQRMRSSGFIVPQEIPDHSWLKRGLQAAPNRYGIKPGRHWDGVDRSTGFDKAMVERMNGKLATEREAYLWSVSDM >KZN05282 pep chromosome:ASM162521v1:2:22112163:22113533:-1 gene:DCAR_006119 transcript:KZN05282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSETVELVSECLIRPSDLPQKAKHPFHLGPFDLAMLSVYYIQKGLLFKKPMVTNDRENSVEVLVQKLKKSLSVTLVHFYPLAGRLVTKKEESPQSYVVFIDCVNSPGARFVHAKVDLMISDILSPTYVPSVVESFFYHNRAINHDGHKVSLLTVQVTELKDGVFIGCSLNHSVIDGTSYWHFFNTLSEVFMKDIDDQGLEITRPPIHERWVPDGYDPVISLPFTHTDQFLSRHDAPELKQRIFQFQAAALARLKAKANAKCINKSTTISSLQALSALMWRCMTRVRGLPHDQITGCKLAMNNRARLHPPLSQNYFGNCIQVVRATTTAGNLLINDFEWAALLVHKTVAEQDDKALKNFIADWLQSPSVYQPGQFFDRCSIMIGGSPRFDMFGNEFGLGKAVAIRSGCADKFDGKVSLYPGTEGGGSMDLDICLPPHFMTALECDEEFLEGLNLSG >KZN06224 pep chromosome:ASM162521v1:2:31107280:31111378:-1 gene:DCAR_007061 transcript:KZN06224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALFKPTSSSLSTPTNYTHTNINHTCSQRLAQFPVRDVQAVICPRRGRVSVKAVLDSKEVKESRFKEPDIRNPTVSSSYRSCHYSKPNQTVLEAQTRVCTGPTQTKPLNEEQAFKVFDTILRSAKGELKDDEKVSKAQLGAFFAAMTIRANAFPEPTQWSEGERRAINAYWPHLVRVLSPDIIFVADPEGSIMGTGNSIGPQYVGNGTSDMRLVGALREVLAGGHLGYEEVQGVLRDVLPLNSENKSAIVTESLLSAFLIGQRMNRETDRELKAYCLAFDNELGPVPIADVKSLTHYGEPYDGNTRFFRSTLFVAAVRSCYDEASLLHGVDWMPPKGGITEEQMLKFMGADTNLSPLQAKRLLEDKGVGFAYVSQREACPSLYSVIGLREHIKKRPPLATTEKVQQIVRARGKESIVAGFYHEGYEEPLLMLMKRRGVHSGLVVKGEEGALSMTTKARSMNASKGLPVNYCAGFRSVDMPMPYEVDGVSLENFKFVVNAEEYGFDPTETPRTDKSVSRNIELGLAALRGEKGPAYDRIILNAGIVDHLLGCKGAEDISAALDRAREAIDSGKALDRLLNYIKMSHKVR >KZN04504 pep chromosome:ASM162521v1:2:11950805:11954691:-1 gene:DCAR_005341 transcript:KZN04504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHPCLNNYAATSVVACTMSNVSFEEAEETIPPILTIVEIKKLGVDYIEKKVRCQITVKKVDQKTNWYGNVCTTCGEEVNIVEARYKCVFCSRNIPYPDKRFRLATVCNDTTGVIVIIFPDDEIQRIIGKDAFESKTDVSFPTILKVFEKKDYLLTLKIVDRNVNNSSNIYTATEINNPLENNGNHSPTAVQTIQTVDTSAPILVETTVEVPSSPPTAKSSNKVRQREKKGNTIEVMEENVPIGKYKMIKTEKRSRIHAFVPTNVADQLETILNISDIYLFHNFTVKDLTAEDKFRLGRKQIQIQLGAETLIKKLEENEFNVIGIIEEHDPVGKIKNRNRQIQSQIKFNITDGSTSVKVTFWDDFAHMFADECKEEIVFPLILIIGSARVQLWQDEVVLSNVPATTIHINCNHCSVTEMRRMLDQRDFSERKLTQHARTCSTAYKVKDLKNLSAVYTECEVLCVVQIDKIQDAREWCHYICTSCYEKLIKVDNEDTCTTCQRFVPFPDFNFEIYAEASDDTGSIIVILEDREVRNLLQTTALELIANGTKQDTIPELFRVLEKNMYTVKLFISEKNTVQKDHCYIATDIMQGAYNKNNHSEHTSFPHPIQDMNAEPS >KZN04720 pep chromosome:ASM162521v1:2:15033168:15034353:-1 gene:DCAR_005557 transcript:KZN04720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLRIIILVTMMISWSPWVQAMRFDLKSGSSKCITEDIKSSALTVGKYSIITDIQPPPDSHKITIRVTSPYGNNYHYSDHVDSGTFAFTAAESGDYMACYWAVDHKPPVTLSIEFDWKSGVAAKDWSKVAKKGQVEVMQVELQKLYDSVTSIHEEMFYLREREEEMQALNRSTNSKMATFSFLSLLVCLSVAALQLWHLKTFFERKKLL >KZN05081 pep chromosome:ASM162521v1:2:20046443:20049518:1 gene:DCAR_005918 transcript:KZN05081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNSPPIVDKEQKLTISLVMALPVQIFGMAAQEMEYRVEMFNKLTHTCFNKCVEKRYKESELNMGENTCIDRCVSKYWQVTNLIGQLLGTGKPPM >KZN04438 pep chromosome:ASM162521v1:2:10818549:10818812:1 gene:DCAR_005275 transcript:KZN04438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPEEPTINPNTLPHTLTAHKRAISAVKFSDDGALLGTSSADKTVKTWSPHTGALIQDFFGHDLVACSDDKTVRLWDLNATTPSKP >KZN06497 pep chromosome:ASM162521v1:2:33376998:33379152:-1 gene:DCAR_007334 transcript:KZN06497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGYSKNNQSFDVLRIYKMMRSDIGRSDSFNLVFVIKACAELSRFRDGEAVHGLVVKLGFESDQFVVPRLINMYIDVECLDEAEKVFDVCCEKDLVVWGCMVKGCLRLCKYFRVFDFVRRMIMSGSEMDTSLLEMLVQACGNVLAGKEAKSCHGYLIKNKFLNCGISLQTSIIDSYMKCGFCDLGVKLFEEIPEKDVVSWTAVVAGFTKLGRXVHCYMLRHAIELDTVNYTSFVDMYAKCGCIMMASRVFNLMPHKTVYSWTAMINGFGAHGMYSEAINLFDEMISQNQQPNSVTFVSILSACSHSGKVEEGWKYFNIMCREHGIVAKEEHFACMVDLLGRAGRICEALSLIDKMPMVPGASTWGALLSACKIHKLVELAEYVAKKLLPVETDKSSVYVLLFNIYADAGMWDKVKKLRLRFGEKGLHKSAGFSSIEVNEKLYVFTSKTKLASLFTELESVWISVTEQMRIFGHVYDMSFIINDAEDIVKK >KZN05437 pep chromosome:ASM162521v1:2:23826734:23827213:-1 gene:DCAR_006274 transcript:KZN05437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIPTSSPSTTSLFIKLLTLSLVCSACLCKIHVDISNHLPDGSPPLTVRCQSGDDDLGYHELYPNQPSYTWGFGPSWFATTLFFCHFWWDGKDAVFDVYNEDWGVYYCARFIQSGRYSKKVSDTCYWQVKSDGIYLSKTSDLVSSEGPWLQMHKWGDK >KZN06515 pep chromosome:ASM162521v1:2:33579587:33580381:-1 gene:DCAR_007352 transcript:KZN06515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFREKIIPNSNKSLNKSLSQSYSFKDVESLSDNDRQSAPSKTAAVFHRIRRSSAAFRAFSSTTRPPDPIRTPQPEPIQTPQPDPIQPPEPEPIQPPQLYPKSSLSGAEDRVVVYLTSLRVVRPTFEACKTVQSILTGFRVNVDERDLSMDASFSSELQRIFGVSEETQVALPRVFIGGKYIGGAEELRQLNETGELKKMIAELPEADAGVCEECGGFKFVVCEECNGSHKCYREKNDGFRTCNVCNESGLIRCNSCSYGPIF >KZN04661 pep chromosome:ASM162521v1:2:14324099:14334853:-1 gene:DCAR_005498 transcript:KZN04661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNQVDPYDYFMADEGDMSDFLDDADEEYYGVAAANDTALDDYDVVTDTSAAQARKGKDIQGIPWERLNITRESYRSTRLEQYRNYENIPLSGEAVDKECKRMQKGGSYYEFFYNTRVVKPTILHFQLRNLVWATSKHDVYLMSNYSIMHWSSLSHNLSEVLNFSGHVAPTEKHAGSLLEGFTQTQISTLAVRKNFLVAGGFEGELACKRLDKKGVSFCTRTTYEDNAITNAVEIYDSLSGGIHFMASNNDCGIREYDMEKFQLMNHFRFPWPVNHTSVSPDSKILAVVGDDLEALLVDSQNGKTISSVVGHLDYSFASAWHPDGNIFATGNQDKTCRVWDLRNLSKPVAVLKGNMGAVRSVRFSSDGQFLVVAEPADFVHVYSARVDYQKRQEIDFFGEISGVSLSPDDESLFIGVWDRTYASLLQYNRINEFKYLDSYM >KZN06436 pep chromosome:ASM162521v1:2:32899200:32903408:-1 gene:DCAR_007273 transcript:KZN06436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGAVDPRKDNHSPMAPDASAASNSHGNGVVPSSAPSHLRLRLNPNTEHNPDRYDGIEMEFNPLLYSSLERYLPSTMLGANRSTKAYYMRQLLLRYAPDGERSRVKKNKEYKDKIMSNYQPMHRELYHMNPAAFFVSSFTKAISENTEEAFRSIISEPSPGVLTFEMLQPRFCEMLLDEVEHFERWVRDTKFRIMRPNTMNAYGAVLDDFGLETMLDKLMEDFIRPMSKIFFPEVGGATLDSHHGFVVEYGTDRDADLGFHVDDSEVTLNVCLGEQFSGGELFFRGVRCDKHVNVESKPEEIFDYSHVPGRAVIHRGRHRHGARSTTSGHRVNMLVWCRSSVFREMKKYERHAPSWCAECQREKKERQRLSIAAINLELQKRVGGAAS >KZN04020 pep chromosome:ASM162521v1:2:690564:691293:1 gene:DCAR_004818 transcript:KZN04020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIKEKFCPLKENGSNFVSASALKFEGNWKENTTYAELLDSCTFKVSGDCRLQGHNYMAQFVIGLTHHHTIGRVKIETSSPKQESEEDLSNQTSELVKPLLIGLCGDDHVEYSLTSVEPHRKMQKGLIVYGVSFTLVGM >KZN07762 pep chromosome:ASM162521v1:2:43410549:43412814:1 gene:DCAR_008599 transcript:KZN07762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFMKELGKVVKIGVVGGSDLVKISEQLGQTVITDYNYVFAENGLVAYKDGKLIGTQSLKTFIGEENLKAIINFILHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFERYDKIHNIRSKLVSLLREKFAHLNLTYSIGGQISFDVFPQGWDKTYCLQYLDEFTEIHFFGDKTYKGGNDHEIYESERTHGHTVWKGLEPRTIPVHLDDHYN >KZN04981 pep chromosome:ASM162521v1:2:18594539:18599208:1 gene:DCAR_005818 transcript:KZN04981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPRNFSLFWNSSVPHYLSKVGGVVRTAGGEFPERIGEPECQYYLRTGTCKFGTTCKFHHPRNGGGSLSNVPVNIYGYPFRPGEKECSYYLKTGQCKFGLTCKFDHPQLPGISVPASVRPYYPTVQSSPPPDQYGGATTSYRVPRPPLLPGSYVSGAYGPLLLPQGVMPIPNWSPYSGPVSPVMSPGAQPSVGAASLYGVTPLSSSAPAFAGPYAPLPSSAGQSSNSRMEHQFPERPGQPECKYYMKNGDCKFGSSCKYHHPPDWANLKTSCVLSHIGLPLRPGVQPCSFYMQNKYCKFGHTCKFDHPVGAIRYNTSTSSLNDMAVAPYMLQSTLTTTIPFPELQPEFVSGSNLDPHLT >KZN04384 pep chromosome:ASM162521v1:2:9939899:9940480:-1 gene:DCAR_005221 transcript:KZN04384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPYQTLILSVTTLFFLVTLCAAKENHFSVQGQVFCDVCRAGFFTKPCTYIKGATVRLECRNREQDTVLTFKGEATTDDSGTYHISVDGPDFEDDICEVKLVKSPDSDCNEINVKNSNDKARVSLAANSGMTSDVRMANPIGFLKKSANSECSKLLEAMGVVPDT >KZN04065 pep chromosome:ASM162521v1:2:1356097:1356561:-1 gene:DCAR_004902 transcript:KZN04065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPVISATLVSAFCFLWIPSSLAQTSPQDFVDAHNAARAADGVGPIVWDETVAKYARNYARKIGPKCLMQHSGGPYGETLFRGGGEATAKEIVDYHASEKQYWDNRTRTCAPGQECGHYLQVVDPNSVQLGCARIKCRNDEWYWIVTCNYNPY >KZN05635 pep chromosome:ASM162521v1:2:25789697:25790863:-1 gene:DCAR_006472 transcript:KZN05635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTLLMRFIYPPPESVFLRAMSIVGVSFVIAGLMEICGKSTIQYSKIFNTSKKNVEASKSKMIEFSGRIGMFLAYFPAFVAAVAALALMQNQGLRFTLLTSAVALHFFKRVFEVLCIHKFSGSMPIDTVIIISSSYFLFAVSVIYSQHLAEEFKEPIIDLKYAGTATFLVGITGNFYHHYLLSKLRKEGEKQYKIPHGGLFDLVICPHYLFEIIGFIGISCISQTVYALSFTFGSSAYLTGRSYATRKWYLSKFEDFPKDIKALIPYVF >KZN05352 pep chromosome:ASM162521v1:2:22973949:22988045:1 gene:DCAR_006189 transcript:KZN05352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAPEHCSHTSQSDDVRVYCVPYRWWKEAEESTPGTVSDWEGGILYTASPTSSGPMKIINSIFSSDIVFNLRREDNTSQDTQNGEVGISGRDYALIPAEMWLQALKWHSNSKAASKNGRTFLACEDDMSDIYPLQLRLSTPSEDNILGDNAAECFRRACKIFSIQSEILRIWDFSGQTTQYFLNKEEKGTLYSQLQVEEDILLKLQVYGLSDINGIKKDEMTAQHPNATSPMMSSVSGKFTSNYIQSRLPTFSGNACEAGSLGLTGLQNLGNTCFMNSALQCLGHTPKLVDYFLGDYRREINYVNPLGMNGEIASAFGELLKQLWAPGATPVAPRAFKSKLAHFAPQFSGFNQHDSQELLAFLLDGLHEDVNRVKKKPYAELKDSDGRPDEEVADEYWKNHLARNDSIIVDLCQGQYRSTLVCPHCRKSSITFDPFMYVSLPLPSTSIRTMTVTVMTTDGNSQQSSVTITVPKSGRLEDLNQALGSSCSIGVNETLLVVEVYNNKIIRYLEDPSDSLSLIRDEDRLVAYRLPKDFGKLPLVVFMHQQMEEKNFLGKKTTSWKAFGIPLLASCNIANGSDIRALYLKLVDPYLDPADNMIDNYDNVVSASNEGTAKLEDSIFLESGEGADTADKNSLDMHTDTDFNFYRADDKGTGRGSEIEMNEQITCTNFPCRLNVIVCWTEKMVERRNSVLLSSLPETYKSGFFSRKPQESVSLYTCLEAFLKEEPLGPEDMWYCPVCKKHCQASKKLDLWRLPEILIIHLKRFSYNRFLKNKLETYVDFPVHDLDLSPYISFKNGDSSNCYMLYAISNHYGSMGGGHYTAFIHHGGDRWFDFDDSHVSPISVDKIKSSAAYLLFYRRVQDV >KZN05388 pep chromosome:ASM162521v1:2:23326058:23333816:-1 gene:DCAR_006225 transcript:KZN05388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENEPVIAGNLNSCPTLTINSTHSSVTDSQVLVASHPQLKLKKPKPLKDLNGKLCQICGDDVGLSAAGDPFVACNECAFPVCRPCYEYERREGNQSCPQCKTRYKRLKGCPRVDGDEDEDDVDDLDNEFNYTEGNTQARGKWQGDDIDLSSSSRHESHPPIPLLTNGQSVSGDIRSATPDTQSIRSTSGPLGPSDKHVHSLPYIDPRLPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKHEKNITQVTNRYTEGKGGDIEGTGSNGEELQMVDDARQPMSRIVPISSSHLTPYRVVIILRLIILGFFLQYRVTHPVSDAYPLWLVSVICEIWFAVSWLLDQFPKWYPINRETYLDRLAIRYDRDGEPSQLAPIDVFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHLIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIIKSCCGSRKKERQANKKYVDKKRAAKRTESNIPIFNMEDIDEGVEGYDEEKSLLMSQKNLEKRFGQSPVFIAATFMEQGGIPPTTNPANLLKEAIHVISCGYEDKSEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCVPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGKLRPLERLAYINTIIYPITSIPLIAYCILPAICLLTDKFIIPEISNFASMWFILLFLSIAATGVLELRWSGVTIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYIFKWTALLIPPTTVLIFNLVGIVAGVSYAVNSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDPTKASTSGQQCGIDC >KZN06522 pep chromosome:ASM162521v1:2:33696847:33708686:-1 gene:DCAR_007359 transcript:KZN06522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSSTNSDNTLLDCDQGDDREGGQLYISLKMENYKVKHDLIPHVYGSVPLVGSWDTTKALSMQRESTSTWELSFVVPPNHETLDFKFLLKPKYSSTPCVVEDGADRLLTRGTLQGDARLALFKLNEEEVLEYKVLIKADRVSPFDLAASWRAYRENLRPSTVRGIPDISINPAPQLGVENCSSASLDLDLEHYEVPTPETANSRVYAANMTETPRFGIAGKTDGLESASHFSKGLEVTVPDPAKTFSASGMVETKSIGMVSAMQKQDSHRGLFVDRGVGSPRLAKLPSLSNFPIDHKSDSDPKVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGANQSADFFRADNPEGMEARNEVAALAMEDMIAWMQEGGGQVGIFDATNSTSRRRNMLMKMAEGNCKIIFLETICTDRQIIERNIRLKIQQSPDYAEEADFEKGYQDFRTRLDNYEKVYEPVDEGSYIKVIDMAKGQGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGQSQDNVRGRIGGDTVISDTGEIYAKKLANFVEKRLKNERAASIQWRALDEINAGVCDGMSYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVVSHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >KZN07478 pep chromosome:ASM162521v1:2:41171909:41175820:1 gene:DCAR_008315 transcript:KZN07478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTRSLKEILVQLNASKKCVGKRLRADSSSIEKLPIGRRRRLRSGRDQVSEQESSGVSPVECVDVHDDLDFSGGGGKKCESSCSLKSSKNGKRDDLRMNVRRNCRVSDDEEDLEIICEVFNSPHAKVVKIEGDDEHEHVLEKGMDVLKFVEEKKHEVSNDSLVCDAPMSNDDNNDDDDKKKKGGDDDEGNGERKSFSDNESDNRSGNLNKERRIEIMNKSNVKNEVKKSTTDSQGVVNRLRSSSAKETEMMAINIINPITPEEFDEMSFSSESDNGDNSDDNSRNNSNEHESCEEDVCKESGESQIVAKSNEHEIVEVTTTKSTDKVVPLNAKNVSQILANSLLDVGEGQLENFTATHGIEPVKETSVYKFRFTDEDLEPVEKSEFEKEIEKLFAEMDMHLTSEQIGSAPLEDVDTGALITAQTDCATLCSRGIHHLVFKEEVGTVCKYCSHVEREIRYILPSLSKPSSRRREKNSFAEAECPFLPDHFQITGAENHVSKNYKTTGTVWDLIPGTKSTMYEHQREGFEFIWKNIAGGIMIEDLEKPLSSSGSGCIISHAPGTGKTRLTLVFLQSFMRMYPESKPVIIAPKSLLLTWEEEFKKWNVDIPFHNLNNLELSGQENAAAVGLSKKGRSSKNNETVTRLVKLFSWKLDKSILGITYGLFEKLVGSETRKVGDCSNPVVQQMGKSLLKLPSLLVLDEGHTPRNNGSYIYRSLFEVETERRIILSGTPFQNNFKELHNTLRLVNPKFSKTSKTEWASLTKKLKKLKEIISPFVHIHKGRILQENCPGLNDAMILLRPTNLQQTLLDLLIDLVKPREQEQRTNYLQFSHVLSIVSVHPSLLPDSWFQEDQFSAYRDRLERLKNDPYSGAKTKFVIELCRLSEALDEKVLIFSQFIDPLVFIREQLQTQFKWLEGREVLYMHGSLEPKQRQSLITALNDPKSKVRVLLASIKACSEGIHLVGASRVVFLDVVWNPSVERQAISRAYRIGQKKIVYTYHLIAGKMEVDKYKRQIQKDRFSDMVFSCKDGVGSCKENIPSKVFEDKILEEMFQRNDKLGGMFEKILYQPRESDLVDSFDLVEK >KZN07354 pep chromosome:ASM162521v1:2:40261585:40262117:-1 gene:DCAR_008191 transcript:KZN07354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIDVQKRSIEQLDVQKKLQMRIEAQGKYLQAILEKAQTNLSLDMNNSGNLEATRVQLTSFNLALSNFMENLDDEERKQNSSEMDFHGSSRNASTSHCTAEVAEKKEIKFKIEDGSINFDLNMRGSYDFLGTNETATEPKPSAYRR >KZN07805 pep chromosome:ASM162521v1:2:43701607:43702926:1 gene:DCAR_008642 transcript:KZN07805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKKYITSEELGKHNKRGDLWISIQGKVYNVTEWAKEHPGGDIPLLNMAGQDVTDAFIAFHPGSAWQHLDKFFTGYHLIDYKVSDVSKDYRKLAAEFAKAGMFEKKGHIVIYSICFVALLLSSCIYGVLSSDSFSVHMFSGVLLGFTWIQVAYMGHDAGHYQAMSSCGWNKVAGILIGNCITGISIAWWKWTHNAHHIACNSLDYDPDLQHLPMLAVSDSFFQSLTSKFYNRKLTFDPVAKFFVSYQHLSYYPVMCVARVNLYLQTLLLLCSKRKIPDRAFNILGTIIFWTWFPLLVSCLPNWTERVLFVLISFCVCAIQHVQFTLNHFAADVYVGPPTGNNWFEKQSGGTIDISCYPWMDWFYGGLQFQLEHHLFPRLPRTHLRSISPIVQDLCKKHNLTYRSLSFYEANVATLKTLRTAAYQARGLLWEAVNTHG >KZN06292 pep chromosome:ASM162521v1:2:31735749:31737612:1 gene:DCAR_007129 transcript:KZN06292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFHNLVENTSKLRFSSDIAVIERGLEALAKFKSHGFDVENVQACLTQLLLKKQKAEELQKECDDTRSKISNSSHKGELDEEISRLCQKLKEIEKELSQAKLKKENRDTDSSALQSRMHAVAENIKSIQVEFESIVGSLGWESEDDGGDQKVHCKYGIDFVEFQERAEVMLRLLHKRLPRLMGHYAFS >KZN06411 pep chromosome:ASM162521v1:2:32709377:32711023:1 gene:DCAR_007248 transcript:KZN06411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYARQLFDQMPAPSSALWNTMFKGYVQNDMYGEVLGFFNQMNRVNAKHTCFTFPMVLKSCAKLLALREGRQVHCFVLKIGFGMNSYVGTTLVDMYSSGGEIGLAYKAFSEMVGRNVVAWTSMVNAFLLYGDIVSARRLFDLAPDRDIVLWNTMVSGYIKCGDMVAARELFDLMPNKDLMSWNTLLNGYANNADVQGTEKLFEEMPEKNVFSWNGLIGSYAHNGRLTEVLGAFKRMLRESHVSPNDATLVIVLSACSRLGALDMGKWVHAYVESSEYKENVYVGNGLIDMYAKCGMIESAVNVFRKMSTKDLVSYNTLINGLAVHGHGADALGYFDEMENAGVRPDGITFVGILCACSHLGEVEIGLHYYHLMINRYSVLPQIEHCGCMVDLLGRAGLLEQAVNFIINMPLQADSVVWTALLGACRIYKNVELAELALEQLIEIEPENPSNYVMLANVYSDARRWEDVARSKIAMRNTKHKKVPGCSLIELDQEVVEFYSYDERHPKTEELYEALRGLMKQLKSCGYVPKDNTLWEEPNEGMMLLASH >KZN04183 pep chromosome:ASM162521v1:2:3375350:3376748:-1 gene:DCAR_005020 transcript:KZN04183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEGEVKYAVDLSWNMRSCYIALALHRKGIRCTVLERSESLRSSGVALTIMPDGWHALHQLTVASGSSSVEDEWSISHFKAADQVVSDGQVANNAAEEVIDPSVLRPKRMDDSAEVQCEHMYGYLPCADVY >KZN06723 pep chromosome:ASM162521v1:2:35322786:35327839:-1 gene:DCAR_007560 transcript:KZN06723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESGVVAVARQRGIDVVLNDESKRETPALVCFGDKQRFLGTAGAASSMMNPKNTISQIKRLIGRLYSDPELQRDIKSLPFSVTEGPDGYPLINARYLGEMRSFTPTQVLGMVFSDLKIIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDPLNVAFVDIGHASMQVCIASLKKGQLKILAHTFDRSLGGRDFDEVLFQHFAEKFRTDYKIDVYQNARACLRLRAGCEKLKKVLSANPEAPLNIECLMDDKDVRSFIKRDEFEQISIPILERVKKPLEKALSEAGLTVENIYAVEVVGSGSRVPAIMKILTEFFGKEPRRTMNASECVAKGCALECAILSPTFKVREFQVNESFPFTIALSWKGAAQDAQNGTADNQQSTIVFPKGNSIPSVKALTFYRSGTFAVDVHYADVTELQAPAKISTYTIGPFQSTKSERAKVKVKVRLNLHGVVSVESATLLEEEEVEIPVVKQSTKMDTDEVPSAAAPSSATESDVNMPDAKSAADAPGSENDAQGDLPAQMETDAKVEVPKKKVKKTNIPLKELVYGGMPPADVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYHDFVTDPEREEFTAILQQVEDWLYEDGEDETKGVYVAKLEELKKRGDPIEFRYKEHSERGTVIDQLVYCINSYREAANSNDSKFDHIDVADKQKVLTECVEAEAWLREKKQQQDSLPKHSTPVLLLADVRGKAEAVDRLCRPIMTKPKPKPAKPATPDVSSPSSDQSHGAENADGPSKDSSESTSGSEVPLASEEPMETEKPETAA >KZN05165 pep chromosome:ASM162521v1:2:20734694:20736466:1 gene:DCAR_006002 transcript:KZN05165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIQFFETSAKTNLNVEQVFYSIARDIKQRLADTDSKAEPSTIKINQPDAAGGSGQAAQKSACCGT >KZN07456 pep chromosome:ASM162521v1:2:40981761:40990027:1 gene:DCAR_008293 transcript:KZN07456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVEMQRSKENVTVTVRFRPLRAPSYESYAESLKETQSICSKLSIIYVGTIFAYGVTSSGKTHNMHGDQRSPGIIPLAVKDTFSIIQETPSREYMLRVSYLEIYNEKCELGESESANGRPVVPILNTDGILPKFLPTRRLESSIPANSRLKIFSGTANPTLAEEIAWYMGVKLGKVDVKRFADGETYVQLQESVRGCDVYLIQPTSPPANENLMELQVMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITIAGADRVIACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKTISFDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNIAEVMNLIGDVKGKVAVMLDDMIDTAGTITKGAALLHEEGAKEVYACCTHAVFSPPAIDRLSSGLFQEVIVTNTIPIPDKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >KZN04742 pep chromosome:ASM162521v1:2:15278706:15282442:1 gene:DCAR_005579 transcript:KZN04742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVATNNKLISVHPQDIKFQFELEKQCHCDLKLGNSTDQHVAFKVKTTSPKKYFVRPNTGIIQPWDSCVITVTLQPQRESPPDMQCKDKFLLQSTEVASHTDIDELPQDTFNKESGRTIEELKLRVVYVLPQSDSANTDVGSRQITDTSAVLQHVRSERDAAVQQTQQLQRELLDTLSNLDAELHLNISYIMNRSNGV >KZN07463 pep chromosome:ASM162521v1:2:41048015:41048311:-1 gene:DCAR_008300 transcript:KZN07463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSWWKGAKTPSSSSQSKPDPNTQHKPEVPGMNGAVEVTRPAPVSGITVFEFGSVANSTDKVTLAGYCPVSDDLEPCRWEILKATDSEAPQFRIVF >KZN04630 pep chromosome:ASM162521v1:2:13732382:13733330:1 gene:DCAR_005467 transcript:KZN04630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGMVVLPMLMGCGSPSSLDVLNPTSTKPKPQSASHLSKKQEGKSEESLLAKTDMNNSFSKPSIAGGIHKLIFKSFSHVFVYKGEGEGSDEDEEEEMEIGAPTDVKHVTHIGIGECDTISSVNVSVA >KZN06728 pep chromosome:ASM162521v1:2:35391741:35400537:-1 gene:DCAR_007565 transcript:KZN06728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDKAPVTATELVEEAKKRIVLLSVCVVGLSYLMSLTSSSVLVNVPAALVLIIVLRYFALDFDMRRKAALYNSKPASANNHFQKKYEAPKFVSRNSEWRRKVNSPAVEDALDHFSRHLVSEWVTDLWYSRITPDKQAPEELVRIINSVLAEIASRMRNIDLIDLLTRDVIRLFCAHLELFRACNVKIQKRQLGSLTIGQRDRELKYVLAAQNKLHPALFSTEAEHKVLQHLMEGLVSLSFRPDDLHCSLFRYIVRELLSCAVMRPVLNLANPRFINERIEGVAMSSKKSDKVVVDAQQVKSSESSKMSTVHISQSLDPSVKGVELVQVKKDQPNSSTDSIKAEDLNGGLSKDPLLSIGTQSTRSWNSLPFNSQTSDGRCIQRHHSGGEWGDKLDVISRRKTEALAPEHFENVWAKGRNYKKKEGADSSPLPVEQSTSVGLATPVDDPKSLSKYHGKSGAEKGIAARGSDTSSLGVASSGVKKLYPSDNWSKSGHPRNGSPEEDNEDSVNSDDAESGSTSSFPTDDESSNITGLDTPSIKVWDGRNNRNQSVTRIHHPLEISKGHKARKTSKGRVQSQRLVRSQSGRKKPRSSSQVSDVWQEIERTSVSMEGQDILKPFTGQVNSDSSGGDSETESLGRTNSGATTSSSLSYISIPESHSLASNTPVSSLLADSFLTLRCEVVCANIVKSGSSTFAVYSISVTDVENKSWSIKRRFRHFEELHRRLKEYPEYTFHLPPKHFLSTGLDVPVIRERCKLLDAYLKKLLQLPTISGSIEVWDFLSVDSQTYSFSDSISIIETLSDDTSYDKKKEIGTSAKATRHTMDQKRGLPNSGMKEAVPQMRINHVTDLSRMNTINVPPIPPERQAKAVGKPLEDSGKRIDRLVQDKIHLDSKLGTTVKEAEIYQSHPATEPHVDGGADPALPSDWIPPNLSVPILDLVDVLFQLHDGGWIRRKAFWVAKQVLQLGMGDAFDDWLIEKIQILRRGSVVASGIRRVEQVYADKTYSDTRYKCDMGSRMTNLIHDLTNSGLQILWPDGIFLTKHPKRQRPTPSASSYSSPSGHPPSPLSSPKEDDIRRLDELQENEARRREQFVYELMIDKAPTALVGLVGRKEYDHCAKDLYYFLQSSVCLKQLAFGLLELLLLSAFPELENHFRQLIEEKDKFGELQQS >KZN04705 pep chromosome:ASM162521v1:2:14916557:14920624:-1 gene:DCAR_005542 transcript:KZN04705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHTPPPGYIVRLEKNSIDDNCYVRKKGKMRKWLCCSCQAEESYPRRESEPFKSPKQHTDGNQKSSKVPAPVKHEVQKAVPTIEVPALSWDELKEKTDNFGSNALIGEGSYGRVYFASLSNGNSVALKKLDAAPEPESDNEFLTQVAMVSKLKHDNFVELTGYCVEGHNRRKGVQGAQPGPVLDWMQRVRIAIDAARGLEYLHEKVQPSVIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAATPAPEM >KZN04010 pep chromosome:ASM162521v1:2:534275:549938:1 gene:DCAR_004808 transcript:KZN04010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPFDWYCQPVADGVWAKSVENAFGIYTPCVDSLVIFFSYVIVVGLCLYRIWRIKKDFKVKRFQLRSNYYNYVLGILAAYCTAEPLFRLVMGVSAVNLDGQNGLSPFEIATLIIKALAWCSMLIMLVVETKVYILEGRWFVRFGVIYALLGDTILLNLIWSVKDFYERSVLYLYISEVFIQVLLGVMLLVYLPGLDPYLDYVPVQTESEDNSEYEKLPGDREDICPERHVNILSNILFSWMNPLMELGYKRPLTEKDIWKLDTWDQTETLNNKFQSCWAKESRKPKPWLLRALNSSLGGRFWWGGFWKIGNDLSQFVGPMILNKLLESMQRGDPAWIGYIYAFLIFVGVQVCQSLHALWSAPFRITIALVLLYQQLGVASLLGALLLVLMFPIQTYVISKMQKLTKEGLLRTDKRIGLMNEILAAMDTVKCYAWESSFQSKVQNVRSEELSWFWKAQLLGACNMFILNSIPVIVIVVSFGLFSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNIITQAVNANVSLKRLEELLLAEERILLPNPPLEPGLPAVSIKNGSFSWESKAEQVTLSNINLDIPQGSLVAIVGSTGEGKTSLVSAMLGELPAVGDTEVVIRGTVAYVPQVSWIFNATVRQNILFGSAFDPARYSKAIDVTALQHDLDLLPGSDLTEIGERGVNISGGQKQRVSMARAVYSNSDVFIFDDPLSALDAHVARQVFEKCIKEELRGKTRVLVTNQLHFLSQVDRVILVHEGMVKEEGTFEDLSNNGQLFQKLMENAGKLEEYVEDNEDGLNNDSTISKPILNGETEEVPKDAGQTKKNEEKSILIKQEERETGVVSWKVLDRYKNALGGWWVVMILFSCYVLTELLRVLSSTWLSVWTDGSSPKRYGPGFYNLIYALLSLGQVLVTLANSYWLILSSLYASRKLHEAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVGPFVNMFLGQVSQLISTFVLIGILSTMSLWAILPLLLLFYGAYLYFQSTAREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDRMANINADSMDNNIRFTLVNMSGNRWLAIRLETLGGVMIWLTATFAVVQNGRAENQEAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNAVERVGTYIELPPEGPSIIDSNRPPPGWPTSGSIKFEDVVLRYRPELPPVLHGLSFSISPTDKVGIVGRTGAGKSSMLNALFRIVELEKGRILIDDCDVSKFGLTDLRKVLGIIPQAPVLFSGTVRFNLDPFDEHNDADLWESLERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKYCTMLIIAHRLNTIIDCDKILLLDAGQVLEYNTPEELLLNERSSFSKMVESTGAANAQYLRSLVHSGESESKTSTHETKQLDGQRKWLASSRWAAAAQFALAVSLTSSQNDLIQLEHLENDNNILKKTKDAVITLQGVLEGRHNKVIEETLDQYEVPRDGWWSALYRMVEGLSMMSRIGRNRLQHSGEGFVDATIDWDQIEM >KZN05808 pep chromosome:ASM162521v1:2:27388378:27404687:-1 gene:DCAR_006645 transcript:KZN05808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGPKSKFDHEPRTKRQKALEPPKDPGRPKTHWDHVLEEMVWLSKDFESERKWKLALAKKVAIRASKGMLDQATRGERRVKVVYKHQLEVGEKKKKAQNKQLEFLLGQTERYSTMLAENLVASPVPGHSYSNQEHLSIQNFESKSDLADNDEDYDAASEGQLEDDEHTIEEDEALITAEERQEELAALHSEIDLPLEELLKRYGVQKESCENSPEKKAGGAISTQATGDSQSNENDVSTEFCTEGGSIDAASVRRCEDGDFVLANGDEKDDETTLSEEECIANAESNNATDEIALLQKENEIPIEELLARYKKIRSTGDETEDDSGSEYASSENLSDASAEQTTNVELQPVPVEVSDEPNERSPVMSPVSREQEAQPDQNSDEAGENESRIADAAAAARSAQPTGNTFSTTKVRTKHPFLLKFSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGCAKERKIKRQGWLKPNSFHICITTYRLVIQDSKIFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNTKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVDGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDYIASSETQATLASSNFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMNAIDTQLSSSVCSIFTPSPFSEVDLSGLGLLFTHLDFYMNSWESDEVQAIATPSSLIEGRASLENYEQITSGFRHMKKAHGGNIFEEIQQAIFEERIKEAKERAKAISWWNSLRCRKKPIYSMNMRELVTIRNPLYGIDRTEGTTPSYLNSSVLANIILSPVERFHKMVDQVESFMFAIPAARAPTPVCWCSRTGSSLFVQPGYKERCAELLSPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELSILLRRLKSEGHRALIFTQMTKMLDVLEAFISLYGYTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTDFFKKLDPIDLFSGHRTIPSKQIQMEDLSDDKIQVSFSNADVDAALKNAEDEADYMALKKVEQEEAVENQEFTEEAVGRLDDDEVVNEEDGHVSAVNTGLAKTSNREHCEMITAGDMIGEGAITLAGQEDDVDMLADVKQMAAAAAAAGQEILSFESQLRPIDRYAVRFLELWDPIIDKAAVESQVRFEETEWELDRIEKLKEDMEAEIDDDEEPFVYEQWDAEFATEVYKQQVEALAKHQLMEELEMEAKEKERADHEYSDSMQNDYAVTPKPKSKKKKRKTKFKSLKRGALVSESRAVKEESPIEFVSEPMSIDDDDDPEFNTSSDRIPAPTSVDKKRKAGPSSNNSKKAKKTKKTPAEISPSALDFNMFSKQQDEPKDIDIEHKPISRTKMGGKISITSMPVKRVLTIRPEKMKKKGSMWSKDCFPSPDTWMPAEDAVLCAVVHEYGPHWSLVSEVLYGITAGGYYRGIFRHPVHCCERFRELFQRYILSSTEIQNDKLNNAGSGKSLLRVTEDNVRLLLGAVSELPDNEPLIQKHFFALLSSVWRASSGRYKKHSNSTSEASFYPGARFLPSAVNHISRTVTAGTSSRMNFANLSTSKKLVAAALHDVDATSRHDGVAILGSRGEDSSVMDELVISLELPDRGTSDPVMLPSIVNVSICGPEQSPSTCTDAGGNKIRYSLVAENRFRTSCESRESRGEDCMAPNSSFSSSLDVELRMPLKVQSLGKHKLPISEPVKSAKSKVRKTITESSVVQCAVGDPVFRQVMVATRDSDSRFDMIAPDVGTTSYESGSSFDLEDLLTSDLTCSEMSMHYYDPGLTSGLEDYSSFSDFTDIG >KZN07615 pep chromosome:ASM162521v1:2:42204363:42204527:1 gene:DCAR_008452 transcript:KZN07615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKAKRYLGWDLSHGQIHKYEFSDDFYVQTALVDSYSKLNDIETSRKVFDDMKR >KZN04994 pep chromosome:ASM162521v1:2:18762354:18764443:1 gene:DCAR_005831 transcript:KZN04994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLKLSLSAQTDLITLRTFITKPKIILPQTPFLITNNPITTSTTRFSLKDSFYSQPSLNSIPFPNIIGLFSDRLSHNEIQAKEDLIHKASVLRNELIRVEDSREDLIFRLLDEKGTSWFKCFDDGAAFVELLRQLESYPRCALQVLNWRIKQSDNGFPMTSEEYAKVIKLAGRSKNIDLATELFTEAANRRIKTAATYNALLGAYMYNDCIAECQLLYGDFKRDISCKPTVVTYNILLSVFARVMHIDHMEAALQEMKNSNIMPNLNTYNMLLRGYVTSWMWNSMEETYKVMVANGMQPDIDTHLLLLRGYAHSGNLKKMEEIYELVNCHVIEHKEFSLIRAMICAYCKSTSRNRVQKVEELLKILPKNEYISWLNVMLIKLYAQEDIMDVMESYIDEAFAHKTAVATVGVMRCIITCYFRANAAEKLAKFVKRAEYAEWRICRSLYHCKMVMYSSQNRLAEMEGVLSEMENFNLQTTRKTFIIMCKAYMAWGQKRKLDQILGVMCTQGFGTPGSTLNS >KZN05977 pep chromosome:ASM162521v1:2:28849482:28854611:-1 gene:DCAR_006814 transcript:KZN05977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFHLLSSSPTLLDRRLRFPVQSVRPNHIFNFPRSFPLIQKQAFSAISSSSLDTGLTTELDAVSSHSEVVPDTVIFDDFERFPPTAATVSSSLLLGICSLPDTTFRALVNVGCDLAKLVPGRVSTEIDARLAYDTNGIISKVHDLLNLYREVEVPPERLLFKIPATWQGIEASRILESEGIRTHMTFVYSFCQAAAAAQAGASVIQIFVGRIRDWARHRSGDPEIEAALKRGEDPGLALVTKSYSYIHKYGHNSKLMAAAIRNKQDVLHVLGVDYIITPLKILQALKESVTPDDKYSFVKRLSPESANAYNFSEEELVQWDQYSFASAMGPAAVELLAAGLDGYANQAKRVEEFFGKIWPPPNV >KZN04520 pep chromosome:ASM162521v1:2:12246119:12250275:-1 gene:DCAR_005357 transcript:KZN04520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPVASVSSSNGKIRVLRTSILGLVIIIFVGYIFMLIMKPSSTYISHFEPKIRAHTNSSFFGAQGTTILMDTFPMLVISVLGSLYLHLGIKYKDGAESREIKSKSILSSWKRPVIMKWLGIVSWTEISILVMFIALLVWTFSAYMHYFFQHMAFFIESENEKRWKSTLSIIGFTLGIIGNICLLFLFFPVTRTSSVLPLLGLTSEASIKYHIWLGHMTMFLFTAHGLFYIVYWALTDRLVSKMLEWRKIGASNVAGEISLLFGLGLWVTTFPRIRRKMFELFFYTHYLYIFFIIFFVFHLGFNYFCYMLPGFYLFMIDRLLRFLQSRQCAQLVSARILPCNTVELNFAKTKGLNYTATSIMFINVPSISKLQWHPYTISSSSNLEPEVLSVIIKSEGSWTQKLYEKLASPFPVEHLSVSVEGPYGPASTHFLRHDKLVMVTGGSGIAPCISIIRELIFMNSTMKSKTPSILLISAFKNSSELTMLNLLLPSSNASYGVSNLELQVEAYVTRELEPQIEKSTPLRTIWFKANTSDAPISTNLGKFSWLWLGLITSLSFIIFLLTMGLLTRFYIYPIDHNSFMVFSYSLQALLNMLLLCISLLVTATGAFLWNKKQQIEELKGLSPKLHNSVAVNPIEQELESLPQQCLSKTTKLHYGRRPDLRGILVEQEGSSVGVLVCGPKSMRHDVANICSSGSADNLHFESISFSW >KZN07568 pep chromosome:ASM162521v1:2:41877567:41881505:-1 gene:DCAR_008405 transcript:KZN07568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLHTHQLVCVCLGLFLVTCNAFASHEVYALSAFKEAIYEDPLMVLSNWNSLDSDPCDWSGISCSTARDHILKINVSQSSLKGFIATELDQLSFLQELIIHDNNFIGTIPKELGALKFLRVLDLGGNMLSGPIPPEIGNLTSIVKINLGSNGLTGRLPLELGNLNYLEELRLDRNKLEGTIPGSNKSQIASNLNGLSLSNDNPTGLCRASQLRVADFSYNFFVGSVPKCLEYLQSSSFQGNCHLDRDPKQRDAPLCDGTPPKAHPGLGPKHRYVEDKVKHQSVSKPTWIFALEIVTGVMVGSLFLMALFLAVKRLPKKSSIGIPWKKISSGKEHITICIDPGMLKDVVRYNRQDLEVACEDFSNIIGSSSDSLVYKGIMKGGPEIAVISICIKEEQWTGYLELYFQKEVADLARLNHENAGKLLGYCRESSPFTRMLVFEYASNGTLYEHLHYEEGCQLSWTRRMNIVVGIAKGLKYLHTEILPPFTISEFNSSAVYLTDDFSPKLVDFESWKSILSRSEKNSGAISANGDVCVLPSSMEGRHLDVQGNIYAFGVLLLEIISGRPPYCKEKGCLVDWASEFLELPEGMSNIVDPQLKHFRQEDLTAICEVVSLCIKQAPMNRVSTRELCTILESRIDTSVSSELKASSLAWAELALSS >KZN05744 pep chromosome:ASM162521v1:2:26875408:26879705:1 gene:DCAR_006581 transcript:KZN05744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGRRQFSTPEEMIAGTSSRPRTFKREEAEDIEDERLEEESEEESDDSEGEEQKKKGTQGIIQIENPNLVKPKNMKARDIDIEKTTELSRREREEIEKQKAHERYMRLQEQGKTEQARKDLDRLALIRQQRADAARKRDEEKAAKEQKKTEARK >KZN07612 pep chromosome:ASM162521v1:2:42184377:42189455:1 gene:DCAR_008449 transcript:KZN07612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDASSDYHGTLRTETLVTGSVPDVGFSPAPVVSPAGGDSTAATEDRVKERYCEQREALPGEPRCVICGRYGEYICDETDDDVCSLECKQLLLSKINKSEQSDTVPPPARLPTSDECYYVRDTGTKADCQSLEENQTELLRLKLDISVKGNCVPAPILSFASCNIPRKLLENIEVAGYEMPTPVQMQAIPIALKGQSLLVSAETGSGKTASYMIPVVSYCAQFKHEQSSKMKKPSAMVLAPTRELCIQVEEQAKLLGKGLPFKTALVVGGDPMARQLYRIQEGVSLIVGTPGRLIDLLTKHDMELDSVSMLVLDEVDCMLQKGFHEQVMQIYRALSQPQVLMYSATISREVEKLARSSGSSGGGVDELLPCLQFSDGISEEQIEDIGYASEDGETDGSNDYDSHCGSSGYEEDNDDIHSDGEIDSVEDHEETDNDLENRVEAFISKVIQGWKEELLTEKMSGIDL >KZN03993 pep chromosome:ASM162521v1:2:287556:288303:1 gene:DCAR_004855 transcript:KZN03993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGRGQNKRNWSDEEDRALIETLQEVSHKDARGMWGVSFPHFHALAELMGNDRTTGSNAENFAEAIENMGNETNDSMFSASTEEANQDLVSKPGKRKRSKDNPEKNLISMFDDVSSKLGSFMENIDKHLGKLVASESDDMAAKVMEALRQMEGLSSGQMLQAAEILMAEPPKLKVFHHADAELKKEYIYRLLLAKNK >KZN05039 pep chromosome:ASM162521v1:2:19222344:19228248:-1 gene:DCAR_005876 transcript:KZN05039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKKRSVEGGVVDGDNSAGIDSDSLLKKSCLISCVNTTTTTTAKSGDGGMENGRGSNTGGSSVQKPSMTMDDGNQQEIDEDLHSRQLAVYGRETMRRLFASNVLVSGMQGLGTEIAKNLILAGVKSVTLHDEGNVELWDLSSNFIFTENDVGKNRALVSVQKLQELNNAVVVTCLTTKLTKEQLSGFQAVVFTDSNLENAIEFNDYCHTHQPPIAFIKTEVRGLFGNVFCDFGPEFTVVDTDGEEPHTGIIASISNDKPALISCVDDERLEFQDGDLVVFSEVKGMTELNDGKPRKIINSRPYSFNLEEDTTNFGQYERGGIVTQVKQPKVLNFKPLKEALKDPGEYLLSDFSKFDRPPLLHLAFQALDKFISELGRFPVAGSEEDAEKLISIASTLNESFGDGKVDDINPKLLRQFSFGARAVLNPMAAMFGGIVGQEVMKACSGKFHPLFQFFYFDSVESLPTESLEVSEFEPQNSRYDAQISVFGAKLQKKLEDAQVFVVGSGALGCEFLKNLALMGVSCGKQGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAAALINPALHIEALQNRVGPETENVFDDTYWENLSVVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLFNTSEYTSAIVNAGDAQARDKLERVLECLDKDRCDAFQDCITWARLRFEDYFSNRVKQLIFTFPEDASTSTGAPFWSAPKRFPQPLQFSTSDPSHLHFVMAASILRAETFGIPIPDWAVQPKALAEAVDRVMVPEFQPKKGVKIETDEKATNLSAASIDDSAVINELIMKLEQCRKSLSSGFKMKPIQFEKDDDTNYHMDMIAALANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGFVCLELYKVLNGGHKVEDYRNTFANLALPLFSIAEPVPPKVFAHRDMKWTVWDRWIVDGNPTLRELLKWLSEKGLNAYSISCGSCLLYNSMFPRHKDRMDKKVVDLAREVAKLELPPYRRHFDVVVACEDDDDNDIDIPQISIYFR >KZN06784 pep chromosome:ASM162521v1:2:35807065:35809952:-1 gene:DCAR_007621 transcript:KZN06784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVSTAIFFFCLLFFSSANSFYLPGVAPRDFQQGDPLQIKVNKLSSTKTQLPYDYYYLKYCKPQKIKNNAENLGEVLRGDRIENSVYTFHMRKEQPCKVACRVKLDAEAAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMYHKDIETDSARIVGFEVTPNSINHQYKEWDEKNPQLATCNENTKNIIQGSTVPQEVDTDKEVVFTYDVSYKVFVEVFCAT >KZN06289 pep chromosome:ASM162521v1:2:31706840:31709566:-1 gene:DCAR_007126 transcript:KZN06289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDVSDGPVLSLINKRLRALRKKLNRITQMEDSISNGKSLNKEQEEVFRSKPSVISAIDELEKLKQPLSIAVAEEIDLAVRVKSENVAEKAEEGAKSGDEFSVVEKVLELLYFGSMFDVRSESDFTRTVLTKTHERNCCLSYDYVSDDESELLAERDLDLISKVGSLLVSRPVDTSLSHKNALEKCVERAKLWIESSEQTVEGEENVTYSVLKEKLAKIMSSPYFTTLPEMKAPVEVAAAGNYGSFQAQEDVRYQQKDDDLPKIEGNESSDNQAGPVDELQNGEYKVENSTELPADSESVKPQPDRELTFGDVEAKEQQYNRRHFQNQRGGRGPGGRRGYPNGRGGRNGNSRGGGPYQNGRNQYYDQPGNYYPRNNYYSNRGRGGGRGAAGNNHGSAVPTES >KZN05455 pep chromosome:ASM162521v1:2:24037788:24038693:-1 gene:DCAR_006292 transcript:KZN05455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHQSDSSDTLSHVSDSLSPSEEALSESWFRSWSTPSDNEMLDIIDRLPLDGPSYANGFLIEAPVVEEEDFNLDPMEEEIEPREWVASGEMEALDCPSYANGFFIDAPVVEEEDFNLYPMEEEIERERRWSEACGWFASGEMEAKARRCPPPYFIHIRLLGADALDRDRRFVLRPWDGGELVNVERIAEISNLRPRDTRFQKDQFRIAYVKGFVHNLQTDNLDDKPNPFLLAKFRLYDGSESILVSLGDDTKDHPMITTGEVREGSVLVLYQATCFISMDETPHHRLSIGYSNILGIFN >KZN06542 pep chromosome:ASM162521v1:2:33868771:33868980:1 gene:DCAR_007379 transcript:KZN06542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLLTSLVLNGNLHLSRLLDSNQTVHPLIYSTPIYLPVPSVVNTNTHPPPFSHKGPPHAMFHPRFKM >KZN04318 pep chromosome:ASM162521v1:2:7720555:7720827:1 gene:DCAR_005155 transcript:KZN04318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFERAYEICVNSYEVALALYPTNAELPKLHELSKRLMGGTLKEKNKVVDDSSFVPSFSLGLSQVTPKKLVDVMDGVDNAGGESEVEAN >KZN05743 pep chromosome:ASM162521v1:2:26872432:26873805:1 gene:DCAR_006580 transcript:KZN05743 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MSDKKFHIAMYPWFAMGHLTSFLHLSNKFAERGHKILFFVPCKTLDKLKQFNLHPDLITFIPVTVPHVEGLPPGAETTADITYPMQPYLMTAMDMTQPTVEASLKELMPHFVFFDFTHWLPSVARPLGIKTIHYCTISSAATGYLIREKGSFKNIQFTEADLMEPPPGFPSSSMIKLFAHEARQLSGAGVKKYGKNISFSERVFTAFTECDAIAFKTCRELEGPYCDYVENHFKRPILLAGPVVPEQPASKLDEKWANWLDAQQPNSVIFCAFGSECILPSDRFQELLLGFELTSLPFLAALRPPFGIESIEAALPEGFNKRTQERGIVHGGWIQQQLILAHPSVGCFVTHCGYGSLSESLVNKCQLVLLPSVGDQFINARMMGRDLKVGVEVEIGENGVLTKTSICKAIQSVMDVDSEVGKEVRENHSKFRELLLGRGFEDSYIDGFVEKMQGFLQ >KZN06813 pep chromosome:ASM162521v1:2:36036095:36036610:-1 gene:DCAR_007650 transcript:KZN06813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASVISEAMIMNPSNVTLMDQLEQIEVEFANCDCCGLKEECTVAYIESIRERYGGKWICGLCAEAVKYEMRIMNTQEEDEALIQHMSFCNKFRSSTPPANPAVLLISAMRNVIRRSLESPGSTPRRLGRGLSRSGSFVPAMSRIDFDESSSRAGGEEGSGEKMEINVLEV >KZN06788 pep chromosome:ASM162521v1:2:35849605:35853257:-1 gene:DCAR_007625 transcript:KZN06788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCANLCTHLIIRWRKRNEQLVENLGDAIENGTRDQHSDALTVDGQKRKVEESEHLLNQRRDLLMNYRNAMDDLIKTDL >KZN06610 pep chromosome:ASM162521v1:2:34355593:34358305:-1 gene:DCAR_007447 transcript:KZN06610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPKPPPLTSAGKSFPSVTSCDVSAISHNSIAADLDGTLLISSSAFPYYMLVAIEAGSFLRGLVLLLSFPIIAVAYIFVSEALAIQMLIYISFAGLKVRDIELAARAVLPRFYANDVRRESYEVFEKCGRRVVVTANPTVMVETFCKDYLGAEKVLGTEIEVNLKTKKATGFVKKPGVLVGKWKKIAVVKEFGDEVPDIGIGDRESDHDFMSVCKEGYMVPRSKSSTPLPLDRLKSRLIFHDGRLVQAPTPFNALITFIWLPFGFILSLLRVYINLPLPERIVRYTYAMLGIKLVIRGTPPPAPGPGSKGNLYVCNHRTALDPIIIAIALRRKVSCVTYSVSRLSRLLSPIPAVALTRDREADAAMIKALLEKGDLVVCPEGTTCREPYLLRFSALFAELSDRIVPVAMNLKQNMFHGTCVRGVKFWDPYFYFMNPRPTYELTFLERLPEEMTCKAGGKSSIEVANHVQKVLAGVLGFECTQLTRKDKYLLLGGNDGKVESMYSKK >KZN07490 pep chromosome:ASM162521v1:2:41277295:41277831:1 gene:DCAR_008327 transcript:KZN07490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAQCKEERRIGINACKPVIYGKNPSADCCLRVRVSHVECVCPVVTPKLAALVDLNRAIRLIQGCGRRVPRHFKCGSLTTP >KZN06302 pep chromosome:ASM162521v1:2:31807614:31809731:-1 gene:DCAR_007139 transcript:KZN06302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLAKNKKATRHIVSWSSKEDNILREQIGILGMDNWTHIASKFTNKTTRQCRRRWFTYLNSDYKKGGWSPEEDNLLCQAQKIFGNRWTRIAKVVSGRTDNAVKNRFSTLCKRRTKHEPSAKETATRAKLEALAKENATTYVDLNNKRVVTQLDTNSNELSETSVPSKKMRRGSSDVIERQQEEFSEVNEQLTPSLAVIRRKVDILRNYCQQSWRASQLYVDSPGSSDYSIGSTLLTHIALVKPEHVASDFSLQNTGADIQPYKLGQSNVDCDVVKDAVTCETTNQSGEISSKNIMLSFNDLKANDGSFHDFSSNEFDFPLQATPLFRSLASGVPSPQFTESYDIKEERVMLCNVCVAWISQEREFLLKTLGVEAASPIPEHNSFATFNLQKGASSKPLINT >KZN06901 pep chromosome:ASM162521v1:2:36761391:36762218:-1 gene:DCAR_007738 transcript:KZN06901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNKASILEWEKLRFLGEGLWGSVYLAKIVSHSSSSIPSYVALKLADANSSSTLQLEKTILDDLKGCPQIVQCFGCAFSVENGKLVYNLLLEYANGGTLEQLMEVSGDRMQEFEVSKFTYMLLKGLCHVHHKGYVHGDIKPDNILVFVTKQEDGEDEYSLKIADFGLAKSTSCDNAGEVGIVGVDIWALGSVVAEMLVGKSLWCSNVQLDVMLLIMCKESVDKWLEILPEFLSREAKDFLRRCMTMNKNDRWTGDRLLRHPFITQFHGTSLCEI >KZN00672 pep chromosome:ASM162521v1:3:7480841:7482043:-1 gene:DCAR_009426 transcript:KZN00672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRRFTCQIHQLIHHCKPTFFNFFPLRTYRNKSPTGINSETYRKKSPTGINPEVIDYLTLSLGFSSPQALSLCQRTPRLKTLENPRSVVYFLKSLGFSDTQIRCSVNTAPQILNAHIDKTLKPKIKLFQDLGLQDYDLGEFFSKNSALLNSSLERRLIPGVDFLKKLLLSDKDLLLVINRGKRILGKDPEKVLKANSEYLMECGIVGKQLSMLLRRQPRLFLMKLGDLKKLVARAVYMGASVESKMFVYTIQTLYCMSNDTLMGKFQLFYEFGFSKVDCADMFRRMPNVFKTSHEKLKFGLSFFLNTAKLKKTVLVNHPFILMSSMENRVIPRHKVLEILMSKKLLKQQPSFIAVLYLSEDVFLDKFISKFPSYADELLAAYKGHLLESLEEKETESIT >KZN03016 pep chromosome:ASM162521v1:3:40177536:40180972:-1 gene:DCAR_011772 transcript:KZN03016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSTAACDDAHGHYKAFSPTKPKKNSWRSAIFIIFVEVAQRFAYYGVSGNLISYLNNVLGMPISAAAKSVNVWHGVSALFPLLGAFIADSYLGRFKTIIFSSIIYLMGLVLLTVSVSAISLVHRKPIFFLALYILSVGEGGHKPCVQTFAADQFDENVPEEKLAKSSFFNWWYLGIVIGSTAAVLVVIYVQDNIGWAIGFGMPTVAVAGALLVFLIGQRTYRRSVPVGSPFTRMMQVVVAAVRKRNVPEMHDAQGLCYEDEDCCSPALARTNQFKFLDKAMIIDQEDALNQKRNKWRLCSVNQVEEVKLVFRLFPIWIGTFMFNVAIAQQGTYFTKQGSTMTRDFNVPPATLQVITGLTILTAVAIYDRLLVPFARKWTKHPSGITMLQRLGVGIFLSMITMAVAALVEAKRVGIAKKHGLHDAPEAVVPMSIWWLVPQYMLNGLTDVFGVVGMQELFYDQVAEEMRSMGAAFYISTTGVGSFMSSGVISAVQAISSRYGKGERWLSGNNLNRAHLDYFYWVLAGLCGLSLCFYIWVARRFVYKKIECENDAEA >KZN01589 pep chromosome:ASM162521v1:3:21037757:21038473:1 gene:DCAR_010343 transcript:KZN01589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIFMMAGLRVVDGRGGGRAGRGGGHVGQVGRDGNDDPARGRDRSNGGSGGGRRGGRGYAEDPIEDLMDDDNDAAGVMIIARSRTSNATITRRNRATVIRKSKLAITRSDRLSSLIYTEDVVIQPAYLAIYHLLRSSTG >KZN03623 pep chromosome:ASM162521v1:3:46422788:46424125:1 gene:DCAR_012379 transcript:KZN03623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNARKFKLLIEDYQKSSSALTPGAIRALEDCHLLADLNMNFLTSSLQSVSTKDLSSSRVEEVQTLLSSILTNTQTCLDGLQETASTWSQKNGISTPLANDNKLFSDSLSLFNKGWGHNMNEGTSYPSKKRLSGFKNSQLPSKMSSKDRAVFERIGRKLLQTDDGADEIPISDLVIVNKDGSGNFTTINQALAIAPNNSLASDGYFLIYVVAGVYEEYITIPKNKKYLMMIGDGINQTVITGNHNVVDGWTTFNSPTFAVVGQGFVGVNITFRNTAGGIKHQAVALRNGVDQSTFYSCSFEGYQDTLYVHSQRQYYTECDIYGTVDFIFGNAAAVFQNCNIYPRLPLANQFNAITAQGRIDPNQNTGISIQNCNIKAAADLASSGGTTQTYLGRPWKQYSRTIYVHSFMDSLINLQ >KZN01533 pep chromosome:ASM162521v1:3:19140892:19153081:1 gene:DCAR_010287 transcript:KZN01533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLSLTSSPSSVTAANTRLDSPPITTSRLSFSSPLRSSPIISQSHYPFIHRNKSVIRLARGNYSSTVSSPKCFASNIDQLKSAREDIKQLLESTFCHPILVRLGWHDAGTFNKNIEEWPQRGGANGSLRFEIEQKHAANAGLVNAVKLLQPIKDKYPGVTYADLFQLASATAVEEAGGPKIPMKYGRVDASGPEQCPEEGRLPDAGPPSPAAHLRDVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGQSWTAQWLKFDNSYFKDIKERRDADLLVLPTDAVLFEDPAFKVYAEKYAEDQKAFFEDYAEAHAKLSNGGAKFDPPEGFSLDAPRGPVPEKFSAAKYSSGKRELSDAMKEKIRAEYQAVGGSPDKPLQSNYFLNIMIVIAVLAILTSLLGN >KZN01515 pep chromosome:ASM162521v1:3:17839549:17843045:-1 gene:DCAR_010274 transcript:KZN01515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHSLSTTFTASFSSQRYASSCPQRIHRSFAIRAARTESKGVSLGFRPPQFQLTEPLTGKVWELEDFDSHPALLVMFICNHCPFVIHLKKAIVKLSKFYMQKGLAVVAISSNSIKTHPQDGPDFMAEDARHYSYPFPYLYDESQDVAREFGAVCTPEFFLFKKDGRRPFQLVYHGQFDDSRPSNNVPVTGRDLAVAIDCVLSAQKVPSAPKPSVGCSIKWHPVTEL >KZN02884 pep chromosome:ASM162521v1:3:38561370:38561675:-1 gene:DCAR_011640 transcript:KZN02884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKVEATKLLTVLLEPCSSKNGDAGMLEENPILDIVEVFKSNESTVEAWLLEHISGSRSIFKQQRKERGYKITLKISRDELLLLCVNYIKRRVGDVSLHF >KZN03468 pep chromosome:ASM162521v1:3:44897616:44898647:-1 gene:DCAR_012224 transcript:KZN03468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSSFNQGGAATTIGAVHTDVIESHVLTKFDGASLACAASASHMLRNLCNKENLWRDICDLTWDSIKHPLVRKTISTFPGGYRSFFSDAFPVIRPDQTKRTQGTPFSEGDMPELISAVDIHYGDELIFSKVVVTNTGDKSFRHFSFWVDMLENKETVKIPLKFESDEDKCILLELQENLKLSWIVIDPTRKRAVNVSSFGPVSVQPYWNGYDIQVIFATILSGDNSSELVECRIVATFGCKEGKYVKFRELNMYMEDMHMRRLSGEKSLKILEEAMERGERKKANGGEMKETYEKYLELKRKKIEGKKRTERTLNMVYRLSWVAYFFAFVFLIVSLNVFPN >KZN00719 pep chromosome:ASM162521v1:3:7883070:7886526:-1 gene:DCAR_009473 transcript:KZN00719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWFVCVVILLLVLGKTVSLNPSLNDDLLGLIVFKADIQDPDGTLTSWNEDDYSPCNWKGVRCDPRSNRVSDLTLDGFGLSGRIGRGILQLQFLRKLSLAKNNLTGGLSFSLAQLENLRVIDLSENSLMGPVPSNFFGQCGSLISISLANNKFTGPVPDSLSSCSVLGTVNFSRNQFSGLLPSGIWSLQGLRSLDLSRNLIEGEIPKGFEGVNNLREIRLAKNQLTGEIPDGIGNCLLLRSIDLSENSFSGSLPSSLQMLSLCKNLNLHKNSFTGEVPEWIGEMSNLETLDLSDNSFSGPLPTSVGKFRSIKLLNLSMNSFSGSLPESIIYCVNLVVVDVSRNSLTGNLPTWLFKLGLQNVNFSENKLTGDIGASLKSLTDNCRQNLAVLDMSKNSLTGAIPLALGDINKLQYLNLSKNSLVGGIPDSIEELKALDILDMSQNQLNGSIPTKIGEAVSLRDLRLENNFLSGKIPTSIEKCTSLTSLVLSRNSLTGSIPAAFAKLINLQTIDLSFNQLTGTLPRQMANLDHLLSFNISHNQVQDSIPANPSHRKKLLSISALIAIGAAATIIIGVIAITVLNLRVHSATPHSASAFTLAGGDGFSSSPTTDANSGKLVMFSGDPDFSTEAHALLNKDCELGRGGFGAVYRTVLKDGRSVAIKKLTVSSLVKSQEEFEREVKKLGKIHHRNLVAIEGYYWTPSLQLLIYEFVSGGNLYKHLHEGSGGNFLSWNARFTIILGIAKSLAHLHQMNIIHYNLKSSNILIDSLGEPKVADSGLARLLPMIDRYVLSSKIQSALGYMAPEFGCKTVKITEKCDVYGFGILALEVVTGRRPVEYKEDDVVVLCDMVRGALEEGRVEECVDERLKDKFPPDEAIPVMKLGLICTSHVPSNRPDMAEVINILELIRCPSGGRDELV >KZN02502 pep chromosome:ASM162521v1:3:34008173:34008946:-1 gene:DCAR_011256 transcript:KZN02502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSDSPTMILILRGIIYSRMMFRQLKMYQQMILRSKTLSPEKMLMGSAGVGKTRMMEEIWKGTKEENITRADVGNEKLDVIQLQNQIAI >KZN00365 pep chromosome:ASM162521v1:3:4518879:4520384:-1 gene:DCAR_009119 transcript:KZN00365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPVDLRNVKVETVPDSEQVYAQHQPSFTTLVNRGHDIALEWIGDAGSIEINGMEYRLQQVHWHAPSEHTVYGMRYELERHAVHVNVETDEIAVISVLYKIGRKDPFLNQLRRYLKAMVETNINETYPGIIDPSDITGDEESFYRYSGSLTTPPCTEGVTWTVQNKIRTVSRRQVDLLLDAVHGNENARPVQAINKRKIYLYVPCQGNDWTCVFSRKKMDWSVIFYPLEYLQRKLFYTSS >KZN03709 pep chromosome:ASM162521v1:3:47142235:47143206:-1 gene:DCAR_012465 transcript:KZN03709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFTCKQISPSLNMPDGRHVLPFLHGSRTSKEKVVVVMGATGTGKSKLSIDVATRFSGEVVNSDKMQVYEGLDVITNKISEEESCGVPHHLLGIIDANADFTSTNFCNMASLAMRSTVGRGQLPIIVGGSNSFIEALVDDEIHQFRSRYECCFLWVDVSMPVLQRFVSDRVDQMVDNGMVNEARQMFSLDGDYSRGVKKSIGLPEFDHYFRAERFADLETRKKLLKKAIDEVKKNTCKLACRQVEKIHRLRTNKKWKIHRLDATDAFLKQGRRSDKAWDELVAAPSRAIVSQFLSTFESKKYYMDTSVRGAAIETAMVTATY >KZN03059 pep chromosome:ASM162521v1:3:40703514:40703768:1 gene:DCAR_011815 transcript:KZN03059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHDLNKLPEEDEGENILANGDNGQQGLHFQIDLNESPPEEEIPPADSVSFNESGQFWLWIFFLMMLHYIRKNGGKNQKFESF >KZN01382 pep chromosome:ASM162521v1:3:15194336:15196401:-1 gene:DCAR_010136 transcript:KZN01382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSHGQSEPAPLMDMKEIGQWSFFRAIIAEFLATLLFLYVTIATVIGHKKQETSCDGVGLLGIAWAFGGMIFVLVYCTANISGGHINPAVTFGMLVARKVTLVRAVAYMIAQCLGAICGAGLVKAIMEHPYNMLGGGANSVAPGYSKGSALGAEIIGTFILVLTVFSATDEANTAEKPFISALLPLPIGFAVFMVHLATIPITGTSINPARSFGPAVIYNHHKVWSDHWIFWIGPFLGALAAALVHQFVFKKAHVPRSP >KZN01595 pep chromosome:ASM162521v1:3:21182247:21182630:1 gene:DCAR_010349 transcript:KZN01595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLSHTPMVIEFLLNESEKYIDGVVQLHERNRKLLRCLLSWVQAGCLSEISAPSLPAHPLLSFVFNSLQVRTCYLENDYICKCCPFVLFRS >KZN02467 pep chromosome:ASM162521v1:3:33713326:33713718:-1 gene:DCAR_011221 transcript:KZN02467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKSADQLTPVLTKSPSKATGMFSGTQDKCATCGKTAYPLEKVCNFFKNIRYYYTLLIKLKLLFLLLKD >KZN01594 pep chromosome:ASM162521v1:3:21175814:21176827:1 gene:DCAR_010348 transcript:KZN01594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDAEKTQTHNLHIIFKAYSPDDKTTQTFRTTVLFRVGQSIQIVIASVGQDRLTPENFFDFPVDHLSDSDDDEDYGSDCEVSQLNPAFLKVISKGKSPEKSPEKGPTYDSSLPQHEYKRQCPGGLYDEDPELIKEIECFETDVDNSGGFEVGYYPLMDRAGIYGTWICKYYDIENKSVSAFSLTELLHLSQLALCFYNIKEGTKFGNVKVLRATTYCPGSVAYNITFEASSSDDRCQNFPNKCMYIYSPAS >KZN00721 pep chromosome:ASM162521v1:3:7891695:7896992:-1 gene:DCAR_009475 transcript:KZN00721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEVPGTSEEKSKEYVKEADSSYGNNRLLLNISVQTGEEFSPEFLRDRYPPHGGIDVDQYKHKVGFYADNGQQPVHNEKNDGLIEGTKRRSLSNESIYDRATQSPSTPVHKSNSPFSYQSSAHKSGSPNSYQRSFGYDDSGVSDGNSFSGKMKFLCSYGGRILPRPNDGKLRYVGGETRIISIRKNVTYVELMRKTFAICNQPHTIKYQLPGEDLDALISVSSDEDLHLMIDEYHDLQRSSQRLRIFLVTVNDSDNSCHHDKRAVQHSDADYQYVIAVNGMQDQNLHKSSSRESLTSYWGVNLENSPTFRRDSPTFHPWEIRDGGSSINNMMLSNLNPQACNSPHHKGRPLVRLPSFPAPMQKACNNLPMKIYEVGTLEDSYEVRTQCASKRRSNENIYRDNQDIYVTETNNKDAPLIDLDPSSPLYDQAGDLETDNYMLDKLEIHSKNFPYQNKTNLPSDSNLPHSYHKIAHLKMPHNNRPDLYLKKGIMASLSNSELERLPSDASTLSPEWRMQQTEPTDLITFKASNASKTCIEWDQDMINYVANKESLVGQNQNPAERNASEVVQTYGMEPRLKVENMHIDPNLRINFCKSSQDGSGVSLNLNKDDPNVPNLSELSPVTDTNLVKVAYLYRDMLNDRQSGAERENSTIIVESAQIDNHDRSTVLRVPVIIEDVTDNLPPDMPSTSGVVPLVQDETSASDTESDLLDLNSDCKDGIGAGIGKSISDPVLLEIEAGIYNLQIIRNADLEELQELGSGTYGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQERLSKDFWREAQILSKLHHPNVVAFYGVVPDGPEVTLATVTEFMVNGSLRHVLLRKDRALDRRKKLMIARDAAFGMEYLHMKNIVHFDLKCDNLLVNLGDPKRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVYSFGIAMWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPKRCDAEWRRLMEECWSPDPSSRPSFTEIANRLQVMSTAIQPKRPIQLSVQQKDECGSKFIVDY >KZN03500 pep chromosome:ASM162521v1:3:45132298:45132684:-1 gene:DCAR_012256 transcript:KZN03500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDHRRRRRFGEVAGGATAECAVMCCCIPVAIVNLLVLAVYKVPAGIGRKVWRRRKKERLLRRRREDVGENFGENFGSGSDVSDAFGEGGGLDFGDSEMWDELYGTGFWRVPSQKGEEEEIGVELKI >KZN01883 pep chromosome:ASM162521v1:3:26522846:26524976:-1 gene:DCAR_010637 transcript:KZN01883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLMFLAVFLVVASFLLVVTSEPSGDKKALLDFARNMSHSHRLNWDVKYSACRNWTGVTCNGDKSRIIALRLPGIGFSGQIRFNTLSRLSALQLLNLRSNSIMGPFPSDLAKLGNLTALYLRSNKFHGFLPLDFSVWKNLSILDLSNNAFNGSIPSSLSNLTHLTSLYLANNSLSGELPDINISGLRVLDLSNNNLTGVIPQSFHRFPSSSFIGNHFSPENLPIPLPPAPPPKAPPSKKSSKLGEPAILGIAIGSCVLAFVIIAVLLIVCYSTKGENGVLAKSKKKETTLKKTVSGRQDRNTSLVFFENCSLAFDLEDLLRASAEVLGKGTYGTTYKAALEDSTTVVVKRLKEGSVARREFEQQMEVVGSIKHDNVAALRAYYYSKDEKLVVYDYYNQGSVWAMLHVNRGQGRTSLDWEARLGIAVGAARGIAHIHTQSSGKLVHGNIKASNIFLNSKQHGCVGDLGLATIMSPSAPPMQGGYRAPEVTDSRKVSQASDVYSFGVLLFELLTGKSPIHTAGGDEVIHLVRWVHSVVREEWTAEVFDLELLKFPNIEEEMVEMLQIGMACASRVPEQRPQMLEVVKMVEDIRRINTGTQPASATISEGSTPTFIS >KZN01765 pep chromosome:ASM162521v1:3:24792412:24797862:1 gene:DCAR_010519 transcript:KZN01765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGREYDLIGNPVGAVRSTFEKAMRAGEPPFLVDGKDWGATQLFRQFLFDQPSPPSMSSIPILDRTTLPHLQPNTLVRYRGMIQDMLGNEFYVGAHKDGGTWTTNKFTDVSHLPSEALSDSRIWERRLLYCVPVPGQNIWAETYSDFVTSPCLNNTSPHGEKRQREDCADMDIQASDQDFQDSTCMKKMRENMHSSQLCPKERISEGSTSNSDMVPNFDIKSLPCVVKIYDTPESELKLNDVIEFVGLLTFDPKLAADDDTFDELSDGLCEDALIHLPPSKVPRLHCIVHQKLTSHDFLSGSLILEPKSSMVKGVRESLLGHLTSVLGNDELAAHFMLLHLLSGVHTRVGLVAVGKLSLNLTCFNKEILTVFGNRIKAAVANLVPFTMCMPLTIDHLNSVSLAPSKDYNTNRLVPGLLQLTEGTHLTVDETQLQTGTLNSVGVENIRLLKILLESQKVDYDFKYYKMEMEADTQVLILSEGKSNILPADVILPFRPQPPRVSDCVDMEALKAWRCYLATFRSLQHSIDSEMQKRVEDDLVAARQANRSLGSSDFSRLLTMGRLVSMSFGETCLSLEHWQMVKELERLRCERSNQ >KZN02473 pep chromosome:ASM162521v1:3:33751433:33751870:-1 gene:DCAR_011227 transcript:KZN02473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVSAHVVSSKPVSLAAASRILSNFVSMENGASHAVSVYLRRAATSFANLNQFHKDLKSSSSTKSLKKHSQIIAFDFGQDETEIKGEGNEVEEIEGNDGIEVEKSEVNKKKKKRKGEEIEGNFSGESAEQSGLSKKKRRKTEGD >KZN00004 pep chromosome:ASM162521v1:3:985650:987403:1 gene:DCAR_008758 transcript:KZN00004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGHPRKKADLKKLRPMIVERIKNRAKDYPIKAMIPVAEDVLKARVLLIQGVSTLLNFVPVWTCKFCPEVYIGEEGHLIKTCGGYRHRAKNQHHEWVKGSLNDIIVPVKTFHLKNMFQNVIEHQERFDFDRVSAVVELCLQAGAFLYDERLYSRHLNFDTDVNSFVGDAYMCEEDQRFVARGTLSAWETVKSGVQKLLLAYPTKVCEHCSEVHVGPSGHRARLCGVFKYQRWRGTHFWKKAEVDDLVPPNIVWFRRPQDPPLLLNTCREFYGHAPAVVDLCVKAGAIPPSKYLCMMKMQGLAAPLSAPAVVVD >KZN00214 pep chromosome:ASM162521v1:3:3155302:3155745:-1 gene:DCAR_008968 transcript:KZN00214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPQGPMLQQPPQGSMMQQPPQGAMTQQPPQGAMTQQSPQGAMAQQQHGGQGVSKAPKANAPRSQDQLQQQQQQLLHFQQQQQQIYGQMAIRAGANNGMHGMNPMLQHGLGTSGSLMDGRGIKKDSPESASGDGQRKYAPGRGNRE >KZN00648 pep chromosome:ASM162521v1:3:7213301:7213942:1 gene:DCAR_009402 transcript:KZN00648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLSSKHDSEGKGKSIDSEGHNSYEVLLASRNPKKRSGRIKFKETRHPVYRGIRRRDSGKWVCEVREPIKNSRIWLGTYPTAEMAARANDVAAIALRGETACLNFEDSVWRLPLPESSDVKDIQIAAAKAAEAFRPPENEMMSGENTSLVVKEDDEMFDMDEDAIFRMPQLINNMAEGMLISPPQYVQSDGCDELDDDMEDATDLSLWSYSF >KZN00225 pep chromosome:ASM162521v1:3:3213500:3222778:-1 gene:DCAR_008979 transcript:KZN00225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRFQAASLVASPCYPNAVAWSEENLVAVACGHVVTILTPNMPFGPRGLITVPPSQPFQIGVIDKEDLLSGCMQPISFHRDTSPCVRSISWSPTGLAPNSGCLLAVCTTEGRVKLYRFPFYDFSAEWVEILDISEKLYKYLLDVNFWESDVYSELFNERASELGKDHDVDTSTPVMRKNPKRKRRNDIAVSDSANDITEVSSFPCSLAIEGSSIEALKAPDDKLKSRSLQIVCMPKSKTKSKKRVPETHNVALISADKYASRSSMLASVVVSWSPLLQTSEYRLSSPDDSCDCCSILAIGGKSGMISFWKINKPQHYSIINNTYTNDVQLVGILHAHMSWVTTMNWAVSVSDASNHQLLLATGSSDGSVKIWHCYSAELLKPSKTSSASFSLFHELISVDSVPVSTLSLTVPIASAEKILLAVGKGSGALEVWKCDISTRKFHKVGSDDLHGHVVTGIAWAFDGNCLYSCNQDDSFHCWTLNGDSLCKVTLPSNTPGVESSTDVPNAFDSCFGLALSPGNLVVAVARRFDAGLLDPMYQLRSQKAAVEFFWTGGQQLDKLLKKCSDFDGEAFSGFSTRELINWDFNIMCSLSQHEHLNKPVVIWDVVAALLAFKQFAPIYVDHILAKWLRSYVGSNLENAPLQKVVSKYLSNITTRRLHFLNIIIRRVILADMKADKVNEENQSFVAEPGKGQMGLWLKLLKSSEKELRERLIYCSFSIVINLVSQSSENVSKLGYWQPAGLAQMENWVNSQQAHIRNSLKVLKSEFKKIKKSKLCSVGKYTAKEQCSYCSAPVPFESAEASFCQGTECENGVVQKHKLTRCAASMIVNDITPTWFCVCCNRRSSKFAPRALFSMLQYPSDLSKDPKITTLRPSSTPMCVFCGILLQRLQPEFLLAASPASKDKVQPEELILVVTHYSSVPEEDYVSNGLGTYTAIAAGDEGNERIVVTKKLNNY >KZN00660 pep chromosome:ASM162521v1:3:7394913:7396268:-1 gene:DCAR_009414 transcript:KZN00660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSIMLNHYHNDHIMFSALLTILITLLLVSVMKLYISKSQTVYLVNFSCLKPPDYCRVPIAGFLEHIRLFDFLDEDSVDFMSKIVSFSGQGNETYYPPSLFYIPPVPTDREAMEEVHMVLFPVFEDLLSKTNLEPRDIDVIIVNCSGFCPEPSLSSIIINKYKMREDVKSYTLTGMGCSASAIAVDMAKNILNTRRNSNAVVLSTEILSTGWYQGREKEKLIINCMFRMGSAAVLLSNKKALKNNSKYKLLFSLRTQTASDDKAYYSAMREEDSEGFTGVTVKKESLQAFAEAVRSHLVILGSSILPLVEKLRYALCVLRKCMIDKSTEIYVPDFKSVVQHFCFPTTGKPMLRKVGKELKLGEGDLEASLMTLHRFGNQSSSSLWYVLAYMEAKENVKKGDKVWQLGLGSGYKCISLLWECVGPIVGEAQTGPWADCISRYPVVPSSSM >KZN01211 pep chromosome:ASM162521v1:3:13128242:13131761:1 gene:DCAR_009965 transcript:KZN01211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANLHYKHQQQQQLGDESSSPFDAPPFYQVEASRAAWSPNTILSNGEFNPNVNVPPMTQEMDFGSSFATLSAHDQVQLARIKEELSSSSVHHSDYPRFTDITFSSGCQINGFQLPAAAGGGVGGFYSSPPQKYYCPTSATSVARGTFSQILPTINISELTQTAASSNSSLMSSSSLSMNLESLDLFNSTRFSAGYSQSPHDHLGLFKTSLPYSLQNMQLQLSPGRPSSCPTNVTSSSPFHNNGVSEVKRPSSSLETKPPQAAPKKSRLDSRSSCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNRTKKTQGGLGDGNGEEAEGMRDLRTRGLCLVPLSCLSYVTDGGGGVWPPPNFSGFS >KZN03735 pep chromosome:ASM162521v1:3:47527478:47529645:-1 gene:DCAR_012491 transcript:KZN03735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQIQERRFGESSDDVSTESSVNMKAPGFEPITIGDALEITALTAGNKPIEQSDAAAIRAAEIRASGFTQIAGVAAAAQLAADMNSRTEDDEDKTKLGDILMDASNMLEDDKAATMEDAEGVSGAEVQNNPDRVTYPGGVSASISSAAKLNQDPTATPIVNE >KZN00877 pep chromosome:ASM162521v1:3:9483028:9489134:-1 gene:DCAR_009631 transcript:KZN00877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGLVSQGWKWLQSKKHFYSVAQTSATCFRDKIGIFFERHWPVVCCVCARIATVLHFLLIHWKDCFVRGFWSFVGLGSAALLVIMWSCFISLTSMSCLVYALLSMGVAGVAIQYLGYTPGIFIAGLCAILVLWMYANFWIMGTLFIVGGYLFSLNHARLVVLIATIYALYSVKVRVGWHGVVVSISLSFLSNDLLNYVLQWCDNLRESTHFEENKESVPFSDDDFVEDCDYSTPTDEDEKVQSSKSSSTKSSPSTTTPTPPVVKKHTETSATHIVKEVVNSPEEMKRILGSLDHYEALGLSRVNKIDALLLKKEYRKKAMLVHPDKNMGSPLASESFKKLQCAYEASVLSDSTKKRDYDEQLRKEEYKSVAQKSPDIPHQGRSDYCSEESRRIQCTKCGNSHIWVCTNRAKTMARWCQDCCQYHQAKDGDGWVEYRGSLVFNRPQKCPPGFLYEKSTNEFHVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKSNQRSNSSRYPWDLDAEMMDEEEDFDIWLQQALASGLFCETSKQRRKSWSPFKLPQKKGKKPWKRSP >KZN03727 pep chromosome:ASM162521v1:3:47468861:47475243:1 gene:DCAR_012483 transcript:KZN03727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-19 MSSVYHPSSSPSLQKFPPATFTRPSVSVSVSVPNSPNHFSGPNAVKGKDIWVKLRFGVQCTAVSRSRTTEYEYAESLKSDLPAVINWQEILESDKKGENTKLHSSIKIIKCINSIREMFRSMDDGAISLSAYDTAWVALVEDMNEPGVPQFPASLQWIVSNQLPDGSWGDDKLFLAHDRILNTLACVIALKSWNVHPEKMERGLLFINENISKLEDEEMEHMPIGFEVAFPSLVEIAETLNIQIPKDLPILQEIYAQRDLKLSRIPKDIMHKVPTTLLHSLEGMAEMEWEKLLKLQCEDGSFLFSPSSTAFALMQTKDENCLNYLSRIVRKFDGGVPNVYPVDMFEHIWVVDRLERLGISRYFKSEIKECMDYIHRYWTNQGICWARNTRVNDIDDTAMAFRLLRLHGYTVSPDVFKNFESKGEFVCFAGQSNQAVTGMFNLLRASQVVFPEEALLEEAKKFSIEFLRGKQAENQLFDKWIITKDLPGEVGYALDTPWYASLPRLETSFFLDQYGGEDDVWIGKTLYRMPHVNNNTYLELAKLDYAKCQTIYQLEWNQMKEWCANSNLEKFGLSETSLLLSYYLAASSLYEPESSNLRFAWAKTEALIETIRLYFGNIESSAEQREAFVQDYMKTTENLQYVSPGRYKSPRKKLLGTLVGILKQLMLDAMVAHGIDIHQQLHQALNEEDSSDKEIRGITAMEIESKMQELVKLVLCNSPDGLDPELKQIFFTLARTFYYTAYCDPITINSHISKVLFGRRM >KZN01628 pep chromosome:ASM162521v1:3:22058070:22058408:1 gene:DCAR_010382 transcript:KZN01628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSESDITDSEIDEYKEKPYSLLKDGILKVKRSDGSLKCPFCPGKKKQVYGRKDLLQHAQGIGSSSSYKRTAKAKAKHLALAAYLQQEQQVPRSSRCRSDGECRKLVRGG >KZN02536 pep chromosome:ASM162521v1:3:34281546:34286673:1 gene:DCAR_011290 transcript:KZN02536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKTAKAKLEAAKQKLGRDIRVFQTSIVSQTQIDASNNNNDEEDDMYEFTPADYYRVLGPKKQDNVLKTRKIREAEEAARRSRITKAVIRVRFPDNLTLEVTFHPSETIQLLFDFLKNVLAKPELPFYLYTTPPKKHIKDMSQDFYSAGFAPGAIVYFSQDLPKGDNEVNESGSFLQEEIISLQGLELIAEQVEPVQPAPERSEPAVEIPSAAAKEQKAADKKAVKPKWLRM >KZN00156 pep chromosome:ASM162521v1:3:2536044:2538433:-1 gene:DCAR_008910 transcript:KZN00156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLVGAEKEYIRRHHNHAAAENQCTSLLIKHIRAPVHLVWSLVRRFDQPQKYKPFVSRCIVQGDLGIGSLREVNVKSGLPATTSFERLELLDDNEHVLSIRIVGGDHRLRNYSSILSVHPEIIEGRPGTIVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLAEVSERLAVQGRTEPIDRM >KZN03409 pep chromosome:ASM162521v1:3:44355569:44355739:-1 gene:DCAR_012165 transcript:KZN03409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDEEPAKEDSGSRLKRKKSKSVGSDDDDKSQKQIAVTSRIDSLVMESEGEEMDF >KZN01250 pep chromosome:ASM162521v1:3:13666379:13667488:-1 gene:DCAR_010004 transcript:KZN01250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLCKVDVDVQNILICGMDIGCSNTLQFATQGVKRHEIYEHNVDNKTIDSVDSCLKDGWWLEAVKFAEKVEKKTLDFDVVS >KZN03518 pep chromosome:ASM162521v1:3:45365594:45367634:-1 gene:DCAR_012274 transcript:KZN03518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKFADQIALFRTQIANRRFDDETLRILDSVLVAKDVKSLLEVQSQLKDFLRCESLRIIREIAEKAVDQKLVIIDFLVRAFALSGDAESCLALRYEALVMREMKSSSDPWLRVSYGEWFTFAEHCLDNKFYSIAIKASEKALSCVRTETVIQPPINDHDEKEQVIEKIKTLNNVAVVSAASQSG >KZN00392 pep chromosome:ASM162521v1:3:4941209:4955281:-1 gene:DCAR_009146 transcript:KZN00392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSEDEWIVVKCIDPEITAVRSAAADVILSTAGALYFGVDMLLLNCKMWLTEVTSVKEFPSLQLSSLIDIWKFCLEQANDSFPELCTNYLAKNFMWAVTCISFRDIPYNLLLCCLKNPQLTVHSEKHLTDAILVWLGGNVEHISGDDRVEILQQQLDLSGCPQIKLGVLLLSLLPSSYDRDLLLTKTIRSSMNYNALSSAKVYVQKALPVLLTFRAVYEVDISNCPMLCLEDAIECFSRSFPSLRMLKAAYHLRFETKKLCHLVQRCPLLHDIDLTVDISPVLSSQITIISSYPAVLSHMPTVSYAAIPSTASLSLMARCLPSNIAKLTLEGRIDVTDHDLQSLSRACVSLSYINLKGCTSVSDGGIAVVILECINLHSIVVCETSFGDNSIMALCSHLPGGDRLASAQTEGNNSMSLAHKLQKLHMGGCMGVSEPCLTDLMSQTHKLSSLCLRGTHLVDSGLYSFSGSSLEMLDITCTKVSAAALAYIVGKNPGLTCLRVRDCKNLFEPEYRGDGSVFRFQKDFYMKLGRSCQFEDITFGWGFSYVSLDALKFALKKLRRITVGLGGSLGEDGLKLLAATCPLLDSVTLYFQDCGKVTANGVADLFNCYALEDLLLRHTGQGIQKNFILGAASKLPMLRKVSLDLCDAKDGDYDIPEVADRNSLSSVKIARCKPQRCSLELQKLKARKTPLHKETLVMVWDSKSTIRTLVKERI >KZN00331 pep chromosome:ASM162521v1:3:4280184:4283034:1 gene:DCAR_009085 transcript:KZN00331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFSHARLLLLLVFTSSVCYSELTSPQAQTLRIIQSLLSYPSVLRSWNDDTDFCNTEPTSSVTVVCYEDSITQLHIIGERGAPPLPRNFSTESFFATLVRLPSLKVLTLVSIGLRGQLPGTIAQLEMLEILNISSNFFRGAIPGELSNLRNLQTLILDKNMFHSRLPNELGLLSLLAVLSVRRNRLNSSLPDSLGHLENLRVLDLSHNKLIGEVPDISLLRHLQFLDLEDNLLGPQFPKIESKNLVTLILKKNKFHSGIPEKVHSFNHLQRLDIAFNRFVGPFPSSLLSLPSITYLNIKGNKFTGMLSENMSCSPQLQLVDLSGNFLTGRLPSCLHYDPRVRVVSYARNCLVGEDKSQHPVSFCRNEALAVGIIPHRNKSKQASIHVLAISISAGIVGVIVLVAVVFLTMRRLHTKRMVKSTQTRLPRENVSTGYTLKMLQDARSITQAMKLGALGAPAYRAFSLKDIEDATNNFDTSTFVDEGSYSQMYIGKLKDGSQIAIRCLNIKKAHKNHSFMNHIEIISKLRHQHLISALGHCFDYCLDDSSLSRIFLVFEYEQNGTLRDWISERKYKKILAWSQRVAAAVGIAKGIQFLHTGIVPGSSALKLKTTDILLDLNFVAKISSHNLPLLTEYTGKAGSQFPSTGSRDPEKCDIYDLGVIILEIMVGKHTDDHDEVYNARLQLEASTVSDPAAPKSIVDPKIQDSYSDESLKTVMQICSRCLLEDPDNRPSIEDVLWSLQFAAQVQDFPESTEGSPTS >KZN03307 pep chromosome:ASM162521v1:3:43430408:43431661:1 gene:DCAR_012063 transcript:KZN03307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGDTIPDGKLHRHDEYDQLEEVSMHSLAAGKKIVMFGIPGAFTPTCRIAVWNRDSVKHVPGFIEKAEEFKAKGVSEILLISVNDPFVIKAFAKTYPDTKFVKFLADGSAKYIHALGLELDLSEKGMGIRSRRFAAVVDDLKVKTINLETGGEFSVSGAEDLLKTL >KZN00563 pep chromosome:ASM162521v1:3:6384402:6385582:1 gene:DCAR_009317 transcript:KZN00563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSGQIAPLEEIIRLKEKYLFRVLLDESNSIGVLGSSGRGLTEHCRVPIEKIDIVMAAMGNALATEGGFCTGSTRVIDHQRLSSSGYVFSASLPPYLASAAITAINILEDSPQLITNLKKNISILHKGLSDIRGLEIASDKESPIVYLRLRKSTGSLKSDQQLLEDVVERLLKEENIFVTTSKRSTLDKCNLPTGIKYFVSAGHTESDLTKAYESMKRVAAKVLDGGD >KZN02039 pep chromosome:ASM162521v1:3:28709709:28709888:-1 gene:DCAR_010793 transcript:KZN02039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLGPRKHISTRSTQHRSTSPVSNEPLSIQPVNTPPPATAIEQVKKKTLRKRVSFGLV >KZN02957 pep chromosome:ASM162521v1:3:39521464:39531468:1 gene:DCAR_011713 transcript:KZN02957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLVEELKQARRLVVSLAKEVDFKNQKLWELERKFNEASTALGRMVAEKDKLHESFAEEMRKMQFIEQKSEQLKYESECKIKKIQSNGVENEKLIQDLFYKQKELEQQKSELDKREAEFEVERKKFLAEKEKLKSQVPLEGDYNMTFQIEDLMSELAEKTEELNDMEVLNQTLIMKEHMSNDQLQEARKELLNVLPDLTDSSVVGVKRMGEVNQKPFQDACLQKFSIEEAEMRAMELSSLWQIKVNNSNWHPFKQVFKDEKLQVYMQMATCEHYEECCKARALVVQFAREIDYKNEKLFEMERRMDEREKEFQAYKEENERLKDKMELLKKEVEKLVKVLEETQYKSLLEQKKLLAKNEKLKYEFESQKKLCGRLDADLKEQGYDLMVQKSSLINREKLIFERECQLNKRIKMLQETEDRQVLKDTSIMRKEQKVEDPSCNRSDDTYAPGKDAEEKLRKELEYFESLNKTLMIKESMSNRELQDARNEVIEGLEGMLNPRSVFCIKRMGEVNRKVFQEICQQSCTAEDWEEQSATLCSLWERRVRDAHWHPFKRVTIDGKLQTSSRWN >KZN03463 pep chromosome:ASM162521v1:3:44869482:44871787:1 gene:DCAR_012219 transcript:KZN03463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDQESFLRAMQITNSSVVDGVLISLIELNVFDIMMQTAGVNGYLHPDEIALKLPTKHPKTSEMLDRMLRLLASHSIIKCIHVKNTDKSLLTRSYGLTSISRYFVSTKAGPCLAPYLQLIHHKEMQSCWEKVKDAVLEGGNPFDKAHEGISFFDYLEKDKQLGGLLSQAMDKSISTSMSILLQVYNGFDGVKEVVDVGGAHGATLNCIVSMNPRLKGINFDLPHVVKHASPLPGIDHVGGDMFEAVPKGEVVLLQRVLHDWTDEESVKILKKCYEAIPDHGKVVIMEMILTEMPEDNVIAKNTSQVDIRMMLYTSGGKERTVREFQMLGKEAGFAGSKYACGADLYGVVELFKKM >KZN03419 pep chromosome:ASM162521v1:3:44412557:44413462:-1 gene:DCAR_012175 transcript:KZN03419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKIETGHSDTIHDVVMDYYGKRVATASSDSTIKITGVSNSGTSQHLATLTGHQGPVWQAAWAHPKFGSLLASCSYDGKVILWKEGNPNEWVQFHVFSEHKSSVNSIAWAPHELGLSLACGSSDGNISVFTGRPDGGWDTTRIDQAHPVGVTSVSWAPSMAPGALVGSGLLDPVQKLASGGCDNTVKVWKLSNGTWKMDCFPALQKHNDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGDNWEGIVLHDFKMPVWRVSWSLTGNLLAVAAGDNNVTLWKEAVDGEWQQVTTVD >KZN01402 pep chromosome:ASM162521v1:3:15631735:15632082:-1 gene:DCAR_010156 transcript:KZN01402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSAYWCIGCVCGVYESSVCVGSQGGGETTESSSMVNRASREADGVVGVGGQNHLSSDFGEKERRRERRGGRAVGEGGRPPEIFPVGSGDDGLRKRERGEMRGKDGLEVTRAIP >KZN03129 pep chromosome:ASM162521v1:3:41575179:41582732:-1 gene:DCAR_011885 transcript:KZN03129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGASSSNSAADQMELQPYHSININSVPHPPHPVSTSQVRPASPSLPSAEYLSEEQRENYLDICLPLYEAALKGDWQAAQGIIGKCRKVINMSITKNSETALHIASSTKHTHFVEELVQLMEPEDLELQNKNSNTALCLAAAAGTVKIADIMVRKRPNLLKIRGNNKMSPLLMAALFGHKDMVSYLYNKTKNMTDDDWINTDRIMLLHACISANLYDVSLKLLHHHKKELALATDKNALHVLAKNPSVFDGTRQPIFWRLLNTILPGPRRGPGEEKCQAIEIVQIIWREIVKLKDEEIWNIIRGPPEVIKVREGNHPVKSRIDLLFASWIKQVDELLEYPYWSPAQERQLKFYSEKLRSSLQVFPSFTITGQPNSIYLSSLFVKAKEYMNLTAITRLIKLMGKEPDIIDIKIKFNNKMGLKIVGDELVARLSGIYEACMHIFDKPKDSNVVCPDFASHFIFDEKKCQEQDEEVFVGFQEEASDLLKRLASITKKQLQVISIVGMAGLELQTLIMSSRMNMVVPENMWKMIKLRHLCIKSGENLVNFSNVDEGQYLLENLQTLSLVSPTRQCQHILARTRNLQKLGLCGPFTTKIGDIKFPDLGLLVHLKTLKLLNTTTLCKAGRLSDSIIFPQSLKSLSISNTYLDWKEAWVFEMIPNLEVLKLKLHAFAGKVWETSPEAFPRLKFLKLDTMDFVIWTASRNHFPVLQRLQVYRCPYLLELPDDFGNICTLEWIGLSGCSDAATESARDIQKEQESQGNNWLKILVNAGLKPN >KZN03611 pep chromosome:ASM162521v1:3:46303978:46310305:1 gene:DCAR_012367 transcript:KZN03611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDLQFDHPSNFFHGVRFVLCGFDPINKTQVFDKLVQGGGVDVGQYGPRCTHVIVDGLTYDDPVCVAAREDGKVVVNGLWVAHSFDAGVAVDPTSIMYRPPRDLKGIPGAKSLLVCLTGYQRQDRDDIMTMVSLMGANFSKPLVANRVTHLICYKFEGDKYELAKRTNRIKLVNHLWLEDCLKAWDLLPEADYNKSGFELEELEAEAKDSEDETEISLKKNQLLNPKQDFKMDRPNSSSSKDLPTLNNTNDIASANRKDRNSHLDLNTPERLNKESEVLDSRGAEAFSNASCKKPVRSSDRTAVPTTVGKNVASPNEMCGLSDASHLNIKSYDSKSPMRTTSFGYSGNVTGLGMAPMVNAGDGADILSEHQKNETAIGCFRTPVKPVLPQREQSSTINENRTHQAQKTDHSPATRVSIHSPEMNRSKTSEAASSIGGLNEIRRSPASIAPVSENCNTKNRKGAGLIEAFEIPVMGSEKAKRENNPENGDVAKRQDSDASEALNTALPRTSETKKSNVSSNLRLPVDAHVKRPTKMSGRMSWSKGVASSQKGSIYLSKSSSEVDPAISLNSAAEDDPAISLNVGERTKNNEMIEVIPSATNLNADLEKASHDDLQSGSKVQYNPLSMDEDTEAPEENEENELREGLFQVNNGKGKLDITRKDDKSDYARKQKGGSHIETYGEDNDGAKEKEITRGIRKLGKSATSHNKRLREEKDETCKKEATHGKRKLVEIKKKANPSGASEVDKGNEFVKAIDGENCRDVEPGSTENYVEDKLKETQHNKSDCNVSGMHSCKSSGVNKGGSNQTKATCGKRKLVESSNLENTVNDDEKTVKKRPSSKTQIKKKVPSTAKMTKSKEACSEDAEKETTEDNRTHPTGELKNTKSINKLNRSVEQEKENKPVRTVDQNASHGKNVQKLASTKAINKIGKEETKHLPNFDRVEIQKKPNTEPVRFILSGHKLQKKEFQQVIRQLKGKVCRDSHNWSYQATHFIVPEPIRRTEKFFAAAASGRWILKTDYLSDSSQAGKFLAEEPYEWYKSGSSEDDAINLEAPRKWRLQREKTGHGAFYGMSIVIYGECITPSLDTLKRVLKAGEGTILATSPPYTRFLNSGIDFAVVSPGMPRVDIWVQEFIRHEIPCISADYLVDFICKPGCSLDKHVLYDTYPWAEKSLERVVNQSEESAELASPPRDGQSPPPFEEVTTPVNADVNDLPCEVCGSRDRGEDMLICGSESGTKGCGTGRHIDCCDPPLPDVPSEDWFCPKCSKKPSKKKVRAAKRK >KZN00263 pep chromosome:ASM162521v1:3:3518788:3519336:1 gene:DCAR_009017 transcript:KZN00263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKMEKLCDLLHKTIVRTKENVEKKQALTYREMEVERSEDDEVQADTESDDDEQKIYNNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNQSYRGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKQLWDRIQERQEVNKWRPDLEEEYEDQEGNIYSKKTYTDLQRQGLI >KZN01746 pep chromosome:ASM162521v1:3:24155081:24163784:1 gene:DCAR_010500 transcript:KZN01746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGARRRKGGSDTKKPQSGEASSSSSASPSFNKPDKPPAPPLPDKNYNIQKRNENVVKKWSCIDSCCWFVGFICCFWWFLLFLYNAMPASIPQYVTEAITGPLADPPGLKLHKQGFVAKHPVVFVPGIVTGGLELWEGHQCADDLFRMRLWGGTFGDVYKRPLCWLEHMSLDNETGLDPPGIRIRPVSGLVAADYFAAGYFVWAVLIANLARIGYEEKNMYMAAYDWRLSFQNSEVRDQTLSRIKSNIELMVATNGGNKVVVIPHSMGVLYFLHFMKWVEAPAPVGGGGGSNWCANHIKAIMNIGGPFLGVPKAVTGLFSSEARDIAFARPLCWLEHMSLDNETGLDPPGIRIRPVSGLVAADYFAAGYFVWAVLIANLARIGYEEKNMYMAAYDWRLSFQNSEVRDQTLSRIKSNIELMVATNGGNKVVVIPHSMGVLYFLHFMKWVEAPAPVGGGGGSNWCANHIKAIMNIGGPFLGVPKAVTGLFSSEARDIAFARALAPGRVDFQTLQHIMRMTRTWDSTMSMLPKGGDTIWGDLDWSPEEDYKCSVQKLMNNDSEIVGQTGEESSENANCVKYGRMISFGKDLAQESSSKIQRMEFRDAHKGNNLANTCRDVWTEYCDMGIGGVKAVADYKVYTADSILDMLHYVAPKMMKRGGSHFSYGIADNLDDKKYEHYKYWSNPLETKLPNAPEVEIFSMYGVGIPTERAYVYKLSSAAECYIPFQIDSSAEGENEESCLHGGVYSVDGDETIPVLSAGYMCAKGWRGKTRFNPSGIRTYIREYVHAPPANLLEGRGTQSGAHVDIMGNFALIEDIIRVAAGAMGEDIGGDKVHSDIFKWSEKINLDL >KZN00284 pep chromosome:ASM162521v1:3:3792117:3794645:-1 gene:DCAR_009038 transcript:KZN00284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILENESGRPLYCVHEGKNSELEEKNNGKISELEFISHVYRLDDPKTVKLKEDIEKALASQPTEPEDEEMADPPPSPNTLKMNQRRKALSLIIQVRPPKKGKAILFPRNSVTEVLGAYEAAKFASTQPKQNSTPQLSNDSVDLVVRVSAEVHRMVRSLEMSEVPRSFLNEQMHRLADEAFPDHDDPMQQELWSQYMRLATAFVVDALKMNDKVILEGTNIEKASMDRDFSGNHDDEDVDEEADQFPL >KZN00923 pep chromosome:ASM162521v1:3:9904614:9909542:-1 gene:DCAR_009677 transcript:KZN00923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQLQGFMLPNSKLLGRSFSNALFLYAPHGSTSKFHKYLCGNIGVLTKAAFGSSASSPIFDEESFVENGTNLTNMGISEGLESLELNKKNKIVANHQTYIWLLDGYVKSGSFPDSKKLHGKILKSGFGGDHDICTRLIDAYAAAGDLEGAVQVFDDMLYKSVSSWNKLMLAFSGKKLTTQVLGLYTQMLAENVNPDESTFAYILRAKVDFQYVRQVHGKAIRYGFGTCTVVCNPLVDLYTKNGFLDTAKNVFNGIHMKDNVSWVAMISGFSQSGQEIEAILLFCEMHKSGIFPTPYVFSSVISACTKIQLFKLGEQLHTLVYKWGLSSETFVCNAFVTLYSRSGNLMMAEQIFRNMQRKDGVSYNSLISGLAQRGFSQRSLQLYEAMQLDHLKPDCVTIASLLSACASVNSLYKGRQLHSYAIKAGMTSDIIIEGSLLDLYVKCSDVKTAHKFFLMTQTENVVLWNVMLVAYGQMGDLAESLRLYSQMQIEGMCPNQYTYPSILRTYNIGFSSAISACAGIKAVNQGRQIHAQSITCGYSLDLSIGNALVSLYARCGRKEDAYLAFNKIDEKDNITWNGLISGFSQSGHCEEALQVFSLMNKSGLEVNMFTYGSAVSAAANTANIKQGMQIHSRIIKTGYSAETEASNGGEEREFNRQRAGFHFMMGNCIQRAQDTDIAAVPAVTAQPTFPRNISILFVNV >KZN00571 pep chromosome:ASM162521v1:3:6498456:6499712:-1 gene:DCAR_009325 transcript:KZN00571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRKIALLMIFVFFISSANAIFDPDCNCEKPPHVKPPPHHVKPPHVKPPVVKPPIIKPPVTPPPAVKPPVTVPPPVKPPGTIPPIVKPPALPPVVKPPVTPPPTLPPFVKPPVILPPIVKPPFILPPIVKPPIVKPPVILPPIVKPPIVKPPVILPPIVKPPVTLPPTLPPIVKPPVTLPPTLPPIVKPPVTLPPLKPPVTLPPIIKPPGTLPPSVNPPITPPVVKPPVTLPPVALPPTIKPPLLPPVTLPPVALPPTIKPPVLSPVTPPPAALPPVVMPPVAIPPVTVPPVLPPGIMPPVLPPMMEPPSPGNPISCPPPSMSPSPSPSTAMCPIDTLKLGACVDLLGGLVHIGLGDPTANQCCPIIGGLAELEAAVCLCDTLKLKLLSLNIYVPLALQLLVTCGKPPPSDFTCAL >KZN01277 pep chromosome:ASM162521v1:3:14036197:14038292:1 gene:DCAR_010031 transcript:KZN01277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPLSHYFIYTGHNSYLTGNQLNSDCSNVPIIEALKRGVRVIELDLWPDSNSRKGAIHVKHGGRKPWPAIPHMPKILQPLMLLFKPVFQFLVLLWFLCVKIPVPDVFIVQIQLLSSLDLKKTPQLLELVEGEKDVEELMSLAPEKVVLKWMNFHLKKAGYE >KZN01490 pep chromosome:ASM162521v1:3:17262897:17264228:-1 gene:DCAR_010245 transcript:KZN01490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLQSGSMLGMGSYGGGLDLQGSMRVHHHQQPSSLQQQHNSNPRQGSIVHPSIHENFAHPSGGGQDYDQTILLAEYSKVDRAKHSASDEEELSLTDDAADGHSHGSRGKNISPWHRVKWTDTMVRILITAVSYIGEDAAAEYGGGARRKYANLQKKGKWKSVSKVMAERSYFVSPQQCEDKFNDLNKRYKRLNEILGRGTSCEVVENNSLLEVMDHIPEKLKEEVRKILSSKHLYYEEMCSYHNGNRLHLPPDPELQHSLRLALRSKDDHENDTRKHPHHEIDDDDQDIEMEDRDVENHATHGDHRGTYGMSGASMKRVKQCQVHENVALGSSLSSLDCNRTFNSQAQVANVDMNQVLPEGMNANLSQAQWMEHHSMQIERQKLQLEVQMLELEKERFKWKRCCRKRDKELEVMRMENERMKLENEHMALELKRKEMGADT >KZN02405 pep chromosome:ASM162521v1:3:33128390:33135280:1 gene:DCAR_011159 transcript:KZN02405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGHLFNNISLGGRGGTNPGQLRVYSGGIVWKKQGGGKAVEVDKSDISGLTWMKVPRTNQLGVISKEGLKYKFTGFRDQDVSSLTSFFQTSCGITPEEKQLSVSGKNWGEVDLNGNMLSFLVGSKQAFEVSLADVSQTQLQGKNDVMLEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENCPPAQVFRNKIVSMADVTPGGEEAVVTFEGIAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVSLDPPIRKGQTLYPHIVLQFETDYVVESTLSLSDDLYNHKYKDKLEPTYKGLIHEVFTMILRGLSGAKLTRPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHDEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGGPQAADGVAAVLQNEDDDAVDPHLERIKNEAGGDESDEEDEDFVAEKDDSGSPTDDSGGDDSDASVSGGEKEKPAKKEAKKEPSASSKAAASRKRTKDGEEDSVKKKKPKKKKDPNAPKKPLSAFMFFSKNERENVKKTNPGISFTDVGRVLGERWNKMSGEEKERYEADAKQDKKRYTDEMKGYNNPQATKADSGNDSDSD >KZN03135 pep chromosome:ASM162521v1:3:41618042:41619762:-1 gene:DCAR_011891 transcript:KZN03135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAERKIGWFLKLLFAGTATLVGYQFLPYLGDNIMQQSVSLLQVKDPLFKRMGASRLARFATDDERRMKIVEMGGAQELVNMLGVAKDDSTRKEALKALLALSHSDEAVGALHNAGAISVIRATPNVVEDSEVEKHKFDLLKRFQDLKYDSSS >KZN03137 pep chromosome:ASM162521v1:3:41647404:41652053:-1 gene:DCAR_011893 transcript:KZN03137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKLQKLNSQGGESEASYSKGKEAGYAVQLSNTTLLDVRPATKYQKAWVKGSAWIPVLDVESTFNVGTLPKKVTNFMMGTVLLYLDGVGYFYTCFVYVDLHFPFNQGGLEAAEEEDLESEGPQPFKFAGIGGLPEFLGWTDQQRAAAAKEGWAYRLVFSARLVAIFIAADALFIGAQEAARYLQDIRSQ >KZN01232 pep chromosome:ASM162521v1:3:13354666:13364400:1 gene:DCAR_009986 transcript:KZN01232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSLRQRDEEERPKDLPPALPARPTSKARRPSAKRPLPAKFENNSEDLICGGKRQEVKHSRTGSFGGKKLKEGDGDESPYEVSPALVDKQSVASSSLLPRFLNSDLNDNFGYFIKKKLRVWCQLQNGHWESGQIQSISSDTASVLLLNGSVVTVSAEDLLPANPDVLEGVDDLIELSYLNEPSVLHNLQYRYSHDLIYSMSGPVLIATNPFKKVELYGNDYVTAYRQKLLDSPHVYSVADTAYNEMMRDGVNQAIIISGESGSGKTETANFAMQYLGAIGGVNDRIELQLIQTSHVLEAFGNAKTSLNDNSSRFSRVVHLARGERSYHVFYQLCAGAPSALKEKLNLKAASEYNYLNQNGCLGADNVDNAQKFQILLEALNAFSMSKEDQEHVFQVVAAVLWLGNISFQVIDSEDHVKIVADEALATASSLLGCRSEDLMLVLSINRIQTSKDIAAKSLTLQQAIEKRDALAKFLYASLFNWLVDKINGSIEKGERQAGRSISILDVYGFESFQRNSLEQLFINYASERLHQHFIRHLLKLQQEEYDLDGIDWTKVEYRDNKDCLDLFEKRQTGLISLLDEESNLTKTSSLTFAEKINQHCKTNPCFNREQGGAFTICHYAGEVQYNTSGFLEKNRDSLHSDSIELLFSCSSQLPQLFASNNADQASVFHQQSLGTKLKAHLFMLMQQLENSTPHFILCIKPNSGQIPGIYEKELVLKQLRCCEILQVVKISRSGYPTRITHQEFAERYGILSKFGFSQDPLSASVSVLQQFGIQHEMYQVGYTKLYFRAGQNDALEEARKQVLQGTLEVQRCFRCHQARRYFHELKRGVISLQSFIRATTARRKYNYLINLRKQAVQQTLDEKQRAVLRLQAAIRGWLVRKESKKLLKVKKSSQEHTGSSHNLSRRISDVKKEMPEENIQILPLAMDELQRRVLMAETTLEKKEQENAVLRDQVQQYEARWAEYEVKMKLMEDMWQKQTTSLQMSLAAVKKSLADSTSVHCEGQEGSPSPQYYDSDDKHSLETHTPDDTPIKLTSSISEFGAGRQANGNRNAVSHLIKEFEQRKQTFDDEAKAIIEIKSGHPTSGNPDEELRSLKNKFEGWMKDYKARLREAKTKIQKLPSAERRRRNLWCGGISKW >KZN01893 pep chromosome:ASM162521v1:3:26660534:26662385:-1 gene:DCAR_010647 transcript:KZN01893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESQKSNGSDADHVKDITESKSSPMSTAKAKICRIFGRDNNKLFRGSAPADIFLWRNKKITAGVLGFATAIWVLFELLEYHFLTLVCHVLILALTSVFLWSNASTLFKRSPPTIPEVRLPEDVALGVASALRIEINGALAFLHGIAAGKDVKKFVIVIAGLWVFSVIGSCCNLLTLFYISFLLLHTVPVVYEKYEVKIDCFAKKVLHFYHLQAEKAKAEIKQQYAVFDDKFLSKIPKGLLKGKKFI >KZN00903 pep chromosome:ASM162521v1:3:9746204:9747280:1 gene:DCAR_009657 transcript:KZN00903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPENTPPVCENPPSTSLPPPSSAFPVPAGSNTPSSPAPPTEGEPSPTISSSPPPPPDISPPMLPITNPPQSPDVTNPSPSIYIPSPDVTTPSPSIYIPSPDVTNPSPSIYIPSPDITNPSPSIYIPSPDVTNPSPSTYIPSPDVTYPSPSTYIPSPSSNIPSPTGYTPEPPVDIPSPTEPGLNPPYYEPSPPSSGTSPPSNIPSPDISIPSPPIFQPPVVYPQPPPHRSASSTALWCVAKPAVPDPIIEEAMNYACGSGADCDSLQPSGSCFQPNTLLAHASYAFNSYWQRSKVAGGTCNFGGTAILVTVDPSYDGCHFTYF >KZN00479 pep chromosome:ASM162521v1:3:5686047:5694468:-1 gene:DCAR_009233 transcript:KZN00479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSISTHRRIWRRKLNWVAAAAAVVAIFLLGFSLFSASPNKTTQLTQLSEMVPLTLISNAKKTGAFCLDGSLPGYHFQKGFGSGTRNWLLHIEGGGWCNTIASCSYRKTTALGSSKYMDLEVKFGGILSSDPSENPDFFSWNKVKIRYCDGASFAGRPESEINKGAKLFFRGQLIWEAVKDELLSLGLSNARQALLSGCSAGGLATLIHCDDFRDVLPKNAKVKCLSDAGFFLNEKDIAGNHTIQSFYHDVVQLQGVSKSLNKDCVAKKDPFKCLFPQEFTSSIKTPIFLVNPAYDFWQIQNILVPVSSDFHGDWSTCKRNIHNCSPNQVAILQGFRNSLLKALTNFLQINGGVFINSCYIHCQTWIAETWHGPASPKINNKTIAESVGEWYFNDKTVQQIDCPYPCNPTCYNMVFP >KZN01508 pep chromosome:ASM162521v1:3:17616600:17626738:1 gene:DCAR_010281 transcript:KZN01508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGGIVLSLCFILTLVESSRIPEALWSVSLSGEHSSIYSWTIGSASVFVAVALVLSLYLIFEHLAAYNQPEEQKFMIGLIMMVPVYAVESFLSLLDSDAAFNCEIIRDCYEAFALYCFERYLIACLGGEDSTIKYMESQGVVSSSMPLLEESYSYGIVEHPFPLNCLLKDWHLGADFYQAVKIGIVQYMILKLICALVAMITQVFGVYGEGKFEWGYA >KZN03716 pep chromosome:ASM162521v1:3:47277153:47279655:-1 gene:DCAR_012472 transcript:KZN03716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRIRPTLAAVRHFSGEVAAESIQKPPINPPPPPPAAKSGGGDTLGRRLLSLVYTKRSAVTTVRKWKEEGHVIRKYELNRNVRLLRKLRRYKHALEICEWMKTQEDMQLVEGDYAVHLDLISKVRGLNSAEKFFEDLPEQMRGQLACSSLLHNYVKNKDSSKAEALMEKMLECGFTKNPLPYNHMISLYISNGQVEEALRMIKKLKKNTSPDLLTYNLWLRICASLDDIDIAENVFLELKKAHVEPDWVTYSTLTNMYINHSCLEKAAASLKEMEKKTSRKVRTAYVSIISLHTRLGNKAEIRRIWKKMKSTYPKLNDEEYTNMISSQLKLEEFKEAHKLFHEWESVSPTKDCRIANLFVAAYINKSQIEMAEDFHKQMVKKGITPSCSTWELLTWGYLKQNDLEKALISFQKAIASVKKWEFNEKLVRELYRIIQAHKNIERAEQLLVILRHGSELNTTIYNELLKTYAKAGQMPLVISERMKKDKVELDDETRELVKLTSKMCVGDVSSYLS >KZN02076 pep chromosome:ASM162521v1:3:29277395:29278789:-1 gene:DCAR_010830 transcript:KZN02076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHLRGMGSQVLRSLLSICLFLCLIIFSPNLASAALRPNYYANVCPDVESIVREAVKTKIHQTFTTIPGTLRLFFHDCAIQGCDSSIMIQSKGNNTAEKDHPDNLSLAGDGFDTVIKAKAAVDKAPGCKNKVSCADILTMATRDVVSLSGGPSYRVELGRLDGLISTSSSVNGSLPKPTFNLDQLTSFFNTLGLNRKDMIALSAAHTVGFSHCSRFAARIYNFSRHNKVDPALNKSYVAELQSLCPIGVDSRIAVNMDPISHKKFDNIYYKNLQQGMGLFTSDQILYTDFRSRPFVNLWASNNAAFERAFITGMTKLGRVRVKTGRSRYGNIRQDCAAFNS >KZN00122 pep chromosome:ASM162521v1:3:2101369:2105774:-1 gene:DCAR_008876 transcript:KZN00122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTTRWLRSLFTKKHPPSTAEARRSVRSSGGPTSSSSSSVDANKHAIAVAAATAAVAEAALAAAQAAAEVVRLTSGGAAQGGRCGPGYGREARDQRKEWAAVVVQSAFRAYLARRALKALKALVKLQALVRGHIVRKQSTHMLQRMQAMARIQARACAYRVYTSESPQSSSKSSQSHQTGISSFETFDHQVRTYGKRNESPLKRNSSKSGIKDNVRPESKNVGSSWLDQWMEENSRNRHKDTSSKISQADDEKSDKILEVDTWKPRMNLRQGGKVFQSSQHIPAWNKNEVMFTPFDSLSRLSTQSQNSNPGSVRQEILHLKSLQMRHEVDQAAVWAVENSPGARSISSRPGSSGSRRGPATPARSEFSQNYFSGYIGHPNYMANTESYQAKVRSQSAPRQRMDFERPVSTRRFAGGYMDEETSSDRGWPLHGNINFRNRVHQDTGRSHITAMQYQGYEPTYGNR >KZN01890 pep chromosome:ASM162521v1:3:26619600:26620229:1 gene:DCAR_010644 transcript:KZN01890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLTAAPSRAPRFLLARAMSSKSSLFWWRVGSETSMSSPADAKQVANYLYNNFLDGQSASRPFGSVILDGVDFDMSDFERKGIRLYYDDLARDLSGFSTQQRKVYLSAAPQCPIPDAKLDAAIQTGLFDYVWIQFYNNPQCHYTGTATNLLARWRQWAAATPSRSQIFLGLPAARLHHAVGSFLDYQRCDSITQSDLSWITSDYCSST >KZN02546 pep chromosome:ASM162521v1:3:34354646:34355959:-1 gene:DCAR_011300 transcript:KZN02546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVLSRELIKPYTSTPRSLREYSISLIDELAPLVNVPTILYYADDLHSTSSRCEQLKRSLSKVLARFYPFAGRYMKDSFMVDCSDQGAEFVEAMVDVRLDDLVGRGKDLKVEMLNHLIPRAIGASGHVTDPLLAVQVSCFACGGWAIGLMTSHKIADMSTTCTFINEWAIAAKRILEGFTEDNFPIMSPMWNSASFFPGKKMSGLPLGSSTEKENSEDHEIVTKVFSFKNRAISRVREKARLDSSRESLPTRVQSVFGIIGKSIIAINAAYTKEYLVIQPVNMRERTIPPISKNQCGNFYLLSTTQVAAGGAGVELHSIVNLLTQTVKREVEKCKMILSVEGQMSVSDGFHELRRILAKPKVAALDFSDWCKFPFYEADFGSGKPVWVSSVNSSVANNVNLYSDKYGEGIEAWVTLNSSDMLKFEQDSSIMEYST >KZN01739 pep chromosome:ASM162521v1:3:24022898:24033933:-1 gene:DCAR_010493 transcript:KZN01739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFTKAVQDGISLSKRLNLGKGRSAAPPKPMSQMDKSSHPQSYLPTAPMIYAVIPDPSVVDNPDIPSYQPHVHGRCDPPALIPLHMNAVSVQAHCYLDTAFVTVTASWRLHCVMRNRHCDCRFAIPLAHEGSVLSVEVDAAGKSYSTQLISTNEEVDTKKVAKPENGGFVKPHIFTFTIPQVDGGSNVSVKVRWSHKLQYQNGQFNLIVPFSFPSFVNPAGNKMSKKEKIHLDINSGPGTEVVCKTISHPLKELRRDGGKLGFFYESQVLSWSTSDFIFTYGVSTNSFANVILQSPSVFDIDKRDMFCLYMFPGSKFSKKVFKKEVLFVVDISGSMRGKPLEDTKSAIFAALSELIPGDSFNVIAFNDEAYLFSSSLELATKEAIEKATEWIGMNFVAGGGTNLSLPLNKAIDMFSSTQSTFPIIILITDGAVEDERDICDILESHMTKSRSLHPRIYTFGIGSFCNHYFLRMLAEIGRGRHEAAYEVDSIEMQMKNFFRKALSTVLANIRIGSMDNLDELEIYPSRVPDLLSESPLIIYGRYCGKFPNTTKIEGILSDMSKFTIDVKLQEAKEMPVDEILAKHQIESYTAQSWFSKSKDLEIKIAELSEQTSVISEYTRMILLEEGEKHAKTVSAETRKKGQLPNEVNRQKLLVFPNSGIGFGSVSATAANSRPGRDEHKLPESAEMFIKAASNCCSIMCGHCCCMCCIQTCSRINDQCAIILTQFCTALSCLGCYSCCEACCGSDSL >KZN00071 pep chromosome:ASM162521v1:3:1660692:1663136:1 gene:DCAR_008825 transcript:KZN00071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLFMVFVAVVILLASSLPVPSFSTRISLSTGSSLDVRYKEDVLVSANEVFSAGFYSVGVNAYCFAIWFTDQSLNKQNHSIVWIANRDYPVNGKGSKLSLLKNGNLVLIDAGQWHPPVWESVTNSNTSEVTLSLADNGNLILRARGGERLWQSFDSPTDTLLPDQPLTRGTLLVSSRSSTNYSSGFYKFRFDDYNILRLSFSNLEVSSLYWPYAWQHPAETNRTMYNSSRIAVFDNYGHFMSTDNLQFRTSDFRSRVWRRLVLEPDGNIHVYSLDERRKVWEVTWQAISQPCRIHGICGQNSLCTYSQKFGRKCKCLPGHKMNVPTDWSYGCEPDFKPSDDGGSTFGFLQFSHTDFYGYDSGFFVNFTFDRCKEECLSHYNCKGFLFRAKGSKGENLCYAKSRLLNGQQVFDVHSFVYVKLPTNIIKIYEKKTNPLEDSPFLNCSGQDIIHLGRAYKKNSPNDSVKYMLWFICALGGFEMIAMAYFFSVNMGTYKSNQVYHQVATSFKRFTYNELKKATNNFSQEIGRGSSSIVYKGVLQDNRVAAIKRFKEENFRGEAEFLAEISIIERLNHINLIETWGYCIKGKQRFLVSEYMDNGSLADNLSLNILDWEKKYKIALGTAKGLAYLHEECLEWVLHCDVKPHNILLDSNYEPKVADFGLSKLLKRDVARNPRFSRIRGTRGYMAPEWISNQTITSKVDVYSYGIVVLEMITGRSPTTNVYDDTDGSSRAEQKMLVKWIRDKMQVDNVTGKALPMMEIVDPRMNGMYDRPRMEALVQVALQCVEDQERARPTMSNVVDMLAGLDLHHPSQN >KZN00647 pep chromosome:ASM162521v1:3:7209824:7210531:1 gene:DCAR_009401 transcript:KZN00647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFFSNLDQALPQYPDSSSSGGSSSANYTDSPAQNSYEVLLASSNPKKRSGRKKFKETRHPVYRGIRRRDSGKWVCEVREPTNNSRIWLGTYPTAEMAARANDVAAIALRGRNACLNFADSAWRLPVPASLDVKDIQIAAAKAAEAFRPKKNDNAAGTSAEKASVFPENEMMLMDDIFGFHENDNERIYVDEEAIFGMPQLINSMAEGMMLPPPQYGGDDMEDDKELPLWSYSF >KZN02090 pep chromosome:ASM162521v1:3:29419256:29419771:-1 gene:DCAR_010844 transcript:KZN02090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIENSKKKKLSALVVDDDGVCRIIHVAYLRRHGFETYAVENGREAVDLIRSGEQFDVVFMDLVMPVLDGIQATRELRAMGVKTMIVGIDCDLGYLGEHPIIAGMDRVYEKPMTQEIVISVRQALLNNYNI >KZN00539 pep chromosome:ASM162521v1:3:6215629:6217227:1 gene:DCAR_009293 transcript:KZN00539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCICSTSDVAEDIAPSTNTQKGDQEFRLFSYNELKAATAAFKSSNKIGQGGYGSVYKGHLKDGSVVAIKVLSIERESMRGEREFISELTALSDARHENLVRLLGCCVEGASRYLIYDYMENNSITHTFLVVAFDMERGEQFLVDKAWEMYNADELVQLVDPELKGDFLEEEAVRFMKVGLLCVQETSKLRPRMSSVIKMLNNEIKIEQSKISQPGFVADLMDVKVGSGHTNSSHGFSPL >KZN03257 pep chromosome:ASM162521v1:3:42948804:42952356:1 gene:DCAR_012013 transcript:KZN03257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKIFGKQKQETTALTSLEKLNETLEMLQKKESVLTRKASAEVEKAREFTRAKNKKAAIQCLKRKRLLEQQIEQLGNYELRIHDQMILLEGAKATTETVDALRSGAAAMKGMQKAMSMDDVDNTMDEINEQTEHMKQIQAALAAPMGADFDEDELEAELEELEGADLEEELLKPPEPVAPIQIPAGKQSARPPPQKLTAEEEELEALQAEMAL >KZN00610 pep chromosome:ASM162521v1:3:6914548:6917980:1 gene:DCAR_009364 transcript:KZN00610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSPIVNTYPLASYTFGVKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEGILMIGECVATWWRPNFETVMYPYCPPHIAKPKECKKIFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFSMMQA >KZN03414 pep chromosome:ASM162521v1:3:44387373:44387867:-1 gene:DCAR_012170 transcript:KZN03414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFKHLVVAKFKDGAVVDDILKGMEKLASEIDTVKSFEWGQDVESMDMLRQGFTHAFLMTFSSKEDFTAFMSHPDHLQFSATFSGAIEKVVLLDFPAVLAKSR >KZN01354 pep chromosome:ASM162521v1:3:14810490:14833471:1 gene:DCAR_010108 transcript:KZN01354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILVDNTLDHSRNKESGDMALVETAQEMSADPFARSYQLDALEMAMKQNTIVFLETGSGKTLIAIMLLRSYAHLLRKPSTSLAVFLVPTVVLVSQQAEVVQMHTDLKVGKYWGEMGVDFWNAADWKKQQDDFEVLVMTPQILLNALRHSFIKLETIRILIFDECHHARGRHPYACIMMEFYHRQLESNNLQLPRILGMTASLINTKASSDEEGYWKQICELENIMNSKVFTCSSESVITDYVAMSTPKLKSYRHVDIPYSLFEDIARELKTLKKKRVITANVLCRLLNVLLPSLSGWKTEYMAGSNTRLQLQSRKAQNKIVEEFRKGTVNIIVATSILEEGLDVQSCNLVVRFDPSATVCSFIQSRGRARMQNSEFLLLVESGDNSSFARVDSYLASGQVMRQESLRHAAVPCQPLDTEIYNEVFYRVDSTGAIVTLSSSVSLIYFYCSRLPSDGYFKPFPRCNIDKELGKCTLYFPKSCPLPSVTVIGHVKTLKQLACLEACKTLHIMGALSDNLVPDLVEEKDEEMGHLEYVDEQDTYVPSELVGQGLPNGAKTYYCYVLQLERSFSYDVTMDHIILAASNELNFDEDNITFDLEVDRGSLTVHIKYVGTISLTSKEVLKCQQFQVTIFRVLCNNNYSKLHEILDVFHPWNDLTGLIRNEPFDPKMWIIPGEQMEAFYEVQLSNATKVFTKGVRKIKSKVVADVVEALIGVFLSSGGEIAALSFMNWLGIEVNFFNIPYKRSFSAHPEDLLNISYLESILNYSFSDASLLVEALTHGSFMLPQVPQCYQQDCDSAQKTLTSVVELLVHNLSIMTILIETTADHSQKNQAVDMPLVQKAEQLPADHLPFARSYQLDALEMAKGQNTIVYLETGSGKTLIAIMLLRSYAHLLRKPSTFLAVFLVPTVVLVSQQAEVVQMHTDLKVGRYWGEMGVDFWNAADWKKQQDEFEVLVMTPQILLNALRHSFIKLETIRILIFDECHHARRRHPYACIMTEFYHHHVKANDLQLPRILGLTASLINNKGSSDRIRCWNDIRELENLMNSKVFTCSSESAIAEYVEMSTPKLKTYGHVAFPCSLFSKISIQLKSLHEKFICVIENANMEDSQKENTRQRLSKLCSTFSFCLSELGLWLALKAADSLSSQGSEMLIWQQLDKSGERIITDFSSDVFKVLSGYIPSDPNWSISDDMQANVGNGYLSTKVICLFDSLLQRRGLIDLRCIVFVERVITAIALCRLLNVVLPSLSGWRTEYLAGSNTRLQLQSRKAQNIIVEEFRKGKVNIIVATSILEEGLDVQSCNLVVRFDPPSTVRSFIQSRGRARMHNSEFLILVKSGDNSSITRVDNYLSSGQVMRQESLRHAALPCQPLDTEIYNEVFYRVDSTGAIVTLSSSVSLIYFYCSRLPSDGYFKPLPRCNIDKELQKCTLYFPKSCPLPSITVHGNFKTLKQLACLEACKKLHMLGALTDNLVPDMVEEEDAEELGHLNYVDEHDIYVPAELVGQGLNSGAKRYYCYLLELERNFTYDIEIDNLMLAARNELKFDEGNNLAFELEVERGIVIVRIKYATPISLTSEEVLKCKKFQVNILSVLHNKNYNKLKERLDVIHPPEDLDVYDYLLLPTTGSQQSLYIDWRSVDSVLFRSENIIHDHARSLMPALVLYLIPTEVHGTLKYEGLIRNEPFDPKMWIIPGDQMEAFHEVQLSTTTKVFTKGVRKTKGKVVADAVEALIGVFLSSDGETAALSMMNWLGIEVDFFNIPYKRSFSAHPDELINISYFESIHNYSFTDASLLVEALTHGSFMLPQVPQCYQVQIVFIILFMFPV >KZN00757 pep chromosome:ASM162521v1:3:8191116:8195545:-1 gene:DCAR_009511 transcript:KZN00757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKILNYRQQAKRSSTIALVLSMLLMLTVVLLMLLALGILSLPVSDADVDSNPISNHFKFNRKIINHNTNIVDSDVSESREDQWTEILSWEPRAFLYHNFLSKKECEYLINLAKPHMAKSTVVDSKTGKSKDSRVRTSSGMFLRRGGDKIISNIEKRIADFSFIPADHGEGLQVLHYEVGQKYEPHYDYFLDEYNTKNGGQRIATVLMYLSDVEEGGETVFPAAKGNFSSSPGWNELSACAKRGLSVKPKMGNALLFWSMRPDASLDPSSLHGGCPVIKGNKWSSTKWMHVDEYKI >KZN03010 pep chromosome:ASM162521v1:3:40124140:40124625:-1 gene:DCAR_011766 transcript:KZN03010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIPSLFGRRSSNAFDPFSLDGWDQLQGFPFNNFSFGQLSDQFRSREASFANATIDWKETPEAHVFKADVPGLKKEEVKVEVEDDRVLQISGERTREQEDKGDTWHRVERSSGKFLRRFRLPENAKLDQVKAGMENGVLTVTVPKEVVKKPDVKSIQISG >KZN01240 pep chromosome:ASM162521v1:3:13522510:13524552:-1 gene:DCAR_009994 transcript:KZN01240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAGTNKGRTSKFHKGIPADITDADGNVCVSLNCTVAAAGDIIGPDQILRDDGSTIVSSAAHFELGFVTVGSSANRYVGIWYKKIAEKTIVWIANREAPLNTTSNILRLNSNGNLVILNASDDVVWSSNSTASVNNPVVQLLDSGNLVIRDESETDNYLWQSFDKPGNTHLPGAKLGWNLETGLERYLTSWKSLDDPSPGEYTNHIDRNGFPQLMIRKGSAIDSRGGSWNGLRFSGTPNLSPNQIYTINFVSNDKELYYVYEAVNSSVYTRRVLNPLGYIQRWVWIEKSRIWQRFLAGPIDDCDYYRVCGAYGSCNIDNSPKCMCFRGFQPKDKIGWDATDWSRGCARKEKLSCGNGEGFVKHSGLKLPDTQRSWFDKNMNLDECQKECLSNCSCTAYANTDVRGSGSGCLLWFNELIDIRDEKENGQVLYIRMAASELGKKGRSKVRFVWIPILMTVTMMCVCLWVIRNKKKKKKHTEGITDPYFENCGSNEMGAEDLELPLFDITTLDIATNGFSKYSMLGEGGFGPVYKVIVMPASDQG >KZN00812 pep chromosome:ASM162521v1:3:8914169:8918092:-1 gene:DCAR_009566 transcript:KZN00812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFSLELPQQQNLYDCGLFLLHYVELFLEGHLVNFNPLKVSSFSNFLTANWFQPAEASLKRAYIQRLIYDMLDDGSSEPCPSNGEDEKCTSMYPKSVDKDRTASEFLSDGSSFSKVVHESVPCSQDGQGLELSLLHSSLADSIQSANDSGFILRGPGEAEAAVESLCRAFERTRAEAAVEFFCQDFEKTKAEGGVVSFCQAYKKTTPSEAETAGESFCQAFEKTAPSETKAPSDAEAAVESFCQASEKIAASEAEAAVKSFCQTFERSAPSEVFENSSKSIHFVNDSGFVSRGRAEAEAAVESFCQPLERTASAEEFKNASESIQLFNDSGFVSRGPAEAETAVESFCQAFDQTAPSEEFKNGSESIQPVNDFGFVSRGPAKAEAAVESCCQDFEQKGHSECSNAMPPIEWDGLICSSYSGNMFYFYPLYLNSKLLTVAFFVTSVGWKMGFVSRRPVEAEAAAESFGQAFEQTAPSEESRNVSENIQPINGSSFVSRGLPKAEPFCQAFEQTAPSKEFRNVSESIQPVNGSSFISRGLAKAEVAVESFSQDFEPPSGCSNVMPPIEQEDKSYEHSRCSPLGTTGFQEPKASTSEACVAYLSKESGAEPLWDRESNTCQNVLVDTDSSKESICASDDFLKSENAIHSKIGTNLSMEVKLDHHQPKFSSLGNLELFVDLRMPSSSDHMLNGNNTLSKNCGSPPRNCDALEDRSAACAPGQCICDGLGTGVQQATKKRRLAPIKEGPSSDEDLHE >KZN00359 pep chromosome:ASM162521v1:3:4474502:4477687:1 gene:DCAR_009113 transcript:KZN00359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDPTISQPPKASSDDAAKSKVDEDKQTQVVHDDSTKSMSQDPPHSSGVIPDPKQDDTDKKEVSDKDVVDTADPKADKKEVADEGADEGKDRIDQAKLVEAPQQLKESIPATQEKDDKPPQQSDESIPSPGKKDDKPPQEINESIPAPGEKDDKRPQETKESIPADDKPPQETKESIPAPGEKDDKPPQETKESIPAPGEKDDKPPQETKESIPDPEIKDDKPPQQTKESIPADDKPPQETKESIPAPEKKDDKPPQETKESIPAPGEKDDKPPQDTKESTPASEKKDDTPPQQADQSILPPGEKDDKQKQVIDGDMKDSTSQLPQQSDDIAPNTAPLEGDKQTELDEDADKSVSQKQDEEITPDPDQETGDNSDDFAGQSVDPVPTIQNATDDSDSGPVADDALIVLVRRELEYIRETANKLNDYGDIVEQSLVGSGDKIKELDISLIEAVEDKDSDEVGKEKRIRDVQKEIRIFKKHLRKLKLIIPPKFKVNKDDIPELKKYLSELTQNVKLYTSDNKQILGKLDLFKEKINHEYRSAFKDFQARYEKLDYRMKLCLLCFSAIPEHEVIKKRLMVYWWIGEGFIFPEKLEKSETPGEKKPDLEQLGAKVFDDLLKQQFIFSADGKDSQEVDNCKMLPFVRWAVIYMAQRAKFFEFDHDGSPIEDYTSCFRACLSGNGLDTQKAPDLEKLHMLLNVNEAILELKPEWLLKMRNISILCLGRWQAASEHHIEVEDTKFLQALKNMIHLRYLSLQGISRITELPNSVSCLTKLTMLDLRACHNLEIIPRDIGSLRMLTYLDLSECYLLTQMPRGLSKLENLVVLKGFLVVEDPKKDKICTIEDLAKLKKLRKLSIFTGVEAFPSSKDLSAFQKFEVLNKLKIVWGGKKAIKGESGQTTAEGGATTGVSSVETSKDIGRKLIPDVMPKSKRSSRKNALGVSDSSNSGLPKQLQKLDLQCYPLESAPEWLKPKKLENLKKLYIRGGKLRDLNLTNDDWEVEPWNVETLRLKYLTGLGMNWTELLELFPKLIYLEKVRCTKLTLFPCNDKGVWINRAELSKKV >KZN00480 pep chromosome:ASM162521v1:3:5695862:5699576:1 gene:DCAR_009234 transcript:KZN00480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKRTLTMNWDRIGDDDDQFFESCDRISSALPVDLDSSDEEYDDSRMSFASAVSSAAVLKEIRSVSFKSSANPPSVLENYDVWMAEPGSVQDRRKRLFQGMGLNSNRDLLAMASARFGRGVSKKIVPQRQEGDDKSKKEESKKEAVSKTEGEKKNANENAPQALPATAILLVRSRSDGEITSLLSDSRMRRKELKGTVSKQRLHRTFSLIMTPNVDVCKVTKAVRFESPGGSSSLNSDDQLGSYFLIRNLDTGTEFIVKEYNDDGMWNKLSDLQTGKQLTMEEFEKSVGHSPVVKELMHRTSLTKNPDDEKKVPATADSSIAKSIRNSKKKGAALLKNIKDVANSMSILMGDKEKAQQQAPPEQKTNNKNSSSQWVKVRQQGKQYKEFTGLHLCQEIQAHEGSIWTIKFSPDTCFLASAGEDKVIHVWEVQECEVVSSRPPDDTNSSSSTPVHPMAGSSPDRPPLPENNPAPAEKKTKGKNSSKKKGNSIPDYVNVPGTVFALSERPVCSFTGHQDDVLDLSWSKSQLLLSSSMDKTVRLWDMETKDCLKMFSHNDYVTCIQFNPADDDYFISGSLDAKIRIWNVPNRQVVDWMDVHEMVTAACYNPDGQSAVIGSHKGTCRLYSTADSKLEQKENIDIQTKKKAPPKKVTGFQYNPSNPSELLITSADSRIRIFDGSDITHKFRGHRNTSSQIASSFSPDGKYIISASEDSQVFVWKLEESRNGKSKTLVSIASHEHFPSKDVSVAIPWPGSIKNEVPVVELHSRKHSKRTTPQSQSTNVSPTKEDAGTNSKGQLPPLPQKNNQSDKVKSSPEEDQDQISRTESGIGESLSSASVRDDPSSISNSSSSLGSWSSSWSWFDGGNSHGHTVQATAWGMVIVTAGVGGEIRAYQNFGLPLKAGRQTYLFRDLT >KZN01108 pep chromosome:ASM162521v1:3:11782309:11784531:1 gene:DCAR_009862 transcript:KZN01108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSNSLILPAVKISSGSHPKSVDQFAGNGGLAKLTFQTTRQTRRRSLAVQASYSDGGRPSSGSIFIGGFVLGGILVGTLGAVYAPQISKALAGADKKDLMRKLPKFIYDEEKALERTRKILSEKIEQLNSAIDDVSAQLRTEDSPNGVAVDTDEIEAAM >KZN01823 pep chromosome:ASM162521v1:3:25747235:25747742:-1 gene:DCAR_010577 transcript:KZN01823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLFYIFGFFKGIILIVLIGTGWSFIKPNLQDKEKKVLMIVIPLQVIANVAQTSVVYAEVTTLAFYVFTGYNFRPKTHNPDFAIEDEEEEAASDALKLEEDFDL >KZN00384 pep chromosome:ASM162521v1:3:4820985:4822887:-1 gene:DCAR_009138 transcript:KZN00384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSQQLQTLMQSSGSQMSSNPSFNGSNSMTLQDDEDMSKSALNTFRAKEEEIEKKKLEVRERVLAQMSRIEEETKRLAVIREELEGLADPMKKEVGVVRKKIDLVNKELKPLGQTCQKKEKEYKEALEAYNEKNKEKVQLVGRLMELVNESETLRIKKLDELSKSIESTR >KZN01434 pep chromosome:ASM162521v1:3:16207270:16208622:1 gene:DCAR_010188 transcript:KZN01434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVPFFQVQDDQVVDLNDDDGVATLETTPLWSRHVDGFDFHTPDLNLPASELDTATVSNVRNTPSRSRIWDGSDPEQDPDPSVLDVDVGNQMSFVMDLFGHRIEHSGRDPDPNRDPDFRVVERDGNEDEDEDVSMENLEMGLFYNWADESDLDPALGPNWEPDLGDGDDDCYSGFFCADCGSVVLESLGGSETVSDAGESVGLVERDFFMGDLEGVDIEWESAERRNGARNDLLFGDENVDAEGIYWGDTVHVNNNRDFEWEEIGGSLEDRDVLSMIFEDGADDDESVVPVAGEVGRERNETVVNLEWEVLLNIHNLEVNHGFGLDDDYGAYTDDEQYTEYAALFAQFGEDDNPIQGRPPASKAVVENLESILITEGSENDNVICAVCKDEMNVGETAKQLPCYHQYHEDCIMPWLGIRNTCPVCRHELPTDDPAYELRRRGLLEMHTQ >KZM99995 pep chromosome:ASM162521v1:3:932631:933089:-1 gene:DCAR_008750 transcript:KZM99995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPYPSPVDVGVLCIILVSTAKSISTVKEIVWYILHAIGIQVVSWEEYSTESPTNLSGSCESCLETSIEEFRERIPAVKYDSLCSDKQLEHDCSVCLTEFEPEAVINHLSCGHVFHKSCLEKWLKYRNVTCPNCRKHMIPAEDEEDTCPM >KZN02755 pep chromosome:ASM162521v1:3:36937840:36939400:-1 gene:DCAR_011510 transcript:KZN02755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRKEFKIYRWSPDYPDRKPFLQSYFVDLSSCGPMVLDALQKIKAEEDSSLSYRRSCREGICGSCSMNIDGTNTVACLKPIDTNTSTPTYITPLPHMFVIKDLVVDLTNFYNQYKSIEPWLKTKKAAPDGRENRQTPAERRKLDGLYECILCACCSTACPAYWWNPEEFLGPAALLHAYRWVSDSRDEYTDERLQALTENENRLYRCRTIQNCTATCPKSLNPARAINNMRKMQKLSVPLLPLPADKIKVASSSAMI >KZN03596 pep chromosome:ASM162521v1:3:46122431:46124535:-1 gene:DCAR_012352 transcript:KZN03596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVLEFFSNSGYTFVECTVRRDDTICHTCNKQAQGSHIYFCTRHNDLQSFYLHITCSELPISVYLHDHLLSLQEDFIFAEDAACIMCKKRVVGSATYTCGSCNDDVDCQNFYLHKPCGEFPQQINHHKHTIHPLSLLPRPDRFTCDICYREIKVSYACVDCNFDACVFCGLEQRLLHHEGHKEHALTLMNKEAFFECEACHEKANDSSYVCTTCEFWIHKTCAFSPAIIQSPTYHHHPLTLVYSVPDIHLVFSQYCGICRRFVYRRSWMYYCHKCTYFVHMKCSTSTMSIVSENEADDMDNEPDLVLFPLPSRESIFDLIVTQCCKSQVNFQGEISVAMSLTSIDPHSIEKHWSHQIHPLQQLQFTICDNDSDDSDGDRRELICNGCIQPITVSHPSYYACIQCGFFLHSFCATKLPQRLPVGALHFHPQHSLLLQMKDKFYDIVVCGVCDYSTNGFYYHCQDCDIYVDIRCAFLPTRIKHESHKHHSLVQRPASNSTCSITRYRNEVGVEYGCESCSSFLIDMRCIIIPSRTKHKYDAHPLTLRNPPFFYEGAFYCESCEERVSNQDLLFHCSESEHSYHYACGFWLNTVKLGGTIKVFIADKPHTLALVFKSPTIKRPIHTCSRCFTFFHSYCFLLECDGCGLLSCLECPLSGKLQQITLI >KZN02019 pep chromosome:ASM162521v1:3:28398236:28400758:1 gene:DCAR_010773 transcript:KZN02019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISVHIGQAGIQIGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQISTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICKRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDTKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDDEDEGEDY >KZN00095 pep chromosome:ASM162521v1:3:1865744:1867963:-1 gene:DCAR_008849 transcript:KZN00095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKTYIVHMDKSSMPLSYDDHLQWYDSSIKSVSDSANMIYTYNNVIHGYSTRLTTSEAESLEGQPGILLVQEERIYQLHTTRTPEFLGLDESAAVRLEAGAVSEVIVGVLDTGVWPESKSFDDTGLGPVPSNWKGTCEVSKSFAASSCNKKLIGARFFSQGYEAAYGPIDETLESKSPRDDDGHGTHTSTTAAGSAVTGASLFNYAMGTARGMAEHARVAAYKVCWLGGCFGSDILAGMEMAVSDGVHVLSLSLGGSVSDYFRDTVAVGAFSAMSHGIFVSCSAGNSGPTPESLSNVAPWIATIGAGTLDRDFPAHTILGNGKNFSGVSLYSGKPLSTSLVPLVYSAKASNSTSGILCMTDSLDPEKVAGKIVVCDRGGNSRVQKGIVVRDAGGIGMILANTDSFGEELVADAHLIPSAAVGQTAGDAIKKYVSSDPKPVATIGFSGTHLGIQPSPVVAAFSSRGPNPVTPEILKPDFITPGVNIIAGWTGKVGPSGLKSDTRHVDFNIISGTSMSCPHASGLAALVKSAHPEWSPAAIKSALMTTAYNAYKNGEPLEDIATGMASTPFDYGAGHVAPTAALDPGLVYDADVQDYLEFLCALNYSSNLIRVVTKQAFTCDSGKQYKVGDLNYPSFAVPFETTSGKGGGSSEPAVIKYTRTLTNVGTPATYKVSVSSETRSVKIAVEPELLDFSRTNEKKNYTVTFTATSMPSGTVSFARIEWSGGKYVVSSPVAFSWT >KZN02086 pep chromosome:ASM162521v1:3:29377900:29383906:-1 gene:DCAR_010840 transcript:KZN02086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARVYTDINVIRPREYWDYESFTLHWGDQDDYEVVRKVGRGKYSEVFEGINVNNKERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLVFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDFCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIARQLHASLICVLITTVVLRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRVTAKEAMGHPYFLQVRAAESSRTRVQ >KZN02437 pep chromosome:ASM162521v1:3:33502701:33505114:1 gene:DCAR_011191 transcript:KZN02437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGLTRPCILLIGLERFAFKGVASNLVTYLTDVMKMSNSSAARTVNSWCGFTSMLPLLVAPFVDSYWDRYCTILASSSLYALGLLALTSTALDWPWSHSNEKSSMSLFWSLYMISLGQGGYNPSLQAFGADQLNIEDELPSTKADEDENSETKSLFFQWWYFGICIGSLMGISVLAYIQDTLGWGLGFAIPTMAMVTSILLFSCGTRFYVYKQAKTVDMKFLHNVFQNVKATAFRMIRMKKRGIILSNSNSDVIELELQEKPLCQEDQDKTESLEEMPKNGYYLLEIARVILRLLPIWTMLLMFAVIFQQPPTFFTKQGMTMKRNIGSHFKIPPATLQSAITLSIIFLMPFYDSFFIPFARFITRNEKGISVMQRMGIGMFLSVIAMILAALVETKRLELSKNLKVSDPKSETVVLSIFWLLPQYIILGISDIFTVVGMQEFFYSEVPVSMRTMGIALYTSVFGVGSFLSALLISLVEHFTSSDGGKQGWFSDDMGEARLDKYYWLLAFSSAVSLIMFIVLCRFFKSRT >KZN02011 pep chromosome:ASM162521v1:3:28263014:28271230:1 gene:DCAR_010765 transcript:KZN02011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIRAPAAKKTTTLTVAVKCRRPAGNERGLNIVRVNDDKEVLVLDPDLSKGYLDRIQNRTKERRYSFDHAFGPESKNLDVYMRGISSTVAGVIQGLNATIFAYGSTGSGKTYTMVGTRDDPGLMVLSLHTIFDLIKKDNSSDDVQVTCSYLEVYNEVIYDLLVRSSGHLELREDPQNGIVVAGLRSIKVNSADRILELLNLGNSRRKTESTEANETSSRSHAVLEITVTKKQKNKYCNQVIRGKLALVDLAGSERASETQSGGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSKTIMIATVSPVHSQYHHTVNTLKYADRAKEIKTHIQKNIGTIDTHVSDYQRMIDNLQIEVGRLKEELAEKESQLVTKPTEKASDDELSWLNLLSQETTENVQERINLQKALFELEETNLRNRIELQHLDDAIAKQQGTESDGTVVQALGSRRQVILDNIRDNDETDVNYQREIEANEKRRCQLQEMIEEAISNNGNKTYLRILSQYRLLGMANTELQFEMAMRDQIIYNQQETQRNMWNVLMGLGLEEKQILEAATKQGILIEDATMSSYQGLSDRKQSQNTRSCISLPYSSTSTQSFSRSSCMFQQSEDDSGRPFSKENPNMPRTICREEHHSSYYYMTRDNCPSALMRPRKSTEHFLNDPSSESVTPCKYTPELHDIHQHMRTMSSSYCGSPTQTSSFKSDFWQHQKKAMTSITRQKPHASYAAGSGANQGLDGGLMMHKGCSARGQIMSNPIEQVPTPTSNHIFPNTAPRDLSNMSQIPSFASSR >KZN00711 pep chromosome:ASM162521v1:3:7826642:7829909:-1 gene:DCAR_009465 transcript:KZN00711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLIREWTGIQQFPPATQTTLIELLAQLKEKDVSELTILVMGKGGVGKSSTVNSIIGERAVTVSAFQSENPRPVMVSRLRSGFTFNIIDTPGLVEGGYVNDQALEMIKRFLLNKTIDVLLYVDRLDAYRVDNLDRQIVNAITDSFGKDIWRRGIVVLTHAQLSPPDGLGYDEFFSRRSEALLKVVGLGAKIKKIQDAIPVVLVENSGRCTKNDSDEKVGLLSNILPNGVAWIPNVVKTITEVVSNGSKSIFVDKKLIDGPNPNEKGKWFIPLIAAFQYFFIVGHYQNLIKKDIAAEKESSKS >KZN03879 pep chromosome:ASM162521v1:3:49561788:49569329:-1 gene:DCAR_012635 transcript:KZN03879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSKRVSLKQKYKVIRKVKEHHKKKAKDAKKQGLNKRPKVEKDPGIPNDWPFKEQELKALEARRALALEELEQKKAARKERARKRKLGLPEDDDVDASKEQNAGEETVKTEPIVKIRDIEKMVLKAGPQKQLVLLLNKIDLVPKVSVEKWLKYFREELPAVAFKCSTQHQKSNLGKKYAAKGTKKTPKPSSLLESGDCLGAQTLTKLLKKYSKSREITNTITVGVVGLPNVGKSSLINSLKRCHVVDVSATPGLTKSMQEVELDKNIKLLDCPGVVMVKSEENEASIALRNCMKIDKVDDPTSPVKEIFKLCPAKTLVMLYRIPSFDSVDEFLQNVATARGKLKKGGIVDVDAAARIVLHDWNEGRIPYYTMPPTREGGEPMQTNIISELGKKFNVDEVYATESSFIENLKSAEDFQLVEVPANGPLTFDEKLFEDELQPQPVPARKGTAHSKNTGSDNNEPMDEDDAGEKKAHTAGDQKNTELNDADGVLDTKVRKADKRAKKAKKSSSTEDMEGDYDFKVDYFKKDTVNDDASDEEDIPANTPSNDADTMDNTSTGSKLETPIELD >KZN00866 pep chromosome:ASM162521v1:3:9388230:9390941:-1 gene:DCAR_009620 transcript:KZN00866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGGGSGGAKLTSVAATGSSKGKNVLPVFNTSADHLASGMAATSLGSQQDGVWKDGRKPRNRFGGKGTSRKFNEKVMGNTGTGEKGNVGGSNVRNQMSFRGYGNNYGQPGVTPHQSYMSVAKENTIVASSLVDDDEDSEENANDIYDSDECDTDEYDTDESQKSHESRKKKELLQPLMSHAKTRRKGNVKVHRELAELLEEELRIRGTSVIPAGVAFGKWRGLKENVVRDKEIVWPPMVIIKNTLLEQDDDGQWLGMGNAELLEYFSAYEAVSSKHSYGPKGHRGMSLLIFESSAIGFIEAEQLSKHFEDEGIGKEAWDHHRVNFIPGGQRQLYGYMAEKRDLESFNQHSRGKPLQKFEMRSYQEVVSSQLKQMSEDNHLLLLWYKNKVEKLKKELEVLKGSFDTVTEKMRKVSEENRIVRLRTKLHHKEIKEEMDEQEEFSRSTVKVLDDARKEMEEKLQKIQQEHEGTKQYMETPLAKDRQSRIQFRDRNWCYIDLVSAARYRYIYAEERNRLLKKQEQRRAELRRQQYEEKIKLEEELNAELAQLVDKHNPH >KZN00927 pep chromosome:ASM162521v1:3:9922496:9924243:-1 gene:DCAR_009681 transcript:KZN00927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLIAVEDLRITSDVLASIRGYFGNCDDYGAVEHHLRRSAAVELSWGGGLRRRGAVLTQISRFFALNYAADAVCTVLRVVPKSDTMPGTIVVIKGP >KZN00216 pep chromosome:ASM162521v1:3:3176658:3178501:1 gene:DCAR_008970 transcript:KZN00216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTALGYPGCSGAEAAAFTRAQWKELERQAMIYKYIVASVPVPPHLLSSDATSWSSLVYNLKYGNNKDAEPWRCKRTDGKKWRCSRDVAPCQKYCERHMHRGKPRSRKPVEQQQQQQQQVSSTGNKKTRQHLPANAPASPKAPAAPQETSIHNNPSMNLLKNVAETEDVSLRDSDWMIESRMMTMETSEQQWHQLMSEGSTTNPSIFQHSNYEKEQELSLLSIPEIENSSTDEYTMFLSPYYNPRDFIDVWSNDSNPEYYSKNKSSGAADANVSPSSLDFSMTMAVRDTFDNEMGQIEMEENRDAYERCRDLNLLSPISWMGSDSGGPLAEVLRPTNAADGDVISPLATSASSPTGVLQRTMLSLSDSSVCNSPTGVATSAAAAEIVGFQWLN >KZN01251 pep chromosome:ASM162521v1:3:13706930:13708962:1 gene:DCAR_010005 transcript:KZN01251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPKKSAHKFPSNLSVSEKNKKALHLIEDVTSRPDEIQELVLSEILSRNAHVEYLRRHGLAGQTDRDTFKKIIPVIKYEDIQPDINRIANGDKSPILCSHPISEFLTSSGTSGGERKLMPTIEEELERRSFLYSLLMPVINQFVPGLDKGKGMYFLFIKSEAKTPSGLLARPVLTSYYKSSHFKNRPYDPYTNYTSPNETILCSDSYQSMYSQMLCGLCLHSEVLRVGAVFASGFIRAIRFLEKHWPMLCHDIRTGTLNREITDPSVREAVLKILKPDQKLANFIETECSKKSWQGILTRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPSDVSYTLIPTMAYFEFLPVNKNNVVTNSVTVRDSLNEKERQELVDLADVKLGHEYELVVTTYAGLYRYRVGDVLRVAGFKNKAPQFNFICRKNVVLSIDSDKTDEVELQNAVKNAVTHLMPFDATLTEYTSYADTTTIPGHYVLFWELSVNGTIPIPPSVFEDCCLATEESFNSVYRQGRASDKSIGPLEIKIVESGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLNSKVVSSYFSPKCPKWSPGHKQWMNIKSS >KZN00853 pep chromosome:ASM162521v1:3:9272586:9272879:-1 gene:DCAR_009607 transcript:KZN00853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTKVKKGWMAVEVGLEEDGEATRFMMPISYLYHSLFDQLLDMAQEVYGYHTTGPLRLPCSADEFLHLRWRIEKESSRRFHHHQHLPASLSFHSC >KZN00327 pep chromosome:ASM162521v1:3:4226402:4230875:1 gene:DCAR_009081 transcript:KZN00327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQVLCQKNSWKLPLYDTVRDGPDHGARFTATVTVNGATFESPGQFTSSKLAQNGAAEVAFNHFSALSVGPRQPISPIGVVQKSASPGSSASTTNLDAGATKIGEGEQSRQNGVVPSELPTRTAEDLLHTYKKRLQIYAQKRNLILPEYSCEIVGPPHQRLYKSKVTVDGKSFETPNFFSTLKDAEHGAAKVALESLSVHLEARFLSNIDEVVYKTLLQEHAQRTRHRLPTYDTQKSGPPHMPIFVSTVEIGGKSFQGQGAKTKKVAEMNAAKAAYICLANCQETQCPSPSSVGETSGIPSFNLQPDVTEKVEEDVARNRNISINEAQDFQDNNGERHINSTTSEDAHAREGNSSTTRSPPQDLKDGAGSPTLEIVIPPAQNSIKKIMIIPSTSDMIIPEGATVLPYENDEYVAITMHVPSEEYEQFND >KZN02538 pep chromosome:ASM162521v1:3:34294925:34311453:-1 gene:DCAR_011292 transcript:KZN02538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTVQHLDLRHFGRNTKLLQPHAAAFHPSQSLVAAAIGTYIIEFDAYTGSKISSIDMGAAVVRMSYSPTSGHTVIAILEDCTIRSCDFDSEQTCVLHSPEKRMERISIDTEVHLALTPLLPVAFFGFHRRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLAVLYVAYADGLIRAYNIQTYAVLYTLQLDNTIKLFGAGAFAFHPTLEWVFVGDRRGTLLAWDVSTERPIMIGITQVGSQPLTSVAWLPTLRVLVTLSKDGTLQMWKTRVILNPNRPPTQANFFEPAAIESLDIPRILSQQGGEAVYPLPRIKAFEVHPKLNLATLLFAAVKIGKIVLHIQGKEGNNYLLFCKVQGDLQVIPVPILFNYLLIIFFTELTLRSSTASVLKEKLSSLGSSGILADHHLQAQMQEHHLKGHNQLTISDIARKAFLYSHFMEGHAKSAPISRLPLISVVDTKYLLKDVPVCQPLHLELNFFNKPNRVLHYPVRAFYVEGVNLMAYNLTTGAETIYKKLYTSIPGNIEFHPKYILYSRKQHLFLVVHEFNGTTNEVALYWENTDSQLANSKISTIKGQDAAFIGPNDNQFVILDEDKTGVALYVLPGGASVDVAKKNELAIENPTAVIEDDTDTGSVKGPIPFMFDTEVDRIFSTPIESTIIYATHGHKIGMSKLVQGYHLSTSDGNEDQFSSTRAEGKKSIKLKPNEIVLQWQETLRGSVAGVLTTQRVLIISADLDVLSKSSTKFDKGLPSISLNAAVSVASLLWVGPALLFSTATAVSVLGWDGKVRTILSTSMPNAVLVGALNDRLLLANPTEASPRQKKGIEIKNCLVGLLEPLLIGFATMQQYFEQKLDLSETLYQITSRFDSLRITPRSLDILATGSPVCGDLAVSLSQSGPQFTQVLRGTYAIKARRFSTALSVLKDEFLRSRDYPQCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIGDFESMLDLFICHLNPSAMRRLAQKLEEEGTDSVLRRYCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPFNLKDIPQWELAAEVTPYMRTDDGSIPSIVTDHIGVYLGLIKGRGNIIEVREDSLVKVLKPEGTEIRANGLQNSAVSSTANKTSGLPVGDSKAESLMGLETLTQSSSSTSVVDEQAKAEEEFKKSLYGTAGDGSSSDEDSTSKSKKLRIRIREKPVSSATVDVDKIKEATKQFKLGDALGPPVRTKSLTGPQPDFSLLNPQAVPSNVGTSSIPSVSAPTDPFGTDSLTQAAPVGQSVPMLKGPGVAAGPIPEDFFQNTIPSLQVAASLPPPGTFLSRMDQNSQGYDNNKVVPNQSNASVSDAGPSHGGLSQATQYPTMSFGLPDGGIPPQSAGQPSGMQQFQAQIPQHMGQTSGLQQVQAQRPISSQPLDLSSLEGPNSSNSGKPSAAPPSPKSVRPGQVPRGAAASFCFKTGLVHLEQNQLSDALSCFDEGFLALAKDQSRGADIKAQATICAQYKVAVTLLQEIGRLQKVQGPSALSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMDVQNFAYAKQMLELLLSKAPPGKQDELRSLIDMCVQRGLTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGSKFSALSSPGCIICGMGSIKRSDALTGSAQPNPFG >KZN03644 pep chromosome:ASM162521v1:3:46588999:46589433:1 gene:DCAR_012400 transcript:KZN03644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNRVVKYNFAAAGASTTDASGDHQDDTKEQDRLLPIANVGRIMKQILPSNAKISKEGKETMQECVSEFISFVTSEASDKCHKEKRKTVNGDDICWALGSLGFDDYAEPLKRYLCRFREFEVEKANHHKASNRNEETEELRFN >KZN00334 pep chromosome:ASM162521v1:3:4302208:4308454:-1 gene:DCAR_009088 transcript:KZN00334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRKAEERNEKIIRGLMKLPPNRRCINCNTVGPQFVCTDFWTFVCMNCSGIHREFTHRVKSVSMSRFTSQEVEALQRGGNQRAREIFLNDWDPQRHRFPNGSNADKVREFIKNVYVHGKYAAGKTSEKPPRILQDLQSPEDISRRASSYHFYSQSPPYDYQYEERGYGKQAFALVRKAGSDRGLKTSGSFSPSRIAGAANEENFVDEYSNSRSTNYSTSTASSPYRERVNAWNQKANTFSDTDSSLDRGRASSLQRTTSNSSFASFSSSFMPFSSANSENDSGTRKVSGTRSKLNTFLSLPSSTVSRNRDSPDLFDTVFASQTVTHSTTDSDLFHSHETSSNLPLDLFQPSSMSLISTASCLELSPASQALSSDEKASMATLNQKSSDLVNQQNGRWATFDGPQNMEPCQGSQSFTVTSSTSDSDMFKSPEIAPNIPLDLFQPSSMSLILTSSCLKLSSASQPTSSDQKASMPTLNEESSDPVNQQNGRWETFAGPQNAEAGQGSHCFTGAEITYNEGGSVSNTNQHLFQNTNQQWNAFYDYSAQVTYSSMPIHWHGSQHNSVSLPSGNNQAGALLSTTNRKSTNPFDIAYESDAELSNMVTCPTFSTRASATAYSGGATGFWFTQNSGATYVPPGPQGTFGLYGEPVQNTQQASVPSKGSGAPVGGNPFA >KZN00644 pep chromosome:ASM162521v1:3:7159428:7160138:1 gene:DCAR_009398 transcript:KZN00644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFLSYSDQAISEYQYTSSPQSTESSSTPKSITNCNDIESPAHISHEVLLASKNPKKRSGRKKFKETRHPVYRGIRCRNSGKWVCEVREPVNNSRIWLGTYPTAEMAARANDVAAMALRGRNACLNFADSVWRLPIPASNDVKDIQIAAAKAAEVFRPQKIDKAIESSSVKAFVFEENDEVVFMDEELLFGMPQFINNMAQGMLLPPPLYLQSDRCYGDDDSEDATDMSLWCQTF >KZN00845 pep chromosome:ASM162521v1:3:9192478:9193118:-1 gene:DCAR_009599 transcript:KZN00845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPQVRILTNLENLNAEEKARENDIPMPELQHNVRLIVDLADLDIQKLDQKLEIFYHTICIRLETSWEQLMVRYIIPKLLTVMHEFQVNPADQNLDKFYLVRTWATAILVSHMLHLMDVFFNKWQEVLYHWLCSRPNFEEVTSWYVGWKELIPPELLANEHIRYHLNLGPEMMNRAFEGIEQRWGV >KZN02594 pep chromosome:ASM162521v1:3:34903005:34905052:-1 gene:DCAR_011348 transcript:KZN02594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEAQPSTSSTAESTPPPSPWLIVGLGNRGFVFKGTRHNVGFEMVDRIANAEGISLTSGSFKSLLGEGHIGNVPVILCKPQTFMNASGQSVGAIVSYYKIPLKQVLLIYDDLDLPFAKLRLLSKGGHGGHNGMKSVIHHLGGRREFNRLRIGIGRPSGSIEAFNFVLRAFSNLESEEGVEAVHILLSDGFDKSATFANSAKPLDRIG >KZN02780 pep chromosome:ASM162521v1:3:37238533:37239229:1 gene:DCAR_011536 transcript:KZN02780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFAFFLVALLLLQVFTEALQPAGDHNSSEMDDLDIEASLLKEHYMHKKINCSYACARRCIKSSRKNVCHRACKTCCARCHCVPPGTYGNKSVCPCYAKLKTHHHQPKCP >KZN03473 pep chromosome:ASM162521v1:3:44919777:44921457:1 gene:DCAR_012229 transcript:KZN03473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPVVVPRIKLGSQGLEVSAQGLGCMGMTSFYGLAKPESNMIKLIHHAVNSGVTFLDTSDFYGPYRNEILIGKALKELGLREEVQVATKFGVTTRDGQVEIRGDPAYIRAACEASLIRLGVECIDLYYPHRVDTRVPIEVTMGELKKLVEEGKIKYIGLSEASANTIRRAHAVHPLTALQIEWSLWSRDLEADIVSCCRELGIGIVPYSPLGRGFFASGPELIQNLPEGDFRKKMPRFKPENLKHNKRVYEQLSTMASRKGCSPSQLALAWVHNQGNDVIPIPGTTKIENFNQNVGALSVKLTKEEMAELGSFGAADVVKGDRHGQMTFTWLNSETPPLSSWMSD >KZN01903 pep chromosome:ASM162521v1:3:26747477:26750068:1 gene:DCAR_010657 transcript:KZN01903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITEKNRREISKYLFQEGVCYAKKDYNLAKHPDIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSDIVPSTLKKSARPLGRPMGGPPGDRPRGPPRFEGERPRFGDRDGYRAGPRGPPGEFGGEKGGAPADYQPAFRGSGRGGFGRGSGGFGGAPPS >KZN00130 pep chromosome:ASM162521v1:3:2213024:2218353:-1 gene:DCAR_008884 transcript:KZN00130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVADIPVVGVFVEKLSEYTVEALFRGLRYMFCYKALVDQLSSETEKLNIEEANMSREVEKEKMNGKIIKDHVSKWQVKAQEFQESDDEVSPSCSCIPRLPIPDPVTRLYIGRNAVKKAEAVTQLTNSGKEHLAGEIAYLPEVTFMPKPGTTFEEFQSRKDTYQKLWESLVNEDGPLVHGIYGMAGVGKTRMMENIWENAMKEKIFKKVVCVNVGNEDKVKMKLEMKLQNQIAGLLDCKLESQDVGRRASQLENSLKNGGKILLVLDDVWRAIHLDHIIGTPFVDGSRSKGSKILFTSREMDACLANKCEHPVEIKSLWPVEALSLFKNTVGPDTIEEVGDESLVKKVCDECGELPLLICAVGEALKRKPHISWDDAHKQLKNGKFEKIPGVPPKVYAGIKLSIDNLQDDDAKLCLFLCSFFPEDAVIDMKMLIQLATGSLRIPDVESRVLAMVHYLKTSSMLLNSGEDNETKIHDIIRDVARSIAFTDPKYAFLQVTCKSQDLPSNDNYHSRRFLRIDAENDDVHFDEHLVCPDLHTLWLQSNLQIWRGQYANRPQQFSGGFFRIFANLSCLMLQKVKISLEQFSLQPLSNLRTLSLLECDIGNTDGRLFPKSLESLYIYICNLPEPLDVANLKCLRKLEIDNQDPVLVMENVISTLSSLEELHISCGFIYSYQEYHLKPIVMEIIKLTHLTSLHFEFYKDEGVDNTTFEGTDIFSNLDRYNIFVGTNLNRYVVFDQHWRLPLTRSIEFRGDHSKLWEGLIVRAEEVVFHSIHVEVSSICRRACWKKLGMDECANLEPLASENQPATWFSKLTNLEISSCHKMKYLFSSNTARSLVQLQQLSVENCQSIEAIIMNEGPSVGEPIIFYKLKSLKIDSARLASFYAENSVHPPAEYQPFFDGRVAFPCLETLELIDVPDIRVIWGKDCCKDTLSSFCELKYLKVSCCSELEILIPHDVLHRLRNLENIDVSYCNSLRTLFAPSVIGHLKRLKGLSLKGCGKIRDIIEAGEQVITDGILFPELTAVDLHMAHELKSFWGDHGAAANTSRVSVTLPRLSSICLYHLSGLDSFFHNANYEFHMPVIQKVEVIGCGLSTLFTLSVFKNLEKLEMLFIEECELLKGIIKDGDTPETRDKIITLNHVSRVRLLHLPKFENIFCNGTYECYMPALKYLEIVDCKFSVLFTCSVFRKFQQLEKLRVSSCESLEHIVEELGNDEIFEVNNKCITSSQLSSIKLSDMTNLKSFGCTSNYVFNMPRLQNFELFRCPEIVYFNSSKTNTSLVCVTSDWCTEEDFRDLDEYFIRQDHKRRSTIRENEEEEEPREIETES >KZN00907 pep chromosome:ASM162521v1:3:9786073:9788860:-1 gene:DCAR_009661 transcript:KZN00907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNTCNRKQRWCTQVPLTPLLEGPDVEMQEYYEGNKKESSWEIIREWFKIQKSSVSPEGGSGRFSSPSSSSNSLAFGNGGNGGYYVSPAKRHDLRLLLGVLGCPLAPIPSLNFKNVKPDHNHMIKDNIPWETSTAYYIIQQYLAASGCLKSSKNGVKKKNMYVAGVVKMTCCETEVSSGKGVKTMGRSTSSGSSSNGENVMGCFVLWEMTPGMWSLELAVGGSKVLAGSDGKIVWRHTPWLGTHAAKGPQRPLRRLIQGLDPESTASLFANAQCLGEKRIGDEDCFVLKVAADREAVMERNEGPAKVIRHVLYGYFSQKSGLLVYLEDSHLTRVQAPLEASPKNNANEAIYWETTIGSSIGDYKDVDGLLIAHQGRTIATVFRFEEESMQHSRTRMEEIWRIDDVVFNVPGLSFDSFIPPADIFDNLPSP >KZN02986 pep chromosome:ASM162521v1:3:39870709:39873373:1 gene:DCAR_011742 transcript:KZN02986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAQWFLTVFLLAMDSRSQQPMINLSGSGRKRNKENQSDASLAGIRQQGSENALDLFLQRRAEIIKRSRTIGTSSEFDKNSRATSQVPLSTIDQSASYQSIRRARLSNFDQNPSPGSVLDSTVTNVMPSTNAATAAKRRGRGPGIEKLYAQKLASHSPNVSNHHTLTKEESSVSAKHKENYRLIITTALEPEQIWLKRPAGMNICRFGCGICFDDRSIYTSEAPPARISVGLVSASDL >KZN03244 pep chromosome:ASM162521v1:3:42858176:42861007:1 gene:DCAR_012000 transcript:KZN03244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFRQVYLGNSMASKLAQLKSKACQAKQFVATHGTSYYKQLLEQNKQFIQEPATVEKCNELSKKLLYTRLASIPGRTEAMWKEVDYVKQAWKNRKDLKVEDAGTAAVFGLECFAWYCVGEIVGRGFTFTGYYP >KZN00675 pep chromosome:ASM162521v1:3:7501680:7503623:1 gene:DCAR_009429 transcript:KZN00675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHLLFKTLLLLCLLFVSATAHGGGGDDEDHEHDSEPVDLRNKSLILVKIWCLILVFVGTFVGGISPYFMKWNEGFLVLGTQFAGGVFLSTAIMHFLSDANETFEDLSDKEYPFAFMLACAGYLLTMLADSVISYVYGKQKTTSVSANDVELQGDQSEKRDDTEIPVSSSQLQGHHSTNHFVNAPVASASSVGDSILLIFALCFHSVFEGIAIGIADTKADAWKALWTISLHKIFAAIAMGIALLRMIPDRPLLSCVAYAFAFAISSPIGVAIGIVIDATTQGAVADWIFAISMGLACGVFIHVSINHLLTKGYAPLKSVKVDTPHYRFLAVTLGVAVIAVVMIWDT >KZN01223 pep chromosome:ASM162521v1:3:13261604:13262479:-1 gene:DCAR_009977 transcript:KZN01223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSRDWTQIYSIYGIEDCQTLIFLLLHAVLFSTLSVLFLIYFNPICSSFESLAIPSGAARFLAGFTGSFTALSAVCLFFSAGNIFYSSVALQSEMAHRMISVVNDWSTVKLALDVGCGRGILLNAVAKQLKKEGSSGRVVGLDRRKTTVSTLRTAKLEGVQEYVTCREGDARTMPFGDNSFDVVVSSVFLHTAGKEFGQKTAAAAAERMKVVGEVVRVLKGGGVGVVWDLVHVPEYVQRLQELNMEDIRVSERVTAFMVSSHIVSFRKPCQHMVGPNEVRLDWRFNNIC >KZN01766 pep chromosome:ASM162521v1:3:24807000:24811579:1 gene:DCAR_010520 transcript:KZN01766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRGIYVLSVWVMSCVAAAAAGAGVLPITPPLFDPSSSTKLGLNNGLARTPQMGWNFFACNINETVIKETADALVTSGLAELGYVYVNIDDCWSSFKRSAEGLLVPDPRTFPSGIKVLADYIHEKGLKLGIYSDAGAFTCQVRPGSLFHENDDAKLFASWVSNSLFAKNLASILIIDRGVDDPALWAGNVGNSWRTTDDISDSWKRFTAFSLNLQSLIAYSFVLRLFICSMTAIADLNDKWAGYAGPGGWNDPDMLEVGNGGMTFQEYRAHFSIWALMKVFTLNLL >KZN03567 pep chromosome:ASM162521v1:3:45860630:45862899:-1 gene:DCAR_012323 transcript:KZN03567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRALGVPTIDLSVDRSIVSQQIVIACEEYGFFRLINHGVSNAIISRVEEESFRFFAKPGSEKQQAGPPNPLGYGCRTIGLQGDTGELEYLLLPAANPPSVSQSSKLISNNDLQIISCVVNEYVQAVRRLTCDILDLVAEGLCLGDNFVLSRLINNVESDAVFRVNHYPPLDQTAKAFIPAPKFILQDDKIANNGDHPSRVGLGEHSDPQILTIMRSNDVGGFQIFSHDGLWISIPPNPTEFCVIVGDTFQALTNDRFKSARHRVMENFNDKPRLSMMYFGAPSLDATICPIPRMVSSLIHYRPFTWRELKKAAYSQRLADRRLDLFKYNHNQNNEKKKC >KZN01953 pep chromosome:ASM162521v1:3:27367296:27367685:1 gene:DCAR_010707 transcript:KZN01953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSSSSKNYHSKRPTGYNATFNGRRKSAKTVTLGGSPKRSWRIKTVPKLKFKLILSSPMRLWRKLKNGYMSMMLNLGSSSSAKGFGEKRIPKARQSSTATYTTTEFDNRLVFEIYKSLMTSHEMASN >KZN00097 pep chromosome:ASM162521v1:3:1896971:1903237:-1 gene:DCAR_008851 transcript:KZN00097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIMKLLEEDEDETMHSGADVEAFTAALNRDIEGDTSVKPLPSDSDNAALSQGSNHNPGQLFPPWQASSQNENASQQVVNVSQQELHASISEVNQHGSATENQLQQQIDSKELNCIPMQQKQSQDSFPQQQTEQKNPHVSQSSSVQNPDRITAVGQEPNKAQDPSSISQYLRSQKMNSQQAAATSQANNALKNGKQVPFAMLFPVIEPLLDKDKAMQLRTIYNRLKKNEISKDGFVKHMRSLVGDHMLKLAVVKLQEQAPRSSQVAPTQAASHSQVPAQQQHMTMQSVSGQQFSESHSYSQINQKGLNSSVNPSGPSPVIRAPIVSSNTAMDNNAHILHDIKQERERPIAIQGLNKQQQQHMHFSQSSIPPYGTTTGSNYNQYAGGNMHSSMQSLKQQPQNMQARQVPIHPGVSTMQSIGVPKYEKQNTFTEPMRVQGHYVKQEPIDPANEQQQKSQLSSSQGVFSFSPAQFEQKYASGNLSNDSIGMQQSRAGFSTSTSIMQSNAGLSSITTQMDPSNSLGARLASTTPSVGPGSIAKTPMKKAITGPKKPLESPASETLQPAKKQKVFHSDQSIEQLNDVTAVSGVNLREEEEQLFSGTKEDSRVSEASRRVVQEEEERLFLQKVPLQKKMTEIMNKWGVKSISNDVERCLSLSVEERLRALISNMVRLSKQRVSIEKARHRTIVTLDVRQQIVAMNLKAREEWDKTQGDPEKGQGVNESEGNSGTDGDKDKDDTRARPAKANKEDDDKMRTTAANVAARAAVGGDDMLSKWQLMAEARQKREGGVDSASSSQPSREVNRKLASAPVRNARDNQDAEKRSQSATVATSGAMRKSGKTQSVSQTDILRSISVKDVIAALEREPQTSKSTLIYRLYAKLHKDVTCE >KZN02596 pep chromosome:ASM162521v1:3:34917194:34917961:-1 gene:DCAR_011350 transcript:KZN02596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCAVHNETKKRSGDELVSESCSPELKRSRVDSGDLNKDETVAEDSESGRVDSSTDLSESGPVHSSIDPSESGRVDPLSYPPDRVDSADDLYNRVESVVPEPESPDTIQLTDEILDILEDADIDSSIQGLDSVIKSFEEEITIPTETPFPATDSGEFDYLLGASDDELGLPPAKSPSDHTPENKPTESATSPDHNFELKQDFSFVDELPAYDSAEFGLGEDNYDRNEFITLGNGLFDYSEAPDYLWHPESLTAL >KZN03543 pep chromosome:ASM162521v1:3:45634364:45639148:-1 gene:DCAR_012299 transcript:KZN03543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDVSEDMSGTSTAQVLDTGVERSSGGHGSKEKESTNIVPFHKLFAFADSVDVMLMIFGIIGSIGNGLSMPLMTVLYGELANSFGQNQDRVHVIQVVSKVSLKFVYLGLGTGVASFLQVACWMVTGERQASRIRKLYLQNILRQDITFFDMETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQMITGFVGGYFVAFFNGWLLTVVLMSSIPPIVMAGGMMSLVSSKMSTRGQDAYAKAATIVEQTIGSIRTVASFTGERQAVVNYNKSLTKAYKSGVQEGFASGLGLGTVMSVAFCSYAMAVWFGAKLVIEKGYSGGTVIVVIVAVLTGAMSLGQASPCMSAFAAGRAAAFKMFETINRRPVIDPYDKRGKKLDDIQGDIELRDVHFSYPARPDEQIFSGFSLAIPSGTTAALVGQSGSGKSTVISLIERFYDPQGGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFSSSIKDNIAYGKDGATVEEIRAACELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVINADMIAVIHQGKMVEKGTHVELLENSEGPYSQLIKLQEVSNSRDKTDAGAGSGSASSQRMYSSISRVSSGVGNSSSRRSLTLSFRLPTGHTEIVIGEPEPKPEPEPKDPNQKTSPEVPLRRLAYLNKPEIPALFAGSLAAIVNGVTYPIFGVLLSSTIKILFEAPHELSKDSKFWALMFVALGTVSFVAYPAQSYFFAVAGCNLIKRIRSLCFEKVASMEVGWFDKPENSSGAIGARLSTDAATVRALVGDRLGQLVQDGASAVSGLIIAFVACWQLAFVILALIPLVAVNGYVQTMFLSGFSSDAKAMYEDASQVATDAVGSIRTVASFCAEEKVIDLYTKKCEGPKKNGIRQGMISGTGFGLSSASLYFVYAVSFYAGARFVALGITDFENVYRVFFALTMAAISISQSSSVASDSYKAKAAAASIFSILDRKPEIDLNEESGVTLQNTVALVGESGSGKSTVIALLERFYDPNAGNITLDGIEIRTLQVKWLRQQMGLVSQEPALFNDTIRSNIAYGKGGDATEAEVIAAAEKANAHQFISGLQQGYDTLVGERGIQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDQVMLNRTTVVVAHRLSTIKGADVIAVVKNGVVVEKGKHEKLISITDGFYASLVALHTSNTREEPN >KZN00374 pep chromosome:ASM162521v1:3:4599897:4600433:-1 gene:DCAR_009128 transcript:KZN00374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLDENTVRLLFRAKPMFLKRVVDNLSDVQRQWVVETGFEKVLIFNINEYPQPLSFLIAQSYKSIDSSISTGENIVNFSENDVHNILGLPKGGLMFEDSYNSEYTDVWRSQFKEYKEPHRISAKNLCDVMESSKLVDLRYKLNFLIVLTNVLIQGSRTPYVFENSVVFREFGSVL >KZN00048 pep chromosome:ASM162521v1:3:1447948:1452755:1 gene:DCAR_008802 transcript:KZN00048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFVSRVSLLFLFAWLAFRAILVSGNDRSVYIVHMDKSLMPKAFASHHIWYSTTINSVDSLNHQSSPSLLYTYDHAFHGFSALLSADELEVLKKSSGFVSAYSDKKVTVDTTRTADFLSLSPTTGLWPASDYGSDVIIGVIDSGIWPESASFKDDGMSEVPSRWKGTCEVGQEFNASNCNLKLIGARYFNKGLRASNPNITISMNSARDTDGHGTHTSSTAGGNYVEGVSYFGYASGTARGMAPRARLAIYKVLWDEGRSAADVLAGMDQAVADGVDVISISLGFDGMPLYEDPIAIASFGAMEKGVLVSSSAGNEGPDIGSLHNGFPWILTVAAGTLDRTLGGNLILGNGLSLTGWTTFPAKAFVKDVPLLYNKTISTCDSAEQISLVSSNSIIICDNKNSSVYNMLSIVANSNAVAAVIISDEQSVLSDDFPYPGVLIRPKEGLDVLKYAETSYKPSASITFQQTSVGTKPAPAVAKFTSRGPSASNPGILKPDIMAPGTLVLAAWSPNAPSSTIKPNIQLSSDFNALSGTSMSCPHASGLAALLKGAHPEWSPAAIRSAMMTTANPLDNSQNQIQDIGTNFGIATPLAMGAGQIDPNRALNPGLVYDATAQDYINFLCSMNFTGNQIYTITRSNFNCSSPSSDLNYPSFISLYNSTLATGAITTVQYYSRTITNVGDGAATYKAKVSAPKGSVVTVSPDTLVFGKMYEKLSYSIAIAFTGDKNGTVTFGSLTWIDDNSKYSVRSPIVISPMVKALSTYIVHMDKSLMPEAFASHDLWYSSTIDSVKAQDLESSPSLVYTYDHAFHGFSALLSKDELETLKKSPGFVSAYSDKNVTLDTTHTFEFLSLNPVTGLWPASDYGKDVIIGVIDTGIWPESASFKDDGMSEVPSRWKGTCEVGQEFNASNCNLKLIGARYFNKGVFAAKPNVTLSMNSARDTGGHGTHTSSTAGGNYVEGASYFGYASGTARGMAPKARLAMYKVIWHEGRYASDVLAGMDQAVADGVDIISISMGFDDVPLYEDPIAIASFGAMERGVLVSSSAGNGVPEPGNMHNGIPWVLTVAAGSIDRTLGGNLILGNGVSLTGWTMFPAKALVEDVPLFYNKNISTCDSAEKLSGISNAIIICKNKYSAALDMLSYVANSSAVAGIIISDDHIYESNDFPYPGVVISTKQGLDVIKYAETTSKPTASITFQQTFVGTKPAPAVAGYSARGPSPSYPGILKPDIMAPGTLVLAAWNPDRPLGQIPSIAPNIQLSSDFNAISGTSMACPHASGLAALLKGAHPEWSPAAIRSAMMTTANPLDNSQHQIKDIGSHFEIATPLAMGAGQVDPNRALNPGLVYDATTQDYVNLLCSMNFTMNQIYTITRTNISCSNPSSDLNYPSFISLYDSTLATGGITTVQHYSRTVTNVGDGAARLKCPRQRVQLSQFHQIH >KZN01310 pep chromosome:ASM162521v1:3:14303468:14305373:1 gene:DCAR_010064 transcript:KZN01310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPDTLTAGLQSPADTQDKCQVVSSDDYAIKVRKQYTITKQRERWTDEEHHKFLEALKLYGRAWRRIEEHIGTKTAVQIRSHAQKFFSKVVRESSINEKNTVKPIEIPPPRPKKKPMHPYPRKMVGRVEPGAHLSDELGRSASPSHSVSGRENRSPASVLSAVGSEATCVTDSNMQNCIFSASSSDADDQTNSVFPSDTTPLPEENRSENGSNSPIQANDSSNEDEHVPTKLELFEADGSFVKEESNDEVSTHSVKLFGKTVTVAESSLSSSRNNSCGSSHLESADERPVQTSPWNISQGMSYASPDAAEYTWTGVPCKAPAVYYMQYAINNSINVDDESSVPVPCWTFYGGVPYPFLQLRNSVQERVYMHFDGSNVQDKVLQKPGSLTGSNSGSINVETDGDKTWEMETQCSQSLSEKKDAEQKLTSPLKVRADAGFTEQKSILAKANHGKGFVPYKRCLAERDNGTSISGEEREERRTRLCL >KZN02660 pep chromosome:ASM162521v1:3:35605731:35606360:1 gene:DCAR_011414 transcript:KZN02660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAIFSLSCLLIGFTTNLWLASAATNRVIDVDGKDLLARTNYYILPVLRGNGGGLKMYSGRDTECPFDVVQEPNEVNRGLKLTIVPQKSTEQFIRVSTDVNIQFSDKSTCTAHTNVWRLDQADLANGTTYVSTGGILGNPGASTFNCWFKIEKVERGTNWYKLRFCPSVCKHCPPDCGDVGVLIEKSGTRRLALNTTGKAFEVFFKKA >KZN00843 pep chromosome:ASM162521v1:3:9186620:9188142:-1 gene:DCAR_009597 transcript:KZN00843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSHLFHQLQICAKRRAPSQGKSIHAHIIKSGLTQYDPLPNTLVDMYGKCGLIHNAIQVFDEIPQRDKVCWASILTAHTHANLPKRTLSMFREMVSVDGLQPDHFVFTSLVKACTSLGSLRMGVQVHARFLLSCYSGDDVVKSSLVDMYAKCGLVDTARIVFDSICYRSSVSWTAMVSGYAKSGRKTEAIELLRSMEERSLFAWTALISGLVQNGYWVDAFNMFNEMRREGMDIEDPFSLSSIIGVSANLATLDLGKQMHCLVIALGYESSLYVGNALVDMYAKCSDVDAAKRTFDSIGNKDVVSWTSIIVGMAQHGRAREALSLYDKMVKNGVKPNKVTFLGLIYACGHAGLVDKGRHLFRSMRKDYGFSPSLQHYTSLLDLYSRSGLLDDAEKLLNTMPFEPDEAAWAALLSACKRHGKTHMGVRVADLLLNLEPKDNSTCIMLSNVYAGAGILGEGESSVLCWGEYTSNGGCNFFST >KZN03527 pep chromosome:ASM162521v1:3:45420490:45422852:1 gene:DCAR_012283 transcript:KZN03527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTKLTLILALIAPIIPGLLVARSSDLDYLRVFLLILLWLGFCGALIRVGVGFLQGSSSGVAELTMDMNIENSKDIDEDLHSRQLAVYGRETMRRLFASNVLVSGMRGLGVEIAKNLVLAGVKSVTLHDEGNVELWDLSSNFIFTENDIGKNRALASVQKLQELNNAVAVSTLMSKLTKEKLSDFQAVGIALIKLLSQLTAL >KZN03102 pep chromosome:ASM162521v1:3:41305184:41306827:-1 gene:DCAR_011858 transcript:KZN03102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDLQLAMLSIKQGMQFSQREITYIQGRTIVPQQMESLQQWMEIMQKEMEILEEKARKSMEYAGLHYGIECFRQGMKYNRKGIEYIRGRIRSGLLMYAEMRHEMESIQKGMEFIKQGVEYIEGKSASDISPDGLQGRDKIRDVDRAGSTMIVSQQEHRFEDLQGMEYIRQAERSGTNVVRQQDQGFGDRQGMEYMRQAERSGTNIVLQQDQGFSDRQGMEYMRQAVRSGTNVVRQQGQGFGDRQGMEYMRQAERSGTNVVRQHGQGFGDRQGMEYMRQAERSGTNVVRQHGQGFGDRQGMEYMRQAERSGTNVVRQHGQGFGDRQGMEYMRQAERSGTNVVRQHGQGFGDRQGMEYMRQAERSGTNVVRQHGQGFGDRQGMEYMRQAERSGTNVVRQQDQGIGDRQGMEYIREAIPRSGTNVVRQQEHEYEVQQGREYIREPDPRSGTNNVWRKFEDQQGMEYIREADPKSGTNHVWKQEREYEDRQGMEYIGEPNPRSGTNHVSQREPKFEDWQGMEYIAEMIGFGDNVVQQEVRKLYEDQKGAE >KZN01621 pep chromosome:ASM162521v1:3:21990990:21991222:-1 gene:DCAR_010375 transcript:KZN01621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSVNKFVYLKAPGDKITSVAIPGFLAASCLIMIGRGIYNMSHGIGKKE >KZN01916 pep chromosome:ASM162521v1:3:26889305:26889607:-1 gene:DCAR_010670 transcript:KZN01916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILEILTGRFPANMIQQGKGVADNAELATWVETLVRENENWKTKVFDRDMNTDINGVGEEEHQEMLKLLKIGLACCEMDVEKRLDIKEAVERIEDVKGP >KZN01305 pep chromosome:ASM162521v1:3:14261199:14266754:-1 gene:DCAR_010059 transcript:KZN01305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEESLALNPSERTIEQAIVTLKKGAQLLKCGRRGKPKFCPFRLSSDEKLLIWYSGQEERQLRLASVTNVIRGQKNKPLPSERECQSISLLIANREHSLDLICKDKTQADSWFIGLRALISRSTHLRLFRTSESGRSAQSCVNSPAGYMRRKQNLGLSEDTTTRISKVRSLAGSPPQSCSESEKCFPDGLSCSSNSFYSESSLSSMQNIMNSLTPNSPYIAPDDLNKRGKKSASAETQISMLDRFMTPANESSNDILRDIFFWGEGLESGTLRGVVDKIDCISNMTVDALLPQLLESTTMLDVQKVSVGGKHAALVTKQGEVFCWGDGSGGKLGHKVNMDISCPKVVESLAGIHVTSVVCGEYQTCAVTHSGDLYAWGDNFHGTDISVSNRIRNNWFPRRLSGILDGLIISKVSCGHWHTAILSTSGQLFTYGDGTFGVLGHGSTQSISEPKEVESLKGLRVKSVSCGSWHTAAIVDIMVHHLKSDDPAGKLFTWGDADKGRLGHPDQEMKLVPTCVAQLVDHDFIQVSCGRILTVGLTNVGTVYTMGSSVHGQLGNPQAADKSVTIVQGKLKHEFVREISSGSFHIAALTSRGKVYTWGKGANGQLGLGGTEDKSSPALVEALRDRQVESIACGSSSTSVICLHKSISSTDQLACRGCNLVFGLTRKKHNCYNCGLLLCRACSSKKSLHASLASDKSRPSRVCDQCFNRLQRTANPNKLGAISPRPELIKQKGFITERSNTEDVIAPSKTFHSNNSQCGDLNTIKDEKEVENPRDANSPLSGGLPRCGQVLSPIYFGRKYSECSLNPATEDLLTCNFATAERGSKSNYVHAKEVEDLKAQVEVLQKLCHTRQRKIEECQGKIEETWSIAKEEAAKSKAAKEVIIALTSRLHMMSEKLCAGREPKDQSCANMSQIIPTCAETPTVKEVHPMFVVTNVNPAIRRLEERTVDSSCNSPLLFSSKIRALSSRESNSSEGRSAEESCGMRINSKQNEIKPKVDWVEQYQPGVYITFTILPSGQKGLKRVRFSRRKFTDKEAATWWDKNHLEVYQSYGIEEYVGSNQD >KZN03221 pep chromosome:ASM162521v1:3:42658895:42663162:1 gene:DCAR_011977 transcript:KZN03221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSIKNPKKAKRKNKGSKSGEGASSSSSVPELPAKVWRPGVDKLEEGEELQCDVSAYDSLHAFNIGWPCLSFDVVRDTLGLVRTEFPHTMYCVAGTQAEKAAWNYIGIFKVSNISGKRRALVPKADANDTDMDSENTDSDEDDEDEENVGSKTPVLQLRKVAHEGGVNRIRAMTQNPHICASWGDNGHVQVWDFSSHLNALAESENDVNRGASTVSNHAPLAKFLHKDEGYALDWNPLVPGRLVSGDCKNFIHLWEPTSDSTWNIDPKPFVGHTASVEDLQWSPTEPFVFASCSVDKTIAIWDTRLGKSPATSIKAHNADVNVISWNRLASCMLASGSDDGAISIFDLRLLKEGDSVVAHFEYHKHPVTSIEWSPHEASTLAACSADNQLTVWDLSLERDEEEEAEFKAKIREQVNAPSDLPPQLLFVHQGQKDLKELHWHNQIPGMIVSTAADGFNILMPSNIETPLPENAPPADDA >KZN00245 pep chromosome:ASM162521v1:3:3404048:3405414:-1 gene:DCAR_008999 transcript:KZN00245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNNVIPNGHFKKHWQNYIKTWFNQPARKTRRRNARQKKAVKTFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAAIPKKLAPTIGIAVDHRRRNRSLEGLQTNVQRLKTYKAKLVVFPRRARKVKGGDSAPEELANATQVIGDYMPIVREKPTVELVKVTDEMKAFKAYSKLRAERTNERHLGARLKRAAEAEKEEKK >KZN00599 pep chromosome:ASM162521v1:3:6743782:6746351:-1 gene:DCAR_009353 transcript:KZN00599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTMELRKDYGDLGIQPPNSHNVWKQRRDRDGVKILIYHPAQRDDDSDQSNRIFTSMSEFVYGKQAISSKLAMDAQLTPLLRLGAGACAGIIAMSATYPLDMMSLIKPSASSWKLPEVETCYLVKFSGLQLYSPQVESLKEKVFKKKFELRNVKSSVDPEPVNMPS >KZN00939 pep chromosome:ASM162521v1:3:10106744:10109770:1 gene:DCAR_009693 transcript:KZN00939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGISEKTIFAFGGNQKQCEAVCFLITTQLHPIKIICSLLYACPETLLTSSTRIKEDPGLLSASGVARVVAETVALNHTNVRWFVFGDDDTVFFPENLVKVLSKYDYKLWYYIGSNSESFTQNSFFSFDMAYGGGGFAISYPLATNFSSCINRYPGLYGSDARITSCLAELGVTLTKEPGFHQVDMRGDLFGLLAAHPVAPLISLHHLDIMNPIFPYMTSSMKALEHLYEAAEHDPQRIMQQTVCYDRWFLWTVSVSWGYAVQLLRAQKTFRPFQMDNYMNNYYNIDVREYEADRCRRAVVFYMDQVSSSKRGEIQSVYRNNALQSMYAKLIHANCSRRLALPLKLEEIRVFSNKYNPSFKQLLAPRRLCCEVLPSSRGLSLEKGIGLVLVEYCRPTCPVLDCIEPTAMIINSAIATTTFKVGGPTGGWDIATNLKAWSSSQAFSVGDSLIFQYTPNHNLLEVSKEDYEACQSSNPIQVFPSGATPIPLTAPGSRYFICGVVGHCSLGMKVEILTLGASAPLPAAQSPETTTLPSDPPISPAAFPSPIETPSTQSPASAGGPMTVPTFPLTGSPQSYNPANALSTLPPPVSAAKVRATSSILFLIFMLGALFL >KZN02799 pep chromosome:ASM162521v1:3:37398455:37433252:-1 gene:DCAR_011555 transcript:KZN02799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQLPRLTNSLRDPFDADEAYLQRKLHLQNLINKRSSASSLKESELARKIVYKWDEASSEVRQAYKQFIGAVVELIGGEVVDEEFREVALTVYRIFCHHVEEQEEYRRIKKHREELDKLLGRVVPDASLHKVSLLAQRLLSLQPNTSDAVSLLENQINGTGDDMEFGADLVFKPPSRFLVDVSLEYEDLLEESTSNSSIPQGWYENDNNANYHPESVGGNFDLNWLRDACDLIVKGSNSQLPRDELAMAICRVLDSEKPGDEIAGDLLDLVGDSAFETVQDLITHRKELVDAVHHGMFVLKSDQKVPGSQSRMPSYGTQVTVQTESERQIDKLRRKEGKKQRRGTDQGNDNELSSMSFGSLIQASEKKSIFDDLIGTGGESNATALPQGTVKKHYKGYEEVTIPPTQTAPMKPGEKLIEIKELDDFAQAAFQGYKSLNRIQSRIFQTTYNTNENILVCAPTGAGKTNIAMIAVLHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTRTFGHRLGPLNMIVKELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVAQFLRVNPEAGLFFFDSSYRPVPLAQQYIGISEPNFRARIELQNEICYKKVVDSLKNGYQAMVFVHSRKDTGKTAEKLKDVLKSRNRELVQLFDNGVGIHHAGMLRSDRGLTEKLFSEGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMKMNPLAYGIGWDEVIADPSLSLKQRSLVTDAARSLDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVIDMVAHSSEFENIVVRDEEQNELEKLAQTSCPLEVKGGPPSKHGKVSILIQLYISRGSIDSFSLISDAAYISASLGRIMRALFEICLRRGWCEMTSFMLEYCKAVDRQIWPHQHPLRQFDKDISLDILRKLEERGADLDHLHEMQDKDIGVLIRYAPGGRLVKQYLSNFPSVQLSATVSPITRTVLKVDLLITPDFVWKDRFHGSSERWWILVEDSENDHIYHSELFTLTKRMARSEAQKLTFTVPIFEPHPPQYYIRAVSDSWLQAEALYAISFHNLALPEGHTSHTELLDLKPLPVTALGNKAYEALYTFSHFNPIQTQAFHVLYHTENNVLLGAPTGSGKTISAELAMLHLFNSQPDMKVIYIAPLKAIVRERMNDWKKRLVSQLGKKMVEMTGDFTPDMMALMSADIIISTPEKWDGISRNWHSRGYVTKVGLVILDEIHLLGADRGPILEVIVSRMRYISSQTERSVRFVGLSTALANAHDLSDWLGVGENGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPTYAAISTHSPTKPVLIFVSSRRQTRLTALDLIQFAASDEHPRQFLSMAEEALQMIVSQVADQNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEFYDAKSKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHDHMNAEIVSGTISHKEDAVHYLTWTYLFRRLTVNPAYYGLVGTEHGTLSTYLSSLVQHTFEDLEDAGCIKITEDSVAPTMLGSMASQYYLKYMTVSMFGSNIGPDISLEVFLLILSGASEYDELPVRHNEENFNEALSKKVPYEVDQNSLDDPHVKANLLFQAHFSQLELPISDYITDLKSVLDQSIRIIRAMIDICANSGWLSSTMTCMRLMQMVMQGLWFNRDSHLWMLPHMTDDLLNLLLKNSISSVQQLLVLPKQHLQSVVGSSTASWLYQDLQHFPNVQVKLKIPRKEPNSLSLPGLNIRLEKTNLQNKSSRAFTPRFPKVKEEAWWLILGNTSTSELYAMKHVSFSDRLVTHMKLPSTTFTLKGMKMILVSDCYLGFEQEYTVEDLIGTQQLEAGR >KZN03154 pep chromosome:ASM162521v1:3:41794975:41796138:-1 gene:DCAR_011910 transcript:KZN03154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNWYRNLKEKGKKKKLQREVEASEQPMRLVLNRSRTWSASRNPRELDAAERRNRMSVNRLRSPEADSESPQADFDNKEVEDWTEEDWAKLLEIGRKRKRKSPEVTQEMLDNLEEAKKRTDFRLPPTIEDLVREGMTKGKRHIYTTDPEYDDARLKQQLQWIMKHYDHPNDYYWAKERFCILEEREYQRDVAASGGFDVGFYPFLRSSCFSGQIARHYCPPLFNLGPEGVAELYNLASIAIQFYNSSMGTQYCLTNVIKAMRSAAQGLCYYITLEASIQQDGFGNIFPLTFEAQLFQWIPIGSGKIDIDFVRPKMVAEAGPSGASICMLPQHHHS >KZN03912 pep chromosome:ASM162521v1:3:49835823:49839125:-1 gene:DCAR_012668 transcript:KZN03912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTTGTWTTTCNAAFSYKNLAINLAGSVLRMNSTACVPVSVTCRSSNAPVQAANAGEPEKKSLAEELRFGSLEEDGLSYKERFIEVACNHAQIVGFSTDGFSTTTTMRRLNLIWVTARMHIEVYKYPAWSDVVEIETWGQSEGRIGTRRDWIIRDYSNGEVIGRATRFAFPEEDNYSLKKISTLEDPATFSSLGLAPRRVDLDMNQHVNNVAYIGWILESIPQDVINTHELQTITLDYRRECQHDDVVDSLTSPESEEDVAGTKLRGSNGHAAAATTDDDELLPFLHMLRLSDNKLEINRARTRWRKKTKLRNKTVYCLN >KZN00267 pep chromosome:ASM162521v1:3:3539052:3542632:-1 gene:DCAR_009021 transcript:KZN00267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSKLNKLSETPNNKASTETVNTKASAGTPNAKATTGNPISKASNVTPNSKGSPATTRIPKSSRGVAKSETNSPSPLQSSRLSVDSSPRSVTSKPSVDRRSPKISTTPDKQSTRLSKGSEVQAQLNLVQEDLKKANEKLAEVEEEKEQVIDELKEAQRLAEEANEKLTEALVAQKRAEEESEIEKFRALEMEQAGIDASQKKDDEWKTELEDVKNQHALDVSALLSANQELQKVKQELAMTTDAKHQALSHADEATKIAEIHMQKVETMTAELAQLKALLDCRNESVAENNDNTVLELKSTIETLKQELEKAKDFESEANNYSKMVLQLKSEIDALKEELQKADAFYDEKLAKTEAAVEQLNVELETAKIAEASANGKVKDYEVKASNQRNIAAELKSEIETLKQQLEKANEHEEKVVEAEAAIEQLNVELEAARMAESYAHNLLEEWQKRVKELEINAEQANRLEKSASESLDSIMQQLEESNDLLHDAESEIASLKEKVGLLEMSIGSQRGDLEVSERNIYMAKEQASEMAKEIESLKNELDTVKDEKIQALNNEKLAADSVQTLLEERNKIINELEKFRKEEEKSKKAMESLASALHEVSLEAREAKEKLLSSQDEHENLETQVENLKLVLRASNEKYESMLDDAKHEIDVLTNLIQKAEQDKQAAKADREQRELHLMDCLYKSEEESSSMTKEVSKLVMSLKEAESEASKAKEEEAQVKNTLAEADSEIKYLKEVLGEAKAESMRLKESLMDKETELQNVIQENEELQSKESASLNKIKELSKLLEEATIRKQAEENGDLTDSEKEYDMLPKVVEFSEQNGHRKEENTKLELPHVPELVKDIPLEESNVLYVKAVDSDAEAVDLDGKFKANEIKEKDDDNSVEVEFKMWESCKIEDNDFLEPTEKESVEEVDTKTENDTSDQFNGLSSTEDPSVLLENGGSSPTKEHSHKKKKPLLHKFGSLLKKKSPSSQK >KZN02398 pep chromosome:ASM162521v1:3:33058591:33061566:-1 gene:DCAR_011152 transcript:KZN02398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMWVRKTSADRRYKEIKIPPVQVLYNTCKGVFENFGPGIVPGPENIERLKAAMDGMTGADVGLRPGMQFFRRFPVITYIRIHECDKFSIGLFCLPQSAVIPLHNHPEMTVLSKLLFGTINVNSFEWVNADPPNKGGIFSAVPLRGTNSPRLGSDFKPAGVPRLAKTKAEYEVSAPCKTSVLYPADRGNLHTVRALTPCAFLEVVAPPCSVSRGWPCTYYRKYHFSTLSAVGMISVPEEQRPGYVWLQETEKGDVSLVYKDEYTGPCIVTE >KZN03080 pep chromosome:ASM162521v1:3:41060387:41060938:-1 gene:DCAR_011836 transcript:KZN03080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINFKLSFILSLVLLVSALAFSTAAEAEGSKAPKKNINVVVEGMVYCQSCKQIGSWKVSHNNPIYDASVSVFCRGDDNGGVNYYKTFNTNTEGYFYAELKGFKISEDSLDVPINSCYVRVVSSPNVYCNYPTNVDYGFSGLGSPLKYENKTISYKDRYKAVVYAAGPLAFRPVDCSPFAKKP >KZN01319 pep chromosome:ASM162521v1:3:14415981:14416445:1 gene:DCAR_010073 transcript:KZN01319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHIKRQIDIVKDNIYFIKRERDELYYEVYVSCHERWLPQYEFTLKGLDEQYDELEWLEKKYCIELRKERCKRADEERKQTFDDLISQVKRLMHLENEKKVKQTNEVEHIEGVVTEDSIEEVKQVTEDPIEAVKQIEHIEVASEEQLKETTPP >KZN02703 pep chromosome:ASM162521v1:3:36222355:36223734:-1 gene:DCAR_011458 transcript:KZN02703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIRRLMLRASLISTRQLISTRTLHVISRSETNVKPASSTPLNLKKYHLLYHDLMLPNVYIPLIFFYSNPQELIDKATIPNLLKNSLSQALNKYYPFAGRLRSAGSYVDCNDEGVQFHEARIACTLSEVLKRAPAKEEDKGFGHLFPPRSIWHKVDESRLMLVQLNHFSCGGIALAVSLAHRIVDGSTSFSFLNYWSSLSRSPVDEERLAHLHPHFLYESLPQSSDENLLATQASYPEKHWITTEVLFHNSKIAELKANQEIQDKTEGVLADQNYTRNGLVTALLYRCAVAAAATSKSGVCPKSVLFQSVDVRGMLDPPLPKTTVGNLFVFNTIPTSTMSETMLNPMVTQMRKEKMKLKGIRNLDGKGTVHLAEKFAKMNHKLYVISSICNFPLYDVVDFGWGKPVKATLVDAPFANCFFMADTPCKDGIKATVNLEEEDMKNFRADSGLLAYASFLD >KZN01885 pep chromosome:ASM162521v1:3:26593195:26599648:1 gene:DCAR_010639 transcript:KZN01885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELWTLFCGKSDCSDGNHGNCGSDLVLLTHPSSCINHVLIFLFDILLLAMFLLNIFFKRPDKSTHSSRVSRLQWISAIYNGVLGLGYLCWGVLTLAERLRRKQAIVPLNWWLLALFHGLTWLIVSLAVSFWGKRFSRAPVRILSVLAFISAGVSFGLSLFAAITHKELAMKIALDVFSFLGASLLLLCTYRGLIDEESCENESYLYTPLNGDRNAADMKNDSAGFVTPLATAGFFSKMSFWWLNPLMKRGRQKTLLDEDIPKLRKEDQAETCYLLFMEQLNKQKAKDPRNQPSILRTIFLCHKRDTFISGFFALIKIITISAGPLLLNAFINVAEGKGSFKYEGYVLALLLFFSKNLESLSQRQWYFRSRLIGVKIRSLLTAAIYKKQLRLSNAAKTVHSAGEIMNYVTVDAYRIGEFPFWFHQLWTTSLQLCFALVILYRSVGLATIASLVAIILTVLCNTPLAKLQHKFQSKLMVAQDERLKASSEALVNMKVLKLYAWETHFKNAIEKLRTIEYKWLSAVQLRRAYNSFLFWSSPVLVSAATFGACYFLEIPLYASNVFTFVATLRLVQDPVRCIPDVIGVVIQAKVAFARILNFLEAPELDSSKIRCKWNRENINHNIIIKSADLSWEENKSKPTIRNINLEVKMGEKVAICGEVGSGKSTLLAAILGEVPSVNGTMQVYGNIAYVSQSAWIQTGSIRENILFGSSLDYDRYQDTLDKCSLVKDLELLPHGDLTEIGERGVNVSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNEYVMDALSGKTVLLVTHQVDFLPAFDSVLLMSDGEILHAAPYHLLMASSREFQDLVDAHKKTAGSDRISELNSSQERESVSRDVKTCPEKKLITPGDDQLIKQEEREVGDAGLKPYIQYINQDRGLLLFSLSIVSHIAFVTCQILQNSWMAANVENPEISTLRLILVYLVIGFTSTIFLFSRSLASVFSGLHSSKSIFSKLLESLFRAPMSFYDSTPLGRILSRVSADLSIVDIDIPFSLIFSVAASINAFSNLGVITVVTWEVLFVAIPMIYLAILLQRYYFSSAKELMRINGTTKSLVANHLAESLAGAMTIRAFEEEDRFFLKNLDLIDTNASPYLHNFAANEWLIQRLETLSATVLSSSALCMVLLPSGTFSSGFIGMALSYGLSLNLSLVGSINNQCLLANHIISVERLKQYMHIPSEAPEVIEDKRPPINWPAVGKVDIQDLQIKYRPAAPLVLRGISCTFEGGNKIGIVGRTGSGKTTLIGALFRLVEPTGGKIVVDGIDISTIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLRETVLEKEVGLDSLVVEDGLNWSMGQRQLFCLGRALLRRSKVLVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTMVLAISDVKSEKINKRALHREVVRSVVSGGGSTLVKWGQNLSG >KZN00930 pep chromosome:ASM162521v1:3:9968336:9972278:-1 gene:DCAR_009684 transcript:KZN00930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITITAPKIRCTITLNNSFVNFISFTSVFTCVLAAGFSSSAIVRVYIKITIYDDNNFLISADKSQAMADVAVSNAPVDPTQVHSDVLLFNRWTYDDIRGDDDVSLILLQEVSDISVEDYITASAAKNPIYMPHTSGRYQAKRFRKALCPLVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTEQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KZN00357 pep chromosome:ASM162521v1:3:4457718:4462857:-1 gene:DCAR_009111 transcript:KZN00357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGSRYRSHVKRRKWMIVLVCMVGIFLIIVYTYLSKSSVACNLFSSSSCSVEVLPSTPVRELTDEETAAQVVISEILHTPPLQPKIPKVAFMFLTPGPLPFERLWDMFFHGHEDKFTVYVHASREQPVHVSRYFASRNIHSEKVVWGRISMIDAERRLLANALKDPDNQQFVLLSDSCVPLHNFDYVYNFLIFANVSFLDVWEDPGPHGAGRYSEHMLPEVEKKYFRKGSQWFTLKRQHAVLVTADHLYYTKFKHYCRSPDNLIDCEVLIHQPGMEGNRNCYSDEHYLPTLFKMFDPNGITGWSVTFVDWSEMKWHPRSFRAQDVTFELLKTMTSIETSVHITSISESSFLYAEKGIDKTLHMERNEAALLPVWEEVLP >KZN01909 pep chromosome:ASM162521v1:3:26779976:26782354:-1 gene:DCAR_010663 transcript:KZN01909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQKLLAHIMEDMPIKKRRFWKHFVSPPPQACSRTPKEANPFEADQVLKGTFPASQKSHSEFGIIHGSVAVTSADGPSEAGNARAAQAPDAVVTKGKTLASIEPESLVKKKSEDSKNLSVQVDSFTSLNDIHSGKNDLALGNSIPLKINRLHWDLNIMMDEWEKPNAENVNQQMGNTEDVTNNGIQNEKAASDPVSIPKLETPSSVLNETKGPDSKRTVLQVSSGLMKTEKTSDVFKTQDPAVVIDMNELNNNVHLDHSICTHTEQKNSTADIPVQHDKDMSRTPATGLCKLSSQSDTTEKSDSVSFGMPIEGKRTCYVDRMKNDGSADFKRNSGKDPRLTSEDLSSGCNNSNVSGNNTGHVVSMECMSNLQAGYDSPMEDGELRDPDAYSWKNNDVNFSETGQLENGVVSTNFKDAFENNFKNGHQFCDYQDDANAKGQDGEIDDNGSQTRGFRARKYRKLSSHDKSPYNGSIKRKSFALMQHQRKTENKGYEVDFPLTCHESRSINPHTYHNSKDRYHSRLRRVDSGPPARGSEMSLYDKKPFPDKFSNEIYRPSTRKRFPADRDDSYENYRGSPFPRGKNKRKKNKKSGMQRGIIAPKEKEGYNERFPEKFSSSRDHKSSTNFVHDVHMNRLYRKSQSESSLCSATHVLNGQDRRPSDRVSRHSQWQENNQVEFSRRLKPDDNLRSLAMQGVKSLVVTNDRK >KZN01809 pep chromosome:ASM162521v1:3:25451496:25453031:1 gene:DCAR_010563 transcript:KZN01809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHTVDQETKNPEKIDVNSSYFRYNSPLVQVGLIGLVCFCCPGMFNALSGMGGGGQVDPTAANNANTALYTTFAVFGILGGGIYNILGPRLTLFAGCSTYILYAGSFLYYNHQKHQAFAIVAGALLGIGAGLLWAGQGAIMTSYPPPDRKGTYISMFWSIFNMGGVIGGLIPFILNYNRSEASSVNDGTYIGFMVFMTIGTVLALAILHPSKVIRDDGSVCTNMRYSDVRTELWAILKLFSDWKMLLMFPAAWASNFFYSYQFNNVNGILFNLRTRGLNNVFYWGAQMLGSVMVGYIMDFSFKSRRMRGLAGITVVGILGTAIWGGGLAHQLGYSRGDKPEKLDFKDSGSAFAGPFVLYFSYGLLDAMFQSMVYWVIGALADDSAILSRYTGFYKGVQSAGAAVAWQVDTRNVSFLSQLAVNWSLCTISYPLLAILVILAVKDESKVEEGTRNEAAHSDSITDSKDNTYGGLSMST >KZN02273 pep chromosome:ASM162521v1:3:31518941:31519481:-1 gene:DCAR_011027 transcript:KZN02273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWIKPELTRNVFMNPDVRINKAHRTAGVLDNLEEGEKYRHHGLRKFLRERPPEVMPAINHFFSESDINTK >KZN03048 pep chromosome:ASM162521v1:3:40566544:40570466:-1 gene:DCAR_011804 transcript:KZN03048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRVPGLPMRMIDKEDELALFLEMTKIEKERDSVFLRNSDELDASLGMTGSSPIYDIAASLGPALKTASDEFLNSEGDKNDYEWLLSPPGTPLFPSLEMESQHTFTSETGIPETHPIASKSRIADVQLESTASNLASRHSASSPGLNMSTGGARRPSSSGVPGTRSATPTRRPTLNSASKPVLTSAPKPSSTATSKPSVGNTSKPSRSSTPTSRSTLTSNKPTIPSRSSTPTRSTARSSTPTPISTARSSTPTPRSTARSSTPTARSTERSSITTATSNARSSIPSPSSTARTSTPSSFTARSSTPTARSAARSSTLLVKTSSLPASKPTSRATTPIRRPNTLSTASDSSSPPVKSPSLFPKAATATSRYPVPPRVSSPVVKPRPWKPSEMPGFSLDAPPNLRTSVSERPISATRGKPGLQSIRSSSVEPAPNGKIRRQSCSPSRGRPPNGITVNSRSSVPALSRAYANANDNVSPVMYGTKMVERVMNMRKLAPPRQDDKHSPHSNLSGKSSSPDSSGFGRSLSKKSLDMAMRHMDIRRSIPGNLRPLMTNIPASSVYSVRSGPAPRSRIVGVSDSPVATSSNASSEVSVNNNALCMDRSEIEDDINSERGPPPASVLGR >KZN03056 pep chromosome:ASM162521v1:3:40674153:40678273:-1 gene:DCAR_011812 transcript:KZN03056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPLAPAIISFTILFLAAVTSVESLSGTTSTLAVISGPSGPTICGILASQPTHHVVCSRNNSAFNISPEISFTRISGGRDFFCGVRSGGHALICWNSTFVPKRLYYNESFALDNLVVGDYQICSLIDSTRNVMCWRGESPERTQFRGVSSGLGFSCGVVNSTNRVLCWSTNGINSIDNSYRFAEYMQNEYANFSMLSIVVGGSFSCGFNLSGGLICRGNNGNGELDVPLSGLSNVYRDVALGRDFGCAIRNVNSMVVCWGRGFREGYRNVSDGVFFESIVAGEDFVCGLTSSNFSVICWGPGWGNVENEVSLGNILPGSCVEEECECGVYPQSETLCSGNGNICSRCDLVERIPPVSPPTLGDDAPSSPSKEISKGLLAFAIVGSAGALLSVCAIIYCLWTGACFGHKKIHNSVQPTINGTNSNAPNSNGSPPSRSSTIRRQGSRGWSRQRSGTGGLFGRQRSGTSGLFGRQRSGTSGKYAERAEEFSFSDLLAATNSFSLENKIGAGSFGVVYKGKLPDGREVAIKRGDTSTILKKFQEKESAFDSELAFLSRLHHKHLVRLVGYCEEGDEKLLVYDYMKNGALHDHLHKNNVGNNSALLNSWKMRIKISLDAARGIEYLHNYAVPPIIHRDIKSSNILLDANWTARVSDFGLSLNGPEPDHDYRPTKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKSDKDEGEPTSLVDFAVPAIMSGELMKILDSRVGLPQLNEAEAVELVGYTAMHCVSLEGKDRPTMTDIVSNLERALFLCEDSHGSISSGSISIVSEPTKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKSDKDEGEPTSLVDFAVPAIMSGELMKILDSRVGLPQLNEAEAVELVGYTAMHCVSLEGKDRPTMTDIVSNLERALFLCEDSHGSISSGSISIVSE >KZN02403 pep chromosome:ASM162521v1:3:33115707:33117414:-1 gene:DCAR_011157 transcript:KZN02403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMFIKREEKPSRRRLSMADGEGQDVKPVKRRRRDSAAIARGSDAPQQPQPQQADQASAPAPTTVKRSSRFRGVSRHRWTGRYEAHLWDKLSWNVTQKKKGKQGAYDEEESAARAYDLAALKYWGTTTFTNFPVFEYASELQIMQGVTKEEYLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPGATSPGIPQVPEINVEPQSVTYDNFNTNKEGHSSFYNAKAFAVDDMKTEQQQEALPRSHSLTSFNKKSSSPTALSLLLKSSIFKELVEKNSLINKEENNVVDETANQPQISSDDEFGEVVYDGINGDIPFSLSSTNDHGFEFQGQFSFSSLLGTLL >KZN00386 pep chromosome:ASM162521v1:3:4846204:4865058:-1 gene:DCAR_009140 transcript:KZN00386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVIRLPTAASSVPSLYNYSPNYALRKSTVSLSLLMRNNSFTGKTFAGKFSQESKSSSFVVGASEKVLVPGSQSSDGSSSLKGKLEAADLAVEDPLVSHNDNQPESEHVNTILTDNEDREPAMEYSETDGEIESVSLLGLVNILYGHYKKMREEIDKYEGGLEQFSRGYEKFGFVRSETGITYREWAPGAKSAALIGDFNNWNPNADVMTRNEFGVWEIFLPNNVDGSPPIPHGSRVKIRMDTPSGIKDSISAWIKFSVQAPGEIPYNGIYYDPPEEDKHVFQHPRPKKPKSLRIYEAHVGMSSTEPTINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRCGTPDDLKSMIDRAHELGLVVLMDIVHSHSSNNTLDGLNMFDGTDSHYFHSGERGYHWMWDSRLFNYGHWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGFTTDVDAVVYLMLVNDVIHGLFPQAISIGEDVSGMPTFGLPVQDGGVGFDYRLHMAIADKWIELLNRNGNTEMNRKHFLIFTCPQGHNYPVVLSLRSDMYDFMALDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRADQHLPDGKFVPGNGNSFDKCRRRFDLGDADYLRYHGLQEFDVAMQHLEGTHSFMTSEHQYISRKDEGDRVIVFERGNLVFVFNFHWTNSYSDYRVGCLKSGKYKIVLDSDDKLFGGFSRIDHSADFFSFEGAYDNRPRSFMVYAPSRTAVVYHLVEGGVKPIK >KZN00867 pep chromosome:ASM162521v1:3:9399899:9403767:1 gene:DCAR_009621 transcript:KZN00867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNRAMEKMKILVGMEEEVIEEESSFAFMDELNQNCTLSYQQRLYGFAVCLVSGVACTLLSMLVFFNPIKFGITFSFGNLLAIGSTAFLIGPKRQVTMMLDPVRIYATAIYLASIIMSLFCALYVHNKLLTLLAILLEFSALVWYSLSYIPFARSMVSKVMVACFDTEF >KZN03352 pep chromosome:ASM162521v1:3:43902323:43903624:-1 gene:DCAR_012108 transcript:KZN03352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQFLFLCLLSFQTQLYFTSAATLVPSTQMLVLPLKTEKIATGSFPRSPNKLPFHHNVTLTVSLLVGTPPQNVTMVLDTGSELSWLHCNSTSTRPMFNPNNSSSYSPVTCSSPTCMTQTQDFPIPASCDSNQHCHATLSYADASSSEGNLASDVFDIGGSNVPGTIFGCMDSGYSSNSEEDFKTTGLMGNNNFTWLMPLNYTPLVQISIPLPYYDRAAYTVKLQGIKVSNKILPLPKSILEPDHTGAGQTMVDSGTQFSFLLGPAYNALRNEFLNQTSRILRVLDDPNFVFQGAMDLCYLVPVNQTSLPDLPSVGIMFGGAEMSISGDKLLYQVPGETRGKDSVWCLTFGNSELLGMEAYVIGHHHQQNVWMEFDLEKSRIGFAQVRCDLASQRFGLYN >KZM99954 pep chromosome:ASM162521v1:3:409372:410136:-1 gene:DCAR_008709 transcript:KZM99954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGKRQRPPMKRTTSMTEFTLDLSFTAAAPPPPLTPQTGVRNHRRNSVDFTDSAHFLRSCSLCKLRLIPGRDIFMYRGDSGFCSSECRQQQIIQDERKEKRLASQNLEAAAAIPVPIATGTEVSEAVATAVM >KZN02509 pep chromosome:ASM162521v1:3:34114653:34116323:1 gene:DCAR_011263 transcript:KZN02509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLKEGIQIAELSKADAELELSEARKTVKDLCSRIEESNSKVTKIERLDKEKRLKDGLGSFHKKTGDGQFAQVTREVENIKQELSNLKLKMDYASQVKMNAESEIEASSSMILSYSSLVEEIRTNIDELNEEHVLVAVARVEALREYTAIEAERNEEAKRYMIVLEKIRKKLNNLNEEAASAQAVENELAVTTADVNRLKSELALIKEMDIPKPENAKLQEVSFRKQGKQDSILFLHTILEESEAANKELAAINEGGFQLMSSMDVIREELKQLAKEKARLREMEDKTELTIQSVNSKLLRAKSKLEALSAAEEKANSMSSSLAGTLKHLREEAEASKKERALDLEEIATVKSEVLRTKSMIDLDEAKLQASIEELRAVKLSEAKALKTLKALVGISVKARATSQHNSNITISTFEYVYLKGHAVEAKKVADKKVEAAQAWTEALRTSEKEILMETIATQQKIRVIGMLEEEAINFGRKHDQSIDAEQAPSEVASSSKSGNRYIISTPGRRLRHRRSGSAAGFYMSRSSITIDRRRKGMQNISKFFSSKDDKRDE >KZN03868 pep chromosome:ASM162521v1:3:49474214:49478990:1 gene:DCAR_012624 transcript:KZN03868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFATKLASKSLIAKNANQQFASRLAWSRNYAAKDIKFGVEARAMMLRGVEELADAVKVTMGPKGRNVVLEQSYGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDVAGDGTTCATILTRAIYSEGCKSVAAGMNAMDLRRGINMAVDAVVTNLKSRARMISTSEEIAQVGTISANGDREIGELIAKAMEKVGKEGVITIADGKTLYNELEVVEGMKLDRGYISPYFVTNPKNQKCELDDPLILIHEKKISNLTAVVKVLELALKKQRPLLIVSEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKASLQDLAVLTGGTVITDELGMTLEKVGIEMLGSCKKVTISKDDTVILDGAGDKKAIEERCEQVRSSIELSTSDYDKEKHQDRLAKLSGGVAVLKIGGASELEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELNNLQAANFDQKIGIQIIQSALKAPVHTIASNAGVEGAVVVGKLLEQDDPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLLTTTEAVIVELPSDDKDIAAAGGMGGMGGMGGMGF >KZN02495 pep chromosome:ASM162521v1:3:33959764:33960132:1 gene:DCAR_011249 transcript:KZN02495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRESNRERDESPEIPKAWEATTPVTARPMANAAGTMTGLKIMKKGVATMRPVTELMKVMLASPRRVPPSWRRVIIGRTWEIVGLSAIDDKSGKNLQNNYEKDEVERCGELNVILDGYKESG >KZN01502 pep chromosome:ASM162521v1:3:17478229:17489694:1 gene:DCAR_010233 transcript:KZN01502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRDVDDDDDLEPEEDEQVYQDEEEDYDDYKSGRKRSRSQFIDDAAEEDEDEEEEEEDDDDDEDYGGGGRKAGAPKPKKRTSGSHFFDLEAQVDSDEDDEEDEGEDDFIVDNGADLPDDEDARRLHRRPLLPREDDQEDVEALERSIQARYARSSHTEYDEETTDVEQQALLPSVRDPKLWMVKCAIGHEREVAVCLMQKCIDRGPELQIRSAIALDHLKNYVYIEADKEAHVREACKGMRNIFTGSKILLVPIKEMTDVLAVESKAIELSRDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVPKKKTFTPPPRFMNVDEAREMHIRVERRRDPMTGDYFENINGMMFKDGFLYKTVSMKSISTQNIQPSFDELEKFRQPGENGDGDMASLSTLFANRKKGHFMKGDRVIVVKGDLKNLKGVVEKVEEDTVHIKPYDEGLPKTLAVSEKELCKYFEPGNHVKVVSGATEGATGMVVTVERHLVNIVSDTTKEVIQVFSDNVVESSEVTSGKTRIGDYELHDLVLLDNMSFGVIIRVENEAFQVLKGVHERPEVALVRLREIKYKIERKNSAQDRSRNIIAVKDVVKVLDGPSKGKQGPVEHIFKGILFIYDRHHLEHAGFICAKSQACMVVGGRANGDRNGDPTSRFALKPPNRVPPSPGRMPRGGHYNSGGRFGGGRGHNSLIGTSVRVRLGRYKGCKGIVKDVKGQTVLNRDEIVDTITTATPSREQQRYGAGNETPMHPSRTPMHPYMTPMRDSGATPIHDGMRTPMRDRAWNPYTPMSPPRDNWEDGNPASWGTSPQYQPGSPPSRTYEAPTPGSGWANTPSGNYSEAGTPRDSSPAYANAPSPYLPSTPGGQPPMTPSSAYLPGTPGGQPMTPGGGGLDMMSPVVGGDHEGPWFLPNILVNLRRSADETSLGVVRELLPDGSCRVALGSSGNGETVTVFPNEVEAVVPRKSDKIKIMGGAYRGSTGKLIGIDGTDGIVKLDDTLDVKILDMVMLAKQA >KZN02572 pep chromosome:ASM162521v1:3:34699289:34702689:1 gene:DCAR_011326 transcript:KZN02572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPPSDPPPSTFVPVTNYAPAGSYAPPANYAPVANYATAVYDSPPAAPSLNHPSYEEMVSSAILGLNDKGGSSRQAIAKYIENHFKIAPSQTHGYELTQQLKRMKNSGQLVLNKHSYMFPGSVNASVPAASVTSPGYNGMSQGQGQSQGPVTDVTKRRPGRPPKLQPNGGLMGSAAPPVSVGFENQQVGGAGFGVPTANLFGGLNSGEFQFAGGNVNNNVPVPLPVPVSNHVTAPVSNVVMAPVSNVVTAPVSNTPTMVYNGGAMYDAGAVANVVPIEQSDVVSVKRGRGRPRKVNTSAVGVESGAITVLAGEDSAAKNNVGNGPPAVTPPMESGKKRRGRPAKLDKKATVPVVNGGVKQGQDVQAMVLREGKRGRGRPRKNPLGAVAANGVGGGGVMPVAKKPRKVSGKPVGRPRKNAAQTASLMSEIQMLAYEGLKARVEHYQSRIRTAISVVKPYLNETAVIALGALQDLEELASMDIISVPPSVQGAVKDVQSAPVNVEGAPLDVQSAPMDVQSAPVNVLSAPVDLNAPVNVSGPAPENIQSAPLTAEGAPSAFQSAPTGETTESAYQSAPVPVSGQ >KZN03545 pep chromosome:ASM162521v1:3:45647120:45650689:1 gene:DCAR_012301 transcript:KZN03545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGLPPPIRLMNFISEDQLEEAKRTRGARLEDGTAQRDRPLFEVLKENKDKKDAEFNERFKHRPPKALDEDETEFLDRLEMSRREYERQMADEEAEQLQRFQAAVDAQSVVVHEIKEAPPVPKVQEPKKLIGKKNPPLGMIIKVKPQAKKAKVDVDNPSKSVEIARTDIGRVTPSTNSNGDKSSPVVNSSLSGLVSYSDESDDE >KZN01367 pep chromosome:ASM162521v1:3:14929997:14934819:-1 gene:DCAR_010121 transcript:KZN01367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLGGTEGEVQHEIVIVGAGICGLATALALHRKGMQCIVLERSESLRYTGGAIGIMANGWRALHQLGVDSILRQTANPIVGTKDIWLDKNKQREMSFMSGEARCLRRSDLINTLYNALPPNVVKFGHQIVSVKLDPQTNFPILQLEDGNSISSKVLVGCDGANSVVADFLQLKHTKVAVLCSARGLTNYPSGHPFTHEFVRMKRNNTFVGRIPIDSKLVYWFVAHPWVQTAYSFVGYQFNTKISQDKELIRQYTLQLVKSFPKETVELIKDTDHESLSFTRIRYRRPWDLLLGSFRKGTVTVAGDAMHVMGPFIGQGGSAGLEDAIVLARNLAKKMSMTPTDPRSIEEALDEYVKERRMRIVRMSAQTYLTGKLITESTPLLVKFACIILMILLFRDASGHTKYDCGTL >KZN00917 pep chromosome:ASM162521v1:3:9858241:9859769:1 gene:DCAR_009671 transcript:KZN00917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILSKTNVFSSNFHHQNHKIRTWDSVSGENKSAHVSFKPFNVRSSSRSSFSNFSVRKVVAGRPNALKAAAGACNSKMSIGLKKAPGWWEKGLKTNMKEVEGPNDLVESLLNAGDQLVVVAFFSPGCGGCRALHPKICQLAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALAKHSPDRCSLGPTKGLEEKELAALAANKDLSFTYKQKTEQPMDVPGQEKILTASASVSNINSYPPLPLPRPLQSNTTSNAIKDTDNNTLITSGR >KZN03537 pep chromosome:ASM162521v1:3:45573476:45575299:-1 gene:DCAR_012293 transcript:KZN03537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQRCNESKYECLLFDMDDTLYPLSLGLNLACRKNIEEFMLEHLEIEEDHVPRLCLELYREHGTTMAGLKAKRNPALIFNIIYALGYEFDNDEFHAYVHGRLPYNNLKPDPVLRNLLLSMPQRKIIFTNADEAHASQVLTRLGLEDCFEGVICFETLNPPPVENVVDEDKDTAAEYIRPSTILCKPALEAMEAAIEIANADPKKTIFFDDSPRNIVSGKAAGLHTVIVGSSTLVAGADHALSSIHNFKEALPELWEGEGIEQFEPTVQPPPVETQVLA >KZN01770 pep chromosome:ASM162521v1:3:24862397:24866776:1 gene:DCAR_010524 transcript:KZN01770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYWSSPPTIQPQQQKQLVHTHTLLLHPAYSRFKYPPPRTRNASLFIRCSDTSSAPAETSSKSNVISTRRSVEAPKIKSPKLGKNKIQLKVKDQKNKVVDVRTMYQNGDPLGRRDLGKPVVKWVSQGMKAMASDFATAEIQGEFSEVQQKMGPGLTFVIQSQPYLNAIPMPVGVEAVCFKACTHYPTLFDHFQRELRDVLQDLQSKSLVASWTQTQSWKLLKKLATSAQHKAIARKVSQPKAVQGALGMDIHKVKAIQSRIDDFTTRMSELLRIERDSELEFTQQELDAVPMPDETSDMSKPIEFLVTHGQVEQELCDTICNLIAVNTFIGLGGMHVVVFRVEGHHRLPPTTLSPGDLVCVRTCDSRGAGATSCVQGFVNNLGDDGCSISVALESRHGDPTFSKLFGKSIRIDRIYGLADTLTYERNCEALMMLQKKGLQKKNSSIGTVATLFGDEDDVAWLEENDVVDWAEAEVNGMLDFDKYDKSQRRAIALGLNKKRPMLIIQGPPGTGKTGLLKKIILLSVERGERVLVTAPTNAAVDNMVEKLSDVEIDIVRVGNPARISSTVASKSLAEIVDFRLEDFREEFERKKSDLRKDLRQCLRDDSLAAGIRQLLKQLGKALKKKEKETVRDILTSAQVVLTTNTGAADPLIRRLDTFDLVVIDEAGQAIEPSCWIPMLQGRRTILAGDECQLAPVILSRKASEGGLGISLLERAAKLHDGVLATKLTTQYRMNDAIASWASKEMYEGTLTSSQTVSSHLLVDSPFVMPTWITQCPLLLLDTRMPYGSLSVGCEEHLDPAGTGSFYNEGEADIIVQHVFSLLYAGVSPSAIAVQSPYVAQVQLLRDRLDEIPVARGIEVSTIDSFQGREADAVIISMVRSNTMGAVGFLGDSRRMNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRYFGRVKHAEPGSFGGSGLGMNPMLPSIS >KZM99952 pep chromosome:ASM162521v1:3:399288:405206:1 gene:DCAR_008707 transcript:KZM99952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFASFSATERTVVLNNDARVTLNSDPVADSSATIAAIVTSIGGPPGAVGIVRLSGPTAVTIVSKVFSPGLKNKKKNRDSYSWKPTSHFVEYGLVFDSDDNVVDEVLAVPMLAPRSYTREDVVELQCHGSEVCLQRVLRVCLEAGARLAEPGEFTLRAFLNGRLDLSQAENGGFSSLVRSLRIQCIELLTEIEARLDFDDEMPPLDVDLAMDKIRAMSDHVKDALETANYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTNVAGTTRDILEADITVHGIPVTLLDTAGIRETEDIVEKIGVERSRAAAMGADLIIMAVSALEGWTLEDTELLDRINCNKSAYESSSPVILVINKIDCVPNASSKWVNNSGYTFVKCIFTCAVTGQGIQDLETAILEIIGLDRIPRGGRKWAVNQRHCEQLLRTKDALLRLMSTIDDEMPLDFWTIDLRDAAMALGQISGEDISEEVLSSIFSKFCIGK >KZN01485 pep chromosome:ASM162521v1:3:17125110:17146105:-1 gene:DCAR_010250 transcript:KZN01485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNGFEPIFKQPKTEWSDPNFSPLRSFIVCVDAPDSTELRIQATDFHSNSWMTILSVDQLDDMRDTTGIGGSWSEFLAYVAASIQSEETKLGFEGKSEVDGPAYAKLIAQKTKGLPKISFPLDKLEAAAATEVRRNVSLALFGAFDTCQSLLVKEREAGQKLKELIAAEQEKSHNLKTKLDSVLSSKRHKSHNVKEDGTSQPLSGNTLLGTVENKHGSQKMGPSKVANRVVPAYRRSKVRGVLLQDTEDDADN >KZN02809 pep chromosome:ASM162521v1:3:37573673:37574235:-1 gene:DCAR_011565 transcript:KZN02809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLQSMAAQKPLPSVTKTVAPKKATTSSSSIKLLTRVQQLKLLTKAEKAGLLSAAEEFGLSLSSIEKLGLLSKAEELGVLSAATDPRTPSALLNLSLGLLFVGPLCAYLVPEDYPWETGLQVAVALLCTLGGSAAFAASNFVSNLQKSN >KZN00036 pep chromosome:ASM162521v1:3:1336048:1345185:1 gene:DCAR_008790 transcript:KZN00036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVNTVSAPFHPFGGAVDIVVVEQQDGTFKSSPWYVRFGKFQGVLKAREKIVDINVNGEDANFHMFLDHTGGAYFVREVDAEDGKLLLSCTSSCEEMVELERRPAKCNSWNESIDSSGVVTRLEVSNGTVVSRSGFMGLVFGRNSVKENRSEKDEDAAEIIRAESLERAEIAADLLEVNWSTNLASVKKDKDSDSRVSVPDMLDGAASTNVPIDKDSCLLEGGATNVVNKTFQRQPTVYYEIDSSDVDSDGNDEKDNDTICVKSGGVIMQGITSPGPDTSTEDTSEVETLHVLGDSTCSDGKMHDGSSETKSQNGVVKEISTEPLAFNQIDDSAKEIDSCSTLTNISSSANDPISSVQGDGKRLQPLGKRFCVSEEVGIKFVKTEEMSNSTSASLDEEQFLFSDLDDSKVRHIECMEVIPMNLEDKEKDLSFTAEDNESVNGSSHSEFESPVNYTEEELPVDGEESREEATEISSHIKIPRNNQCSGQEIEGMAESLPNMRSQFDELDKNNARHTLGHSLDLNPKLSKWALLRKNVGSSINSDVGGVNSLSNLQRMTKDAQVLSELKATDTSPATGDSSKTVELSSSGNWGQWLFKRSRSMRDKPLSLDRRRSIDAEMSSASTGSIDGEKEVLDKEVPSKEVPKPKANKKRIRVVSPTTEELASLNLKEGRNTVTFTFSTSMLGKQQVDARIYLWRWDARIVITDVDGTITKSDVLGQFMPLVGRDWSQTGVAHLFSAIKENGYQLLFLSARAISQAGLTRQFLFNLKQSSDTSSVQDGKGLPDGPVVISPDGLFPSLFREDLIFITTCDLSSTNPSQVPSYLYDKKRKPFYAGFGNRDTDEFSYLKVGIPIGKIFIINPKGEVVVNRCDNKSYTSLHDLVNGMFPPRLSSEQDACTM >KZN01034 pep chromosome:ASM162521v1:3:11026789:11027478:-1 gene:DCAR_009788 transcript:KZN01034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPRPFLDSKQPFVTSSGSQVKPDFSWLQTPPRLPGPWWWFISPHKPGSATAPHGKWPGAHPPYPQEPRDQSSSFVGAETEKDSASSSGGQVHSDSFFGSRGQMLPWWWLIQPGGLPHNSPKGKWAHPPLPHSPTPAKPSGHSPASAGLEATRDNDIEAAANAAIEKCWSPLAGVGNCVYDILSAFTTGTVEFDSACCSAINNMAEECVASFHNQEFADTLRNYCSTH >KZN02177 pep chromosome:ASM162521v1:3:30558270:30559217:-1 gene:DCAR_010931 transcript:KZN02177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQEVFGGNVSNHTAAEGLSVGKREASLPPPTGVLQSSSTTTTTTTNPKPSSENSNPLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNNKANISHAISSALEKPTTGKYIRSHILTSENMLQGKASSAYLGGSDHGGLSTHSLLWTSPQTSHLLALLRSNQAQNPDPNYDCSNIINSVKEEGPFLNGSGGFRLDPYSNNLNNQQQSGGFIGQNLNNQDQVCDQRASFKSAENYYSDNYAAANLLMGSGHTPSANCSDILESTPVLGGGHQLVGCWNNASLSRPELPTTDGAYH >KZN00516 pep chromosome:ASM162521v1:3:5981041:5997229:1 gene:DCAR_009270 transcript:KZN00516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVYRTKHADVDAPSFPLFPSHKVERELWEVVSSFFPSYVVILAEDAYGFTLRPQHLQRYREYSSIYKEEEEERVDKWNSFLEQAQPSSSAKVNNKTLHAELTEEKLRPCPEVTLGGEDSNKEKSFSDIPREDDGDNKTPTEKQKSPIQKWAEARPSLDVIMSMSTTPNRVTSTEEMKPSIQESIEDVEDVFHDTLANEGISSPETEHEVLSPKSVVTWKKELEVLVQGGVPRNIRGEVWQAFVGARTRRVESYYQNLLDKEKEVSQEQNKSQSSERLRKQIEKDLPRTFPGHPALDEGGRNSLRRVLIAYSHHNPRVGYCQGMNFFAGLLLLMMPEENAFWTFVGIIDDYFDGYFSQEMIESQVDQLVFEDLMRECFPKLVNHLDYLGVQVGWISGPWFLSIFVNMIPWESVLRVWDVLLFEGNRVMLFRTALALMEFYGPALIATNDTADAITLLQTLVGSTFDSSQLVLTACMGYLAVTEDRLQQLRNKHRPSVVDVVEERSKGALVRQQSKILASKLYSFKHDPESLPMEAITEEESDGQEEKDGHLSTLDPQQSNMDEFIPGISIDSELDAIPDIESQVVWLKAERCRLLEEKRSAILRAEELETALMEIVLQDNRRELTARLEHLQKEVDELRLTLADKNEQEDMMRQVLLRVEEEHKLAQEARISAEQDASAQRYAAQVIQEKYEKAMTSVTAMEKRVVTAESMLEATLQYESDQGKAQSSPRALQLDSAQELQRKKTSLLSFGLSWRDKSKV >KZN03317 pep chromosome:ASM162521v1:3:43523313:43523616:-1 gene:DCAR_012073 transcript:KZN03317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAVEDDCDGVFFLTPFAPNLIRKESCRRTSKELDEAIEAAEKDVKDADEVEA >KZN00415 pep chromosome:ASM162521v1:3:5157703:5160375:-1 gene:DCAR_009169 transcript:KZN00415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSILLQILACALYSNWWPMLSALVYILVPMPCMFFGGGSTQFLTSRDGGGWVDAAKFLTGASTVGSMAIPIILRHAGLIETGAMLIEFTSFLIFVCTVLCFHRASLDDEW >KZN02918 pep chromosome:ASM162521v1:3:39002920:39007123:1 gene:DCAR_011674 transcript:KZN02918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSLIASSPCLSSSCFRSRTAPSSHRVPHHRSISAVHTTKPTSPLRWSVDSWKSKKALQLPEYPDENELEKVLKKLESFPPIVFAGEARMLEERLGEAAMGKAFLLQGGDCAESFKEFSATYIRDTFRILLQMSVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYKGDNINGDAFDLKSRTPDPERLVMAYTQAVATLNLLRAFATGGYAAMQRVTQWNLDFVENSEQGDRYQELAHRVDEALGFMAAAGITPDHPIMATTNFWTSHECLHLPFEQALTREDSTTGLYYDCSAHMVWVGERTRQLDGAHVEFLRGISNPLGIKVSQKMDPNELVKLVEILNPNNKAGRITVIVRMGAENMRVKLPHLIRAVRGAGQILTWVCDPMHGNTIQAPSGHKTRPFDAILSEVRAFFDVHEQEGSYAGGIHLEMTGQNVTECIGGSRTVTYDDLSARYHTHCDPRLNASQSLELAFIVAERLRRRRIKSPNSLFLF >KZN03810 pep chromosome:ASM162521v1:3:48393045:48393317:1 gene:DCAR_012566 transcript:KZN03810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLACRCVNSFPQFRNRLFTTTSLPKPKRRRFPQNIACSSIAATETFTSDAVVVSGDGKNGRKEIISITPRLCKRCLCRAVFSREREE >KZN01395 pep chromosome:ASM162521v1:3:15427049:15434215:1 gene:DCAR_010149 transcript:KZN01395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDSSDGQTNKQVSFSIENSSQHSVNDAADLNSYNHAANSIHSHNLSVATKPVVSAAHILKTLFFILVWYTFSLLLTLYNKSLLGNDMGKFPAPLLMNTFHFGMQAVFARAITWIWSSRFQTTVKMSWKDYFMRVVPTALGTALDINLSNASLVFVTVTFATMCKSASPIFLLLFAFAFRLESPSAKLFGIILVISVGILFTGWLLNQFICCKGNRIPITGIHFCHDCCCYVWLSLDNDSNTASGLQNPLMLMSFIAPVMAVSTGILSLIFEPWSEFGTNVYFNSSWHITRSCLLLLLGGTLAFFMVLTEYILVSVTSAVTVTIAGVVKEVVTILVAVIYFHDEFTWMKGCGLIIIIIGVSLFNWYKYQKLQKGESSEDDMSGSLRTNVPAKYVILEENDDQDAGI >KZN02486 pep chromosome:ASM162521v1:3:33862735:33864054:1 gene:DCAR_011240 transcript:KZN02486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKNMLLLIALTFLLSYTEANPSGFTVNLIHRDSTLSPYFNSSKTPYENLRNSVTRARARSAHFFTQNLDSSSSSFQSPLTAEPAEYLMKISVGTPPVDLLAVADTGSDLAWTQCEPCTQCYQQDTPLFNPEKSSTYVKQTCDSQACQSLSTTSCDDNNKCSYEVSYGDKSFSKGDLAFESLTFESTSGSPVVLPNISFGCGHINGGNFDKFTTGIVGLGNGPLSIVSQLNDTINGKFSYCLAPLGANVSSKVSFGSNAVVSGDGVQTTPFFTSDSGTFYYLDLESVSVGKTNVKFEKKKYTSNKAGADAGNIVIDSGTTITFLPTEFYQNFENEFKSSISSTPVEGAEGFSLCYRKEQGFEEKVPTVTFHFSGADLDLGASNTLLEVEEGVLCLAISQADDDMISIFGNVQQMNYLIGYDLVKETVSFKKSDCTNL >KZN03013 pep chromosome:ASM162521v1:3:40150560:40152545:-1 gene:DCAR_011769 transcript:KZN03013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCPNLDGYEGLETVLEVPIPEETFDSSNKNVSWKNMKSWIKQNGEKSPPSSPFGCRNISDIQLLLSVVGAPLIPLPVHSNHSMSRNIKDHPIEGAMAKYIVQQYIAACGGENALNRIDSMYAMGKVKMATSEFISGDGKIMKSRSIKNGGGEMGGFVLWQKRPDLWCLELLVSGYKISAGSDGKVAWRQTPWHSSHASRGPPRPLRRSLQGLDPKNTANLFSNSICVGEKTVNNEDCFVLKLQANKSALRERSSGSVDIIQHTIWGYFSQKTGLLVKLEDSHLLRIKSKIPDEDSVLWETTMESLIQDYRTIDGVNIAHAGKTSVSLFRFGENSEVSHSKTRMEEVWTIEEVDFNIKGLSMDCFLPPGDLKKEDDGSYGVVATTLPQFKVRSDSTKFGFAKVMAVDVEVECNN >KZN01336 pep chromosome:ASM162521v1:3:14588939:14593791:-1 gene:DCAR_010090 transcript:KZN01336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQNSSSRPADDTVFFSIYPDSTLPPNPNTPAATTLSLLQSLHLEILNFISPYTSNYIYQHQPFTLSLNTTDPIPHLHGKLKFGDNLDDEWFVVFLLLQISKHFSTLSIRVHDSDGEFLLIEAAVHLPKWLNPNTSVNRVFIRGGGLCIVPKSKFASCPGLLEALCFLRDCGESVCRVDQNVELCIRNRIRGFVERVDYNMHTVRVRVPLSVASVLRNEPCLISLAVEGFYDRDIDSMKYAASMSRFLPNGSQEELVVVSVKMSRAMYAQLVQQNFQAPKCYPMPGRSEGRVYSEAELGMKIACGFEMIYQSRKRDGEEGKGSTWEAFKASLERSGYFKGLLPGSKEYKSLMENAEEYYRQSSLHSRASDIMSGPVRRMDEILATSCSHNDFKDQNLPPSDDDSWLYNGEEELSAALLEREREMEMYNLKDEKKQKSKEQQSAGMLSVEDYGLGDIADSMKAFVSKMSSYEGAEVPENRNLENVDFDVERFMKEIESVMKLPHSNDTGSEVAAEEGSSSDMEFDDFDYGSDGMEASSDNEESNSFMGSYSDALNEELKTTTLNKSFIRANEQMVKTGEGTSKDGGHVEEEFTPVDVDINLVKSLIDSFSSQEGLPGPATNLLGLMGLQLPQDAKQNK >KZN03100 pep chromosome:ASM162521v1:3:41296529:41298858:-1 gene:DCAR_011856 transcript:KZN03100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVMHSELQIRMEILEETLFFQLEYSIQQRMIPPEKLEWTDSDQEREEPYQQDIKKRDQQLKESYKREIKIIEILIRSSDMYDKLQHGVECLQRGMEYMKETEDIQQGMDYTLAGIRYIRIIMRPNICPRYEDQQAMEDIKVYLDQLFKLKRMDLRMSRRHEMEFNEETFATIVDSLPHDDHVIEDWKWLKDIPRLTRSDGRGHNYDTEQQMEYLPQGSSDRQGMEYIREPAPASPFVSEGQPTQESNDHQGMEYIREPAPISPFVGEMQATQGSSNRQGMEYIRGPAPISPFSTEVQPTQESNNKQGIEYIRGPAPKSPFGCEVQPTEGSYDKQGMEYIRGPAPTSSKREMQPAHGYNNEQGIEHVRGLAPNFVKHEFQTAHKYYTNQGRKKGFLNNQRNPAADPRLSTCYERQQERKYEDQQGLEDIRDTGGFGAKHVQWQERGSEDWQGMNTIKGWTDQVLIMYSKSEDMNIDKEYNIF >KZN00587 pep chromosome:ASM162521v1:3:6669521:6669886:1 gene:DCAR_009341 transcript:KZN00587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINMRYVLGLVMICVLAGTPKSQGLKVIDNCLKTLDDVPGCLKEVLSSFLTIRTKIGPQCCNALLHIEDKCWRQTFPYAAPSYPWLLRALCKSPPPPPSQKHSLYAKYLASPPAPARKDA >KZN00633 pep chromosome:ASM162521v1:3:7074660:7076948:-1 gene:DCAR_009387 transcript:KZN00633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSIFEEPQIAVSVIDAWKQLQVSLIVLVVAVLMSFMLSIERLYMTAMILYVKSLGGKGKTPRLPHILGPKAGGIVETSFGEGVADLAPGDDVRGKQYDWFPLNVAKPKKGLTVAVLGLGAVGLAVIAEMTDGGVDRSIVCPGHIDAAVILAFGSVHDGWGVAVLVGVPHN >KZN02874 pep chromosome:ASM162521v1:3:38432944:38433300:-1 gene:DCAR_011630 transcript:KZN02874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDVMDENQKAKVDPTVIEITDAPPPPPSDNQQFLLNTPLTTIQAPIMMSNTQIFDTFAAFTNTTKAVSARMDSMEEVVWSLPRDTGKPHEQNRRHHSRGDKSKGRSSRTSPKEGFG >KZN01789 pep chromosome:ASM162521v1:3:25094635:25095414:1 gene:DCAR_010543 transcript:KZN01789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHVLGPPQNFTQLFEIALAFFRTKMPLSAPTFSLILLAVFISWTPTNVHATKSRDLLAAIEEMQRSTYYTFVVLINMAPDDINIQGNVTFLMPNDRILANSTFLSNDVSQFLLRHTIPSSLFFEDLQHFPTGSMIPTLRPDTMLHVFNGGRRHFFLNNIQIITPNICTNSTIRCHGIDGVITQADQHDSNPPQFSCSNPTNVSSSPASAPAPSGSIAPSPTENTITASHSASCRQFSPAGVINFLITCVLVPGLFFI >KZN02064 pep chromosome:ASM162521v1:3:29036805:29038359:1 gene:DCAR_010818 transcript:KZN02064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHQTLLEAADEATAKCDAAAQVLQASLPVAVDAKRLVEAIDKARGKRPMTDCESGGASSSYGGASSSY >KZN02058 pep chromosome:ASM162521v1:3:28965654:28969494:-1 gene:DCAR_010812 transcript:KZN02058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLNSLQLSRTDWKIKIRVTRAWDSFSSSHEFIGMNLIIIDEEDFHIHGFVIPEALEALDHPFYEGGMYIIENFMTRAAIGTLRPVTSDVCIILNESSIVTNIPLEIVRFPRYKFEITEIGDIYSVARNLDPGQPPVYALDIVGIILDKGETVVEATTPGMRQSIRFNLYDGRNMIRVVLNDEKVTLLGHIFDGDYPVDPIVILTSMRPHFRNGVLQVSSTEATKVYANIRYHVVWQIRQRIMFNSLRSLELSKTDWKIKIRVTRTWNSFSTNRELIGMNMILIDIEDYHIHAFVVAEACASLGSYFFEGNMYIIENFATRRSIGYLRPVTSAMCIILNQSTSVTPVPLELGLIARHKFEITELGDVYSIIRNLAPDQFSLKYIKAATDRFDIPVDVLGVMLDIGDVKVEDSAATPTTCVRFNLYDGRNMTRVVCSGEIVQSLLPILEGDFQTNPIVILSSMKPHFHKGVLQLSSISASKAYINISYDAVSQMRRRLIDQC >KZN01715 pep chromosome:ASM162521v1:3:23713494:23724495:-1 gene:DCAR_010469 transcript:KZN01715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRAHLFSSSLQQRSRSFDVLECEDQFEDKLPKIGWTDLGEMSEPSYATLTYEFLSSLTVSSNGTLSFKIANVQHEVTEAQLATMFGWELIEQQAPPENYATPFWLKITRLPLKAMFHSLPILQKFLKIVDGWPSELNADYLMGMHMLQRQKSAYVLVTHKRAALNATEEVNEEIAQKPTLEDQVADLQRNGDGGMNAGMCPNRAESLPMNTRTRSLVLVNYFPDTPSSTQACKSNSAPLADMVNTCRVVAGFVEMIAAYQSANDKEWFQVLNQTVTALAQHDMILALLEGMALYSISMERVISISA >KZN02154 pep chromosome:ASM162521v1:3:30288770:30293343:-1 gene:DCAR_010908 transcript:KZN02154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDTRGKKRAFITISSDKDDDDCYQDISSSTSCDDDDDNVGDEESGSEFEEDVLDSSAASDDDNFCNADDGVDKEGDICNKVTRLLEEGSQLEELNLFECKTYLRKLKLRLSGTKAECINRIKEYWRIKDGNGEALYPRSSFDINCTGDVCKGDIVLFTQKVYQKFDKMRRSGNILGKRTIAGRITKESYGAAKQQHTFTVEVFWSKGIKKLAPLSPLLVKGRNLYKMRTYRRCWKNESERLVVLAEKHKRGAAAREVRARKKLKRSSTKASKKELRIGGGENCQKAFNYQLSQTSQSIDADKTRGVRQHQYTQLRNVMENDHHKNSLSIGRRESNQLNQSRKCEPVRRHQNFNHHNKVRDPIYQSYTTSSRDYYHTRTEYERQRAHLGYPFERTHTRLPHSRPYGDDHTFTVVEHQRNNNGTYPHYTDPNPNYDLGARSSSRLPDSMNTFRPSHPLPYRTYAYVHGRRGT >KZN03595 pep chromosome:ASM162521v1:3:46115262:46117844:-1 gene:DCAR_012351 transcript:KZN03595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVLEFFSRSGYIFVVCIASGDDVIYHTCNKSVQGSHVYFCSRHNDLRSFYLHKSSCAELPMSVYLHEHSLSLQEDFILGENAACNICKKRVLGSPTYTCVDCQNFYLHKSCAEFPQQINHHKHTSHPLSLFPRSADGCTCDICGRDIKVSYACVDCDFDVCVFCALEPRVLHHQGHKEHALTLMNKESLFECDACQEEAKDSSYVCTTCEFWIHKSCAYSPLIIPSPTYHNHPLALVYSVPDIHLFFSQYCGICRRYVCPSYWVYYCHKCTYFVHMKCSTSTISMVTEIEADDDVDNQPDLVLFPLPSQESIFDLLVTRCCESEDNFKGKGEIMSITSSDPHVIEKHWSHEIHPLQQLQFTIYEDDSDDSDDDRRGLICNGCVQPITVSYPSYYACIQCGFFLHSLCATKLPQNLPVGALHVHPEHSLLLQMKDKFYDIVSCGVCKYSTNGFYYHCQDCDICVDIRCAFLPARIKYKSHKHHSLVQRPSSNSTCSVTRYKNKVGMEYACETCSNFQIHISCAIVPSKMEHKYDVHPLTLKIPPFFFEGAFYCEICEERVNNQELLYHCRESEHSYHYYCGFLVSNIKLGGTIKVFIQGVTIAGTTIKTGDDCVSIGPATRNMWTRYQSIDGHFYDYSIGSLGKDANEDGVQNITLINSAFNSIMACEFNHG >KZN01057 pep chromosome:ASM162521v1:3:11223827:11225080:-1 gene:DCAR_009811 transcript:KZN01057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGAFHKQQGVEQSSFTLNLIDAELQMVKRTGSNLISSMKKSKILCLHGTHSSAAILKEGLEVWPSNVLDRMDLVFIDAPFRVEDEDFPAFTWFNAQDVTKMNIMFNESIAYIEETMVKLGPFDGVLGMSMGACVAAALPGMQAQGVALTKVEDLKFVMVMSGSKLECIGGEAPKLAENAFSSIIQIPSLHCFGENDFTKLNAIELLDSFLDPFVIFHSGGHEIPKLDEKGLKVMNSFLDKVQASFAAPKAIRSLM >KZN00414 pep chromosome:ASM162521v1:3:5127971:5128750:-1 gene:DCAR_009168 transcript:KZN00414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVDLEALVAVCRVGSSGRKIACEPLDETDHNHVEKLPDSILLSRNAEFDWLDRNAVLERKDSAKRNAAIRNNSKSSSQRFAKKPKAVIIGLPKTQKNNQFDMRRSKVTNVRLFPPKRSESIEKARAQMKEPSSPKVSCVGRVRSKRSRRRRPEAEEKAKQGKKKGFCANLLALFGLNRGDRSVIKADGLSGTCKKKARENKESVGRNEVVMAPGLGGMARFSSGRRSYEMDQGDGARLSGDSGRSMELKRCGSTRRN >KZN01147 pep chromosome:ASM162521v1:3:12194144:12194341:-1 gene:DCAR_009901 transcript:KZN01147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMTANIISKYTEKKGKETSVGVKNESLGEEINSNYDINIWNISTFIPANLHLFLMGNPPGFFL >KZN02567 pep chromosome:ASM162521v1:3:34667352:34667720:1 gene:DCAR_011321 transcript:KZN02567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANSSNANSGDSREIPDLDLCFCDLRVVESYCWFEENAGRKQVVCPKGWKGCAYSRWIEPPHEERSVAVIQKVLKELNDNKIRHSLQVSRIHGKHAKKIKHIKSMIQAVHTDDDDSDGEE >KZN03184 pep chromosome:ASM162521v1:3:42204875:42207018:-1 gene:DCAR_011940 transcript:KZN03184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFMVTSFLGFVLASLLAISLQIFFFTPTYPHNLQFPSPPVTFPTNNHLQKVAKLGEGMLLYPEDVCVDNKGMLYTATRDGWIKRMHSNGSWENWRKFNTDTLLGLTITAANDLIVCDCIEGLLKVNEDGVSVLASEFNGTKLRFADDVIEASDGTLYFSVASTKYGLHDWYLDALEAKPYGQLLKYDPLTKEVSLVLDNLGFANGVALSKDQDYLIVCESWKFRCLKYWLKSEIRGKTEIFIDNLPGAPDNINLAPDGSYWIALIQIYSSKLKFVHSSSAIRHLIITFPRLINLVNPATTRATVVNVGEDGKIIKMLGDQDGKVMSFVTSAVEFEDNLYLGSLNTHFIGKLPLKTT >KZN00801 pep chromosome:ASM162521v1:3:8829968:8844798:1 gene:DCAR_009555 transcript:KZN00801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRSSFPSRLRQLLSSQRAITPSIKPVSDTPPEIQAFIDKVILCPLQDIEIPLSGFRWEYGKGNFNHWRPLFLHFDTYFKAYISCRNDLLLADELLEGDVPFPKQAVLQILRVMQIILDNCHNKGSFSGLEHFKLLLASTDPEILIASLETLSSLVKISSKLHLSGKLVELGSINSCLLSLAQGWGSKEEGLGLYACVMLNEKTQEGGLSLFPPDLQNETDRSQYRVGSTLYFELHGVNSQNVKGSSVINIPDLHLRMEDDLSLLELCIKQYRVPPELRFLLLTRIRYAHSLRSSRISKLYSRICLLAFGVLVQASDSHNELVSLLANEPEYTNELIRIVKSEDTISANIRTLAMHALASQLAAYSSSNERATILNGSSINFNGGNRMTLLTVLQGAILSLNSSNDPSSIAFVEALLQFFLIHVISMSSSRNGTRGAGLVPTFLPLLKDSDMSHMHLVFLAVKTLQKLMDYNNSAMSLFKDLGGVELLINRLRTEVDRVIDATATGDQLMNNVESSRHFFDMIYSQKRHIRILLKALRSATFAPANTLRSQNSVSLPSTLSLIFKNSETFGGDIYSSAVAVMSEIIHKDPTCFSVLDDLGLPDAFLSSVVVGILPSSKALMCVPNGLGAICLNAKGLEAVKRTAALRFLVEIFTNRKYVLAMTDAIVPLASGIEELLRHVSSLKSVGVDLIIEIIKNLSLIGDSKHSVPSEKVVGSTSMETDTEDKANRSSCLLVGETDPTSKGVDDEQFTQLSIFHVTVLVHRTTENSETCRLFVERSGIEALLKLLLRPSIAQSSAGMSVAFHSTMVFKGLIQHHSAPLAHAFCSCLKYQLKKVLTAFHAVAGSFLLDPKVTPDSELFSSIFLVEFLLFLGASKDSRWVTALLTEFGNDSKSVLEDIGCVQREILWQVALLEDAKLQIEDDDTGSGQESLQSESGNNEFEDQSVDSFRQFLDPLLRRRTPGWSFDLINMYRDLTRASGLQQRQGRNGTSSFRLGANQHLHQPGASVSIGSSGKEEDGKQKSYYSSCCDTVRSLSSHITRLFQELGKAMCPPSRRRGDMINVSSSSKSVASIVASVALNHMSFGGHVLSGSEASVSVKCRYLGKVIDFIDGILMDKPESCNPVLLNALYGRGVVQSVLTTFEATSQLLFSVKRTPASPMDTDEGILKQDDRDETDHSWIHGSLASYGKLMDHLVTSSFILVPSTKHLLTQPLVNGDIPFPRDAETLVKVLQSKTLMVLLPVWMHPHFTDCNHDFVATVVSIIRHIYSGVEVKHVSNALARPSGPPLNETTVSTIVEMGFSRPRAEEALRQVGSNSVELAMEWLFSHPEEVLEDDELARALVMSLGSSGSDTREDLTNENSEQIEEEVVELPPVVELLSTCTKLLHMKDSLAFPVRDLLLLICSRNDGEYRSIVISYIIEQVKLFIVISDSGSSNMLASLFHLIALILNDDVASREVASVSGLVKVASDILSGWISGLHDSGVPQVPKWVTSAFVAVDQLAQVDQKLSDDILELLKNGDDSMHRSVVINDEKPSKTDLAHGLKYINILEQKRLVEIACSCLRNELPSETTHAVLQLCSSLTRNHAVAVSFLDAGGLPLVLSLRSSSMFVGFDNVAAAIIRHILEDPQTLQQAMEHEIKHSIATTTNRQSNGRLTPHNFLLNLTSVISRDPVIFLRAAQSVCQVEMVSERPYIVLLKDREKDKVKEKGKEKEGREEKDKSQTTDVRGVHGKPCDINLKNAKVHRKPPQSFVNVIELLLDSIITFFPVKNEMIAGDCSSSVDMDIDVAASKGKGKAIASAPEEIEVNKEESSASAARIVFILKLLTEILLKYGSSVYVLLRKDAEVISCRSLPLKGPNGMCSGGVFHHILHEFLPCSRNSGKERKLVSDWRHTLASKASQFLVATCVRSTEARKRVFMEIASVFKDFIDSFEGFRPPESIIQSFVDLLNEVLVARTPTGSCISAEASVTFIDLGLVRSITQTLHVLDLDHADSPKVASGIVKILEVVTREHVRASENNTGKGESSKKNPEQGLNGRTDSGPNTSQAMENMLQTNVDYVPSNHVESTNTVQIYGGSEDIIDDMEHDQDYDGGSAPANEDDYMHETSDDTRGLQTGLDTVGIRFEIQPDVQENLEENGEDMSGDDSEEDDEDEVHEVHNGLADEVTLGDGMNGNNMFDHIEALGSEQNISSELHVMPVDVFGTRHQGRTTSIYNLLGRMGDSAATTRHPLLGEPASSLPSNPPRRLDSVRDIFAERNSESSSLRMSSIFRSLRTVRRTLPLNLWADDNQQSSGSNASAVPQGLEDLLVSRLTPAESNKPSRESTAVEPQGKSEANQPQPELIIETASGDNRSSEINYTTPAPSSTLPDASASFYIRPGANGLQEVVDSSRSLSQSAGMQFEHGDAVGRDVEGVSLAGFEAPAGDILHGLDVETGSAVGHEDAAERQEQADAQTRGVNISFGNTEPPSGTDIPLLSVNDVSENRSQEADQAASAGELQNGGDADSRSIDPSFLDALPEELRAEVLSARQSEIAQPSNTERDPEPQNEDIDPEFLAALPPDIREEVLAQQQAQRSHQSRELEGQPVEMDTVSIIATFPSELREEVLLTSSDAILSNLTPALVAEANMLRERFAHRYNRTLFGIHPRNLQGESSRRGDGIGSILDRTGGVILRRSLGSMAVEADGAPLVDTEDLKAMIRLLRVVQPLYKGQLQRLLLNLCAHNETRTALVKILMELLMLDMRKPTSPLGSLEPSYRLYACQSHVAYSRPQYCDGVPPLVSRRILETLTYLARSHPFVAKLFFQFSMRPSAPESSSLDVIRGKAVMIDEDKIVKLQYQEEYLSITLLLSLFNQPLYVRSLVHLEQLLHLLNVIIDHAESRPKSSDAPGPSSTEHISVQITTSNAEIDIGSAGTSSGSDARSSKADDISKLSASNIKREFDSQDVLRNLPQGELRLLCSLLAFEGLSDKAYALVAEVLKKLVSIVPVHCHLFISELAGSIENLTKCAMNELHVFGEFEKVILPATSSDGAAVLRVLQALSLLVAPLSVKDKNGQVLPEKEHAAALTLLGSINATLDPLWFELSTCVGKIEKYSDSLQDLSISAVASTSKPSRVMPPLPAGSQNILPYLESFFVMCEKLNPVQSGAGHDNGGDVVSNVEEATTSDRKPKTSGSAYKVDDKQIAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRIIDFDNKRAHFKSKIKQQHDHLHHHGPLRISVRRAYVLEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYFKNLKWMLENDISDILDLTFSIDADEEKLILYERAQVTDYELCENGRNIRVTEENKHQYVDLVAEHRLTTAIRPQINAFLDGFNELIQRDLISIFNDKELELLISGLPDIDLDDLRMNTEYSGYSAASPVIHWFWEVVQGYSNEDKARLLQFVTGTSKVPLDGFRALQGISGLQKFQIHKAYGSPDHLPSAHTCFNQLDLPDYPSKQQLEERLHLAIHEANEGFGFG >KZN02619 pep chromosome:ASM162521v1:3:35217320:35221110:-1 gene:DCAR_011373 transcript:KZN02619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDANSGFKNLKETYIVDVKKAERKALNVPLISPITVATVEIEKVDHVAIRIELVDGCVGWGEAPILPPITAGDLPLAMSKVAEACDFLKRSPPMTLGLVLGEVGSILQSHSFASVRAGVEMALIDAASYSVGKPLWRLFGGVSNTITTDMTIPIVSSVEAAQLASQFREKGFKTLKLKVGKNLKGDIEVLQAIHAAHPDCAFILDANEGYTSTEAIQVLETLHEMKLTPVLFEQPVHRDDWEGLGRVTKIAKEKYGVSVAADESCRGLADVKKIVEENLADVINIKLAKLGVLGALEIIELARASGLHLMIGGMVETRIAMGFAGHLVAGLGCFKFIDLDAPHHLSEDSVVKGCEVYGPVYKFPNARGSGCFLDWDNIMWSLTCTPVSYVPPAFQCFGKLLAVATHFSSPLSSLSSILIDKAPAGGEVVAHTAP >KZN02152 pep chromosome:ASM162521v1:3:30268786:30269061:1 gene:DCAR_010906 transcript:KZN02152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSSIILFFLVLIVANEVAGIQGRKVELGKKSQTAAVKVMSLGQEGNKSSSSSTVASPRQNSGQITTQDAGDVDAFRPTTPGHSPGIGH >KZN03553 pep chromosome:ASM162521v1:3:45698389:45700706:1 gene:DCAR_012309 transcript:KZN03553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISEKDEEGISREIKNYPQPADYLFKVESFSLLEKNGIERIESKTFEAGGHEWELWLSTEGDSNHIGSSLSVFLVLLDTPSNSRDDRVKVQFTLKLKDWIDKNHLQKTEIVALSLADTVMYCVAVGVLLLLAHNV >KZN01931 pep chromosome:ASM162521v1:3:27107213:27113760:-1 gene:DCAR_010685 transcript:KZN01931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSLSEPALKAQFSQRVLIKTILSRPDGGAGLAGQTVKIGGWVKTGRKANKDEFAFLEVNDGSCPANLQVIIYATISPLDQLVSTGACVHVEGKLDVPPEGKNKQKIELKVEKVLDVGPVDPSKYPIPKTKLTLEFLRDHVHLRARTNTIASIARIRDELAYATHTFFRKHGFRYVHTPIISTSDCEGAGEMFQVTTLFSDTEKLDKELIANPPPSQADLEAASVLVKEKGGVVAQLKSAKASKSEISAAVSELTKAKEIFSKLEERSKLKPGIPKKDGKVDYSQDFFARQAFLTVSGQLQVETYACALSSVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADLEDDMRCAEAYVKFMCQWLLDNCLDDMKFMVEKYDKGAIDRLKMVASTDFVRLSYTEAVAILEEVAKEKVFENKVEWGIDLASEHERYLTEVKFKSPVIVYNYPKGIKAFYMKVNPDNKTVAAMDVLVPKVGELIGGSQREERYEVIKERISEMGLPLDPYQWYLDLRRFGTVKHSGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >KZM99981 pep chromosome:ASM162521v1:3:777592:777963:-1 gene:DCAR_008736 transcript:KZM99981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLTHNMLSSNIKGVTNGFPLKIEAEKIIERPVEINPVFLKNMFAKLEWKPLVEASRTMGFSELPEDVPDMSVLDSDEFLQKFHHALLEIHLEEGALVCPETGRKFPVQKGIPNMMLHEDEV >KZN02061 pep chromosome:ASM162521v1:3:28987080:28989348:-1 gene:DCAR_010815 transcript:KZN02061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDGSGGGIPPNSYVAGRKRRGSGSALDDFLHDRMAKMQQRGDTNSGVNQKAGHDLQENPGTPLLSLHNNSFINTPSDRNDLQKTTRPPLSSLGSNSLFNKASDYNDTNQTFKRPIHHNAVPSQNHVESTADVDFQDLNDKENTPVSTAQQKPGSSRKCRGPSIQTILDGKSCGLSASTKNQSDVKKRGREPGVNKLFNSLHEVIGSSGVINQETKKRVRGLGAKTLARRKLAQDAQNATMESAPIKHCHEVSADVPGSATPKSALTFQGGASDGPKSTPELYRSHTSQNTVGHAQTSTISSCVVEKIGRDDCRRVFRPHSGASTSGAKDLLGEFDDALEQSDFIEDVYMQGTVVQPCISV >KZN03438 pep chromosome:ASM162521v1:3:44663162:44663845:1 gene:DCAR_012194 transcript:KZN03438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSHVQCTLILLVALLQGALSFTYNVTNVLPNTPGGIRFDKEIGVDYSIQEMGTINQFIYKLFDQENNPGDRRPQDFVQLYIDVHAADATAVTLGNTINMSSIFIQDYEGDVKWGFTSILYHEMTHVFQWLPNDAPDGLEEGIADYTMLKANYFPPGLAKPGDGDSWGQGYDVTARFLEYCESLLETFVAQLNKKMKDSYNDSYFVDLLGKPVDTLWKEYKQKYHH >KZN03647 pep chromosome:ASM162521v1:3:46605374:46607873:1 gene:DCAR_012403 transcript:KZN03647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNITGEEGSFSSGNTGEEVQQLHHGKQQQQQFHGSLPSDSSPSLPAAKKKRNLPGTPVLKVLGGLCRRDSFITHRAFCDALAEENSKVNQGLMNSMGSNLQAQMPHELLSSMPNSTSMAMTEFNNNYDNKSPMKSLPSDLVAFKPMNMAGGMFSTSSGTLFGGQRNNAPSSSSGLQLSSNSPASFNGQGQVSGTAHMSATALLQKAAQMGATASNSINSPMMQKSFVSSMAGPMMQSPGNNSYENFQTQPDQTTMVGITPGGAYGNAQMLQKGPQEVAQMFGSGPANSPTMSDMGMFSDMLMGNDQQNHAGFIKNTGNQDNDGTMGWNTTGPSRFGGLGGPAGVAPPGGGSGGNDMLTVDFLGIGGGSRPPNVHEQQQRMQMANPFQQLSHAESDMEKPIWDV >KZN01954 pep chromosome:ASM162521v1:3:27379696:27380100:1 gene:DCAR_010708 transcript:KZN01954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSSSPFRGSSKSDHRKRPAGYNATFNGRRKSAKTVTLGGSPKRSWRIRTVPKLKFKLILSSPMRLWRKLKNRYMNLMLNLGSSSSAKGFGEKRIPKARQSSTTTYTTTEFDNRLVFEIYKSLMTSHEMATS >KZN02330 pep chromosome:ASM162521v1:3:32109566:32110686:-1 gene:DCAR_011084 transcript:KZN02330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSNEHIELPPGFRFHPTDEELITHYLHPKVYNINFSAAPIGTVDLNKVEPWDLPGIAKMGEDEWYFFCVKDKKYPTGSRTNRATKAGYWKATGKDKEIYRLKKLVGMKKTLVFYEGRAPRGHKTNWVMHEFRLEGKYSNNATTNDWAISRVFHKDSSGKKTHISLVKTGESQHSNLPALIDTSRTSQGDGSHVTCFSAQSENIKPHEDLFENLNFFVPPASSSSPALPIQNTYFPTQFSPQHPESFLMQDESIFNYFLENNGEQTKTSTKTEYSEDTCGMSTDLSCGQRCREDEAYPITSGGPVDLDCLWNY >KZN03664 pep chromosome:ASM162521v1:3:46718584:46719095:-1 gene:DCAR_012420 transcript:KZN03664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQPVAYPIRISLIHNATTTLKLANNVRKHRTGGLRLFQLRLSEESSVVFPDQEADCC >KZN03939 pep chromosome:ASM162521v1:3:50066609:50068066:-1 gene:DCAR_012695 transcript:KZN03939 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MDCMHKKLSVLMFPWLGHGHISPFLELGKKLAQRNFTIYLCSTLVNLKSIQKKVGFEESSIQLIELHLPNLPDLPPCHHTTNGLPSHLMPTLKQAFDMSKSSFFDILTKYKPDLLIYDFLQPWAPEAAFKLDIPAVQFITSSTAQTAVLFHAYKKPGVEFPCSKIYFRDYENANVEELRKSVDDHKRDRSNLVIDCIERSSKVVLIKGFKEIDDNYVKYLSSLCGKKVVPVGPLVQEPKVNAEDENLETIKWLEKKEKGSTVFVSFGSEYFLSDEDFEAIAQGLLHSEVNFIWVVRFPLGAEVSLEEKLRKIGFYDRVGGRGMVVEGWVPQAKILGHKNIGGFVSHCGWNSVIESMKFGVPIIAVPMHIDQPINARLVEEVGAGIEVLRSQDRKLEAEQVASVIRRVLEDEFVRGKVDDLSCQIKMKRDEEIDQVAKELKKLCVQRKHDVEAEVEPGCCKEILELMGRPNGWFAKFGKQLLNLPR >KZN00402 pep chromosome:ASM162521v1:3:5025090:5025338:1 gene:DCAR_009156 transcript:KZN00402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERINSELYIENCYIMQENEKLRKKAQLLNKENQALLSKLKQKLGRTNNTQNPDQSPDPNVPKFNLCTKASNNPTSSKPQGA >KZN01104 pep chromosome:ASM162521v1:3:11717097:11718774:1 gene:DCAR_009858 transcript:KZN01104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSAVSAMDAFEKLEKVGEGTYGKVYRAREKSTGKIVALKKTKLHEDSEGVPSTTLREISLLRMLSRDPHIVKLMDVKQGINKAGNTVLYLVFEYMDTDLKKFIRSFRQTGENIPSPTVKSLMYQLCKGVAFCHGHGVLHRDLKPHNLLMDPKTLALKIADLGLARAFTLPIKEYTHEILTLWYRAPEVLMGATHYSTAVDMWSVGCIFAELINKQALFAGDSELQQLLHIFRLLGTPNEEMWPGVSRLKNWHEYPQWKPQQLSSAVSHLDENGLDLLNKMLRYEPSKRISAKEAMEHPYFDGLKKDSL >KZN00176 pep chromosome:ASM162521v1:3:2756269:2758015:-1 gene:DCAR_008930 transcript:KZN00176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFICAVKAFVVFMLSISGVNSAGCLPSPRVPFGPMTQRPCPFRKYVERSIPVQGVCQNDPLNLSDQATRNIGRPKVTISEDVLERRRLSKRRQNTRQTGQQGLYAKLAYSPGKEDEYVNRPSKYWDICGDRFDPGGHIGSFYQLSLAESEFEVMIQEGEEDDI >KZN00844 pep chromosome:ASM162521v1:3:9189297:9190058:1 gene:DCAR_009598 transcript:KZN00844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGENENFSAFYERWITQLGEYVDMLSELSNQPFDSNNKSNVEAVVEKVITHHKKYYSAKWAAAHQNALPFFRPRWLSPMEHGNLWFTGWKPFIAFGLLDTMRRNQVPGLSTLKELSEEQLEKIEKLKIEIKIEERKVERDMERQQMVIAYEPSAQLAQLMAQVSDGEIAMANIDGLVDSNMRSFAAGMEKVMKRADYARLKTLKFVLEILDLKQSVQFLAALTKWQTNMRNWRIKNNNTSQASTSASPPST >KZN02829 pep chromosome:ASM162521v1:3:37820572:37826916:-1 gene:DCAR_011585 transcript:KZN02829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRHYRSSDSSSDDDEYKKNKRGREDDERVGRKRSDDVDKEDEDRKHEDDDKKNGRRSSSTRRSDDIRDRSGRRSRHSDVDDDLEEGQIRKTSKSDDNGEKRTSRKEDRRDDNSKQETTNVLPPPPPLDSRTGGVYIPPFKLARMLNQVEDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCIKSQMASPGFTDVFAALVAVVNTKFPQVGDLLLRRIILQLQRAYKRNDKPQLLAAVKFIAHLVNQQIVHELVALELLTTLLENPTDDSVEVAVGFVTQCGSILQDLCPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAIRPELDLVEQEDQLTHEISLLDQIDPEIALEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCLINKIHQENFEKCFVEQYSMIHRLETNKLRNVAKFFAHLLGTDALPWQALAYIRLTEDATTSSSRIFIKILFQELSEHLGIRLLNERLSDPTMQDTFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQKPVSESEDDSDTSSADSDASSSESESGSSSESESESDDRQKKKRRRR >KZN03681 pep chromosome:ASM162521v1:3:46867259:46870090:-1 gene:DCAR_012437 transcript:KZN03681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKVKLAPAPKYHPLESFWDTEDDYPGPRCGHTLTAVAATENHGPRLILFGGATAIEGVGSGIRLTNVTNDLYSYDVEGNKWTRLRPSGEPPSPRAAHAAAAISTIVVFQGGVGASGQSNDDFYVLDLSEDKLKWRRLVIQGEGPGPRYGHVLALVAQRYLVCVSGNDGRRARSDAWALDTAKKPYIWNKINPEGDKPPARMYAAGCARWDGMLLICGGKNTSGTVWSIVLSELKNIDF >KZN00062 pep chromosome:ASM162521v1:3:1561360:1562751:1 gene:DCAR_008816 transcript:KZN00062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLVDMGRLFAARGVTVTIITTPHNALLFRSSIEQGLESGHHIAVHELNFPSREVGLPEGIENFNAITSSEMSSKVFYGIMLLRKPMEDLIRKLSPNCIFSDMFYPWTADLASELGIPRLMFYPSSFLYHCVTHSLGIYAPHDSVESETESFLVPNLPEKIEMKRCQLQEHVKIKTRYGELIKAIKESEQKSYGLVHHTFYELEPAYADHYGEIKKRFEIKSPLLGREMIQNALSRLMDGSDESAMIRRRAKEIGVKARGAVMEGGSSFNQLTSLIEEIKATMIT >KZN03637 pep chromosome:ASM162521v1:3:46530104:46531952:-1 gene:DCAR_012393 transcript:KZN03637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQREDKIFITVLLADTKDPKVNLEPEGVFKFSASAGAENHSYELKLDLFDKVNVEESKINISPRSIFCILEKAEIKWWDKLLKGDAKTPHYVKVDWDKWVDEDDDPGT >KZN01294 pep chromosome:ASM162521v1:3:14150784:14154248:-1 gene:DCAR_010048 transcript:KZN01294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKAAAAANLMLCLFLLVLTFFEISTADNYVRPPPRQTLHFDFRSKSSSKLPQQVHISLAGNNYMRVSWVTTDKSSPSTVEYGKSPGEYSSIAEGETTSYKYLFYKSGTIHHSVIGPLEPNSIYYYRCGGQGPEFQLKTPPSKFPITFAVAGDMGQTGWTKSTLDHIGQCNYDVHMLPGDLSYANYLQHRWDSFGELVQPLASARPWMVTEGNHEEESIPLLKDGFESYNARWKMPFEESGSSSNLYYSFEVAGVHAIMLGSYADYEEDSDQYSWLKADLLKVDRKRTPWLLALFHVPWYNSNTAHQREGDRMMSAMEPLLYAAGADIVLAGHVHAYERSKRVYQGKSDPCGAVHITIGDGGNQEGLANKYKDPVPEWSVFREASFGHGELKMVNSSHAFWSWHRNDDDEPVRSDQVWITSLVSSGCVDMKNYELKEKLVAP >KZN02857 pep chromosome:ASM162521v1:3:38100474:38115072:1 gene:DCAR_011613 transcript:KZN02857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNLDDDFVPKTSDGSGGDGYTGGFPTGGGGGNDDGGSEDGGAEHDDEDKNEFGPIMKFEEVMKEAETRGATLPMDMLEAAKITGLRRLILTRYLDLQGSAWPLGFLMKYCTMLRNRMLADPSFLFKVGTEVVIDSCCATFAEVQKRGKDFWSEFELYSADLLVGIVVDIALVGMLAPYARIGKRAVSGGFLGRMQHVVGALPSSVFEAQRPGSNFSLQQRIATYFYKGILYGSVGFGCGLIGQGIANMIMNAKRSMRTSEKDIPVPPLVKSAVLWGVFLAVSSNTRYQIINGLESVVEFSPLAKNFPPVALAFTVGVRFANNIYGGMQFVDWARLSGVQ >KZN03234 pep chromosome:ASM162521v1:3:42760395:42760718:-1 gene:DCAR_011990 transcript:KZN03234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLSCRGGKSSWPELVGKEGHIAAATVERENRHVRATVMREGSPTTQDFRCDRVWVVVNNRGIVVSPPHIG >KZN00651 pep chromosome:ASM162521v1:3:7257666:7258133:1 gene:DCAR_009405 transcript:KZN00651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVVPSFSYKSKKRLIIAVADEVSLYCAIALVVLFLLAFVRQIDSAGSNDQEQEAINAFLGDEEDCRQHDHQRRLCDEIYVVEQGETLQTISNKCRDSFIVERNPHIHDPDDVFPGLLIKIIPDSNSLIGSSDRQPSRFSLVRFRFNSIALKR >KZN03471 pep chromosome:ASM162521v1:3:44912423:44914144:1 gene:DCAR_012227 transcript:KZN03471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAALVPRIKLGSQGLEVSAQGLGCMGMSAFYGSAKPESEMIKLIHHAVTAGVTFLDTSDFYGPHTNEILVGKALRELGLRGKVELATKFGLSTKEDGQIEIRGDPEYVRAACEASLTRLGVECIDLYYLHRVDIRVPIEVTMGELKKLVEEGKIKYIGLSEASADTIRRAHAVHPITALQMEWSLWSRDLEVDIIPCCRELGIGIVPYSPIARGFFASGPELIQNLAEDDWRKNMPRFQPENLEHNKRVYEQLSAMASRKGCSPSQLALAWVQNQGNDVSPIPGTTKIENFNQNVAALSVKLSKEEMAELESFGAADVVKGDRHAYMAFTWLNSETPPLSSWKSE >KZN01849 pep chromosome:ASM162521v1:3:26072230:26075315:1 gene:DCAR_010603 transcript:KZN01849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGFIKPIIIEDISDDHGETTEDEPLSPAARLFQSPELNCSIIVVLGCNTPINVPAFVSGFMKSVAKHPRFSSLLLTDDKNGEQMSWRRTKIDVHKHVYAPDLDPNMKSADDYVEDYVSSLTNTGFDITKPLWELHFLNVKTSDANGTAIAKIHHSVGDGVSIMSLFLASSRKASDLDSLPSFPLPKTKRPTEFKNLCHGFLEVLYTMWTAILMFFNTFVDVMNFTATALFSKDTDTPLKGAPEDVRLSSKRIVHKIFSLHDIKLVKSAMNATINDVLLGITMAGISRYLYGKYAPRSCEDEKDYATTRKKENNLPAKIRVRSLLVHNLRPSTVVESLAEMTGKEKKLVWGNKIGYVLLPVTIALQDDPLDYVRKAKAIADRKKLSFEAILSYSIGRFVLKFLGIKQLAAVMNKVTSNTTIAFSNMVGPTEDISFYGHHLSYIAPGTCGQPQALTVHWQSYGEKMILVLTVDPDVIPDYKNIYADMEASLQLIKDAVVQRGEAVPV >KZN01721 pep chromosome:ASM162521v1:3:23850298:23854713:-1 gene:DCAR_010475 transcript:KZN01721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDTNASNADSNSVISPFGELSVHLSDSELRETAFEIFVAASRSAKAGRTLNYVSSSSYSPGKKVWAKNLVGSQQNSRAVSMGEVMRVQMRISEEFDSRVRRALLRITAGQLGRRMESLVLPLELLQQLKASDFSSVREYEASQKRILKVLEAGVLQHPHMPLDKTNAAARKLREIIQGGLERPIDTGRHSETMQALWNNVMSLACRSFDGSVSDICHWADGVPLNLRLYQTLLESCFDINKATSVVEEADELLELIKKTWGILGINLAYHNLCLSWVLYDRYVATGEVENDLLNAAHKLFLEVEKDAKGTKDPAYFKILSSALSSVLSWADRKFTAYHETFYRGNIDVMETALSLISLANNILVEDMSHEYGRESRKVDISRDRIDNYIRSSMRSAFIQANTCDLAFNEKEIYSPLLKRWHPLATGVAVATLHACYAKELKQFVSGISELTPDAIQVLIAADKLEKNLVQMAVEDSVDSEDGGISIIQEMTPYEAEVVITSLVKSWIRTRIDRLKEWVVRNLQQEVWNPQANKERFAPSAVEVLRIADETLEAFFLLPILRHPALLPDLMSSLDKCLQYYILTTVSGCGSQGKFLPDIPDLTRCTAGSKLQAVFRKKDMLVQRRKPRVGVINEEDNMGIPQLCVRINTLHHIRKDLDILENRMITHLRNAGYTQSNDMGKIFNLSQTACLEGVQQLCVATAYKVIFHDLSPVFWDGLYVGEVSSSRIEPFLQDLEQYLEIMSSIVHDRVRTRLIADVMKASLDGFLLVLLAGGPSRAYSVEDSIMIEEDFNFLTDLFWSNGNGLQTELIDKFAVTVKRILPLLYMDTQSLIEQFRTSILNVYGSSATFKLPLPLTSGQWNPAEPSTILHVLCHRNDKQATNFLKKTYNLPKKL >KZN01274 pep chromosome:ASM162521v1:3:14019102:14019889:1 gene:DCAR_010028 transcript:KZN01274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLFTRIHESKAPYVFGLLGLFFLVQTGNAFEFIVGGANGWAPSASDALNQWAESQRFQIGDSLADQDSVLYVTKDDYTNCNTAYPINKFTDGHTVYKFNQSGPHYFISGNEEHCKKNEKLVVIVLADRGSKYGNSPPPLESNPSPPPYSYSPPPVESIPPPTYSNSPPPVESNSSPPPTGEQNPDTPSDTNPPSPHKNGAAALPSITSFLCSTGALLGSSLVLVF >KZN03836 pep chromosome:ASM162521v1:3:48845466:48848643:-1 gene:DCAR_012592 transcript:KZN03836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWQVYCFLMFFCYIGKAEVDNEVSILLAIKEKLIDPLDQLKDWEAPNRSRENGSVHCKWTGVSCNSDGFVEKLDLSYKNLSGIVSTEIQNLKSLSSLNLCCNGFSSPLPKSLSNFNALKSIDLSQNSFVDSFPMGFGNVVGLTALNASGNNFSGYLPEDLGNATLLESLDLRGNFFEGSIPKSFKNLGKLKFLGLSGNNISGIIPPELGQLSSLESIVIGYNDLEGEIPAEFGNLANLKYLDLAVGNLKGPIPPELGRLKLLDTVYLYNNNLEGKIPPEIGNMSALAFLDLSDNLLSGEIPAEIGALKKLQLLNLMCNHLSGSVPSGLGGLPALEVLELWNNSLSGPLPSNLGWNSPLQWLDISSNSFSGPIPASLCNKGNLTKLILFNNAFSGPIPAGLSNCMSLVRVRMQNNNLSGTIPVGFGKLPKFQRLDLANNSLTGQIPNDIASSTSISSIDLSHNHLQSSLPSSILSLPNLQNLLVSHNDLVGDIPDQFQDCPSLTILDLSSNHFTGNIPASIASCEKLVNLDLGNNQFTGPIPKALAMMHTLAILDLSNNSLTGGIPENFGNSPALEVLNVSYNKLEGPVPVNGMLRTVNPNDLVGNAGLCGGILPPCSQNMAFTSGQGRLHARHIIAGWVIGITALLALALAAFGAKILYQKWYTNGIFFEKRYEMGKGAWPWRLMAFQRLGFTSNDILASIKESNVVGMGATGIVYKAEIQRLNTVVAVKKLWRTTEDIEMGSSNDLVGEVNLLGRLRHRNIVRLLGFLHNDASAMIIYEYMQNGSLGEALHGKEAGRMLVDWVLRYNIAVGVAQGLAYLHHDCHPLVIHRDVKSNNILLDANLEARIADFGLAKMMPKKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSYGVVLMELLTGKRPLDPAFGESVDIVEWIRRKRLDNKALEEALDPDVGSCQLVQEEMLLVLRIAILCTAKLPRDRPSMRDVITMLEEAKPRRKSSSSSAGNVANKDKPVFSTSPVNGLL >KZM99983 pep chromosome:ASM162521v1:3:785180:786572:-1 gene:DCAR_008738 transcript:KZM99983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPSTSVSMSPKQYLPTSFSIMEQGKRSRTQKFAAAAFPVSVSPSYFQKVIAEFIGTYILIFVGCGSALTDRKLNLTIVGIALAWGFSLMAAIYAVGHVSGAHFNPAVTIGLAAARRFPFKLVPMYVLSQLLSAILACLTLRVLFNYQEDLIPMTTQYSDPTTDLEAIAWEFIITFFLMFVICGAADDDRANKGLAGFAIGGTLLFNVLLAGPITGASMNPARSIGPAIVASEFKNLWVFVVAPILGATTAALIYNLLRLPANQNEEESSTRSIYNDLYMQNGA >KZN03165 pep chromosome:ASM162521v1:3:41897582:41900251:-1 gene:DCAR_011921 transcript:KZN03165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVTRATVTKARNSNGPVGNSKTCSKSGKNNGEGRRNGDQEAGTKAKGSSSQDSTNAHSGNTQHTEGNKKLQLDKILKHWFLLDYDLVAAINKGNHSLIPMAEARVNYLTGLVPDKLLAEGIKGKEEALWSIHELLYNNGCWEKASNLVVADYDGSKNGNSTDPVQPFLNAYAHLIHPNTRVGALKGNREAVRMALNQIHYGSIEAARQSSKTGVTRVSEEEKAQSGKVKAKSGISGDVHSITCKFLEAYESLIEPSVLRDAIAGNDKAISLALGQVHHHTLSRGEKMDVKSSKQDETHYKEALLSRPASVVLSSGSARKSHVSSPAHKSGNATVFFTGIDRSLHLKDLWMLFKKEGKIKDIILPRKKDKNGNNYGFIILVNAQEANRVIKAFSGMLIGSKHLYLAVAKTKPRFQASLKAHSNFVNLEAKKGQEELPKEREGSTDIPIPSPKVTPPSTRFVASETKENQSRQDHSEHPNLLPSEEFTQVMQQSLLLRTVKNETVQSVAMMVEGLGAYNARIRGITGSSFLAYFPFELDFLNIDREFLQIGFDEVRDVKVEDLIPSRKAWVEIRGLPIPGWTEHNFKEILKDYGTILHSCKTRDEEEFYVSPKFLIETHVLDKIKEFKSIKLMKKVWKLRVQETLEEGSLLHDTVSNPDSSYSQDDEDKSSPQPPLSMPDNCQSPVHSNDSEHDGTSKQSNSQLSGVASSIEEEEVSSTMNPMTPRSYHQSGSDNQNSPKSLSDQISTKSDSPIILNTDNWKPREPVSSLSNIKGASDDGISSLGEGPLDDHDNHSDSDLTILGNLAKLKVKSARGRPRKFNPKVTNKFFKVPRRKKTRSEGLQQITHYFLNNSHDEAESIYESGVLMGLLPIHSKAQSLELIKENLAA >KZN01506 pep chromosome:ASM162521v1:3:17574955:17575137:1 gene:DCAR_010283 transcript:KZN01506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKMNKKLEKILGKLAEQNPSLNINVKELLAVAFVEGEGETGTDGEEGVEQGVEEEADA >KZN02491 pep chromosome:ASM162521v1:3:33932375:33933307:-1 gene:DCAR_011245 transcript:KZN02491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGYSENEQFDKVFEVFNVMLLSGEIPDPSSCASVLCACASIASLGTGKNLHGKIVKLGLQLNTFVGTALTDMYAKCGDIDSSRNIFDILPRKNEITWTAMIQGLAENGFAEESISLFEEMLRNSSVAPNELILSAVLFACSHCGLVDKGLCYFGLMKDVYNLEPKERHYTCVVDMLSRAGRLSEAERFIKSTPSETEVNAWAALLSGCKIHSEDEIAERAAKRIYELGEKKSGGYILLSNVYASAGRWTDVMHTRQLMKEKGLKKSGGCSWLELKNEIHIFYSQDGCHSQTAEIYGVLESLQSDMLIL >KZN02563 pep chromosome:ASM162521v1:3:34475629:34482026:1 gene:DCAR_011317 transcript:KZN02563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREETKSIDYAMEAASGPHFSGLRLDVLRSSPSSSPRSNPIQAISSSTTSLPTSQPFIIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTPEESKRVHEYNFDHPDAFDTEQLLECVEKLKLGQSVHVPIYDFKIHRKSEDSFRQVNVSDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQARLTSTSNTGFKKSNFMSFLFIEQYAKFVKPAFDDFILPSKKYADVIIPRGGDNHVAIDLIVQHIHTKLGQHELCKIYPNVYVIQSTFQIRGMHTLIRDQEISTTDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIVRSGESMENAVRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVSKRFPALKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >KZN03506 pep chromosome:ASM162521v1:3:45191571:45193082:1 gene:DCAR_012262 transcript:KZN03506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAIRDKLRGRIGQTKVKRYWPGKAPEWADDPDEDEDMRMTRAVALEKAFPSHEDADIAKRDDPRLRRLAESKIENREEVRADHRRIRQAEIISTIEEENRRQEGLDLEEEDEDALDERRKRIREKLLQRQQEEAALLPEEEEDEADEEEEEESEYETDSDEEQPGIAMVKPVFVPKSERDTIAERERLEAEDRALEDLMKKRLEDRKIETKQLVVEEIQKDEQIQKNMELNADIADVDTDDDLNEAEEYEAWKVREIARIKRDRDDREAMLKEKEEIEREERREWERRNPKPAAPSKQKWRFMQKYYHKGAFYQTDSDDRSSTAGSDNLFYRDFSAPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAGMDAPIAKPKGSKKIKDWEPR >KZN00551 pep chromosome:ASM162521v1:3:6300021:6301964:-1 gene:DCAR_009305 transcript:KZN00551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSSEEDAKLKDYIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIRHGEFSDAEDKIICTLFANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKVFMGSTSSFMLPPHKIKPSDHHQHFQYSYTSYAPSSVSFLQSPSSLPNPSSSSPPIKCRPTTTSKGYDSADTPAAAAGPFTGNYSYENISSISFPPNVHVQNTETLSTGPPDPAMQNLCHGGGVMYESPIIMFGANNQAAANGSSSDGGNSSNKDQVDHFGQLLMYPNRGGHSLIDGINVVAGGKQVLFSGDDETAPLDYNSLEEIKQLISATSNSNLNIFGNINESNKMMPYNQEEKVGSYY >KZN02318 pep chromosome:ASM162521v1:3:32005010:32006645:-1 gene:DCAR_011072 transcript:KZN02318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSVVVTLNLSCEPLNIVTLPAPDQETGSRNDFSYDVSDDVTRISPHLMDYDLGDILALAKIQAQRSAELPSNNIISRRTDSALQDGALSWAAKFYAAELEAAGEMENVRCAILWDTGYYLKAADREKLFVQVLAEMEMNSSWQQERLREFCQANKIMMAAYSPLGRSFWGTKGVLESELVIVSGA >KZN01846 pep chromosome:ASM162521v1:3:26039863:26047999:1 gene:DCAR_010600 transcript:KZN01846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRAKSSRSTSCFSLSQFRFFILNHIHDVRIASFQTRSTSRLDDYISDSGYHRPVSIKDNFFDKGYDNFECFKGFSRRISVSSAYGDPPEVWQPPGDGIVVRPGVKFVQVPDKDGGGSGSSGGFGGKDESWGGSNMGNSFPTPKEICKGLDKFVIGQERAKKVLAVAVYNHYKRISSDTLEKSAGNSGSDKTNAMDNDAVELEKSNILMMGPTGSGKTLLAKTLARLVNVPFAGYVGEDVESILYKLLTVADYNVVAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTASVTQASVTSSLLDTVESSDLIAYGLIPEFVGRFPILVNLSALTEDQLVQVLTEPKNALGKQYRKMFQMNGVNLHFTEGALRLIARKAITKNTGARGLRSLLETILMDSMYEIPDERTGDDIIDAVVVDEEAVGSEGRGCGAKILYGRGALTNFLSKQKSKDVEANAEGSDGDQEGESELPSIVAM >KZN01194 pep chromosome:ASM162521v1:3:12921790:12929934:1 gene:DCAR_009948 transcript:KZN01194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSNYMDIDIDDLFEDDLGDLGEPFLKNVCKKAATSFFEQHGLISHQLNSYNDFIKHGIQNVFDSIGEITVQPGYDPSKRGDGDWRYASVKFGKVKLAPPTFFTGEKFYNDNAIEFVDFYPRHARLQNMTYSSKMKVEVHLQVYTQRMVRSDKFKTGKEQYVDKSVCKEIREEIPIGTLPVMVKSDLCWMKKAKQGDCDFDHGGYFIIKGAEKTFVAQEQICLKRLWLTSNPSWIASYRHANKRNRVHVKLIDPKSEDIGGGEKILAVYFLGMEIPIWILFFALGVLSDKEVVDLIDGDLEDGRIVNIMIASIHYADKECDEECAEKFRRRGMALKYVEKKLKSCPFPPAESLEDCIKNYLFSSLTGFKQKARVLAYMTKCLLQAYTGRRKVDNRDDFRNKRLELAGELLERELKVHLKHAEKRMVKAMQRDLYKDKVEYIERYLDSSIVTNGLSRAFSTGAWSHPYIRGERTSGVVANLGRTNPLQMIAEMRRTRQHVDYTGRVGDARYPHPSHWGKLCFLSTPDGENCGLIKNLASTGLVSTTVYESILDKLLDCGMDELVDDTSYSLRGKDNIFLDGELVGICRDSASFVTDLRNMRRSKELPHQVEIKRDEKNREVRIFCDAGRILRPLLVVSNLRKIKSLKGGDYSFQSLLDAGVIELIGTEEEEDCCTAWGIKYLMMQTSGDTPKKYTHCELDMSFLLGLSAGLTPFCNHDHARRALYQSQKHSHQAIGFSTTNPSIRVDTNTNQLYYPQRPLFNTMLSECLGKAENSKMLPKPEFYNGQCAIVAVNVHLGYNQEDSLVMNRSSLERGMFRSEHIRCYKADVNNKEATLKKQKIEDAINFGKIPSKIGRVDSLDEDGFPFIGANLQTGDIVIGKHAESGVDQSVKLKHTEKGMVQKVILSSNDEGKNFAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTAQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIALGGKERYATPFSTVSVDAITSQLHRAGFSRWGNERVYNGRTGEMVHSLVFMGPTCYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDCSQMHICRRCKHPANVIQRTVTGGRKIRGPYCRYCQSTEDIVKVSVPYGAKLLCQELFSMGISLKFETQLC >KZN01967 pep chromosome:ASM162521v1:3:27474422:27474940:-1 gene:DCAR_010721 transcript:KZN01967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYSFHELLAIPSSKLHCKNLRRSSLKVIRAQNYKDEGRSSNMVDANLRVLKERIEQVRNKERLERCCTSEQGWNYTPVYSSHYKKQDVLSQCYDLIGLVFGTAGLAVLFGTLVLCMFSLLLHLKQ >KZN01102 pep chromosome:ASM162521v1:3:11705654:11706848:1 gene:DCAR_009856 transcript:KZN01102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRFLIRKTGGALSLKKSSSMEVPARKSLYAVEFPTSPNPTNSPGEEKLHPSHSQHPLLQLDVPELFTCSGCKEHGAGKRFSCQQCDFQLHDFCALSPPALKSHPLHAQHQLTFYSKPKPGGILWPRCDVCMKATKGFAYRCSACHFQMHPCCALLSSEIKLSIHEHKLKLLPPLLGEHSGFTCGECKRQRSGRMYRCMVCDYHLHAVCAKSMVNGLEENGIKISAKPNMLGPTARFASQIVIHFLGGLVEGVGQSVGQVLVQDLAKGRCMSRRRRRLRD >KZN03946 pep chromosome:ASM162521v1:3:50114436:50117253:1 gene:DCAR_012702 transcript:KZN03946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPHVFKLLLYDLNVAAILMSVQVKRHRPLLCSILPAARCRSDGSESLPKGIVTRTSNLEMRPLWGPPNKRNPKAPTISLLAMAVGQKQKTNVNEIVKKFPEENFVVMLFHYDGVVDDWNDFEWSSRAIHVSAFHQTKWWFAKRFLHPDIVSEYAYIFLWDEDLGVANFHVGRYISIIKEEGLQISQPGLDLTKSEIHHRITERQNGTKVHRRIYKRSISGVMCYPNSTDIPCTGWVEMMAPVFSRASWRCVWHMIQGNRTQNIGVVDSEYVVHEGLPTLGGTAGDKAKSAPRQPNIRDEVRKQSVVELNIFMNRWKNAIREDECWVDPLQTTEHNSTGRVDS >KZN02731 pep chromosome:ASM162521v1:3:36663954:36664256:-1 gene:DCAR_011486 transcript:KZN02731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDKTELGCLCNHYKRRGEGVKKIDRKFIVDVHQNQHAMHNINRMTLRLKSNNHCITYVTQTRVRSVGYDTYPSVELGNFEKLGHGDTVRILDTGTGTR >KZN00771 pep chromosome:ASM162521v1:3:8390967:8391644:-1 gene:DCAR_009525 transcript:KZN00771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRPNFAALRELQDSANNLLHSPTTKRALLQHEEKYVDEVCEASLQMLDICGTTKDVLMHVKDHLQELHSTFRTISVGETTVENRLGAYYVHRKKLKREMVNCLRSLKEMKSDHIYPIDHNLAVVVNVLREVRITMISTMESLMSLMSMPSPDSYKSYIGTFTSKFIRVNSLSLWENCDSTTFQTGNKRLEAVEVAIEDLEVELECIFRRLIETRVSLLNILTN >KZN02746 pep chromosome:ASM162521v1:3:36855487:36855822:1 gene:DCAR_011501 transcript:KZN02746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKPLIHSKTSLTGTRDGKFVDHACHITKHAQLRHQAIAYKHLGIAHRMKWARHEAVNCRSYTHWFCKAIYDLNAFNIRQYIDGIGCSDGGSKAHSTRTRCYGGGTGSLL >KZN00385 pep chromosome:ASM162521v1:3:4842362:4844615:1 gene:DCAR_009139 transcript:KZN00385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQHLKQWQKHESDEQSDSTRLLLNDCYYQQNHSNCSELPLFTSPEQSATKLDLHSAPPASHSSYFSMAQWQELEVQACIYRHFIAGAPVPPQLLHLVKKSLILSSHSSSSYYHSSPYHSALLQSGGYWGRGGMDPEPGRCRRTDGKKWRCSRDVVGGYKYCERHMHRGRNRSRKPVETPTAHSNAAFPLEDTNQSVAAQPPTATPFRLSGPSHSFDLLPVNHRPRESEIKIALESKCLFPSRPCGTVNETKGLYEMPKRELSENSKSSSSQVLRPFFDDWPRSLQESESLGTSLSISVPGNPSSDVSLKLNSCGARGSPGPEGHVKREQLQSQWGSSSSPWGTNQMGGPLAEALRSSSTTMSMATSVLHQQRVAGNYTST >KZN00945 pep chromosome:ASM162521v1:3:10195162:10196448:1 gene:DCAR_009699 transcript:KZN00945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPASSLSTRRLVLFGIMIGLMMLVTSVSSRNFDQLFQARWALDHFAYQGDTVKMKLDNFSGAGFSSKSKYMFGKVTVQIKLVEGDSAGTVTAFYMSSDGPKHHEFDFEFLGNTTGEPYLVQTNVYVNGVGNREQRLNLWFDPSKDFHSYSILWNQRQVVFLVDDTPIRVHSNLEHKGLPFPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFVASYRGFEIDGCECPASVAATEISRRCSSSAEKRFWWDEPRLSELNLHQSHQLTWVRAHHMIYDYCSDTARFPVAPVECQHHGRK >KZN00476 pep chromosome:ASM162521v1:3:5651926:5652099:-1 gene:DCAR_009230 transcript:KZN00476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRAATKRHLCLVLHPRKSMFLRDQQRMEDASVDQTAAVTLAPATNDLLLLILLAL >KZN03660 pep chromosome:ASM162521v1:3:46698029:46698349:1 gene:DCAR_012416 transcript:KZN03660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKILLVTSENGVVIFSKTTCCLSYAVNILLNELRADPVVYEIDQDPEGREIEKALLRMGCKIPTVPAVFINGKLVGSTNEVMSLHLSGSLTPMLKPYQSQVYHNS >KZN03881 pep chromosome:ASM162521v1:3:49608807:49610475:-1 gene:DCAR_012637 transcript:KZN03881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHKVSLNVYDLSQGMARNLSMAFLGKTIEGIWHTGIVVYGTEYYFSGGVQHAPVGTTPYGTPIRVVDIGVTHVPKDVFEMYLEEISPRYTAESYSLLKHNCNNFSNEVAQFLAGATIPEYILNLPNEVTSSPMAALILPMIERLETTMKAGAVPQAPQFQQPILSTPANNVASSGVKKEEKKPEVTKPKVEEKPVKSGAPNTSAASTRAKPADPLGDARSKVQEEITNEFAAIMASGTLRASEAAALATKRVMQRYGSTNSVQS >KZN00977 pep chromosome:ASM162521v1:3:10440200:10442479:-1 gene:DCAR_009731 transcript:KZN00977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGLKGHSTVNVSGSGRAGWPYGLMLLLFVAFGALLLGVIAIHKHKERRDFHLVIKDRDLQLHSLHLQLQTERDFSKKAKQKSEELNSELYNLRNQKSELNGKIREMQSTISSLKEEHKAIESALGEKQKEIDLMKEREKHEDEQNNQGSFLAKVLSLKEAEFENLKSNLQLPVRDGNFNFRYPNSPMNPTNKISIGRDETVSTNKENGGQLHNYFKTADVENSTNGEDGRETENAAASRKISGEPRILKRSQQEFQQNNTADENPGKQVSYKFSTNSRGMDMDTQKRNTNGTEVRTNSGSDFKKTEDAAAGVTLAANTKFGAKSVVDFEKPKNVDNAILAANTKFGNNDLQEKNIVGSTRGKVMFRNEREGKQKERPGEAELLKLFKEKGQSLGLDIRTGSDENMAKFKSFNPVLWKEGMESKGDTKVGSGSKTKKDHSSSFLKSSMNSENRISEWFSQVSDEIQVNKKIDDKGSSVTSDDKKKKPKGIVYQEAQANGNPHRTERSRNGKAANPKKRHNADKNANIAEREADNTYEG >KZN02295 pep chromosome:ASM162521v1:3:31775102:31776340:1 gene:DCAR_011049 transcript:KZN02295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEGQEHRFFCRFCNKGFVSGNCLGGHMRGHLDLISAEKCGENYGGGSGSEEEELSAEIKDSLSSEMDVEATYGLRENPRKSWRCWDSDTIHVCKKCGGEFSSSKSLAVHMRSHSTGRRKEETATCKECGKIFGSLKALFGHMRCHPKQSRVSNQLPLELVSTIRKKKRSRTCYSKTIPILSEACSDMNASSSIAGTEIDEVEEAATTLMIMSRDYEGWKVVEINGLDLDRLNQSKTSKLVDQVFELMGKTCDGYIPSASDDVVLKDSERIGEFKCKTCDKVFHSVQALNGHQHMHRTNDGCTELKVERCRELTQTNFLLAPVVDSQLECAKNSLEKGTGVEVRSCDMKKSNCYQCLTCSKVFKSGQALGGHKRAHYSGPNVSKVEETVLMDSAFPDRVEIPEILEQIACQ >KZN03539 pep chromosome:ASM162521v1:3:45607909:45608838:1 gene:DCAR_012295 transcript:KZN03539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSSATRDSSSSVTSSTNSSSNHRASDSPALLARSICSSSSTAMRPSSNLHTDLSLGLGISTTTTSNFSPGYCENDTSGDNSLFVKVNMEGSKIGRKIDVLALHGYPHLIDTLQHMFNTPNILWAEGEQDSHNQRYCSSHVLTYEDRDGDWMMVGDVPWELFLTTVKRLKITST >KZN01962 pep chromosome:ASM162521v1:3:27425472:27425701:-1 gene:DCAR_010716 transcript:KZN01962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDVVKKPAKKKRRIPPPNPPFRTRKKGRYSMLRKHQ >KZN03176 pep chromosome:ASM162521v1:3:42066938:42068058:1 gene:DCAR_011932 transcript:KZN03176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEWRMHIMCTNPKLQKVEGEDLRSKVDFVRRLEVESNTDFQKGQARGGTCHLSLVSGYSQNLMTLFLKDKGTMTPESVMEAAIINDEECSKLVVLD >KZN00224 pep chromosome:ASM162521v1:3:3204813:3209848:-1 gene:DCAR_008978 transcript:KZN00224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENGELNVNNLMNQTEVVKISEPKSKNFEMGVENELKKVKGSDQMSLVKLQNGTNGNGGVHHQMKGQDGLRSDGDGDQDGGDDRGEGFKKEMRDLEEMLSKLNPMAKEFVPPSITGFGPVLQAPQFGYIADGSFVVHTSSPVAAGGNSVRRKKSGYSHGKRRMNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAALFISCGQVVDCRVCGDPNSILRFAFVEFTDEDGARNALSLEGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKITQADVKLFFETFCGEVLRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVFLGSLPIRVSPSKTPVRQQRVPRSAMH >KZN02683 pep chromosome:ASM162521v1:3:35947265:35947621:1 gene:DCAR_011438 transcript:KZN02683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTHLYRRKNGAYIPAKILFKGSPYYEPFSLTVHMNEQVFDPNSFPHEYIKTRSWPDFFEFQFFKLEVRLEKRKRVEEMKQRRIAAIVLRENIITLVGSMSKIYNRRKLMEKSLKGE >KZN01408 pep chromosome:ASM162521v1:3:15746768:15752279:1 gene:DCAR_010162 transcript:KZN01408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRGTEQGNKLQFEVGDASGSNNVVCHGSLDVRSEWGIGKVIHGPVLTDDDDDFVTPDEHFGKSKTVKLQGTGVKTKKPKFVGLANEEAEKQGKKKEQRIKGNMHCDGKTYVDKGIGRIGKQAADVDGRKIVISKAAMKASDEGNEATPSKIEKPKKVRSYEGYLQKKISPSIMTIIIKNLTEEHAKWVRSTGFGELLNFEMQVHAHKLGYNLVQAFDVENCALVLNCGTIVINERLVHRVIGLPMGEMVLTTTDTDSLLDVWGGQFSGKTGCEIPAGTVRDRLIESICVDRLFKLNFLVMVYNFFIEGHQNHHVNRDVLKLELNVDACAQYNWCRLLIDKLRSSYAYWSAEKKQSFTGSLPFLTYLYLSKVRNHKYVHVPPVFPAFKGWTDLLIKERQKIELAEGSFGVGEIVHLPGEEEEVADRVDNSGERDMTHDDQVIEDTEDLEQNGDDDSCAANEMDLIQDYNDLQTEANSMNDLTKSLTNGGSVQRDSQLAAEVCNTGLEEDSNKQAEFGVPNHMAAVFAVTNNTITPNMCVDKTSPLVMESVLAKQGNQTENNSSLLTAEQYMRSFYKLDTLEANNMGTKWTSSADKNAVLLGCGSKSEDQVVQGTQGAIEDAIDKHFQDDKYMAEFRKNLNVFKGAYDKCVTNCEVALALYSSHAELATLREEHRPFFKLFEETSPLSKSLVGGPLKERNKTAEGQVDDSSFVLSFSLGFSQVTPKKLGDTMDGLQDPGEESEVVANQLVQRPRKGARATEICRSPYVSRVVDISGYKITNEEKYVWEWLFENRRNRGENLFESHKIMCTKKHFQSLQTNSMVERRVIDAWSYLLNANEALRANTSPFRLFLTSETAYGPMNLEVDPTDRLSTMERNAIFSDNVEAVMETMYHLHNRIYDVKDFEMFIFPIYDSSHHYIISFNIKKPRWEIIDNRVPNGDFEQSFGNLPYRLDNQKSLLNKLRVVYEHKMLTWDQNSKRDYMVRGATYLAKGKKVAD >KZN02205 pep chromosome:ASM162521v1:3:30852437:30852907:-1 gene:DCAR_010959 transcript:KZN02205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSCIAGCLDVENPRLIRLHQWAEEDREFLRMVSNSYKTKGDSPMNPKQQELRQLEKSVSLDPIIYMSSPSPLISIVPSPGRDYACRQRYLRSYTLDANYNNKPKQMMSPAQRAKNWFLRKEKKQTTKSTDCNSFFRAASSLFICMNIKFNLHE >KZN03179 pep chromosome:ASM162521v1:3:42165501:42166271:-1 gene:DCAR_011935 transcript:KZN03179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSMLLDSGKFSGQRAARGSSEKSSFSQTCNLLSQYMKEKGALGDLKPEIFRRPVGPPTTMNLFPAADKPVQEAPVKSAAEGGQMTIFYGGQVIVFNDFPAEKAKEIMMLAGKGKSSGPSNIVQKPTEVANLQRPPRPTISGLPIARTASLTRFLEKRKDRIVARAPYASSSAKAPVKPAENKSWLGLAAQSPVKFEQA >KZN01418 pep chromosome:ASM162521v1:3:15983506:15983673:1 gene:DCAR_010172 transcript:KZN01418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWSLFTVLQFFTSTFLALWNAFSLSFVNKTVILLYQQKWNDELQYENHELEESC >KZN02662 pep chromosome:ASM162521v1:3:35610680:35611288:1 gene:DCAR_011416 transcript:KZN02662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISSIAFPCLGMAFVAFFLVSCNAAPDIVRDMNGDILREGANYYIIMPVDHGKAGAVTLSSRNGSCPLDVVQSKYGTDKRYLNIFSFTPVNLKKGVVRESTDLNIEAAGGSFCGEPMVWKLDSYGGEFVVSTRGEKGNPGAKTIGNWFKIKKYLNHYKFVYCPSVCKTCKPMCKDIGISRKGKSGRLVFNDKPFMVSFKKF >KZN01071 pep chromosome:ASM162521v1:3:11314712:11316626:-1 gene:DCAR_009825 transcript:KZN01071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVELIFMPVPEVGHLVATAELAKLLVGRDERISVRILIQKMPYDTGFAGFTQKLKKDVPERIDFVDIPAPDETTMAELKALPLTSFHEAFVSNQRTVVRDIVTAILKQSETSKLGGFVLDLFTTPMIEVANDFNVPAYVFFTSSAAYLNIAFYAQDLKDSKNLEISGFKNLDIELSVPGFSNLVPAKGLPAVILDEAGSALMRTIARRLRKTKAIFLNTVLELEARAIKALEEDGNTPVIYHVVEITLDYRKDLIGDVSPTVTAQEIELGIRRLMNAGSEMRNKVKAMKDLCRKANNEGGSSYSSLGQFIEEVIDNIN >KZN02169 pep chromosome:ASM162521v1:3:30422969:30434853:-1 gene:DCAR_010923 transcript:KZN02169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFILSLRAYSLDFLECSILLKDELLGLAENSQADSAFKDQCIDMDVLYRTGETQQDELLGLAENSQADSAFKDQCIDMDVLYRTGETQQGIDTYTPRLLAIDFKGSLGTMSSRGTLYNENQADLSNIVTWTGNVSKSVAKPQKRNLFIKSLYEEELDALHTDNNMDNGKNEHETDICDKDIVDNLDDTVKYWTDYSKVHYHPKSLYEINGLWVDSQEFNNYGIGRDAYSSGRGEEICERLRFFIEECDHIQGIQYVVDDSGGFSGVSAEFLEAMADEYTNIPVLLYTVRDPASDTNLKSRKQTVSHYVHDAVSFSRLSSFCKLIVPLGLPSLSINSRYLRINDKKPYHSSAVYASALHSVTLPFRMKPFGPTTESRYESGCLNIYESIQMLAGQSRQNKVSILDVAMPAPSLKGKEAGKLLLRNMHTLTPETATNSEDLQSTEVITLHGVLGSVTLPFRMKPFGPTTESRYESGCLNIYESIQMLAGQSRQNKVSILDVAMPAPSLKGKEAGKLLLRNMHTLTPETATNSEDLQSTEVITLHGVLGSGGHHASVAEVNDAFQAAYEHSTSPKFSHVSVSRCPLPIPLPFPSIFSNLVGQHGELLSETSSSSARGSLDVHSIPMGARLRSSSDILPFLETRLRNFRRFGVERGALGKELLRTWGFEKDDLEDLEDVLHKMVNALVPESQLSSDSE >KZN03168 pep chromosome:ASM162521v1:3:41959886:41960374:1 gene:DCAR_011924 transcript:KZN03168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVQALLSFDCVLTSTILNNVWACMAILTACSISFFWTIIKSYLSRRSRQASSPSVSPQKPDVAHSCSPQVSEPVSRNIVSTPALDCADGVIKRGKFVVYYYDDEVREEDYIRNEAFEETEYKHVVPDDSVKFWCRDLDMGWYRYLDLSVFSGNVVRCWD >KZN01591 pep chromosome:ASM162521v1:3:21096767:21104653:1 gene:DCAR_010345 transcript:KZN01591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATMTRFPSDPDAQESSGLPWGITLTPFAGKDENGIAPVYGSDGDLLPRCENCYAYYNTYCDQEQWAWTCALCGNLNGLSSSTISRYSLPHTCAENKSSFIDLELPLEGSDEEAMQARPVYVAAVDLSSSEEFLELTKSALLAALEALGPGSLFGLITFSHKIGLYDVQGPIPVVKNVFIFPESDEATPMELEDVMPLLSFLAPVDTCKDRIASALETLKPTTSWERTTAAGQGLDGVLLGGRGFGVAMEALFSYLGSEYGSTFALARVFAFLSGPPDYGAGQLDTKRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQSGVCVDIFAVTNEYTDLASLKFLSINSGGSLFLYSNTDDSTLPQDMYRMLSRPYAFNCVLRLRTSNEYKTGHSFGHFFPDPQYENVQHIICCDSYATYAYDFDFANDVGFSRHTSELPMIQIAFQYTVVIPPEELPVSGLSGSSRSKYSLSRRLRIRTLQFGTAQNINEIYDSVDPEVVLSILVHKVILASLEQGVREGRMLLHDWLVILTAQYNDACKNVQSIAAHIDAEFSQCPQLQPLPRLIFALLRNPLLRFHEEGVHPDYRIYLQCLFSALEPSSLNRAIYPLLTSFTTPDVQAYPRHSLSRAALITSESPIFFLDAFTTLIVFYSSTADSTLPYPPPQDCLLRTVINKLKQERSITPKLIFIRGGQDDATLFENYLIEEQDVDGSGFTSMMGLLSFLDEIKHSVLEYMKY >KZN01637 pep chromosome:ASM162521v1:3:22131538:22133190:1 gene:DCAR_010391 transcript:KZN01637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLQQKHNLYDDELVLRTKFIKASEDTSDIRRSRSITKLSITQLSLCKWKLFLANKKLRQDDIFGIANVNVGGSSMRRPSPSPLPVTSSDPDRANDNVFESENDNVFEFENDNVIEFENDNSYLGDKANDGRGENDPRSGRNEERSSMRRPSPSPPPLNTANDDGGENDPRSGRNEERSSMRRPSPSPPPVTLSDADRANDNSFEIENDNSQLGDRANDGGGENDADRANDNSFEIENDNSQLGDRVDDGGSEKGKQEDPGSDPRTPRPWC >KZN03121 pep chromosome:ASM162521v1:3:41462427:41464475:-1 gene:DCAR_011877 transcript:KZN03121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGKLDAVLNKFEIRGMVLLSLFLQIVLIALGNRRKYSTRNWIRVVLWLAYLSADWVATVALGVLSNGDSATNLKDDSMDEHYVIIAFWAPFLLVHLGGPDTITAYSLEDNELWLRHLLGLFVQVFVAFYVFIRALKPNPLNFVALPIFVAGIIKYGERTWILRSASSKHFRESLLPNPDPGPNYSKFIEEYVLKEKEGFELSWSVSEAPKPVAHKYATGKNDIIPNASILNAAYEFFLTFKRLFADLILSFQDLEKSLSFFQESSWDNAFKVIEVELGFMYDVLYTKASIIHSIWGVALRATSFLSTAFGLIVFCLIDWKSYKAVDLCISLVLLVGAIGLEVYAVLLLLSSDWTLLLLSKLKNPLIDFIYKFITCFNWITSKKKWSNSMAQYNLLSSSLNNKATIWNFIKNHVFQILDDYQDVNSVDIPTEINISIFSQLVEKSKGASDFRMCKKLCGARGEYVLAKYKCAEKFTWSVEFEFDHSILLWHLATDLCYFTDKTENPDSVLDSNCRISKLLSDYMLYLLVKRPFMLPNGIGQIRFQDTCAEATEFFQELKITPDEDEACTKLLQVEIIIPPHEVKGDRSKSVLFDACKLAKLLQSLECGELWEKQQKWEMMSHMWIEMLSYAACHCTWKDHAQQIRRGGELITHVWLLMAHLGLTEQFQITHGHARTKLVVQ >KZN03718 pep chromosome:ASM162521v1:3:47295793:47297987:1 gene:DCAR_012474 transcript:KZN03718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPKSADHHNLQVHDRTNSIPVTKRYMKVRQSERLKNIARTRRAEKLENGDVNEPINLEESERDDELEIVQIDEIEEPIPCGKSLEEKVDQLVEDVEILKSKVNERDFPTDGSSELKYKTLYIRSQKKIQSLAFENGKLSNQLLIAHAKIEKHENGKDFVAKLKEVLESNLTKATETLVKLSSQAVFPGSPHPPHPDRVIDLEVQAHEKRQKKGSK >KZN00656 pep chromosome:ASM162521v1:3:7289358:7291791:1 gene:DCAR_009410 transcript:KZN00656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDENNTSVPLKGAEALRNSLDIHDGTNYMEAATLSSANLKKEGTLHVETDMQIRTSGHARSSFRRDDDNSTICSDSPGGTKTPTLVARLMGLDLLPDSSSPRVSSSSSTINHSLQNIVLNKKKATRSSFSNASDLISHSVPVTPRTSSSSSARRSDIDQRFSLQINKENIDVGSCQELEFSRFLKSKINAARMHEDKNMSPGHYARQIVKQFKESVSRRVGKDITNTSNHDYQRRDQNVVLLKPKKPSNLGNGSETTTKSPRLRLSETKSRPTVTKVHQDFQHSPKLLSSSQLCADKQSKHETVSLRSKPQKAVLILREEKEKQKSSVKKSKLVVHGDENMKCSSKFKKPPQTWDLMRNKQEEAFVRSAALTNARASQTSDNKCKKTPLPDLLSLKKKELKRQKKFCDSEASDPPSAKTNTKLLSCNLSQSCQQDPNDQNSLMAAEQLTDYISRILNCTGIFPFTPISIFKFNSLLHPLHPSVFHQLPKLPNGKLVFELVDQLLAGILQSHFGERFMFGDELKCYTRCTKLEHTGKVRLDREQLLNTLFNKIKNFRSVNCLVQEDIDELIDKDLPPKLLEFEEEVEGIVMEIEDYILERLVHETVTVC >KZN03887 pep chromosome:ASM162521v1:3:49656796:49658813:1 gene:DCAR_012643 transcript:KZN03887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGSGICAKQVVVDARHHMLGRLASIIAKELLNGQKVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKIKRGANALARLKVYEGVPPPYDKMKRMVIPDALKVLRLQAGHKYCLLGQLSAEVGWNYYDTIKELEAKRKERAQVAYEKKKQLNKLRVKAEAAADEKLGSQLDIIAAVKY >KZN02085 pep chromosome:ASM162521v1:3:29363816:29366104:-1 gene:DCAR_010839 transcript:KZN02085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLMLMVVLLGLCHVLVAKMGKDGERKITYIVHMSKSEMPVRFNDHTHWYDSSLKSVSDSAEMLYTYNNVAHGYSTRLTKQEAESLEKQPGILGVMPEVIYELHTTRTPEFLGLDQNANLFPESKTASEVVVGVFDTGVWPESKSFDDSGLGPIPSSWKGLCETGNNFNASNCNRKLIGARFFSKGYVAALGPIDESKESNSPRDDDGHGTHTSTTAAGSVVRDASLLGYAAGTARGMASSARVAVYKVCWEGGCFSSDILKGMDMAIADNVNVMSLSLGGGTSDYYRDNIAIGAFAAMQKGILVSCSAGNAGPSSFSLSNVAPWITTVGAGTLDRDFPALASLGNGLNYSGVSLFKGDSLPDKMVPFIYAGNATIATNGNLCMPGTLIPDKIKGKIVLCDRGVNARVQKGSVVKSAGGVGMVLANAASNGDELVADAHLLPATAVTEKTGNLIKNYLFSESNPTATILFQGTKVGIEPSPVVAAFSSRGPNSITPEILKPDIIAPGVNILAGWAGSVGPTGLAEDTRHVEFNIISGTSMSCPHVSGLAALLKAAHPDWSPGAIRSALMTTAYSQYKTGKLMEDIATGKPSTPFDHGAGHVDPVSALNPGLVYDLTVDDYVDFLCALKYTASEIKLVTRGNFTCDTSKQYSVNNLNYPSFAVSYQTGSGPITEETTTVKHTRTLTNVGLPGTYKVSVTSPGKSVKVTVEPESLSFDKVNQKMSYTVSFTASSMPSNTNLFGRIEWSDDKHIVGSPVAVSWI >KZN00257 pep chromosome:ASM162521v1:3:3482230:3482805:1 gene:DCAR_009011 transcript:KZN00257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAMVLTLVLIAATAMPPAFSGTSTGEPAEVETWFKRLGTKQEKVTKMHYFFHDLNRVTSEVVANANTTATSPTLFGMVKVCDNPVTAGPEFNSKQVGSLQGTYSYTSVRDIVNFICDFTLIFTDVRYNGSTISIFGSNPPSLQHREMAVVGGTGVFRMARGVAVLSAYYFDVAKGNATVELNIVVQHY >KZN00368 pep chromosome:ASM162521v1:3:4542752:4545234:-1 gene:DCAR_009122 transcript:KZN00368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSAKSMEHSDTKIDSQYVEDDDFEEKKKRLNHDRKKRGGLRTMPFILANEICDRFAGTGFHANMITYLTTELNLPMVKASNILTNFGGTSSLTPLIGALMADSFAGRFWTIIVGSLIYELGMVSITTSAVLPQLRPPACPTQENCTEASGSQLGVLYLCLLLTSIGTGGIRPCVVTFAADQFDMSKAKTEARGWNFFNLYYFCMGLATLTALTLVVYIQDNVGWGWGLGLPTMAMALSIIAFVVGSPLYNKIQPGGSPLVRLAQVIVAAMKKRKSVLPEDPSLLYHSRELDERISINGRLVHTNQLKWFDRAAIVTEADAKDSDSPNLWRIATVHRVEELKSLIRMFPIWAAGILLVTSHSHQGSFTILQARSMDRHLSKSFEIPPASLAVFSVLTVLIGLAVYERVFVPIARRFTGNPVGITCLQRMGIGFVVNIIATIVSAVVELKRKSVAAEHHLLDKPTAIIPISVFWLIPQYVLHGVAEVFMSVGHLEFLYDQSPESMRSTAVALYSLAISIGSYLGTFMVSLVHKYTGKERNWLPNRNLNRGRLDCYYWLVTGIQVVNLIYYVVCAYYYTYKPLEEVKETSNDGDVELATDKTPSKPLNGVNGNADT >KZN00894 pep chromosome:ASM162521v1:3:9637234:9640493:-1 gene:DCAR_009648 transcript:KZN00894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIIMEKFGYCHYVNVVSIDAAWFLSLYLFICRCEKQFSQYTCPRCNTRYCSLHCYKSHSRSCTESFMRDNVVEELKQLEPNDATKQKMMAILQRFHTQEEIDNSDELLDSTPGDVTDSNFSEVVIQKILSGGEIQVEDLSEDEKKRFQRAVVSGELSRMIEPWEPWWLKPSAKTIHLSEQGSRLVQPIVRHESIASKSEIVENDQSLHIPPGPETPLPPVSKLSATAPSPLLAVHLVDIIYSYCFTLRLYNGEWLTDPIGSVMHALSVSLVLGQGGKPETVSEAVSHCLEQTCSPVLRHVGGLQFGLGLLDDVVCLLSIGGTALVCLLCDFQRMIQAAEKELKSEKPRKSRRVEAKGNLKSAERKVYFMMCWVHEQHEDTWFSIASMVEAEKSANLACTGNRKDTLSVQERARPRGKMLIEEV >KZN02671 pep chromosome:ASM162521v1:3:35698688:35700334:-1 gene:DCAR_011425 transcript:KZN02671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTIFQEPEIKVSVTDAWKQFKQLKVSRIEEPLLYSAFLVCMLMFLVVFLERLYMTVLILCLKAYGKKTYTQYKLDTVREMMERNKEHPRVLIQIPIYNRSKDYDLSIGAACVLKWPQERLIVQVIDFSTNEAMKAHVKAECRQWEMQGVNIKYENRTNIITWRIGALYQGLLKRYVNDCDQLVIFNPGFQPRNDFLMKTIPYLTENNHLGMVQARWTSVDDWLVPWLPETSLNYHFTVEQQEELSSYACLPFGIDDTVIGAWDIEAIVDAGRWKERCTADIMDLTMRSVLLGWKFFSLGYVC >KZN00270 pep chromosome:ASM162521v1:3:3560218:3563433:1 gene:DCAR_009024 transcript:KZN00270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQFILRVPPSVAERIERLLSENASSSEDKSLDLSFSDDGRSGTFSIGNDQFPASLLDLPGIVESYKTYDDSVLIKSADIGQMIMVREEGDSAPDTVEYRHGLTPPMRDARRRRFRREPDLNPELVKRVEKDLLKIMAGGTADILALDQCSFRRLASHVEVVEQDEGGRNTGKKAAPVPAPKPDLSEAANVEEQERSDSDESG >KZN02248 pep chromosome:ASM162521v1:3:31303700:31303933:1 gene:DCAR_011002 transcript:KZN02248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKVAEEYNDLGDVASGLRVFVEQLKSKSGNFDEYVQQIDAIERQVTEFEAVISMLDKHVSMLESKVQSVYQTPPP >KZN02042 pep chromosome:ASM162521v1:3:28735606:28737765:1 gene:DCAR_010796 transcript:KZN02042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIALPLHQFTNILKTCITKRDLLTGKSLQTLYLKSIFPPSTYLSNHFILLYSKCGRLNAARKAFNTIPDPNVFSFNAIIAAYAKESQPHIAHQLFDQIAQPDLVSYNTLISAYAECGNVIPALRLFVDMRDLGIDMDGFTISAAITACCGDIALIRQVHSFAFKGGFVSYTSVNNTLVTHYSKNGCLDEAKEVFYGMEGVKDEVSWNSMIVAYGQHRQGAKALALYQEMIRLGFNVDMFTLASVLTAFTCLQDLRGGVQFHACLIKTGFHQNSHVGSGLIDLYSKCCGGMVDCRKVFQEIYDPDLILWNTMISGYSQNEDYSEDAIVCFRQMQRIGHRPDDGSFVCVISACSDLSSPLQGKQIHSLVLKSDIPYNQISVNNALIAMYSKCGNLQDARRLFDRMPEHNTVSLNSMIAGYAQHGIEQESLNLFERMLKTDVFPTRITFISVLSACAHTGKVEEGRRYFTMMTDIFHIVPDAEHYSCMIDLLGRAGKLKEAESLVESMPLNPGSIGWGALLGACKKHGNLELAVRAANQCVQLEPSNAAPYVMLANMYAHAGRWDEVASVRKLMRDNGVKKKPGCSWIEMNKKVHVFVAEDNSHPMIKDIYLFWEKMSDRMKQAGYVPDMRWTLVKDHGNMDDEKEMRLRHHSEKLAVAFGLLSTKNGEPILVIKNLRICIDCHNVIKLISSITGREITVRDTHRFHSFRGGECSCGDFW >KZN03400 pep chromosome:ASM162521v1:3:44279971:44280800:-1 gene:DCAR_012156 transcript:KZN03400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDDDERSTCTSEAEEGSCHTQFYSNSGGSIDSRNSDFEIDEVLDTRRVPSVVGSDCSIDIGNECDDVSETLERGCRICHLSFQVHGSDVESGITIELGCSCRNDLAAAHQHCAETWFKIKGNRICEICNAIARNVVVPSEIVSTQHILEVSTYSRNTDPGPAPSTSATNAPSTSETLTFFIGHQLVNILLPCVVSVFFLSWLFHFHMPF >KZN00767 pep chromosome:ASM162521v1:3:8275754:8279368:-1 gene:DCAR_009521 transcript:KZN00767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIVLTKRMNHHAVRFRTEFFYHQLCIVYAENEQQHVLNVAALVTAFAFFAIVGAEDPYRFFTWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLDQPFLISWNGIQQRRNSYMDGVAGTTCPIPPGKNYTYILQVKDQIGSFYYFPSLDFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKTNHTKLKALLDGGRKLPNPDGILINGRGPNNGVPLNVEQGKTYRLRISNVGLQNSLNFRIQGHKMKLVEVEGTHTLQSTYSSLDVHVGQSYSVLVTADQPAQDYYIVVSSRFTSTILTTTTILKYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGMVNTSRTIILSSSAGQIRGKQRYGINSVSYVPADTPLKLADFFNIGGVFRIGSISPNPPGGGLFLDTPVMGADFRSFVEIVFNNPENIIQSYHLDGYSFFVVGMDGGQWSSASRKGYNLHDAIARCTVQVYPKSWSAIYVPLDNVGMWNLRSEFWARQYLGQQFYLRVYTNSNSLRDEFPIPKNARLCGRAAGRHTRPL >KZN03202 pep chromosome:ASM162521v1:3:42410586:42412689:-1 gene:DCAR_011958 transcript:KZN03202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNKGREWWRRKAEGECEIAGGVMRKCMFGGEDIKEVLESLHGASHEEGESLKKGVELGKSSSDKHIDLLRPTARYYSMFKGQSVHNVDREKGRYSLVKDADDYQQGLYDKPLPCFGCGIGWFSFLLGFAFPLMWYYATILYFGNYYRRDPRERAGLAASAIAVCNGMYSYAVDRIGGNATILASTSRFYGRNSSILSLYNMTCNVPASGTIICQQRYLLIPPKVLKVERIYVVT >KZN01523 pep chromosome:ASM162521v1:3:18808160:18811502:1 gene:DCAR_010266 transcript:KZN01523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNLRSSKAIDIACPFDPEKLNDDQAEQYLRRLWTLMGKEKAKHCLYIDLLVIKDYYDNRKGREKVLRSCSDHYNIEETNKKRKKGQTSKVVVVEKQVPDVKKKRKLSTEGAEKEEIELEAEKNVDQEHKILIKLSPSLFTNLVQNLTESQREWVISTGFGCIVDFNLISYPKYLGYSLVNSFVSDDCSIVLDKRTIKITDKDVHRILGLPLGPIPISFVNSQQLSKEWRKQFRNTYKSFRVGVKDVVDAIKDSTRVDVNFKKKFILVLICFLIQPPSNSYIRQNFLGICCDLDKCFQYNWCELVVRYLKESSKVWLRNTETRFYTGSLSFLLCLTDPIEHEDDDSSIIPEKEKEINSSSRKQLGEPELDNITKDPSVKDTVENKCKASSERHNDQGNLIVLESLLESYKTLQQTFMLQMSCLKNEMIEDENVERIRRAFINMNDTANMSL >KZN01035 pep chromosome:ASM162521v1:3:11027489:11028197:1 gene:DCAR_009789 transcript:KZN01035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECKVFYFAGQDTTSVLLVWTLVLLSMYPDWQDRARQEVLQVFEDGKADIGHLNHLKTVTMILYEVLRLYPPVAALIRQINEEITLADMTLLPGMQIVLPINQIHQDHDIWGADAKEFNPERFSEGVSKATKNQVAAFFPFGAGPRICIGQNFALVEAKLAMARILRKFSFELSPSYKHAPVDKITLQPEYGARLILHKL >KZN02542 pep chromosome:ASM162521v1:3:34339037:34339432:1 gene:DCAR_011296 transcript:KZN02542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNCMKSLRSITIRSEVIGVLGCRTYAAGKAKKGAKAGGAADAPKKSLLTKELKSTTVVGANILKEGGDPKVLADSEYPDWLWHLLDKRPALSELRRKSTETLPYDDLKRFVKLDNRARIKENNSLKAKN >KZN01415 pep chromosome:ASM162521v1:3:15900944:15908567:-1 gene:DCAR_010169 transcript:KZN01415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFRFLPPILLVVYFVGLCSSVGAYDPLDPNGEIKIKWDLVSWTPDGYIAMVTMINAQMYRHITTPGWTLGWTWASNEVIWSVLGAQATDQGNCSRFHNNTPHSCMKNPYIIDLLPGAPYNQQVTSCCRGGILASQGQDAASAVSAFQINVGNAGKTDSTVKMPKNFTLFTPGSGYTCSSAAIVPPTFALTPDGRRKTRAMISWEISCTYSQMLASRNPTCCVSLSSFYSYEVTPCSACACGCEKINNCIMENDSRIQSAPENSTQINDNALVQCTHHMCPIRVHWHVKTNYDKYWRVKISITNFNYGAKYKQWTLVAQHPNFKNVAQVHRFGYKPLNPYPSTNDIGMFYGVKHYQNEILLEAGSDGNVHSELIFEKDKEIFTLNQGWTFPRKIYFNGDECTMPLPDSYPKLPKSKHLMLQSREVLEDTIKNYGTHGFPECFKLADLGCSSGPNSFLFITTIVDIVHAVCQKKNSKTPDEFQVFLNDLPNNDFNALFKMTPSFSSVLENEKGLEKIVNCFISGVAGSFYTRLFPSKSLHFVHSSTSVHWLSQVPANLLDYNKGNIYMAKSSPRCVYEAYFSQFEKDFTTFLRMRSEEVIPNGRMVLSLVGRSSADHTMKDSCYMYGLLGKSLLDMSAEGILHEEDITSFNLPFYATCTEELEAIIGSEGSFSLDRFETSEVNWDIREEDEIMESGESSGKFIAKTIRAITESMLASHFGDTFIDEIFERCALLVAEHLSRVKTDNLFNIVVSLIKK >KZN02636 pep chromosome:ASM162521v1:3:35402041:35409693:1 gene:DCAR_011390 transcript:KZN02636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEIQHCNSHRHPLTLKENYHPNGDFVCRHCNKAILCKSSVYTCEFNTDETTINAKEKDADCARLFVHKTCTDLSIRFMHPSHEHILTTNPVHIWIQGNKCNVCSTAIGHYPFFYCCTEKCEFRLCLRCAVTPSQEERKIRHWSHQHQLRVVERCATFECDACNKVATDSSYMCDICPFWIHNSCGRLPRLNILVHHNHPLWLSDSLPDIYRQSQQFCKICKVEVDPSHWLFYCANCRFFAHIQCALSKTPVLRDEGANDDAKLMTVPAADEPSLNCMKQKCIKDMISLSVDISVSPPILINHWAHEHPLALEHKSASVITMDDSNQESSSVGPILCDGCTKPISSSSDDANVLYKCNQCSYALHRYCALIPQEMNHSKLGKLKVHKRRALSNILECCENCYVLSNGFTFRPEGESYDYDVGCVSLPEKIKHITHHHPLKQRSSGGGAVCKACSSECWGWLIIVYGCEICDFNLHSSCALKPRTVPHRWDPHPLRLILSIEDDVEDHPQDFECEFCSQVLDSNRVLGFGSGHLRFRSKSKLLRVKVPNYMANKEIQHCNSHRHALTLKENYHPNGDFVCLHCNKAILCKSSVYTCEFNTDETTINAKEKDADCARCFFHKNCTDLSIRFMHPSHEHNLTTNPLHFGIEWDKCNVCSTAIGHYSFFYCCTEKCEFKLCLRCAVTPSQEERKIRHWSHPHQLRVVERCATFECDACNKVATDSSYMCDICPFWIHKTCGSLPRLNILVHHKHPLWLSDSLPDIYCQFQQFCKICKVEVDPSHWLFYCANCRFFAHIHCALSKTPVLRHEGADDDAELMHVPADDEQSLNYMKQKCIKDMISLSVNISVSPPILINHWDHDHPLALEHKSASAITMDDSNQESVGPILCDGCTKPISSSSDDANVLYKCNQCSYALHRYCALIPQEMNHSKLGKLKVHKRRALSNILECCENCYVLSNGFTFRPEGESYDYDVGCVSLPEKIKHITHHHPLKQRSSGGGAVCKACSSECWGWSIIVYGCEICDFNLHSSCALKPRTVPHRWDPHPLRLILSIEDDVEDHPQDFGCEFCSQVIDTNTWFYHCNICDLSFHMLCIDPYFLYANVKFGAMGIKKEDRKETLVLDNEGLPALETRSGRSWKLRD >KZN02932 pep chromosome:ASM162521v1:3:39297976:39299154:1 gene:DCAR_011688 transcript:KZN02932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVYAVRGPEDEEQSNTVRAALAEFLSTFIFVFAGEGSVLALDKMYRDKGLGAASLLVIALAHALSLFAAVASAANTSGGHVNPAVTFGALVGGRISVMRAVLYWVGQLLGAVVASLLLRFSTDGMRPLGFEVAAGVGTWHALLMEIVLTFGLVYTVFATAIDPKRGHLGTIAPLAIGLIVGANVLVGGPFSGASMNPARAFGPALVGWRWNNHWIYWLGPFIGAALSGLIYEFGIIESEAIPHHTHTHHQPLAPEDY >KZN01077 pep chromosome:ASM162521v1:3:11351353:11357599:1 gene:DCAR_009831 transcript:KZN01077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKSDELQSVADAPIQEDPLLAILQNPTRTSSQERYVVQCLTEIFSSEKSQNVQLSTSICPTKSLTGIVMISVSTSTYQTSICPTEAAIANDEEFDNSYLSVELQNDSDEWLALQVERGKKSDTSDTSEYQPTDQEESVETSDEDQLISTMRDKILKKKGKAESSTGAKKRKEKGKAKAKESQKGKQRQDEDEDEDDEDDEEDIQKPVVRTIPRFTAWSDKDLRARERKETSENLFGKGRIRSADESTEQTQEVDRNLDEERKREQMIVELENLAFILVESRKQFDAANRQFNKCLKSCIDYNTVNNNEEFLTRVEAAQVFVCSEADQFENQSRQQEKETDGASQYNFEPLGNPVSEEREVQAEKQAEEERQVEKERNIQEVEEEREVESEKGADGVQKEIEKERPVEKTVSPVQSSKEIEQEKPVEKTVSPVQSSKEIEQEKPVENTVSPVQSSMGSEVIRMLDAAEKDYQEKIRAQEMASNVNVVGIATEAVSGLHDERTSDTEMPVAEHGADKEEAVEEQAAPEALDVSSRKAAQLVKKDGKKVKIIFNTSRRMNVVSSTVPPPQKLTIKTTAQPNVQSSGEVLPSFSLGLTQVEKEAELERQRKSEEEAAQVDKGKRIIHAAEVLKSPWKIRLTRISTKINKEEQKLKDWLLTIDPEGYFLYFDTANAILDNSNCISFQPKQLVTAQVVDAFCHILNMNEMYKAEQSPLRLFVPHHVTVYVLRHSNSEEEEQHFKKFVRDFDDVLAAYDHIKFNDVDLIFFSMTVSDHHYLLCFNIKKPSFEVIDSSALQPDFDAKYQQIPQNIRNFLVRYMVLKNHSKAKDIASLVPVRLEMKWRTEHNHIDCGLFVMRHMEHYQGVSKNWDCGLAVEGKVQDQQLDVLRTRYAHQILLHECNKQKHHVEYQIFEEHVKKAELEKQKAKEKKEKDTKAPAKRQRRA >KZN01870 pep chromosome:ASM162521v1:3:26374005:26375687:1 gene:DCAR_010624 transcript:KZN01870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAYQQFETRDLINEVRQGKELAKQLQIHLNAPTSAHETREYLAHKIIVSFDKILNLLNCGTSIVTGYERVAGGREVRVTESPNSFSGSPRSEDSDQDFRSSDSKSDASRKRKAMPRWTKRVKIQPGTGIEGSLDDKYNWRKYGQKDILGAKYPRGYYRCTHRHAQGCLATKQVQRSDNDPTLFEITYRGRHTCADQSSTIPPPPSERSDPSPSLTANVHDPQLHNQQRSSQEVLLNFKTDLKVITEDLDSHDPVHVPTSFYFPPTSNNINNTSENYSLSHLYMLGDHNNFGSPPFVSPATSGSNYNLSVSPSHMSNFGGNFQALQASDNELNEIISVANSATNSPTVGLNYQFGGVEFNSSFTFDNSGFFS >KZN00791 pep chromosome:ASM162521v1:3:8720756:8723427:1 gene:DCAR_009545 transcript:KZN00791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKYGLKRPPLSPLSPSSIQRGQRRRIPANESSDGYGSRVCKENQHPNLTAQKSTPGGTTQDFCTPSQRRLRDVDLSGIRNDASRTISLIHNHSIDSTSKGKAHNGVDSRSQSGKDDDVTVIVPEPGQLGVKTPEWFQSDSQRGVRNLMESFNSACTTEAGTSATRGAPPMTGNNSSQTEEGSVLIDLPPQSSIRSFHISIG >KZN03927 pep chromosome:ASM162521v1:3:49951666:49954872:1 gene:DCAR_012683 transcript:KZN03927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSPSLLLLLLAIATTLSVYAAKSKDVTELQIGVKFKPQSCDIKAHKGDKIGVHYRGKLTDGTVFDSSFERGDPIEFELGWDQGLLGMCVGEKRKLKIPAKLGYGAQGSPPTIPGGATLIFDTELVSVNGKPSTGNADDEVEEDSEEL >KZN00164 pep chromosome:ASM162521v1:3:2633091:2635678:-1 gene:DCAR_008918 transcript:KZN00164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILTDYEEPAVTVAKPSAPPVTKPFTAVLDTSNPLGFIQSALEFAARESKLFDSDSVVDEVSSLVRVVKEKLDDEEKRKREKIEAEKKKKEKEVSEKKVNGNGKVNADKEKESGKSDEKKEKTALAPNAGNGLDMENYSWGQSLQEVTITIPVPLGTKSRSILLEMKKNHLKVGLKGQPPIIDFDQRQKQMGLPTSDDMQKQDLLKKFMEQHPEMDFSNAKFA >KZN01361 pep chromosome:ASM162521v1:3:14881486:14888189:1 gene:DCAR_010115 transcript:KZN01361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFQATGFQSGMGTAFASPTTYPHYAIQQGIPYNLYGTPHTQQTTLILRFNYYSVYGGAAHAQYPLYGAGGTGGMITGAAAAAAAFYPYLNFGEGTTGGTPAGGYTGGQSYGVQYPHHNLYQYSSLNSAAAAYSQHYGAPMSLATTPGMQSASASQYWFDLFEFMNVGGIVKNTLRPPIPVRCDSEWKNLMEQCWSADPNVRPSFTEITYSLRLMSAKLQGKGPSLAREA >KZN01530 pep chromosome:ASM162521v1:3:18967314:18967839:1 gene:DCAR_010284 transcript:KZN01530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVVKHIAGTTTTNILNEMKKSPSGAFISDLYGKIVGKEISVKIDLTEANLNGDNNIYEAVDIFDPSVQQRGAVEVVHGIELFQMPSSSNLYPRRLRK >KZN00828 pep chromosome:ASM162521v1:3:9032528:9039858:-1 gene:DCAR_009582 transcript:KZN00828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQARWDHRTPDPVDPPDDWVNGSWTVDCVCGVNYDDGEEMVNCDECGVWVHTRCYRYVKSEKAFACYKCKGVKSRRDWESDRMEEERTESEVAQLLVELPNKTVRRGLGSATGNSGFQKRLWTQIPKEERVHVQGVPGGEDGVFSGSGLSSVFGAGLWKSTGYVPKKFSFQYKEFDCWKEGDENVVNNGDAGAQGVASLKDIAGSVADHESLGVGMRTRGEESKKKKREGEDYNGRSTQKSGMKKQTDRNSTMIHYGKRKKEVSIASKDGTGKKKAKVVHVEGDASKKRSPRVSRSASTPLGDAKQSAFHESRSLRVDESVVERGKHDEIKGNVAAEPSLKGFSTESVEVAELKQTPKTSPVVSSHRLSLKARPTDKCGIAGTFQNTSIISDGKQSLPDNNNSERLLAKTEDVGLDNVNDKGGECAHRSDRYSQEVRADYLETIAPRAKDSQNDHDSTSKLSSGRPKQEKTQIDINDSAGVSQVQVSLPCKEKLDDGGPAPNTQNHDIRSNVLKKAACVNDVLFMEQKSDNVYSNTPADCQIDKAGAPSLPIHVPINGQMVDDLGNHVAGGSSLELKQVRHSKEPSKACETTMISSEHLDKHKVIVSFGKSSLTTATTSIPKTPASDSHRIVDAQNDNRSTQQNVVSEHKNSKKEGSDAGFLKDRERYEKPRKISKDVSKSFTSSVKTSNLGKISGSSNSKILSASKESGSFSSAKPSLLQTVLSNSMSGESDTSLQPEIASYAENVTTTSELTQRSETANHLNCQPSLKVNHTLQMHHSAALNTSTAISDEELALLLHHELNSSPRVPRVPRMRNAGSLPQLGSPTGSNSLMKRSSSSCMGKDQGLVFKRKGKSIAAEGSQNSEEDESRNVKRSPLVPVQRTSDPTRTSDSVSKTEVDYGSAAGNASLASAETGKQDVSSIYKSPQNASDEETGTDIRPAQRTLPGLLSMIMTKRMTYEELCNAVLPHWPHLRKHNGERYAYSSHSQAVLDCLRNRSEWARLVDRGPKRRRSDAEAQSLESGDNESKKKDAKGVDSKNVVESSQDQFPKGKRKTRKRRRRLALQGRGLKNMAFRRGRRQKEGSDVSYDEDFSLSSSSSAEESMSTEDETIHGGGGTSSATGRSEASASE >KZN02878 pep chromosome:ASM162521v1:3:38488854:38489940:1 gene:DCAR_011634 transcript:KZN02878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQAGAFNIADFVPVLKPFDIQGLTRKIKVMRKIMDKILDTFITEHEEAAGTTRPKGYEPDFVDILLSVFDKHEENRGDSIDVIDRDSVKAILVDMIVAGIDTSRTTIEWIMTELLRHPRILKKLQQEIKIVVGDADIVEDKHLSKLEYLDMVIKEDFRLHSTVPLLIPRESMEDIVMDGYFIPKKSRILINCWAIGRDPAVWSENVLEFIPERFADKKIELKGHDYELLAFGSGRRVCPGMNLGLLKVRQIVAQLVHSFDFELPNKMLASELDMDEKFGLALPRDNHLCLLPTVRI >KZN03873 pep chromosome:ASM162521v1:3:49521288:49525558:-1 gene:DCAR_012629 transcript:KZN03873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLRLSYVLCMLLLLSSAKAVVLVDEEHPQSRHEHAVEDPEAIATMVNMAIKNSTERRKLGFFSCGTGNPIDDCWRCDQNWARNRKRLANCAIGFGRSAVGGRDGKYYVVTDPNDDDPVNPRPGTLRHAVIQDKPLWIVFKRSMVITLKQELIMNSFKTIDARGHSVHIANGACITIQFVTNIIIHGLNIHDCKPTGNAMVRSSPSHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVMLLGHSDTYARDKVMQVTKRVDQNAHRWRHWNWRSEGDLMLNGAYFTPSGAGASASYARASSLGAKSSSLVGSLTSNAGALTCRRGRQC >KZN03133 pep chromosome:ASM162521v1:3:41607637:41609101:-1 gene:DCAR_011889 transcript:KZN03133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTRRSGNNAAEKMVVRSPKKRPTTKRGLKCRKPQHKQPSKKELVTPCRGRAEEAETENVGGEEIMPLDISSGEESKPQVDHLSMLKSYKPPSYVNTSQENLKTLMDAAAILKDELVSHLEKTAHKLDTVDMLRSANKCFTALKLLKVDYEAFHGEVHKLIKHTLELSGDKEKSTCTDLGLKVAYEKSVSNVNAAREKLTAAEYNLMNAKTDYDYTIEKIKKLKAVLHKLEVEAATERDGIENLTSKRDHCNEAFSLAVRIKLPYEEVLGSLERLWELV >KZN03397 pep chromosome:ASM162521v1:3:44266109:44266916:-1 gene:DCAR_012153 transcript:KZN03397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCVDLECGGGLGSSAVKNYDEDNIRLSEDKEGYSCFSSSYSTANSSIHGSGSDSDSETESERVLDADRASSVVGSDCVVDIDKEVPEHTILTIFSGTEDRDCRICNLSLQDKEYGLALELGCSCKDDLAAVHQHCADTWFKIKGNNICEICHSVAENVDIPHDIESRLPTGVITAETNDAEAWQQITAALTSQAAAMQESSNLETRSFLQLTFMALVIVIVLLVVLFM >KZN02025 pep chromosome:ASM162521v1:3:28536841:28537671:-1 gene:DCAR_010779 transcript:KZN02025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIQSSNNKLFRFNKPSHFSIPILFFIFFLSLSFHPLAIYLLIHLQLLMIHIPHIHLSIFFQLYCLNMICIHSKHSCHHKTPHHDRPPHSVIITLLLLLVISTKFIAAATTGRRILQKPVSPSQEEKLSLRGKIGSKPPQCENRCATCGHCEAIQIPTPHTKRSKNDNSTGRVYKITYSRSDIDNSNYKPMSWKCKCGNIILNP >KZN02071 pep chromosome:ASM162521v1:3:29189680:29194711:1 gene:DCAR_010825 transcript:KZN02071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLVDENFGKVKAKHSSEEALQRWRDCCSVVKNSKRRFRFTANLSKRYEAADMRQTNKENKMRVAVLVSKAAYKFTRVIGAQQLQLSDYVVPEDVKASGYQIGADELGSVVEGRDQKKLIFHGGVPGIAQKLCTSTTDGLPTDSDSLKRRQELFGINKFTESEPRRFWVFVWEAFQDMTLMILAVCAFVSLMVGIATEGWPRGAHDGLGIFASIFLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTRNGYRHKMSIYELLPGESEPVMVSDENPFLLSGSKVQDGSCKMLITTVGMRTQWGKLMETLSEGGDDETPLQVKLNGVATIIGKIGLFFAIVTFAVLVQKLFGRKLQEGTQWIWTGDDALEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMNVKDVSNKESSSALCSEIPESAIKIILQSIFNNTGGEIVVNEAGKREILGSPTDAALLEFALSLGGDFQAVRQASKLVKVEPFNSTKKRMAVVLELAEGGKRAHTKGASEIVLAACDKVMNSNGEVVPLDEEMLNHLKVTIDEFASEALRTLCLGYVELENDFSAENPIPASGYTCIGIVGIKDPVRPGVKESVALCRSAGVTVRMVTGDNINTAKAIARECGILTEDGIAIEGPVFREKSLEELLVLIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVARWGRSVYVNIQKFVQFQLTVNVVALVVNFSSACLTGKIFMHHLGTFLNLIVYKDKFNMGLPYVIHIHSLMSASGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRAPVGRKGNFISNVMWRNILGQSLYQFVVIWFLQVNGKEIFGLSGPNSDLICNTLIFNSFVFCQVFNEISSREMEKINVFAGILDNYVFATVLSVTVFFQIIIIELLGTFANTSPLTLAQWFVSVFLGFLGMPIAAAVKMIPVSN >KZN02625 pep chromosome:ASM162521v1:3:35282687:35286032:-1 gene:DCAR_011379 transcript:KZN02625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVEELLTKKVADRYLKREVLGEGTYGVVFKAIDTKTGQTVAIKKIRIGKQKEGVNFTALREIKLLKELKDPNIIELIDAFPHKENLHLVFEFMETDLEAVIRDRNIVLSPADIKSFLQMTLKGLAFCHKKWVLHRDMKPNNLLIGPTGQLKLADFGLARIFGSPDRRFTHQVFARWYRAPELLFGAKQYGPGVDVWAAACIFAELLLRRPFLQGNSDIDQLGKIFAAFGTPKPTQWPDMIYLPDYVEYQYVPGQPLRTLFPMASDDTLDLLSKMFMYDPKSRISAQEALEHRYFTSGPLPTEPVSLPRPPPKKESKASDFNIQDGPTVLSPPRKSRRVMPNRDGPEGSANHVHRIDDHGNERLAGGERSEHAPMSLDFSIFGMKPPVRPTINR >KZN03794 pep chromosome:ASM162521v1:3:48196583:48201410:1 gene:DCAR_012550 transcript:KZN03794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSTWVRYIANKLEYSVSLSWKGYQGGHITNTEVGDAVWKNFFQGKLTYLHWNKGLEMAPTIAEEGGTLLVRKLPTPNPTQVFVGDVVVMKDPEKSENHLVRRLAAVEGYEMVSKDEKEEPFVLEKDQCWVLADNENLKPKEAKDSRIFGPVPMTDIVGRVIYCLRTAVDHGPIQNSNFGMRRDSPVLEVELDVNEMAKNHKA >KZN00712 pep chromosome:ASM162521v1:3:7831528:7834423:-1 gene:DCAR_009466 transcript:KZN00712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRSISLGNSAPSSSETLFFHKAAKITPRLVTLGLITNAAASSGSGIEYTEQLTGAKPTPKRRKIAGIDQHELLEPALLADSDSCFYEFKGLQIHYKVCHTESEAMPDETSSQLPYKMIKLGIPMILLHGFGASLFSWNRVMKPLAQLSFSRVLAFDRPAFGLTSRVNPFEQLSPSQKDTKPLNPYSTMFSALATLNFIDFLKSDKAIIFGHSAGALVAVQAYLEAPDRVAALILVAPAILAPPFQQKIDPKIQSTADEQTQRDTSNSEVPSNLLNRICNVLSKIYRYIAQAISFVVKRVVDFFKSLYKKALVALLRSTIGVILIRIVIDKFGELAVKNSWHDSKKITDHDLYGYKKPLRAKGWEKALLEFTAATLADSASESKPVSARLNEISCPVLILTGDNDRLVPAWNAKRLSQSIPGSCFEVIKNCGHLPHEETPDEFLSAVANFLYNTFGDLQAQPV >KZN03649 pep chromosome:ASM162521v1:3:46620298:46622364:-1 gene:DCAR_012405 transcript:KZN03649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSLLFSLFFYILCSACVNTIVNAVTSPILCHEDEKSFLLNFKKSLTLSSDSSSYSKTASWKADNSSDCCSWDGVECDKDTGFVISLDLSNSSISGTLHSNSTLFGLVHLRDLNLADNDFKNSSIPPQISYLSRLSSINLSHSSISGQIPFELSGSLDLTSLDLSSNFLNGTFPVAIFDLPSLTVLNVSYNQNLAGYLPVFNQTSSLRALELDSTKFSGTIPASIGNLQSLTKLRLGRCRFSGSIPASIGNLTELTFLSFTNARFEAGKLSWLDKLTKLNSLYLMYTNLYGEIPSSLANLTKLTTLHLQMNHFTGEIPFQLFNMTELINLSLFDNQLTGSISSSFSQLINLDNLNLKKNNFSGIVEADVFLSLEKLTFLSLSQNNISLLTNHLINSTLSHFTGLELDSCNLKEIPYFLKFQDKLETLSLTGNSIHGQVPAWLWNASDSLVEIYLFRNFLTGMGQNLSVLPGTSLRVIDIKDNMLKGNLPVPPTTIMAYYVANNKLTGEIPSKMCGLRSLKILHLFNNNMTGPIPSCLGNSLSVFNVGGNNFSGMIPQSYSEKCDLRVMDLSQNRLEGQLPRSLSNCRMLRILDLGDNKLEDTFPSWLGTLPQLQVLILHANRFWGATASSPGIGSPFPMLQIIDVSQNSFSGDLPLEYIKNWSAMKFLPSDMELYAYTRTPIPVI >KZN01271 pep chromosome:ASM162521v1:3:13987679:13993010:1 gene:DCAR_010025 transcript:KZN01271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELDIQIPNAFDPFAEATAEDSSAGSKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNASTFLIQVGIVKKEHIKIHGF >KZN01168 pep chromosome:ASM162521v1:3:12555596:12570026:-1 gene:DCAR_009922 transcript:KZN01168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRFILLQNRQGKTRLAKYYVPLEESEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKVWDAIKILDAADVDVPEASSDVVEIYSLRTVSKSLAGKPDGWNREHLWPRSYGLITHQTLTDVHNIRAVDANVNSSRGNKYYGECSPDTSHCLRPATREAAPDTETDKKRWAPPLQVRGDIARALMYMAVCYGFPQSDGGVNLRLSDFPRTAKNEMGLLTTLLRWNDLDPPSREEKLRNERVCKLYQHNRNPFVDHPEYASLIWGQVPPSRKGSYVHRAKYGLSNSTRTIKRDN >KZN01779 pep chromosome:ASM162521v1:3:25036817:25037599:-1 gene:DCAR_010533 transcript:KZN01779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEDKEGRFRETLLGKRVDYSGRSVIVCSNIFLNKDKKVRRGDFGPAKTL >KZN00139 pep chromosome:ASM162521v1:3:2315736:2322859:-1 gene:DCAR_008893 transcript:KZN00139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLNSNPPENFYLDPQMGLPLQNIGSFSAPPGAPDPALSDDLSIKIRKPYTITKSRESWSEPEHDKFLEALTLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKSGTNEHLPPPRPKRKAAHPYPQKAPKNAPVLSQVNASFQTSPLPETGFMRRSNSSPLLRIPTASTAAVRSQTENSTQTFDLSNMTKGDIRSARQPMANNCSSSSESTPKTQQTGESTDQRNHGSSSLRVLPDFAEVYGFIGSVFDPNTKGHVQKLKEMDPIDVETVLLLMRNLSVNLTSPKFEDHRKLLSSYQIDLEKENTDDMVNNLLDDKADHNAQFEWQHRSISG >KZN02667 pep chromosome:ASM162521v1:3:35650004:35650606:1 gene:DCAR_011421 transcript:KZN02667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTLITFLFLLIALLSYSHVSGNAAPDVVRDTNGDILRVRTAYLILPCLSSTGGGITLASLRNESCPLDVVQSLDKNDSGVLPLEFYPRNSKKGVIRESTDLTISFAGEASCVESLIWKLVNYNGEHIVSTRGLPRNPGAETWFKIEKHLSGYKIIFCPTVCKTCKSLCGNIGISAKNGARRLVLTDEPFMIKFVKTHY >KZN00115 pep chromosome:ASM162521v1:3:2050955:2051740:-1 gene:DCAR_008869 transcript:KZN00115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQNQRLNVVPTVTVLGVIKARLIGATRGHALLKKKSDALTVQFRAILKKIVSTKEEMGTIMKSSSFALTEAKYVAGENVKHIVLENVQNASIKIRSRQDNVAGVKLPKFEHFTEGETKNDLTGLARGGQQVQACRVAYVRAIEVLVELASLQTSFLTLDEAIKTTNRRVNALESVVKPRLENTITYIKGELDELEREDFFRLKKIQAFKKREIQRQLEAARKFAEDQAVEKVSLQKGISVNAAHNLLTATMQKDDDIIF >KZN02390 pep chromosome:ASM162521v1:3:32982376:32983952:1 gene:DCAR_011144 transcript:KZN02390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSMSFTGCEEVRGEEDQSDDEGSQSLGEKKRRLNLEQVKALEKSFELGNKLEPERKVQLARALGLQPRQIAIWFQNRRARWKTKQLERDYTILKRQFDALKADNDSLRTQNKKLHGELLALKGKESGSNIENRPINLNKESTHHEGSWSNGSDNSCDHVNTTAGLTQLFLQSSSTPDLMHPHQKLNQTVNPDETFCSMFNSIEDHPGFWPWPEQHQNYN >KZN00301 pep chromosome:ASM162521v1:3:4009078:4026050:-1 gene:DCAR_009055 transcript:KZN00301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEESINMSIISSSSSSESNSMVAATMGRVMATLLGTRTKKLSDSISRLDYSPKNTLQAVSLEDSLWILYKYVRDSAQGEFSLDHVLVPIIEHSLKCKDIKRRNQTMILLDWLFQDSAIFESLATNFSTILLRKDDHYIALGWCILTRGLLEDDILKEKLSTSGTEKYDSLLRILSPCVKHLIILCSGSISQGGFELPTRLSVAAADCVIALTIALTRKSVLSDFSENKGKSVNRELPNKLTLGRSGASNLKNVKPASISRESSSSTEIGLLLWDLLDEVIVLVQKLSAWSRKSRYLHAKGLDSVLLHLEDHSFSQNYKELLDHFISGIQFYADNDELKDNKDSGTETLNFFLSCLLLLLGRCNGRQFESAMSEYGLKMCGLLVSQLHSADEDVVDGAMFLIKSVLFGTNSSPAASCLPDTRHIDAIVPSLLHLLDGEDGASKAAATIIAEFCLLSSNGNCLKDVLERLAAGTFLQRKNALTVISQLVHMSFDSVDDLSHLPWQDVSDHLLQCLRDDDLVISTQASKLLPLIDPLIVLPPLVHLVYSDKGVQSSACSTILTVLKNHNKRFDVISCLLDCLSNLSEGLDHSDTRSDIKQDGSKLDTDRLLKLIPEWFLSCISENLADAADVVFQRLISHAREVKGTLEGEDSGGLQHSLFDHLCPLLIIRLLPLRVFDDLQASSVYGELVERIMMQDYRYFNSSDTDCVASLLLNRAFDRLEYEDVRKLAAELCGRIHHHVLYPIISTQLEDAASSNDVLTIKACLFAICTSLVARGKFSIWHPALLKIREVIETVLLWPSTDGDEVSKAQHGCIDCLALMVCTELQNPKSSRTSSVDDIKVTGNATSSEKAASRIAVHTYVIHQLTCDTNEHISSAKVIVKRRMLEATLAHSFRLCMANVLISACQKISNSGKKSYAQIILPPIIKFVEARSNSEIRSACIQILFSAVYHLKSVIIPYSNDLLKVAVTSLREGSEKERMAGAKLMTALMASDDMVVQSVSPGLLEARSLLLSISSSDASSDLRLVCQRLLLCMTSS >KZN00375 pep chromosome:ASM162521v1:3:4685392:4686242:1 gene:DCAR_009129 transcript:KZN00375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCYDTSLNSDARLSPPHKRKRLEKDVVIAIHDGEYHAREFLFKKVLAKSDVGRLSRLIIPKRFAIQHFPSIDVNARIEDCVFHIDFYDARKNLWTFRYCFWKCSRSYVFTGGWNKFVKAYKLQAGDNVLFYKNYSGDESFFGIEVRYAGARNVVGSLANNLYNGEELMNDDTVRVKEEHEVSASQLKEKDVMLFGVRIKRASKDFQGGRSNDVIKEEEDYSVRRADCWRVKEEEEEFEGLKLDLNLSL >KZN01529 pep chromosome:ASM162521v1:3:18883096:18885545:1 gene:DCAR_010260 transcript:KZN01529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYPSFMSKTWFTAEDVEELRSGLLNLFRKTGSGAWKSKCDIDMLRLIDTCKSAGNSVQRSNRKNPKRGRPDCKKDDDGKIGDAEVQTDTQATPVEEMHLERGNWCSLKRDDGDLDWDLKLKSTTKGQEWPCRTAYRASSSRTSYHQEKQEWSDNNRDVKVQGTEPSSIFEQVKTSEWIGCTTIDEDKFVNVCYSH >KZN03341 pep chromosome:ASM162521v1:3:43834663:43852810:-1 gene:DCAR_012097 transcript:KZN03341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELLPSVDEFVKIGGLRCATASVAVKGVAALVLLITYPDQLTNIIFSQDGALKGLSKDAPIIIYSTISPVYIQKLEKNLAESLGTAYIVDIYVSKAVSDAMNGKTMIISSGRSDAIAKAQPILNAMCDRLHIFEGELGAGSKVKLVNELLEGIHFVASVEAISLGAQAGIHPWILYDIISNAAGNSWVFKNHIPKLLRGNQTKNHFLNTFLQNLGIVLDMAKSLTFPLPLLAVAYQQLLAGASQSRGVDDDSTLIKVWEKVLGVNITDAANAEKYKPEELANQIVLKSKTVSRVGFIGLGAMGFGMASHLIKSNFVVTGYDVYKPTLSRFENLGGLIGSSPAEVSKEAEILVIMVTNEAQAESALYGDLGAVSVLPPGASIILSSTVSPAFISQLERRLKNENKNLKLIDAPVSGGVKRASDGTLTIMASGTDEALEHAGSILSALSEKLYVLRGGCGAGSGVKMVNQLLAGVHIASAAEAMAFGARLGLNSKLLFDVLTHCVGTSWMFENRVPHMVNNDYTPLSALDIFVKDLGIVTRECSSRRVPLHIATVAHQLFLSGSAAGWGRIDDSAVVKVYEALTGVKVEGKLAALNKESLLKSLPSEWPFDLTDDICRLEKLNSKTLVVLDDDPTGTQTVHDIDVLTEWNIESLVEQFRTKPSCFFILTNSRALSSEKATALITSICRNLQSAANLVEHTEYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTINDIHYVAEDMRLVPAGDTEFAKDAAFGYKCSNLREWVEEKTGGRILASSVVSISIELLRKGGPDAVFEHLCSLPKGSVCIVNAASERDVAVFAAGMIQAEQKGKRFLCRTAASFVSARVGIIPKAPITPVDLGIDKESSGGLIIVGSYVPKTTKQVEELKIHCSSTISSIEISVDKLAMKSLEEREEEIHRAAELADIFLGACKDTLIMTSRELITGKTPSESLDINFKVSSALVEIVRKITTRPRYILAKGGITSSDIATKALEAKRAKIVGQALAGVPLWQLGTESRHPGVPYIVFPGNVGDNKALADVVKSWARPIRSSTKQLLLNAEKGKYAIGAFNVYNLEGIEAVVAAAEELRSPAILQIHPSALKQGGIPLVACCISAAKQARVPITVHFDHGNSKKELVEVLQLDLDSVMVDGSELNFTENITYTKFVTNLAHAKGILVEAELGRLSGTEDELTVEDYEAKLTDIGQAQEFIDETGIDALAVCIGNVHGKYPASGPKLRLDLLKDLHDLCSKNGVCLVLHGASGLPEELVKECIKHGVRKFNVNTEVRKAYMDSLSNPRKDLVHVMASAKEAMKVVIAEKMHLFGSAGKA >KZN02898 pep chromosome:ASM162521v1:3:38740559:38742116:-1 gene:DCAR_011654 transcript:KZN02898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASQQVIAIFLVTYVVAVSSGPSIVTYIFGDSLTEVGNNNYLQLSLAKSNFPYYGIDYKGGMATGRFTNGRTIGDIISEKLGIPSPPPFLSLSLNDDAILKGVNYASGGAGILNDTGIYFIQKLSFGDQIDNFNKTKEGMKQKIGSEAAEKLCSEAIFFIGIGSNDYVNNYLQPFLADGQQYTHDEFIGLLISTLGEQLTRLHQLGARKMVFHGLGPLGCIPSQRVKSKRGQCLKQVNEWVIQFNSRVQKLLDALNRNLYGAKLAFADTYSAVWDLIHNPTAYGFKISNTSCCNVDTSVGGLCLPNSKLCKNRTEYVFWDAFHPSDAANVVLANKFFSILFPEPHPPSPTPLPHY >KZN02149 pep chromosome:ASM162521v1:3:30228997:30230820:1 gene:DCAR_010903 transcript:KZN02149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPNGSHDGVVSSKSSGLGDRLTVLSIDGGGIRGIIPGTILSFLESKLQELDGENARLADYFDVIAGTSTGSLIAAMLAAPNAENRPLFQAKDVVPFYLAHGPRIFQQSKWPLRIPKVLRALKGPKYDGKYKRKMIRNLLANTRLHQTLTRVVIPTFDISLLQPIVFSTSEAAVDASMNPLLSDVCISSASAPTYFPANYFKTQDPQGNHKEFHLIDGGIAANNPALLAMKPTGMAFNDSPEDRTPADPLQYGKYLVLSLGTGTSKTEKKYNAKMAAKWGVLGWLYSHGNSPLVDAFTYASGDMVDLHMSLIFRSIKREDNYLRIQDDSLKGKAASTDKATSKNMEELVEIGQSLLTNPLSRMNLENGKQEAVQNGGTNQEALIRFANLLSQEKRLRQQRSKNLKDLS >KZN02705 pep chromosome:ASM162521v1:3:36286783:36286965:1 gene:DCAR_011460 transcript:KZN02705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLFFVHPKLGERCAFSTLFGKVICLKIEFPRDIISLDIHPPYQLSQHSIIIFAWICQLF >KZN01815 pep chromosome:ASM162521v1:3:25602567:25604094:1 gene:DCAR_010569 transcript:KZN01815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLYITSVFVVLVLVSYNVQELKAQLQVGYYGASCGMAEYIVKDEVRNAFYRDPGLAAGLVRLHFHDCFVRGCDGSVLIDSTASNSAEKDSPANNPSLRGYEVIDNAKARLEAVCRGVVSCADIVAFAARDSVEMTGGLGYDVPAGRRDGRVSLASEASSSLPSPIDNLNQLTRSFANRGLTQEEMVTLSGAHTIGRSHCTSFSNRLYNYNTTSIQDPSIDSLYAQQLKQQCPQKSPDMANLVVPINPSSPAVADTDYYMDVLANRGLHNSDQALLSSPMTANQVAQNAMNPRLWGSKFAAAMVKMGQIGVLTGKAGEIRATCRVIN >KZN00424 pep chromosome:ASM162521v1:3:5230315:5231451:1 gene:DCAR_009178 transcript:KZN00424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDENPNPNPNPILLEELISNILSRLPVKTLLRFRCVCKSWLSLISAPDFIRTHLLNSTHSTHHHHLILSEVPLSNHVYRPFIGIEGPSEYLRLPSCSLYSLLNDHESAEAIELDYPMKNIDRFTYVVGSCNGLICLACFDTSTFLWNPSTRKSRRLPNLEDDHTVFGFAYDESNDEFKVFAFIANSGRDLNELVYYKASVYGSKSDCWRMIENLTFGEVSEPGTLANGAINWVAKKDSDNYIVSLDIETEMYREIMLPQDKKDLDSLSLHTLDKKLCVVCYIGNTHADFWIMNEYGVEESWTKLFKFSYMDDQLPWNPRLIPICFFLSGDILMFIESAIVRYKPKDNKFEVLLHADNLSEAHVNVYVESLVSPHPN >KZN02047 pep chromosome:ASM162521v1:3:28807291:28807866:-1 gene:DCAR_010801 transcript:KZN02047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSEGVFETLFPEFEGGFTPWNDQEPTFFLDHQDQGSVFSPTPLQEPVFSNSGSDNSDRSISGSVVTNKKRDSPDSVLNHLPVIDERKRRRMVSNRESARRSRMRKQKHLDNLRNRVNRLKIENQDMLNGLRWVAHHSQLVRTENERLRSESHMLRQKMRDMHQLLLARHIQEQLFSVAWPCNNVTHINE >KZM99943 pep chromosome:ASM162521v1:3:288518:289882:-1 gene:DCAR_008698 transcript:KZM99943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDHSNMTKDSEKKKTEFEKLVEVCQMALHLFKQTEFQQAMKQNNMTSSLRCSVPDENICSASFIKFKPPGMVSSGEQVSKRSCTTARGDEPSSSSYSCRYDRKRTVAEHDYLNDKKVWSSRSCRYNGKRTVAELDYLNDKKVWSSRSCRYNGKDRDDRKVFVDRKGKRKLRCYYDEEEEEEEDSDCPEKRRLCKNIMAKNKSVQVASLPLKFKNRIREMGGDDVKLIIQKHMFAADVAQGQNRFSIPINQVREDAKEFLTEEEISRVPMELPFIEPNLDLTRIVIRKWNYSGKSSSYALSGPWSAIRTRNNLQAGLEMQLWSFRVHGDLNLALVEVLRDNKQDHQVDGIARTSKINAESRDQQHDIAQFKSRNEADRDYGHVPRAAAAAAVSTHDQMDSVASTSKINAESRDQQDAIAQFKSTNEADRDGGHVLRDADAAAISTHDQMETID >KZN01698 pep chromosome:ASM162521v1:3:23247493:23250507:-1 gene:DCAR_010452 transcript:KZN01698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSISMLISSTPSSSSCSIYSHPPSILGFSRYYRPNPRTPIQSFSKAAAAFGSDGSEAEQFSENNTIADFMRFKNGSGNSQLQTAVVSYRKKFPWSILQPFLQVDLVSTIHIADKEYFDILQKELEPYDCVLYEMVTSRESLDNKRKLVATKKLKSSRSAGFNILGCIQRQMARFLTLDFQLDCLDYQGDNWIHADLDFETFKLLQEEKGESIFSFARDMTIRSTKALVQTAAIPEDLGPWRSKLLWASRVLPMPLVGILIIGGLCADVGSQAREYPEVEALSRLDFGGAMKVFLAKRLTSEFTQATADVEEKSVIIGERNRAATEALQRAIDEGHNKIAILYGGGHMPDLGRRLRNEFNLFPSGVQWVTAWSITSRNIASSSLPFLTNLAETLGWPLNRYQTLALLIFSSFLALDLWFWELFFGTSVNWLSNISSEIVQYVDSAR >KZN00309 pep chromosome:ASM162521v1:3:4090288:4103536:1 gene:DCAR_009063 transcript:KZN00309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQEHEDSQSLTSDIDICQQLLTRYSKSSAAQHRHLCATAAATRAIILSESLPLSPLSYFAATIDAISDTSRKLDAYAIAALSSFLSLVLPLVPAKAIAEEKASGAVKVLVEFVQKPSVGSLAPSVRAVVRCVGVLLGFCDLTEWNEVKLGFEMLVNFSIDRRPKVRKCAQDCVVNVLKAFESSTVKHKASKSVLSLFKTCMKTVGDLSAAKSLNGSKDKSPKSEQLDLLHMLNLLKHLLPYLSAKVKKEALSELLKLVTSRFSTLTRHIFDVIKSMFESIDGDGFTPEVDKLVNLLASYVSRRQNPSDTILSAAYLLKISMKNLHFKESITWNSHLLLVVRSLGGLLTSEVPTASHASDYLKELINLHIDVRNILISESQLIETEDLSKMDSVVKSICAVIGNLLSASDEVPNQHILAVISVLYLKLGDVSHLYMKDITCRLANFMRSAGDTEKLQECIGAAVIAMGPEELLELLPISLNAEDFTCSNIWLIPILKKFITGASLGFFVEHVVPLAESFSRASHKVKKSTFKKDLQAQAHDVWGLLPAFCRYPVNMHKNIKSLVKLLIPFVKEDSFMIENIAISLQELVNQNRGVVLGLDKGPGESEKHRVMDGAISFVQQPSYTKKTAERNLKALTSCSEKLLRALTDALFTVPQDKHTHLKEAIGSLASIADSSSTEKIFSSLLEKLPLADVSGDCGELISSGDDSANKEENISKYADIDANRCIMLEVAYAIAEGANKDIVEQIFNLVKQTFQEANEIGHSEAYLTLNKILEKHSWFCSSRFNELMELLVGLKLPVDLISLKRRFSCFQTLLIYAIKTLDDEDKHTFIILNEIILTLKNSDEVIRKAAYDILLSVGSDLQGLSSSTSDGPYYKLINMIMGYLSGSSPHIRSGAVSALSVLVHNDANLCLSVPDLLPSVLELMHSKAIEVIKAVLGFVKVLVLTIQVKDLQTYLADIVNKVLPWSSVSRHHFRSKVTVILEIMMRKCGSAAVKLLVPDKYKTYFKEVLENRRGKTRESNNTEIEPKPSESSFIGQQKRKRSDSDNASKIEHFAELRRQKRERKLKGNANNTDEQRAHYGSSGGTAPLSGKRKIERKNANMRSEAQRHSSAGAKNSSKLRKPSSKRQKR >KZN00396 pep chromosome:ASM162521v1:3:4976317:4980607:1 gene:DCAR_009150 transcript:KZN00396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSFKYVIIGGGVSAGYAAREFVNQGVKHGELAIISKEAVAPYERPALSKGYMFPEGAARLPGFHTCVGSGGEKLLPEWYTDKGITLILSTEIVKADLASKTLVSADRETFKYEILVIATGSTVLRLTDFGVQGADSKNILYLREINDADKIVEVTKAKKKGKVVIVGGGYIGLELSAVMKLNHMDVTMVYPESWCMPRLFTADIASFYEEYYTNKGVHIIKGTVAVGFNINDHREVKAVKLKDGRVLEADIVVVGVGARPLMALFKGQVEEDKGGIKTDSFFKTSVDGVYAVGDVATFPMKMYNDIRRVEHVDHARKSAEQAVKAIYASQQGKTIDEYDYLPFFYSRSFDLTWQFYGDNVGDAVIFGNKNPISQNPKFGAYWVKDGKVVGTFLEGGSPEENKAIARVARARPAADDLDALAKTGLEFALMNLNASAN >KZN02178 pep chromosome:ASM162521v1:3:30565673:30567467:-1 gene:DCAR_010932 transcript:KZN02178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLTVIYMILAFAFFKSLFLFSRRGKKLPPGPFQLPIIGNLATLGDLPHQSLAKLADIYGPIMHLKMGCLDTIVISSPAIAQQVLQKQDIIFSRRFIPDAIFACDHFKYSVAFLPVSPLWSNLRKIIHSNLFSFNKLDANQHLRSSKVNDLIGYVKKCSQTGEAIDIGRAAFTTSLNLLSNTIFSKDMADPSQDSSKEFKDLDIFVAGTDTTSSTVEWGMAELLRNSETMVKAKAELDKVLGKGKILEEADITRLPYLQCIVRETLRLHPPIPFLLPRQIAEEAEVNGYTIPKNSQVLVNVWAIGRDQSSWKNPLSFYPERFLDSDIDLKGQDFELIPFGAGRRICPGMSLASRTVPVVLGSLINCFDWELEGKISANELGMDEKFGITLGKLHPLCALATSVPV >KZN01788 pep chromosome:ASM162521v1:3:25091728:25093110:-1 gene:DCAR_010542 transcript:KZN01788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPEGITIKIKAKVIEVEGPRGKLTRNFKHLNLDFDLITDETTGQKKLKVDAWFGSRKTTAAIRTALSHVNNLIVGVTKGYRYKMRFVYAHFPINASIGGASNAIEIRNFLGEKKVRKVQMLDGVTITRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSEKGTIVTETE >KZN03558 pep chromosome:ASM162521v1:3:45797666:45798025:1 gene:DCAR_012314 transcript:KZN03558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLELVDSPIDSPAEKVKAQFKITLHDQINKENRAREGASKFYLILEESSVKLVLMALLISVAATHWFGASAGSIQGWNSFIRLKDLKKSSNGFLVDDCCVFEAKVTLLCATYQESLNP >KZN03384 pep chromosome:ASM162521v1:3:44211526:44213121:-1 gene:DCAR_012140 transcript:KZN03384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLEDPYSDDEGYEPIDESTETVDSKNYKVLTKDNIQQNQEHDVALLSSALSVPRTAAAVLLLNYKWNVENGQEAWFSDEDAVRESVGLLMKPDAGSRKHGELLVCGICFEPYRYNALCESVVAVAYCDHSFCAACLRIYVSVAIDEGPRCLDLRCPEMSCRAVIGKDMVNLLVTRKDRKKYFEFYLRSYVELNSERKWCPAPDCGCAIEYELGSESSGVICECLRSFCWHCAEDSHSPVECETVKNWILKNNSESENVTWVLANTKPCPKCKVPIEKGIGCMHMTCKPPCGYHFCWICLGSYRSHDSRACNGYKETVRRGESEVDRLRASAREYIRRYAHYFERWNANRKSREKAEADLLEVKTTKLQQLGEKLCLPAGQLNFVTEAWEQIVECRRVLKWTYVYGYYMAPEEQTKTELFEYLQGQAEVALERLHDYAENKVQEYFRDDADLEKFNEVFRKELANLTRVTGRYFANFLKGLENGLSEVDDAPLEVRHVSRTDGDWQCPICTFLNDGEHNICYSCMMVRGS >KZN03953 pep chromosome:ASM162521v1:3:50151987:50154453:-1 gene:DCAR_012709 transcript:KZN03953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQESKNFGRGPRELTGAVDLIYHYKLLPHHEFFCKRSLPLSISDTHYLHNVVGDTEIRKGEGMQLDQLIQSTSYPRESNVRIQPFDIDVLREAFQLRETGPVDLPTSEKGTPTIAGKSRSESKDKEKKHKKHKDKDREKDKEHKKHKHRHKDRSKDKDKEKKKDKSSQHHEKKRKHDGDEDINDIHRHKKSKNKSSKINEMGAIRVAG >KZN01019 pep chromosome:ASM162521v1:3:10867918:10868430:1 gene:DCAR_009773 transcript:KZN01019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGKELVEGSSRSGDPPPSPSRYESQKRRDWNTFRQYMRNQRPPVSLSQCTSTHVLEFLGYLDQFGKTKVHMQGCIFYGDPEPPAPCTCPLRQAWGSLDSLIGRLRAAYEENGGSSETNPFASSTIRLYLREVKEGQAKARGIPYKKKKKKSKGDDDSTTGGADFPNLH >KZN03097 pep chromosome:ASM162521v1:3:41259292:41261381:-1 gene:DCAR_011853 transcript:KZN03097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANIVQRNSVMGIMKQDSDTGTPRIGDSRKRKSRSTKTKSVAETLAMWRDHNKKLDALNASAKPIRNFHAKGSKKGCMKGKGGPDNTRSNFRGVRQRTWGKWVAEIREPSGGSRLWLGTFPNAVEAALAYDEAARAMYGAGARLNLPDFSSYKESSGGSAAPSMSCADSVLTHTSSNHTGACAYTDPKVGIDDLEMKCSDVEGESKAPAIEMKCSDVEGESKASEAQNYQSPAVVEAMNEVKDERVEIKKEQEDNNDVFNMDKGLLLGEMFDVDELLQSLNTYTLPDQASNTEWFYNNDQDIGHFLQDVELISNPSDLSIQQQYPDIKPDDMFNEQTGYNQDLDFLLPGRPEDSNFLPDELKLLSMDANSGF >KZN02875 pep chromosome:ASM162521v1:3:38439993:38441083:-1 gene:DCAR_011631 transcript:KZN02875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLELIILFLVSFFPIPSLSISDAFVYGGCSALKYVPNSPYESNLNSLLTSLVNSATYSAYNKFTITGSSPNDVVYGLFQCRGDLAMPDCATCVARSVSQIGSMCRQTAGAAIQLEGCFVKYDNTSFIGVEDKTVVLHKCAPSIGYDTDLMNRRDAVLRSLGGSGGPFRVGGSEDVQGMAQCVEDLSAGECQDCLKEAIGRLKSDCGGAVYGDMFLAKCYARFSTSGAHAYAKSNHGNSHSDGEKTFAIIIGLLAGVALVIIFLTFLKKVFGGHGK >KZN03875 pep chromosome:ASM162521v1:3:49542392:49545275:-1 gene:DCAR_012631 transcript:KZN03875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLRTLKKKEEVDTLIRDTIDKVLVLRFGTSTDSVSLLLDDVLSKSERDVSKFASIALVDVDSEDVQVYVKYFDITFIPSTVFFFNAHHMKMDSGSADHTKWVGAFHCKQDFIDVVEEIYRGAMKGKLIVNCPLPPERIPKFQLLYKDV >KZN00800 pep chromosome:ASM162521v1:3:8825886:8826641:1 gene:DCAR_009554 transcript:KZN00800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSAVSYVISEEQDGFVAVDFDLINGHDDEIRDWEIVDDCSSIGENSDDDVEFTRSVQSDECSSDEIAVEDLDSMPNYSPEMFGSRHRSTAADGDVIEYVQGEEDEGAGDSSDNLCENGEDLHELFAGEDSKSVLENIVDDREIDTVESMPPVITRNRQVYHELLSYRTYRDYDYDYSYDRREEEEVDYDLDDELVPYSAKMKLGRERMRKLGKRSCSKLVKSKRLTYNDNKAGCVRGKHGLGLKHSLI >KZN03166 pep chromosome:ASM162521v1:3:41917888:41918265:-1 gene:DCAR_011922 transcript:KZN03166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITGRLTKIKSALKKWQSFGKLGRSNSSIAASSTSFSDEDLSSDQMSSQDNFPVYVGKSRREYLVSSEILEHPVFCELVEKSGDEDGNIVVSCEVVLFEHLLWMLKNADPQPESLDELVEFYAY >KZN02620 pep chromosome:ASM162521v1:3:35240076:35253841:1 gene:DCAR_011374 transcript:KZN02620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIQSRTHFSLRFLFITKPFNLAPTLSFARPLVSFSKVSSTPLRLKRRGNHLSSNYLYKQSFGYGRYAYEEYDSGLESDRDSQSSKQLGASTLDNVEEWKWKLSMLIRKKDEQEVISREKKDRRDFQQISALAARMGLCSQQYSKVIVISKVPLPNYRSDLDDRRPQREVILPYGLEEELVAQLKKYIVKKSTNKEFVLDNAISRSSTVGNLASNQQIYEQEEPIKRTSPGAERILQRRSLEILNKQQSWQNSLEGQKMQEFRKTLPAYKERGSLLNLVAQNQVVVVSGETGCGKTTQLPQYILESEVEANRGAACSIICTQPRRISAMSVAERVAAERGENLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRMLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVRSHFLENILEMTGYRLTTDNQIDNYGQDKMWKMQKQALRKRKSQIASAVEDTLEAFDLREYSLRTRDSLSCWNPDSIGFNLIEHVLCHICRKERPGAVLVFMTGWDDINSLKGQLQAHPLLGDPSKVLLLGCHGSMDSTEQRLIFNKPEEGIRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQSGECFHLYPRCVHDSFADYQLPELLRTPLQSLCLQIKSLQLGSISEFLSRALQAPEPLSVQNAIEYLKTIGALDEYENLTVLGQKLSVLPVEPKLGKMLILGAIFNCLNPIMTVVAGLSVRDPFLMPFDRRDLAEASKAQFSSRDFSDHLALIRAYEGWKNAEKRQAGHDYCWKNFLSLQTLRAIDSLRKQFFYLLKDTGLVDDVDKCNSWSHDEHLIRAITCAGLYPGICSVVNKDKSISLKTMEDGPVLLHSNSVNAQQPKIPYPWLVFNEKVKVNSVMLRDSTAVCDSMVLLFGGSLSRGGLDGHLKMLGGYLEFFMKPGLAETYLRLRKELEELIQNKLLNPKLDINDHNELLSAVRLVVSDDRCDGKFVYGRHLPSPSKKELKKQSKGTLTVGGGDNAKNNLQTVLSRAGHEPPTYKTKQLKNNKFRSIVMFNGLDFVGQPCTSKKSAEKDAASEALQWLIGENQPSQKTVDHMSTILKKSKRTQELHPARWR >KZN03064 pep chromosome:ASM162521v1:3:40786133:40788187:-1 gene:DCAR_011820 transcript:KZN03064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWVISECGLRPLPRIYARPRTEASCLNSRNTMKNLRFLDANVKIPLMGSRSWGLRVSVPMSVPSVSEEGERLKRLNGEEEESVFDPGAPPPFKLSDVRAAIPKHCWVKDPVRSMSYVLRDVLIVFGLAVAASMVNNWAFWPLYWVAQGTMFWALFVLGHDCGHGSFSNNAKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLTEKLFNSLDDLSRKFRFTLPFPMLAYPFYLWGRSPGKKGSHYDPSSDLFVPNERKDVITSTVCWTAMAALLVGLNFVMGPVKMLMLYGIPYWIFVMWLDFVTYLHHHGHDDKLPWYRGQEWSYLRGGLTTLDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLIEATEAAKPVFGKYYREPKKSGPFPFHLLETLMKSFKKDHYVSDTGDVVYYQAHPEIATKK >KZN01612 pep chromosome:ASM162521v1:3:21804091:21808897:1 gene:DCAR_010366 transcript:KZN01612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSIVQFLENRTILVTGATGFLAKIFVEKILRAQPNVKKLYLLLRAPDSKAALQRLNTEILAKELFRVLKERLGSDLYTHLSKRVTPVAGDITHENLGVKDSAMLQEMCREVDIVVNIAATTNFDERYDISLALNTFGAEHVYNFAMKCSKIKLLLHVSTAYVCGEKTGLIVEDPYKMGETLNGERGLDVNHEKQVIEEALFQLNQQNATNEAITAAMKELGIQRARHYGWPNTYVFTKAMGEMLLGEMNLNKQLPLVIVRPTIITSTYEEPFPGWVEGIRTVDSLAVGYGKGKLTCFLGDPDSNIDLIPADMVVNTMIVAMAAHSNEPECEVIYHVGSSFSNPVKYNDVKNFGRFYFTRTPWINKDGKAVKVGDVTVLSSMPSFRRYMAIRYLLPLKGLQLVNIGLCQLLQEKYVDLSRKVKYVLRMVELYEPYLFFKGVFDDMNTEKLRKAAEESSDAETDVFYFDPKCIDWEDYFVNTHLAGLVKYVLK >KZN02347 pep chromosome:ASM162521v1:3:32328669:32329046:-1 gene:DCAR_011101 transcript:KZN02347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSEITDALINRLTSVTDSYPEVLSCIALICGANEETKKLREDHDQLSNKLEEFIKLEKKRQKREKKARRAEHEEFTKANLEAMKELKKMMGDRLNDVEKYG >KZN03428 pep chromosome:ASM162521v1:3:44478950:44480065:1 gene:DCAR_012184 transcript:KZN03428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLSFLIFVVLVVSLSYQNSLRRGFEVDSSSHFVERPVFNATLLKLASVDMGESKLSQEIESLLEGNFKMQGRHRTFMSTGKRRIDVRVRSARGVPVNLRSPEFYRLWLEFRKNLQKWSRRRRFQSNMMTNLVDSFNGLVDVGKKHKTCAVVGNSGILLNHEYGKLIDSHELVIRLNNARISNFERNVGLKTSISFVNSNILHICARRESCYCHPYGDKVPIVMYMCQPVHFFDYVLCNSSHKAPLIITDPGFDALCSRIVKYYSLKRFIQVAAKDVGEWGSAHDGVNFHYSSGMQAILLAVGVCEKVSIFGFGKSDKAKHHYHTNQKAELQLHDYEAEYEFYRDLVHKPRVIPFISDKFKFPAVVLYQ >KZN02745 pep chromosome:ASM162521v1:3:36850825:36852719:1 gene:DCAR_011500 transcript:KZN02745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCTPSVCPPPSVWGLGMLRYGYHSCKKIIRVINMWDNFSSSTPFEAVTNFLLLDTEFKYAVTMMEVLVPEHRTYVICNACTGIQNSGEENNYCAQCGRTNCTTIQKQLIPVLVTDGEVLAKPLITGKALKQLTECTSKITSIITTWPGTCTRFPRA >KZN03865 pep chromosome:ASM162521v1:3:49453195:49456150:1 gene:DCAR_012621 transcript:KZN03865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFFLKRRRRSGYGASYELIHTSPSEFRATVQRFTGHSNSYNLFDRCLEELNRLLSYTDCLLIQRCQISCYCRQLRLLSKEFRRTLTTCHESYLSELLTDPTLHILVTRIIEHKILGSRSSGFLDFSQDLNAVGGRIAFEVAVIYKACSQDFDKASKDLASRFYFDKKTFQEYEEVLVGFQEEANSLLQQLASITKKRLQVISIVGMAGNGKTTLVRKLYNDPYVVSYFHVRAWVTCSQVYDKRDLLLAILRSVVEITDEVYSKNDGMLAHDVYRALKGRRYLIVADDIWSNEAWDDLKRSFPDDNMGSRIMLTTRLKDVALHAQTDGHPLCLRFLTEKESIDLFGRKAFVTTGMRIARKCHGLPLAIVVIAGLLKNNLKIDWWAHAEESLSSYIVTDESQFMDTLALSYNNLPQHLRSCFLFFGAFPEDHDVPVRKLIWLWIAEGFIHHNDTEKNLEDVAENYLMDLIGRSLVVAELLTLIISSKKNVFVHKNIWKMINLRHLCIKTGKNVLKILEEEPGFLENLQTLSLVSPARLSPIVLARIRNLKKLGLCGPLTTKCGEFKLPDLGLLMHLEILKLFNTTVLCNAGRLSNSIIFPETLKKLTVSNTCLDWTEAWVFQMIPKLEVLKLKFHAFVGKYWETSPNTFPSLRLLKLDELDVENWTGFRDHFPVLQRLQVWRCPCLLEIPEDFGNICTLEWIELSGCNHAAKNSAREIQKEQERNGNDSLKILHPSQPWTNKSHTQEGRSGQ >KZN00509 pep chromosome:ASM162521v1:3:5933353:5934228:-1 gene:DCAR_009263 transcript:KZN00509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNYKHVEEDEDEGYIDMDLSSFSSNLFRHSINISPPPPPQDIEFEFFSNNQKSETSIFPADELFYKGKLLPLHLPPRLQMLQNLLQPSTTTTTSISRSCDVSPSESFRSTSDKQLDDHLFAELTDEKFNVGEVPKKSWPKKKLRLIKKLLAGTHLKSLFSKSSCSDESIVKTAANKGDPGNISKGETDRSSKKAKNSARRSGWPIRQEDPFNFSHRRSFHGEIRHHSMNKCSYSASLSSSCSSCSSSSSFSFDTNGVRGLDLHKRRISSGSDTEGSIDAAIAHCKNSQQ >KZN01011 pep chromosome:ASM162521v1:3:10787028:10788510:1 gene:DCAR_009765 transcript:KZN01011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKVPNMAPNLAGLSSYSHHQKLPSMENLNSLQSHPWVDDYLNFSSSTKRRSHRRSASDSIAFLEQVSVDHEEECKVRPLAPGFRTSHAAAAQEFDQFDEEQFMAMFTDDEAVDLTVICSSPSSPSHHNCNIEDDQQMQLGNGFRSGSTLDGQNAAGDGVATIDNYNDRNFDSRRVKRILANRQSAQRSRVRKLQYISELEHGVNSLQAEISVLSPRVAFLDHQRLVLNVDNSVLRQRIAALSQDKIFKDGHQEALKMEIERLRQVFNYQQSVKKTDDDDTTLPLAESLVQTSPEKVTKHPNCSSFNDQIMIR >KZN01714 pep chromosome:ASM162521v1:3:23684294:23686032:-1 gene:DCAR_010468 transcript:KZN01714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTIDLTGDGGVVKTIVRRAKADAITPSESLPLVDVHYEGTLAETGEVFDSTHEDNTIFTFEVGQGTVIKAWDVALKTMKVGEIAKITCQPDYAYGSAGSPPDIPPNATLIFEVELVACRPRKGSSLSSASDERARLDELKKQRELAAANKEDEKKKREEAKAAASARIQAKLQAKKGKGKGKAK >KZN01837 pep chromosome:ASM162521v1:3:25895389:25895764:1 gene:DCAR_010591 transcript:KZN01837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGVSNGMNPASMLHEGRDKMSSRKLLSHDVVLDYEDPGANPKHDPRGKKGGGGRNK >KZN00614 pep chromosome:ASM162521v1:3:6947173:6948416:-1 gene:DCAR_009368 transcript:KZN00614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVEVRVPNLDCEGCATKLRKALLKLKGVEDVDIDMEAQKVTVRGYALEEKKVLKAIKRTGKAAEPWPYPRGYTHFASFYKYPTHVANHYYDTSRNVAPGGVHSFFQTPSVYNVAVASDEAVASLFSDENPHACTVM >KZN02280 pep chromosome:ASM162521v1:3:31582201:31586237:-1 gene:DCAR_011034 transcript:KZN02280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLVPTSEEDPALSVVRFTSEISWADAGPEVAEPQVSRLCLEASECMLAGRWLDLTSLMLTSADVIFSKASDKDLECIFTVICNLVKKTGSLDEALEMAKLISTKVTQQPNDKPALRLKIMFNLYNLLENPYSRFFVYMKALNLAVNGKVIEHVVPSFKKIDSFLKEWNLGVQDQRELFLSVSNILKESKSSAKDSFKFLTKYLATFSDEDAQNMAEAKEEAVRAVVEFVKTPDMFQLHGSRVALWIRIPDRTFPNAGRHRPSSLRFAFQFGKSGEPLQLPRTMLKSKEKRKYGREDRLTADCASLYEEEKERSKSEVKKEEDEVKKTPFALSVCDLLDMPAVAQLEKDAKYESVYQLLKIFLTQKLDAYLEFHSANSSLLKNYGILHEDCVAKMRLMSLVDLGANESGHIPYDLIKHTLQIADDEVEGWVVKAITAKLLDCKIDQMNQIIIVSRCTERVFGLNQWQSLRTKLATWRGNISNVIATIQANKVAEDGAQLMQGLAIH >KZN01567 pep chromosome:ASM162521v1:3:20686291:20686974:-1 gene:DCAR_010321 transcript:KZN01567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPIVLRASTLEVLSEGVDYDGALHVMAAEYHLATPLVPNRKTYFARYCTKHEEGVWVVVDVSLDQILQTQETMNCQKGPSGCLIQEMSDGTSKITWIEHVFVDYSGVSTMYHPILLSGFGLGAKRWVATLERQWQRLATEISADISATREFNNLCK >KZN02654 pep chromosome:ASM162521v1:3:35578410:35581840:1 gene:DCAR_011408 transcript:KZN02654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIKSEQIRKTVDAQNFDSTTVKSSDLQSDVLSRTPAKANETLPSRDRREATELPQKYKTMAEVFNRMTSSLRLLSLRKKSPTFKNVSSQVQILARREFSYKHLAQIMYILPEAVKTDRILIHNEKTMCMEPEMKITLLFDIVKGHDEGSDYLALFVLFTSRLFDFLSANTEGCEIPEAELPEPFNKQSINITEDSLTLDSSTVPTPTLGEAELSNASNLPSSFSRHFSQKAITERTQLLASADPLLPTKCDSLTQEDTEPQKQSPASCVDSTISTNPVELTKPPCFNPITCENTPIKFTSEKENLMVETPAQMTPQRSLPSCDVKLKTGSVLQKTTSSLSAKRTLDFSYSEGKGRILDFGDDITEHGEVAHNTRPGRETTDVVENETACLAVPPVKVHSCTSDNSIFNQSGSTSRKQILSCLPDIVVVVNNIFQCADRTSITKEELVHKIIMNNLDIVERRVVEEQIDLLEKLVPDWIGKKLAPSGDILYKLVSHFHLSYSDSVC >KZN03958 pep chromosome:ASM162521v1:3:50209731:50215177:-1 gene:DCAR_012714 transcript:KZN03958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGEDAGTLEYTPTWVVAAVCTVILILHFDNIQYLKKKRQRPLYEALEKVKEELMLLGFISLLLTVFQSTIVKICVPQDITEHLLPCPLSGKPSDHNSTPDESADEGFCAAKGKVPLLPLEALHHLHIFIFVLAIVHVTFSVLTILFGGVKIRQWKAWEDSIQQENFDTEEGGASWVLVNAQSVRVGCIPFSSNFMDLLPNQIMYHFDLVSSLGAFMFFLLPGGGRPTHCRGNPKFNFHKYMIRALEDDFKKVVGISWYLWVFVVLFLLLNVHGWHTYFWIAFIPFSLLLALGTKLEHIISELAHEVAEKHIAIEGELAVHPSDDHFWFHRPKIVLFLIHFILFQNAFEIAFIFWIWVQYGLNSCIMGQVRYMVPRLVIGVFIQVLCSYSTLPLYAVVTQDLKHICSSIYNHASSNIRTRVNFLFSAAALHSVRKERFNCNSFSSPLQPGQVLRMLIGQGKSELEFFPPTGNLRNYK >KZN02108 pep chromosome:ASM162521v1:3:29672956:29674151:1 gene:DCAR_010862 transcript:KZN02108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIELMPFGYNNGNNNYMDEKTAVQEAASGLESVGKLIKLLSQQQQQQGQVEENESSDDKKIEMDCRAVADVAVTKFKKVISLLDRTRTGHARFRRAPVFSKPVPVSPENKVYCPTPIQQIPPPGNYQMDQNSYGVSRNGVIDRKESASKTISFSYSPAISRANSFMSSLTGDTSESKQQMSSSSGFQVGKPPLSACLMKRKCSSSENGGSGKCSGSSGRCHCSKRSRKLRMKRVIRVPAISMKLSDIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLIVTYEGDHNHSLSLPDSSPAHILESS >KZN02676 pep chromosome:ASM162521v1:3:35754658:35757563:1 gene:DCAR_011430 transcript:KZN02676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDILDNLSQSVEGFSKIHVALESFSILLHAANLLKGEKIDGSDKGNEVQTPKEPQDGASEKELGGASASASERIEAVQKLHSIIIQRDSSQDRHVKGWIREANAARGETCGSLIYLAFDEVIQEAVVVGQEAECEAVLASRENEDPKLYEKRRETLYDVPRKKFRKSRNEKEFRCCNSGQILWFNIDGARQFSSLALMLRIEEIEDFVETPEEDALVADLNLLVDELKEREQKAKEEMRARK >KZN03544 pep chromosome:ASM162521v1:3:45643047:45643312:1 gene:DCAR_012300 transcript:KZN03544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGLPPPIRLMNFISEDQLEEAKRTRGARLEDGTAQRDRPLFEV >KZN01012 pep chromosome:ASM162521v1:3:10799519:10803084:1 gene:DCAR_009766 transcript:KZN01012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFRVHDRVFSCVFIYLLVSSFCNAASGNHAKLHAVGGIVDYSSVTGKQQKIAMEMAIVDYHAQVRQLHPRVVLHVMDSRKDPLRAASLAKKLIKKENVSVIIGLDRYDEAVIVAQLGNTSQVPVLSLASDVPFSAPSLWPFLVNMARSQRAQMKAVAAIVQSWQWRKVTVIYEDTPSSVNGIFPDLIEALQEVNADIDYYLPLQAFPLYSIQEKLRDLQRRQSRVFIVHTSSSLAINIFLEAKRLKMMKKEFVWITTDSISNFIDSFNSSTISAMQGVLGVKGFSAFYVQKRYKDFSMRFKAKFRSEHPTQKYMQPGTFALNAYDALYTAVLAIEGKQNPKSLAHNLINHTSVDGEKLLARILQSKFMGLSGEVNFKGGTLAPSKIFQIVNVIGKSYLRLGYWSEGLGFSMNINNGTKYSKSMKILGQVNWPGRSSTTPKGWAIATSSNRLRIGVPGRNTFKEFVNVTYAHPGKPIVGGWSIDVFKAVVQNLPYNLLYDFFPYNGTYDALVQEISLSTFDAVVGDTNIVANRCAYAEFSQPYSDSGLQLLVYSKSKTSAARAWLFMKPFTTCTWISTALVNLYSGFVVWFIERQTNRDFEGSWFKQCGTIIWLAFTTLFTSLQGDKLHSNLSRMAAVIWLFVALAITSSYTASLTSFLTYQNFDPPVTTVETLIRTGAKVGCNGNSFVVKYLQHVLDFDPQNIVRIYKEDDYPEALRSGQIAAAFLEVPYIKLLLAKNCKGFKTGESYKVGGFGFVFPKDSPMLTDISQAVLNVSESGTLRKLEKTMLDSNKCSESDDKDDYSLGLDSFWGLFAITGGASTIAFLFYFFPCRIPKWSGFSSRVFSETEPKHETHNLPGRFASSQHDPDQDERVLFDAEMKNQ >KZN01166 pep chromosome:ASM162521v1:3:12527660:12528106:1 gene:DCAR_009920 transcript:KZN01166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTQILEYSLTLPFDQMPQFFTYVDTQNIYTQLPPAFFFFFVSHSSVNITTDKDTQYDHRHNQLFLIKNHACSYGYVTTSVFGRDFWRFRSRLFRIRGRTNWHSTITDTINSFSSRIMRVHMGTSLPAFSVATSGVSGRVCSGFGDE >KZN02234 pep chromosome:ASM162521v1:3:31169317:31172196:1 gene:DCAR_010988 transcript:KZN02234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSKTEAPFWFLIFLWLSCSADGLLSSKGVNFEVQALMAIKASLVDPHGVLDNWDADAVDPCSWTMITCSAESLVIGLGTPSQRLSGNLAPSIGNLTNLQIVLFQNNNISGQIPVEMGWLWKLQTLDLSDNNFYGGIPSSLGHLKSLQYMRLNNNSLTGEIPESLANLSRLTLVDLSFNNLSAPVPRISSKAFNIVGNTNICATGVEPDCNGTTLIPMSMSLNNTQSALHPGRPKSHKLAMVIGAIIVLISLLIFGFGLYLWWRHRHNQQLFFDVKDGHHEEISIGNIKKFSFRELQIATENFSSKNLLGQGGFGDVYKGCLQDGTAVAVKRLKDGSTSGGQKQFQTEIEMISLAVHRNLLRLYGFCMTATEKLLVYPYMSNGSVASRLKGIRGKPVLDWGLRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDEYFEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEFGKAANQKGAILDWVRKTLYKILHFINSLALNLSNWSIIWYSNVMQVKKVHQGKKLDILVDKDLKYKYDVLELEEIVQVALLCTQYLPAHRPKMSEVVRMLEGDGLAERWEASQKTEPAKYRSHELSSSERYSDLTDDSSLLVQAIELSGPR >KZN00162 pep chromosome:ASM162521v1:3:2589806:2601272:-1 gene:DCAR_008916 transcript:KZN00162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAPNSIVQPRSIHNLGCIAKIKPVLNPQLSVLKHAGVKTNVSPPACGLGLERTRFYGRRFFRGSGQERAHVWQTDGPGKDPKLKVVEVRSSMSQVPEKPLGLYDASFDKDSCGVGFIAELSGQSSRKMVTDAIEMLIRMTHRGACGCEENTGDGAGILVDLPHEFYKEVVKDIGIELPPPEKYAVGMFFLPTSESRREQSKTVFSKVAESLGHTILGWRRVPTDNSGLGPSAIQTEPVIEQVFLTPTPKSEAVFEQQLYTLRRVSMVAIRAALNLQNGSMKDFYICSLSSRTIVYKGQLKPDQLKEYYYADLGNQRFTSYMAMVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGFLKCEELQLSKNEMKKLLPVVDPSSSDSGSFDAVLELLIRAGRSLPEAIMMMIPEAWQNDENMDPERKALYEYFSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFKKHVVVDDEALKKQYSLARPYGKWLERQKITLKDIVESVGESDRVSPTIEGVLQASTNDDNLENMGMHGLLAPLRAFGYTVESLEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEKQCHRLSLQGPLLSIEEMQAIKKMNYRGWRSKVVDITYSKEQGRNGLEETLDRICSEANDAIKRGYKILVLSDRGFSSKRVAVSSLLAVGAVHQHLVKKHERTRIALIVESAEPREVHHFCTLVGFGADAICPYLAVEAIWRLQVDGKIPPKSNGEFHSKEELVNKYYEASQYGMMKVLAKMGISTLASYKGAQIFEAVGLSSDVMERCFAGTPSRVEGATFEALAHDALHLHDLAFPSRALHPTSAEAVALPNPGDYHWRKGGEIHLNDPLAISKLQEAARVNSVAAYKEYSKRIQELNKSCNLRGLLKFKEAAVKIPLEEVEPASEIVKRFCTGAMSYGSISLEAHTTLAMAMNKIGGKSNTGEGGENPSRMEPLSDGSMNPRRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIYDLKNANPAARVSVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREVMSQLGFRTINEMVGRADMLEMDKELMKNNEKLKNIDLSLLLRPAADIRPEAAQYCVEKQDHGLDMVLDKKLISLSKPALSKGLPVYMETPICNRDRAVGTMLSHEVTKRYHNVGLPADTIHVKLNGSGGQSLGAFLCSGITLELEGDSNDYVGKGLSGGKIVVYPSKGSNFDPKANIVIGNVALYGATNGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVFDGDTKFRSRCNAELVDLDKVEEEDDITTLRMMIQQHQRHTGSHLAKEVLANFDNLLPSFIKVFPRDYKRVLASLKKEEIAKNAAEKVSRETQQQEEAELAKKDAFGKLKKMAATFLNGEANEEPKAKVSDRPSQVADAVKHRGFVAYERAGISYRDPNVRMSDWKEVMEETKPGPLLNTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPVTRTGKRVAIVGSGPSGLAAADQLNRMGHSVTVFERSDRVGGLMMYGVPNMKTDKVEVVQRRVDLMEKEGVTFVVNATVGKDPSYSLARLREENDAIILAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLEDGNYISAKDKKIVVIGGGDTGTDCIGTSIRHGCTSILNLELLPEPPRTRAPGNPWPQWPRIFRVDYGHQEAATKFGKDPRSYEVLTKRFIGNEDGVVKGLELVRVQWEKDASGRFQFKEVEGSEEIIEADLVLLAMGFLGPESTIAEKLELERDNRSNFKAEYGRFSTNIDGVFAAGDCRRGQSLVVWAISEGRQAASQVDKYLLKKKNDVSSDRQGNVKMDKETSKTTAR >KZN02728 pep chromosome:ASM162521v1:3:36541623:36542117:-1 gene:DCAR_011483 transcript:KZN02728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIIRFFQNLFTITIIIVTVLFLALYLFIRSIPRILYFYHPLTSTQFLNLIDTKNPISHYKSAVNDESEECSVCLSTFENGQVIRKVKQCNHSFHKHCLDTWFRQDRPSCPLCRTSALPERIVARYRRQHDSQVHFGSKEEMLMLLSTVHRLFFTRSAVVVPL >KZN02973 pep chromosome:ASM162521v1:3:39735270:39736822:-1 gene:DCAR_011729 transcript:KZN02973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSELCQCRHCAEQVHKANASVLCVSFLVDGSLLNKPSSKCRFSPNVRRLTTTFGQSEVYTEQVAGRQGHKILTWQNNSEKDLVMREATYLANGKKIVE >KZN03763 pep chromosome:ASM162521v1:3:47897943:47903218:1 gene:DCAR_012519 transcript:KZN03763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEMVSVKREALVACMTCPICNHIFRDATTISECLHTFCRKCICKKLSDEELERCPVCDIDLGVVPEEKLRPDHNLEDLRAKIFPYKRRKLDPSEDLSSFALPSRRKEKSLSSLVVNSPRVSSKTALTGRRSKAPVRKPLRGSSFSVEKQIKKEENFASFISRETPTKQNSSNGEPSSHSTPNIGTENGASTGEGKVNVWKPLNFLVEAANRNKSAKFTSQGSTSKSQPTNAAKAKQLAFRNKRKIEDENYSTGIHLPESRKPKRIQWLHHKKESTFGKTNLSPQEVLDACNIRHEKRLNPVWCSLVASKDLEDYRSLPQISASFLRIKDGSISVSFIQKYLMRKLNLPGEDEIEIRCRGEPVVPTLQLHKLIELWLQKISSSEKVSAKIGSSAKEFVMVLGYARKPPSA >KZN00787 pep chromosome:ASM162521v1:3:8619779:8620627:1 gene:DCAR_009541 transcript:KZN00787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGRCAACKYLRRRCPVDCIFSPYFPPTNPHRFASVHRIFGASNVGKMLQQLPVHLRGEAAETLYYEAQCRIENPVHGCVGIITQLHEEINNAEQELARAQAQLAVHQPHHHHHQQEIEEAVTGEGAEPMVPGDHAQEVAAEVAGNVEDSDQNEFDIAALMSPSDPDWFY >KZN01432 pep chromosome:ASM162521v1:3:16189407:16190248:-1 gene:DCAR_010186 transcript:KZN01432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKFISLCFMLLLVLRYSYADSQIPQVAAAGNDGIAGAVAGNRNPWAVEKFIIGGVLTPKEAKNGNILGRNMMKRRVLMEEMQNTREMSTTILAGAAHSVGNSCSREGKQKFSSDCRRSSRTSRDRHAARKLKYTSFVALNADYHPPKSHAPKNN >KZN02923 pep chromosome:ASM162521v1:3:39072094:39074503:-1 gene:DCAR_011679 transcript:KZN02923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISQDCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMTALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVVPALVELLRGRLTWVEQRVAVRALGHLATYASTFPAVASHGEILELSIQLAMSSLEIVYSHFYQNADRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLSTICKQDFLIKLPGMWGGLVNENSPAGIGLLRTICHHKVGKGPVASCPGMIEALCNIARSSDDWQSMAIDCLLWLLQDPTTCHKVIDTAVPALLDLADISTLGDHKKLGDSIVNALQECIPSLGTARNSVSRNSVSDQIKDEIEEILSSRQRFKWEKNLPKEDLHIKQAASLVVKLEGNSLFSAGNISGAASKYSEALSLCPMRSKKERVVLFSNRAQCRLLLQQPLAAISDATRALCLHNPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSTDPDLSLRQNKVPDYAERLVKKQMREAWLFKEAAIKHGGVHMEGHGGEVYGQESDDSEWETASESDIGNDGRDEMGENDKARYDKPSKGT >KZN02851 pep chromosome:ASM162521v1:3:38045769:38051877:-1 gene:DCAR_011607 transcript:KZN02851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPFTRICALQVKAAHFWWPLQSLCAAFGVDYYLCRNILFDTGHLSITNRAITIFHTIERWVDVNASDHTGQTALHWSAVQGAVQVAEILLQEGASVGAADMYGYQVTIWWAGLMRGVVYGPRLQSCNEMDELLEGAVEDSFVGSLGPERSLFANEPPLGYLD >KZN00579 pep chromosome:ASM162521v1:3:6597897:6600645:-1 gene:DCAR_009333 transcript:KZN00579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYHSSFVNEEGVTKACGCPLLPLKSHIKGPAPVYDNDGTDVVDEAITFFRANVFFRNFDIQSSADKLLIYLTFYINVALKKLEGCRTLAEGTKAIINLGLEEVPVPGESGFPFPGLFVLPKGQEEAELFRNYLKQIREETSGRLLSVAYRSNGTPNKWWLAFAKRKFMNIIAL >KZN01880 pep chromosome:ASM162521v1:3:26502010:26503169:-1 gene:DCAR_010634 transcript:KZN01880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFPYQMISNLRPHTTTEWRLKVRVTRMWPKIDRRGETVGVNMIFVDELAGRIHAWIPAHSFNMLQNLFNEGETYDVRNFVVRPYGAMQTERCFRNDVYIQLYHMTQVVATGGVDYIPRHVFQFTDLPAITNAALQEDYLIDVIGIMEHVNPIMNYRNKYNQENSSITFTLNDMSISAEVTFYNELAQAFEQGIRDADEHPVILIISSCKSTFIRGEPNLSNLPPTRFFINHTHEAVDDFRNALRLADWHFH >KZN00099 pep chromosome:ASM162521v1:3:1915215:1915631:1 gene:DCAR_008853 transcript:KZN00099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFINHCLPTFLIFFIMFPSSFHSYPILINLTNDTKENLRFSCKSSTHKDLAAQTLLPDDFITWNGDINVPGENEIWLCLLNTTPGNLKGLFALFDAKRDQPRCGPNECFWSARHDGIYLYLKQGMTLQFRWQNWQN >KZN01481 pep chromosome:ASM162521v1:3:17051645:17054784:-1 gene:DCAR_010254 transcript:KZN01481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSHIVDVEHFKSVLANDVRIISSLPSTQVMSRPVEEKYTPLHVSPQWIRACYLRRMRREGVLLLRGLDSRLSKDLPSDLQKLMSLINKQLQ >KZN02910 pep chromosome:ASM162521v1:3:38879258:38885490:1 gene:DCAR_011666 transcript:KZN02910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGRAGEAKIPLLDYASTKEIIQGGRKDEDEIKIQDKVWIESKKLWRVAGPAIFSRIASFSMFVITQAFAGHLGDLELAAISISTNVILGFDFGLMLGMASALETLCGQAFGAKDYRLLGIYLQRSFIVLFIVSLLLLPVFFLASPLLKLLGQPDDVAELSGTVSMCLIPLHFSFVFQFPLQRFLQSQLKNIVIAWVSLGTLILHVLLTWLIVYKFQLGIIGTALSMNISWGIIPIALFIYTSCGGCPQSWNGFSVEAFSGLWEFLKLSASSGVMLCLENWYYRILIVMTGNLENAKIAVDALSICMSINGWELMIPLAFFAATGVRVSNELGAGNGKGAKFATIVAVATSTMIGLMFWLLIMIFHNELALIFSSSEEVLAAVNKLSILLAFTILLNSVQPILSGVAVGSGWQSYVAYINLGCYYLIGLPLGIAMGWIFDQGVMGIWAGMIFGGTFIQTLILAFITIRCDWEKESQLKNIVIAWVSLGTLILHVLLTWLIVYKFQLGIIGTALSMNISWGIIPIALFIYTSCGGCPQSWNGFSVEAFSGLWEFLKLSASSGVMLCLENWYYRILIVMTGNLENAKIAVDALSICMSINGWELMIPLAFFAATGVRVSNELGAGNGKGAKFATIVAVATSTMIGLMFWLLIMIFHNELALIFSSSEEVLAAVNKLSILLAFTILLNSVQPILSGVAVGSGWQSYVAYINLGCYYLIGLPLGIAMGWIFDQGVMGIWAGMIFGGTFIQTLILAFITIRCDWEKEAEKAVVHVKQWSGR >KZN00663 pep chromosome:ASM162521v1:3:7428460:7428774:1 gene:DCAR_009417 transcript:KZN00663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAILHDWDDEHCLKLLKNCYNALPEYGKVIIVELVVPEFKSIGSSSKMNYVMDRDILMLAACPGGKERSFKEFQTLAKESGFATAKIICNAGVHNVLEFLKKL >KZN01608 pep chromosome:ASM162521v1:3:21775200:21775532:1 gene:DCAR_010362 transcript:KZN01608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPDQGPPLTQQSQDFEANEAEEDGEVASQESADDETPLAVAAKKIKQMRLRTLGLTTTKDSHIMVAAAKLKTLKQIMDSEKQQEKTVAEQQALEMEDAKRKTVKKEMQ >KZN02505 pep chromosome:ASM162521v1:3:34065886:34070130:1 gene:DCAR_011259 transcript:KZN02505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIDRFIITLQEEGGICYTHPEHLRGIKKDGSIAHYFYKSMNAYASGKRKRRKIQSNDSVRWHKTGKTKLVMENGVKKGYKKILVLYTTVTKGCKPVKSNWVMHQYHLGTEDDEKEGEYVVSKVFYQQRHNPISKEDSVCPEKDISGPDKQEDSKTNNDIKIDIVRTPAQEMEIIKNLHYTSPSGSQSIFETDFPSLSAEEIGKPSSPCKEDITSETDGITDLEDIPANPRVHSVLFEDFTFFSEGHFEWDDKVQTEHNAVTSHMFN >KZN01933 pep chromosome:ASM162521v1:3:27122595:27124565:-1 gene:DCAR_010687 transcript:KZN01933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFASRHSGSDIELQGIILFLSSVIGSCSGSSIGVCYGRNADDLPTPDKVVELVKLQNIKYVRIYDSNIQVLKAFANTGIELMIGIPNSDLLPFAQFQSNADTWLKNNILPYYPATKITYITVGAEVTEAPSNISALVVPAMWNVFTALKKAGLKKKIKISTTNSLGILSCSYPPSAGAFNSSHAYFLKPLLEFLAKSRSPFMVNTYPYYAYRDSPTNVSLDYALFESSSEVIDQSTGLLYTNMFDSQVDAIYFALMALNFSTIEVMVTETGWPSKGSAEEVDATPDNAHTYNTNLIRHVINDTGTPARPGNELDVYIFSLFNENRKPGLESERNWGIFDPDQTSIYNLDFNGRAPVDVTTETNATNSNGTWWCIASSEASETDLQNSLDWVCGSGNVDCSAVQPSQPCFEPDSLISHASYAFNTYYQQNGATDIACGFGGSGVKTDTDPSYDKCLYTTARNNITTASNITSKPPASNSAWKSAPVWLCSVLIVTFL >KZN03480 pep chromosome:ASM162521v1:3:44967807:44968448:1 gene:DCAR_012236 transcript:KZN03480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSKQVDKNTLPGVLKACGGLSGLRVGRVVHGFVVANGFVLDLANLNGLVTMYGKCGDLVSARRVFDGMSERNLITWSAMMGGYGMHGEFGEVFGLFERMVGCGLVPDGVTFTTVLSACSHGGFVDKGVEYFEMMKGRFGVRPSLEHYTCMVDLLGRVGRLDEAERLLEDIGEVEPDSALLGALLGACKMHGRVEVAERVAEKLYGRRLIA >KZN00906 pep chromosome:ASM162521v1:3:9779706:9781579:1 gene:DCAR_009660 transcript:KZN00906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSSKKANINTNMNSHHDNRGMSACVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFSDHHSIKDGERASALELQRNSASSSGMMARSMNEMQLEVQRRLHEQLEVQRHLQLRIEAQGKYMQTILEKACQTLVGENMANSGYKTDHVSGMKEYGHHLNFPSLQDLNLYGGDQQLELQHTMERSSSLDGFLANNDPNICIGKKRPNSSYTSGGTGKSPIIWSEDLRLHELTGTAASCLGSQDHDPFKGDDDQIQIERVGDMDSAADMYERKPMAGGVSIDDKKFDASSKLERPSPRRPNLQAERLSPMIGGGMSRGTSPFG >KZN03125 pep chromosome:ASM162521v1:3:41496729:41498207:1 gene:DCAR_011881 transcript:KZN03125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSVPKFNPLNLDFFSSESNKVIEFITAYYKNVEKYPVRSQVEPGFLLNMYPKEAPSQPISLDTILQELQADIIPGITHWQSPNFYAYFPTTTSNAAFQGEMLCNALNVAGFNWICSPAATELEMIVMDWLGKMLSLPQSFLFAGNGGGVLQGSTSEALICVLSAARDRALKQHGEDSITKLVVYASDQTHFVVKKAAKLVGIPTKNFRVIPTSLATCFALKPYDVKMAIERDLKSGLVPLFVCATVGATPSGSVDPVEGLVLLAKKYGLWLHIEAAYAGSAFICPELTRYLKGIEHAHSISMNLHKWLLTNMDCSCLWVKSPDVLLESLSMTDEILRNEASESKKVVDFMDWQIATSKRFRALKLWFVLRRYGVDNLMTHIRSDIELAKHFEALVNSDKRFEVVVPVNFSLVCFRLKPNEEGEENLKILNWKLMEAVNSSGRAYMTHAVLGDIFVIRCAIGTSLTEKRHVNELWKLILEKTEVILKRDQ >KZN00908 pep chromosome:ASM162521v1:3:9794523:9796058:1 gene:DCAR_009662 transcript:KZN00908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNDNTAPLVEKVEQYSSLEEIIEECVGDFGWIQFLQVVLVSLVWMFDSQQTFISIFTDAEPSWHCLPGYSCASNTCFLPESSWSWDMPRRASVVSEWSLECASSLVTGLPTSSFYLGTLVGGFLLVSLADSSFGRKNTMVLSCIVMSISGLLSALSTNIWMYSVLRFVSGFGKAMMGTCSLVLSSELVGRKWRGQTGTIGFFFFTLGFLSLPGIAYMNRGSSWRVMYLWTCTPGLVYCLLVYLFVHESPRWLFIHGRKEEFLRTLRLIGPKKKGDVLTLASISSISITKNSETMNMYSSLKLLFERKWAIRRLMAAMVVGFGVGIVYYGLPLGVGNLNFSMYLSTVLNALSEFPATLITYFIIGKAKRRKAVLILTTLCSISSLICVITGSGKWKGVQIAMELVSFFSACTAFDVLLIYTLELFPTCIRNSGVTIVRQVIVAGGAASPVLVGRGKGLVSYLVFGLAIGICGVFVMWLPETRGEISCDTLDEEETKESRRASRVPDNVLA >KZN00185 pep chromosome:ASM162521v1:3:2841799:2843124:1 gene:DCAR_008939 transcript:KZN00185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPADWDGLPGDILIVIAHMLTCCEDLYRISAVSKSWNSIVSGLSRDKKPLQLPPESPLLFLAEQVAEGGALSCDFDDEYHEEGMVEINDEDEDDAHEEHYCYHELYDYRKSSVSGTRGLHRLATGKTYSVELPEASGRLILGTNKGWLVTLGRDLQISLLHPLLRQEIPLPHMGTFLHQLEDGELGCISPEDAFEGFIQRVAMSCKLHPSKNNGMYSSNPIVMAIYGRRRYLAYARLTDKVWTEVLFPIMAPFIEDIAYYKGKFYALNGRGDLFVCDIAKGTKIYSWPTDLDIVMHYNNSRTYLVESEFGFWLVVRKFKEKYFKAPHGARAKYRTCNFTLWKMELKYSDHHSELPSCTCIAENNLGDQALFIGRATCLLLPSSEYIRPNCIYFTDDNLDQSYHVGGGHDMGIFNMETNTIEPFYQGKSIHPISPPLWYI >KZN02358 pep chromosome:ASM162521v1:3:32465378:32467228:1 gene:DCAR_011112 transcript:KZN02358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDLIETKLLFDTELKLALEKEPTNIGLLDIQQLINDVFGQQKTTNIPRKSSPDTVLEDDFELRQEEVQQIDVIHFVQSAKATVKTTTLFGDDDKKDEYPSFSLEIDEDIYGNQGEQPATIEEAVPVEESVPITATEITPKPALREKSTRALKMGRYGKSPFLERVIDISSNITNQEFGIWRFLIQNKTPIEQLFVFNDYYCIREDMQTLRIGKNLATSVVDTWALILNDAEKFKSDDSPLRLFCTIGCVISFKTHHCCFHDIQQPTLDANTYQLFADNMDDTLIQFNRTRLDIIEMVFFPICAFEHFYLIFYNIKNAAYEIIDNIDREIDAQICYGDKPRILHYHFTDYLESKGLINISRRLRRLTPTFTKMTWQTTRNSIDCGIFLMRHMESYMGDARTWTTDLNEEQHGQDNQLIKLRIKYNNVILSSQLNQKRKQILKEGKELYIESASRKLVNLVIHSSQFIPIYLGLKYLVLGSHKI >KZN03052 pep chromosome:ASM162521v1:3:40615638:40616202:1 gene:DCAR_011808 transcript:KZN03052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLGPEKRHSFLHGKDFCDKGQAWPSPIQGQGQGKLDPMAGDMEHKHLMLQRFQEEVN >KZN00241 pep chromosome:ASM162521v1:3:3376571:3378488:-1 gene:DCAR_008995 transcript:KZN00241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDMLDYVMVPSGLAIMVGYHVWLVFQILKCPSRTVIGINAINRRFWVNAMMEDPSKGVLAVQTLRNNIMASTLLASTAIMLSSLMAMLMTNKNGTLGDRTTVFVLGDKSAFSYSVKLFCIMVCFLSAFLLNVQSIRYYSHASILINVPYKKLSATYNYSYDQRLGSEYVAKTINRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFLSCIVLVSLLRFLDVTLDFTSDADDHNQGRHVGEPEEP >KZN00422 pep chromosome:ASM162521v1:3:5223287:5223934:-1 gene:DCAR_009176 transcript:KZN00422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEGKPRFLPVSFYDGNHEFNIGQIKLHPSLDYKALQSLLSQRIGISPNQMSIYLVDINNTPKRKTLVTNKASFNLILQEQNCTLLVILKRSRNRRRKSKPTNSHTNPPLAPHNFNHLSTSSVPGFVVWPALSYYDRIAVQEFEDYNNRVRNLYNYYPVIEEPCFVPERWTYSYCDECIDARRQGKTAQFHLCVNDAVTAWFRTPAGPIARPGG >KZN02383 pep chromosome:ASM162521v1:3:32877991:32880120:-1 gene:DCAR_011137 transcript:KZN02383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIKPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTVGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQISTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESGDGDDEDDGEEY >KZN01081 pep chromosome:ASM162521v1:3:11390375:11391347:-1 gene:DCAR_009835 transcript:KZN01081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILIWKNVRYFNVIGSDPEGRLGEAPPPELREQGRISGACFDAARGIIPGLKIRGTDYSTADGTCVRDYIDVTDLVDAHVKALAHALPGKVGIYNVGTGKGSSVKQFVEACKKATGVPIKIEYLSRRPGDYAEVYSDPSKIKRDLNWVARYTNLEESLKTAWLWQKSHRNGYGSPMITSL >KZN03456 pep chromosome:ASM162521v1:3:44782122:44783158:1 gene:DCAR_012212 transcript:KZN03456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAININETKSAEIKPSKSKSGKGKAAALGPPIIVAATKATSDPKGGWKRGVGIFDFVLRLAAIGAALAATATMGTTDQTLPFFTQFLQFQASYDDLPAFTYFVIANGVASAYLVLSLPFSIVCIVRPHVVGARLLLLILDTVMVALTTSGAAAAASIVYLAHNGNPNTNWNAICQQFNDFCQRVSGAVVASFITALIFVFLVVLSAVALRRN >KZN02729 pep chromosome:ASM162521v1:3:36545878:36546369:-1 gene:DCAR_011484 transcript:KZN02729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISQFFHNLYTISIVFLTLLFLELLILLRSISGVLDFSGNSPVTAAQFFKLIERKNPASRYKAGLKDEVKECAVCLSVFEERQEIRKVKQCNHTFHKQCLDTWLQQDCPTCPLCRISVLPEEVVARYRRQRNNQEYYYGSDEEMMVLLSALHGNYLRRLAH >KZN00552 pep chromosome:ASM162521v1:3:6311264:6315339:-1 gene:DCAR_009306 transcript:KZN00552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSYSGENNDPNTIQISTGRTGKSGLGGNPRYITTSQRHLHNKDLSSNNQKSGQGYLTPLQRRLQGVYFLRLSQNVSTSKLINRKQTVFNDGRKFQLPAASKVLNPVSAPPSGDVRAENARNIANRTYRHLNSQYHEAGCRDSHQCSDNTSQHSIGNSLGMKYYLVSAFRLSHLTVHSSTLMVVCQINMNTGSILTYQTNQSRIRRVESSIGHSSEHVHGIAGATHSSGEQVYRIAGCSS >KZN01882 pep chromosome:ASM162521v1:3:26518727:26521972:1 gene:DCAR_010636 transcript:KZN01882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWYQSRRKVQIEDPKPADDGEDEETNDKISLKIKSLDKSVSRNVVNQEPFMGVKVRRKASFVRDYRGDYLDVASIPFLEKILEKQGDKKIFFADKVLKFTSTGKMKRRILLVTDFAVYIVDPDMGTLKRRVSLAAVEKVSLSELSDNFCAIIIPTEYDILLASTRKTEIVNMLMEATKTTSNFELEVYLSNSFEYNAAADVVKEILFEEVEGGVKTKFLRK >KZN03613 pep chromosome:ASM162521v1:3:46325821:46330875:-1 gene:DCAR_012369 transcript:KZN03613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTRAPLTLPFFCTLLIFIINVSGRAPFACDPRDVKVKHLPFCRSSLRTSERVSDLIGRLTLKEKIGLLVDNAAAVPRLGIKGYAWWSEALHGVSNVGPGTRFGGEFPAATSFPQVITTAASFNSTLWEEIGRVVSDEARAMYNGGMGGLTYWSPNVNILRDPRWGRGQETPGEDPVVAGKYAASYVRGLQGNDGGDRLKVAACCKHYTAYDLDNWSGVDRFHFNAKVSKQEMADTFDVPFRSCVLEGKVASVMCSYNQVNGIPTCADPKLLKDTIRGDWRLNGYIVSDCDSVGVFYDSQHYTSTPEEAAADAIKAGLDLDCGPFLGVHTEGAIAKGILKETEINGALFNTLSVQMRLGMFDGEPSAHPFGHLGPRDVCTPAHQELAVEAARQGIVLLKNRGPSLPLSPRRHHTVAVIGPNSDVTVTMIGNYAGVACGYTSPLQGIGRYTRTIHQQGCKDVACTSDKLFGGAVDAARNADATVLVMGLDQSIEAEFRDRTGLLLPGHQQELVSKVAAASKGPTILVLLSGGPVDISFAKKDPRIGGILWVGYPGQAGGTAIADILFGSHNPGGKLPMTWYPQDYLSKVPMTTMDMRSSRSKKYPGRTYRFYNGPVVYPFGHGLSYSQFVHTITNAPTILSIPLHGRHHASANTTLFGKSIRVTHAKCNKLSISVHVDIKNVGSKDGSHTLLVFSRPPAGHWGPHKQLVAFAKVHVAARTQHRVPIKIHVCKYLSIVDRSGIRRIPMGDHSLHIGDTIHSVSLQAETLGVIKS >KZN01132 pep chromosome:ASM162521v1:3:12084715:12085834:1 gene:DCAR_009886 transcript:KZN01132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENPTGKGRRGPGPFDKVYIHVNVNVKVLLKWMNFHLKKAGYEKEDGAAYAHLLTALAPELGSKTVLATDDPTERANLIVEQAENMDCKRNGLHVIMKEIID >KZN03250 pep chromosome:ASM162521v1:3:42891948:42896090:1 gene:DCAR_012006 transcript:KZN03250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKNPNSSDLASEPKKRRRVGIAKIDAGVDPADCIKIYLVSSKEEVDAPESFCVESVDFERFFEEDGKIYGYKGLKITIWVSTISFHAYADISFESKSDGGKGITDLKASLQNIFAETLLDEKDKFLKTFSAERDIVKSMVSNAKIVEHKAPNGHSGDSNSDLKSDASSLEVVRVVGTSVGEVYVRLVPLAMLLVDGSSPIDIMDPRWELYLLIQKKVNDQEDTQHRLLGFAALYRFYHYPGSERLRLSQILVLPPFQRKGYGRFLLEGLNVVAVTEDAYDLTIEEPLDSLQHVRTCIDVQRLLAFDPIKDALASVVLSLKQENLSKRSQTVLFGPPLDVVEEVRKSLKITKRQFLQCWEVLIYLGLDPVDKYMENYRTIILDHIKASVIGKDAGDAGKQVVDVPTEFDQELSFVMFKSQNAESSIVAREDDQNNQEEQIQQLVSERIKEVQLIARKVSQQHP >KZN00875 pep chromosome:ASM162521v1:3:9470180:9472608:-1 gene:DCAR_009629 transcript:KZN00875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGAQSCLTLIVTFLLALCFKSLEARENGVRTKVFLSPKIVLGAGSVANKWFYDIDFPRGHVAIKDFSAEVVDDAGNSVPLHETYLHHWVAVRYYERKGTDVSKHSQSPGLHQANFLVARNAGICNHGLIQYFGLGSETRKTATYIPDPYGIEIGNPAEIPDGYEEKWLLNVHAIDTRGAAQRLGCTECRCDLYNVTVDEYNQPLKPDYIGGLYCCYDKTQCLLKQGFESPRRNLYMRYTVKWVDWRNSISPLKIYIFDVTDTWEKSDKSKKALSTNHHCHIEYTVEACATDMNKEACVDYRRISVVMPTGGDVIYGVAHQHTGGIGSALYGEDGRVICSSTPTYGEGKEAGNEAGYIVGMSTCYPKPGSVRIADGETLYLVSNYSSAQRHTGVMGLFYILVAEPLPNTKSSMQAAVEIHGETEKSTFVWVGVLFGVGIAMAIVFVGYRKLRSPREDEYAPIVI >KZN00897 pep chromosome:ASM162521v1:3:9673181:9679131:-1 gene:DCAR_009651 transcript:KZN00897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVMKQLDGPDEAIQTNTEAMTENISNSHGVDKSTVANVELTDRWSAVNAVLDAPLSKKLASGILFVRQKHKDYVAGLAQFHISREYTKDLRGWKLICSSYITKGADVAEGVMSELQKVPSTVNMVLRINGTCRSPWAADRLGFYKHYLGYTCNFFSQSSNALNELCKIRWIYSVRLQKLELVEGHAYAVSGIVAFSYGSGHNCPGQACFKPRKPVLLYIFDEVPLSSEFDIAGLVVLMARGVGNC >KZN00024 pep chromosome:ASM162521v1:3:1201760:1204636:-1 gene:DCAR_008778 transcript:KZN00024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSNQRLRFSHLILRKIRSFHSTPALNWKPRDEYKLSQVELADRICRLLTLHRYNSIHKLNFEFSDSLLNSVLGSLKLNPGPCLYFFDYAMKQKNYRPGVRCYCKLVHILARGKMYDETRSYLDRLVSENCGGEGFGLTIWRGLVVVYREFGFSGAVFEMIMRVYVEKGFLRDALYVFDNMGKCGRVPSLRCCNGLLGRLVREKEYGTVFCVFDQMRRVGVVPDVYTCTIMVNAYCRDGRVERGVEFLREMEELGLEMNVWTYHGLINGYVGSGDVEGAEGVVLMMRERGIGKNVVTDTLIVKGYCRAGKLEEAERVLCGMVEDGELVMDEHAFGVLIDAFCRVGRMDDAVRVQDEMLKYGLKTNIFICNSLISGYCKLGQIRTAEGVFRSMAKWNIKPDLYSYNTLLDGYCRGGQTKEAFKFCEKMLSVGIEPIVITYNTLLKGLCRDGALEDALNLWSLMLKRGVAPDIVGYSTLLDGLFKAGDYVKALELWKHILARGCTRSTYAFNIMLNGLCKMGKMVEAEQIFQNMQDLGCSPDGITYRTLADGYCKFGDVEKALNVKDVMERKDILASIEIFNSLITGLFKIKKLSRVTDLLVEMNCKGLNPNIITYGALIAGWCRAGLLDKAFNTYFEMTEKGLAPNLIICSALVSGLYRLDRADEANLLLQKMMDFDLVEAHSFFGILTKSDTKKLDVHRIASSLDMSADFSPLPNNVVYNVALAALCKFGKVDDTKAFLVTLSRRGFVLDEFSYCTLIHGFSAAGNVSEAFKLRDEMLNRGVIPNIATYNALINGLCKSGNIDRALKLFHKLRLKGVAPNIITFNTLIHEYCRTGCTSEALKMKDEMIEKGILPSTSTYSALINGLRKQGNTEEALKLLDHTMKENTDPHIKTYCSMAQRNISCGDAQKISELHDIIHVKSLSSAVSSYEPVKSPEILRHEEASDAWNLSDAAYSLG >KZN03298 pep chromosome:ASM162521v1:3:43326272:43331366:-1 gene:DCAR_012054 transcript:KZN03298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFIAGKYKLGRKIGSGSFGEIHLATHIDTGEVVAVKIENNKTKHPQLLYEAKLYNILQGGSGIPGTKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEFVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSSTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRELFTREGCEFDYIFDWTILKYQQAQKNKPQTRPLPGENSSRAMAIDSAKYQVSAGNAIYPAESADRMKSNTAASPGIRMQFRSPPPSRNMVSENPLDNSTAAIGPSTSYSLAGVSKRTVPKPVVPAETTKQGQGDHAATSGSWMSSFRRISSTK >KZN01127 pep chromosome:ASM162521v1:3:12026687:12026920:-1 gene:DCAR_009881 transcript:KZN01127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSKQEEQQLEFRERRCDTGFCRYKLDRSYRKRQSPTNICSPTDQTQFKKRHVMQSSKITARMRLVMFRGTVQNHF >KZN00349 pep chromosome:ASM162521v1:3:4409472:4409807:1 gene:DCAR_009103 transcript:KZN00349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKHFLISLFILIITLHTLPTVRSTSDDSNLCSNSPISLCPISCFRPDPVCGTDGVTYWCGCGDAHCAGTRVAKLGACDGNDSSVSGQALLLINILWLTLLAFFVLFGLL >KZN00344 pep chromosome:ASM162521v1:3:4372389:4375859:1 gene:DCAR_009098 transcript:KZN00344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGTGGVFSRKSLCLHIHVIERVHGDLVIKDINSIDRFVFRTAKVYINGLLHVCEDVTMKEDNTTVKIHLLVSIKRQYSEEFLSFKKAYHLTERHENVSGSLDNKTLMSEKKMSQLFIKSKGGKNFPQLFECAKMTITPKISIDNGDLVVHGKTVLKGVPDNIMLTPGSGAGLVSRAFIGDTSKHQVSVQGISLQVEEESSMTIEDPTICGHYQVHYMFCLTFMLSKLWLMNASVMSLRQTTLTALVLGSHLQVVASVVFLL >KZN01428 pep chromosome:ASM162521v1:3:16123665:16126628:-1 gene:DCAR_010182 transcript:KZN01428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAETPNSNISMKQEPDEQPNSPNTQQKTVISQLLTTGKQKIKNETSCTNSSQSYVCDEGKSIKGYIHTCDNYQQMKDCALADELIVGVPAGDQAYHENIKTEHEDSYAEIQCNVCHNMSNQTLLLQCDLCDSSSHTYCVGLGDTVPEDDWICQNCTEHAEDEQDLKAVGLSGIDSHSGSKNRCHQNVSSTEANLSIHDIVRESGPYNVERSLPNQSRSPLTNAGDDRTVLISCRNRDSRTRALRENWDKIRQGSLSFSSFPIIKPGELSCGTSSATKSSTSDIIPDQATQDIKKAWKMMKAAKSVEKKKYTNTIPCPSNGSKHPLTNTETPKHFPSVRSMLPSSRHSGDKDKDSTNEFKEVARHATYSILAACGIGISKPWVRPFPNPHCSHADTVDGARSSTLMPSSCQECFMAFVKDVVNIILLEKTS >KZN01328 pep chromosome:ASM162521v1:3:14534373:14539142:1 gene:DCAR_010082 transcript:KZN01328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDESQSQQQVVVITGCSSGGIGNALARAFGGEKCLVVATARSLSSMADLDHDNPNYHLEELDVLSDQSVNELVSSVMEKFGRIDILVNNAGVQCVAPLAEIPLSELQHTFDTNVFGTIRMVQAVVPHMASRKKGKIVNMGSVIALGAGPWAGAYSGSKAALHSLTDTLRLELRPFGIDVINVVPGAIKSNIGNAAIANYSRMPEWILYKPFEEAIRKRAYFSQSSKSTPSEEFANKVVAAVLKKNPPAWFSSGQFSTIMAIMYHLPIFIKDFLLKKAMKC >KZN03940 pep chromosome:ASM162521v1:3:50073262:50073819:-1 gene:DCAR_012696 transcript:KZN03940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQLKKNTTTLFLTLLVASTLLLLAKSSPLQSSTTRSIYDILQAYGLPMGLLPKGVKDFSFDDSSGRFEVHLDQPCNAKFENELHYDQNISGKLTYGEMNGLSGILAQDLFLWFAVKEIRVDVPSSGLIYFDVGVVSKQFSLSSFETPRDCLAVQSSDLHHLHIHDETTLIKQPAASPYKVSYV >KZN00627 pep chromosome:ASM162521v1:3:7020372:7021190:-1 gene:DCAR_009381 transcript:KZN00627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATYEVQVTISSAKDLKNINWRHGSLKPYAVVWLDPSSKCSTLVDPQGDTCPQWNQTLVIPFYAPINDSVLRVDIVHADAAEDVKPLIGSAKLPLYEVVDEFGLGAESTQKRLELKRPSGRPHGKLELSVVVRERRSFPPPYAAAAPPAYGGPYAAAAPQQAYGGPYVAPPPYNNYGSYNAQAPNPYPYSSGAPSGYGYGEYNNNNNNNGQGQKSKSGMGMGTGLAVGAVAGVLGGLAIAEGLDYVENEIAEDAADKVEDDLGYGDDGDY >KZN00459 pep chromosome:ASM162521v1:3:5443675:5445094:1 gene:DCAR_009213 transcript:KZN00459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIYKKGSRVEILCEDELPSGSWRSAEIICGDGYNFKVRYDEYFGAAGGETVVEWISEKLMRPCPPQVELRDDWIRGAVLEVFHNLSWKMATVSKVLGRNSFVVRLVGSSSEFKVRRLDLRVRQCWQHNVWTVIGKGVGSDQAGKQNDKLNLIYAHDTKSQGKEIDTKMRSHIQDQYLDAEVNARQSCNSYSRNLKRSFRSCQVEPQEGSAYKYLAAEKEGVSHRVAASPPPKKVEAIASTRKILGEKHLHASNNYRTTRFYQIGVERKKQNGALGSLHAVSVEHNDADNIACSVGSCSVNSNSPHELQYHSSAFEDNEGCNSDAESSSWLGCKEKISLPPTKEELKEKIHSLELHAYRCTIGAFHAAGPLSWEQETLVTDLRISLHISNDEHLVEIKNLMSTTTSVSCR >KZN02192 pep chromosome:ASM162521v1:3:30691327:30691797:-1 gene:DCAR_010946 transcript:KZN02192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGSTLSIKSVHNAALSARNANTPKHAQFVVPTNGRRQCLLLLTSTLSLKAMELPSRAEGIGFFGLRKKLEKAEEEAEEIVKEGFETAEKGLETAEKGIEAAERGVESAEEEIETVLSFGGLAQAGVVAGAEFVGVLVASAVVNGILGPEAQKS >KZN03520 pep chromosome:ASM162521v1:3:45370576:45374617:1 gene:DCAR_012276 transcript:KZN03520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQNIPPHHLFCPHLNPIHVEVLAAQRLRIQALRVENQQLATTDVAIEQQLALSHQTLYHLSSTLTSVKQQGDAQVQKVYEKAVKIEGEVRVYREYEVELGRVRDDVRKMEENKRELEAKLAEVEAEVRNVREEAREVKRVKEEIEVMRRELCEGMAALEYEKKMHALNDEVTQILDENIRSMEREIPILMAEIANAKKMERAAAAVDAASPGSAYASGYGNSDVGYTANFSGSYAAQQKTDVRCYQSLMWSPDHSVILFVYLNFRAMSAVVPSIALNQQLQLILRMAYNRTMHHETLAHFEVMKIVAILDSFVKQSQNIVVVGEHWVLPSGPRPRPK >KZN03659 pep chromosome:ASM162521v1:3:46696127:46696435:1 gene:DCAR_012415 transcript:KZN03659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIRGLASNNAAVIFTKSSCFMCHSVKALFYELGASPAIHELDQYANGREMEYALRRLGCNPSVPAVFIGGKYVGSSKDIISLHVDGSLKEKLIEARAIWL >KZN00250 pep chromosome:ASM162521v1:3:3444251:3448747:-1 gene:DCAR_009004 transcript:KZN00250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVFRKLFDTFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIIKDPFMLNSIILVFANKQDMKGAMSPMEVCEGLGLLDLKNRKWHIQGTCALKGDGLYEGLDWLASTLKELKAAGYSSIGTSS >KZN03149 pep chromosome:ASM162521v1:3:41745690:41746011:-1 gene:DCAR_011905 transcript:KZN03149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFAKIQYKAATSFTRNVHVKLSETPINYSSGFKQTKLVRQDLYLQGKHREESAAWLL >KZN03775 pep chromosome:ASM162521v1:3:47993290:47994456:1 gene:DCAR_012531 transcript:KZN03775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGTSSPCGACKFLRRKCASDCIFAPYFTSEQGPARFAAIHKVFGASNVSKMLVNIPVADRCEAVVTIAYEAQARIRDPVYGCVSHIYALQQQVASLQSQVNQVKSLMAQGLMDSRNEENPWQPGNPLTATTNHYPGYNFMNNNYVSPQSSPDSINHYQDNKEGVMSLDDIQNRYNRLVSLQGQGESCGYGRKRASGNIDQLGELQELASRMMNK >KZN01139 pep chromosome:ASM162521v1:3:12152531:12152779:1 gene:DCAR_009893 transcript:KZN01139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWEEDRISEAFLIAKGANFTKLPLKLVIGGLVNWVGLRMANFDIQEAIAMAMEMDVDKRGGSLDWMMGILACLWKIGNEDK >KZN01585 pep chromosome:ASM162521v1:3:20972563:20973360:1 gene:DCAR_010339 transcript:KZN01585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACESLQHIFETPSPDSPKDLLQSFSTWKHLPPLEVSSLTEVFGELHFEENKEPVSPSLPHSALSSPFSIDSNPRSEEKNEGNYTPPTRKHYKNSDSFSSMNSESLSICTEGLGFESCDNTEDFVDNSGCKHRKARSSITRHFSNEYLSGKRSRITGGEFPPPISCIGRTGKPWVCFKSYRQNGRFVLKEVKTPIQEFLHACRQDGRLKLRLIQSDDEITEEEEEDVSEGECQDEDENSRIHNFGEGEVSKGEKIVEDEGSVEV >KZN03381 pep chromosome:ASM162521v1:3:44197768:44200429:-1 gene:DCAR_012137 transcript:KZN03381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELKKEESFNPKEDRLVQDYSVDHKGKVPLRASTGVWKASLFIITIEFSERLSYFGIATSLIIYLTKVIHQDLKTAAQSVNHWAGVTTIMPLVGGFIADAYLGRFSTVLVSSVIYLLGLLLLTMSRVIPSWKPCASGLCHKPRKVHEVVFFIAIYLISIGTGGHKPSLESFGADQFDDDHPEERRKKLSFFNWWNCGLCSGLIVAVTVIVYVQDNVSWGAADIILTCIMASTIVIFCMGRPFYRFRIASGSPLTPMLQVFVAAFTKRKLPLPSSPDQLHEVPKSANTQGRLLCHTEGLRFLDKAAIIEDKQSTANKQETPWKLTTVTTVEETKLILKTIPIWLTSLPFGICVAQTSTFFIKQSTTMDRNITPSFVIPPASVYSLSAIGMIISVIIYDKLLVPLLRRVSGNERGISILQRIGIGMIFTFVTMVVAALVEKKRLDHFHKDPIKGSLSMSVFWLAPQFLIIGMGDGFSLVGLQEYFYDQVPDSMRSLGIGLYLSVLGVANFLSSFLITIVDQATKKGGKSWFGKDLNHSRLDYFYWLLAAITALNFGAYLIVAQRYSYKSVQARGAVAIADCYEGDSNEEIP >KZN01269 pep chromosome:ASM162521v1:3:13935604:13939152:-1 gene:DCAR_010023 transcript:KZN01269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKMSFLWKNLFIFFLTIIVLKGEEVVAQEKQACLPTDTGPVIPTTPLVIFLEKLQETALNTYGHKGFDPKLYVDMSLRFNLSETHEAFNKLPRTDNGSVLAPHLNGFLSEYMNAAGDDLVYVEPVDFVAQPAGFLPNVDNLEVRAWALEVHSLWKNLSRQVSDQVLDHPDLHSLLPLASPVMIPGSRFREVYYWDSYWVIRGLLASKMYETAKGIVTNLVSLIENFGHVLNGARAYYTNRSQPPLLSAMIVDIYNRTSDLDFVRKSLPSLITEHNFWNSGIHRMNIQDSQGKTHYLSRYYAMWNEPRPESSTIDKETAGKLSNFCEKQHLYRELASAAESGWDFSSRWMRNASDLTTLCTTSIIPVDLNAFILKMELDISYLAHVSQDESTAEHFAEASKARQTAMNTVLWNEEMGQWLDYWIDANSSSQGTYKWKASDQNQSVFASNFIPLWTQPFNSDDDLVDKVSKSLQHSGLLRDAGIATSLANTGQQWDFPNGWAPLQHMIVEGLVKSGSKEARSLAEDIAMKWINTNYAAYKKTGTMHEKYDVEKCGAFGGGGEYVPQTGFGWSNGVVLAFLEEFGWPEDLKIGC >KZN03834 pep chromosome:ASM162521v1:3:48828985:48830093:-1 gene:DCAR_012590 transcript:KZN03834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCTEDCSIRPCLQWIDNPESQAHATTFLAKFYGRAGLMNLINGGPNHLRPEIFRSLLYDACGRLVNPVYGSAGLLWSGNWHLCQNAVEAVLRGDPITQTVAIDAAEVNNGPPHKAYDIRHNSNNHHGVKNRLRFKRSAGKELNGPISHDSTLSHQSEAAMNGEGGSGEMAEGSALFRAPQDWFVPEKDNNVVEAESEEDELELTLGVKKGKSIQGGSGI >KZN00557 pep chromosome:ASM162521v1:3:6352938:6355180:-1 gene:DCAR_009311 transcript:KZN00557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLENSSFKVSSEMEKLLCERLLDENQPISERFRALFSLRNLKGPLPRNALIQATRDSSNLLAHEAAFALGQMQDVEAIPALENVLNDMSLHPIVRHEAAEALGAIGLRSNIPLLQNSLDWDPAQEVRETCELALSRIEGLPNHSESIESSTIGASPFLSVDPAAASSGSSVHQLREVLLNEDKKMYERYSALFGLRNHGGDEAIAAIVKALGANSALLRHEVAYVLGQLQNKSATDALSGVLKDVNEHPMVRHEAAEALGSIADGQCIALLEQFAKDPEPIVSQSCEVALSMLEFEKEGKSFEVTEKKGYLVL >KZN03855 pep chromosome:ASM162521v1:3:49328347:49331861:1 gene:DCAR_012611 transcript:KZN03855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESAMFGLNGDNDDMGWGKNLGVVDNGGEVDDGKWLQEICDTLERKCDEYNQSFLVSSEVKGSGEDDDDDCVILDGDPDKVGVESENVAVGGDSDELVVVGETGQSFLVSSEVKGSGEDDDDDCVILDGDPDKVGVESENVAVGGDSDELVVVGETGQAFQAQMARPGRTEAMNMTTIRGQSSAVPRTNLQPDLASLQSRNTGNNLARNRRHNVGSSGPRVNVTPAAMFKRSGTPGVASASNQRTGHLINGRGSTLYTRLPNAVLAPDNCNNVISAQANGNSSMCGPSLQQYMHQRPNIMAFQSQLQSRLFSQSTVATNQVFTQPLFSDLSNRSTVFLYSTTQPQIPSQKENVGSMSSRPGLPQPQVSLQPDLPNSSANTVPSQPPVSYQTSHASNYQQNIIQQQNQINNFGDTGFSEIALNWLTNTTIPSSQQSQEQNSQLPASGSFDEFDCFFSGSSAFDLIRDPWTLNGEESARISSESATATVDVGTLFG >KZN01149 pep chromosome:ASM162521v1:3:12202832:12208911:-1 gene:DCAR_009903 transcript:KZN01149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVRDVCSWSQFNSFTTPKHCYNFNYNSSKLPRYCSISICRSSLSLNPKPNSDLHSNSCKTGVFDPLGISSDKSFGLNSAWDSLIGLFESSSSTKRDKPLPRGVAAAIEDTSIDFGDFFKGPLPGKFLKLLGFLALSRLGIYIPLGGVNREAFTGSLDQNSILSTLDSFSGGGIGRLGICSLGIVPFINASIVFQLLTQLYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLYLRPYANDFSTQWVLSSVILLTLGSVGTTYIGERITDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYTGLITIVVSFVLLVLSIVYVQEAERKIPLNYASRYTSKAGGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLSALKNAAVALNPGGSLYVPTNIVLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTATYIKTVLSRISVLGSAFLAILAGGPALIEQTTHLTAFRGFAGTSVLILVGCATDTARKVEAEIISQKYKNIEFYDIEKY >KZN01800 pep chromosome:ASM162521v1:3:25302626:25304894:-1 gene:DCAR_010554 transcript:KZN01800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVSICPFCFLQLPIAQLESHANSHFEDEQVEADLELAKEISLASSVSPFHSADTAVVFDERLSSRCEISIDCRGMNVDEKFLCLRDLQVKESFYEVNDGLIDLLRTRLELDYGYSTSLLSGCVDHIQSAKSEAAGWGCGWRNIQMLSSHLIKQRREAREVLFGGCGFVPDIASLQRWLELSWEKGFDTPGSEDFDRKIYGKRSWIGTTECATLFRSFGIRARIVDFCSKELASKTSFPHLSHGEGRIEVCTVNNKLQVNGPMDKYISRGDCSTSLAGSSGKWKPHLTNSGKLKGQHSLIDWVWSYFSDNRSIQLNKNQVIASEKPPLYFQHNGHSRTIVGIQATSQRKGGQQYNLLIFDPAHITQDLERSLKDRGWQALIKRGVHTLQKPHYQICYIDPGIAGAEEMEGLKTLTSVRFEY >KZM99938 pep chromosome:ASM162521v1:3:264451:264858:1 gene:DCAR_008693 transcript:KZM99938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVIVLNGRFAGRKAVIIRAFDEGTRDRPYGHCLVAGISKYPKKVIRKDSAKKTAKKSRVKAFIKLVNYNHIMPTRYTLDVDLKDVVTADCLQSRDKKVTAAKATKAKLEERFKTGKNRWFFTKLRF >KZN03534 pep chromosome:ASM162521v1:3:45545889:45559094:1 gene:DCAR_012290 transcript:KZN03534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEPELVIKQRDGSVLGKKTILKSDHFPGCHNKRLSPHIDGAPNFRQAESLHVYGVAIPTTIGIQNLLDHIGAHISGKKTKVLWINLREEPVVYINGRPFVLRDVERPFSNLEHTGINRLRLEQMEDRLREDILQEASRYGNKILVTDELPDGQMVDQWEPVTQESVITPLEVYLELQNRSYLVDYDRVPITDEKSPKEQDFDILVHRILQADVETRIIFNCQMGRGRTTTGMVIATLIYFNRIGSFGILRTSSLGTISDSASSAADNMPNSEEAVSRGEYTVIRSLIRVLEGGVEGKRLVDKVIDKCSSMQNLRESIATYRNSVLRQSDEMKRAAHLSFFVEYLERYYYLICFSVYLHTERVAINSGSSNHCSFYDWMKSRPELYTIIQRLLRRDPMGALGYARTKPTLLNIPESAKDRPSDMETIACLRQGKVLGSQTVLKSDHCPGCQRPSLPERVEGAPNFREIPGFPVYGVANPTVDGIISVIHRIGSSKGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYRGIDRDRVERMEARLKDDILREANRYHGAIMVIHEDDDGKIFDEWEPVSSEAVKTPLEVFRGLETNGFPVKYARVPITDGKAPRSSDFDTLAINIASACKNTAFVFNCQMGCGRTTTGTVIACLLKLRIDYGRPVKMLLDDYLHKEEDGDLSSGEEVEDHCQESLSSPVKGRPDKKSSHTFGINDILLLWKITTLFENGVKCREALDAIIDRCSALQNIRQAVLQYRKIFNQQQDEPRERRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFHEQGGSKMTFKNWLNQKPEVQAMKWSIRLQPGRFFTVPENLRTPHEFQHGDAVMEAIVKDRCGSVLGKGSILKMYFFPGQRTSSCIQIRGAPHVFKVDGYPLYSMATPTIAGAKEMLSYLGAKPGVKGSNVERVIITDLREEAIVFINGTPFVLRELNKPVDTLKHVGITGPAVEHMEARLKEDIIFEVRKSGGRMLLHREEFNPALNQVSIIGYWEKIFVDDVKTPSEVYASLKKDGFNITYRRIPLTREREALTSDVDAVQYCVDYSAGSYLFVSHTGFGGVAYAMAIICIRLEAEGKCSGAGHLRDDILSYSKQLEKLPVSDDEHRAYLMDVGIKALRSYLFCTSAAETSFTAWMDARPELGHLCSNLKLV >KZN01225 pep chromosome:ASM162521v1:3:13306780:13307833:1 gene:DCAR_009979 transcript:KZN01225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQVASIQRFTLNSHPTYVHRELLLSFDISQTHYINNTSITPTLQQKHKDSTDHLGQKKKKDTRKIRRGSIDIRIWMACFCFLVDQRRMMRRAKPVAGTCSRCGSGAKVADMQVSTRFCNIPLYWESWKAICSCRDFIKSITCK >KZN03112 pep chromosome:ASM162521v1:3:41355212:41355466:-1 gene:DCAR_011868 transcript:KZN03112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNNSNNNRINKDKNMSRNSSPEIEERFPLAAVVNDCVRRWFLDTLKEAKAGDITMQVLVGQMYNSGYGVTMNARKVFDESSQ >KZN02638 pep chromosome:ASM162521v1:3:35414930:35415109:1 gene:DCAR_011392 transcript:KZN02638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMFYINFLILIFFVLKMVVRCSTTIQATSLEPAAALENVPSIRSVLMISRPSWDPTI >KZN01751 pep chromosome:ASM162521v1:3:24538158:24541288:-1 gene:DCAR_010505 transcript:KZN01751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPSRIYRIIKSSTELYFYPYVYHIQAAHSIYTWVTEGHCCPILLLYWWWELRPINAWFSPAGKLTPLHHDPHHNILAQVRMESSEKFCSAALEMKKNSLHAEYLPANPDASILEPNEHGRDVNAADHTGQIALHWSAVRGAVQVAEILLQEGASVGAPDIVICMDITP >KZN02472 pep chromosome:ASM162521v1:3:33747736:33747989:-1 gene:DCAR_011226 transcript:KZN02472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLTVSYTLCSLHNCHLQIVVLHMEKAKAAIPDIFTTQCIPSAMSDDLKA >KZN00888 pep chromosome:ASM162521v1:3:9594283:9597231:-1 gene:DCAR_009642 transcript:KZN00888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDASLNSYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTTKHTGTSTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELMGKPLMKRVMQNWLPASTALLEMMIFHLPSPSKAQKYRVENLYEGPLDDIYANAIRNCDPNGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIKAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIMSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKARSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSGQLRASTSGQAFPQCVFDHWDMMSSDPLEAGTQASTLVADIRKRKGLKQQMTPLSEYEDKL >KZN02515 pep chromosome:ASM162521v1:3:34154001:34155365:1 gene:DCAR_011269 transcript:KZN02515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIQPNSKPWQHLSSSTLNCQLFHVSSETKKLSFGTVTCNSIKDQDNKKAKIKRLVLSQEGRTKLDIRPDKQFYDYPRFVTHVDDKFISTLTSVYREKLRPDTEIFDLMSSWISHLPEDIQYKRVVGHGLNAQELARNPRLDYFFVKDLNQDQDFELESCSFDAVLCTVSVQYLQQPEKVFAEVCRILRPGGVFIVSFSNRLFYEKAISAWREGTAYSRVQLVTQYFQCVEGFTQPETIRKLPGTGGGIQEEKSPLSWIMGLLGLMSGSDPFYAVIAYKNFKPIYD >KZN03327 pep chromosome:ASM162521v1:3:43630268:43632838:-1 gene:DCAR_012083 transcript:KZN03327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLGQYTIISTLVLLLQPYVAVSSPSGATTHLSPNMQKLALFQIKQSLSINACDHVICVEERLPSYPKTMDWSMNSDCCKWNGVTCNQTTGDVIGLDLSCSCLEGSIPSNSTLFQLSYLQTLNFRANDLNGILPERIFHLPNLKQLNADGNFNLTTILPKINWGSRSSLQMLSLQETTISGGIPHSVGYLKSVTIFSLSSCKITGLIPKFIGNLAQLTELNLNYNNFSGPIPDSFANLQNLKYLSLRDNKISGQFPTWVASLTQLKFLDLSGNFLSRPLPSNLTARCLPKLMLLNLSYNLFNGTIPSWLFDLPSITRLFVDHNGFTGQLNEFKSSTLLTFNCANNLLSGTIPQSLSKLASLISFDISYNNFSGVVKITTLNPNIVDLGLASCKIMKIPHYIRSLEKLRYLDLSNNQIGGEIPQWIWKGSLNQLNLSYNYFNGGLQHLPENRLIFLNLQHNKLKGSLPASICNSSSLEVLNLSNNNLSGVLPVCPRSLNYSLAVLDLRMNRIRGSLPSSLSNFRELKSLNLYGNEVEGTIPLSFAKFDNLEVLDLGSNHLKDTFPQWLEALPNLQVLILKSNKFHSTINSLSKIQHPFPSLRIIDLSNNEFSGPLPAKYIENFKGMMNGDANKIESIYMGNSYYRDTVKMVIKGVELEFVRILTVFTTINLSGNSFEGDIPESIGNLKSLRHLNLSHNHLSGHISSLIGELFMLESLDLSFNRLVGVIPQELAGIYTLSRLNLSHNDLNGHIPVGTQFQTFENDSYVGNLALCGPPLSKKCKREFIEMQDEEDEDADYFFSGFTWEAVVIGYGSGVVVGFAVGYIMFRTRDPKWITGIIIKKIGLKVRRSEIRRFF >KZN01172 pep chromosome:ASM162521v1:3:12594819:12595073:-1 gene:DCAR_009926 transcript:KZN01172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIFSFPSRKGGSQGSNEQGLRRQSCDVDMPHEPPKNSCSYLSSNSYYSSSSHYNEAIADCIEFLNKSSHEGGFVSRNSDLMW >KZN02181 pep chromosome:ASM162521v1:3:30584911:30585366:-1 gene:DCAR_010935 transcript:KZN02181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLNEEQATMAGIQAACIEAIKKGWNHTHAEVSERNIYETILMQEHIGLRENQVEAYGAFNTIHVNNHKEGSYDRFISCVPARMNVTVEYLAIYGMNNVTELSEFEGDVGDLLYFLNRDMGLALPRPIRETATLLGDSKTTKKEEESFWH >KZN00084 pep chromosome:ASM162521v1:3:1780366:1780800:1 gene:DCAR_008838 transcript:KZN00084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQIDEDFKTPTTTMAETDDKPPQPSPSISKSPVTFAIWPPSQRTRDAVTKRLLETLSSPSVLSKRYGTLPEEEAQVIAAKIEGEAFATASAAASPDDDGIEILQGYSKEISKRMLETVKARSAESQASNEVESGGDASADTN >KZM99933 pep chromosome:ASM162521v1:3:200974:205018:1 gene:DCAR_008688 transcript:KZM99933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFFVVNIRARDILSANQIIENTETIVSASGEFELGFFSPGSSKNLYLSIRYKKAGGIIVWVANTETPLTDASGTLAFSSKGTLEIINGTNSTIWSSNSSKSLINPVAQLLDTGNLVIRTENDHDLGHFFWQSFDFPGNTFLPGMKLGKNLATGLDWSYNSWKSNDDPSHGNFQIKLGISGYPQLILWNGSDKYIRLGPWNGVTWSAIPNSGPNNIFFDKFIFQEEEIYYEYEPIYDSMLIRIALEPDGRIIRYSWTNQGNKWEPTIFLQADYCDEYARCGAFGSCNVNSLCRCLDGFQPHNVEVWHSLNFSDGCIPKTQLNCSNRDDFVLQLKTKLPDTKGSSYNFNMNLEECKKKCQENCSCIAYANTNITGTGSGCLLWFGDLYDIRDQEQSLHDFYVRVVASGSGSTTSSSTRRIILVVLFPLISISTVVLGFYLRHLCKTRKKRKTEGLLCVQQYPEDRPNMSSVLVMLTSKVSLPQPKQPGFFTERKLDEAYPSSSFRLTDAVKIPPQITVRAMSSDSGYSSQVK >KZN03331 pep chromosome:ASM162521v1:3:43664724:43666060:1 gene:DCAR_012087 transcript:KZN03331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKLDKTLSKAMNATIMGSGDETIVLGHGFGTDQSLWDKVIPSISDKYKVVLFDWCFSGAIKDPDFFDGAKHTSYEAFAQDLVGLMEELKLESCTYMGHSMACMIGCIASTKRPQLFKKLILVGASPRYINSEGYEGGFGSSDIDQLLSNIGSIYEQWATIFPTVVMDANDPQSIEYFTKCLQKTRPEVALPVATIVFQSDERDLLEKITTPCAIIQATNDIVVPNSVAEFMNNKITAKSSVEYVEATGHFPQLTSHLQFNEVLARVL >KZN00215 pep chromosome:ASM162521v1:3:3157920:3159603:-1 gene:DCAR_008969 transcript:KZN00215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQPSAMKSAPSPSNLVTTEQIQKYLEENKNLILAILEHQNQGKVAECNQ >KZN01521 pep chromosome:ASM162521v1:3:18788553:18789974:1 gene:DCAR_010268 transcript:KZN01521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPTFRRWPSQYVSVITLDCFKIQGISDTTNDAGVYFSTDDKVNPTDDMVTSIIHGVSDDIRKKFDNDLDATPQILISNLKEALDDYNSKQEKCMRNLLYIYEQYPGSDSIDVMKNKFVKINEKATYFLYKNLVRGVLNETKTSSGDLEPFNGKFKKQSTILWDIVNSEQHDDNMVFFKWRSVFACKIDFMSLNFGEMVLSSVIDVWSCVLNLLVPTADNSSARRLFCFTQNTIGIINATKQIPFERMNQVFVENIEDTLRIYDVRLQDLSMIFFPMIASNHHYVVVYDLRSPSMEILDNRRSDRTLLQLYGDQIDVLVNTETLIQIL >KZN02540 pep chromosome:ASM162521v1:3:34328643:34330986:1 gene:DCAR_011294 transcript:KZN02540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGIWKKNEITVKDEKTLLFGDKPVAVFGMRNPEEIPWGQAGAEYVVESTGVFTDKDKAAAHMKVIHDRFGIVEGLMTTVHAMTATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMAFRVPTADVSVVDLTARLEKAATYDQIKAAIKEEASGNLKGILGYTEDDVVSSDFVGDSRSSIFDAKAGIALSDNFVKVVSWYDNEWGYSNRVVDLIRHMASAK >KZN01921 pep chromosome:ASM162521v1:3:26967356:26971429:-1 gene:DCAR_010675 transcript:KZN01921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSHNVYSLDEALSAIGFGNFQGLVLAYAGLGWVAEAMEMMILSFIGREVQVEWGLSSSEESLISTVVFAGMLVGAYSWGLVSDMYGRKKGFLGAAILTGGAGLLSAFSPNYISLVVLRFLVGIGLGCGHVFTSWFLEFVPTPKRGRWMIIFSSFWTVGTIFEAALAWIVLPRFGWRWLLVLSSLPSFVLLIFNGVIPESPRYLCMKSRTTEAYDILQKGAHLNQQELPSGMLVSHSTSELNEEFASSEDAYLLSARRKTLASESRTSSVAVIFSPKLIRTTLLLWLLYFGNTFSYYGIILLTSELSGGKSDCSTTSLNLKNTKDASLYLDVFVTSLAELPGLVLSAFIVDRVGRKFSMLIMFILGFILLLPLVTRQNEVLTTLLLFGARMFISATFIVACIYAPEVYPTNVRSTGVGITTAIGRIGGMVCPLVAVGLAGGCNQTVAVVVFETMIVLSGLSVVLFPFETQGEELADHVHASA >KZN02688 pep chromosome:ASM162521v1:3:36024705:36026120:-1 gene:DCAR_011443 transcript:KZN02688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTFVTDVKTVETNAAVKGDPTATFECAVNIDDTKSKNRNGKMNRGKNGEYAKSAPRKLCNNYSSSHHLTNVCKNDVATPINAVKINGNLHRTPIMDKSMNECSDIDCMPCTTALLVVDSIPAVRTSLAVTSTLAVKAHTAFFTQTDSIVSTQPAVTQMQHPSAVLVEDDYNDDNVLISSFIKGTSKPSSSMDFSTAHTHAFRMSEGEKKKREIKEQRVSKQNERHPKCAGKRRGT >KZN01218 pep chromosome:ASM162521v1:3:13198808:13199101:1 gene:DCAR_009972 transcript:KZN01218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGINSSDYKSIGGKRTIAPCSFDRPTVSKVACSAGFASCSSKLLLCCGRPRAHNSSPTSQLVSQYFYLFYRRPFLSHNKTKKDFINLIVEEIIKLF >KZN00356 pep chromosome:ASM162521v1:3:4455380:4455622:1 gene:DCAR_009110 transcript:KZN00356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLEKEKYMSASDHFMKTLTKTKIVPLYPKIVVGALFSAAFHFLVQSCVGLRSQTDIHPIDIKIIATLRIRIEALAMFC >KZN02902 pep chromosome:ASM162521v1:3:38768999:38771142:1 gene:DCAR_011658 transcript:KZN02902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSETEHPVKAFGWAARDTSGLLSPFKFSRRETGEKDVRLKVLYCGICHSDLHMIKNEWGITSYPVVPGHEIVGVVTEVGSKVEKVKVGDNVGIGCLVGSCGSCESCCDDLENHCAKQVLTYGSPYFDGTMTHGGYSESMVADERFIVRWPENLPLDSGAPLLCAGITTYSPLKYFALDKPGTKVGVVGLGGLGHLAVKLAKAFGAHVTVISTSESKKKEALEKLGADSFLISRDPEQLQGAMSSLDGIIDTVSATHAVAPLLGLLKPNGKLVMVGGPEKPLEVPVFPLLMGRKILAGSNIGGLKETQEMLDFAAKHNITADVEVISMDYVNTAMERLLKSDVRYRFVIDVANTLKME >KZN00077 pep chromosome:ASM162521v1:3:1707564:1707857:1 gene:DCAR_008831 transcript:KZN00077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKSLSTILMKSFSLVFVIMVLLTGSQLRVVECRALRGTRANGCDQAGEGAGLIGVTQFGVASDDNSSTDGSNGGSAMRSLMFKLASGPSRKGKGH >KZN00848 pep chromosome:ASM162521v1:3:9230828:9233039:-1 gene:DCAR_009602 transcript:KZN00848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSTLPVNFGILGCAGIARKLSRAINLIPEANIAALGSRSLEKATSFAAENNFPLTAKVFNSYDAVLDDPDIDAVYIPLPTSLHLKYAVLAAQKKKHVLLEKPVAMNVGQLDTIIEACEDNGLQFMDGTMWMHHPRTSRMREFLSDSKLFGELKSITSCFTFAATRNFLENDIRTKPDLDGLGSLGDVGWYCIRSILWATNFELPKYVIALPGPVLNKAGVILTCNASLHWEDGKVANFHCSFLENLTMDVTVIGTKGTLHMNDFVVPYDENKASFTTATGSGLNGLETKWMAKPSEHSVITDLPQECLLVREFSRLVSNIKSNGAEPEKKWPSISRKTQLTLDAVKESLSNGFKKVEIVD >KZN02147 pep chromosome:ASM162521v1:3:30211879:30218010:1 gene:DCAR_010901 transcript:KZN02147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNNNNNKNSFSSDHNYVVGNMSPRISFSNDFVESHSRLVNNMANLHPPPPPPVSSDFEFSVSNHSMMSADELFSKGRLLPFKNSSQQKTVSTLREELLTSGEDDNVAASSSGKPPKSGRWKGLLGLRKSHATSKKQPDNHHHNRSAFDNSQNFTGISVGPFAALILIIGDSGVILGLLPAHVTWTVHALFKTKRFDFMLKVAVLLVLPALFAIWVAFGIATSVLIGLGYGFLNPWISAFEAFRHEQTNEYQKFYYCLVDGTWETIQGSCTMVQDFADLCYHSYHVHLKELQESTSEEDLHPLRLIHIPGCIIVGILGLLVEIPSYAVIAIVKSPLLLLKGWHRLTHDLLHREGPFLETGCIPIAGLSIITWPIIVVGHIAMAILSSIFIGLYGAVIVYQERSFRRGLAYVIAMVAEFDEYTNDWLYLREGSIIPKPQYRKKKSPHLDSSNQAIGGRPISSAIKEAPPILMEKLLPSRSVKETIKEVKMVQVWENMMRSKELRGKELVDANLITVADLYDWLNAKNSEEGAVIGIGLPCYSFYCTIVNSIKSGSAGIMIFDDFEINDLNRPQDKLVDWFHQPVMVLKEQIRGMEESELKYLEKYLLFGNDHKRMEAWDNGGVMPHDAVKAARLEGIARRMVGLVKSTSKFPTYRRKLRQVVKSLVIHALAKEGGPTPTGQVTNMPASAKQGASDELV >KZN01245 pep chromosome:ASM162521v1:3:13608665:13611147:-1 gene:DCAR_009999 transcript:KZN01245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTMLVALVLLVQILGFCIWKKRKHILLMRFEGSLERKFTEFNSKRHKEDVEKPLLPLTIPAAASNDLTISNKLRKVEVGEVFKARKAKLGKVIAPLQQIVSPFGKTDVASVLSETIVHIKFLHEQVSILTNAAMESGASIRPQNCDNSKDSQGQKQDLRSRGLCLVPVSSTHKTTIASWDPSLHSEELMMNFINQYD >KZN03785 pep chromosome:ASM162521v1:3:48133262:48133651:1 gene:DCAR_012541 transcript:KZN03785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSKEGGKDLKQGDDQVTRYRGVRRRPWGKFAAEIRDSRRQGQRLWLGTFETAEEAARAYDKAAYGMRGALAVLNFPNEYPPTLSSGASGSGSGSGLSGGGGTEVFEFECMDDQLLEDMLEFDENKKR >KZN00855 pep chromosome:ASM162521v1:3:9284072:9290106:-1 gene:DCAR_009609 transcript:KZN00855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVLAISLKSFFSALGCLMLATLIYTISIDGLPFRKDLLTPWMAATLIDFYINIVAIGVWVLYKEGNWVSGILWLVLLVCFGSITTCGYIVLQLFKLSPRDSLQDPIYFLLLHDKTQIEVEKKKIYSVVSSRVLFSVLGCIMLGTLLYTLVTDGSPFRKELFTPWMTATLIDFYINVAVLSVCLG >KZN03570 pep chromosome:ASM162521v1:3:45928206:45930296:-1 gene:DCAR_012326 transcript:KZN03570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSKPPRLILFICRLIRKHYRDQKGLQRSLSNAHSVAPETQHKQHCQTTLSERVKWSENAVIFNVEGALLKSSSLFSYFMLVAFEAGSLVRAFVLLLLYPLLCLLSHEDSVNVMVMVSFFGIKKSSFRVGSSVLPKYFLEDVGDEAFEVVKRARSSYAVTDMPQVMVECFLSDYLEIDFVSGRDLKVVCGYYVGLMEEKKNSTSLEDKFDDANIFKNVIGLDNMDTSLQHPLFSHCKEVVMVSEAEKLKWNILSKDRYPKPLIFHDGRLAFKPSPLAMLAMFIWLPLGSIISIIRICIAISLPYTISTPLLAYSGIKLELSRPNHHLASPNSQSQTKGSLYVCNHRTLLDPLFLSFGLVKPLAAVTYSLSRMSEFLSPIRTVRLTRNRDKDREMMDKLLNQGDLFVCPEGTTCREPYLLRLSPLFTELTDDIVPVGVDTNVSMFHGTTASGLKCLDPIFFLMNPTPSYTVQILDKICGVSSGNIDTQSSRFDVANKVQCELGEALGFECTMLTRKDKYMILAGNEGVVSTERKQ >KZN01590 pep chromosome:ASM162521v1:3:21087482:21088923:-1 gene:DCAR_010344 transcript:KZN01590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAIIKRKHFEAVKNDLSAELTKFTYDSEKLNNDLRGNNEDFEPMLYIKNIFCFKTGAAAQNLIVW >KZN01118 pep chromosome:ASM162521v1:3:11926447:11927141:1 gene:DCAR_009872 transcript:KZN01118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSREVKAIQLKLKKLQAESNKRDAKLYSNMFSQMTKRLKLENVEDTKKNEVTMAMETENVGVSCGSPDNEMIVDPR >KZN02188 pep chromosome:ASM162521v1:3:30659647:30662930:1 gene:DCAR_010942 transcript:KZN02188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQINSIPASNSHLPWPSSKIHYFFTSYKQLKPLSFSLKASSFEAQDPKLTIPARLPVTIRRSGSVFQYSWDGNQLKLISLDKRSSLSSSLDDFEDGYKRLFKFVSLPVRNFFLPREISGNYVEYVKWKFLHRVFSSALQVLATQAMFRAIGIGYAHSLPSAAALNWVLKDGLGRLSRCIYTACLASAFDTNLKRVRFSTSVVFSLSVGLELLTPAFPQYFLLLASVANIAKQISLACYLATSSAVHRSFAIADNLGEVSAKAQIQSVSFDNLGLLLAASLNMLFKNSPRLQAGLPFAIYPVFTAIDLFGIYQGLKHVHLQTLTKDRLEIILNTWIEVGYIPSPAEVSNAEGIDFLSNGGRELWNIRIGCLNTKSQIPMLSMMTVKSLKNEDLYFICMEISQHKLLRKNHLGILLCVREGAQTADVILGLLQACYLRKRLLCSATKWDTILEACHKSDLVLGDWFSLVEDSKHSALANFDLLKEKLVEAGWASKNILLSTKEQARYSFLDDPQG >KZN00765 pep chromosome:ASM162521v1:3:8261368:8264649:-1 gene:DCAR_009519 transcript:KZN00765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNSSEVDEYDHRSDAYTLSADVSESESAITLSDSLTNSPPRFRSIPDITLPLECHANVVEIRDATDPSEVELMKERFAKLLLGEDMSGGGKGVGTALAISNAITNLSATVFGELWKLEPLASQKKSMWHREMEWLLCVSDSIVELIPSFQELPGGGTYEVMVTRPRMDLYVNLPALKKLDGMLLSILDGFRDTEFQYVDRGGILDNDSEQNESHPRSPFSRRCSVRLEEKWWLPFPKVPPRGLSDNTRKRLQQFRECTIQIFKAAVAINTCVLSEMEIPDVYLESLPKSAKACLGETIYHYLTDDQFSPDCLLEYLEMSSEYTILEIANRIEASVHIWRHKYFKRQLSRAKSGRSWGGKVKGLVSDKKKNKFLHQRAETLLRNLKLQFPGLPQTALDIQKIQYNKDVGQSILESYSRVIESLAFNLMTRIDDLQYVDETTRQRAAAESSPMLGESDSASSLPTQKHISPSLFSIQHTSCSS >KZN00468 pep chromosome:ASM162521v1:3:5553799:5556402:1 gene:DCAR_009222 transcript:KZN00468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTATEEFGVGRSLAESRSSEHVENGSPLIEGRLWNTETRRISRKSAVDARYMRFCIGRWTIDGLQNLYYEDLTTKIRMWNLAGYKCYDAIFQGERQYYEQIFSGGLVGMAGTATEEFGVGRSLAESRSSEHVENGSPLIEGRLWNTETRRISRKSAVDARYMRFCIGRWTIDGLQNLYYEDLTTKIRMWNLAGYKCYDAIFQGERQYYEQIFSGK >KZN01606 pep chromosome:ASM162521v1:3:21705740:21706453:1 gene:DCAR_010360 transcript:KZN01606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSDPLVIGRVVGDVVDYLPPSNVKISVTYSSNKHVYNGHELFPSAVTTKPKVHVLGGDLRSFFTLIMTDPDVPGPSDPYLKEHLHWIVTDIPGTTNSTFGTEVVGYEMPRPNIGIHRFVFLLFKQTSRQTEMSIPMIRDGFSTRRFAEENELGSPVAVLYFNCQRETAARRR >KZN00723 pep chromosome:ASM162521v1:3:7912809:7916087:1 gene:DCAR_009477 transcript:KZN00723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSIVVGRLTDLLTQEATLLRGVTDEIQQVVTELMRIKAFLKDADSRIGEQRVRILLAQVRDLVYDAEDVVESFLLKALASERRRSQGKTTLARKIYNHSTIKKHFSGLAWVSISQKWQPKAVLQRILVSLVPEKRAEILHSEVDKLVENLLEIQLKKNCLIVLDDIWSIDAWDSLKAAFPNEICRTKIMLTSRNVDVASHVNPRCFVYKPQVLDAEQSWELLRLKALPKPDYLKEDPMPILEKIPTLRNLDLTNAYVGKEMVCSANGFQNLERLVLWNLHNLVKWEIEKGSMPILLLLRIRRCRKLEELPEGLKFLSSLEKIEINGMPSDFNERVRVVDGEAGPDFYKVAHIPDLEISGISGELTDAMILKIILGSSDSE >KZN02824 pep chromosome:ASM162521v1:3:37760710:37763964:-1 gene:DCAR_011580 transcript:KZN02824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGRRGGPMRKNSSSLFSSYNLLFGALLIFCFFLIILLAFGILSIPNSSSSSSSSSVPVQHSRKAQDLTTIVHNSVKSDAVEDYDERADQWVESKDECEYLINLAKPHMHKSSVVDSETGKSKDSRVRTSSGTFLARGRDKTIREIEKRIADFTFLPVEHGEGLQILHYEVGQKYEPHYDYFLDDFNTNNGGQRIATVLMYLSDVEEGGETVFPNAKGNFSAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDATLDPSSLHGGCAVIKGNKWSSTKWLRVNEYKAS >KZN02419 pep chromosome:ASM162521v1:3:33345111:33354138:1 gene:DCAR_011173 transcript:KZN02419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAGTPSGDAESLRLSFQDLSINSSTSTEYFSSVLDSSISNSSKSSDGNFGGKVVKLDLEGVDCVESEKTSLSFSLSSSSCSKNVDVNEVSFRSACPSKPHKGNDLRWDVIQCVKAKDGELGLGHFRLLKKLGFGDIGSVYLAELRGVGCLFAMKVMDKAMLAERKKLMRAQTEREILGLLDHPFLPSLYSHFETDKFSCLLIEFCSGGDLHLLRQRQLGKHFSELAARFYASEVLLALEYLHMMGVVYRDLKPENVLVREDGHIMLSDFDLSLRCFVNPTLVQSSSQPSCAMASYCIEPSCIDPACKLPVCVGHSCFQPTCFRPRMFKSKATKAKSEKPRLVTSDSFPELVAEPTAARSMSFVGTHEYLSPEIIRGDGHGSAVDWWTFGIFLYELLYGKTPFKGNGNRETLLNVVGQSLKFPDGAVSFAAKDLIRGLLVKDPHKRLGFKRGATEIKQHPFFESVNWALIRGTTPPEIPRPVDLSCYNQTLKSSLYSNNKGAQDSERSSDYVIETKPSSSSRIECDPYYGRCFVARWWQKWTSSGASNNCLLHAEVLFLNWLGLVINIPNVFRKRGSVLRLTTDSAASSLSVTFDPTRVTQLSWRPRAFIYRNFLTDEECDHLIVLVSSVYPIAYFDFITMMAKDKLEKSMVADNDSGKSVESEVRTSSGMFLAKAQDEVVAAIEARIAAWTFLPPENGESMQILHYENGQKYEPHFDFFHDKSNQELGGHRIATVLMYLSNVEKGGETVFPNSEEKDFQPKTDDMSDCAKKGYSVKPMKGDALLFYSLHPDATTDPLSLHGSCPVIEGEKWSATKWIHVRSFEKSTRHAPSGDCTDDNENCAQWAAAGECLKNPTYMIGTNDELGFCRKSCEACST >KZN02161 pep chromosome:ASM162521v1:3:30356893:30358500:1 gene:DCAR_010915 transcript:KZN02161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATGFLVLALLLALASAHECATKPPAVRTTKGNTVTVLSIDGGGIRGIIPGTILAFLESKLQELDGPDARVADYFDVISGTSTGGLVTAMLAAPDKDNKPLFAASDTQVALTQIFNEILKGNFEFIDIKPMDTTKMLVLSLGTGAAKLEAKYNASMVAQWSPINWIFDKGATPLIDVYSASSADMVDIQVSSLFQSLGAEKNYLRIQDDNLIGNTTSADIATTTNMEALADIGNKLLEKSVARVNIDTGAFEPVVGEGTNSDALTRFAKLLSDERKIRIAN >KZN02297 pep chromosome:ASM162521v1:3:31797906:31799335:1 gene:DCAR_011051 transcript:KZN02297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSHHHHHNLQQCHPSATTTTCFCCHCTTTSHHPPPPPEQQPLIIHCQAQFSQQPHHSYYPQSPLLQNPKPQFQHLRRQEYVQENDEISHTVISSLLRRISALESSVRRSSSSASFSLRDAAARTIQTHFRAFLVRRSRTLRQLKDLALIKSALNTLKLNHSNNTRSDSRALSLKALNLLNKLELIQGSDPMIRDAKRSINKELIRFMDYLEELSVKRHQLSTRVVKNLRIGVSGTKSRGLSSDLRGSGLGTRGPREDGERELLEKLRKRVEKMEGYSRASEEDEEEDNVEDKNSGLYVNGKRGVERVRNGVLMNRQAGAPSKAKKSVRFAENGNVYMVYKGHNGPVSFVECHSNDGSDSVDAEEIGREIEEIGNGDDEEEDEESPQISDDGRDRRGNVVAESDNESSGHEPIENEDGSFVFSAPLPVKMEPRADLMNKKSLKIIN >KZN00646 pep chromosome:ASM162521v1:3:7195041:7195505:-1 gene:DCAR_009400 transcript:KZN00646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYYTYSDQAQDPSPSPLDESSSTTESKDNCDGADSEYEVLLATSTPKKPSGRKKFRETRHPVYRGIRRRDSGKWVCEVREPINNSRIWLGTYPTAEMAARANDVAVIALRGRNACLNFADSAWRLPLPESSDVKHIQVAAAKAAEAFRQGGI >KZN01165 pep chromosome:ASM162521v1:3:12523807:12525397:1 gene:DCAR_009919 transcript:KZN01165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKVQTEFIKKTMVDQENIFQHQVRELHRLYSVEKRLIKELKTEIKQISLGGSRAGIHIKDPESLYSLAESAREIDQSLGEHSASCSTEILKMPKGFDLQRRAEQEDEMSTGVSATDEVRKKPSTDAPWKSGGDEVDAKFRDIELTLSIGGSIGRKRLESHKSLKLDKPREVQSSSIIKGEELSDSSNTPRSSSSKNNEKGKQIPWFFQDLSLSRT >KZN01270 pep chromosome:ASM162521v1:3:13946989:13947447:-1 gene:DCAR_010024 transcript:KZN01270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQMFYTALFISLLAVVASTPTTVTETTTTIIIDDPSIINSRCKYVDVRAINHCKMYLTPDPSSSKNAQTTHLTECCGQLDELETKCRCMAIKEVLWNLKNQKSMSGKTLWESEELEQMEQDAEDLPTKCNVELKEPCIIVQPHLKFSKI >KZN00264 pep chromosome:ASM162521v1:3:3526332:3530111:-1 gene:DCAR_009018 transcript:KZN00264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRPYGNPRLRSLILLVSLSLLSISLLFLFFSPKSLPNLSQNPSLNPTVDYSFLSSLQKFLSSKPRRHRDDSITSAASDVRRLDELVWRRETERLYTNSISSVVNVYVYEMPDKFTYDLLELFWSTYKETVNLTSNGSPVHRLIEQHSIDYWLWADLIAPESQRLLKNVVRVYRQEDADLFYIPFFTTISYFLLEKQQCKSLYREALKWVTDQPAWNRSGGRDHILPVHHPWSFKSVRKYMKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVANLELCDAKCLSESESKRSTLLYFRGRLKRNAGGKIRAKLVAELNRAENIVIEEGTAGEVGKAAAQQGMRRSVFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKIAVFVSSNDAVEPGWLLTYLRSFSAAQLKEMRINLAKYSRHFLYSHPAQPLGPEDLAWRMVCSHVT >KZN03754 pep chromosome:ASM162521v1:3:47700952:47704207:-1 gene:DCAR_012510 transcript:KZN03754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLVAGKTPASASANKGKDDKKRPISRSSRAGLQFPVGRIHRHLKTRTSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >KZN03118 pep chromosome:ASM162521v1:3:41442504:41443136:-1 gene:DCAR_011874 transcript:KZN03118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAEKKTEELDVKDVHLKLLDDLVNVNSLLTIAVFVGLSLATPDIKSLDSRHECRAGPREAKKLVLSEVIAFSCFLLSSIVAKVLKLHLYLDGVGSYAFTRPHLDLKEFMLALSACASVAGIVSVSLSIVYIIEIRVGLLSCGCAESTVAVVVLGVLVGFALLIYVVSVAIAIYASYKSDHKSDDEKPENGENTNGQKSPTTENLTKQV >KZN01221 pep chromosome:ASM162521v1:3:13226923:13228132:1 gene:DCAR_009975 transcript:KZN01221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSGGSQQQPQLPPGFRFHPTDEELVLHYLKKKAASAPLPVAIIAEVDLYKFDPWELPAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLSSGGTQKVGVKKALVFYGGRPPKGIKSNWIMHEYRLADNNKSTNMKPPGCDIANNKKGSLRLDDWVLCRIYKKNNSQRPLMEHESCDSMGDMILGSIKSEPCVQIGQQHPKLPGSYGAMLENEQSMLQSAPNLASLMSMKRGLFWEEDGGPSLANKRFLTADHQNDEEGKTVQDDNGSISMASFLGQFPQSTQADEAMHQQGPMLGNLGDGAYREQHNFNQLQSNMNWYT >KZN00118 pep chromosome:ASM162521v1:3:2063221:2067800:-1 gene:DCAR_008872 transcript:KZN00118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLGCGENPEEEWQQQQPVNLVDTQIQNWGKSLSKCDLGDGNDDSEQIGHLGDSFPLEKICEDTVVADKKQNLLSEFMPSLRSGEWSDIGHRSYMEDTHICIADLAKKFGYPFGKEAISFYGGPHTRSLLVANAGDCRAVLSRHGVAMEMSKDHRPCCNIERTRIESLGGFIDDGYLNGQLGVTRALGDWHIQGLKENGAQTGPLSAEPELKLVTLTKEDEFLIIGSDGIWDVFRSQNAVDYVRRRLQEHNDVKLCCKEVVEEAMKRGAIDNLTVVIVCFHLEPPPNMVIQRGRVRRSISAEGLQNLRFHLDG >KZN01759 pep chromosome:ASM162521v1:3:24635072:24635290:1 gene:DCAR_010513 transcript:KZN01759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEADDVFLGSCPVRARFLNSVTEFAKLLRIMTMIHQRVCTSMLLINEQSGTLYGWEGTRSHQQGGSAIKFF >KZN00135 pep chromosome:ASM162521v1:3:2269548:2273984:-1 gene:DCAR_008889 transcript:KZN00135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPIVGKFVEKVSEYTVDAVFRGLHYMFCYQTLVNDLKSEIEKLNIEKEKISRKVMEEKANGKTIEDYVVKWEIDVEEIQKSAQELSPSYSCIQHLPIPNPISRFRIGRNAMKKAEAVTQLTNSGKEHLTGGIAYLPEVIIMPNSDTTFEEFQSRKDAYQKLWDSLVNEDGPLIHGIYGMAGVGKTRMMEQFWEDAIKKKIFKKAVRVNVGSENVEKTKLQEQIAGLLDCNLASEVMERRASQLENSLRNGDKILLILDDVWRDIHLDNIIGTPFDNGSSSSKGSKILFTSRKKEVCLHNNCKNFIEVQTLSPDEALYLFKKTVGSADSNNPLPDESLVKEVCDKCGQLPLLIHAVGKALKGKPHYWWKDAHDQLKKGKFEEITDVDPQVYIGIKLSIDYLQNDDAKSCLFLCSMFPEDANIDMKMLIQLATGSQLIPSGESRVLAMVDYLKKSSLLLDSRDNAETKVHDIIRDVARSIAFTDSKYAFLQVTCSSRYLPSQANNSTRRFLRLDVETDVDFGEHQVFPDLHTLWLQSNYHPWWGQSNRPQQFSGGFYSMFVNLSCLMLQSVDISSKHFSLQPLGNLGTLSLLECEISNTDARLFPKSLESLCIYECKLPEPLDVENLECLRKLEIQGRRAVLVRENVISSLSSLEELHVSHGFVHSYYEYHMEPIVKEISKLTRLTSLHFEFYEDNTFQGTDISFNIDRYNIFVGQRPHEYSRYDQHWEVPLTRSIELMGNHSKPWEGLIVSAEQVVLCYSNVDASSIFNDHKGAFDDLKILNIYICDNMGHLARISRDGIQDSVQSATCFSKLSILKISRCSKLKYLFCNNIAKTLVQLQELSVDHCDSMEAIVMNEGTSDGEIINFSKLRSLDIEDMPKLRSFCAENSDYPSAQSLVLLDRMVAFLSLEYISIRECGSLRRMFASSVARDLKQLKKMIVRECKEMRSITRVDEQEISDGILFPELTYLELVDLPNLMSFWSNQNGKADTCKAPLIPRLSPNVVPDFPDPKSFFDDENFKLYMPILNKICDQIITLSLLTTVELARLPNLKRFFHVANYEFHMPVLKMVEICECGLSDTLFTRSIFNNLQQLEELHISDCKLLDGIFEDAVGDEIVDMSDRIITLNRVSTVYLDGLPKFKSVFYGATYECRMPALRNVTIAGCELHILFTCSVFREIRQVEKLNIRSYESLEHIVKEVGGDETFGVNGTSIITSPQLKSVELQDLRNLKSFSYSRSYVFNMPKLEYFQQNDCNKMEYFSFLDTSAPFVHVHPNPDGSEAFQNVNDYVRELAKARAAR >KZN03037 pep chromosome:ASM162521v1:3:40424414:40425101:-1 gene:DCAR_011793 transcript:KZN03037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLSGFSMKARGCGGGGGNKCGRWNPTSEQVKVLTDLFRSGLRTPSTDQIQKISTQLSFYGKIENKNVFYWFQNHKARERQKRRRVYNVSDPSDDEIIHCKDKVSSIKQFGERKQVVSEEPERVIETLQLFPLNSFDESEPEKLRLYASEYNKENGSTFIYSFGRDQVDNPYHPPLDLRLSFV >KZN00970 pep chromosome:ASM162521v1:3:10382676:10402949:-1 gene:DCAR_009724 transcript:KZN00970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRQVFTVDLLERFAAKGHGVITCMAAGNDVIVLGTSKGWVIRHDFGVGHSSDIDLSAGVGRPGEQSIHSVFVDPGGCHCIATVVGNGGADTFYMHAKWTKPRILNKMKGLVVNAVAWNRQQITEASTREVILGTDNGQLHEFAVDEKDKREKYIKFLFELAELPEAFKDLQTVFASYTDRAVHFMELPGDIPNSELHFFIKQRRAVHFAWLSGAGIYHGGLNFGAQHSSPNGDQNFVENKALLDYSKLIEGAGATKPSSLAVSEYHFLLLIGNKVKVVNRISEQIVEELHFDQTSEAASRGILGLCSDASAGLFYTYDQNSIFQVSVNDEGRDMWKVYLDLKLYAAALENCRDPFQRDQVYLEQAEVAFSAKDFVRAASFYAKINYILSFEEITLKFISIGEQDSLRTFLLRKLDNLDKADKCQITMISTWTTELYLDKINRLLLEDDTTTESRSPEYQSIVKEFRAFLSDSKDVLDEATTMKLLESYGRVDELVYFANLKEQYEIVIHHYIQQGEAKKALQVLQKPSVPVDLQYKFAPELIMLDAYETVESWMITKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHRLQNEDPGAHNLLLSLYAKQEDESSLLRFLQCKFGKGRPNGPDFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVVEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNQQIEKLKEEMNDATHGADNIRNDISALAQRYTVIERDEECGVCRRKILTAGGDHQVRGYSSVGPMAPFYVFPCGHAFHAQCLIAHVTRCTDRTQAELILELQKQLTLLGNEPKENVNGGLTRNETITSMISPVDKIRSQLDDAVASECPFCGDLMIQEISLPFILPEEALQFSSWEIKPQNMVGQKSLSING >KZN00948 pep chromosome:ASM162521v1:3:10209014:10209706:1 gene:DCAR_009702 transcript:KZN00948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQIQTFLNKVSFLAITIATLTLFLLLIQTPRTCFDPNTILKPHQKFPKSSCEASHRPITSPTKQNRRIWATKAWRNGVASLASTFLDLRNVHYFNNDSHVLCVSAGAGHSVVAFNEVGVSEVTAVEIVESPPLVSKADPHNLPFFDGVFDLGFSGAFDRALFPGRYAEEMERTVKVGGVCVVAVEECGSEVVKEVGKLFRKSELVGVKNVTLLGSKMTRIVFKVTGTG >KZN03590 pep chromosome:ASM162521v1:3:46072228:46074350:-1 gene:DCAR_012346 transcript:KZN03590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVFELFSNSGHTFIECTVEGDDGMCHTCNKPAQGSDLYFCSSRDDLNVRFYLHKSSCAELPISVYLHEHSLSLQEDFIFGEDAACIMCKKQVVGSPTYTCVSRDDDVDCQNFYLHKTCAEFPQQISHHKHTIHPLSRLPRPAASFTCDICYREIKVSYACVDCNFDVCVFCGLEQRVLHHQGHKEHALTLMNKEAFFECDACHEKANDSSYVCTACEFWIHKTCAFFPLIIPSPTYHHHPLTLVYSVPDIHLVFTQYCGICRQFVYRRSWVYYCHKCTYFVHMKCSTSTISIVNENEEDDIDYDPDLALFPLQSQESIFDLIVTQCCESQVNFQGEGEISVAMSVPSNDPHIIEKHWSHQIHPLQLLQFTICENESDDSDDDDRRELICNGCIQPITVSRPSYYACIQCGFFLHSFCATMLPHKLPEGESHFHPGHSLLLKMKHKFYDIVRCGVCDFSTNGFYYHCQDCDIYVDIRCAFLPTRIKYKSHKHHTLVQRPASNSTCSVTRYRNDVGVEYGCETCSSFQIHIYCAILPHRMEHKYDAHPLTLRYPPFFYEGAFYCETCEERVSNQDLLYHCTESEHSYHFYCGFLVHNIKFGGTIKVLIADKPHTLALVMKMPTRKKSIHTCSQCFTFSYSYCFLLECDGCGLLSCLECPLSGKLQQMALI >KZN01719 pep chromosome:ASM162521v1:3:23827722:23829294:1 gene:DCAR_010473 transcript:KZN01719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAGDEDNSWRLFTSEDMIQGYKSLKRRKTAKTVKKASREKISSHATETATQPGHSKAETMLDKLDMNSTIAEDMDTESPASAITYLQETDHAGTRQSCKSILQEQKRPPRTPFTDITNTLDSCALLTTKVRGKDKAKKTKFNSSNIVDEENAKTSTLQSFEDRIHKVKGKTTNFETNSAKEKGKAKISNWENATLKDWSRNLFAEEFSTDKSTNSVLYDEDLEETRVEASYYSDDSDSDMDFADGEDW >KZN02574 pep chromosome:ASM162521v1:3:34716737:34719544:-1 gene:DCAR_011328 transcript:KZN02574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSETEKSGHKDRKKAFILSPIAKPLAGKKLSKRALKLVRRAAEKKCLKRGVKEVVKSIRKGNKGLCIIAGNISPIDVITHVPVLCEEADIPYIYVNSKEELANAGSTKRPTCCVLVLTKPTKGELAQDEQEKLKSDYDQVVTDVSELASTLF >KZN00706 pep chromosome:ASM162521v1:3:7790749:7792438:1 gene:DCAR_009460 transcript:KZN00706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLSRLGFGSKTPAQSYSTEPSSSIALGPDDDVPGPGQAFAQFGAGCFWGVELAFQRVPGVSKTEVGYSQGVVDNPSYNDVCSGTTKHSEVVRVQYDPKESSYGNLLDVFWSRHDPTTLNRQGGDVGTQYRSGIYYYTPEQEKEALESMERQQKLLNRKIVTEILPAKKFYRAEEYHQQYLAKGGRFGFRQSTEKGCNDPIRCYG >KZN02463 pep chromosome:ASM162521v1:3:33676176:33679010:1 gene:DCAR_011217 transcript:KZN02463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHQMVFMISLILFAGFSSASATPPAKLVSQVVSHVASALFKWLWSLKTDEPIAISSRSLVKFEGGYTVETLFDGSKHGIEPYSVELSPTGDILVLDCENSNLYKISTPLSQYSRPKLIAGSSEGYHGHVDGKPREARMHHPKGHAVDDRGNIYLADTMNMAIRKISHAGVVTIAGGTRDQGLGHVDGPSEGAKFSGDFDVVYVGSSCSLLVVDRGNQAIREIQLHDEDCSHQHDDDLNLGIAVLAAACFFGYMLALLQRRIATMFSSHRDPRYMKDIPPVAYQKPLKSARSPLISAEAEPGKQEGLFGSLTKPFRETLPGFREKQHQHHLQQQYNQQPNRNENAWPQESFTIPNKDEPPPLETSDPRYSYPVTTNKMEKSRQLKKSRTVYEDWNDDFHRQEQPQQIQHHYQQQQCQQQQQHHSANPQTYYEQNRETNEIVFGAVQEQDGRREAVVIKAVDYGNPTYSNHNVRSRYNYMGFSYGY >KZN00001 pep chromosome:ASM162521v1:3:965805:966227:-1 gene:DCAR_008755 transcript:KZN00001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQKKSRTKAMQIAATVSGVESVALKGDNRDQLEIIGDGIDTVELAKLLRKKVGGADLLSVGPAKAEKPKEPAAAAKKAETPTVPMQVWAYPQPPLYPVYEFRDSDPNCSIM >KZN03798 pep chromosome:ASM162521v1:3:48241837:48245194:-1 gene:DCAR_012554 transcript:KZN03798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIGAALPPGFRFYPSDEELVCHYLHKKVAHEDVSKGTLVDIDLHTCEPWQLPDAAKLNSTDWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVVDPRTNCIVGMRKTLVFYKNRAPNGVKTGWIMHEFRLENPLIPPKEDWVLCRVFYKSKTESSTELSPQNVCHSEATAGGDIFPNAAQIMLPAPFNKNNYHLHQIPSSQNPAPHHQNPNTLQTFSQLNPNFLQLSHQFHTDDLSEMIMIKSKCDDEDPYGFLLDMEFEESTMGDGMASSMEDMKFEDDYCLFL >KZN02214 pep chromosome:ASM162521v1:3:30955865:30956743:-1 gene:DCAR_010968 transcript:KZN02214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSVNAVHIAMTLDAAYLRGSMAAILSVLQHSSCPENVVFHFVALATTDGLNVTIAKSFPYLQFRVYTFDDYAVLGLISTSIRAALDCPLNYARNYLAEILPVCVQKVIYLDSDIILVDDIAKLLETPLGDEAVVAAPEYCNANFSFYFTPTFWSNPSLSLTFAGRKACYFNTGVMVIDLQRWRDGDYTRKIVEWMELQKRMRIYELGSLPPFLLVFAGYIAPVDHKWNQHGLGGDNYRGLCRDLHPGPVSLLHWSGKGKPWVRLDSNRPCPLDALWAPYDLLQAPDLLES >KZN02369 pep chromosome:ASM162521v1:3:32684601:32695433:1 gene:DCAR_011123 transcript:KZN02369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLVAGGDIVTLETELSELGTSSSPTSVEQNTYDEHAEKWAELNRLPSFERLRSSLVDDHDDTKGKRIVDVSAISASERHIFIEKLIKHIENDNLRLLQKLRKRINKVGLKFPTVDVRYNNLRVEAECRVVRGKPLPTIWNSLQSLIFNIAELGGLKSRKAKICILHEVSGIIKPGSKLVTAFQYITYQLLRLLFVPELMTEVSRREKEAGVIPDPDIDTFMKAISIEGKSASLQTDYILKVISKKDQAQYWHRTGQTHRYVSVDRLSQMFKESTLGKTLDDEISKDFLESENHDKSISHSLLIIASITMTAFLRTRMEVDAGHANEYLGSLFYSLIILLVDGFPELSMTVARLPVFYKQRELCFYPAWAYAIPAAILKIPLSILESLIWVSLTYYAIGYSPEPERFFRQLILFFAVHLMSISMFRFLASVCRTTAAATLAGSMAVPLGLLFGGFIIPRPSMPSLLKLGFWVSPVTYGQIGLAVNEFHGPRWQKMLSTNTTIGHQTLVDRGMDFSEHFYWISLGSLFGFTLIFNVGFILALSYLKAPGSRAIISREKLSEIQGSEEIMNAECINRRSNANGRMVLPFEPVSFVFQDVQYYVETPLEMIEHGTSQKKLQLLCNITGAFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGTITGEMKIGGYPKVQETFARISGYCEQSDIHSPQVTVEESVIFSAWLRLHPQIDSKTKYDFVKDVLETVELDGIKDSLVGMPGVSGLSTEQRKRLTIVVELVSNPSIIFMDEPTTGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDEVGFNFPLLILLKSGGRVIYSGPLGLHSSKITDYFESISGVPKIKNNYNPATWMLEVTSTSAEAELGLDFAQIYEGSALHEKFHHDLEPTQAHVGIRECNSLLQDNQQNVFSMFGLMFTACTFIGINNASTVLPYISTERNVLYRERFAGMYAPWAYALAQVTIEVPYLFTQSVAYVIITYPMIGYSWTAYKVFWYFYSMFCTLLYYNYMGMMVVSITPTYPVAAILQSSIYTMFSLFSGFIIPQPRIPKWWLWFYYLIPTSWTLNAMLSSQYGDVDEEIMVFGEKKTVAAFLKDYFGFHHDRLPMVGVVLMLYPIIFASIFTYCIGKLNFQRR >KZN03270 pep chromosome:ASM162521v1:3:43062112:43065602:-1 gene:DCAR_012026 transcript:KZN03270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSSLPLFQRVSLRSDKSIALELLLLFLLFSLLTYHFFSIKQYGIPVLLAFLCESFFTYVWILVLNIKWNPIKYVQYPDRLLEHVRAPFRYFATTSGPSREESLEFQYEWKLVKDIYEMFCKKIEDAALAPSSDLPELNKKNHGSIVKIITAKGVPHMVYISREKPHHFKSGALNALTRVSGLMTNAPFILHVDCDMYVNNPQVILHAMCLFLGVENEEDCAYVQFEPGFCHNIKDDPFENHYDVLFKFFGHGTAGIQGPPYIGSNCFFKRKVIYGLSPDQKITTGELSDLNLQRKFGQSSMLKESVARVLSASTSASMSLKCTVSSFVKGTHHVAVCDYENGTCWGTEVGWKYGSQSEDILTGLGIHRKGWKSMYCATEPASFLRCAASTVPGTMIQSKRWATVPLEIFLSSMRPISDTLNGNLSLRQCLAYMWLLTWSLRSIPELVYSLLPAYCIISGSRFLPEVSELAILIPIEVFLVFNLCTLSEYLAIGLSTRAWWNHQQMARITSTSSWLFATLSVFPKLIGLSSSAFEVPTPTYDKDMKNKDPGKFTFSKSLIFLPGTTILLVNLTALGIGMSRFLTTSPQNNEAGIGELLCSLWIVLYYWVFLKGLFAKGKYGIPSSTIVKSGVLALLFIHFCK >KZN02691 pep chromosome:ASM162521v1:3:36080699:36081301:-1 gene:DCAR_011446 transcript:KZN02691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKRKQPSPVGSPSSGNISDSSSKDQDKFLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVGTLKIYLNKYREVEDKNGMVGNNSSPTTHTCSVGEFNKATTSKPDFNTYYSLGEQVQEKSYGENMMMNINGGFSIGDRSGNNGIIANPSPVHNGVQW >KZN00020 pep chromosome:ASM162521v1:3:1139806:1148634:-1 gene:DCAR_008774 transcript:KZN00020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENERDNDKLTTFEKTGIYRFEKSNAVFIDPVRVLNRHYTRFKLSPSAYYSRFFDSANSNSQSVCRKRKRKAKTTSRPLNEKEQLANQRHLEARVFMLKAHEDLLGNNDILEFLRNLRGDEAAEGASVEQSFVELGTVWQAHMYEITLNHCHGDRSNNESQNGGSCRIQSCEPKTFLLFENLVVNETSNDAEAIFLNNKYILPKESSFFMSDLGKIHNLIPADSDRGFNLIVIDPPWENSSARQKLKYQTLPNRFFLSLPIKKLSHTEGALVALWVTNREKLRAFVENELFPKWGVKYMATMYWLKVKADGLLIGDLDLFHHRPYECLLLGYCVKEAVYCDRYFGLKPIPDNQVFISIPGDYSRKPPIGGVMIGLFKAKEKQREAAENSNGKPPGKKQSAGELRLHKDISELNLPKTCRMSFPNGKDNLMNFEVTIRPDEGYYLGGAFTFTFQISPIYPHEAPKVKCKTKIYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNHEDPLNHDAAAVLRDNPKLFESNVRRAMSGGYIGQTYFTRCI >KZN02426 pep chromosome:ASM162521v1:3:33415590:33421364:1 gene:DCAR_011180 transcript:KZN02426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTVLKKKTTGSIRSIFMHADGADKLLMTLGFIGTVGDGFSMPVMLYTTSMLMNDIGGTSSGVDAQFTHSINKNALSLCYLALGQLVVCFFEGYCWTRTAERQASRLRARYLKAVLRQDVGYFDLHVTSISEVITSVSGDSLVIQDVISEKIPVFVMNVASFIGAYVAAFLMLWRLAIVGLPFLVILIIPGLIYGRTLMGIARKMREEYNKAGTIVEQAISSIRTVYSFVGESKTITEYSAALEGTVDLGLKQGLAKGLAIGSNGLVFAIWAFMSFYGSRLVMYHGAHGGTVFAVGAATAIGGLSLGAALSNMKYFSEATAAGERILEVINRIPKIDSDNMEGEILENVSGEVEFKHIEFAYPSRPETIIFKDLNLKIPAGKAVALVGGSGSGKSTVIALLQRFYDPVGGEIMVDGVGIEKLQLKWLRSQMGLVSQEPALFATTIKDNILFGKEDGSMEEVIGAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERVVQEALDKASVGRTTIIIAHRLSTIHNADLIAVVQDGEIRETGSHNDLIQDPNSLYSILVHLQHSQKNCVPLSTSTNYKVASISNVDINNTSSRRISMVSRSSSANSRGGEYVNLDNKHPTEQIFPVPSFKRLLAMNLPEWRQAILGSCGAILFGAIQPVYAFAMGSMISVYFLPEHDEIKEKTRIYALCFVGLAVFSFLFNIIQHYNFAAMGEYLTKRIRERMLSKILTFEIGWFDQDENSSGAVCSRLSKDANVVRSLVGDRMALVVQTLSAVIIACTMGLVIAWKLALLMIAVQPLVIVCFYCKRILLKNMSSKAIKSQDECSKLAAEAVSNLRTVTAFSSQSRILKMLEKAQEAPRRESARQSWYAGIGLGVSQSLMSCTWALDFWYGGKLIADGEISSKALFETFMILVSTGRVIADAGAMTNDLAKGSDAVGSVFAVLDRYTLIEPEDPDGHKPEKVMGHVELRDVDFSYPARPDVPIFAGFTIKIEAGKSTALVGQSGSGKSTIIGLIQRFYDPLNGSVKIDGKDIRSFHLRSLRKYIALVSQEPTLFAGTIRENITYGASEETDEIEIIEAAKAANAHDFITGLKDGYETWCGDRGVQLSGGQKQRIAIARAILKNPAVLLLDEATSALDSQSEKVVQDALEHVMVGRTSVVVAHRLSTIQNCDTIAVLDKGKVVENGTHSALLAKGSTGAYYALVNLQRRADTNN >KZN03762 pep chromosome:ASM162521v1:3:47883621:47886362:-1 gene:DCAR_012518 transcript:KZN03762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISVANLGILPCEPSRKDDFSPFFGQKLRKSLEKQPLVRVVSKNREIFASLVSHELGKSKLVLSGGAKEAKEAVVVKKQEQDERKLSDCWREIHGEDDWVGLLDPMDPLLRSELIRYGEMAQACYDGFDFDPFSKYCGSCRFNRHDFFQGLDIPDQGYDVSRYLYATSNINLPNFFKKSRWPKVWSKNANWIGYVAVSNNEMSKRLGRRDITVAWRGTVTRLEWIADLMDYLRPILEKNIPCPDSDVKVESGFLDLYTDKDETCKFCKYSAREQILAEIKRLTEMYSSEEMSITITGHSLGSALALLSAYDIVETGINVLPDTRPIPVTVFSFSGPRVGNERFKERIELLGVNVLRVVNVHDMVPKAPGMIFNEASPAMLMKFVKGSPWSYSHVGVELALDHKNSPFLKQNADMVCAHNLEAHLHLLDGYHGRGHRFVLATGRDIALVNKACDFLKDHYFIPPHWRQDENKGMTRTKDGRWIQRERPKFDDHGHDTMHHHLRQLGLTNH >KZN01390 pep chromosome:ASM162521v1:3:15373830:15380711:-1 gene:DCAR_010144 transcript:KZN01390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLRKISIKYPSFAEYWRTNRRSPQNRRIFCRDAEHGDFHCANAECLSSYYSVFVARLAIMAMLAILIGLLTLLTWHFTKVYTNKSLETLAYGLRYELLQRPILRMWNIFNSTVEITTAQVKLSEFLIKKYGKPVNQAEQVELYQAMKDVTWALFASHKALKSITMNYKNGFVQAFHRDHRSNNTFYIYSDLVNYSISGSYDMNMLSAHRGWNDQSVHNNISAIWFREPLDPLTGEKTGKAMPIPPDDLINIAGISQVPDGVASWHVAVSKYSDSPLLSAALPVLDSSKQKSIVAVVGVTTALYSVGQLMKELVEFHTGHIYLTSREGWLLSTSTDTPLLMNSTTGPKLMMAADSEDVVIRSGAKLLQKSYGSDTPANHTVHIKDAKLGNQLYYIDSFILNLRRLPMVGGGYYHSKKVHYGEGGQESQENIGDTHICFPECTGHWMCFHIHIDKWSVKGDETKSRINKPFRCKKEGRGLDHELRTPMAAVIGLLDILMCDDCLTNEQYSTITQIRRCSTALLRLLNNILDLSKVESGKLVLELAEFNLEQELEGLVDMFSVQCINHKVEIFLDLSDDIPKLIQGDSGRVVQIFANLISNSIKFTTSGYIVLRGWCQNPNTSRNARQSSFAQTNSISPNKTHDENFYKKDNRLILCFEVDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVNQMGGEIKFVNKSGPGSLMQLYLLLRAPIDGTTEHYKLNFPEHNLTVLLALGGKMGRLIMSSWLQQHGISTYEACDWNELTSILQELFQDGSYIQNLPNHSSIAEKHNTGDTRTSTFIIVIDIVLLNLSTGIWKEQISFLDRYRGRAKFAWILNHDTSSNIKKELSKKGHLLMVNRPLYKTKMIQIIESAIRDSELQTKINPLISTVVDREMHECLEMGPIQSEGKLEGNHNTSDGFEKNSSKPFLSPYAAVNNCFVELTEVHSDKNDLRRREQDQRGTSNDIQCLTSSNTRGSQTSLQGLRILLAEDTPVLQRVATIMLEKLGAEVVAVGDGLQVVNALHFVPKPDKYQEDSPQADENPGSQTEESCFSTYDLILMDCQMPKMDGYEATKAIRRSEIGTTWHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDRKLMVSTILSLTRRTTI >KZN00112 pep chromosome:ASM162521v1:3:2030870:2033502:1 gene:DCAR_008866 transcript:KZN00112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSICPSFFLNRNLGDSLSQCKDTQLIGRLILGLYGNLVPITVSNFKAMCTGTSGSSYKGTLVHKIFPGQFFVAGKQGRKDKGEVSPPVKMVRNLETVSSKAFELRHSKAGVLSLCLSENDDEDDVKLDPNYHNVEFLITTGPGPCPDLDGKNIVFGTVLEGLDVVTSIASIPTYKPGERIRQYNDLAEFFGDERAKTARAIWNKPLETVYISDCGVLKVAKTTLSPTLP >KZN02396 pep chromosome:ASM162521v1:3:33028825:33035305:1 gene:DCAR_011150 transcript:KZN02396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLIITRSSSKPLLKSSIRVLSSNYNFIFRETSPAVTHFETPQQQCYCTDTGIDLSKYPNENIRNFSIIAHVDHGKSTLADRLLELTKTIRKGHGQPQYLDKLQVERERGITVKAQTATMFHSTNFHGTDTKFLLNLIDTPGHVDFSYEVSRSLAACQGVLLVVDAAQGVQAQTVANFYLAFEANLTIIPVINKIDQPTADPDRIKEQLKSMFDLDPSDALLTSAKTGQGLDQVLPAVIERIPPPPGITDSPLRMLLLDSYYDEYRGVICHVAVVDGALRKGDKITAAATGQSYEIVDVGIMHPELRPTGVLLTGQVGYIVTGMRSVKEARVGDTLYHHRTSVELLPGFKAAKHMVFSGVYPADGSDFEALNSAIERLTCNDASVSVTKESSTALGLGFRCGFLGLLHMDVFHQRLEQYQIFMRYCCSKLQVQNPAALPSNPKNKITTCWEPTVLATIIIPSEYVGPVITLCSDRRGEQLEYTFIDSLRVFLKYRLPVREIVVDFYNELKSITSGYASFDYEEAEYMASDLVKLDILLNGQPVDAMATIVHRLKAQRVGRELVEKLKKFIDRQMFEINIQAAIGSKVIARETVSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFNELLKVS >KZN03167 pep chromosome:ASM162521v1:3:41945350:41948656:-1 gene:DCAR_011923 transcript:KZN03167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFSKIGIIGAGISGIAAAKQLAEHNPVVFEATDSLGGVWKHCSFRSTKLQTPRCDYEFSDFPWTERNNTSFPTYQEILDYLYSYANNFDVLKFVKFNSKVVEIRFVGDRETADYGGKMGKYGRLLSTGKPFWEVAVQTSDSDTVQMYAFEFLVICTGKYGDIPKIPAFPQNKGPEVFKGKVMHSIEYSKLDEEASVDLLKDKKVVVVGYKKSAIDLAVECAEANQGPEGKPCTMIVRTLHWTVPHYSIWGLPFYMFYSTRFSQFFHERPNQSLLKTLLSHLLSPVRRAISKTIESYLAWKLPLDKYGLRPDHPFEEDYASCQMAILPENFFSEADKGKILFERASRWWFWEGGVEFEDGTRLEADVVFLATGFDGRKKLKAILPDPFRGLMEFPSGMMPLYRGTIHPFIPNMAFVGYVESVSNLHTAEIRCKWLARLVGGHFKLPSVEKMLEQVTTENEIMKKTTRFYKRNCISTFSINHSDEICEEMGWSSWRKKNWLAEAFSPYNSQDYEEEHK >KZN01068 pep chromosome:ASM162521v1:3:11307986:11309455:1 gene:DCAR_009822 transcript:KZN01068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAELIFIPAPGIGHLVSAVEVAKLLVRRDERISISILLLKLPFHAGVAAFTENLKKDAPDCIDFVDIPAVDESIMTDLMSLPHNSFLESFVSSQRAQVTDVVATILKRSEATKLGGFVLDMFCTPMIEAANEFNVPAYVFFTSGASFLGFIFYAQFLKDSKGQDISEFKDSGVELSVPAFSIPVPDKVFPSVMLSEGGSSRMSIVARRLREVKAILINSVLELETHAFKSLADDEDIPLIYPVGPIINLEREIKLDYHKDIVTNEASVLVTAEEIERGIRCLMEEEGEVRKKVKMMKAICRKATAEGGSSYNSLGHFIEDVLDNIKQGE >KZN03058 pep chromosome:ASM162521v1:3:40690350:40696979:-1 gene:DCAR_011814 transcript:KZN03058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDIELVLEFLRKNGLSSCETALMEDIIEKSELGGVDFQRFLFPVLPPPPELKILTIRSHEKVLDGGDSVSDSSNDEFVSVDSSTTDLYPSDFTNPYGVRAVGVASSQASSDRLSQFGTARDYHDFDLQNDLNWYKHKEDDCDTMPPCLGSSDFYGEPSEDKFVMTFQKQDRCVNDLDSKHELETGQSIPKNDHADESWSFHPMDSVKAEVEVKDYYDLDESSYHRDGIKTDKNSYLDHAFYGGDSELEILADLKESNFQFKATGNRPNEYVLTVEHETDTSCIFRDLKSPLILNDQIGEEGSCEGRICNFDGEDDNEVNKDSYENNIAGDEGGSATGDELLYTANEEDYEVFNLRIIHRKNRTGFEENKDFPIVMNTMIAGRYYITEYLGSAAFSKVVQAHDLLMGVDVCLKIIKNDKDFFDQSLDEIKLLKFVNKHDPGDEHHILRLYDYFYYQEHLFIVCELLRANLYEFQKFNRESGGEPYFKMSRLQTITRQCLEALVYLHGLGIIHCDLKPENILVKSYRKCEIKVIDLGSSCFQSDNLSLYVQSRSYRAPEVILGHSYDHKIDLWSLGCILAELYSGEVLFPNEPMVMLLGRMIGILGPIDMEMLATGQDTDKYFTKEYDLYHINEDTNQLEYIIPEESSLEDHLQISDVGLANFLRDLLEINPLRRITASEALEHPWLSYSYESSFC >KZN03542 pep chromosome:ASM162521v1:3:45628995:45630362:1 gene:DCAR_012298 transcript:KZN03542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEIADVIVTNGGSNHNNHASKKQKIQSLITSSEIRSEFAHHDPGTARINNGSFGSCPGSIIEAQRRYQLEFLKQPDRFFYTELQPRVLRSRKMIKELINADDVSEVSIVDNVTTAVAIVLRHVSWEFCEGRFEKGDVVVILDCAFDAVKKSIEAYVKRAGGEVVVVEMKFPVVSGEEIVERFRKGIRMGKEGGRRIRLAIIDHVTSMPCVVVPVKEMVRVCREEGVEYVFVDAAHAIGSVEVDVRDIGADFYVSNLHKWLFCPPSVALFYCRKSRVSDGLHHPVVSSEYGNGLAIESSWIGTRDYSSQLVVPEVLEFVNRFEGGIDGIRKRNHDAVVEMAGMLAEAWGTSLGCPADMCPSMAMVGVPSILGILSDNHAQKLRAHLRNEFGVEVPLYYHRLKDGEVGVRDRNGLITTYARISHQVYNTVDDYLKFRDAVNQLVQNKFTCEMLS >KZN01161 pep chromosome:ASM162521v1:3:12416003:12417466:1 gene:DCAR_009915 transcript:KZN01161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVWAFCQSILHTVFPPELRFASIKLFQRIFHWASSYCYYDITEIDGVNTNELYNAVQLYLSSSASITGNRLSLTRGLNSSAITFGLSNNDRLIDTYNGIEVIWEHVVTQRQSQTFSWRPLPEEKRGFTLRIHKKNKKIILDSYLGFIMERANEIRRSNQDRLLYTNSRGGSLDARGHPWESVPFKHPSTFDTLAMDPCKKYRIMSDLKDFADGEAFYKRTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDIYDLELTEVHTNSELRKLLMKTSSKSIIVIEDIDCSINLTNRKKSNGNVVPGRGLDGPLPEEMRGEEGANTITLSGLLNFTDGLWSCCGSERIFVFTTNHVEKLDPALLRSGRMDMHIFMSYCSFDSLKILFKNYLEYEEGDVDEDLMKELREIIDKAEMTPADISELLIKNRRDKEKAVWELLETLRFKSEKKEKGNVIQEKRALETSPKECGGGGDHQLVKQCQEISVENMI >KZN02736 pep chromosome:ASM162521v1:3:36750863:36751048:1 gene:DCAR_011491 transcript:KZN02736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMGASYGLLHVQKKRLEEKLHKEKERLDKDGGTSVGTNAYKSDGKNKIHPSTNFSNPTT >KZN01550 pep chromosome:ASM162521v1:3:20190058:20190231:1 gene:DCAR_010304 transcript:KZN01550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPATGSTRLFEVPDLLGPSVAPAAPPNGSSTSHPIVLESDNEDQEENALDLDLKL >KZN03046 pep chromosome:ASM162521v1:3:40540166:40540318:1 gene:DCAR_011802 transcript:KZN03046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATEVSNLQRYENFSMHVSRYKSSELHKMHIDDASGRDRVSIGGGGHLP >KZN03437 pep chromosome:ASM162521v1:3:44557546:44559849:1 gene:DCAR_012193 transcript:KZN03437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSEALREAIAQIVKEAVEKKRNFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVGEAEKIGLESMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVSHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKTVRIF >KZN03514 pep chromosome:ASM162521v1:3:45320054:45324810:-1 gene:DCAR_012270 transcript:KZN03514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGPDNHRVHSSEESPQLDSDSLSSNSFSTYKSVFTSLSSDSHHPLSDPLFSPPSPQSLPPPSYSDVVISPLHDESHSFHEPNERDENVENFNNNGIEHDSTELRVSESAIEYLKVSVSKPQKEVEASNSIVPGGNKYFTYLITTTTNILEYGGSEFVVRRRFRDIVTLSDRLSEVYRGFFIPPRPDKSVVESQVMQKQEFVEQRRVALEKYLRRLAGHPVIRKSDELRVFLQVQGKLPLPTSTDVASRMLDGAVNLPKQLFGDSSSVIAPQEAVQPAKGGRDLLRIFKELKQSVANDWGGSKKQVEDDDKEFVEKKERLNVLEVQLGNASQQAEALVKAQQDMGETMGELGLAFLKLMKFENQEASFNTQRARAADMKNVATATVKASRLYRELNAQTVKHLDTLHEYMGLMLAVHTAFSDRSSALLTVQTLLTELSSLHSRAERLDTASSKIFGGDKSRNRKGEELKEAIRVTEDAKNCAIREYERIKQAVGIKYKTCTSLTIAYIACIANPFELKCNKAYKMEWTTGL >KZN01856 pep chromosome:ASM162521v1:3:26159156:26159505:-1 gene:DCAR_010610 transcript:KZN01856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAINVYITKDLKMFGSSAHQADVTQILHKYSFLIT >KZN00294 pep chromosome:ASM162521v1:3:3922298:3924619:1 gene:DCAR_009048 transcript:KZN00294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFLHYVPPFWTHLLYFTFFSVSAFLFFKSSSYSSRPRDIDLFFTAVSAISLSSMSTVEMEVFSHFQLLILTFLMLIGGEVFLSLVKLQISKFEKKHTFPVTSLSEYSASNPELGLTEQDMTNTFEKSAEDRLRISSINCLSYSIVAYLLATHMISSFMISFYMESVPSAKQVLTQKGIKVSLFSVFAAISSFANCGFLPTNESMMVFKKNTTLLLILIPQCLVGSTLYPFFLQLLLSFLNTVTKRPELEYISKHYNKLGYTNLMSRRKSCSIAATVVGFVMIQFVIFAAMEWNNKGLFEGLDSYEKMVAILFQVTNTRHTGESVFDISTISPAVLALFVAMMYLPPYASLLSVKNGEKSSTIVDATASRRTKRKYVQLSELAYLAIFTILICISERKSIKEDPLNFSVFNIIFEVISAYGNVGFTMGYSCGRRIKSDESCKDAYYGFAGRWSDSGKGILILVMLFGRLKSLFYKGSAP >KZN01082 pep chromosome:ASM162521v1:3:11402189:11407958:1 gene:DCAR_009836 transcript:KZN01082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKSPALVAFHSGNRLIGEEAAGSRVPLRQSLDRMYLPFEIVDDPERNVVAINAEGDGDSYVVYSVEELVAMVLGFASRLAEFHAKVEVKDVVISVPPYFGQVERKGIMQAAELAGINVLALINEHSGAALQYGIDKDFSNESRNVVFYDMGSGSTYAALVHFSAYKTKEFGKTVSVNQFQVKDVRWDPKLGGQDMELRLVEHFADEFNKQVDSGIDVRKSPKSMAKLKKQVKRTKEILSANTMAPISVESIYEDRDFKSSITREKFEELCEDLWERSLVPLKEVLKHSGLQANDLNAVELIGGATRVPKLQAKLQEFLGRKVLDKHLDADEAIVLGASLHAANLSDGIKLNRKIGMIDGSMYGYVFELTGSGLSKDENTRQLLVPRLKKLPSKMFRYIAHNKDFEVSLAYDTEDLLPPGVLSHTFAHYVVSGLTDASEKYSTRNLSSPIKASLHFSLSRSGILSLDRADAVIEVSEWVEVPKKNLTMENSTFESPNMSAEASPKNASEEIDESLLVTGELLSNSSEIVNDQNSTDIVTEKKFKKRTFRVPLKIIEKALVPGKLFSRESLAKAKSRLERLDKKDAERRRTEELKNDLEGYIYATKEKLDSDEFEKVSSSQERQSFIEKLEQTKYIVEFQVQDWLYNDGENASAAEFQQRLDSLKAIGDPISFRYRELTARPEATQLARRYFDELQQIVQEWETKKSWLPKERIDEVLTDTEKVKNWLVEKEAEQKRTPVSSKPAFTSEELYEKVFDLQDKVASVNRIPKPKPKVEKAVKNETEGIGNKTDSSNSSSQYSSGSQGDETTNDSEVLAEERSNANESNSRDEL >KZN01033 pep chromosome:ASM162521v1:3:11023799:11026207:1 gene:DCAR_009787 transcript:KZN01033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFLEIAIAIAVVAATTVAWRVFNWVWLRPKKLEKHLKKQGFHGNSYRFLYGDSKENMSMLMATRSNPVPISHDVPSRTTPFLCDLVRNYGKRTFFWAGPAPRVVIMNPEMVKEVLNKNSKYQKPKEHPMFKLFIHGLPTIDGSKWTTHRRLLNPAFHAEKLKGMLPAFDLCCYEMTIKWMEMIGDERNSCEIDVWPSLQTLTSDVISRTAFGSSYQEGQKVFKLQMEQADLAFKALQPVYLPGFRFIPTKRNKRMKEIAREVRVLLGGVIEKKMKAVEAGEDSSDDLLGRLLEANSKEVEQGNKTVGMSIEDVMEECKVFYFAGQDTTSVLLVWTLVLLSMYPDWQDRARQEVLQVFEDGKADIGHLNHLKTVTMILYEVLRLYPPVAALIRQINEEITLADMTLLPGMQIVLPINQIHQDHDIWGADAKEFNPERFSEGVSKATKNQVAAFFPFGAGPRICIGQNFALVEAKLAMARILRKFSFELSPSYKHAPVDKITLQPEYGARLILHKL >KZN03528 pep chromosome:ASM162521v1:3:45424417:45431164:1 gene:DCAR_012284 transcript:KZN03528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTKLTLILALIAPIIPGLLVARSSDLDYLRVFLLILLWLGFCGALIRVGVGFLQGSSSGVAELTMDMNIENSKDIDEDLHSRQLAVYGRETMRRLFASNVLVSGMRGLGVEIAKNLVLAGVKSVTLHDEGNVELWDLSSNFIFTENDIGKNRALASVQKLQELNNAVAVSTLMSKLTKEKLSDFQAVVFTDTNLETAIAFNEYCHNHQPPIAFIKTEVRGLFGNVFCDFGPGFTVADVDGEEPHTGIIASISNDKPAFVSCVDDERLEFQDGDLVVFSEVRGMTELNDGKPHKIINSRPYSFNLEEDTTNFHAYEGGGIVTQVKQPKVLDFKPLKEALKDPGEFLLSDFSKFDRPPLLHLAFQALDKFESELGRLPVAGSEEDAHKLVSIAGNINDNLNHGKLDDIDPKLLRHFAFGARAVLNPMAAMFGGIVGQEVMKACSGKFHPLFQFFYFDSIESLPTEQLDLNDFRPLNSRYDAQISVFGAKLQKKLEDAQVFVVGSGALGCEFLKNLALMGVSCSSRGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSSVAATAAALINPSFNINALQNRVGPETENVFDDTFWENLNVVLNALDNVNARLYVDQKCLYFQKPLLESGTLGAKCNTQVVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTNAMINAGDAQARDNLERVLECLDKERCETFEDCITWARLRFEEYFSDRVKQLIFTFPEDAPTSTGAPFWSAPKRFPQPLQFSTSDPSNLHFVMAASILRAETFGISVPDWVKKPKALKEAIDKVMVPEFRPQAGVKIETDEKVTNLTAASIDDSAVIDELTTKLEQLRKTLPSSFRMKPIQFEKDDDTNYHMDMIAALANMRARNYSIPEVDKLKAKFIAGRIIPAIATATAMATGLVCLELYKVLNGMHKVEDFRNTFANLALPLFSMAEPVPPKVVKHRDMSWSIWDRWIIKDNPTLRELLQWFSNKGLNAYSISCGSCLLYNNMFPHHKDRMDKKVVDLAREVAKMEIPSYRRHLDVVVACEDDEDDEIDLDIPQISIYFR >KZN02830 pep chromosome:ASM162521v1:3:37838445:37839713:1 gene:DCAR_011586 transcript:KZN02830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKEKQLPEDVLFSDIFTKLSAKSVLALKPVKKSWYSSIKNPEFVDRFLANSFNRPDGTSLLLTTHDQASGQQYFISSTTDERLDSRPVCLLSVLETGAELSVSQNVNGMFLVHTRPHETFYRDRVDDVYVFNPSTREYLSLPPLPNRASKTWPGSNMNMISYFFGFDVGSKDYKVVGVCCDVGAPGLRVEGEVELGIFGLAGGLKAWRVVNVDVPVSFNPCTPGICVNGAIHWLVKDKRVILAFDLGLERFRVIKLGDGIGIDTLLGDADGDGYGNDGEEEEEDDDDREDEFEEETSVWPCLIQVGSYLAVLEYDDVELRMWVLKDYQNEQWVMESMDYPYDWNLMHALPCGSSHTSEFFLCLNHNTGSSIPKIVCCDMERSTYRRINFVEQFEKIDSSTVSVSLVGCYPENIMPLPKI >KZN00555 pep chromosome:ASM162521v1:3:6343367:6346018:1 gene:DCAR_009309 transcript:KZN00555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGFLILSLLLIILHVMTVVTAQDGLRGPTCRTCGGTKSEFDYSKTSGKGPAFWGTLKTEWATCGTGKLQSPVDLTVNGVVDVVPQLETVTRRYKPCTNTMLTNKGTSIEPQNFAVISILYKIGHSPDPFLAKLERNISAFIADESVKEIERGVLDPTDIGISGREFYRYQGSLTTPPCTERVVWTVEEQIKTVSADQVELLQEAVDDNSEMNARPLQQLNGRDVRLYVDHST >KZN02855 pep chromosome:ASM162521v1:3:38083688:38084332:-1 gene:DCAR_011611 transcript:KZN02855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIWKDIPNLSSLGNPNNTFDGVKLQESAFLNPNYNLNPVLLPASNNVVLLTPQPNSFSSSSCHQPMTLELCMQSSGSSARRKRFSISRENDDQNNISSSSPTHRCNQRLIKNRESAARSRDRKQAYTAQLVQQLQTLKAENAKLKMKQQALPDPILSFPHYSAIKFTLLLTIHIICSYMRQLKL >KZN01002 pep chromosome:ASM162521v1:3:10670849:10671178:1 gene:DCAR_009756 transcript:KZN01002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRDEDCECRPLGFLLGLPFAFLALILSILGAVIWILGSIVSCVCPCCCCCAGLLNLAVALVKLPIKVLRWFTRKIPC >KZM99929 pep chromosome:ASM162521v1:3:158713:160800:-1 gene:DCAR_008684 transcript:KZM99929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPKKMRVVSEVTSDSNKKALELIEQVTSNPDEVQLRVLSEILTRSANVEYLERHGLGGKTDRSTFKKMIPVVRYQDLVHDINRIANGDKSPILCSHPISEFLTSSGTSGGERKLMPTIEEELERRSLLYSLLMPVMNQVVPGLDQGKGMYFLFVKSEAKTPGGLLARPVLTSYYKSSHFQNRPYDPYTNYTSPNEAILCPDSYQSMYSQMLCGLCFNDQVLRVGAVFASGFIRAIRFLENHWSLLCHDIRTGTLNSEITDVSVRESVMKILKPDSKLADFIQGECNKKSWQGIITRIWPNTKYVDVIVTGTMSQYIPTLDYYSNGQPLVCTMYASSECYFGLNLNPLCKPEEVSYTLIPSMAYFEFMPVRRNNVTGDTNSISMSESLNENEQQELVDLVDVQLGKEYELVVTTYAGLYRYRVGDLLRVAGFKNKAPQFNFICRKNVVLSIDSDKTDEVELQNAVKNAMNHLMPFDTTLLEYTSYADTTTIPGHYVLFWELNTHGTIPVPPSIFEDCCLAIEESLNSVYRQGRASDKSIGPLEMKIVESGTFDKLMDYAISLGASINQYKTPRCVTFAPHVELLNSRVVSRYFSPKCPKWAPGHKQWNLSDLDSAHSH >KZN01170 pep chromosome:ASM162521v1:3:12586958:12587505:1 gene:DCAR_009924 transcript:KZN01170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSLEDCQSISEEPEMPRGAGSVRNATISIILLGESAIDKIVGQISRPTPRSPLQNL >KZN02867 pep chromosome:ASM162521v1:3:38219748:38219963:-1 gene:DCAR_011623 transcript:KZN02867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEDDAREWSNSTTDGSDGDRTPETTTIPARAAADELRAVDDDVGSGPGSTVSSGRRPAAVLLGVSCVCLG >KZN00319 pep chromosome:ASM162521v1:3:4165280:4165738:1 gene:DCAR_009073 transcript:KZN00319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTCARSPKLGRRKSYSGTRNSLEGASGHVSREHKTSTKSMQVKYENNNVFKNKPNRKSLPNLHSRESISAKTEGKSSKLKQRETANGEDIKATTDKEQESKTESVNPPELEDVKVEKNPTGNTELLANSAHPFVAENEVTLNGGEGDPDI >KZN03180 pep chromosome:ASM162521v1:3:42173962:42180134:-1 gene:DCAR_011936 transcript:KZN03180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESPPPQSLKPHHKKLSFTSQRTLFTLLIIIAASSLFIWGKSFRTQLPIFRISVVESVQVPALRPVVFNLTQFGGVGDGVKVNTEAFEKGVIAISKLGEKGGGQLVVPAGVWLTAPFNLTSHMTLFLAENAVILAIQDEKYWPLLPPLPSYGRGREHRGARYGSLIHGQHLKDVVITGHNGTIDGQGHSWWKKYRQKRLNHTRGPLVQIMWSSDILISNITLRDSPFWTLHPYDCKNVTIRNMTILAPLSKAPNTDGIDPDSCENMLIEDSYISVGDDAIAIKSGWDQYGISYGRPSKNILIRNLVVSSMVSAGISIGSEMSGGVSNVTVENVLVWNSRRAVRIKTAIGRGGYVRHITYRNLTFENVRVGIVIKTDYNEHPNKNFDPKAIPVLQDISYNSIHGEGVRVPVRIYGSQEIPVRNVTFHDMSVGITYKKKHIFQCAYVQGRVIGSIFPAPCENLDLYDEQQRLIKKSVYQNISDIDYAF >KZN01330 pep chromosome:ASM162521v1:3:14549455:14553558:-1 gene:DCAR_010084 transcript:KZN01330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKILTRSHLFSPFCARTHVLTLTDVHIQNIIEDPRYQSRQASARRNVYGAWEQYLGLEHPDNTPKRAFAANQWNFVFLPLGRPEYLLDSDVVSSRSQDEGDSTRSWHLFTSGDMVQECSTAKDPQFSEDSDNGYSYGSSSTDDDCNPAMDVDTDSGEYEEAMEQVAQAILLNPALAICKITEIGHPPESMVLDPHNLELWLKFLRPILKETAEDEAAVNFLLDEVIFAAGTSCLDPNLLDSPVLDN >KZN00890 pep chromosome:ASM162521v1:3:9613923:9622564:-1 gene:DCAR_009644 transcript:KZN00890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDVEKSRFLEEFGDDYGYPNAPKNIDEIRATEFTRLQELVYLDHAGATLYSESQLEAVFKSLNSTVFGNPHTQSNVSSSTSDIVREARQQVLDFCNASAKEYKCIFTSGATAALKLVGEAFPWSSQSSFMYTTENHNSVLGIREYALAKGAEAFAIDIEEAGHRDGSRDTKTSHKVLKRTVQRRSGVKILDEEPAGKAFNLFAFPSECNFSGVKFNLDLANIMKEDPERILKDSPHCKGSWMVLIDAAKGCATDPPDLSKYKADFVVVSFYKLFGYPSGLGALIVRNDAVKLLKNTYFSGGTVAASIADIDFVKRREGAEESFEDGSISFLSIASICHGFHILNTLTMSAISRHMMINAKVGSKGLMVISGGQLEQLFKHTASLATYLRNMLLALRHDNGDSVCTVYGFDSKELCSKPGPIVSFNLKRPDGSWYGYYEVEKLASLSGIQLRTGCFCNPGACAKYLGLSHSDLLSNIEAGHVCWDDHDILNGKPTGAVRVSFGYMSTFEDAKKFMSFIRSSFVSFPLRSSHSEFHRPITTEGIDTNMSRYCLRSINIYPIKSCGGFSVDSWLLSSTGSYL >KZN02754 pep chromosome:ASM162521v1:3:36933833:36935091:1 gene:DCAR_011509 transcript:KZN02754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVRSDDECVYTVYIRTGSTIKGGTDSKMTLTLYAADGYGVRINNLETWGGLMGPDHDYFERGNLDIFSGKGPCLSGPACLMNITSDGSGSGHGWYCNYVEVTNGGARNYTLPGLLSEALAVAPPLEVTTTGAHIPCAQQLFTVEQWIALDRSPYQLTAIRDLCDVGREMLKGLGTYVGETADV >KZN02202 pep chromosome:ASM162521v1:3:30768990:30773653:1 gene:DCAR_010956 transcript:KZN02202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRIAKVEKGQTKIRNVPIAVTPEGFWCCPAPVMFQKTHRTQNPLNKPKSSPPTPKNHVQKVEAAEAENKPSVTPSRSLRGPDDQRKLGSDPPVVSASVISVSTPRPKIEMPRKVTIEFGEPGSSDLKVVLHGKQGFTVKLSVHKSVLVEHSSFFGDKFTEHQPDLRCLELDDCDDVEIYVETVGLMYCKDIKQRLIKQSVARVLRILKVAEHLRFNLCMQSCLEYLEAVPWVGEEEEEKVVSSVSRLHGEGIGITPVLKRVSSVISKPPTDTISHVLELVLKSNEEKGRREMKNIVLKLLRENNNLSSTSSSTDICNESIYRSCRSCLDSLLSLFRNAAAPDFSDQPINVKEPVLKQLALEADNLTWLLDILADRQAADEFAIMWANQQELASLHTRLPIVSRHHVSCISARMFVGIGRGELLPSKDTRHLLLQTWLQPLIDDYSWLQHGCRSFDRKAVEEGIGRTILTLPLEDQQSILLTWLSCFLKTGDSCPNLQRAFEVWWRRSFIRPHMEHANLDQPENSST >KZN02140 pep chromosome:ASM162521v1:3:30151464:30152245:1 gene:DCAR_010894 transcript:KZN02140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMKHFYGSPCMQGFRKIVPDKWEFSNDNFKRGHRDLLRDIRRRKSMASTSATQGDGKADNAAAVSRPKSPSNSGEYLGSGSISTSSSQDSKNAETSNVAKYGVISQENEKLKKENEKLNSELAQTKEELDKLIAALSQFSKVEPNQLVVEKEKGDKNEWENDDDENGECVKLFGVWMKEKKKKRGVEEIVDFGGSMKKSRGGAWMNMVGPYTENCSKVCN >KZN00546 pep chromosome:ASM162521v1:3:6268843:6273947:1 gene:DCAR_009300 transcript:KZN00546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGIVKRVLGNRATGIFSLSRSFCSPSSSSDLITATLFPGDGIGPEIAESVKQVFKTADVPIQWEEHFVGTEVDPRTQSFLTWESLESVRRNKIGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESIKIITRQASLRVAEYAFHYAKAHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPDIKYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGVALAEAVHGSAPDIAGKNKANPTALLLSSVSMLRHLELHDKADRIQSAILNTIADGKYRTADLGGSSSTSDFTKAICDQL >KZN02632 pep chromosome:ASM162521v1:3:35344338:35352798:-1 gene:DCAR_011386 transcript:KZN02632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFQASGRKEPVRYLLWIRLSRAVRVTELFEKLEKDIRVNYLFTRIIKLFVVELYCTHTAACIFYYLATTLPPAQEGYTWIGSLKMGDYNYSHFRDIDLWTRYITSLYFAIVTMATVGYGDVHAVNNREMIFIMIFVSLDMILGAYLLGNMAALIVKGSKTEKFRDKMSDLLEYMNRKKLGKQIFNEIKGHVQSQYANSCTEAAVLQDIPISFRARISERLYVPYIREVPIFKGCSSGFVKQIASKVHEETFLPGEVIAEQGDFMDQLYIVCHGKLGGTEGEFEEPVHFLQSHSSLGEMSVLCNIRLPYTVQVLENSKLLRLDKQSFLNLLDVYFSDGRFIINNLLEGKTTILQNKILESDIVLHIGQHESELAMKLNCAAFYGDLPRLKRLVAAGADTSNTDYDGRSPLHLAASKGNEDIVKFLLQKEARVSITDNYGNTPLHEAIKHSHESVASLLVKSGASLDIIDAGNCLCMAVVREDFDYLRKVMEIGANPNSKNYDLRTPLHLASSKGLYAIAHVLLDAGASVFAKDRWGNTPLDEARIGGNKTLIQLLENAKHDQLLKFPNCLKGFQDVQRKCTVFPFHSWDHQERRLGVVLWIPQNIEELIEAAKKQLKLPGSCYIITEDGGKILDLGMICDGQKLFLVSDNSET >KZN02807 pep chromosome:ASM162521v1:3:37555487:37560602:1 gene:DCAR_011563 transcript:KZN02807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWEKLEAQSTNGGLVGPTSSGPGKRWGHSCNSIRGGRFLYVFGGYGKDNCRTNQIHIFDTATRMWSEPAVYGEQPVPRDSHSCTTVEDNLFIFGGTDGANPLKDLHIFYTSSNRWSSPRIRGEGPMAREGHTAVLVGKRIFIYGGCSKSSNSSHEEYFNDLYILNTETFVWKHAETSGAPPSKRDSHTCSVWRNKLIVLGGLDLDGFYQSDVHILDTDSLTWEKLNTSGQLLPPRAGHTAVVLGKNLFVFGGFWDEQNVFDDIHMLDVETGCWTKVTATGEGPSARFSMAGDSLDPRNRGVLVFIGGCSKNLEALDDMYYLNTGILTEPDRDERRIEKLSLRKKLKQKCQEQHTASPVYGRAETDYQLGRQNSSGRIMFQARVASSSPCSCTIETVIDGKRLSGVIFPTKTGGDDHARSVYFAFSYPVVENRTYKWLVDGQYRATFVIDI >KZN01633 pep chromosome:ASM162521v1:3:22107039:22107377:1 gene:DCAR_010387 transcript:KZN01633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSESDITDSEIDEYKEKPYSLLKDGILKVKRSDGSLKCPFCPGKKKQVYGRKDLLQHAQGIGSSSSYKRTAKAKAKHLALAAYLQQEQQVRRSSRCRSDGECRKLVRGG >KZN03310 pep chromosome:ASM162521v1:3:43471650:43472217:1 gene:DCAR_012066 transcript:KZN03310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVINNTKQYLCHAIVTVVDHLGSVSANLNQQVSAADFVSETEIRMVNLKQKLSTCQQYTQKLALHKVSWRAEFPQYHPRYIMQRKDNTILLIYGDDYGA >KZN02341 pep chromosome:ASM162521v1:3:32222878:32223777:1 gene:DCAR_011095 transcript:KZN02341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLGYECDETTMVIMLSGCAEIGNLSLGKWIHTQVIGRGLVVNCRLGTALVDMYAKCGAVDLSRSVFDRLFERNVWTWSAMVLGLAQHGFATEALEMFSVMKKSTVKPNYVTYLGVLCACSHAGLVESAYQFFHDMRNLHGIKPMLVHFGAMVDVLGRAARLKEAYDFILNMPLKPDPTVWRTLLSACYINDPNDHDGIGEKVKRKLLALEPTRSGNLVMIANKYAEVGMWDKATHMRNRMRKGGLKKMAGESCIEVGGSMYKFFSGDDLQVHYEHIFSVLDRLNFHMKMVTLDCIVS >KZN03761 pep chromosome:ASM162521v1:3:47877568:47880324:1 gene:DCAR_012517 transcript:KZN03761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSLLGEMKGKMNFEETELRLGLPGNISKLKSNIVNSGATNVNGKRGFAEAANVDLKLNLVSSKGDGEIVDQAAESEEIKNLLGGAGSTDSVVKPPAKAQVVGWPPVRSSYRKNIISLQKAATKKGDEENEKVMSSPMGATFVKVSLDGAPYLRKVDLKMYKSYQELSDALGNMFNSFTIGNCGSQGMMDFMNESRLMDLLNNSDYVPTYEDKDGDWMLVGDVPWEMFVGSCKRLRIMKGAEAIGLAPRAMEKCKNRT >KZN00873 pep chromosome:ASM162521v1:3:9450101:9463309:-1 gene:DCAR_009627 transcript:KZN00873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGASDLAYKFAGLGINNNETSSDAALFQVMKAVEAAEATIKQQVEENNRLRSELLRKHHEIEKYKVADWTPQNPLLVDNWDGHAHGYPGIDRSNYRLANPTGVASSLNSSSAHGPSGTSGLRKEVTHNINNPVMHEYGENHFDSNKISEAQSTFPTGQTELPNGAISQRSSPSTTSISPRRYQLEGDFDRGRLMTMADIGDPGSSLKQELVVKARENDAEISQLRKHLAEYSVKEVQLHNENYVLEKRIAYMRMAFDQQQQELVDAASKAISYRQDIIEENIRLSYALQEAQQERSTFVSSLVPVIAEYFSPPPVADAQNIVSNLKVIFKHLQEQLIITESKLKESQYQIAPWHSDTNLTNFAQSPSHSLLQNELGMVPQPVYSKEELAPSGPLKDRNWDLSGDQESILNGGVAKNLETDEFGRYSALSRRNPASQVGPEQLTVSPSDSLPRNSEMTSNRQVKFSDTVSSIEMDDPDMEGQQIGRDPSANWSSRNSPYAADDHITSYSPYLPPVLEEPSSSFSEAADDDPLPAVEGLQISGEPYPGQELQASGFSINGTTSCNFEWVRHLEDGSVNYIDGAKQPTYLVSADDVDNYLAIEVQPMDDRKRKGELVKVFANDNRKIACDPEMHNIIRRNLQAGQASYRISQSVGYLDMWESAILVVKKEGFNIKGTGSSTSLVTEKFSSATSVVVPCGHPTEFSIISGGVEYHLQAENSPEDITGARDTIVLTLRLFIVRLD >KZN03959 pep chromosome:ASM162521v1:3:50216315:50216652:-1 gene:DCAR_012715 transcript:KZN03959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLASLVPRVLVDVSSVKALCILWYPRDNQKAPQKINKHRAMDDTKESIAELKFYQDNIFKHRTKK >KZN01244 pep chromosome:ASM162521v1:3:13604466:13604822:1 gene:DCAR_009998 transcript:KZN01244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNSAFQFEDSLPIMAEKLGGDGLIGELCNGFQLLMDVDKRVITFDSLKRNAAVLGLQDFKDEDLMSMLKEGDFDGDGALNQMEFCVLMFRLSPELMEQSQFLLEDALQQEFNQFV >KZN02935 pep chromosome:ASM162521v1:3:39317980:39318174:-1 gene:DCAR_011691 transcript:KZN02935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRIEDVTGLRCVFQGEVNGVRGDVDGTGVDGVTENSWQMEVKKWMQLVVGKWWWLKPWTWFC >KZN02210 pep chromosome:ASM162521v1:3:30917310:30919835:1 gene:DCAR_010964 transcript:KZN02210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQLLTSLLLVPLFHILFGLDIVLVLGQCLDDQKFFLLQFKNSVKFNSTLSSKLVSWDQSTDCCTWEGVTCNLSSNRGHVIGLDINSESIYGCLVKSTSNSLSGLRHLESLNLAFNNFDTSKFPSGIFSLTALTYLNLSIAGFRGNFPSSIANLTQLVHLDFWANNFSGIISSTHFQNLVNLEYLDLGLNLLSGTIPSSLFGLPSLRKLFLSYNNFQGSVPKFTNTNLSPLETLYASWNNLSGPVPISLFDLKNFSRLTLSHNKLSGKFHLQILHRLSNLTYFNLSFNNLSVDLGHDNSSKFLPPSLKELKLASCRLQHFPELRYLPSLITLDLAENQISGAIPNWIWNVGNGGLRYLNLSVNQLHKLQEPYVIHNLSSIDLRCNHLRGEIPIPIENIYFLDYSNNFFSSIPTNFNLTSAIFFSVSSNRLSGTIPVSMCSGTNLQLLDLSNNYLIGNIPSCLFELSKSLGVLKLGNNSLTGNISRIFSNDCGLETLDLHGNLLKGSVPESLANCSKLEVLNLGDNRINDRFPCFLKDSLHLHVLVLHSNLFHGEIRCQEKNGSWINLQIYDIGSNHFTGNLSQNFLIWKAMKDGRNDVNILGFEALRFGGSRKTYQDAVTISIKGKRLDLVKILNIFTSIDISNNHFQGNIPHTIGELKSLVSLNLSHNTLSGSIPNSFGTLKLLETLDLSENKLTGKIPMELGDISFLEVLDLSNNQLTGKIPTGRQLQTFPEASFEGNKELCGPPLHIKCSRTAVTTAGYGDGDLGNSINWEFVGPEVGFVVGLGTVILPLIFYKRWRSYYYEHVDHILFKVIRRKHQKKSIHGRIRSRYSRIERARS >KZN00381 pep chromosome:ASM162521v1:3:4771229:4774226:1 gene:DCAR_009135 transcript:KZN00381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQGHPVNAYNLPEFPTGSYGVVAGVDPTKLNHPWDVFMLGFIPADILGVKVRGALAGREMVTLVRDYRNYWSNPYVIKVFNGVNVEVGYLDERVCVVLSPLMDAGLVWVEAIIPNYSTKADAKWRPVQIYVFAIREKDEHDQRRLNGIARVVSDGAVVEVVETPREVVKTELFLHQKKALAWMVKTESWAGMPPFWVEQCDGSFVNQVMNVKVAHRPRALRGGILGDDSGMGKTLTLLSLVALDKCSYGGGSGSGSGSGSDVVEDGNEEFAIYQGKDPKRARGSKVVDKMRKSQRVIGELSIDLVASRTTLVVSSTSVFSTWKEQLKKHTKPGKLSVYLYYKQRTKDPMELIKYDLVLTTYSLLASELESGSPVFQVPWWRVILDEAHLIKHSTSTQASAVLRLNARRRWLVTGTPIQNTTIDLYSLMSFLRYNPFTDKHSWKKTLLKPVDTGSEVTRLQAVMEAICLRRTKEQGILGLPRKIMKICPVDLSAEERELYDQMEVEAKTAVQDYISSGTVRSHYIAVLGIVLRLRQTCTHMDLCPKVHIATLPCSNKEELSNNPELLKKMAAILDGSEELECPICLSAPNNIVITRCAHIYCQSCILRTLKRDRPRCPLCRHDLSESDIFSAPTEQSNAEIASSGESSRITALLKLLSEARDQDPTAKSVVFSQFRKMLILLEEPLKTAGFNVLRLDGSMIATKRAQVIKDFGVPAPNGRPTVLLASLRASSTGVDLAAANRVYLLEPWWNPEVDDQAINRVHLTGQTKDVTVVRIVARNSIEERILALQDQKRMAGPAVYKLNINDIRTLLSL >KZN01180 pep chromosome:ASM162521v1:3:12684595:12685901:1 gene:DCAR_009934 transcript:KZN01180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEKVSGHLEPWSSLKGKVVLITGASSGFGWEFSIDLAKAGCKVITAARRLDRLKSLCQLINSFQSSSCDTPLAVPLQLDITADPSVIEAAIQQAWRAFGYIDILINNAGVRGTTSPALNLSNEEWDRVFKINLDGAWLCSKYIAICMRDAERGGSIINISSISGLNRVKGTGTLAYSSSKAAMHTMTTVMALEFGAHNIRVNAIAPTIFRSEITKGLYEQKWLPGVLSKIMPLPFLYDASTNPAITELIRYLIHDSSKYVTGNIFIVDAGGTLPGLPIWSSL >KZN03657 pep chromosome:ASM162521v1:3:46692036:46692344:1 gene:DCAR_012413 transcript:KZN03657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVKDLASKKAAVIFTKSSCFMCHSIKALFYELGASPAIHELDQDANGREMEYALQRLGCNPSFPAVFIGGKYIGSAKDIISLHVDGSLKEKLIQAKAIWF >KZN01748 pep chromosome:ASM162521v1:3:24173592:24179640:-1 gene:DCAR_010502 transcript:KZN01748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTTSTSTSPAEMTSVAVAVNGHPGGKGGKDSLRAVKWAVENLMSKAHHFVLIHVVPTITSIPSPSGPPIPIKELDANVVTMYLHEMKVKFEDIFVPYKKLCKTREMETLVLEGENPAAVLVRYISDSKITYLVLGSWTSNFMTRTIKGPGIPSTVLKDAPGTCTIYVVSRDNLITNSVNALIKKLPSGHYSSSVESKDFDMIGASSMTAYNDPHSQSLPHGSSHNYSLVVQQGNYQTAEAGVADAHKLNQFTYISSQNVEQAEAERVRTELRNTVAMYNQACENLVHAQAKIHLISSDCLEESKRVNAALKREETFRKIAAEEKEKHLEAVKEIEIARNLLTKEGYERELAELNYLRESVEKQKIAHALFLSDKRYKRYTREEIKMATGNFSVNNLIGEGAYGKVYTCKLDHIPVAIKVLRPGADKKHEFLKEVEVLSKLRHPHMVLLLGACPEDGCLVYEFMEKGNLEDHIFRRSGRPPLPWFIRFRIAFEIACGLAFLHNSKPDPIIHCDLKPGNILLDKNFVSKIGDVGLAKLISDVVPDNITEYGDSIIAGTLFYMDPEYQRTGTIRPKSDVYAFGIITLQLLTGCRPKGLILRVEDALENGSIYEVLDKSVTDWPLPEAEELARIALQCSSLRCRDRPDLDQEVLPVLKKLVDFSDSHTEVQRFNIDAPAHYYCPILQEVMVDPYIAPDGFTYEHKAIKLWLEKHNVSPVTKLRLQQKMIHPNHTLRSAIQEWTSRVQHPQVSYTYSPLAGIGRELYSFSA >KZN01053 pep chromosome:ASM162521v1:3:11205068:11205274:-1 gene:DCAR_009807 transcript:KZN01053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSTDGENAIAFPSDTIRLISNCIGVELLGDDDEGAGGGEGGGVEVNEYEEGEDKIRGEGVDKGRKG >KZM99977 pep chromosome:ASM162521v1:3:735188:737437:1 gene:DCAR_008732 transcript:KZM99977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTMAKHQSPESFILFMILAFSISSASAAAAASKEATTLLEWKATLRPIPGSFGRLSNLAYLSLYENQLSDSIPGELSSLLNLVVVFLDTNQLSGPIPAFFGNFTNLEYLHLFNNSLSGSIPSELGNLQLLESLSLHSNHLTGSIPASLGDLHSLSLLHLHQNRLSGSIPREISRITHLQALDLSSNSLTGGLEQLSWNYMSFIFLEHNMLNGLLPSFICNSSELVILNLSYNNFSGELPVCSNDLSYNLSVFDMRMNNIEGNLPATLSNFRKLRSLCLQGNKLEGKIPHSFAQFEYLETLDLGENQINDTFPQCLEALPNLQVLVLKSNRFHGVINQSSETERPFPSLRIIDLSYNEFSGPLPSIYFKNFKAMMNGGVDMIDPSYMGSSYYFDSTTLIIKGVEYILVRILTVLTAIDLSRNSFEKEMPEYIGNLQSLRYLNISHNLLTGSIPSVIGNLSVLESLDLSFNQLEGKIPQQLTGVYTLARLNLSHNELSGHIPQGAQFDTFDNDSYVGNSGLCGHPLDKKCEHDIGEQEEEDEGEDDDNDFFFSGFTWEAVVIGYACGVVPAFIVGYWMLLTGKPNWLLSYIARIQAHAMNQNLLT >KZN00203 pep chromosome:ASM162521v1:3:2984271:2984504:1 gene:DCAR_008957 transcript:KZN00203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSIIPYEKLNDIANWLGTSVASAFFASLERCSCVNLNTADDDEEDEEAKDRPLMLTTLQSPRISSATAVDNLPV >KZN00829 pep chromosome:ASM162521v1:3:9045297:9049961:1 gene:DCAR_009583 transcript:KZN00829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLRGAICRSQPLIASSCHRFRCIISQPTIRVSLPSYKPHRVSIFSDVSKGEPWLGFNHQRRTLIQAANWTDGSSPYDILELEGDADDENIKIAYRRLAKFYHPDVYDGRGTLEEGETAETRFIKIQAAYELLMDKEQRRQYDKDNRVNPMKASQAWMEWLIKKRKAFDQRGDMAIAAWAEQQQREMNIRVRRLSRSKIDPDEERRLLAKEKKATLENYNNTLRRHTLVLRKRDLMRKKADEEKKKVISRLLAAEGLELETDEEDL >KZN01741 pep chromosome:ASM162521v1:3:24075626:24075892:-1 gene:DCAR_010495 transcript:KZN01741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISHQKMIIAAFVLYLALYGAVDFVNSASQKCHECIDKCLKNAKNDRTWEALHVPVYYKCEESCCLPEERRSHIGEAPTSTSPQPGS >KZN03756 pep chromosome:ASM162521v1:3:47735353:47738048:1 gene:DCAR_012512 transcript:KZN03756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKGSKNRKRQWRVGDPVLAKLTGFPSWPAKVEAPEKYGLPVDCKKVFVFCFGTQQIAFINPVDVEAYSEEKKDYLIVNRHTRGSDFHRAVLEIIAYSKKSRNEDKGTNCPLMGEVNMTNGSNSIDSLADSSVKDEASKAISIDSKKVRYDDDFLVGGASAADKQDPFHIKEATPSEDPLCNVNAKEMFLPTGEDNRVAEEQKLFTEKRLMSNRRSRNSSQVNSYKLRNSIKPSSKIIEGGGIGGRYGMRSPSCRRRRTKKSPDVSKLKDVGSTASVFCGSYEKNDSVIGTVGSGSLSFNEGSTMVSGYGLPQTESAVKCTEGDTELSNKLDLPSSAVIVKKKRKPIRKRANSGTNELTGLEKEPASEIEEHKACQTPPSSNKDWDVDYINDDGDEHLPLLKRARV >KZN01657 pep chromosome:ASM162521v1:3:22690323:22692399:1 gene:DCAR_010411 transcript:KZN01657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNSLRDINSASSSWKIKDRVVRSWNGFPSNGEKLKGINILLLDEKKTGMHAYVPANLIKKHDKDVVDGSVYIISEFTVKDYKKEDKFRCINSEKQIIFTKDTECIKIVEDDLLIPKNIFDFYDLAELKEIADSNIYLKDVIGVIWKDQPLADLTNRFGKPQVKVKFTIVDTRTAVNVTFWDSMAEEFVAAKKKAKGHPIIIIIASAKVTYWEGTINKIRQIEISNAASTKFYMNYTDPRVMDIRKMLGTNAFEKFDFSSQMFETFQSFTVQQLKFLGKDYAKDGASNDILDIMKTIENRRLGFKLIIRDENIDKKSMVYQATGVYELFDSTHTTDMIEPSEDNNQPSITQASQSSYHIDDLSQMNFTTPPAEKKEEKA >KZN00322 pep chromosome:ASM162521v1:3:4199272:4200875:1 gene:DCAR_009076 transcript:KZN00322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAICTMDECCDCQCTCSTMDMSESGQFHQEFEFEKPVLDVAEVELENECVMLRQMVTSQQQRIDEILVELEEERNASASAASEAMSMIVRLQREKAEVQMEFRQYKRLAEEKIVHDGEEILALEDLLCNREQVIESLTCEMSYGLKEVEAEGEKLLISQSYDNDVCEVQQDIDAHNCPSVGASPRQYWHVRGYSDESSSSIYTAIKDTSLDVSTDDLGSTRKKECVHIYVDKNPNLQKFDTSSEAEDEMSSVTKPMAFTGVGEDYKTTQNEPLYMGDLGNPDFKKLYMRLQALEADREYMRQALISLGNEKAKLLILKEIAQRRCLDMLLAKTLPVKKTSVRRSFSIFSLFKWIVSFFFWRKKACQCRCMFGMSAQNIGLLALLGKGPR >KZN03297 pep chromosome:ASM162521v1:3:43318833:43319954:1 gene:DCAR_012053 transcript:KZN03297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIVIKADHTRGIPANDEAVNEFQPSLAIVVGVLGVMFILTFFLLFLAKCCHRQSGSNGENEGRFGESRSGYSGIDKTVIESLPFFRFSALKGSREGLECAVCLSKFRDIEILRLLPKCKHAFHISCVDQWLERHSSCPLCRHRVSSEDHASVTYSGSLRFLRSQSDLRQDSNLELFVQREEDHTQHKSSRFMRGSSLNNTLEVQDREELPIQGTCHKNEAEEEENLHKFNHKIIVAEGVLLKNRWSSVSSSDLMFLNSEMINEASTNRLSSKEAANNLYVISTQDDSIPFARVVENNSSKILEPNEKRSMSEIIVHPRSTRSRSITRGESSGSQNDVNEERQRKLWLPIARRTVEWFANRERRFTQIQSHV >KZN02394 pep chromosome:ASM162521v1:3:33019408:33023662:-1 gene:DCAR_011148 transcript:KZN02394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDAAAILTSIQSLLCDPNPNSPANSEAARLFSESKREYNRKVREIVEVSWTAD >KZN00949 pep chromosome:ASM162521v1:3:10216154:10216528:-1 gene:DCAR_009703 transcript:KZN00949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARAGDDFQDMLPTMASKLGGDGLIKELCNGFRLLMDKDRQVITFESLKKNSALLGLQDLRDDELMSMLREGDANGDGVLDEMEFCVLMFRLSPELMQESEVWLEDALQQELGASCFDKTKK >KZN02717 pep chromosome:ASM162521v1:3:36443498:36445687:-1 gene:DCAR_011472 transcript:KZN02717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSAFSFLNILSTQAINSVVTIYLILMGCFMVGGLLILVDSSLQSGIGLGAACGHCSGSKHGRTPLSDVSDQSFSACRQQVNQKNQSKDSVAADEPEEMGDPLTAEKQEEKEQLLEEVIHESLCYCVAVSMFVSYLKLLCVYYCNHSYGENSLVLYSCPSFFLLLRSLTRNQT >KZN00078 pep chromosome:ASM162521v1:3:1711585:1718604:-1 gene:DCAR_008832 transcript:KZN00078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALVVDLASGLVFRLVSLAAEELIQAWNLQEDLVTLQERLEEINALLSDADSKRLKLSAVQSWFSKLEAVARVADAFMDQLAYEITRRKVENRSTLRDLFSTKNSILYRFKVAQKIKSINSSFDKIFEWAGKLGLQPVAQLTSTVQPREIRNTPPCEDESQIVGREDDISFLVETVCTNHAEDLPVIAVMGMGGQGKTTLARMVYNRDVVTDMFTERIWVSVSDDFDFMKILNQMVASLTSTASSIENPEGVIKKLQNSLSGVKFLLVLDDVWNERPEEWDNLRNSLLGVRGASGSKILVTTRKQEVVNAMRSVISYKVGKLSEEDSWELFKRRAFSPGGVLETPKFTAMGKRMVERCGGLPLAIKTLGGFKIIQGGIRMDSTVWKNEMIRIWMALGFLLPPKGSNILMEDVGSEYFNILVWNCLLQDVRRNKYGTSCKMHDLVHDLALDLSKNHSVTVEADHELNHISNAIYVRVDEGISNIKPPILKRKFEKVQVLYAEAYIVNDLVPYPSHLIGLVLKHSLRGELPNSLSNLKYLKYLDISNFGIIYKLPDYFTRLYNLQTLSVWITTQLPRKICNLINLRHILVVHDVELGSSDMFSKIERLTCLQTLPQYVVSRDHQCLIGQLGSLKNLQGTLKIYGLNDVENMEEARKASLHTKSNIEHLKLVWSWNIDVMEEKENNHEDVMEGLQPHTNLKELAVEIFWGKKFATWIIMMINLEVITFDNCKRCEEFPQLGHLPKLRKIWIEGMDNVKVIRSHLCGSQSSISGENGEEETVATMYPSLKNLHLRILPNLEEWQDPAMDNVLVFPKLEELRILECPKLTRIPGSCYPLMKTLHITDLDSSKLLESMSEKACGLKDLQLQNISGGVGCSSSSSMNFIMGELLKNNSVSLETLCVGKLQGLTYLTLGAGLKSLRLTNLLELNTINVVKGSDALKDLSISRCPNYEVFASSVSSTIEILELGRSSEDLDKFPWPLSFSFPNVIKLTLWGWEKLEWIVDEGQPDDYLTSIFPALRDLSIRRFEGVKTLPISLEKLPFLESGGVGCSSSSSSSSSMNCIMGELLKNNSVTLETLRIEAEEVIQAWNIQEDLVMLRERLEDIDALLSDADSKRLNMFAVQSWFNKLADVAHIADAFMDQLAYEVTRRKVENRSKVRDFFSTKKGVLYRFKVANKIKSINSSFDKIFEWAGKLGLQPVAQLTTTVQPREMRNTPPFEDESLIVGRNDDISFLVDAVCTNHAEDLPVIAVMGMGGQGKTTLARMVYNRDVVTDI >KZN00252 pep chromosome:ASM162521v1:3:3456042:3456473:-1 gene:DCAR_009006 transcript:KZN00252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLRIACLIMVLGMVADLMVDRGEALSCGDLGASISQCSPYATGAVGQPSPGCCSAVKGVYAMAKTSQDRKVLCNCLKQSSSAVHGVQLSNVAAIPQKCGVPVSFSPDPNFNCNSQVFQFLDFETDGLCKLAHTKSCYMIL >KZN01392 pep chromosome:ASM162521v1:3:15393466:15396712:-1 gene:DCAR_010146 transcript:KZN01392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKFTHKTNEALSGAHELAMNAGHVQFTPLHIAVSLISDPNGIFRQAVANAGGSEEAANSVERVLNQALKKIPSQSPAPDQIPASTSLIKVIRRAQSAQKSRGDTHLAVDQLILGLLEDSQVADLFKEAGVSAAKIKAEVEKLRGKEGKKVESATGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLSDVRLIALDMGALVAGAKYRGEFEERLKSVLKEVEDADGKVILFIDEIHLVLGAGRAEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVLDTINILRGLKERYEGHHGVRIQDRALVNAAQLSSRYITGRHLPDKAIDLIDEACANVRVQLDSQPEEIDNLERKRMQLEIELHALEKEKDKVSKARVGEVKKELDDLRDKLQPLMMKYKKEKERIDEIRRLKQKREELNFAAQEAERRSDLARVADLRYGALMEVESALARLEGNTDENVMLTETVGPEQIAEVVSRWTGIPVTRLGQNEKDRLIGLADRLHGRVVGQDQAVSAVAEAVLRSRAGLARAQQPTGSFLFLGPTGVGKTELAKALAEQLFDDDKLLIRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEIEKAHGSVFNTLLQVLDDGRLTDGQGRTVDFTNTVIILTSNLGAEYLLSGLMGKCTMADARNMVMQEVKRNFKPELLNRLDEIVVFDPLSHDQLRKVARLQLKDVASRLAEMGVALGVSESALDVILAESYDPVYGARPIRRWLEKKVVTELSKMLVKAEIDENSTVYIDADLTGKELSYRVEKNGGLVNAVTGQKSDILIQIPNGPRNEAAQAVKKMRIEEIEDDDEMDQE >KZN02646 pep chromosome:ASM162521v1:3:35489614:35492256:1 gene:DCAR_011400 transcript:KZN02646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGESRYVSAVRRSVSSSFRIKIQVKIMFSDSVRFPQRLSYKMFDVLLRQVKRLLVEYPDWLSIERCQIDFYHKQLSFLSRNFERRLVTYRVYSEIEEEALRLLASEILTSEHERGVDPPDLTCIGEQLASQVARIHKAFFHEFKDFHCYFYFHKKKFEECDGEALVGFQEEVTNLLHQLASITKKQLQVISIVGMAGLGKTTLATRLYNDPYVVSYFYVRAWVTCSQVYRKRDLLLSILSSVTEITPQVSARNDNMLAHDLYRALKGRRYLIVIDDIWSIKAWDDFKSCFPDGNNGSRVMLTTRLKDIALHAQTEGNPLCLRFLTEEESFDLFKRKAFIHGNISRDLSSIGLNIMKKCRGLPLAIVVIAGVLKYDMEIDWWTHVEETVSSYIVTDVNQYMDTLALSYNHLPQHLRSCFLSFGAFREDHDIPVYKLSWLWIAKGFILEDGTKKSLEDVAEGYLMDLIRRSLVVVGRKGLNGAIKTCRIHDLLRDLCLRKAEEENFPLDIYKYDKHSYSCPHSITSLTTSQLYLSSTDVLNIPSNCPCYSPEISQSFFLDVSIHWDTSKLIRDLNISSIELFVFPCEVLQLVQLRYLDLRFTAGNPPESISVLRELQTLIMSSSMNMVVPKNLWKMINMRHLCINSGENLLKFSSAEEPSLLRNMRTMSQVSPIMPCQHIFAKTPNLRKLGLCGPLTMNAELKCPDLGPLTLLESLKLVNTIPSCKAGRVSNSIIFPASLKNLALSDTCLDWKEDWVFQMMPNLEVLKLKCNAFFGNDWETSAEAFPRLKFLKLDELDIVTWTASRDHFPVLQHLQVYRCPYLMEIPEDFGNIYTLEWIEVSGCSDAATESARDIKREQERNGNDWLKILFSHLPSKPRRY >KZN02492 pep chromosome:ASM162521v1:3:33935392:33938035:-1 gene:DCAR_011246 transcript:KZN02492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSLLSQFNAKSSCLLRETGSNNRCALPRFVKLSNNSKRNVPTRLCFASSNGGFRQETSGIGSKDGVIIVDHGSRRKESNLMLNEFVIMFRDRTGYPIVEPAHMELAEPSIKDAFASCVQQGVNRVIVSPFFLFPGRHWNQDIPSLTAEAAKEHPGVSYIITAPLGLHELLVDVVNDRIQHCLSHVAGDADECSVCAGTGRCQLY >KZN02195 pep chromosome:ASM162521v1:3:30699271:30701346:-1 gene:DCAR_010949 transcript:KZN02195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMSVLSKLPTNLPPHLSLKFIKIYLNSGDLLRARQLFDKILQPDIHSCTVLINAYTKQGHAKEALNLYSELRARDIQPDKLALLSVVKACASLSEVTKAKEIGNDVKRFGFHGDLFLGNALIDMFGKCRYIDGAKDVFSSLQVRDVISWTSLCSCYFNCGMLREGFRVFREMGSDGVRANSVSVSSVLPVCSRLKDLNAGREVHGYVMKNGMEENVFVSSALVDMYASCLCIDKARLVFENMSRRDIVSWNVILTAYFGNGEFDKAFTTFSRMRNEGIRLNYASWNAIIGGCVQNGRTEQALEIAIQMQKSGLKPNHITITSVLPACTHLESLRSGKEIHGFMFRHWFLDDITATTALVYMYAKCGELQRSCRVFQMMPVKDTIAWNTIIMANSMHGNGEEALLLFHKMVKLGIQTNSVTFTAVLCGCSHSRLVDEGISTFYSMKIDHDIEPDSEHYSCMVDVLSRGGRLEEAYRFIQEMPIEPSAAAWGALLGACRIYKNVDLGQIAAKRLFEIEPDNPGNFVLLSNIFVAAKLWREAAEVRKSMRDKGVKKVPGCSWVQVKNKVHNFVVGDISNYDSAEIYRFLDDMGQKMKLAGYLPDTEFVLQDLDQEEKEDSLCNHCERLAVAYAILNLNGESSVRVFKNLRICGDCHNAIKFIAKIVGVQIVVRDSLRFHHFKDGTCSCKDFW >KZN00503 pep chromosome:ASM162521v1:3:5848218:5855324:-1 gene:DCAR_009257 transcript:KZN00503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTPDQRKVGLGGVAPSPLPFLTPRPDRKRVGDPRGIIDWNCNRELNVQVLLRCRPLSEEDQRSNVPMVVTCNEHRREVSISQNVANRQVDKVFTFDKVFGPKAQQRSIYDQAISPIVNEVLDGFNCTVFAYGQTGTGKTYTMEGGMRNKSGELPAEAGVIPRAVRQIFDTLEGQNADYSMKVTFLELYNEEITDLLAPEDCSRSFAESTKKPVSLMEDGKGCVLLRGLEEEAVYSANEIHNLLERGAVKRRTADTLLNKRSSRSHSVFTITVYVKEATVGGEELIKCGKLNLVDLAGSENISRSGSRESRAREAGEINKSLLTLGRVINALVEHSAHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSAHCLDETLSTLDYAHRAKNIKNKPEANQKVSKVVLLRDLHMEIDRMRQDVRAAREKNGVYIPHERFTQDEAEKKAKNEKIEQLEIDLNISEKQVGKFRELYLTEQKQRLNFENELKDCKISLENSNKALQESKENHQKAISILREKEFIISKLLHSESSLVERAKDMRANLQNASEDITVLCSTIDKKSRLEAENQGLLLTFGSQLDQSLKNLHKTILGSVSQQQQELKCMDEHLSLFLASKSDVAQALESKIAKMTDICTSGVAILKELAETMQMKSDSSLEQMMCTISAQAASVEKFLRSTVLEAKDVNSDILNSLSEQRQLLAGSAQKEEERLHQALASAQEISRATVYFFNDISSHASKLAEMLEESHIYKSNQLSEFRKMFEEEAAREEQLAMQKIAATLADLTTKRIARVSEASKNIENTNLQENQLLLQEMSKMQQVSVTANKELNDYAERMKIHFIEETFASAETKAMIAGCIEECENKVDYSTEQWDNAELSVNQLNKTSTAKAGENISDQQADHGVFLSKCLSTNAEFKAKSNEMLSAVNGSLAMDHETKKQFDSMSSSCWEQLERTREKHTQSILNIRNRVEHCLRKDYMIDQQTPPQKRVITIPSLDSIEEMRTQVCDLENRPKLSKTDAKINGQQQVLGSSPDRTPFAEVNCVRD >KZN00120 pep chromosome:ASM162521v1:3:2084208:2089574:1 gene:DCAR_008874 transcript:KZN00120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRVAGGLAGLANSSTNSGIFFQGDRQSHVVGNSHLSSFGNSINSNSGNLRSNMGPNSGDVSNTLLNSVAASGPSVGASSLVTDANSGLSVGPNLQRSASFNTDSYMRGVIDELLYLDLPRECRLSSGVMMLEYGKAVQESVYEQLRVVREGQLRVIFTPDLKILSWEFCARHHEELLPRRLVAPQVNQLLQVAQKCQSTITESGSDGVSQQDSQNTSNMMVTAARQFAKSLESQSLNDLGFSKRYVRCLQISEVVNSMKDLMDFCRDQKDGPMESLKKFPRLASPAKFQLQKMQELEQMASINGLQTDRGTLNKLVAQNGGLNNQINCNNQMVGRAALSGSPQAAMALSNYQNMLMRQNSFNSNSNSAQQEATSSVSNVNQKASPSFQGSASVLSGTMQNPQTSGFSSSHFLQSHQRALNVNGGLQQNHLQSPQNSQGLQQQMIQRLLQDINSNNNTGGVQPQQQPLAGNSANVSAGSDGLGYGSNTSMGSAAQVNRVATTNNPMPTRSNSFKGVSASDSSAAVGNNGNSQRACDIPQNLQLSDELAQDIAQEFSEHGLFSDLEESMAYGGWKTGL >KZN00925 pep chromosome:ASM162521v1:3:9915526:9916479:-1 gene:DCAR_009679 transcript:KZN00925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFDPYEHLNVSLNDDGSLTRHLNIPKLPATGEDQLLPGQTVVSKDVTLNAENKTWIRIFRPVKMPSSEKSAKLPMILFLHAGGWIDLSVANVFCHETCNKMAHEIPAVVIGLEFRLAPEHRLPAQYDDAVEAINWVKNQAKDSKGDQWLRDYADYSRFYLYGASCGANIAYNAALRILETKMEPLKIVGLIMNQPFIGGKKRTKSELKLATDQYFPLPVIDLLWELALPEGMNRDHRFCNPLQEADKEKLRSIGRCLVIGFGGDPLIDRQQEFVKMLVMSGVMVEAKFDDVGFHNIDMIDPRRAAAINEFIKEFV >KZN02887 pep chromosome:ASM162521v1:3:38620627:38622582:-1 gene:DCAR_011643 transcript:KZN02887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYYEKVRAAGRRLISLIALALNLEEDFFEKDGPVDPFLRLLHYPGGLLHWKHDYMSAHVNELMLSCVLDEKVLGSSAHSDYGMMTLLVTDGVPGLQVCREKAKKPQVWEDVPHISGAFVVNIGDMMERWTNCLYRYYLLERWNNFKYLNEIIKMQKVKLRNAY >KZN02789 pep chromosome:ASM162521v1:3:37322084:37322332:-1 gene:DCAR_011545 transcript:KZN02789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPYRVMLHNDNYNKREYVVQVLMKVIPGMTVDIAVNVMQEAHHNGLAVVIICDQVDAEDHCMQLRGNGLQSSIEPASGGC >KZN00669 pep chromosome:ASM162521v1:3:7468820:7469293:1 gene:DCAR_009423 transcript:KZN00669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLILHSSPTFHFNASNLFLNKSPHLSFSKPSRLSIKSEDSSDQSSDDASSTVVPPKSSSTGLGFGSSAASPSPTKKKQGKRERAAVIRRDPVEKPKFAALRDESELKEQGQNEQAFLLAWLGLGSIIFVQGILLAVSGIYFMTLFEELLNLLIWSS >KZN03425 pep chromosome:ASM162521v1:3:44437684:44458708:1 gene:DCAR_012181 transcript:KZN03425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDYRRRGFDRKDSKISKKQKLILSAEEKLESKLGYDLFSEGDKRLGWLLTFSSSSWEDEETRKVYSCVDLYFVCQDGSTFKSKYKFRPYFYVATKDKTEMDVDAYLRRRYEGQIADIEIVDKEDLNLVRKQRTQDFIDYISDLREYDVPYHIRFAIDKDVRSGQWYDVSVSSTGVTLERRTDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMIDGRGYLIINRECVGEDIEDLEYTPKPEFEGCFKVTNVKNEKELICQWFTHMQELKPGIYVTYNGDFFDWPFLERRAAYHGMQMNDELGFQCDMNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMENPQMMASYSVSDAVSTYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAYKANIICPNKHQSDPEKFFGNQLLESETYIGGHVECLESGVFRSDLPTSFKLDPSAYMQLINNLDRDLQYAIRVEGKMDVELVSNYEEVKSAIMEKLLSLRDAPLREECPLIYHLDVAAMYPNIILTNRLQPPSIVSDEVCTACDFNRPGKTCLRNLEWVWRGETYMAKRSDYYHIKRQLESELVDGVDGHPSKPFLDLPKVDQQLKLKDRLKKYCQKAYKRVLNKPITELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNPIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCALPGSFPENFTFKTRDLKKKLTISYPCVMLNVDVAMNNTNDQYQTLNDSLNRTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYAAVAAVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLAEYGTQKSCAVTTAKRLADFLGDTMVKDKGLRCQYVVACEPRGTPVSERAVPVAIFETDAELIKFYVKKWCKISSDVGIRSIIDWSYYKQRLCSAIQKIVTIPAAMQKVSNPVPRVIHPDWLHKKVREKEDKFRQRKLVDIFSSRNKDDAKGQRAVSDSDNNGRCGPNIEDMEDFRTTEKVSARGPRPIVRCYEVNNGKDAIKSSQVNHSNQPTDHSQSAHEMLNPQQQNDICMKDIDRNSDYQGWLDIKKRKWKEAREKRKRRRLDNAKNLSQNSDVAGTSGGVNQKQIRGKPGVSSYFERQELALTRSHWQIIQIEPSSQYGRFFAWVSVQGVMRKIPINVPRVFYLNSKAPVTEDFPGRRVNKILPHGHNNYNLIEVIIDEDQFWAESRKLAAHLSDPEVEGIYETKVPSEFNAIYQIGCVCKVDKSAAKRSAEDGWSLSELHMKTTTECSYLEQSFSFFYLYHSGSEGRAMYAGYFPASGTISVVLVNTVQNKEITPYILERQFRDACQAFSVDSSVLRDGTVFKVDYVGSIKDAEKLLQRTIVEYKNLHPGPGIAVIECPYVNSMKSGIRALNEFPCVSMPSNANDSQYQAIGWQIVATKKGMQRCAASSQWLNERISLSRYAHIPLGNFELDWLIHTADIFFARALRDHQQVLWISDNGNPDLGGTNEEDSCYTDEVDQPVLTYPGAYRKVSVELKIHHLAVNALLKSNQVNEMEGGALFGSEHDSSTGPHDSHEQSGFDEATSCSPAFRVLKQLIQRCLADAVTSGNVFADAILQHLYRWLCSPHSKLHDPALHRMLHKVMQKVFALLLAEFRKLGATIIFANFSKIIIDTGKSDLSAGKAYCDSLLRALQTRDLFEWIELEPMQFWYSLLFMDQYNYGGIQAKVDGKLSEDTAKSDNEDMLDESQVDIVPSWSVMENLPKEMQDHFVLVVSEFIYLPWKEQVLKKESMRNGSLCTPSITAATAEAFESQMSDYIRGQVTSYFTGKLLGIVRDANLHMKGMDKSLNGNPQVGNDIYTGDHALEFIKHVCAVMALDQNVQHDILIMRKNLLKYVRVREFAPEAEFQNHSLGFSLPNVICSYCNDCRDLDLCRDRALMAQEWRCQCGQPYDREVMENGLLQIVRQRERLYHLQDLV >KZN00067 pep chromosome:ASM162521v1:3:1617609:1618766:-1 gene:DCAR_008821 transcript:KZN00067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALARNCRNLRKFSCGSCTFGAKGMNALLDNCPTLEELSVKRLRCNPDGAALEPIGPGLAGGSLKTICLKELYSGQCFGPLIMGAKNLKTLKLNRCSGDWDKLLEEITSRVTSLVEVHLERIQVSDAGVAALASCVNIEILHLVKTPECTNLGLMAVAEGCKLIRKLHIDGWKTNRISDEGLIAVARHCPNLLELVLIGVNPTCVSIERLATNCQSLERLALCGSETVGDAEISCIAAKCVALKKLCIKSCPVSDHGMEALAGGCPNLVKVKVKKCRAVTSEGADWLRLNRASVAVNLDTPEPENQEVVAGDGAQEVNAAQNLPGTAQDTGTNVASSSSGRPRSVRSASFKARLRLTAGRSLVGTLRRWSSFSGSTSGSSQRRT >KZN00808 pep chromosome:ASM162521v1:3:8884270:8888879:-1 gene:DCAR_009562 transcript:KZN00808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFSLELPQQQNLYDCGLFLLHYVELFLEGNLVNFNPLKISSFSNFLTANWFQPAEASLKRAYIQRLIYDMLDDGSSEPSPSNGEDEKCTSMYLKSVDKDRTTSEFLWDGSSFSKVVHESVPCSEDGQRLELGLLHSSLADSIQSANVSGFILRGPGEAEAAVESLCGAFERTRAEAAVESFCQDFEKTKAEGGVESFCQAYKKTTPSEAETAGESFCQAFEQTAPSDAEAAVESFCQASEKIAASKAEAAVKSFCQNFERSAPSEVFENSSKSIHFANDSGFVSRGRAEAEAAVESFCQPLERTAPAEEFKNTSESIQIFNDSGFVSRGPAEAETAVESFCQAFDQTAPPEEFKNGSESIQPVNDFGFVSRGTAKAEAAVESCCQDFEQNGHSECSNAMPPIELLTVAFSVNSVGWKMGFVSRRPAEAEAAVESLGQGFEQTAPSEESRNVSQIIQPVNCSSFVSRGLAEAESFCQAFEQTAPSEEFRNVSESMQPVNGSSFVPRGLAKEEVAVESLSQDVEPPSGCSNAMPPLEQEDKSYKHSCCPSLGTTGFQEPKTSTSEACVAYLSKESGAEPLWNRESNMCQNVLMDTDSSKESICASDDLLKSKNAIHSKIGTNLSMEVKLDHHQPKFSSLGNLELFVDLRVPSSSDHMLNRNNTFSKNCGTPPRNCDALEDRSAACAPVQCICDGSGTGVQQATKKRRLAPIKEGPSLDEDLHE >KZN01203 pep chromosome:ASM162521v1:3:13034627:13037275:1 gene:DCAR_009957 transcript:KZN01203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLTLPIKSTAIPKNDTFSEFPLKPNKNTVSFSNAPNSVASTDAHLINLCSNGRLSEAIHALDSISQSGFKVKPNTFNRLINSCIECNSIVLGRKLHENIHVLGDVDPFVETKLVGMYAKCGSVDDARKVFDEMRERDLFTWSAMIGGCSRDKRWGEVVELFFLMMEEGVVPDEFLFPKILHACGNCADLRTVRLIHSIVVKCGLGMNIRVNNTMLAAFAKCRELVCLRKYFRNMEVKDLVSWNSVISGYCQKGEMEEAHRLFDMMHDEGFEPGLVTWNTMISTYNQLGKCDVALEMMKEMTSLGIIPDVFTWSSMVSGFAKSNRIGKALELFWDMLVEGVEPNGITLASAISACASLKDINKGKELHCIAIKVGYADSVIVGNSLIGMYSKCDKLEAAEEVFDKIITKDVYTYNSMIGGYIHAGYCGNAHDLIIKMRESGVQPNVVTWNVMIAGYIQNEGEDQAMDLFYKMEKDGIIRRDTATWNALISGLIQNGQKNKALSIFRQMQSSCVRLNAVTVLSILPACANVISAKKVKEIHGCILRRNLKSELSVANSFIDTYAKSGNLVYSRAIFDEIPIKDIISWNTIMAGSVLHGCSNDALDLFNQMRKEGLEPNRGTFVSILSAYGLAKMVDEGECAFSSMIHDFNILPSLDHCKAMISLYGRSGKLEEAVKFIEDMIMQPDTSIWSTLLTACRNHGNARWALHAGERLLKLDPGNALTQRLVLQLYAFCGIDDGYTKLKIPEIKESTGRSWVEVRNTVHCFVKGDKCQPNADVLLLWTKAVAGAVERPYTQNVLCCGEEDDETAGGVHSEKLSLAFSLVGSAHTCQPIRILKSLRMCEDCHATIKYVSKAYEREIYICDSNCLHHFKDGSCSCGDYW >KZN01278 pep chromosome:ASM162521v1:3:14043612:14044855:-1 gene:DCAR_010032 transcript:KZN01278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSAEESGWTFYLEDLLNTEQFDHENNSMQLPSACDDEMGSQSLCLVSDAASSASVMGSSKILSSKKRRTCIFSKRSSMASDDSLEDTASSPVSSPKVSDLNRKATMNRKQKECAEIIEECTSTSSTGLKRRGLCLVPVSTIVNFLG >KZN02005 pep chromosome:ASM162521v1:3:28154915:28156288:1 gene:DCAR_010759 transcript:KZN02005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTPHDLLEELVMAPRRFHETKFALSDDHQYFPSTGQWSHDSLLNYNDILESSISYSQLMMLTPRVEPQATSFVSCPSSEIQPYFSSYTPPFQVLDELEPTRGHEVNVHDHYNSRNDISVYDFKETYSCSSSRNVRELSAPSEIPVFSIGSFEDDNRARSKVKKLEGQPSKNLMAERRRRKRLNDRLSMLRSVVPRISKMDRTSILGDTLDYMKELLEKINKLKMQDTQANSDQKNSLGNLEEVNKIGEATAKNTSRFDVRRKEKSTEINISCAGKPGMVLSTLNTMESLGLDIQQCVVSCFNDFTLEASCSEAEEHRRFISDEDLRRALSRNAGYGGRCL >KZN02387 pep chromosome:ASM162521v1:3:32907959:32914846:1 gene:DCAR_011141 transcript:KZN02387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPALPEPKTMPESDKKKTEQSLPFYQLFAFADKYDYLLMTTGSLGAIVHGSSMPVFFLLFGEMVNGFGKNQFDLHKMTDEVSKALRSVFCLPGLGGLLFIVCSIYCIIVFTKLQLLQQNEIACWMYSGERQVSALRKKYLEAVLKQDVGFYDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWKLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALDSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKIAGYKLMEIIKQKPLIFQDPSQGKCLDEVNGNIEFKDVTFSYPSRPDVIIFQGFSIYFPAGKTVAVVGGSGSGKSTVVSLIERFYDPSQGQILLDSVDIKTLQLKWLRDQVGLVNQEPALFATTILENILYGKPDATIADVEAASSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVLQQGKVVETGTHEELIAKAGAYASLIRFQEMVGNRDFSNPSTRRARSSRLSYSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMVSNAETDKKNPAPRNYFFRLLKLNAPEWPYSIMGAVGSILSGFIGPTFAIVMSNMIEVFYYRNPASMERKTKEFVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEDEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCHELRLPQLQSLRRSQSAGILFGLSQLALYASEALILWYGAHLVSRGVSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSILDRSTKIEPDDPDAEPIETIRGEIELRHVDFSYPSRPDVVVFKDFSLRIRAGQSQALVGASGSGKSSVIALIERFYDPTAGKVMIDGKDIRRLNLQSLRLKIGLVQQEPALFASSILDNIAYGKDGATEAEVIEAARAANVHTFVSGLPQGYKTPVGERGVQLSGGQKQRIAIARAVLKNPSILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDSIGVVQEGRIVEQGSHSELISRPEGAYSRLLQLQYHHI >KZN02517 pep chromosome:ASM162521v1:3:34166563:34166910:1 gene:DCAR_011271 transcript:KZN02517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIKKLLSYDKSGSWAMLCKGSYIVTSGHAQTVLSAFLEFDSWKENVPLRGFDVSFKEYHDKLHVANPPCCRFEFLTTAGRIPDGMTCPECLQHMEKYSTFLCCHDENSIFLPH >KZN01784 pep chromosome:ASM162521v1:3:25057985:25061060:1 gene:DCAR_010538 transcript:KZN01784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSVSVNNVSLSASENDIKEFFSFSGEIECIDWQSDTERSQIAYVTFKDAQGAEKAVLLSGATIVDQTVTIDLAPDYNLPLSAPAAPIETENQNVGETPMVVQKAEDVVSGMLARGFTLGKDALNRAKSFDERHRLTSSASARVASFDQKIGLTRRFSTGATMMNDRVKAIDQKLQVSEKTRTAFTTAQQKVNSAGSTIMKNRYLLTGTSWVVGAFSRVTKAAGEVGQKTKAKLSRDEGKKEAVGSGQVPGTDSVEGASASLPQNENLSGVTKALEEVEQKTKEKMSEHEERKVADGSGQVPTTHSAEAASASLPLKENLNSA >KZN01531 pep chromosome:ASM162521v1:3:19060128:19060304:-1 gene:DCAR_010285 transcript:KZN01531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSRKFDFPFPLKLKVCQDQSKVDDDMILRSVYILVSSEDSEDGILIRGVECNIPYY >KZN03206 pep chromosome:ASM162521v1:3:42458052:42460025:-1 gene:DCAR_011962 transcript:KZN03206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRSNSFKHADSEIINKSFGSPRIGSPRNGSPRKNSIRLKSNEPVKIVLETTLSFKNLVQSIKKAGYDDDRADEFRFIHKPVEVAPLTLPEPAILFSPRPVSELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSYFDGEKHETAISRWARARTRAAKIDPRHRYGHNLHMYYDVWFASESVQPFFYWLDVGDGKDVNLEKCPRSKLQRQCIKYLGPNEREAYEVIVENGKLVYRRSGVFLETIEGSKWIFVLSTSRNLYVGKKKKGEFQHSSFLSGGATMAAGRLVAHAGVLEAIWPYSGHYHPTEENFKEFIGFLEENNVDLTNVKRCAIDEDSFSYDNSSEETTVASGAPAAQPQDMDTLSKISDNHVNAPTFNMARRLSQKWTSGAGPRIGCVRDYPAELQSRALEQVNLSPRVASGPAFGNYGPIPSPRPSPKVRLSPRLTYMGLPSPRTPIASN >KZN00598 pep chromosome:ASM162521v1:3:6741672:6742013:1 gene:DCAR_009352 transcript:KZN00598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGPGGPYALFAGKDASRALAKMSFEDKDLTSDLTGLGVFELEALQDWEYKFMSKYVKVGTIKQTVPVTDGSTASGTTEATEAIGGDAKPVEDAPSESVATEPKEIPAVGET >KZN01835 pep chromosome:ASM162521v1:3:25879762:25881405:1 gene:DCAR_010589 transcript:KZN01835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTVVVVTTVYLVLATTLYQVMRNSDNQYNSISAARRLGYNISPPNFDPLVESIQRSVDDNTAEKLQNDSSYLDDDGKINTTYRIMVLFPFLDVAPKDGFVDSKELEKWILQQAVDRLNFGTRQALELHDENGDGLVSFPEYLPHVSNQDLEGDDKRRGAAGWWYEQFKNADADHNGLLDFDELKDFLHPEDSTNERIQKWLLRDEIREMDYDNNQKLDWMEFETGAYDGYLNYIALEAKGDKDVPSEQDVFAKLDLDQDELLDLDELRPFLQYMNPGVLQNARHHTLHLIDEADQDEDGKLTIQEMINNDRVFYDSLFHQTNVSDDKFHDEL >KZN02797 pep chromosome:ASM162521v1:3:37393803:37395598:1 gene:DCAR_011553 transcript:KZN02797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQFPLIFLSFLVIVFVFLASRSTNTARKLPPGPRKLPIIGHIHKLRGQVQHRVITDLSKRHGPIMHLQLAEISVIVVSSSSLANQVLKTHDLAFADRAQLQLSKIILEGCKDVAYSRYDDYWRQMKKICKVELLTLNKVTSFRSIREDEAWSLVESVKNSLDSPINLTYLFTSLANSIACRAAIGERSKYQDELVHLIESMAASGGGFDVADLFPSYKLLHAFSGLKAKLIKLRTRVDVIFFNIIKEHKEKRAKAKQSNGRGSGEEDLVDVLLRLQEESSFEFPITSSDIKGIIIDMLAAGTDTAAATLDWAMSELVRKPEVMEKAQSEVREAFKGKAKIQEVDLEDLHYLKHVIKETLRLHPPAPMLLPRECREECQVEGYTIPVGSKLFVNAWAINRDPEYWPDPDSFEPMRFEKKCVDYSGTNMNYIPFGAGRRSCPGITFGIATMELPLALLLYHFDWKPPNGLNPEDLDMNEVLGASLKRKTNLLLTAISRTPDDYT >KZN00632 pep chromosome:ASM162521v1:3:7049214:7050424:-1 gene:DCAR_009386 transcript:KZN00632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSIFEEPQIAVSVIDAWKQLQVENPPVFLIFLDPKQEELWRLVLERAWLIWHQGMMSEESNMCDLLRINTERRVMLSDGKSRFSVNGKPILHSFGTYMFSEYTLVHVGCVAKILADLDKISVPRC >KZN01540 pep chromosome:ASM162521v1:3:19653573:19658715:1 gene:DCAR_010294 transcript:KZN01540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWWGKSSSKEAKKKPSKESFIDTLQRKLKFPSEGKSSSQKQRSDTVSRNQFLSRPVSRSTSPSKHVGRCQSFAERPQAQPLPLPCHAGVARTESSLAKPRQGKGAKPLLFLPLPKPGCIQNRLDHPEFDGDLMTASVSSDCSVDSDDPGDSSQRSPLASDCDLGNGTASGSPSSLVVKDHLPITRTNSKEVLKPANLSFNNQSPNWRPMGSHVPTLQGSYNGAPCSAPDSSLSSPSRSPMRSFGTDRVVNSAFWAGKPYPDLSLLGSGQCSSPGSGQNSGHNSMGGDMIGQLFWQPSRGSPEYSPLPSPKLTSPGPGSRIHSGAVTPLHPRAGGMAAEPNSGRSDEGKKRCHRLPLPPLTISNSSPFSHSNSAATSPSIPRSPGRAESLTSPGSRWKKGKLLGRGSFGHVYVGFNSESGEMCAMKEVTLFSDDTKSKESAKQLGQEITLLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGESAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQACPLSLKGSPYWMAPEVIKNANGSHLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAIPDHLSNDGKDFVRLCLQRNPNHRPTAAQLLEHRFVKNAAPLEKAIVGHEPSGTPTGITKGVNYVFLRNMRSNMLLSXAPARNSPVLDSERLAVHSSRVSKSGFNFSDIHIPRNISCPVSPIGSPLLQPRSPQQLNGRMSPSPISSPRTASGSSTPLAGTSAAIPFHNINHIVNWQELPKPLRSPYTSGTTSHDPNPNFFRGMPLGSQPFVLANQFGRPAQGELHEG >KZN01631 pep chromosome:ASM162521v1:3:22077602:22078292:1 gene:DCAR_010385 transcript:KZN01631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRMSNNERSKGKMYYACPKTKKTNRKGKWDWGCGNFLWEDKSCSGGSSGSSSGSTQPSIPRGYSTPTTSAEKRVEALSQALEIQQNANRALVDVVRNLAKLPLDD >KZN01959 pep chromosome:ASM162521v1:3:27399762:27400127:1 gene:DCAR_010713 transcript:KZN01959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFQRTRKGHKRINWSGPNRNNMDTVRLGGSPRRAWRIRVVPKMRFKRVLSSPSRLWKKLKTGYVNMMLNLAKNQSANVFGGKRIPMGRQFPTGSYTSAEFDKRLVLEIYKSLVASRECT >KZN03316 pep chromosome:ASM162521v1:3:43519179:43521196:-1 gene:DCAR_012072 transcript:KZN03316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQGLSRYLGEYNTLFISPVVAALSASHRSCTKLMACDPGSLIPPEVIESEILTRLPVKSLLRFKSVCKSWNLLITDHNFITRHHDLRGLDEDAVIIWSRLEHEIESSYEHLHPKLTVIMGCIKGLVCFYNCFGDDPETIEISVWNPAMKQRLKVPPIPDEHVSDWDPEFLGFGYDSAANDFKVVYSKMFDDIGQQPIIGYVYSCKAACWRKIAPSNFLYNVGILRYCCQYTIVGGSPYWFVESAGQDPIIIMFDVQLEVFRLLPELSSIESTKEKVCHLMNLRESLVLMLCDKISMFINGQVDIYFYNEKCSIWSKTSIGAFMIKEPPIPGMQRYRLVECFRSGDVLFVSDDLRLTCINLKSREIKNLRTLGWEEYLCMSPCYGSCEYWESLVFIEGMKSVVKDDCEGIFFLTPFAPNLIRKESCPGTSKELDEALKLQKKMWKTRKKVKLTKIRMIPMAMEEDSPAIMFADMIV >KZN00827 pep chromosome:ASM162521v1:3:9031316:9031876:1 gene:DCAR_009581 transcript:KZN00827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISSIVISLLVLLAFLIAGNVDAHSCSPSGKIRGKKAPAGQCNQENYSDCCVRGKLYPTYTCSPRVTGHTKATLTLNSFQKGGDGGGPSECDNHYHDDDTPVVALSTGWYNGGSRCLNKITIRANGRSVEAMVVDECDSTMGCDEEHDYQPPCPHNIVDASKAVWKALGVPENDWGDLDITWSDA >KZN00073 pep chromosome:ASM162521v1:3:1671501:1671698:1 gene:DCAR_008827 transcript:KZN00073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKDRWVNQYRLNRVFWLPNLELILNCFVAATASVVIGCNLLLEYLNDQDFHDQGLIIFLLPDV >KZN03629 pep chromosome:ASM162521v1:3:46453173:46455782:1 gene:DCAR_012385 transcript:KZN03629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCRRREAGPALRAPKSPGPDLKRRLINHGRVDMKSKKLVESCVARFKNVVLDLYRVDFTCWSNLFRDTARAYNLLHCIDPTFNFSPDCDMIIEDAVVTAWIFATISPYLLARLLTQKSWTCAKDVWARLQEICQQHETAMQKKQRFIQAHVTKVSRGFETTSDDGVDFISNLPDDVLVYLLSLVNDMKTVGRTSLLSKRWTHVWPNLMDLDFSDPVSTVTLKQCRMFCDMDIFADRVFVDWVNRVIRANRAPYLNSFRICFPLHSSYAVYFQNWINFAFGKEVRNLVLVFGCIAYPKINFFSIFTSDPALILNTTLKTLHLESVMIKGPLLQWVLTNCLNLQRLSVHGCRASPDDVASSKHHQRLVVSSLTVKHVEFVSCLKLLNIEVLHLSAPNLTSIVVNGNDVVVEYHSIPSLVDATFGGSYFPHLDSLSSFSSQLEKLSIEWCKVYPVVTGFPTFVNVQQLEIVVSSWDDGLVKSTSLIEACPLLHTFKLKPFIPRLLLQVFSGFLGTCESESPADMTRLSVDLQMKAFDTLTRWLSWYAQKPVMCLHQHLKTVEYVGFAGSASAAELALCLVRHAPMLRRFIFDTRRTGYFGVPRELCTSGNKDQMAIARCSAGLAKRIQLTKAHIDVVIL >KZN01215 pep chromosome:ASM162521v1:3:13169308:13171058:-1 gene:DCAR_009969 transcript:KZN01215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNWCLLWLVFLLLNQHGKIVQVSAGIEFIESMGVHFMLNGSFYYANGFNAYWLMVVASDPSQRCKISSVFQEAVSNDLTLSRTWAFSDGGPQALQFSPGVYNEQMFQGLDFVIYEAGRYGIRLILSLVNNYKDFGGKNQYVNWARDQGQNISSEDDFFTNSLVKGFYKNHINTVLNRRNSITGVCYKDDKTIMAWELMNEPRCPSDPSRNSIQAWITEMASYVKSVDTKHLLEVGLEGFYGESAPADRQFNLTAKLGTDFIANNQIPGIDFATIHLYPDQWIPDADEETQQTFSNNWINKHIQDAETILQKPLLVTEFGWKKSGFDIETRDKLLGTVYSDVYSSASCGGVAAGCMFWQLLTEGMDSYRDGYELVFNESRSTAFLIHEQSQKLAGIRKMHAWPRHREDNETVNNEVGY >KZN00421 pep chromosome:ASM162521v1:3:5214921:5221987:-1 gene:DCAR_009175 transcript:KZN00421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQAMEALSEKAAFMRESLEKSQNITDSMVSILGSFDHRLSALETAMRPTQIRTHSMRRAHENIDKTLKSAENVLNLIDNARQAEAIIKKGPHEDLEGYLEAIAQLRKIIKRFTGNKNLKTSIEVISSVTNTLARATMQLDDEFKQLLAQYSKPLEPDRLFDCLPQSLRPTAASPAQDAGGKKQSEQQNKSQEAVVYRIPTLIPPRIIPLLHELAHQMFSAGHQQELFSIYSETRSASMEQSLKKLGVEPLGREDVQKMPWEALEAKIGNWIHFMRIAVKLLFAGEKQVCDQIFEGLESLRDQCFADVCGDSVSMLLCFGEAIARSKRSPEKLFVLLDMYEIMREILPEFNEILGSKHCNEMREAAKNLTKRLAQTAQDTFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFGGGDPEAQLTSVTTRIMTALQNNLDGKSKQYKDPALTQLFMMNNTHYIVRSVRRSDAKDFLGDDWVQIHRRIVQQFANQYKRISWSKILSCLSVGGSGGSFTGDPPSSSNVSISRANVKERFKTFNIQFEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFIKRFGPPIKNGKNPQKYIRFTPEDLERMLAEFFEGKTMGEQKRLVYLRMHRSLKLNITVVCVSSVFLSSTDIGKNSTPGATTILSCASLHQGSAKQ >KZN02100 pep chromosome:ASM162521v1:3:29588510:29591282:1 gene:DCAR_010854 transcript:KZN02100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQSIGLFLALISLVVNVSFGLNTDGVLLLSFKYSILSDPLGVLDTWNFNDDTPCSWNGVICNANSGVINISLPNSQLLGSIPSDLGMFQSLQSLDLSTNSINGSIPSSLYNVTHLEKLDLSNNMLSGELPELVGGLHNLKKIDLSDNALAGNIPENLASLDNLAVIKLRSNYFSGSLPSGFSSVQFLDLSSNLVKGSLPSNFGGTNLRYLNLSYNRISGEIPPEFASKIPEDVILDLSVNNLSGPIPESSVFYNQESESFSGNFKLCGRPLKNVCPIPSTRSPMPNATEATSPAVAAIPKTIPASGNGTSKAGLKVGTIVAIVVGDALGIGILAMIGMYVYKVKKRRTEKKVRDEAKEFDWASSAEEKKGFRSWACFNTRRNDDEESSSSESLSSDEEKDSENNQRPEVDQKKTPGNLVTVDGGEKELELESLLKASAYILGATGSSIMYKAVLEDGTTLAVRRIGEGGLERFRDFENQVRAIAKLVHPNLVKIRGFYWGTDEKLIIYDFVPNGSLANARYRKAGSSPCSLPWEVRLKIAKGMARGLAYIHDKKQVHGNLKPSNILLGADMEPKIGDFGLERLVVGDGSYKYNTSARIFGSKRSTASRDSFQDIAGAATPSPSPSALGCSPYYAPESLRTLKPNQKWDVYSFGVVLLELLTGKIIVLDELCPAVLFGSATLEDEEKTKVLRMADLAIRGEMEGKEESLLALLRLGYSCLSPVPQKRPAMKEALHVLDKFPSSTISSAILYGH >KZN02937 pep chromosome:ASM162521v1:3:39331030:39333943:1 gene:DCAR_011693 transcript:KZN02937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTVTTRSGRELIKGQLELNDSATVTDLQEAIHKRTKKYYPSRQRLTLPIKPGSKEKPTVLQSKKSLKEYTDGNIDQLTIVFKDLGPQVSYPILFFWEYLGPLLIYPIFYFFPVYQYLGFKGERVIHPVQTYALYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWSFGAYIAYYVNHPLYTPVTDLQMKIGFGFGILCQVSNLYCHIILRNLRGDGSGGYQIPSGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLFVAAFIMTNWALAKHRRLKRLFDGKEGRPKYPRRWVILPPFL >KZN00226 pep chromosome:ASM162521v1:3:3233642:3237664:1 gene:DCAR_008980 transcript:KZN00226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFSRTIYVGNLPLDIRESEVEDLFYKYGRILDIELKIPSRPPCFCFVEFEDPRDAEDAIRGRDGYNFDGCRLRVELAHGGRGSVSGDRRGGYGGGGGSFGGGRGRPAISRYSEFRVIVRGLPTSASWQDLKDHMRKAGDVSFAEISRDREGTFGLVDFTNYEDMKYAIKKLDDTEFKNPWTKTYIRVSKFDRSPSRSRSRSRSRSRSRSLRRDRSKSVDRSVSRSPSKSRSASPVKSTRAASPVKSTRAASPVKSSRPRSRSLSASPKQVNLLLSG >KZN00023 pep chromosome:ASM162521v1:3:1193073:1194200:1 gene:DCAR_008777 transcript:KZN00023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQFPLSLSLCIPFFILLSFSTLTHSANSATQASKTLLHRFKEAPKFYNSANCPSTTLITNPNEDHYRDHDHHHYHDESENICYDNAVHVAMTLDAMYIRGSMAAILSVLQHSSCPQNTIFHFVASISANASLLRATIATSFPYLKFRVYHFDDTVMAGLISTSIRSALDCPLNYARSYLSNILPLCVHKIVYLDSDLILVDDISKLSETPLGPDSVLAAPEYCNANFTSYFTPTFWSNPSLSLTFANRKACYFNTGVMVIDLDRWRLGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHKWNQHGLGGDNFRGLCRNLHPGRVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLKPPFSFDS >KZN00108 pep chromosome:ASM162521v1:3:1998872:2000191:-1 gene:DCAR_008862 transcript:KZN00108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMHQMGNGGGGQVMDLETAVKDGILGGGLVVNGGGAGEKLDLGKMIEELDSIETPPVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSVTPNSTLYQLSYSWFSQKYVAMKKRSEDVQGRVLEILEKLKKVKGQARVHSLRELKQVVITHDSAKKTVVENGGGSIDTKMNCTKLIEMLIEGKDSKAEVVSSLSLLVGLLRLVKDKRYPNGVLSGLRLLKKICSYEGLRSSVVSIGVVPQLVEMLPTLNAESLELALHILEVLSSFPKGRLALKECPNTIPIVVKLLMKISKNCTHLALSILWAIFELAPEECAALAVDAGLAAKLLLVIQSGCNPLLKQRSAELLKLCSLNCTDTIFNSKCKLTIAIR >KZN02650 pep chromosome:ASM162521v1:3:35547036:35556591:1 gene:DCAR_011404 transcript:KZN02650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLWISKVKEGQHLSEDELQLLCEYGDYVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTVDQIRVVDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMVLCSTFMLQPTYIDSKAGSQSRAVVPYHADSVCNDGVFCHGSCQELGFERDVKFFTETEENNQMRGPRTGVPYFL >KZN00768 pep chromosome:ASM162521v1:3:8370980:8371735:-1 gene:DCAR_009522 transcript:KZN00768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDSVPQHSVEKTTEYESSDRGLFDFMKKEEKDETKVIATEFEEKVQVSEPEPKYEDCKVVEEEEEKAAKPSLLEKLHRSGSSSSSSSSDEEVEEGGEKKKKKKEKKGLKEKIEEKIHHKEEDTSVPVEVVTEPEEKKGFMEKIKEKLPGGGKKVEEETVAPPPPPAAAPVDCAVEGEPAKKGILEKIKEKIPGYHPKTSTEEEKKDKDCA >KZN00624 pep chromosome:ASM162521v1:3:7005266:7007809:-1 gene:DCAR_009378 transcript:KZN00624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSNNSFETVNAAATAIVNAQTRFQPSSARKRRWGGCWSVYWCFGSPKHSKRIGHATLVPEPTEDATTAPIAETMNNSSFTVFPFVAPPASPASFIQSEPPSGIHSPAKLLSLASLSVNACFPDSAASIYTIGPYAYETQLVSPPVFSTFTTEPSTASFTPPPESAQLTTPSSPEVPFAQLLASSLARTQRNTAPNQKFLSSQYEFPSYRLYPGSPGGYIISPGSTIPNSGTSSPFPDKQPIIKFHLEEIPKFLGYEYFSSRKWGSRLDSGTLTPSGLGSRLGSGSLTPYSCVSRLCSGASTPNGLGAALGSGLPAPTNGELVIKVTPVESQISELAKQACADKVSEDEEVVFGHRVSFELPTEYVSACSKKEVSECPEKLTAEGAILDNNKSEKACRPCCDREGCTEPVLPEKAKWDNQEQECQCEHQIKSPGSSNEFIFDNRKGDASSKPTLGVEWWTSENVVGKNLASQTSWTFFPMLQPEIS >KZN03793 pep chromosome:ASM162521v1:3:48193136:48194743:-1 gene:DCAR_012549 transcript:KZN03793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEGKVHPESTYKDEAIYNVHEIDQEKAYKEYHIPLAMTISKKKVLYEFRSKVEDAIQNGYILKKETFHYEHAKKDEEYLKELKIWGVPLLPSKGHEATDAVLMKFLEARCFKVSEAFHLLQRTLTWRMEIDMEELLQEKLGSDLDRLGRIGDTKAIKGQSLCYKFYGIYKDKDLYKERFNSDDKYEDYLRWNIQFIERCVQTIDFKPGGTNSIILIVDLKDAPTPLIKELPNLHKKTLALFQDYYPGIIYRHLILNAPLWLLTFHALQVRTLTNRNYGKFIFVRPFRVSKTLLKFIAPEDLPVQYGGLKQESDELFPSNHKILNRRVKSGTKECIQIPVNKAGMTVLWDLTVVGFEVSYKVEFVPDDDCSYQILIQKEKKLGKTIRNSFHVREPGKIVIQIENATYTNKTVFCRHLIQPTVTNFTWTKND >KZN02841 pep chromosome:ASM162521v1:3:37965378:37966713:-1 gene:DCAR_011597 transcript:KZN02841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSAAKCLLNSPATPPNQQIHALPTTSPPCIPKNLPKLSRRELAICTKSSILLVLGSQAQQQFLLPRARAEEENASANETNIALPEAESSNSLTNLQEIPTTTESSVPTAIEENSTTTESNAALQETDSATTTVEENQENATTQESESAENTFTSDGCSDQRIKKRAFFDVSVSGEPIGRIIIGLYDNNAPITTSRFSKVISGAAGISYRRKEFVKILPNYVQHGGIRSYGVDAELAQKTGSSLSNDRLIAELEKANERCPGTKNVAKSVSIIVKDPLKPPPKVKLVARNGKLEVDEEEVGKDPNGTEFVICTKDSPELDASNLVVGRVLEGMEVVEKIGQVKTVQENTTSPYFRTAKLIGDKRAVVAERGFNRPYSKVIVTNCGLME >KZN02814 pep chromosome:ASM162521v1:3:37606581:37610685:1 gene:DCAR_011570 transcript:KZN02814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFGGKHYWGRRDRGEQVEGVIVIFAWMSSERKHVQRFVQLFASLGWNSLVCHSQFLNMFFPEKGASLASEILYELSEELKVRPCPVVFASFSGGPKACMCKVLQIIEENYAEQSDQDKYQLVRDCISGQIFDSTPVDFTTDLGANFVLHPTILKRSHPPRMVSWIVHRLKYIGDTYLLYQLESLRAEYWQILYSTLQMGAPYLILCSEDDDLAPFHTICNFAQRLKDLGGDVKLVKWSNSSHVGHYKHYPVDYKAAVTELLGKAAVLYSKRIRRIDKEKIVLDGKEDDVAPSPGHLENAAMSSSQTIHRRVALELNDHLFVPISSQCHGDEAIDSVHDEYKESYVPITKPPVIKAHGILGQALFGLCVPEDVDDWHIKPSLFLEKKGIRRSKL >KZN01901 pep chromosome:ASM162521v1:3:26738138:26741523:-1 gene:DCAR_010655 transcript:KZN01901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRHFPAVFAKRLESVQFCRRFGSPVVVCAAKGPRQRYPRVWKTKPRIGTISKSLKLVDTIKELSNVKEEVYGALDSFIAWELEFPLITVKKALKALENEKEWKRIIQVTKWMLSKGQGRTMGSYYMLLNALAEDGRLEEAEELWQKLFAENLESMPRNFFDKMISIYYTREMYDKMFEVFADMDELGVKPTVSVIAMVGDVFQKLNMMDKYQKLKKKYPPPKKEFRYIEGKRVKIRSKPINRSRYVRNPVIKADVEIRETLPESCESTDVSLNKPSDNLNEHGVVESSAFKHGDFIDPS >KZN03023 pep chromosome:ASM162521v1:3:40256525:40257424:-1 gene:DCAR_011779 transcript:KZN03023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNYSTQSNSSRSRRTFNNGSHYNLSSNTANSNQSISQVRPAERSIKDQTTGHSITTFNSSQHSDSSYSISQVRPAEKSIKTENAGQSVRISNRSQFSPLSTDSYQSVPHLRPAEKSIKDQNADHSMRTSNYGSHLSSLPTGSNQSISQVRPSEKSMTYQSAGHNGTLSTMSTDSYQSISQVHPPEKSTRDQSAGKSTRTFNRSSRLSTQSADYQNEGWSVSKFHDGSYNSSHATDAYYKGRPQEKSIRYQSLSQVHPVEKSNIMDHTALDHTTNSHQSTYGVHSVEKSVRFDRSAWK >KZN02843 pep chromosome:ASM162521v1:3:37976722:37976895:-1 gene:DCAR_011599 transcript:KZN02843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIKDAYVDLALRWLGFMGCTVCDRAKLIRHVLTLDSVGNLVQEGCVLRKLSPDMY >KZN03148 pep chromosome:ASM162521v1:3:41726262:41731684:-1 gene:DCAR_011904 transcript:KZN03148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDAEEITKGIPNATPAQINVMDDESLCNYVSQVDVVISLLPPSFHTTIAKACIKLKKHLVTASYVDDSMSELDEPAKDAGITILGEMGLDPGIDHMLAMKMIDEAHARGGKITSFTSYCGGLPSPEAANNPLAYKFSWSPAGAIRAGRNPATYKLRDEVVHVNGDDLYDSATRFRIPDLPAFALECLPNRNSLVYGDLYGIGNEALTIFRGTLRYEGFGEIMGTMARIGFFDAQVHPILENGKKPTYKSFLLELLKDDGKNLIGTTHIEEAIAERIISLGLCKERGTAARTAKTIIFLGLNEPEEIPASCQSAFDVTCFRMEERLVYSSTERDMVILHHEVEIDFPDGQATEKQRATLLDYGRIKNGRTTTSMALTVGIPAAIGALLLLAKKIKTTGILRPICQEVYEPALEHLRAYGFKLQEETE >KZN00753 pep chromosome:ASM162521v1:3:8145966:8148494:-1 gene:DCAR_009507 transcript:KZN00753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIQEHEIVIVGGGIAGFTTCLALHRMGLRSIVLESWDSLRISGFALTLWTNAWKALDAVGIGDSLRKQSLQMQGFTVASLNSDLPPLQSVLAATGKYANHECRCVKRKDLLETMLEELPHGSVRFSSKVVLIEKSGLFKLIHLADGAILKTKVLIGCDGVKSVVAKELAFQEPLSSGRSAIRGLAEFSDGHGLEPKINVHFGNGVRFGFVPCDVKCVYWFCTFTPSPNNSNNSDEKAFEEDPLRMKQFVLGKIASAPRKALDVVERTELSHISCAELKFRLPWNVLMGNITKDNICVAGDALHPMTPDIGQGGCSSLEDAVVLARCLGEAILRKTKLHPGEVNEEEEYGRIKQGLEKYGKDRRWRSFNLISSAFLAGYIQQSEGKIMSFLREKWLAKYTADAFLRLANYDCGDLVIPCANVSNN >KZN00914 pep chromosome:ASM162521v1:3:9823657:9825394:1 gene:DCAR_009668 transcript:KZN00914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCLENHDSHYNNDYKEEAKLNDTLSYNNHNANNHHHRHTSSLENAPLRPHTGGDVRWEAINSITSREPLSISHFRLLKRLGFGDIGSVYLAELRGTNAFFAMKVMDKESIASRNKLVRTQTEREILGLLDHPFLPTLYSYFETDKYYCLVMEFCSGGNLYSLRQKQPHKYFTEDAARFFASEVLLAIEYLHMLGIVYRDLKPENVLVREQGHIMLSDFDLSLRCSVNPTLVKSSSAHMNSGNASAAGILENENVTHGVHPSSFFPRILPSKKSRKSKSDFGLFVGGALPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGSGNRATLFNVVGQPLKFPEHPHVSSAARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGTNWALVRSATPPHIPDPVDFTQYACKDAAALDKKVADAIQDKNNANGDDPSYVDFEYF >KZN01121 pep chromosome:ASM162521v1:3:11989035:11989835:-1 gene:DCAR_009875 transcript:KZN01121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMSTSECSSGCESGWTAYLDHTSATTAYQYDQNQWRSIGLNVDQQNPETEDLSMVSDASSGPRCMQDGDRSSAYFSASASGLEQVKKKQKSKVKDKKLQDTHLVDTASSPVAKNKFGHSTNQASMMQNQSSSATQQKGKSGLRKHFGFLKSSVSGKAASHKSGEEVVVGDGIHNV >KZN03279 pep chromosome:ASM162521v1:3:43130770:43131009:1 gene:DCAR_012035 transcript:KZN03279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTGKSSSNPKATGKSGHEARKDRQSGTGVTGSPKKGGHGGKFTWSGDNANLGNDEFIAAVDRNDPNFEDPDVAVAEN >KZN00665 pep chromosome:ASM162521v1:3:7436093:7437328:-1 gene:DCAR_009419 transcript:KZN00665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLIPPHLIANIFSRLPVKSLLRFRCVSKPLRALIDSPHFINSHLHKSLVSNSNRTIITTSIDCTSICYTDFDFDNPFATFVNHPFTHCGAHFIASCNGLILLLTAKNVDTCVLETISQPDPPNLSLVLVNPATRKHKLLPVSPVEYPVYYSETKCEFVSYGFGYDSVRDDYKVVRIAQFPDMVKNEVKVFSLKKNGWRRVLDFPYRVSTSEHGVFLDSAVHWLVCKRFGSEAAVIASFNLGTEKYGLLPQPRYSDMSDNMATLGVLGGKLCLNCNYNMRYIDIWVMEKYGVKKSWSKILSVVQNDDLPFVQLTAVAYSNTGKKVLLQQDASQLLWYELEQKVISKKFHFPDFWKAYVSLESLVKLDYEDSTEFPWSKRKTKKRYIFSFILHVLLLYLNCMFLSNGLLCP >KZN03145 pep chromosome:ASM162521v1:3:41716761:41720150:1 gene:DCAR_011901 transcript:KZN03145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKVFTKDGSVDIHGQPADAATSGRWKACSFLLGYEVFERMAFNAIASNLVVYLTTQLHEDTVTSVRNVNNWLGSVWFTPILGAYIADSFLGRFWTFTISSLIYVLGMGLLTAAVTFKSLKPSCENGVCGKATTMQTAFFYSSLYITALGAGGTKPNISTFGADQFDDFDSNEKRLKDSFFLWWLFSSFTGSLFATVVLVYIQENCGWGLGYGIPTIGLIVSLIIFYAGTPFYRHKVRTGVSPTGDLVRVFITAFAKRKYVLPANLSELHELEPQRYLNSGKRQIYPTPNLRFLDKAAIRKGGDNTGSTRPPCTVTEVEGGKIVLRMMIIWIATLIPCTIWAQINTLFIKQGTTLDRHVGSSNFKIPAASIGSFVTISVLISMPIYDKIFVPFMQKRTGHSQGITLLQRLGIGFLIHIIAIGVSCVVEAKRIRRIKMHHVTGPKDIVPMSIFWLMPQYVLLGVGDVFNAVGLVEFFYDQAPVDMQSLGTAFFTSALGAGNFLNSFLFVLGEKQSLVVIMIDESSGGKHVLLL >KZN02343 pep chromosome:ASM162521v1:3:32239786:32240767:-1 gene:DCAR_011097 transcript:KZN02343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTKFLAFLLFALFAISMLQTMVEASSEYHLDSNRYGPNSLKISQCPNQCTRRCSRTQYHKPCMFFCQKCCRKCLCVPPGFYGNKAVCPCYNNWKTQQGGPKCP >KZM99939 pep chromosome:ASM162521v1:3:268432:270539:-1 gene:DCAR_008694 transcript:KZM99939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDWRRRVNTPEEYLETERLLLRARESEFIMTPEYTKNIKARFYRELALDYYQTVHDPRTMDAVVLYVAINFFDRVISQLQEPPKILLDKQDNFNLFLICCHSISWKLRDNNFDIYSFLTARKYKFGVTGVMKMETAILEALDWKTKQTLPFHFVPLFLHYLTLPRGFTSVPVHKIIIWTQADIGFTKFRPSAVAASAILCALAKLFRDDSNDFATVILSRYPRHDDTIRGELAECREMMQRTFGNKMNNLVYDSVETSLNLALGASTMPPESSKESLEEGSQRQRKKTVTEEASEGIIGIETVSEQSSSAGDGRGIPVKRSHRPGKEPIDEESSEGFCRPVQVPKDEIEELQDDVVDDRLMNFELGWDDQNPCSPGPGNGMCSLCDALAWCWPIPIYWLRHSWEWLINLRQQQGGTPTRQAAQRPGRLLHEDSESSPEPSYRILDFFRRNANAT >KZN01814 pep chromosome:ASM162521v1:3:25597064:25600491:1 gene:DCAR_010568 transcript:KZN01814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVPANTLPVGYRFRPTDEELINHYLRLKINGFEKEVAVIREVDICKCEPWDLPDMSLVHSIDNEWFFFCPKDRKYQNGQRSNRATVAGYWKATGKDRFIKSGKGMNVIGRKKTLVFYTGRAPKGNRSHWVIHEYCATDEALSGMHPGQGAFVLCRLFKKHDGKQDEMGENSNCEEVEQNVSSPTVVKPSPEDTMSEQDTPVLSRLTGGFESNNGTPFSVDQCGYPSNKCIGEEAESDLLGMISMQPNPDMEKLLGNFGQMPNNDVKFFPEDPMQVMQDYYQGSTLLGSGNDGDMDIAQFLDAILASSDDHSCEDSTSHPISGVDNDSPKYVNCLPKISAKDSGSSSDSDVARVYDQPDLGDYLNDEFLKEAQQFPSLMMIRPTREGVYPEYRNEQSQINLQSMQNRLDVPSDVSSASTGIKIRSRQSNNQRAQNLVSHGTAPRRIRLQMKLQVGSTHGCLAKNTSLPDENMEVPIIEGNTEQAASLTSTTSDAVSTTDEHVDSLWQDKEVSQEQSMNIKPKSDLQFQIKAPSIITKAPVLRSVPSALQIHGKFLAIGMALAAAVALLGCFVF >KZN01401 pep chromosome:ASM162521v1:3:15614902:15618870:-1 gene:DCAR_010155 transcript:KZN01401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEQAVGTFIARPRNLLREVKANGDRVKSGAVKGSKKNGARKMKKMNELVSEPAELVVNIGPDFPPALKRLWLWASDALKDGRSQPFQLSQEAFGSTDKRCLFKSDISALCFEGEISESVISMFINILQEKLRKHKMTDMISFVDPAKIGAINCELPPPSPIEHSSASKTTTSLQTAIDGSGQRWCATRGLTGIAVQ >KZN01897 pep chromosome:ASM162521v1:3:26693949:26695579:1 gene:DCAR_010651 transcript:KZN01897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGERGDFRGDFRGDFQNLNFPTARERIHGRSRKMKLDEAKLVKNFIWAHNYWVEKDILEKLNIGDESVIQEALDQIHSRSLMEKAKEGRKTYRSTLMEDQGEARMVMNAAFHPRKEEEEWHTVSYKKRRSVLPDKIKRDSVTTIFLHNIPDDTTGRQMWELFKSCGKVLDVILPKKRDISGKRFGFVHTCSELEAGAIINNAKMDKKLGSKIRMTINGKKEEKLGTNLGNGARKLDNNEVRAKMDKVGKVLPMEFSSSPLKEEEGEISRCNGNKDTSVKEEVRIQVGCEQQQNEHEQRNDFQDQAKEVYQNDRVISLAQTSAQMPLR >KZN03669 pep chromosome:ASM162521v1:3:46743279:46746080:-1 gene:DCAR_012425 transcript:KZN03669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSFPEEVLEYVLSFIKTDKDRNAVSLVCKSWYEIERWCRRRVFIGNCYAVNPRIMIRRFPEIRSVEIKGKPHFADFNLVPEGWGGYFHPWVVAMARAYPMLEEIRLKRMVVSDDCLEMIAKSFKNFRVLVMSSCEGFSTDGLACIAANCRNLRELDLRESEVEDLSGHWLSHFPDTCTSLESLNIACLGSEVCFSALERLVSRCPNLRKLQLNRTVPLEKLPNLLIRAPELVEFGTGAYSAELRPELLSNLVNAFAGCKKLKALSGFWDVVPAYIPAIYPVCCGLTSLNLSYANIQSPDIIKLVSQCQNLQRLWVLDYIEDSGLDVLATCCKKLEELRVFPSDPYDVEPNVSLTEQGLVSVSEGCPKLQSVLYFCRRMSNAALFTIAKNSPNLIRFRLCIIEARAPDYLTYEPLDAGFGAIVENCRELRRLSLSGFLTDRVFEYIGKHAKKLEMLSIAFAGQGDLGLHHVLSGCDSLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCPVSYGACKLLGQKLRRVNVEVIDERGTPESRPDSCHIEKLYIYRTLSGPRVDTPDFIWTMDEEGNTPQ >KZN01155 pep chromosome:ASM162521v1:3:12329844:12331169:1 gene:DCAR_009909 transcript:KZN01155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAGKMAELAAGRKFPMRAKPCDTCKINAAVLFCRTDSTYMCMDCDSRIHGNNSYERVWMCEVCEHAPSSVTCKADAAALCVMCDWDIHSANPLARRHERVPVTPFYHAAVGVVKSTATAILEIPPIESESNMIGYKNCNKNLCHGHEETKLSADVQADMKSIEFLFSDPDNLLEFGYPAPNDVVPLYGHHSTGADSVVPVQISTKPAPQSSSVMGSVDNPFEIDFTTSNISSFNNSFAAPSVSQNISSDVGIVPDGSMSDTSYPFVLQMKNNVNTNTPYNGTAQASVEMDRKARVMRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTENTEDVDRWFTSVPATTNFINNSGFGVVPSF >KZN01996 pep chromosome:ASM162521v1:3:27942467:27942985:-1 gene:DCAR_010750 transcript:KZN01996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASYQKELINGKQSINTATTSIVSAAVIKPIPQTLNRGRKSSSYGINPSNQLKNNPSPENIKNNNISTGDQNSNILVNKQPIPPTETPDHDGIEWWKNLLAEIDIPGHEEKLSEGLLLVSSSGVHNLDAERETTGKMSDQTSSPTVEATGFLEDGKDSWCDILNLLNSDHN >KZN03839 pep chromosome:ASM162521v1:3:48914349:48917161:1 gene:DCAR_012595 transcript:KZN03839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSAKTSHLLLFVFFLSSLESILATTREGEALVKWKNSLAPSSFLDSWSLTNLENLCNWTGITCNSAGSVSEINLCEKQLDGMLSDFGFTSFPNLKNLTLADNFFSGPIPPAIENLTQLQYLDLSMNSLNGPIPFQVSHLQRLLILNLSQNALQAPNWSHFSPMPVLRFLKLSRNPLVSKFPNFISNSHSLTYLNLSHNKFSGDLVRESVFTNLHHLEVLSLVDNSFEGPFPPNIFKLSKLKRLALSGNKFSGPIPNDIRLLCDLESLYLGNNSFEGPLPPNIFKLSKLKHLVLWSNKFSGSVSNDIGLLSELESLDLNSNFFKGPLPPNVFKLSKLRFLYLWGNNFSGSVSNDIGLLSELETLDLESNYFEGPLPPNLFKLSKLNFLSLQENNFSGFISKDIGLLFELETLYLGSNFFEGPLPPHVFRLSKLRDLDLSDNRNLFEGSIPIGLGLLTNLRYLDLYSTNLSGYIPSDIGNLKLLEVLVISYNQLSGQLSKIISNLTNLIMLDVSDNSLSGNIQSDLWIHPTLEFIAMGGNHFTGELPNCLGNLADGLRVINLASNQFRGTVPTTFSRSCQLTYLNMDNNEFEGLLPPSLANCEHLKILDIGNNEIGGTFPSWLYALGDLEVLVLKSNKLYGTISGRSSEDPFPKLRIVDLSNNQFTGHLPIQYFKNMKSTDNLYSYQHSTGVFSFLYEAAVSLTLKGTEYEVAKNLHIYTAIDLSCNKFQGEIPKVTGELRWLALLNLSHNSLTGPIPSLLRNMKELQSLDLSSNQLTGVIPPQLTALTFLEVLNFSKNHLSGEIPQKGQFSTFNNDSYLGNSALCGSPLTKKCVNTVSPPQEVGNGDEDDAGDELTWEAIVMGYGCGLICGLSSAYIVLKLGRPWWFVRYIEVLQLKLMKRYA >KZN00182 pep chromosome:ASM162521v1:3:2834012:2835376:-1 gene:DCAR_008936 transcript:KZN00182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSANWDGLPGDILIVIAHMLTCCEDLYRISAVSKSWNSVVSGLSRDKKPLQLPPESPLLFLAEKVAKGSAFSCDFNDEYHEEGMVEINVEDEDEDWDEDEEHYCYHERYDYRKNSVSETRGLQRLATGKTYDVELPEASGRLILGTNKGWLLTLGRDLQISLLHPLLRQDIPLPHMGTFLHQPHSEEFILYVSPEQAPEVFIQRVAMSCKLHPSKNNGMYSSNPIVMAIYGARRYLAYARLTDKVWTEVFFPIMAPFIEDIAYYKGKFYALNGRGDLFVCDINDDSETQGRAKGTKIYSWPTDLDIGMNYNNSRTYLVESGFGFWLVVREFKAKYFKAPHRARVKYRTCNFTLWKMELKYSDHHSELPSCTCIPENNLGDQALFIGRATCLSLPSSEYIRPNCIYFTDDNLDVFYHVGGGHDMGIFNMETHTIEPFFHGKSIHPISPPLWYI >KZN00081 pep chromosome:ASM162521v1:3:1732537:1736028:-1 gene:DCAR_008835 transcript:KZN00081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFVTDLASGLVFKLVSLAAEEVIQAWNLQEHLVTLRERLETIDALLSDADSKKLKMSAVQSWFNKLEDVAHVADAFMDELAYEVTRRKVENRGTLRHLFSTKNSVLYRSKVAHKIKSIHTSLDNIFQLARDLGLQPVAQLTTAVQPREIRNTPPFEDESLIVGRDDEISFLVKTVCTNHAEDLPVIAVMGMGGQGKTTLARMVYNRDVVTDMFKKRMWVTVSDDFDFMKILNQMVASLTSTTSVLENTEGLIKKLQSFLRDVKFLLVLDDVWNERPEEWDKLRNSLLGVGGASGSKILVTTRKQEVVDVMRCLISHRVEKLSKEDSWELFKRRAFSRGGVLETARFVAMGRKMVGRCGGLPLAIKALGGLLHSKKSEQEWLRIQNSATWDSNDDVLPSLRLSYDNLPHSSLKKCFAYCSILPKDSLISKGEMVRIWMALGFLVPPKGSNKLMEDIGREYFNILLWNCLLQNGDIRDYKMHDLVHDLALDLSKNHSATVKADGHELDDISKAIYVKVDVGITNIKPPLLKRNFEKVQVLYADARIVKDLVPYPSHLIGLVLEGTFEDDPLPSSLSTLKYLKYLDISRCYMTKNKLPDYITRLYNLQTLSVRSATQLPRNICNLINLRHILVDEPYNYDFKNSDIITVFSRIERLTCLQTLPYFIATRDHQCVIGQLGSLKYLQGTLKLYGLSDVENMEEARKASLLTKSNIEHLTLDWRKNKDVMEEKENNHEDVMEGLEPHANLKALDVGNFMGKKFAAWITIMTNLEVITFKNCKRCEEFPQLGHLPKLREISIYRMDNVKVISSDLCGGRQGSISGELNDNGAEETVLTMYPSLKNLSLGHLPKLEEWLDPATDTSGEDPNNVLVFPKLVELYIWECPKLTRIPGSCYPLLKTLDIGDLDSSKLLESLSEKACGLTDLRLENISGGVGCSSSSSSSSSSMNCIIGKLLKNNSVSLETLTVIKLQGLTHLTLGAGLKSLCVSDLPDLNTINIVKGSDALKDLSISECLNYEVFAQSVSSTVERLDLGPFSEDLDEFPWPSSFSFPNVIYLRVCGWEKLKWIVDEGQPDDYLSSIFPALRQLDIQVFEGVKSLPISLAKLPFLERLSIDLCGNLESLPKFHHNLQYLEIYSCPIIKERYMKGSGPEWSKIQHIKQIHGLD >KZN02905 pep chromosome:ASM162521v1:3:38821164:38822572:1 gene:DCAR_011661 transcript:KZN02905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTATTLLFVSIFISWWIVGGSVALPPNVTVPALLVLGDSIVDTGNNNNLKLTLVKCNFSPYGQDLNGGVATGRFTNSKTPGDLLVTEFGIKELLPAYLDPNLQSKDLLTGVSFASGGTGYDPQTSTLMDVISMEDQLKMLKEYIEKLKSIVGEDKTSYIINNSVFLMVASSNDLAITYFTAGLRRFQYDVPSYADFLVSKCSNIIKELYDLGARRVAVFGAPPIGCLPVQRTLAGGLSRKCVDEDNDAAKLYNTKLSSELDFLSKTLSQSNVAYIDIYNPLFDIIQNPQTYGFHTVDKGCCGTGDVETVFLCNKYSKTCPEHAKFLFWDSIHPTEAGYKILVDRVVRENIHKILGGG >KZM99925 pep chromosome:ASM162521v1:3:127994:141766:1 gene:DCAR_008680 transcript:KZM99925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIAPKLGEELLPKKFILLDVEEVMAAMGLAFQSRAAVKRRSSVGLLCCVQPERRQFGCSASGGDQGLHRLSLHNLIRSPVLDEDMAQLLWINCGLELNHIKEAIENLKPYPKASDSITIIMLSEKANRHIAPQVLDPLIKQTLMNCLRKMNLMILESGEEKISKNWLVKYIDSFYKSSGHRRRKLIQDSAPSPSPLPALSPALSPSPAVESPSFSPTPSVSGDSFQGHSASGNFFPKDLNGSSSQPVASEPSPNSYMNDQSRKKRNHKTVVIAVAVTATVTFIIVAVLFYCYYRVCGTRHRAGQNDEGPLLRISLSNYSIDSSDKSFASENSINKHRPGNLSLHNSSHYIGSGVHGTTNLGTPVKTATSILKPNYEESAQNYPSSLKPPPGKFVTTELPPKHLPESADSELPPNKRAPPPPPPMKSAPAPPPPPGKGPPPPPVLPPSQGLNSIPHNPYPGGPPPPVPSAIKTGPRPPPPPVGGIPPPRPPNLGLRPPRPSTHGPHHPSSSALSEGDETGAPKAKLKPFFWDKVLANPDHSMVWHQIKSGSFQFDEEMIESLFGAPAGKNKKEMKTGALPSGPPTQYVQIIDPKKAQNLSILLKALNVTTEEVCDALQEGIELPSELLETLMKMAPTTEEELKLRLYSGDPSRLGTAERFLKVLVEIPFAFKRLESLFFMCTLQEEATIVKETFTTLEAACTELKKSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAARVARESRGIKSGDLEASSNDLESHYRSLGLQVVSGLADELENVKKAAGLDADNLTGTVAKLGHGLIKAKDFLNSDMKKIDEQNRFHQVLKSFVQNAEVDVMWLLEEEKRITALVKSTADYFHGNAGKDEGLRLFVIVRDFLLILNKSCKEVKDREKMSKTPRKDLVVAPSAEAYQGSSADPRERLFPAIRDRRMSNSSSSSDEDSS >KZN01201 pep chromosome:ASM162521v1:3:12999532:13000356:1 gene:DCAR_009955 transcript:KZN01201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQLLPSHSSSPYLKSTQQNPRFLFSSPPSILLRRRKPNPLRCSASSFSEKHRANSPTNDVVELPLFPLPLVLFPGAILPLQIFEYRYRMMMHTLLQTDLRFGVIYTDAATGTADVGCVGEVIKHEKLVDDRFFLICKGQERFRVTKLVRTKPYLVAEVNWLEDRPKEGEEDLEACANEVENYMKDVIRLSNRLNGKPEKEAQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAMRLKREKETLRNTLNYLTAASAVKDVFPSSGKD >KZN01045 pep chromosome:ASM162521v1:3:11109777:11110100:-1 gene:DCAR_009799 transcript:KZN01045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLALVVNLMAEQGEALSCADLGPSVTQCAPFATGAMSQPTNECCSAVKQVYSMAQTPQDRKTLCQCLKQSSSAVPGVQLSSVAAIPKICGLGINIPTNPNYNCDT >KZN02384 pep chromosome:ASM162521v1:3:32888151:32888489:1 gene:DCAR_011138 transcript:KZN02384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLDGSATSDKILVTETKRSPFSCSLFTISYWHCLTGEKSSPMSLSFWFEIVNGLDLGLISSELLHYNHGKYIAKVSTTLPR >KZN01889 pep chromosome:ASM162521v1:3:26617308:26618048:-1 gene:DCAR_010643 transcript:KZN01889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTNPTRPVTGYPAHPNPNPNPNGYSSGTAYPYAAPPPSNAAYYTHNPYYNQPDPQRAFIRRLFSIIIACIIISGTVLLIIWLVLRPRVPEFRVDSVTVSNFNISSSLVSGNWEVEFTVRNPNKKITVNYDRIDADVFYKSEGLASTTLPPFSQGKRNETKVKATFGAVGAYVDDWVVRDIGGDRGRGSVRFSVRLLARARFKAGAWGTRKRYVRVLCRDVPVGLTLSSGRGSMVGDARQCRVVT >KZN03332 pep chromosome:ASM162521v1:3:43668297:43670833:1 gene:DCAR_012088 transcript:KZN03332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDEFVRGNVHQNGVAVLTLDRPKALNAMNLDMDIKYKSLLDEWEVDPKVKCVLVEGSSPRAFSAGMDIKGVVAEIRKDKNTPLVPKLASWDSYL >KZN00689 pep chromosome:ASM162521v1:3:7647587:7649073:1 gene:DCAR_009443 transcript:KZN00689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSIRKMDCIEKDMISELSCPLQETILGFLPIRDAVRSSALSRKWRHKWTMIPHLIFDDQFFDSKRKNLRQYQDKKLRAHGFVSVINKVLLLHQGPILEFSLTNLEGCDTKIVHDYISQWLPLLSRNGIKKFNLKNCHFDNIAAYGFSSLDLTQLRLDGVLFSTSPSFRSFTCLRSLELVDCINNKPSIFVCPVLGELVLIYCEGLLPNNFRAPNLKRLKQAICKLPLEYSLAGLPNLKEFSCTALTIPMINAKAFSGVNFLDSLHTIEKLSLKFTFVKYLVAGGCPVKLSKLMPHLKILLLSGMELIHLSDITCLLCLMQSAPNLKKLHISAMEINDAVEGNLRNYLAKKFVDCTFEHLEIVTCTWLRGLRDELELVKFLLANSPLLKKMFIHHCVTVRTDVALNIAEEMLQYARASSEAQIRFLKDDLNIEYF >KZN02406 pep chromosome:ASM162521v1:3:33173617:33175360:-1 gene:DCAR_011160 transcript:KZN02406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRQTISMILVISIAAFFIIQAQAQNARQPHHHSRKNKGHEPDKAPKVHRGHKGHKGGHKSEPDDDGPDAPCPAKGYCTTDNDATTSDDDEPKPVMVSSGLVTPAPPKPRNSTRRQLAKKYGGPCVAYNPIDRCWRCDPNWAKDRYRLAGCAMGFGRHTTGGKDGPIYKVTDSSDDNVEEPKPGTLRHAVIQKHPLWIIFAKSMTITLQQELLMQCDKTIDGRGKNVKISGGAGISIQFVRNIIIHNIHITDIHVTSGGSIRDSIDHIGLRAAADGDAISIFGSSDIWIDHVSASNAKDGLIDIVANSNGVTISNCHFVHHDKVLLFGAEDTNEKDKEMHVTVAYTHFGKNLIQRMPRVRWGFIHLVNNDYTHWLMYAIGGSHGARIISQGNRFIAPFDPVAKEVTHRTNGLEPGWETWNWRSEGDLMMNGAFFVESGCPDWRAGIDPLDLILPAPATEVTTLTLFTGPLGCRKKRPC >KZN00522 pep chromosome:ASM162521v1:3:6059857:6065437:-1 gene:DCAR_009276 transcript:KZN00522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIWGALSVDFVAWRGFVYTLFLLHFVFICQFLFLQPLVSALDGKPGDAAELYERVAHSIKVKRYSEAISDLNAAIEADPTLSEAYRHRASILRQLCRYEESEKSYKKFLDIKPGNSAVEKELSQLYQSRDALDTALNLFDSGNFTKSLGYVDKVVLVFSPECSKAKVLKVKLLLAAKDFSSVISETGFILKEDEDNLEALHLRGRAYYYLADHDVAIRHFKKGLRLDPEHSELKKAYFGVKKLLKKTKSAEDNENRGKLRLAVEDYKAALALDPTHIANNVNLHLGLCKVLVKLGRGKDAVSSCTEALSIDGELLEALVQRGEAKLLVEDFEGAVSDLRAASEKSPQDRKIRQTLMKAEKALKISQRKDWYKILGISKTSSVSEIKKAYKRLALQWHPDKNVDNREEAEAKFRDIAAAYEVLGDEEKRMRYDQGEDVEGMGMGGSGGGFNPFGGGGQQFTFHFEGGFPGGGFQF >KZN02881 pep chromosome:ASM162521v1:3:38513514:38513699:1 gene:DCAR_011637 transcript:KZN02881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSSELLILFEDGSFFQGSKSFGNMIEDFEMPPGHRFLANELMLQSDEPPRTDSDPLTLS >KZN03777 pep chromosome:ASM162521v1:3:48010429:48011040:1 gene:DCAR_012533 transcript:KZN03777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEGLDDWAKEVKAFEETKAGVKGLVDSGVTKVPRIFVHPRAELLKPEVLSEESSGESRVPLIDLRGVEGVERKDIVEQIRQACEHWGFFQMVNHGMDVSVINATLEATRRLHEQPSEDKVGLFSDDSSKKVRLYTVNGSVHKSRPGPWRDALACAYLDDTLDSEEIPQICS >KZN01016 pep chromosome:ASM162521v1:3:10828708:10830552:1 gene:DCAR_009770 transcript:KZN01016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPMSMVNPSDHKITQFSIRAKNLSYKLPSRKRYHRYFNLCPWEESSNEMGKYILKDVDCEAKPGEITAVAGPSGAGKTTLLEILAGYISQSKVSGQVLVNDQAMNTMHFRRLSSYVTQDEALFPLLTVEETLVYSARFRLHGGVSRATTRAKQLLQELGLEHVAGARIGDESKRGISGGEKRRVSIGVALVHDPAVLLLDEPTSGLDSAAALQVMLLLKSMAKNQSKTIILTIHQPGFRIIELFDQVILLSKGIVLHQGSLHHLEERLKIAGHCIPMQVNILEYSIDVTEGLLKDMEECNILVDEAKHEQECVEIISFMGHVDENYMLYSNSFLKEVFILCQRFTYNIFRTKQLFSAKLTQSIVVGLLLGTIFMNANKDHDTVKLHTRLGFFAFNLSFLLSSSIEALPIFVQERRILMRETSSGAYRIASYVTASTVIFLPFLLVGASLYSITVYWLVGLRRDIDRFFYFSLVVWLVSLMSNSFVAFCSALFPNFVMGMSFTGGIMGSFFLFSGYFISKDELPKYWKFMHYLSLFKYPFESFLINEFGGDDKNTRCLELTEGACVYGQEFLMHQNLKESQKWSNLGVMVSFISVYRFLSFVVLWYRSYKSRT >KZM99948 pep chromosome:ASM162521v1:3:358561:360372:-1 gene:DCAR_008703 transcript:KZM99948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKVLTISSSLFFIAFFLKLSLPDLTVFALSDFPVILNGVASWLKPPYLYLVINCIIITIVATSKLQSKFDHYAVPPPPPIVGIKSDDEVAAAAAFDHFSFSPPPLVSGGGGVKRGDLASTVATEMPTSPLQPLFDYGYNGPEKVSDFGVYGNVEVEDRVRVPESGNINGVVSSVEDAKMEARVFESEEKVVKKKYEDSGAKEDSYVEVMNLTSNKVISRAPERASVLESSKPPASSRFGHRKVVKSTPDHQGGKTLKVSKPKRHETLESTWKTITDGRPMPLTRHLRKSDTWEHHPAHTTHAIDTPDYSKMTKSETFNDRTIRKKNSSTLSRSSGSGGKLKKDPSLGQEELNKRVEAFINKFNEDMRLQRQESLNQYMEMINRGAGP >KZN03162 pep chromosome:ASM162521v1:3:41854192:41856333:-1 gene:DCAR_011918 transcript:KZN03162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLSLPKHPLLSSLLPFQSSTKPSSSFSQTFSKSSQFHGLKLSHSSSLSSPSTSFSTKTSIFAKVEKGTAPPPFQLKDQNGRLVSLSKYRNKPVVVYFYPADESPGCTKQACSFRDSYENFKKAGAEVIGISGDDPESHKLEVAHDQEFAKKYRLPYVLLSDEGDEVRKEWGVPSDLFGALPGRQTYVLDKIGKVQLIYNNQFQPEKHIDETLKLLESL >KZM99979 pep chromosome:ASM162521v1:3:765588:766388:1 gene:DCAR_008734 transcript:KZM99979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSADFRCDCSPPAGDDSESIDRNCSHCGGSNNPVLRTSSSAASLLSFDSVPVKYYDKRLRIIHAAIKGFTIGAGIKGGLALFSILARFRRRQSLSLVKKVGMATGGEDVILAVKETLRYGLFLGTYAGTFVSVDELIAAIGGHHRQLLLS >KZN01086 pep chromosome:ASM162521v1:3:11519494:11520801:1 gene:DCAR_009840 transcript:KZN01086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSLVPHLPIATTSDPNSTAMKSKRPHDLPSTADDDASAHKRLNYSAEASLSGEKHDEDGAEYSGLRLLGLLLQCAECVAVDNLEDASDLLPEISELSSPYGSSPERVAAYFAEALQARIISSCLGTYSPLNIKNLTLTQCQKICNSLQSYNSISPLIKFSHFTANQAIFQALEGEDHVHIIDLDIMQGLQWPGLFHILASRTRKIRSIKITGVGSSIELLEATGRRLADFASSLSLPFEFLPLEGKIGNITDLAQLGVRLAGEAIVVHWMHHCLYDVTGSDLGTLRLLSLVRPKLITIIEQDLSHAGSFLGRFVEALHYYSALFDAVGDGLGEDNLERHTVEQQLFGCEIRNIVAVGGPKRTGEVKVEKWGEELRRIGFGTVSLAGNPAAQASLLLGMFPWKGYTLVEENGSLKLGWKDLSLLTASAWQPPAG >KZN00490 pep chromosome:ASM162521v1:3:5767947:5774660:1 gene:DCAR_009244 transcript:KZN00490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASGFGSASTAEQVTDGIDASNLTAIITGGASGIGFETARVLALRRAHVVIATRNVEAANEAKQLILKEQENARVDVLKLDLCSIKSVRAFADSFKALNLPLNILINNAGIMFCPYKLSEDGIEIQFATNHLGMAGASGFGSASTAEQVTDGIDASNLTAIITGGASGIGFETARVLALRRAHVVIATRNVEAANEAKQLILKEQENARVDVLKLDLCSIKSVRAFADSFKALNLPLNILINNAGIMFCPYKLSEDGIEIQFATNHLGHFLLTNLLLDKMKETAKSTGVEGRIVNLSSIAHQHTYSEGILFEKLNDEKSYSDKKAYGQSKLANVLHANELSRRLQAEGANITVNSVHPGLIMTNLFKYSTVLFKILKMVTPLIWKNVPQGASTTCYVALHPNLKGVSGKYYQDCNEWQPSKFATDEKLAKKLWDFSNKLVNSV >KZN02054 pep chromosome:ASM162521v1:3:28909855:28910307:1 gene:DCAR_010808 transcript:KZN02054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTDEKLKGRIKKWLKAPIRGLLKARDLYVKAMINFGERFSHVDGVYGCPTSEVSTLPRSFSLYSNTRDDDFKELVRVASIKCLQDRIDLDLQSAKASKVVPRSKSSCGVARIDEEHRFDVCDNKVLNLKTADLFSQSRSFVVVTKRNK >KZN03571 pep chromosome:ASM162521v1:3:45937125:45938720:-1 gene:DCAR_012327 transcript:KZN03571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKTPSFSGFVLLISRLLRKYYRNQKGLQRSLSTANSFNSQHKVKSQLSLSERVEPSENTTVVFNVEGSLLKSSSLFPYFMLVAFEAGSLIRALILLILYPIISLLSYEHSIDVMVMISFFGMKKKSFRVGSSVLPKYFLEDVGDEVFAVLQKAKRKIAVTNLPQVMVESFLSDYLEIESVNGRELKVVCGYYVGLMEDRSNSILVDLFKDENVSEDVIGLDSLNTSVAHRGFSHCKEFFMVNEAEKLKWKSLSKENYLKPLIFHDGRLAFRPTPLSMLVMFVWLPLGTIIAIIRILIAISLPYSISTPLLTFSGIKLKVFEPVQRPKTQSKTKGSLYVCNHRTLLDPLILSFGLVKPLAAVTYSLSRMSEILSPIRTVRLTRNRDKDREMMDRLLNQGDLVVCPEGTTCREPYLLRLSPLFTELTDDIVPVGVDTEVSMFHGTTSSGLKFLDPIFFLMNPTPSYTLQVLGKICGVSSNDDKSSRFDVANKVQSELGKALGFECTMLTRKDKYMILAGNEGVVSAGNKQ >KZN01507 pep chromosome:ASM162521v1:3:17581795:17582625:1 gene:DCAR_010282 transcript:KZN01507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAINESTFRVIGYSENARDMFCHSPQSVPNIEKPEFIRIGVDPARTEDPALSIVEAVQSQKLTVRAISHLQSLPSGDIKFLCDAVVQDVKQLTGYDRVMVYKFHEDEHGEVLAESRRPYLNSYPGLHYPATDIPQASRFLFQQKWVRMIVDCHAISVPVIQDDLLMHPLCLVGSTLRAPHGCHAQYMANMGSVASLVVAVMSMRLVRKVRGEGTLPDYGD >KZM99961 pep chromosome:ASM162521v1:3:491903:497754:1 gene:DCAR_008716 transcript:KZM99961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFVNNELDNMVSNYYNSGAAEFDFDSSSDSDDALLHDEDDDSFCFEQTKPKTDTSAVEARNGKDIQGIPWERLNFNRDNYRETRLSQYRNYESLSLPRGELEKDYNKVEKGHIFYDFQYNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLKRGSEILNVAKPIVPTLTYPGSLGQSLSRVQISTMAVKHNLLVAGGFKGELLCKSLDQSGVAFCTKIAMDENAITNDVDIYHSTDGSIRLMTANNDSKVRVFDTVNFACTNRFTYPWSVNNTCVSPDGKLFSVLGDSPECLVADAQSGKVVGTLKGHLDYSFTSAWHPDGNILATGNQDTTCRLWDIRNMSESLSVLKGRMGAIRAISFSSDGQFMATAEAADFVHIYDTKSGYSTEQEIDLFGEIAGISFSPDTEALFIGIADRTYGSLLEYNRRRYGYYLDSFV >KZN00734 pep chromosome:ASM162521v1:3:8002974:8003766:1 gene:DCAR_009488 transcript:KZN00734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FSNI-2 description:Flavone synthase I MDWREFVTYFTYPISDRDYSRWPDKPEGWRSITEEYSEKLQELGIKLLEVLSEAMGLEKEAIAKACVDMEQKVLINYYPTCPQPDLTLGVRRHTDPGAITLLLQDQVGGLQATRDGGKTWITVKPVEGALVVNLGDYGHYLSNGRFKNADHQAVVNSTYTRMSIATFQYPSPDAIVYPLKIREGEKPIVDEAITFAEMYRRIMSKPGEEAALKKVAKEKHLQEEKAKLEMKPKTAA >KZN01845 pep chromosome:ASM162521v1:3:26014015:26018711:1 gene:DCAR_010599 transcript:KZN01845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQTKGLPVQNPMPIRSLCTAAAPKVEMERALSQEEAVVSSTKNYQDDLQNLGLRIKQHEDHIKSLRTQKNIIEDSILDLKVTIGKYHTSTESETKKEDTRSEEEIFEHLVEEKSAAGLICQLKARHDIPVSHSPPVKDVLGIVATLGYLDDENLSREPRQKQKDIDCVSAVRKIAANEGNTEKSIAYKSYLHFMMMSMTQLPSYNYLVLAILRFHQISMLVYYKGVLRLLAEFVGKEAMLALVCKTFDGIKALELYDKEGAINRSSGLHGIGSSVGRTLEGRFRVICLNDLRPYDGEFIADDPQRRLDLLKPKLPGGDIPRGFVGYAVNLVHIDNQNLFCVTTSGHGLRETLFYYLFSHLQVYRTREDMQQALPFINDGAVSLDGGIIRSPGVFDLGNREDAQVKFPRISGKSSLPESYYEVESSLKSKKWNQERLVDEIRREQSFLDQAKFNFEIKKKEFVRFLAQSSQYAPAQYAPAQQQSPAGRERFAPR >KZN01414 pep chromosome:ASM162521v1:3:15898352:15898929:1 gene:DCAR_010168 transcript:KZN01414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKLSFSDNKENDTARKWCWTKISNENAPAHTRNSHDTALDRYPYDRMIRIQQSKSSTSHPDSVVDKPNTIRTTSTRYCHAC >KZN02184 pep chromosome:ASM162521v1:3:30613058:30614482:1 gene:DCAR_010938 transcript:KZN02184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTLNRSRRNPSFMKLLFSDFSKKLLIPPKFARLHPGTLPKKCTLKPTGTQSSWPVRTILIDNHLYFKEGWKAFAKHHSLQYGDMLVFRYAQDSEFYVDMFDNTCCLREPVATSPALILPGQGNKNHPETAADELILSSEFPSFKRTMQKTNVKSNGYLQIPLQFGRMYMKKITNYTSKLVSLDKGWVVQLLRGDKRVFKKGWSNFAKENSLELDDVCVFQLINAKDNTFEVTIFKKPVEYSLFSRC >KZN00744 pep chromosome:ASM162521v1:3:8063935:8066008:-1 gene:DCAR_009498 transcript:KZN00744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPPPGFIGKKASDVPTLIDSIKLQKESKANKEKRREKRRDKGEKKEKKRDRKEKKQPVCPKQTEVRHELNKDGKIWIDSEVLSQKRKREDTEQLEGSSVTEEHGQPLNIQNPSYSSDGTQNSNKRRKQASVASDSRGQGNIIRIKLPLQKPNESERKVSKEQLDSSSARNVQNKESDVKASKENQCSTSGRNEMYDEEINPRSGWTDLFVHPGQDANRASQGDASLTLSRKNNLLQSCPSNELCSTSGNVNDFAQGHTQTSFNVPGSCSTSGDKKMQKKILKYDNLIQSLYQMAVPTEVVEKDDEDWLFGGKTSDTVVKQRNVEKQLPVNSSVTCSGPSGSSTASTQYPLCPRAQYLEEAKIYALPYTVPF >KZN00815 pep chromosome:ASM162521v1:3:8945829:8946644:1 gene:DCAR_009569 transcript:KZN00815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEEYPEEIHPSTTTTSMFSKIHQTQNFHPIPPPYHVTTFPQDPNTPTSNSPKNEPSQNDGASIEVTRRPRGRPPGSKNKPKSPIIITREPEPIMSPYVLEIPAGSDIIHTVTTFCRRRNSGLCILSGSGMVSNVTLKQPSTTPGATVTFHGRLDMLSISATILPNLANSFTITLAGPQGQIVGGAAVAPLVAASTVYVIAASFNSPAYHRLPLEDGQENNENNGGGADVASPSGGSGGGDSGHAIYSCHGLATDVIWAPTPRQAPASYQ >KZN00863 pep chromosome:ASM162521v1:3:9365480:9371494:1 gene:DCAR_009617 transcript:KZN00863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLSEHPNVVLIKETCEDPLFVHIVMELCAGGELFDRIVMKGQYSEREAAKLLKTIVGVVEACHSLGVMHRDLKPENFLFLSDDEDAALKTTDFGLSVFYKPGETFCDVVGSPYYVAPEVLQKHYGPESDVWSAGVILYILLSGVPPFWAETEMGIFRQILQAKLDFESEPWPSISDSAKDLIRKMLDRNPKKRLSAHEVLCHPWIVDDRIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAEKLSEEEIGGLKELFKMIDTDDSGTITFDELKEGLRRVGSELMESEIKDLMDAADIDNSGTIDYGEFIAATVHLNKLEREENLVSAFSFFDKDGSGYITIDELQQACKDFGLGEAHLDEMIKEIDQDDDGQIDYGEFAAMMRKGNGGVGRRTMRGNFNLGEALGVTAPSDKCG >KZN02700 pep chromosome:ASM162521v1:3:36207561:36208661:-1 gene:DCAR_011455 transcript:KZN02700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKSSANSSSESDPPHQPLIPNLPDHISLQCIARIPRLHLPSLSLISKSFHSTLHSPEFFATRSLLNSTQQSLHLNIRLNSSFNWYTLNQRPHVAKQPYLLTPLAGIKNQPVGACFAVLGFKIYVIGGSVNEIASNIVWVFDCRFGKWEIGAKMRVCREFAAAGVVNGKIYVMGGCVGDNFVKSSNWTEVFDPAVGVWKGVESPVEIRDKWMHASCVLDGRIYAMADRGGVVFNAGTGEWGRTVPKRLDLGWRGRAAVVGGVVYCYDFLGKIRGYNVEKDVWKELRGVDKSLPKFLCGATMASLNGNLCVVWERKGGKKEVDIMCAEIEVWKDNDGGLSGSVLWSDVVLRVPFGSSVVHCVSVDL >KZN00570 pep chromosome:ASM162521v1:3:6495054:6496211:-1 gene:DCAR_009324 transcript:KZN00570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQLTAILLLSMFFFSTANPVLDCGSCEKPPPHHKPAPKPPTVKPPVVKPPVVKPPVVKPPVVKPPVVKPPIVKPPIVKPPGLLPPILPPGILPPGVLPPGVLPPGVLPPLPPGVLPPGILPPGVLPPGVLPPGVVPPIKPPGGLLPPGVLPPGVLPPGVLPPLPPGVVPPIKPPGGLLPPGVLPPGVLPPGVLPPLPPGVLPPGVLPPLPPGVLPPGVLPPLPPGVLPPGVLPPGVLPPGIKPPGGLLPPGVLPPGVLPPGILPPGVKPPVLPPTTKPPSPGTPCPPGAKTPPSAPETCPLDALKLGACVDVLGGLVHVGLGDPNVNKCCPVLAGLVELEAAVCLCTTIKLSLLNINLALPVALQLLITCGKTPPPGFTCPT >KZN02724 pep chromosome:ASM162521v1:3:36506254:36507144:1 gene:DCAR_011479 transcript:KZN02724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFITMKNEEKKKPKERRPFLASECRDLSEADKWRQQIMREIGRKVTEIQNEGLGEHRLRDLNDEINKLLREKLHWERRIIELGGPNYTRHSAKMTDLDGNIVDVPNPSGRGPGYRYFGAAKKLPGVKELFDKPPELRKRRTRYDIYKRIDASYYGYRDDEDGVLEKLEVGAEKRMRADKVREWEEMEAIKREARRGVKSGGDAVKEFLREEEEDVVEEERREREIKERESGEKEFMVHVPLPDESEIEKMIVEKKKLELLSKYASDNLLEEQSEAKAMLNIHR >KZN00673 pep chromosome:ASM162521v1:3:7483725:7486949:-1 gene:DCAR_009427 transcript:KZN00673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAISFPDFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHAVAIPIVLVLPYIFRLFQCLRQYKDTGEKATLLNALKYSTAVPVIFLSALKYHVFPDQWTNIYRPLWLLSSLVNSSYSFYWDVTRDWDMSGFTRIFKFSKLHLVSHMLYGRKWVYFWVLGSNLILRCTWTYKLSAHLRHNYLTVFGITALEIFRRFQWVFFRVEKEVIKMSSKQHIPLSTMDNSTEEDKLLLNDYNV >KZN03837 pep chromosome:ASM162521v1:3:48852643:48856680:-1 gene:DCAR_012593 transcript:KZN03837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSATDVSSYVYFDHNQVAELKTGGSASHLRSSARVEIQILCHHAVDPGLARVNLPGGSTVNQSAEMIPASSVKESRQIVVGRPMTTSRSSIDIRNKPKDIGGTDSDVNWSVPISQSVDTRSEPKISDESGSVTRSDSETNYSDEFSSVVTQSDTEIKNSAQSSPVGTKSASEPKISDKSSSVVTQSTDSQELPVRNPPTNVNGKPKDFLFMKALRTAENKSDPCGGRYIYVHDLPPRFNEDMLKECRSLSLWTNMCKFTTNAGLGPPLENKEGVFSNTGWYATNQFAVDVIFSNRMKQYECLTKDSSLAAAVFVPFYAGFDIARYLWGYNISTRDAASLDLVNWLAKRPEWKVMGGKDHFLVAGRITWDFRRLSDTETDWGNKLLFLPAAKNMSMLVVESSPWNANDFAIPYPTYFHPANDAEVFMWQDRVRKIERNWLFCFAGAPRPDNPKSIRGKIIEECKQSKVGKLLECDFGESKCHSPSSIMQMFHNSVFCLQPQGDSYTRRSAFDAMLAGCIPVFFHPGSAYIQYTWHLPKNYSTYSVFIPEDDIRTKNISIMDRLGAIPPEQVIKMREEVINLIPRLVYADPRSKLETFKDAFDVAVQAVINKVTKLRKDITEGRTNDNFIEEISWKYDLLEEGQEIGYHEWDPFFSKPKNNGNADSDASSTEASKNSWKNEQRQHS >KZN02877 pep chromosome:ASM162521v1:3:38471014:38471625:1 gene:DCAR_011633 transcript:KZN02877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYNLAIFLLFLVTLLWFIHGLILTLLPFKSNGRKLPPGPRGLPFIGSLYLLGKLPHRSLNDLAKKYGPIMSMKLGNVTTIVVSSPEFAEKVLKTHDLVFASRPHTEASKYLSYEHKALAFGQYGPHWRNVRKLCTLELFSAKKIDSLSKMRREDVVLMVNTIKEAAMARQVVDISDLVGDVIGKMIYRMLLMGKSDHNGNN >KZN03652 pep chromosome:ASM162521v1:3:46670739:46672876:1 gene:DCAR_012408 transcript:KZN03652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVATIAELKPSMSGRRTLRSSASTRHFVEWPISDVSSDLTVEVGTSSFALHKFLLISWSGRIQRLLQEAKDAKICRLIVTGVPGGAEAFELAAKFCYGVNIDINLSNVAMLRCAAHFLEMTEDFSDKNLIPRTESYIKETVLPSIPNSIAILHCCETLRPLSEEINLVSRVISAIANNVCKEQLTSGLSKLDHNFSTKSISSMETENPSDWWGKSLTVLGLDFFHRVLTAVKAKGLNQDTISRILINYAQSSLQGLVLRDPQSVRGSLSNIELQKKQRVAVEAIVGLLPTQSRKSTVPMAFLSSLLKSAITASAIISCRADLERRIGLQLDQAILEDILIPVNSHGSVYSPIYDTEVIMRIFSIFLNLDEDDDEDSHMRDDTEMMYDFDIPRSPKQSAIIKVSKLLDNFLAEVALDSNLSPSKFIALAELLPDHARLASDELYRAVDIFLKVNQLHQAF >KZN01352 pep chromosome:ASM162521v1:3:14746255:14747915:-1 gene:DCAR_010106 transcript:KZN01352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDGDCTVFIGNLDERVSDRVLYDILIQAGRVVDLYIPRDRETDRPKGFAFAEYETQEIADYAVRLFSGLVTLYNRTLRFAISGQDKPAFGSAPKVRQYNDSEDITPHSAPHSSYMFSSQRSGYAQVRTPPGVSHEYNRPRSSDRNGYTYNGGRLFGSAFDSTTRSR >KZN02365 pep chromosome:ASM162521v1:3:32601470:32602270:-1 gene:DCAR_011119 transcript:KZN02365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQALTRSISRISARFLLFSPTIINQQRILNASLAPNLRPSAALLWSSPFSSYVRDLNYVIEPPIKWGRCIVPEKRAYVIERFGKYVKTLTTPGTHQLIPFVDRVAYAHSLKEEAIHIPDQTAITKDNASISIDGVLYVKIVDPKLASYGVENPLYAATQLAQTTMRSVFGQITLDKSFEQQDTLDVKIVMAINDAAKDWGLKCLRYEIKDISPSRRVKAAMEMLAEAERKVLESEAQRHAWKKQKWRKKQKWTIELKEKHKPCKK >KZN02304 pep chromosome:ASM162521v1:3:31863811:31866084:1 gene:DCAR_011058 transcript:KZN02304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGSLCTLSKQEAMMQALHRDGESSLSLVGPKPMELSTAPHAGSHASSGSDDGTAKLWDLRQRGAIQTFQDKYQITAVDFSDASDKIYLGGIDNNINVWDLRRNVLNKF >KZN02441 pep chromosome:ASM162521v1:3:33525794:33525985:-1 gene:DCAR_011195 transcript:KZN02441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQKIALVLAVCFVFAALSVSAQVSPAAPPSTDAAVGLISFESMLIGVFAVAVSFFALTAAV >KZN00628 pep chromosome:ASM162521v1:3:7023715:7027077:-1 gene:DCAR_009382 transcript:KZN00628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPLLNRGATAELQYELIGDDGDYIPARTFKHWRSVFAIESEKLWRIAIPIAMTTLCQFGLNSVTNIFVGHVGDLELSSFSIAMGVINMFSFGFMLGMGSATETLCGQAFGAGRIHMLGIYMQRSWIVLSTTCIFIMPIYIFATPILKLSGQHDEIADLAGEVAILIIPQLFSLAINFPTQKFLQAQSKVNVLALIGFGNLIFHGGLLWLFIYVFKWGVTGAAIAFDITSWTAAIAQVIYIITWCKDGWTGLSSAAFKDIWPFVRLSFSSAIMLCLEVWYMMSINLLTGNLDNAVIAVGSLTICSNINGWEGMVFIAISAAISVRVSNELGLGHPRATKYSVYVTVFQSLLIGLLCMMIVIIMKNHIASIFTHSREMQEAVSKLAHLLGVTMILNSVQPVISGVAVGGGWQALVAYINLGSYYAFGLPLGYLLGYKANLGVQGLWGGMIIGMILQTMLLLAVLYKTNWTKEVEETSSRMEHWGGQKIMDKASQNYV >KZN03009 pep chromosome:ASM162521v1:3:40121994:40122482:-1 gene:DCAR_011765 transcript:KZN03009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIPSFFGRRSSNVFDPFSLDVWDPLHGFPFNSSSFGPLSDQLRSGEASFANATIDWKETPEAHVFKADVPGLKKEEVKVEVEDDRVLQISGERTREQEDKGDTWHRVERSSGKFLRRFRLPENAKVDQVKAGMENGVLTVTVPKEQVVKKHDVKSIQISG >KZN01064 pep chromosome:ASM162521v1:3:11279480:11279848:-1 gene:DCAR_009818 transcript:KZN01064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPKCSETPLTIDEFEEMVATEFDEELLRELLEEPQVEIEICAKPAQPGLDVDGKGNNEAHSNNVQEFDWSEISLKEPNETNMCSIEDYCYEYVELGNSGEYSQGYDQGPQDETAYVGLW >KZN00016 pep chromosome:ASM162521v1:3:1109362:1116289:1 gene:DCAR_008770 transcript:KZN00016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESIAKTTTELATSGNGYLAHPIAYLPLDMKAPVTEFHEFKSREEAYQKLEGLVTDSSSSILGIYGIPGTGKTRLMERITTEVGKKGTFDKVVRANVRNAKLDVIGIQQQLAGKLGCDFESETDVERRAGQLRSSLRQGGKVLVILDDLWSEIPLDRIGILSEDGMSSKGGKILLTSRSEEVCKSNKCKHPVKIQPLTFPETWDMFSKTVGKALKFKRLASWMDALNQLKNSNIEEVPGIGKEEYACLELSFDNLEHDDAKKCLLLASMCPEDADIPTRMVVQLARGSQLVKGDEIKLRVHSMISILQSASLSLQGKDGDHIKLHDIIRDMARSIAKKHHGFLFARKYNIKTIRSRRIKFPTEFYIREECAEDGSLPILDEVCKLSRLTSLNICSRESKSGKLATIFCNLREFHLFVGKRPDFWSPGVSSRTNSITLSNHDLIEHYKPLFEKAEEVILCGTNFTGSSIDIRDTKEFINLKYMKIQDCRFIEYLARMSPGNKIGGSLPQSIPFSNLTKLKINNCHSLKYLFCNSVARCLNKVQKLKIENCHMMEEVVLGEGTSDGNMSMPELRKMTLIDLPRLIHFYKDNTFSGQIQPLFNQTVEFPLLEELDISGLEDITDIWGDNNGNAFSFFELKKLNVWNCNKLKNVIPPAKLPSSLTSEVDTLGSNTDSVAGRASEGQVKAMNSHNPNKKLQIFLKKTVLVSKSVCRRTPTITEENLNDPSDILVQVPSQNTRVCPLVEMSLQKLPCLEKTGLNFEDPSGVVSTYPYLEKLNICECNRLENVFISSRDANFKNLEDMSVTNCIIMREIIGAGDQKIANGIVFPKLCSVKLTELLSLTSFWGYPSEEANSHKVEFPNLKSFELSCGKITSLEMIEFGSRDGSIFRLEKLDISCDEEIQIPNQWLPHLNNLERLSLRRCWSDELKSLHFAKLKVLLLQELSCSTIFSFPDFERLQQLRGLVITKCNSLEAIVEVVEGEEASDMDTETVALVQLESVHLEGLPKLKSFMHTKPKNLIPSLEHVEVEPSILFMCPVFGNFQQLKRLQVIDCRLLEGIVEVARGYETDDRIITFPKLSDIHLRDLPNLQNFSPTTSYSFNMPKLFHFHMFRCPRVENKPLLQIIAQRVLVYSDEHPQGIVILNLNEYTRRIKNLESVGESSNSHQDVEMETITVAEEEDRVVEQEAEVVVVGEERGIEEDS >KZN00506 pep chromosome:ASM162521v1:3:5869883:5870259:1 gene:DCAR_009260 transcript:KZN00506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEECMLFKMSKEECMEALSKHANILPIVTSTVWKELEKENKEFFEDYYTKRAEEKQRNVSSELDMLRKRMEKMVLDFNTK >KZN00645 pep chromosome:ASM162521v1:3:7167386:7168108:1 gene:DCAR_009399 transcript:KZN00645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFFSLSDQTLSQYPSSSSLQLPESSFPTDITGNSFVSENGKGIDSDDLQAHDSYEVLLASSTPKKPSGRKIFQETRHPVYRGIRRRKSGKWVCEVREPVNNSRIWLGTYPTAEMAARANDVAAIALRGRNACLNFADSVWRLPVPASPDVVDIQKAASKAAEAFRPPETDNAAGSSTQESSIELQENEVLYMDEEVEFGMPEFINNMAQGMMLSPPKYGQMEGCYGDDDMEDLSLWSY >KZN01896 pep chromosome:ASM162521v1:3:26687709:26693336:-1 gene:DCAR_010650 transcript:KZN01896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAATTSDLEGKAKGAFIDDHFELAVDLYTQAIALSPNHPELFADRAQANIKLLHFTEAVADANRAIEIDPSMAKAYLRKGIACLKLEEYQTAKAALEKGASLASGDPRFVNLIKECDECIAEENSLLSEQSVITSSTDNVASGSDTLDKTFPPSSMEPGNNLSDQAIEAELPKPKYRHEFYQKPEEVVVTIFAKGVPASSVDIEFGEQIISVTIDSSGEDTYIFQPRLFGKIVPAKCKYEVLSTKIEIRLVKAEAIHWTSLEYSKNNAVPQKAYVPTGAQRPTYPTSKPKTVDWDKLEAEVKKEEKEEKLDGDAALNKFFRDIYQDADEDTRRAMRKSFVESNGTVLSTNWKDVGSKKVEGSAPDGMEMRRWEY >KZN03364 pep chromosome:ASM162521v1:3:44033855:44035961:-1 gene:DCAR_012120 transcript:KZN03364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVNHSHPLILNENFHGGEEDACYLCRERLRSTPLYSVYRCSSRDNTSGLADDAVNCVKLFVHKICAELPLKITDYFKHPQHPITLVRKIDLSRKHCSICFWALSHTIVYSCKSCDLTVCLRCATSPLIYHPSHNQHALALVQRQASFCCDACGMEASSWSSCKCNTCPFWIHTSCAILSSFKKFQFHIHPLLLAYSFPQQYLNFRQKCKICRCLIQPTRWFYYCAGCRFFVHLNCTDTALEMTMRPSEDFGNMVHLPSRDESSLNLLRQLFIHQMMINQREAEALMTWSFSPISTVTAEVAGPSKEVIDHWSHYQHPLILKKCSTLNTQLMTVKDNDEESDADTFIVCDGCTNPINCSNTSYYECHTCNYFLHTYCTELPKQMQPYKLPYKFAPLPFNKSYPIIFSKVLFVQM >KZN03776 pep chromosome:ASM162521v1:3:47997500:48002167:-1 gene:DCAR_012532 transcript:KZN03776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFLKRLVDNMDFSMKLLRKQKLYERVVYSVVNLCQSQWCKIDNFKSPVVREGKDGVEGPLRQCLGKKRDSRSETKKCSSDEDEVNSNGSNGGKSEIAWLTKKLEPALQLCRQALPSGIESENKIPPISRSITEIIASIQRSKLVFKDWSLSDLTLGLYLIYLQQASTNPLDDVQGVHDLIYHSELAKGAYRASVAGLAKKCMLRESNVYKFVKDSSVLRPGYYIGIDRRKKLVILGIRGTHTVYDLITDIVSSSHEELNSQGFPSHFGTAEAARWFLNHELDTIKNCLKQHEGFSLRLVGHSLGGATASLLAIMLRQKSSKELGFSPNVVSAIGYATPACISRELADSCSEYVTTIVMQDDIIPRLSVASLTRLRNEILQTDWTSVLEKEDWKNVVDVFTNAKQVVTSVQDVARKLVDYAKFRSQAELSDVPQESCSVSPTTKVAGRSGAINMKEAPLKMSDELFIPGTVYFLKRNVESHTCNRHGKGGEYFTLWKRNPGEHFRRILLSSNLISDHKCDSHYYALRDVLKACQELEHSPSETTERSQQRDHPCGRGDSNPHPLDWSTTTIQRYKLPA >KZN02293 pep chromosome:ASM162521v1:3:31752798:31753067:-1 gene:DCAR_011047 transcript:KZN02293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSRKGVTGVSKETRRRVFASIFRPFFATIGDSDQVCRFLVIGSRVMRSLVAARGLFSRFRRVRGANGDNVPFGHPTYEDDEVIVLEF >KZN02395 pep chromosome:ASM162521v1:3:33024793:33026394:-1 gene:DCAR_011149 transcript:KZN02395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKITLTAPLDTRACTLYLLPLLCTSLPSSPSARRPLRRRGCRRPLCHVDNPLPPYLHLLTDIVARRRSNLNARRQASDFTVTFYNYVDGVGGVERFGGGGGVAYVGQDIYY >KZN00795 pep chromosome:ASM162521v1:3:8779788:8783575:1 gene:DCAR_009549 transcript:KZN00795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSAFAGPKLENIFLGNASHNGVVFCKPRKAVFPRNSTSLRCEQQQLQTTNDNVIVDNSTKTPSSSSLSALQQLKSSAADRYTKERSSIVVIGLSIHTTPVEMREKLAIPEAEWPRAISELCSLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGVPASDLCEHRFLLYNNDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVVGFGRNISGLFKHAITVGKRVRSELNIASGAVSVSSAAVELALMKLPKTSHSTARMLLIGAGKMGKLVIKHLAAKGCTKMVVVNRSEERVTAIREELHGIEIIYKPLTDMLAAAAEADVIFTSTASETPLFLKEHVADLPPVGSDVGSVRLFVDISVPRNVGSCIKELETARVYNVDDLKEVVSANKEDRLRKAKEAQTIIAEESKQFAAWRDSLETVPTIKKLRAYAERIRLAELDKCLSRMGDDVTKKTKKAADDLSRGIVNKLLHGPMQHLRCDGSDSRTLTETLENMHALNRMFSLEAEISVLEQKVRAKVEQNQKCASQGAVSTTLSLVVVNILVILKPCCLYWLPPCCQEDNIRGDDVLKQVNHIIFIANASSVPERQSLMVANIRLL >KZN01363 pep chromosome:ASM162521v1:3:14906900:14909292:1 gene:DCAR_010117 transcript:KZN01363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINRGLLESKKMKWWESCSVVLLVMSFLGAAAAQKATVRTTYHIYEPQKIGWDYMKAGVYCATWDADKPLSWRKKFGWTSFCGPAGPHGRSSCGRCLKLTNTATNAKVKVRIVDQCSNDGLDLDVGMFQKLDTDGQGYAQGHLSVKYQFVKC >KZN03374 pep chromosome:ASM162521v1:3:44136344:44138683:-1 gene:DCAR_012130 transcript:KZN03374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTESSKNAKRKSSFDDLPLETVFDILLKLPVKSIIRSTLVNKTWHSIITNPNFISAHIQRSRSCCDESAMLLVPFTGSLENYCSLVSTDTSSLIQKYEIPFTRRSLEPFYASLHGLVLFSSRSELYLWNPSLRTHRALVTSNPFDKRSYRRSTRILLAGLGFHKPTNDYRVVMAFSGVDEKGKVYEEVAPRAGIYSLREHAWKELKNSKVPRLIREDETYVDGRFYWLGTMLLPETYDGWYLNSLPYRPDPEQLRILSFNFDTEEFGELLKLPDEVSSCVGQATEFRLMEFEGSLCVCVSDIKYDSGGQLFYIWSMRSENGVISWSLRFRFLLKVRAARPLNITKSGSLIIESFGDYSNWSTRILISRNLKSMHDRDIGIFKFEEYAENSSISTVDTHFMESLVMYEGDQKSLLKSAEYVQKVCNAIDGTAEGTDILGRIAAGFNAFLLWVGFSEDHATLFLTSNPSITKVDGHGSTRILISCNLKSMHDRDIGIFKFEEYEENSSISTVDTHFMESLVMYEGDQKSLLKSAE >KZN02033 pep chromosome:ASM162521v1:3:28619878:28621590:1 gene:DCAR_010787 transcript:KZN02033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKVENIQELIISSKDEVGKSRFKFEISDGSNKVKVTLFDLFGQHVEKELYKGDKENSFIIISCARVGRYEGVPHLSNYPATRVFINPDHYSITELKLRWGNKKKPEMPSSVVTSTKIATAVESIKLLTVKEIKALNADFNKPSAYCQVIAKRFSDQKNWYFNKCTGCDLELENVGAKFVCTQKNGCGRTIPYPDKRFRLCIFCSDDTGSMAIVFPDDEISRIIDKTVIDLQTDCADEKDVDKFPEILNTLLKQKYTINLVITKDNLTKGSTIYEAKDVVLQVETVGNHDPNAIRTVDKNVAEMEEISVDAEHEARATETPDTGKSTNMKSRARKEMEPVRFNAENLSGEKKFKNIKLEKP >KZN02006 pep chromosome:ASM162521v1:3:28170592:28171113:-1 gene:DCAR_010760 transcript:KZN02006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPNYSMQFHHHESSSRPSLGFPLGTALLLIVIFSLSGIFSCCYHWDKLRSLRRSFAHADSDLEADTIDQHLDPSKPKPPIMDLNKKPNESLPVLMPGDLIPKFIAMPCKCEPARADNFISVEVQRPPKPPQTEESPLP >KZN00581 pep chromosome:ASM162521v1:3:6618029:6618226:-1 gene:DCAR_009335 transcript:KZN00581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKQQLSSMTLQTVGGERPATYLVESRWLEKANYVSSECIKTMFRSTIVQNYQVTGYLLFSYL >KZN02041 pep chromosome:ASM162521v1:3:28721515:28723132:-1 gene:DCAR_010795 transcript:KZN02041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLAKKAMCNSHGQDSSYFLGWEEYEKNPYDQTKNPNGIIQMGLAENQLSFDLLESWLKSNPDAASFKREGESIFRELALFQDYHGLPAFKNALVDFMSEIRGNKVTFNPNNLVLTAGATSANETLMFCLAEPGDAFLLPTPYYPGFDRDLKWRTGVEIVPIHCTSSNGFEITESALEEAYKQAHKLDLRVKGVLVTNPSNPLGTTLTLKELNLLVDFIQAKRIHLISDEIYSGTVFDSPSFISIMEVLKNRNLMNTEVWERIHIVYSLSKDLGLPGFRVGAIYSNDDMVVSAATKMSSFGLVSSQTQYLLSAMLSDKEFTQTYISENQKRLKQRHDMMVSGLLEAGISCLKSNASLFCWVDMRHLLSSNTFEAEMELWKDIVYNVGLNISPGSSCHCTEPGWFRVCFANMSEETLNLAIQRIKSFAEATIKTLIDNKSQQQSNKNSKRKSFTKWVFRLSFGRETSER >KZN02592 pep chromosome:ASM162521v1:3:34882445:34892275:-1 gene:DCAR_011346 transcript:KZN02592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQMEVDDPGTPDKNSGSVQETKSKEKRKLYVGSQALGYRRDNMEVLPTIKDGVVTDWDMVESIWDHALRDCLLVDPKEHPMLLAEPSFNSQQQREKAAELMFEKYNVPALFLAKNAVLTSFASGRATSLVVDSGGGSTTIAPVHDGYVLQKAVASSPIGGEFLTDCLIKSLESKGLNIKPRYAFKRKEIRPGEFQTVDIDFPQTTESYRLYSQRIIASDIKECVCRAPDTPYDETSYSNIPMTPYELPDGQTIEIGADRFKTPDVLFNPSLVQTIPGMESYADIAASARGLPQMVIESINKCDVDIRRELYSSILLSGGTASMQQLKERLEKDLLEVDGLVRAQQWVRHLTIPPDMEE >KZN02203 pep chromosome:ASM162521v1:3:30819904:30826288:-1 gene:DCAR_010957 transcript:KZN02203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDYHHQAAGGIFSFSNGYERSQEEQRDKVLRGQGFEPPPPLVAMDEEGGEETGGGLHGYDQTAGLLSEMFNFPSGAATATELLQQQISGNYHGHIMRPSSSHLHREATGPSHENWYTGNEHKSSHIVNQQHIGPSINASDSAAAAMQLFHMNPSSARSPSPPPSTLHMLLPNPSPNPTSNSLHQGFHSPGAFGPSSHQQQFTWGTPGSTGHDAGSTSTTGQLTPHHHPIIEGHGQGLSLSLSSSLQHLEAAKAEEFRNAGGSGGMLFFNQGGGGPNTNSQFHNQALQLQGTEVVLGAQNQPIHHVGFRSSSSLGVVNVLRTSKYVKAAQELLEEFCSVGRGQFKKNKFPKPPNPTGSSGAGTSTSSAKDPPPLSASDRIEHQRRKVDRRYSHYCQQMQMVVNSFDVVMGYGAAVPYTALAQKAMSRHFRCLKDAIAAQIKHSCELLGEKDAAGTSGVTKGETPRLKLLEQSLRQQRAFHQMGGMLEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQESKEEGDEDEETETNAQTPMQSSTLASTAPSFTSTPPSTTVPINAPESDPSLLAINTTFSENQPPSHLYSASTTPLSTVTCHRRGDPEYTAAPNAEDHSNNMGSTLISFGANATGDVSLTLGLRHVGNMPEKNPFSVRDFEGC >KZN03124 pep chromosome:ASM162521v1:3:41479733:41482314:-1 gene:DCAR_011880 transcript:KZN03124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVSDNSEIEGNLHHFIEMPQQSQPRPRPRPRRPSSFYLSDAQRENYLKICVPLYNAALDGDWHAAEEIITDCPEVINMSITKKEDTLLHVVSSTGRTHFAEKVVNMMTDEDLELQNKDGETALCVAVASTVKMVDILLARNSRLLEIRKKGGLPILCAIWCGDKNMVAHMYSKINLADKKWTQSDRRNILNSCLAIGLFDIAHKILIHYKKEGILKVDTRVLRYLAYKLSAFDETAQPFIRRLINTILPGPRLGPTDNSKAAEIVRIMWGEIIKQKHEDVLKQIAGDPNRAIVEGLLFTAARFGNHKFLVELLRMYPDVTWDTDDNKHTIFHVAVINRHENVYNLLYGFGSKKLEKTDKDGNNILHLAAIKPTQTRLNIVSGAALQMQRELLWFKEVKTRLNSVDRRKKNNQGKNPKQLFTDEHAKLMEKGESWMKQTAAQCMVVAALIATITFAAAFTLPGGSNCDSGHPVLMKSSAFVVFVVTDAISLCTSSASILVFLAILTARYTEYDFLASLPVKLMVGLLTLFISIATMMIAFSASFFLLYAKSMKWIPILVATLAGLPVILFAWLHYRLFFDVINTTYSARYLFRPKKRIFG >KZN01289 pep chromosome:ASM162521v1:3:14124473:14126170:-1 gene:DCAR_010043 transcript:KZN01289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCCLSEDKVNRRSLRKSIQEGRDARTIASSFASSFANLSFKSDGSRRRYIVGEISKYGKGSVTTEFFPFKELSAATQNFRPDCMVGEGGFGRVYKGHLESKNMDVAIKQLDRNGFQGNREFLVEVLMLSLLHHPNLVNLVGYCTDAEHRILVYEYMANGSLEDHLLDPLLENQYPKKGLHQALAVAAMCLQDEDETRPYINDVVSALEFLCDSDSKEGVMSAASSMAEGRSMAEGRQ >KZN00258 pep chromosome:ASM162521v1:3:3484111:3489373:-1 gene:DCAR_009012 transcript:KZN00258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGVATAGLFSAIASAIGGVECVYADGLPFNFAPLSGSPVSNSQAPGASGGAGDKGKGVESEEKANRVRNDQPRTTSAGFDPEALERGAKALKEINKSSHAKQVFESMKKQEETRQKELIAKQAEYKALQAQAETERQKVIYDEQKKLAQQQAQIKSQMARYEDELARKRMQAENEHHRTRNQELVKMQEESSIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRSMAEAEGRAHEAKLAEDVNRRMLVERASAEREKWVAAINTTFDHIGGGLRAILTDQNKLVVTVGGVTALAAGIYTTREGAKVIWSYVDRILGQPSLVRESTIGKFPWSGSSRRFSTLLRGGNTGSTSKNGNGFGDVILHPSLQKRIQQLARATANTKAHQAPYRNMLFFGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGAQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERFKLLKLYLDKYIAQAGARKPGLFSNLFKKEQQKIEIKDLTDDILREAAARTDGFSGREIAKLMAGVQAAVYGSENCVLDPNLFREVIDYKVAEHQQRRNMAVKSAS >KZN02704 pep chromosome:ASM162521v1:3:36253938:36257348:1 gene:DCAR_011459 transcript:KZN02704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSWTLSSPPSLYQSSLPNKISLLPQKLPSVSFRSLPLRKNNLFIRSVSTQAPSTSGGIAPAISITDDALKHLNKMKAERKEDLCLRIGVKQGGCSGMSYTMEFENKENARSDDSVMEYNGFNIVCDPKSLLFIYGMQLDFSDALIGGGFSFKNPNATQTCGCGKSFAAEM >KZN01780 pep chromosome:ASM162521v1:3:25038043:25039145:-1 gene:DCAR_010534 transcript:KZN01780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIKLACPVTHVWPIAKKPTFLRLRGLFEYEIQSWKYSIPLFFTTQGFDTFRNREISTGAGAIREQLADLDLRIIIDSSLVEWKELG >KZN01928 pep chromosome:ASM162521v1:3:27072866:27078238:-1 gene:DCAR_010682 transcript:KZN01928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFADFRMEKTSEERGLDGMERVVLVAVKASREISRNSLVWALTHIVHPGDCVKLLVVVPVQTSSRKLWSFGRFGTDCAGSPWKSLSGTMDQKDDISVLCTEMMLQLRNIYDINKINIKVKVISGSSGGVVAAEAKIAPTHWVVLDKQLKKEAKYCKEQLACNLVVMKRSRAKVLRLNLVELSKVESNELSGSDSCTEHLNNKCSIWTTTRVPNVTPSSSPDHSSFSVTDTGTSSLSSLDRSSPPFVIADMNWDRKKDSFSYSEGQDSLEELDSDIESEKLSSPSTSVYFQPWTQDALSSSGEVLKCLAENSQISGDKAVGSMSAALQEKFSGLDKYHKVNVLSDRHNQYCSSNLRETISFRKNALAEPPPLCSICQHKAPVFGKPPKWFTYAELQYATDGFSEANFLAEGGFGSVYRGVLSEGQVVAVKQHKIASSQGDQEFCAEVEVLSCAQHRNVVLLIGFCVDDDRRLLVYEYICNGSLDHHLYGRNQDAIKWSARQRIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLAKWQPERDTGVETRVIGTFGYLAPEYAQTGEVTEKADVYSFGVVLVELITGRKAIDLNRPKGQQSLTEWARPLLAEKAVSELIDPRIRNCYAEQELLSMLHCASLCIRQDPHSRPCMSQVLQILERDNFMK >KZN01968 pep chromosome:ASM162521v1:3:27477151:27478252:1 gene:DCAR_010722 transcript:KZN01968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAKLIFVPAPVRGHLIAMVELAKVLIAGNESLSVTIFIMKFPYDTSVNSYSTVRYSENHIAALKHTIIAMAQPDRAVAALVPDVFCVSMIDMAKELNIPSYVYFTSGAGYPGILYKGFYEYAKTKGFEVGLPVGLDVLIDGTVPTGSGLSSSAAFVCSSTIAIMAAFDVSLAKVLYFL >KZN03196 pep chromosome:ASM162521v1:3:42358344:42358688:1 gene:DCAR_011952 transcript:KZN03196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLGNGNQLDGKVMQTFQKSFAQVQNILDQNRILINEINQNHESKVPDNLSRNVGLIRELNNNIRRVVDLYSDLSNNFSKSMEASSEGESSGALKSDGKAGHKRIRPG >KZN01318 pep chromosome:ASM162521v1:3:14394697:14411869:1 gene:DCAR_010072 transcript:KZN01318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATENPYKLLLEAASMIPKSHYVIAFSIFGVIYFYNYLEFHFLEDLRTGFRGSPVSLSYHSSSQLYHQVVSKCRILHGRYLATPWLSSPHLQTVLLELVKRPAVPRYRRKLFHASDGGTFALDWLLSADVSGSTMGKDNVISKEDTTPIAVIIPGLTSDSASVYLRHLAINTAKRGWNVVICNHRGLGGVSVTSDCFYNAGWTTDTRDVINYLHHEYPKAPLFAIGTSIGANILVKYLGEDGENVPVSGAAAICCPWDLLIGSRYIVRRLVQKVYDMALAIGLRGYAQTNQPHFSRLADWEGIEKSRSIRDFDNYATCLVGNFETVDTYYRLSSSAPFTRFVSVPLLCISSLDDPLCTAEAIPWDECRQVHILVNKNIVLATTKHGGHLAFFEGITASGLWWVRAVNEFLGVLHSSTFMHTQKKMQFSGRHSASESLIDQGPYVNLADGMVSAIGNEPDTKAYSATVLENKEDSINSDFKLLDASKSRRALEQHSQHNKKAI >KZN03580 pep chromosome:ASM162521v1:3:46000315:46004339:1 gene:DCAR_012336 transcript:KZN03580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESGARFEGIASTARKKRSQTSRRPKPESQPLAEGRNKSTLLAQQLPDDKAKISSDEKSGYGGSSKRKKVFNLNLCASRDSSVTKDEGEHAHKKLKKDSGSSTLHNNSGLKVDNEKGGGSNQNHHNGGLASSRNSGSLGDAKEGKLKKVKLKVGGVTRTIQTKPTSHATKPTSHATKPTSHSASGNGSSTKSAKTSTAPRPRPKLILQDDSDEDNSPPLDKKSGLQGTPCKDFSNGDNNHSKEEITSGQMSGRNGSRKQAERSDRVRKSRRMPKKRDLNGESDDEDDDEIRYLEKLRTSKVAAGYKDAGEESGIKQRSLSRDLRGGNVKDFGPSKLGKDGKKAKSERGSQDTDYEEEEEVMSEGEPEAKKKLAKDVTDSPAEGRKEIALTTRQRALLSGKDASSVSIEFPNGLPPPPPRSMLYEMAEAIRKILGQDSSRKKREDKIKKRQEELAEERAANARTLPPNTIRCVMGPTGTTVTFSEDMGLPCIFDTRTCSYPPPREKCAGPSCNNPYKYRDSATKAPLCSLQCYKAIHEKMDGGNSSGS >KZN00472 pep chromosome:ASM162521v1:3:5580948:5590820:-1 gene:DCAR_009226 transcript:KZN00472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATIKKHLASRFRHLLQEGSTYSIKNLQVVKATGDYRPLSSEFKCIFLATTSPKKLQEDTVQIPRHGFQFVMPDDIESRLNDPAILTDVVGLLSGIGEVDIVGNNWKKRDLHIITNHSVNATITLWGKHIEQFDPKIYKEEDSPHIIIVTSTTIKKFKANESNFLIFNSSVNATITLWGKHIEQFDPKIYKEEDSPHIIIVTSTTIKKFKGAVSFNSTNNSKIYMNLDIPYVMTLRERFARHSTKLKFIESSNSNKYTLEEKMFFHRMSIKELVDSKWSEDLKIRANVKDKLTCNDGMEPPRECGKRKASMIDDAEESGGNKVEGGVKPTVEHSVRVDSEPTQDKQALLAFISKLPHKNTIKWNESDSVCNWVGVICDDTQSYVSTLRLPGVGLVGSIPPNTLGNLTQLRVLSLRSNGLTGSLPPDFSNLKLLRSLYLQNNEFSSEFPPSLLSLTRLVRLDLSSNAFTGKIPFSVNNLTQLTGIFLENNNFSGMIPSINTPSIVNFNVSNNHLSGSIPKSLARFSAPSFAGNVDLCGGPLPACNPFFPSPTPSPNPPNSQSPSRKKSKKLSTGAIIGIALGAAALLILLLLCCIFCLIKRKNRTRSPKEPKPVAGTRAVPAGAEAGTSSSKDDVTGSGEGGGERNKLVFLSEGLYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVSKKEFETQMESLGKIKSDNVVPLRAFYFSKDEKLLVSDYMPAGSLSALLHGSRGSGRTPLDWDNRMRIATSAARGIAHLHVSAKVVHGNIKSSNVLLRQQDHHDAAVSDFGLSPLFGNSSQPNHRVAGYLVREEWTAEVFDVELMRYHNIEEEMVQLLQIAMACVATVPDQRPAMPEVVRMIEDMNRSETDDGGLRQSSDDPSKGSDGQTPPQESRTPPRSATP >KZN02261 pep chromosome:ASM162521v1:3:31409208:31412923:1 gene:DCAR_011015 transcript:KZN02261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDVETIEKRLKSFLEKLQVESSILDRIVYKNKNQHKHCLYFQYLVKIRSDLRLLHSAGLEEILSSLFEFIMERRSKQKLQLFKKLKRKKCDEKHNLLERLLGAARLLSQILFDIVIVFNEASCLSLKGQNMELAQDGLESLFEAMNDDSKAVLAAAEMVERAINAPVVAFQMLKLLHLYLRYDQKRALAFE >KZN02277 pep chromosome:ASM162521v1:3:31565778:31566875:1 gene:DCAR_011031 transcript:KZN02277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKGHLDSGEVVIKQLILTRQRRGYLSFHNEILRSKINYLHVLPLIGFCCDCDDVYRLYLVSKYMANNSLDYHLHNNNNSTPLTWNLRLQICIGVAKGLNYLHKGIANHIIIHGTLKPNKILLDENWIPNICGYGLWRLGSSVINTDNYLRSQELIPPFDFWETWAYLSPEQKAEERLTPKSDVYSLGIVLLNILFDWREIIMNLARLNNAEVSLSAWIKNNIRKKTLIFFMYPYLAGKVASECFVEILDIALHCLMQNKDDRPSMEDVMKRLESALEFQMNHTWNFFDDEVNQLECTLQIQLQTNYSWRLDVDGNDHIETSTGSLNDDENEDDDDRMNSWSSNHDNTQMSIPNFDFDSMEFAR >KZM99951 pep chromosome:ASM162521v1:3:380157:392100:1 gene:DCAR_008706 transcript:KZM99951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRISKWKPETAKVKVVFRLQFNATHIPASGWDKLFISFVPTESGKTSAKTSKANVRNGTCKWGDPIYETTRLIQDTKTKKYDEKLYKLVVSMGSSRASILGEATINLADYADASKPSSVAMPLHGCNSGAILHVTVQLLTSKTGFREFEQQREHRERGLQIGSDSNRIDDSGANSISSLDASDQMDKVHTRAKARPHSNEFPSQGEGLREESADSGVGYDGSSNTSGSLYAEKNDCSSMHEIDSLKSTVSGDIGGLSHHQSPKKEKSDSSDHQFLAQGRSDWVQRWGSDYSIDQSLAIAYEENSKLRGSLEVAESSVQELKMEVSSLRCYADALGNETQKFASSLVAEIASGEELAKEIMLLKSECSKCKIDVERLKSQKFSSPFSSKESSQFEQSDHLLQGKQHTWVKGLSLLEDKMKDVQRKAYLGFDERDFSFLHSDLQELFIILQDIKHGTGVASLVPSNHAAMDRIGSISPHNNKQFVSGTGFDVELYHPESVLQYVSLPNLVSQESESRVAADAVELKVLELVRELDETKIERESLARKMDEMECYYESLVQELEENQKQILGELQNLRSEHSICLYTISTNNAEMESIRKDMTEKIVRFSEERQELDSLNKELQRRVVASEASLKRTRLNYSIAVDQLQKDLELLSFQVLSMFETNENLMKQAFSETSQVCFDGYTDILQNVEESGVSKLSKCINQSPGVEKQFLGGDILVGDMKKSLILQEDIYQKVEEERCEIHSTNLYLDIFSQILKEMLFEANSQIRLMKGEMYGITQQLEEKSESEDILVGKLQMAMEEIHTLNEYKAISISKSSDMLLQNQITEAKLESLTMENCYLKEQLMECELLIKEYKTYQSKYVTCLAEKSELENLLKVEATENEKLQSDISSLNEQLKTLNDGYIESVISKENLHHNIMSLQDKLASLLASYELQSSGQSLSCNLSSQDSDLKDFYGIVMKLEEAQHNACKRIIQLTEEKRDLQDEKRMANMSVHTIRSEIVGMKQKFKHDIKDIEAKLDLSNTLVGKLQMKFESVANKFHSSAEAEKCNAQQNEELFADLAHVELQLQELASKHQEFGQEILGLGSTAEELERCKIIIAELTREKKELEMLLQAKIEESFKLASDLDSAKDSLRCVQDDLHIEKGIRHKLEGTVGEYDICKMTIAELVQERTDLTMLLESKTKESVNLAAELDNVKQSYKVLQDDLLVEQGFRDKLDSTMGDLERSKMTIVELMHEKQDLTMLLESQSKESVKLTCDLGNLKESLKSLEDDLIVERGFRDKLDSTITDLERSKMIIDELLQEKQDLTALLDCKTRESLKLASDLESMKESLRCLEDELRVEKDFRDKLEDTVAELETSRVIADELMEDKKGLVVLLEVETEKSLKQSSELNSLNEVVRCLKNELNVEKGFHVELEVALSELRSSKTTVLDLTQENQDLKLSLEEKIEDSVKLESHVASLNESLKCLQDSLLVEIGLKEKLECKVLQITSQLKEEQDKLCCIDSQDADRVDSRQLASELDINRSRNDISVQHKDCQEEPIEESSCPTGLSCQLTEIHEHVLEAEVQLTFVKTQYESLIEELVLQLKQSKGYHVELQNAHFDIESQLNRSLATETHQSNENAELMTAVHCLRSELEASVVENRVLSESISVLMPQLEEFKRKTVTLEAELDQDSRVHKEFNYKLEIAEEEICELIFCNAEQEIAIIVLKDKLDEQKGHIALMEKSSVESLKLQNQIDEVTYKLSEQILRTEEFKNLSVHLKELKDKAEAECLSAHEKKGPEGPSFAVQESLRIAFIKEQYETKLQELRQQLSISKKHGEEMLWKLQDVVNELDDRKKTEVSYLKRNEELSIKVLELEAELQSVFSDKREKTNAYDRIQTELDCAILNLECCKEEKEKLEASLRECVEEKTIIAAELALRREQLENSISSTDMQEEVAVGTVKSIFGNASNSKSNFVSSTTDILNGDSTLNLSSEYLDRKSSMDSEQILDTSLVPVEKAENLSSLINGQAIQVLESKGIHEIPEHGLLNEGNLSPRKSKDVAVNQNFRAETLRSSIDHLHEELERMKNENSHFSQANHDPYVQDLQRELMHLNKANQDLESMFPWFQNCLGSGNALERVLALEIELAEALRAKKTSSLHIQSSFLKQHSDEEAVLKSFKDINELIKEMLELKAKYASVETELKEMHDRYSDLSLQFAEVEGERQKLTMTLKNIRTPKKPGYLNRSSSETLWDQ >KZN01105 pep chromosome:ASM162521v1:3:11720038:11724062:-1 gene:DCAR_009859 transcript:KZN01105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNNNNSNNDISNDVSNDKSKPVGVEENEEIINSVVLGKTPSYSLESKLGDCYRAALIRREAVQRTTKRSLEGLPLDGFDYNSILGQCCEMPIGYVQIPVGIAGPLLVNGCEYTVPMATTEGCLVASTNRGCKSIYACGGATGILLRDGMTRAPVVRFPTAKRAADLKFYLEEPLNFDTLAVVFNKSSRFARLQRIQCSMAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQRDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIITGDVVKKVLKTTVPALVELNMLKNLAGSAVAGSLGGFNAHAANIVSAIFIATGQDPAQNIESSHCITMMEAVNDGQDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKDSPGSNSRLLSIIVAGSVLAGELSLMSAISAGQLVKSHMKYNRSNKDISTIACQN >KZM99920 pep chromosome:ASM162521v1:3:77226:77531:-1 gene:DCAR_008675 transcript:KZM99920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVEQVGCAKGETEKLSRGETRLDAAMSWTSNLVGKRVSLVVAAQADRKIELSFVALEADMGSFAALAFARHSHYSTPFLRAADTEFGRKKNGLFILSI >KZN00883 pep chromosome:ASM162521v1:3:9551139:9557702:1 gene:DCAR_009637 transcript:KZN00883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFDDDSNSQPIESNSTVFDASQYAFFGKDVVEEVELGGLEDDDENEFVPGLEFGSEAHQLIEEGSTLGSLPEVDDLAATFSELNKTVNAPRSAGGLGNWGSRGSSSAEWVQEAEPPNWYNQHEVDTESAQESKRWPSQLQSSYAPPEEPYLLHRTASYPEQQHQQQHIIQQHHFSSEPILVPKSSFTSYPPPGGRSLQPAPNQQPHYSNISHLPGGNQYPVNAPSHPPFSNSQMQYTGSPHGSPLGGGLSQFAPPGLPVNSRPPNQWINRTGIYSGDNISLSNNLLHQQLPNQNGLMPPQLLQQTQHQRMHHQFQPTFGHVSGLQPQLINRHLSPAQPLMNNFKMLGSADMRDQRPRLFPKGRQGIPYLNQGFDASSQWNDGGWPQYRAKYMTSDEIENIMRLQLAATHSNDPYVDDYYHQACLAKKTGGVKSRHHFCPSNLKDFASRARANSEPHAFLKVDALGRVSFSSIRRPRPLLEVDPSNSSGGEENEQKGLVKPLEQEPMLAARVTIEDGLSLLLDVDDIDRFLKFNELQDVLQLRSRREVLLEALASSLQLVDPLGKHGHTVNMAPEDDLVFLGIVSLPKGRKLLSRYLQLLFSSADLMRVVCMAIFRHLRFLFGALPSDPSTAETTIKLVKTVSSSVRGMDLRALGACLASVVCSAEHPPLRPLGSSAGDGASVILISALERATEILRDPHTAVNCSMPNRVFWQASFDVFFNLLTKYCISKYDTTVQSLLAQGLTDMSAIGSDATKAISREMPVELLRASLPHTNEQQRKVLLDFAQRSMPTL >KZN03954 pep chromosome:ASM162521v1:3:50162703:50164649:1 gene:DCAR_012710 transcript:KZN03954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTYCASLYQHCETRGRAVHIVNLDPEAENFDYQVAMDIKEIISLDEVMEERKLGPNGALIYCMEHLKESLDDRLKEELDNFTDDDYIVFDCPGQIELFTYVPVFKNFVEQLKRKNINVYVVCLLDSQFMTDVAKFMSGCMASLSAMVQLELPHVNILSKMDLVRN >KZN00676 pep chromosome:ASM162521v1:3:7505281:7507264:1 gene:DCAR_009430 transcript:KZN00676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAHLFYTSLLLSLVISAAAHGGGDEDDDASATPVDLRSKSLILVKIWCLIIVFFGTFVGGISPYFMKWNEGFLVLGTQFAGGVFLGTALMHFLSDANETFGDLSDKAYPFAFMLACVGYLFTMLADSVISYVYGKHKTTTDVELQGDQIEKVDGNGNVQPSQDQGHCSTNPFVDAPATTASSVGDSILLIFALCFHSVFEGIAIGIADTKADAWKALWTISLHKIFAAIAMGIALLRMIPDRPLLSCATYAFAFAISTPVGVAIGIVIDATTQGAVADWIFAISMGLACGVFVYVSINHLLTKGYAPLKSVKVDTPHHKFLAVTFGVGVIAVVMIWDT >KZN02941 pep chromosome:ASM162521v1:3:39367809:39372007:-1 gene:DCAR_011697 transcript:KZN02941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTKTNLSSLSYFFLSLLLHNITTVESIGVNYGTLGDDLPPPAQVAQFLKDKTNIDRIKIFDVNHDIIQAFANTNIFVTVTVPNGDIPSLTNIRTARRWVSTNIKPFYPATKFLYIAVGNEILHWGPQNLIDNLVPAMRTLHKALIMSGVKDVKVTTPHSLGILESSNPPSSGKFRPGWDVGVLKPMLEFLQETNSSFMVNPYPYFAWAPQQEDYCLFRQNPGMVDPVTKKLYTNMWDQLLDAVYMGMVRLGYGHVEIVAAETGWPSMGEPFLKQVNPTNAAAYNGGLIRHLDLGTPMMPKRIIETYIFDLFNENQKPGSIAERNFGLFRPDFSPVYDAGVLRTGPVPQPNPQPQPTPKPSTPKRSGANFCVAKPEASDAALQANIDYVCSNGADCKPIQAGGACFDPNNVRAHAQFIMNSYYQTNGRNAFSCDFSGTGVITTNDPSYGSCKYMS >KZN00413 pep chromosome:ASM162521v1:3:5120919:5121341:-1 gene:DCAR_009167 transcript:KZN00413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTSEHRREKVVIPAVSRDEEGRKRMEKIEVDSGNVETIRYVEKKLTDKGVHRMDRHPRDGLPIGRQPKGGHGGKYTWEGPLGLENEEDVESIPVALDKNDPNYVEEEEGEEVVGRVEVAKVAQGSEGVARLEVNLESG >KZN03825 pep chromosome:ASM162521v1:3:48625500:48626729:1 gene:DCAR_012581 transcript:KZN03825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPKAKIQPKAKMQPKAPGEWTTGLWGCFSDWGNCITTLFCPCITYGQIANIVQKGKTSVFTEARNYATIMYFTGGCCLYSCFSRSKLRHKYNLPQSPCSLPDCAVQFCCELCALCQEYRELQGRGFNMAIGFDLNPTDEDGMINAASINQVLTQINTLTNQDGTTNAAPASQVMTQADTTNNHQNDHHDDYQE >KZN02862 pep chromosome:ASM162521v1:3:38161653:38163177:-1 gene:DCAR_011618 transcript:KZN02862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVWNMLLCGSLLLALVILTLYILYTKLDFKFPLSVSCIHFICSAFGAYMVIKVLRLKPLVIVDPEDVWRRVFPMSFIFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFDWRIWASLIPIVGGILVTSVTELSFNVLGFCAALFGCIATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAIPALILEGAGVLEWITTCPTLLHSLIIIISSGIMAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVTFSWLIFRNPISLMNAIGCTITLVGCTFYGYVRQLISQAGSPRTPRSPQTRSDIIPLVKEKLDTKVASN >KZN00567 pep chromosome:ASM162521v1:3:6425763:6428927:1 gene:DCAR_009321 transcript:KZN00567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVCGKRSNFFEDTTPSSSSSPPGSKRICFTSSSNSPIRLSPSRANSVYSSSYSSGFANPFRSISVHLDNLAAIFPDMDKQLLERALEECGDDLDSAIKSLTELRLGSTVINSDAAPAQQANGQVQPPVGVTANGEDASSENPPTTQTPSMDGADWVELFVTEMVNASNIDDARNRASRALEFLEKSIRAQATAETAQGLQQENNVLKEQLHAMIQENNILKRAVSIQHERQKEFEDKNQELHNLKQMVSQYQEQLRTLEVNNYALTLHLKQAQQSNSLPGRFHPDVF >KZN01273 pep chromosome:ASM162521v1:3:14005053:14005400:1 gene:DCAR_010027 transcript:KZN01273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAASPPPDLQPSPQHLRGWASSLRSPSRAHRRPSLHPRPSTDGFSPSALLLYSACDSILSPETQLAPSTQAYTTLSDLSVRVLYVGKDERCRKRYCRSGRWETDLFCNVTEIN >KZN02988 pep chromosome:ASM162521v1:3:39896979:39897635:1 gene:DCAR_011744 transcript:KZN02988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEKNLDENTGRLLVRAKPMFLKRVVDNLSDVQRQWVVETGFEKVLVFNINEYPQPLSFLIAKSYKSTDSSISIGENIINFSENDVQIILGLPKGELMFEDSYNNEYKDVWRSQFKEYKGPHRITAKSLCDVMEPSKLVTLIFKLNFLIVLTNVLIQGSRTPYVCLKILSYSGNLDQCFKYNWYDYLLQCLDEKFDQWIISPSGQCFTGSVLLLDGC >KZN03522 pep chromosome:ASM162521v1:3:45381893:45383252:-1 gene:DCAR_012278 transcript:KZN03522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFNVARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNKLKYALTYREVQSILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSLRDEESKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLESNKIADFIKFDVGNVVMVTGGRNTGRVGILKNREKHKGSFETVHIQDSLGHEFATRLSNVFTIGKGSKPWVSLPKGKGIKLTIIEEARKRQAAQAAATV >KZN01952 pep chromosome:ASM162521v1:3:27362052:27362441:1 gene:DCAR_010706 transcript:KZN01952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLSKSIRRSVKRRGYTKVSDDENTERKNMKVVKFGANSNKRGWKVRVAKKLKLKIKLSPLKLWKKIKTGYVNMMLNLGNGGASSFGQKRIPQARQLQITYAPSEFENRLVLEIYKSLVASRELNTR >KZN00652 pep chromosome:ASM162521v1:3:7263777:7265696:1 gene:DCAR_009406 transcript:KZN00652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSPGLREATQAFVQGCCSNPSSNMPELSDTTTPKPIGTLAACRRNFIESTDSFFFPNAQFTNHESLPSLKDSFTQFKKAFPQFSETNKVDLIRATEYNHLSQSNHVCLDYLGIGLFSHSQTIPDCSSSSPPPQSSDFPFFRTLYKSVNLKTQLLHGDDGSELESAIKRRIMNFLNISENDYCMVFTTNRSSAFKLVAESYPFRASRKLLTVYDYESEAVEGMINTSEKRGAEVISAEFKWPRFRIHSEKLRKMLVGKKKKKKHRGLFVFPLQSRITGTTYSFQWMRTAQENGWHVLLDACALGPKDMNSFGLSLLHPDFLVCSFYKVYGENPTGFGCLFVKKSIIPILEDSTSTGMVNIVPSDMLFRSFASSPVTDTELEQASRMGRDEAGVMNHSSLLDNTSLQTKVPARIKAKKLFEIGSSKISGNANGKSKEVSKSVLLTVQEKPNLGENECLEIKCRGLDHVDSLGLVQISNRMRCLINWLISALIKLQYPHTENKISLVRIYGPKVKFDRGPALAFNVYDWKGEKVEPVLVQKLADRSNISLSNGFLHQIWFSDNYEEEKDRFLESRCEEKERGKHKKTCNGITVVTAAFGFLANFEDAYRLWSFIARFLDADFVEKERWRYTALNQKTVEV >KZN02050 pep chromosome:ASM162521v1:3:28873049:28873318:-1 gene:DCAR_010804 transcript:KZN02050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLQIKDCVDHWQIAGASNPGLYRPITEMSNTDIVPPEEKSRHRVWAGLKPRPEQFCAASNCGLERLSVCHIWLGGDIRFGHGILAGA >KZN02432 pep chromosome:ASM162521v1:3:33457067:33458143:1 gene:DCAR_011186 transcript:KZN02432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLKFIFLIFLSVLLISPASAIRSHPTKKSKKIYSNLDYIEAPAYQNGEKCEALDTKSSFGSTCDPSLVHVAMTLDSHYLRGSIAAIHSVLKHSSCPENVFFHFISLRSTVGLTRTVKSSFPSLHFKVYVFDEDHVKGLISSSIREALDQPLNYARIYIAEMLDLCVGRVIYLDSDVIVVDDIQKLWSVSLPGSRVIGAPEYCHADFRSYFSDEFWEDPEFSQAFEGKKACYFNTGVMVMDLDKWRRGDYSKRIEKWMEIQKERRIYNLGSLPPFLLVFGGDVQGIDHRWNQHGLGGNNVVNSCRSLHPGKVSLMHWSGKGKPWDRLDAGMACPVDHLWAPYDLYQHKPRTSSHDSL >KZN03540 pep chromosome:ASM162521v1:3:45612489:45615514:-1 gene:DCAR_012296 transcript:KZN03540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKAFENAIFYLNSFADKGGAQLFVPEGRWLTGSFMLISHLTLRLDKDAQILGSTKSDHWPVIDPLPSYGRGRELPGGRHRSLIFGRNLTDVIITGDNGTIDGQGSVWWNWFRTETLNYTRPHLVELIDSTDVIISNLTFLNSPFWNIHPVYCSKVIIQNLTIIAPLDSPNTDGIDPDSSDDVCIEDCYITTGDDLIAIKSGWDEYGISYAHPSTNIIIHRLVGQTTSSSGIAIGSEMSGGVSQVHIEDIHFFNSNRGISIKTSRGRGGYVRNILITGVILSNLKVAIKFNGNYGEHPDESYDPNALPIIEKISIQNVTGENIKVAGLLEGIEGDTFKQICLSDINLDVSSKSPWTCSNIEGFSDKVSPDICEPLKSKINPESKCYRLSNHLRSISQGTWMEFL >KZN03030 pep chromosome:ASM162521v1:3:40333369:40335632:1 gene:DCAR_011786 transcript:KZN03030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRFSMILIFLAAVLFPAPVESAVRHYKFNVVMTNVTKLCATKSIVTVNGKFPGPPIYAREGDTVIVKVINNVQYNVTIHWHGVRQLRTGWADGPGYITQCPIQTGQSYVYNFTLTGQRGTLLWHAHISWLRATLHGAIVILPKKKVPYPFPKPHKEKVIILGEWWKSDVETVINQAMQTGLPPNLSDAHTINGRPGPAPNCSLSSGYTLNVKSGKTYLLRIINAALNDDLFFKIANHNLTVVDVDACYTKPIKTDIIFIAPGQTTNALLTADQAPGKYSIAISPFMDTVVPVDNMISTGAVRYKGLPPFPKTTLTAMPPKNATPVVKSYLNSLRSLNSKQFPSKVPLDIDHSLFLTIGVGMNPCATCVNGTKSVGDINNITFVMPTTAILQAHYYGIGGVFTDDFPGNPPMPFNYTGSVPTNMQTMNGTKVYRVAYNSTVQVVLQGTSFIAPESHPTHLHGHNFYTVGSGSGNYDPEKDPKSFNLVDPVERNTMSVPTAGWIAIRFLADNPGVWFLHCHLEVHTSWGLKMAFLVDDGEGPTQSLPPPPSDLPKC >KZN02573 pep chromosome:ASM162521v1:3:34704703:34715352:1 gene:DCAR_011327 transcript:KZN02573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLLKKLHIRHNQSEELEGSTSSKSNKFSNVSSPERLLHSEHKPFSAISGWLNSVKNRRSPSPPRTSNAARGERIGQSDSASSSALEAELDAVLRDSGTSNLRDPDIDEDYQMELALELSAREDPEAVEIEAVKQISLVPSRLDNTGAEVVAYRYWNYSALSYDDTITDGFYDLYGISMESTSSKMPSLFDLQSKSSDDITWEAVLVDTVTDSDLKLLKQKASDIAARSGFPNFAGSVLVKQLAALVSDQMGGPVGDPDNMSMAWRRLSFNLRESLGSLVLPLGSVTVGLPRHRALLFKFLADSVGIPCRLVKGQQYTGSDDVAMNFVRVDDDREYIVDLMADPGTLIPSDIAGSHIEYEKSFFPTGLLAVNPTLIDSSSSGVNTLHREVSEKLTLNDGPRSSNISAAERKYKERNEHVSSVDMLSCSKAEEGDSESSATSPVKVKKDFAEFPSKPNSSHLLVKSPSWTEGVSSPAAQKMKVKDVSQYMIDAAEGNPELAQKLHAVLLESGVVAPPNLFTKINQEESNLATVEQKFPFECSDRSREGENIQETKSQEPQGDTNQSCILPFLPYHEAHSKGQQYRYSEEQPDSGEVQELFVSSQSDVAPSKLERNVPVASASSSAAAAVVASSILVAASRKSSDSKLELPVAAAATAKAAVLVATTAALSNQYENQDLHLQGSLAPFFDPLGREHRVGDAEAHADATDYEPQGCGYQVPNDVCGNLDAEKISDKSTMQESIGNAALLDEVSDCEILWEDITLGERIGLGSYGEVYRGDWHGTEVAVKKFIDQVITDESLKEFKSEVRIMKRARHPNVVLFMGAVTRAPNLSIVTEFLPRGSLYRLIHKPNNQLDVRRRMRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKYSTFLSSRSTAGTAEWMAPEVLRNEPSDEKSDVFSYGVILWELCTMRRPWGGLNPMQVVGAVGFQHRRLDIPEDTDPVIADIIIKCWQTDPRLRPSFAEIMAALKPLITSQVSRPPPTASSAQEQGQPSEALKEKGI >KZN03945 pep chromosome:ASM162521v1:3:50109133:50113443:1 gene:DCAR_012701 transcript:KZN03945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRRKPHKSGKKKGKVDEDIDGLIKSVSDNEIQNFRCEIPTDEELDSLNFPNIVVNPPTLKYLSRNLTTGEWATTWSTLLINKEEELGVGFSTLSEEEQNMHRHQMAEDFRKSTRSLNWKKYKYALEKIDALSWEGKWDDEWSEEDAGDAKSEEDSGGEEGKSEGVEAGGSVGGSKEEAISGVEIKSYREKMASASVPMNELETRGEGIEKLSKCLVGGDIIEKLNMDIRGAEEIGGMPHTPAVSHNMFSFPHYEDNDNVKEYTQPFSLLDWFAAHRTTSSRYLPNVSNKDKWSVENWNGDRLKRESLGIIQSVLSSVMDYHEKNGSNGNIKDHKNIILHQYVISFGGSERIQYNVKLPQPIIECDKSSDLLGLAEDMRQVKLIFEKVLEGRKISEEMDLLFSRLMDIYPFSSNHLWKNKLLRNWPGMWDGKARANFIVDFYYNWTSDSQKNMNLTGALRSVNYLIPLPDFEQVNWIKKIPNPSSFYQILTFSRKNSETGISKVTEAQLSPDVKSPANPPDPLAPQYVERKVEDILRYSRDLLLALGTKLEHIISELAHEVAEKHIAIEGELAVHPSDDHFWFHRPKIVLFLIHVILFQNAFEIAFIFWIWVQYDAVVGDVTITDNRSQYVEFTIPYTDIGVGTIARVKTNEDMWIFTKPIDVDLCLLTAVFSILTGIIIWAIEKPINEEFQGSPSEQIGTIFFSTLIFSSIQQIGLASKGANVGYHSGIVEEKYIVSNLNFKDYTFSPYSTAKENADALSKGSKNGGVYGIIDEIPYVKAFLSRYSPDYAMVDSASTTSGFGFVPELSRAIVKLREEGTLDTLEKKWYKKTSSLVNQDTPPKPEVLKVDRFGGLFLIGGVSLGFALLVRIFHIIRSKLNIYNYVCETLASGNLAIMLRHMISSSELSEMPV >KZN00590 pep chromosome:ASM162521v1:3:6690146:6692327:-1 gene:DCAR_009344 transcript:KZN00590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKFGKKKKHAGDISGSSKTRQNKVGESAQNVYDKDTAVFISMSQELKDEGNKCFQSRDYEGAMLKYEKALKLLPRNHINVSYLRSNLAACYMQMGLNEYPRAIHECNLALEVTPKYSKALLKRARCYEAMNRLELALRDISTVLKMEPNNLMALEIADRVKRSIGIKGPKGNEPEIDSVQIPEYLEPTYTVPTKKKSNRVKEKRAGDNTQENTTMEENEEDEVREKAIENVEEGSPEDKLIVEDHIDNVMEEEPKRTLKLVFGEDIRWAQAPIGCSILKLREIIRDRFPGLNAVLVKYRDQEGDLVTLTTNEELKLAEAAAEQHSSLRLYIVEVNPEQDPFFEVVKREERGHGRSSCIDDWIVQFAQLFKNHIGVNSDAYLDLHKLGMKFYTEAMEEAITSEEAQDIFNTASDKFQEMAALALFNWGNVHMSRARKRVYLTEDSGKSVLTQVEDAFDWARKEYLKAGERYQEALKIKQDFYEGVVALGQQQFELAKLTWYHAIATNVNLEMWPSSDMIQLYNCAEDNMDKGMQMWEDAEAQRQNEISDANKVKALLKKLGSHGLIKDITVDEAKEQAANMRSQINMLWGTILYERSIMEYKLGLPVWHESLEAGVEKFELAGASQTDLAVMIKNHSSNGTSSEGLGFNIDEIVQAWNEMYEAKKWQPSVPSFRLEPLLRRRASEFYQVLEHS >KZN00150 pep chromosome:ASM162521v1:3:2511067:2512950:-1 gene:DCAR_008904 transcript:KZN00150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCQRGVEVVVKTTEVVAPFSPLTVQRLPMLNLDLLVPLCDNGILFLYDKHQSMMISLVKKGLSQGGPEILCNNRGVDFVQAIADVNLQHLDLYKLDVAVYPKFFPVKTRGVLSVQVTEMRCGGLVIGCTFDHRVADGHSVSNFIAAWANMTRSNHCGDNKMASSLPSPEYLSSFLLPRKPIRPDLAMHNKYVLFEAASSDSPQAPPLVHLQSRIYKISACQIELLQSLAGPGRTKFESFSAFDASAGELKSMPLSETADKVRKCVESASNDFRGLVDSVESHRPCRAMYEIFSFHPSETEDVAVVISSGQRFPISKLNFGWGCPSFVSFLSPWSSTTGLVMPMRSAGNNGDWIVSMHLFEKHLDFLEKEAPHIFKPFNFSPLKKFREASKL >KZN00531 pep chromosome:ASM162521v1:3:6150426:6152311:1 gene:DCAR_009285 transcript:KZN00531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSKKKVQLWKKAVFHFLLCFVMGFFTGIAPMGKSSMHVFSNRGMTPEVSPEPVEMSVQKLNESSFAQTSVEVALPMHFDQEVAEEDQEKTESDTSPERLIIIVTPTSAKDQLRELLLSKLANTLKLVPPPLLWIVVEQQSESSQVSEVLRKTGVMYRHLVFAENFNNLEAEMDYQKNVALNHMEHHKLSGIVHFAGLSNVYDLSFFSEIRKIEAGNTYISIFGLELTKNKVNSIKFVEKEVLEGETDLKGIPAEGCSRIMLWNLHVPIKPMGD >KZN03186 pep chromosome:ASM162521v1:3:42214234:42215027:-1 gene:DCAR_011942 transcript:KZN03186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGHILPIISKNFCSSSQVILRVRKRPHVVTGGGFVVTDWSQKVIFRIDGCGVLGRKDELLVSDGNGNALLLIRRKGGLVEAISIYRQWRGYSVEFQGSDKPLFRVKQPHSCIVNQNLMKISIDENEYQNRRRDFEIKGYFPDRACSIVDSKGNVIAEVGPEEITARNDMYRVVVNPGIDQAFVIGVIAVLDYIYDGSTRC >KZN02831 pep chromosome:ASM162521v1:3:37876035:37884245:-1 gene:DCAR_011587 transcript:KZN02831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIRALFCRCRDGDGDGDHRCRNSLPCLLDPAQRSTMYLKVALVMLHLIFIGVLFLFYGNQTTIHPWYVGMYLLLFVATIAQYFFTAGSSPGYVLDTMRTINETNALARTSLVSKQPATSKNGNIVITVDHREAGRNLLGSNELSWTKLVMDLYPPGAPCRWVDVIMIVLLAILLILLIFLLLLLFFHSYLIMTNQTTFELVRRRRIAYLRGIPGRVYPFSKGICKNLYNFCFSRSTLYGMERLPTAQELEEKSRPYTCLNILSCRCC >KZN01699 pep chromosome:ASM162521v1:3:23252176:23258720:1 gene:DCAR_010453 transcript:KZN01699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKRLYILGLEIDEYNNILHKCNLARLLSLAESYSTTVYEATFRPVKAKAINSVRVAPNRGARGGDGQMSDLIWYSWLGGIIMGTMIGSNMAFDEVSPAGPRNVVITGSTRGIGKALAREFLLSGDRVVVASRSSHESVEKTIRELEDSLEESRETADDFSRRSLENAEVMGVACDVSDPKDVKRLADFAVNEFGSIDIWINNAGTNKGFRPLLLFSDEDIQQIGEGKNKMLNCELLETVARTLVPHMRAVKGNGKAINYLTPPRILLALLTAWLCRGRWSDEEENAVYASETDRLRNWAESQTRLLITDAMESDTRVSVFSMIDLYWTVIPVFLLHYYATHPLAQFDSLRSWAVIVLTWVWSLRLTHSYLRREKWQLGAREDWRFSDMRRQYGHSWWWISFFSVYVTQQVFLIGICLPLYVVHSVNRPFNIWDVLAIFVCLLGVAIAYIADTQLYNFVTANNKLKELGKPTVPNLDKGLWRYSRHPNYFGEQLWWWGLGIFAWNLGQSWTFIGALVNSMCLAYVTVLVEKRMLKQEFRAEAYRQYQKTTSVWIPWFKSYPQEKKNA >KZN01339 pep chromosome:ASM162521v1:3:14627237:14634766:-1 gene:DCAR_010093 transcript:KZN01339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGSCGTQLLQKRGYIRPRYSSTDFTNNLSCGYGSSLLAVTEGCQLSLWDLRVKENNGCVHRITGSVGDTLYAVSNSSNGNIAVGGADRTVTIYDPRRLTAISRWVHCSKYEITGLAFSCADSNYIFIQGVDYEVICGQWEESMKAYSFKGDSNWLGFSKCANRDILGGWCDSGSIFVADFV >KZN01116 pep chromosome:ASM162521v1:3:11901467:11902045:1 gene:DCAR_009870 transcript:KZN01116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSNQKKLIKIITMPIRVLKKGKDMYIKSMMGVAQKPRYGSTNMKGSLKKVQPVSSGLPKSLSASAASSRSSTLETDQDLRDLTRANSTSGIGSLDVDLYMKQLIKEEQMKKLKELMERNNFSKSKSLRGGMARSCSVGMGRIDEDKAYEFEEEEEVEELGSQFNGRTKYEGMYSRSTSNGFRKTTSVPVF >KZN01474 pep chromosome:ASM162521v1:3:16689824:16690092:1 gene:DCAR_010228 transcript:KZN01474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENLAEFSRWRSGSWKSLEGGGTLWSLVESSGTFKKLNSLPVVEEPIKG >KZN01254 pep chromosome:ASM162521v1:3:13725574:13728782:-1 gene:DCAR_010008 transcript:KZN01254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVTFRHPFRYKHQKELFVAAEGMYTGQFIFCGKKANLMVGNVLPLRSIPEGAVVCNVEHHVGDRGTLARASGDYAIVISHNPDNGTSRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGKKVGLIAARRTGRLRGQAAATASKAD >KZN03714 pep chromosome:ASM162521v1:3:47254099:47255740:-1 gene:DCAR_012470 transcript:KZN03714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFFKQFGNIKRLRIARNRKTGKSKNFGFIQFESPEVAKIVAETMHNYLLFEHLLQVQLIPPERVHPKVWKGVSRWYTAPDWVQIERSRHDKERTLEGQRKLLERIMKTDQKRRKRIEDAGIDYKCPEIVGSNQPLPKKIKFAD >KZN02142 pep chromosome:ASM162521v1:3:30188028:30190811:1 gene:DCAR_010896 transcript:KZN02142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP707b-3 description:abscisic acid 8'-hydroxylase 4-like MEGAYIFQCIFFILLAIFSYFTFKHNNKASKIKSKLPPGSLGWPYIGETLKLFSQNPSVFFDSRQQRHGEIFKTHILGYPCVMLASPEAARFVLVTHADLFKPSYPRSKEMLIGPSAIFFHQGDYHSRIRKLVQNSLSLTVIRSLIPAIESIALSTLESWCNGTIISTFQQMKKYTFDVAVLSVFGELDSKYKDRLKYNYHIVDKGYNSFPINLPGNLFRKALLARRRLEGMIHDKLIETREKKWEQINLLNCLLNYKDENGKFLTDDQIVDNIIGVLFAAQDTTASVLTWIIKYLGDHPDILAAVESEHKALYVSSGGTQTSLTWADTRNMPYTQRVILESMRMASIVAFTYREAAVDVEYDGFLIPKGWKVLPLFRNIHHNPEFFPQPKEFDPSRFEGVLKPNTYMPFGNGTHACPGNEVAKLEMLILIYHLVKNFRWELASPKNGVEYAPFLIPEEGLCAKFWKKSDAQGPAPSLHITQS >KZN03759 pep chromosome:ASM162521v1:3:47853761:47857473:1 gene:DCAR_012515 transcript:KZN03759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNAGDAGAGDDFLEQILGFPVYAGADTNLAGNEVPMMLQLNSGDRGGGNIPGGDVGGGGFEFPLGLSLEQGKGSGEYFKMDHEEVEASGSGKRFRVDEVVVDSRGSHANRTGFQGQPIHNAVPAMSHPPAVRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLFADTPISSLEEEGTEGGGNQPAWEKWSNDGTEQQVAKLMDENVGAAMQFLQSKALCIMPISLATAIYHTQPPDSTTSHVKPETNPQL >KZN00578 pep chromosome:ASM162521v1:3:6588191:6594853:-1 gene:DCAR_009332 transcript:KZN00578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMLNERKMEFSLLQVLIIFCGFLSFVECRAAGLTSSFVRSEWPSVDIPLDNEVFALPKGHNAPQQASIYVHITQGDYDGKAVIISWVTPNEPGTSEVRYGTSEKNYDLVAEGKFKKYTFYNYTSGYIHQCLVDNLEYDTKYYYEIVSGQSARQFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTVEHYMQSGGQAILFVGDLSYADRYEYHDVGVRWDSWGRFVERIAAYQPWMWSAGNHEIEYMPYMGEVTPFKSYLHRYPTPYLASKSTSPLWYAIRRASAHVIVLSSYSPFVKYTPQYKWLAEEFERVDRDKTPWLIVLMHVPIYNSNEAHFMEGESMRTVYESWFIKYKVDMVFAGHVHAYERSYRISNVLYNVSEGSPYPIPDKAAPVYITVGDGGNQEGLASRFREPQPDYSAFRESSYGHSTLEIKNRTHALYHWNRNDEGKKVETDAFMIHNQYWGSNGRRRKLKKKYLYRITKSKQLNYGI >KZN00992 pep chromosome:ASM162521v1:3:10574636:10574944:-1 gene:DCAR_009746 transcript:KZN00992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKSGIFVAAAASVTAISSAVYHNPNSQIHFSSPQDDVSGRNGGNSSKGGAAFAPRFDGLRFIETLITGHR >KZN01158 pep chromosome:ASM162521v1:3:12350188:12351646:1 gene:DCAR_009912 transcript:KZN01158 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MGSTNLEPHVAVLVFPFATHAGLLFGLVQRLAKAAPNVKFTFFNTAKSNHSLFTNISSVASNVIPYDVYDGVEEGYVFTGKPQEDINLFLAVAADEFRRGLEKAVVDSGRQITCLVADAFLWFSCDLAQEIGVPWVPLWTSGACSLSTHIYTDLIRQTIGFDGIEGRMDEKLNFIPGYSNLRLGDLPGGVVFGNLESPFSVMLHKMGQILPRADVLAMNSFEELDPGLMKDLSSKFKKILNVGPFNLTSPPASQYSDEYGCLPWLDKRNPKSVAYIGFGTVAMLPPNEIAELAEALESSGTPFVWSLKDHSKKHLPEGFLERTRESGKIVAWAPQVQVLSHNAVGIVITHGGWNSVLESIAAGVPLICRPFFGDHAINTWMVENVWKIGVRISGGVFTKKGTADALEQVLLRQKGKELNQQITLLKDLAFKAVGPNGSSSLNFTELVKVITV >KZN01358 pep chromosome:ASM162521v1:3:14854242:14857613:1 gene:DCAR_010112 transcript:KZN01358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNEKIEILKSEFQKLSKDGQAWVQHLSVEMNKQEWFQKLPPFIQNIPPLQLYVALVVLFLTMFFFFIVRLFNRKTSNTILLTGLSGSGKTVLFYQLRDGSAHQGTVTSMEPNEGSFVLHSETTKKGKVKPVHLVDVPGHSRLQTKLDDFLPQAAGVVFVVDAVEFLPKSRAVSEYLYDILTKASVVKKNIPVLILCNKVDKVTAHTKEFIRKQLEKEIDKLRASRNVVSEADVSNEFTLGIPGETFAFSHCRNKVTVAEASGGTGEITQLELFIREHVKP >KZN02552 pep chromosome:ASM162521v1:3:34384643:34389207:-1 gene:DCAR_011306 transcript:KZN02552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNMNSAESIVAQIQALSTTPRDISQLHTFLKQSDDLIRSESTRLASSLTQLDPSIHSLGFLYILDACTSGPAAKEQASEHVLTIARFVNACSTEQIRLAPEKFVSICKRLKDEVMMLAAPIRGIAPMLTAIRKLQSSTEHLTTLHPDFLLLCLSAKCYKKGLSILEDDIYEVDQPRDLLLYGYYGGMICIGQKRFRKALELLHNVVTAPLSNMSAITIEAYKKYILVSLIHLGQFNATVPKYASTVAQRNLKNFTQPYLELAVSYGTGKVTELETCIRQHREKFQNDNNFGLVRQVVSSIYKRNIQRLTQTYLTLSLEGIANSVQLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKSCAMIERIDSSIERMMTLSKKLTAADELMSCDPAYLSRVGKERAPRLDFDDYDPVPQKFTM >KZN03306 pep chromosome:ASM162521v1:3:43423212:43426854:1 gene:DCAR_012062 transcript:KZN03306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNVVHQSSPVQQLMAAGGPNWWNMNTMRPQNSPAPPQHSSVLALPPPNQVQQFPLSSMGGIMSDEDKSALSHMQKLDILEEQILHQEDSNGSNFADHVKQENLTNHYHHVYGTTPTTNAETQQVNISKPSWSHVMSVSSPTSCVTTLSSSNMLDFSNIKDSRHPPPDRSSECNSTGNGGAAKRARLQPSSSTQSSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLSEALGYIRFLQSQIEALSLPYLGSASAANNSREQHSAESVEEVKDLKSKGLCLVPISCTVQVGSDNGADYWAPASF >KZN01804 pep chromosome:ASM162521v1:3:25382051:25386084:1 gene:DCAR_010558 transcript:KZN01804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSVDDDSPDWLRSFQTPTQSAFALPSPSQLSLSDSDHIQNGKDDDDDDDDDDELPLQERYASVDKKPTLDKKRKRVTRTPVTGEKAGGTASKQKTSDKSAKIDEQSNSVWMLLSDSESCPEASPVRKNNDTHSKKLSKQETPQYSDNIKGDVTVLEETLKETSKQKSPIKKKKEDQSSTKKKKLEDHVKGENYDGMDVPEEVVSAKPAGPYALVECEDESIDLSGDVGAVGRVVITDNEDMLLDLKGKHFFLILCVTVLYGSGTIYKTTILPSRTFCVVSFGQSEAKIEAIMNDFIQLTPQSNVYEAETMVEGTLEGFSFGSEDEAEDMPKATANQNDQNEGAEERQNAKDNGKAEKKTALGRKKGKSAVGKPPKKPKKKVQAMKKTKAKK >KZN03696 pep chromosome:ASM162521v1:3:47004135:47004629:-1 gene:DCAR_012452 transcript:KZN03696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHTTPVFGLSPIVVAIIAIICAAGVLLAYHCVTARWWNEVEPDERQQLPQLPVTYAGEGQSSFEDSIAELIPSYKYTPEISSVSKTQDGGTCSVCLSEFMEGELVRVLPECLHAFHVSCIDMWLQSHASCPLCRAGTPTPPRRHALSGGSTPLRLSSPMYGV >KZN00537 pep chromosome:ASM162521v1:3:6198412:6203447:-1 gene:DCAR_009291 transcript:KZN00537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALGNINKDNEDVDSSSSSSPPCVVVIPQVEECSEISEETIASQPLELQNLAVEIPIRVLQNFSEQSVNINIPMTPSTTPKRVNFPRLPSPSYAEFNGSSSPSSSQDSDIEKAAVLALVGSPSSQNKSFFPSTGSLTRLFTPRMKKMSSLPVTPTAHSNPESTHGGYTSDVQNADKGWDHFVIHRSHSVPELNKDGSLRQLESLGGDADTLKMECSCKGELALAHHECAIKWFSIKGNKTCEVCKQDVQNLPVALLRIQHTRSNILLGNGARNAEAAGYRIWQDVPVLVIVSMLAYFCFLEQLMLSDMGSHAITLSLPFSCILGLLASMTSTTMVRRTYTWMYALVQFGLVVLFGHVFFSVLRVQVVLSIFLATFAGFGGAMCGASFIIEVLKLHRRWVLLRNQQHDSDEAREVQQSSGSVHAPHSNPI >KZN02514 pep chromosome:ASM162521v1:3:34147528:34150229:-1 gene:DCAR_011268 transcript:KZN02514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMILGVLVLSFTVLFSVLVFIVSSPESKFKRKEEQVVGLPDGSMGWPFIGETFSFLAPHKSNSVGNFLHQHFSRYGKVFKSNLFGVATVVSGDFELNDFVLQNEDKLFQSFYPKSVKDILGQNCMMLVPGDLHKKLRNVALTFINSSKTSPDFLLYVHNLTISFMDSWQTSRHISFANEAKKFTMHIMLKNMLNIEPGDPRATQMLEDHIVYMKGLVSIPINLPGSPYNKALKARTRIQSTIKGIIEEREKNEHLRVDGDFLDQTLSKEECMLTNEEKISLVMDLLLAGYETTAGLLALLLYYLCQSQEVLQQLRQEHLAIMRTKRPGESLTWNDIQQMEFTHNVIYEALRCGNLVKFVHRKALEDVKYKGYYIPAGWQVLPVFTAVHLDPAIHQNPAKFDPLRWNDRSTRKIVNPFGGGMRLCPGTDLAKLEATIFLHQLVLNYRWKMMEEDYPVSYPYLGFKNGLKLELQPLKEPARAATA >KZN01874 pep chromosome:ASM162521v1:3:26456004:26457095:-1 gene:DCAR_010628 transcript:KZN01874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHSMLCPIKFTEHRNITKKLTNPLIKKAKKFTEKRIVRISVTDPDATDSSSDEEDELFGRQRIKRYINEISIEAGCKNNVVSLPNANAKKKKKDMRVNSKAKPMKPAQAAPPANGNVRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFVTPALKEKPEVNIGSVSGYESGEESNNNLCSPTSVLRFRSQSNEEAEECHSANEPTSHEPADLMDPIFEPVEPVYESAEPFYHEPVCHEPVHPFVQECEDETFINSMFDFQSPAPLSFDDDLTFSDGFSNIPFDDNSIFGNDWLNNGEEMSSQWVNDIGSPALFQVDDYFQDINGDFFATDVLMAS >KZN01315 pep chromosome:ASM162521v1:3:14376775:14384792:1 gene:DCAR_010069 transcript:KZN01315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKRPQQFTHGNHSPSGASNVLSTTQPLPVQENTDSAFSSSARQSVDNKLREPFSFPNVNAISHGMNVDDYNDGIPTISSTLSSNSGSTISKPLTGAKVSEVGGILGRAGTAGLGKAVEVLDTLGSSMTNLNISSGFVSGVAAKGKELSILSFEVANTVVKGAKLMQSLSEESIRYLKEVVLPAEGVQRLISKDTEELLRIAASDKRDELKIFSKEVVRFGNNCKDPQWHNLDRYLERPGSELTPRKQLKEEADIVMQRMLALVQYTAELYHELHALDRFEQEYRHKLEEENSSSASQRGDSLAILKTELKSQKKHVRSLKKKSLWSKILEEVMEKLVDIVHFLNTEIHNVFGSADGDRPAKNNHQKLGSAGLALHYANIITQIDTMVARPSFIPPNTKDALYHGLPPNVKSALRSKLQSFHPKEELTVPLIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANARSEVAKTPGQSEFIRIETLHHADKEKTESYILELIVWLHHLVNQSRVDNGGLRSPVISPIWSPNQRTIHMTHIPSPQSPTLTAEDQEMLQDVTKRKLTPGISKSQEFDTAKITLSKHLRLSKSSSHPPTFKSHKDPCSTKRSLHAPVINFDTDKIKALDVIDRVDSIGNYESGG >KZN00687 pep chromosome:ASM162521v1:3:7629125:7631565:-1 gene:DCAR_009441 transcript:KZN00687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNHNASVLTESASLNNSRLGLHSALLPYSPPDAVFSSSLFLTIPRKKTGLLDDVLSTCWLDAMKSSSPTHKKLKDFSTEPTDTEIAHRNWMLKYPSALASFEKITSYAKGKRVVLFLDYDGTLSPIVDNPDRAFMSNNMRLAVKNVARYFPTAIISGRSRDKVLEFVGLTELYYAGSHGMDIMGPVRPNTDGHTNCIRSTDNQGKEVNLFQPASEFLPMIDEIYRSLVDIAKEIEGATVENNKFCISVHYRNVDEKSWTTIAESVHDIMKNYPRLRLTHGRKVLEVRPVLNWDKGKAVEFLLESLGLSNSDNVLPIYVGDDRTDEDAFKVLREGNRGYGILVSSAPKESNAFYSLRDPSEVLEFLRSLVIWKKASA >KZN01635 pep chromosome:ASM162521v1:3:22116299:22120116:-1 gene:DCAR_010389 transcript:KZN01635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQGGGKLAQGVGTLARGAGRLKGTVIDKYYDPDTHTFDISGHVLGVTLKDVLYLTGLPIQGKPLIYEKSLDEDAFMRVFGEEFKDRKMLTFDEVKNIARDGRRLFNVRKIAVLLIMCEYFICPTNNHHSVISQKVHLVENVDEIDSYALGAALLSFLYHGLKYKKRKKKHIDGNLWIVLGFLLVRIPKIQDMLGINFKNYPPDVIEGAPLLPWIVGEIKRKTRNHWATHDMPAIASFSDEDIIWTPYKQMACPRPGDLKSVRNLVPLIGYNCVHHHMPHNCSDQFPVLQDYNFRSLTWKPCEIPPFKKLGGGHNIDYKDLYEEQIAEWNAGKPAEAYMTLLQQKHNLYDDELVLRTKFIKASEDTSDIRRSRSITKLSITQLSLCKWKLFLANKKLRQDDIFGIENVNAGGGSMRRPSPSPPPLNAANDGVGENDPRSGRNEERSSMHRPSPSPPPLNAANDGVGENDPRSGRNEERSSMRRPSPSPPPVTLSDADRANDNSFEIENDNSQLGDRANDGGGENDADRANDNSFEIENDNSQLGDRVDDGGSEKGKQEDPGSDPRMPIGRQIYWRKSKGQRLTSYYGLTTVLKVLKVQNGEKLKGNLKRKKGKE >KZN01977 pep chromosome:ASM162521v1:3:27654815:27656057:-1 gene:DCAR_010731 transcript:KZN01977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLCINSPFTLNSPISYCRSPVKIHQFQPLFRYTNNSDSRNSNTLISIRCSSAKPTEALRTCKNCKAQFDPSLNDPRSCRYHTAHFGVICDAALDHFRCPTSTGETKRKFESVHSGGTMSTPDAGKISQYWHCCGSEDPFDPGCTAAPHASYDD >KZN03098 pep chromosome:ASM162521v1:3:41269067:41272846:-1 gene:DCAR_011854 transcript:KZN03098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCMHECSLHSQRHGVRQMRTAWADGPEFITQCPIRPGQSYTYRFTVQGQEGTLWWHAHSSWLRATVYGALIIHPRIGDSYPFPKPKRETPILMGEWWDANPIDVIREATRTGAAPNVSDAYTINGQPGDLYKCSSKDTVIVPVSSGETSLLRVINSALNQQLFFTVANHKLTIVGADASYVKPFTTKVLMLGPGQTTDVLIKADQPPARYYMAARAYASAQGAPFDNTTTTAILEYKTPTCTSKNCGTTTPVMPPLPAFNDTATATAFTTSFKSPKQVPVPTKIDENLFITVGLGLNKCPPGARARNCQGPNGTRFSASMNNNTFSLPSNTSILKAYYDKIPGVFTTDFPKVPPVKFDYTGNVSRSLWQPSPGTKVYKLKYGSTVQVVLQGTSIFTAENHPIHLHGYDFYIVAEGFGNFNPKTDTSKFNLVDPPLRNTASVPVKGWAVIRFVADNPGVWIMHCHLDVHIGWGLAMTFVVENGVGESQSLEKPPPDFPKC >KZN02963 pep chromosome:ASM162521v1:3:39609135:39609802:1 gene:DCAR_011719 transcript:KZN02963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFVCWKQHLHSKYSIIYLLWYPVAVSGYQQQTSNAGACAGIITMSATYPLDMVPLIKPSVYSWNSWRLKHVIW >KZN01048 pep chromosome:ASM162521v1:3:11130944:11134024:1 gene:DCAR_009802 transcript:KZN01048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGLEEELSKKTSISGMKLWAVIGICFGVFIVLALCSLYMWLTFRRKSKRNLNNYTFSQIPYVAKDIGVDRSGGNNRSDHPESFSMTGNDKSSDKNSEKMLVQLGAIKSGDTDNISQCSSVYHHERTYSSHSVEDGSSGNVQKQSSYRIGMASPLIGLPEMSHLGWGHWFTLRDLQLATSHFSAENVIGEGGYGVVYRGVLINGSEVAVKKLLNNMGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGVHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKASNILIDHEFNSKVSDFGLAKLLESGESHITTRVMGTFGYVAPEYANTGMLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLVEWLKTMVGNRKTEEVVDPDLETKPSTRALKRALLVALRCVDPDSVKRPKMSQVVRMLEADDFPYREDRRNRKTRTTSMEIESMKESDSVDMDSQTRESETRRPETLQE >KZN01701 pep chromosome:ASM162521v1:3:23292037:23298722:-1 gene:DCAR_010455 transcript:KZN01701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRVKEYLPIVGLADFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHEHTVYIPQPTWGNHPKIFTLAGLSVKTYRYYNPETRGLDFEVQLSAPPSYFNSEPKSIPTTLKHSSDPDSTMPNNTSPSSTPSSPLTSVTKILCMIGMLEDLGSAPLGAIVLLHACAHNPTGVDPTIEQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDADAQSVRIFVADGGECLAAQSYAKNMGLYGERVGALSIVCKTADVASKVESQLKLVIRPMYSSPPLHGASIVAAILKDGDLYNEWTLELKAMADRIISMRQELFNALQAKGKATPLAFLVKTVYVLFWFQYAVETPGDWSHIVKQIGMFTFTGLNSEQVTFMTNEYHIYLTSDGRISMAGLSSRTVPHLADAIHAAVTGKA >KZN02299 pep chromosome:ASM162521v1:3:31817003:31821066:1 gene:DCAR_011053 transcript:KZN02299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVQDQLEIKFRLIDGTDIGPKSFPVGASVANLKESILAQWPKERENGPRTVKDVKLISAGRILENNRTVGECRSPLGDIPGGVTTMHVVVQPPAPEKEKKAASDPKQNKCVCVIL >KZN02237 pep chromosome:ASM162521v1:3:31207383:31208456:1 gene:DCAR_010991 transcript:KZN02237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAISMSSTPPPRRSPRLRDLTDQDDPNLQSINNDFASSTPPPRRSPRLQQPTEQEDTTLENSDDDFEATIPRFFRKPNNKIKSTANRPPDTNDVGPDDDSFSLKDLYEEANARIEEEVPIKEANDNSNEEEAAIEEEEPIKPKLTKWKRKTCVSI >KZN02032 pep chromosome:ASM162521v1:3:28615131:28617486:-1 gene:DCAR_010786 transcript:KZN02032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSRRKSSACSIGETRMEKSMGELDFICRTTDSTNLLGILDIPASIAVSVAKFMTGVVGLHLPNGDVWRVRYNREFRRIEGLQAVMKQYNVSCYHMLSFKYYGGTEFGLQIFNQYAIETHYSTPPENVDKDVVALNDSSSHFDTMLELSEYEKDKLHACWFLNAYTTFTAEYIFVITDEHLQPTEWTLFNGYFTAAGNLLYGLKNLMTTYGVKEEYVMFFEFVGISFFYVTIYNEEGEEIFNKLAEKLMLRTLVEGIKVPENIVHEPDVTARADNEDFHARERKRKRREAVDLTSFLVTLLKSHVDQHGHGMYLPRYLIPIFRSWNKSTEIKLVMKGKTWTVAVLRRNKTCRFGVGWNLFTLQNKLRVGEKLILSTWKKTPLK >KZN03730 pep chromosome:ASM162521v1:3:47497839:47499652:1 gene:DCAR_012486 transcript:KZN03730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSGSFDETVRVWDAKTGKVVHVIQAHSMPVTSVGYNRDGSLIVSGSHDGSCKIWDNESGKCVKVLIDDKTPAVSFAKFSPNGKFILVATLDDTLKLWNHQTGKFLKIYTGHLNRTYCITPTFSVTNGTCIVSGSEDKCVYIWDLQGKSVIQKLEGHTDTVVSVSCHPTENMIASAGLDLDRTIRIWVQD >KZN02576 pep chromosome:ASM162521v1:3:34729694:34732233:1 gene:DCAR_011330 transcript:KZN02576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSVSNAVVTILNVLAMFFSLAVIGYSLWLYTHDSSLCQRVLRKPLMFIGLALLVISLMGIVASYCRVPVVMYLYLIFMYLVILLLIVFTVFVIVVTNRGVGREISRKGFREFRLGDYSNWLQNHVFDGKHWGSVRSCLVDAHVCSMLSGSHNNAVEFYERRILELQSGCCKPPVYCGFKYHNATFWTVPKNGPAHQDIDCKKWSNNQNVLCFNCNSCKAGVLNNIKGQWRAFAIINCCFILLVILVYSLGCCALQSNNATSPDAANLHYTVDSSSTTLHSGLCLKMILHTETLIARLGAIIRMCCALTAIANSCKAGVLNIIKGQWRTIAIVNGCLILLIVGIYSLDYCALNSNNATRFKRLSGQGNSLAISCVNS >KZN00634 pep chromosome:ASM162521v1:3:7082656:7084141:-1 gene:DCAR_009388 transcript:KZN00634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDRRHAGFLIRMPMYNGSEGKARLCRILGDEAGGIVLERVWFPLIVAKPKKGLTVAVLGIRAVGLAVIAEMTNGVDRSIVWLRCCGASGSST >KZN01259 pep chromosome:ASM162521v1:3:13778858:13779357:1 gene:DCAR_010013 transcript:KZN01259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKSLAGSGGAKKASTSRSSKAGLQFPVGRIARFLKAGKYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRVVPRHIQLAVRNDEELSKLLGAVTIANGGVMPNIHANLLPRKASSAASKGGDED >KZN03258 pep chromosome:ASM162521v1:3:42953535:42954092:-1 gene:DCAR_012014 transcript:KZN03258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMRVSFVITVFALFLALVLPSISAQAPAPAPTSDGSALDQGVAYVLMLLALAVTYIIH >KZN03090 pep chromosome:ASM162521v1:3:41154120:41168741:-1 gene:DCAR_011846 transcript:KZN03090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPFRAYINRQTLITLKTIYTIIHTSIASIFFPTKMSTPTPTPPPFDPSRPSSPISYPIKTLEELESRSYFDSFHYPFNKSWGCTQGVRSNAAEKSLPMRPRLLVCHDMAGGYGDDKWVQGGDNPDAYAIWHWYLMDVFVYFSHSLVTLPPPCWVNAAHLHGVKVLGTFITEWDEGKAITRKLLETKESAHMYAERLTELAVSLGFDGWLINMEVEVDIEQIPNLKEFVSHLTYAMHSSKDGSLVIWYDSVTVFGKLEWQDHLNDSNKPFFDACDGIFVNYTWKANYPKLSADVAGSRQFDVYMGIDVFGRGTFGGGQWTTNVALDVIKKDNVSAAIFAPGWVLETNQPPDFQTAQNRWWGLVENSWGVSQKYPKSLPFYSNFDQGHGYHIFMDGRKISTKPWNNISCQSFQPLLDCLEDQRPNAIQVSIDFNEASYIGGGNITLKGSLDGDTYFTTRIFQGELLFGNLPVLFTFSVKSDVSSMVGLSLKFSSQALEKTSILLASRGDSVLNTKQFSSKFNRVIMPHQVKRLDATPGWVIQESRLAMEGYTLTGIHIICYRSKHISVNHEIVTQSPSIYNAVLGHIDIRTSATNSDFPPDASWLVEGQFIKWTSGSEASKTVSVKIIWKLKDGTASWFPSYNIYVEKQGIVRNSNALLEERLEYLGLARVEAFYISDLMVPSGISRLNFIIQVCSLDGTLQKLDCSPSFQLNVEGKQLQSPMAELCLCLKPALVVVHTTWTPSNAGHRFAGCAKGQCQYFRWVDPPLCDRALLIIPGLISKIDHLEVKKQLETINLKKNEEYFKCSTTMKMLLVTWMLIVSYIFWNKVHEI >KZN02903 pep chromosome:ASM162521v1:3:38810435:38812122:1 gene:DCAR_011659 transcript:KZN02903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTATHSTDFQEQRMKSIKDFDETKAGVKGLSDSGITTIPSFFIHPAENLAKSSSKSTLSIPVIDLSQLHSDDHKPKIVEQIHQAAKTWGFFQVINHGSPVSVLEETITAVKTFHEQPSEVKAKFYRREEGRGVMYASNNDLYRSKAASWHDSLQVWMAPEATPEEEIPEVCRREVVQWDKHAKEVGEAVLELLGLGLGLISEQRSEVKAKFYRREEGRGVMYASNNDLYRSKAASWHDSLQVWMAPEATPENEIPEACRREVVQWDMHAKKVGEAVLELLGLGLGLESGKFKDLDFCGTRVFVGHIYPYCPQPDLTMGITSHTDPTMITVLLQNQIQGLQVKHGEEWVDVKPLHGGLIINIGDMLQIVSNGEYKSVEHRVLANSNRESRISIVMFMNLSNSAWKESAEGGKYYGPLPELLTPENPPVYRDFTLQEHLDSFYTKGLESKSLIDKITLIKRDN >KZN01771 pep chromosome:ASM162521v1:3:24887377:24888120:1 gene:DCAR_010525 transcript:KZN01771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWPFKINLTGYCSQLLPYHVVADYEAEEDDRILDSDTTGQMLSRSQQWDHNIAAKVSEFVGTFEKQVYAFNIITRKRALGEFRSEERLMLEQILLQEERRALVEARAELDSRQKAGREAHEASLRMAAMAHAEQARAESQAHAEMMARGPIRPNALGSQGSNIQIGHSMADQEQEDNPEETINGWANNAQRDEKEPSEDFLNDEETENGDGGMGEFDLNTR >KZN01004 pep chromosome:ASM162521v1:3:10694399:10695224:-1 gene:DCAR_009758 transcript:KZN01004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVNVKEDIPDPNVKENNSDLYEFSDGPALESVQVIGLFCRTHQNDSRSELLRRVAAGGGAFKRPGWDKSSSCRSKPKRHSFDYVL >KZN02993 pep chromosome:ASM162521v1:3:39968308:39973562:1 gene:DCAR_011749 transcript:KZN02993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLSKFFRGSGTSRLGGERPQFLGEESMVWPAPVRSVSDRSRSEREKEELDRAIALSLAEDLKRPNGNRWRTKTDEDLARALQNNLNSAYPPYAPSLPREYQPRTYRVCGGCNRDIGYGNYLGCMGTFFHPECFCCRACGSPITEHEFSLSGKDAYHKSCFKELTHPKCEIPTNGAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWNARYISLGDGRSLCLECMESAVMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVERQALNEAIGFHHMPETRGLCLSEEQTVTSILKRPRIGGHRLVGMRTQPQKLTRRCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPAIEEGICQVLSYMWLESEVMPGFRNTASTSAASTSAPSSSSSSSSSKKGGRSPVENKIGEFFMHQIAHDTSPAYGEGFRAANKAVNTYGLRRTLDHIHMTGTLPV >KZN01516 pep chromosome:ASM162521v1:3:17979456:17979941:-1 gene:DCAR_010273 transcript:KZN01516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYCSRDIDWVSGKMLLGSGIGFLYHKASACEDCTLQSDAQDWSGARAAPLMSPRQGETSRCSPGRARKMSKYEVSVLIDPCKESGRPSMGLIIHRVAVHRVRRCPVRLIGSIVRCVRGIDNMIRSDKANRGLPSQERCLKDQRGFKESCLENGEDTLKL >KZN01626 pep chromosome:ASM162521v1:3:22047535:22048183:1 gene:DCAR_010380 transcript:KZN01626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHILMSALGVVIGVRIVLASGHIVSKWTGGANSASNAVTPQAMEEEMLNLIVYCRDSKVTFDKFPYYLRISNLQSTHGTLLQQVELSCSQDQQNFTNKCLQRR >KZN02144 pep chromosome:ASM162521v1:3:30195963:30198416:1 gene:DCAR_010898 transcript:KZN02144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKARLLFDDMSERDLVSWNALISGYSLHGFCDEAFEVFKKIGLMGLKPNVSTLASVLPVCSRLGNFFVGKTIHGLALKCGYLMDENLVPALISVYGDGGNVTIARDIFDCLSQKNVTIWNSMISAYTKNQKSEDAFVLFRKMLQDDVQPNVVTFVSIVPACKSFGSICGEALHACVLVRGYENEISVATVLISMCAKLGNVDSAEFLSNQIPQRSVITWNSIISGYTHNGYWREGLATFNEMLLEGSDPDAVSIVTVLSSCSKLGAILLGKSAHAFGLKRWVNLDLNVSNALLAFYSECHDMISAVKLFHKMPITNAISWNTLISGFVHHGEYQSAISLHHQMHQKDMDMDLVTLISILPCFHEVRDLVQGQAIHGCAIKRGFTSDVTLANALISMYVNCKELVAGKLVFENLDIRNVISWNALITGYRHHNMQNEVMHLFDQMISEDQRPNHVTLLTVLPVCYTQMQGKLLHAYAVRAGFLSETPFLTALMLMYTRFGDIKSCCLLFQMGEKSNISLWNTLISAHLESNNADVAVSIFSELCKTDIEPDYVTILSLISACIQLNDSHLTNNTMAYVIQKGFDKDVAVGNALIDVHAKSGQITLARMIFDNMYQKDAISWSVMINGYSLHGDSETALALLSQMRCEGFIPDDITYVSILSACSHTGSVKQGQIAFNSMVEDGIVPRMEHYACMMDLLGRTGNLDEALKILKSYSYRPSANILESLLGSCLVHGNVEVGEEIGGLILEMKPETSGPYVVLYNLYAAAGRWTDANRVRSIMERKQVPKVPGVSLLGESGASLQKSLGDSKRSMFMYLS >KZN03591 pep chromosome:ASM162521v1:3:46078132:46084370:-1 gene:DCAR_012347 transcript:KZN03591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKELLRQMRVKNTINEAEFNSDENLFFDLFIKANYGNDAPDCEVLDMEKNWINGEPERVLHHQGHEEHALTLMKKASLFECDACHEEAKDSSYVCTTCDFCIHKTCAFSPLIIPSPTYHHHPLTLVYSVPDIHLCFKQYCGICRQSVYRSCWVYYCHKCTYFVHMKCSTSTIFMVNGNESDDIDNEPDLVLFPLPSQESIFDLIVTQCCKSQLNFKGEGEISITMSVKSDDPHIIEKHWSHQMHPLQLLQFTICENDSGDSDDDDKRELICNGCIQPITVSHPSYYACIQCGFFLHSFCATMLPQELPVGASHFHPDHSLVLQMKDNLYDVVRCGVCNILTNGFYYHCQDYDICVDIRCAFLPTRIKYKSHKHHSLVQRPSSNSTCSVTTNQNDVGVEYACETCSTFQIHIFCAILPSKMEHKYDDHPLTLRVPPFFYEGAFYCEICEERVNNQELLYHCSESEHSYHYYCGFLLNNVKLGGTIKFIIADKPHTLALVLKSPTRKTSSFTCSLCFGFMNTLSLFFECDGCGLLACLRCALSGQLQQIALK >KZN03687 pep chromosome:ASM162521v1:3:46923682:46924867:1 gene:DCAR_012443 transcript:KZN03687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEEGSLTISGLHLSLLPFTKISFFTNSKEVQRSATALGYVAHAVSLVATYLEVPLRYPIFVGGSRSCIRDYAPSINPAAASASSVPLSLNLKPAEFPLFLDGQDTTRAAYAIFLLNKDLEQLLNFIGAQSLGPRHVLANLRELFRIILSPEYIDT >KZN00455 pep chromosome:ASM162521v1:3:5418490:5419866:-1 gene:DCAR_009209 transcript:KZN00455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKKANIISISLVILLILVIAIARVSLKLSNSFYLIAGADIAAILAIIAFIFIRKRLNHRRELVENRLVSEGRELRIEYSFLRKVAGVPTKFRYNELEEATDGFQSLVGRGASASVFKGILSDGTAVAVKRMEGNERGEKDFRSEVAAIASVQHVNLVHLLGYCTVQTGPRFLVYEFIPKGSLDKWIFPQQGSGSCRSYDQLGGCLSWDLRYGVALDVAKALSYLHHDCRSRILHLDVKPENILLDDCFRAFVSDFGLSKLMGKNESRIITTIRGTKGYLAPEWLLEFGVSEKSDIYSYGMVLLEIIGGRRNICRIEEPDHDNSKRTFQYFPKIVTQKLKEGKILEVVDKRLIEKGEIIDERQVRRLVCIALWCIQEKARLRPSMTEVLELLEGRKDIEDPPETQMLVVDLLSIDEEPIRGHQRARIAELASPQVSSKRFSSSRYSFSSCVSMISGR >KZN02397 pep chromosome:ASM162521v1:3:33046367:33048829:-1 gene:DCAR_011151 transcript:KZN02397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKKTSCGQRRSKEKTDEEKYFRVQVLYDTCTEVFENSGPGIVPSPVNVQRLKDVMNDMTGADVGLRPGMQIFKPQRKEGLPSMSYIPVYNCNKFSIGIFCLPPSAVIQLHNHPGMTVFCKLLFGTMYVNSFDWVNADPPNEDGVFSTAPLRTANGEIPAGALRLAKTKADNEVSAPCNTSVLYPADGGNLHSFRALKPCAFLDVLAPPYNDSEGRHCTFYRKYNFSSLPEAGTISVPEEHRQEYAWLQETEKVEGSLIYGKEYTGPKIVTE >KZN02483 pep chromosome:ASM162521v1:3:33828291:33833382:1 gene:DCAR_011237 transcript:KZN02483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASELGFPVRHKKLSVDITLSCRACTSPELGARARVSHVNQVIATQIGSLGTIINARKEEGVLTNPTFHVSVIFGKRDEPVLVACARQLIEHISNSGSSRPLILSLGLKDHSLDKYLTSELSDGAQIQNLFVYYILVVLILFI >KZN01113 pep chromosome:ASM162521v1:3:11881720:11882229:1 gene:DCAR_009867 transcript:KZN01113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNKVHSKGQQVQVPFAWENKPGFRKEDTSPRTRNVVTDGGDYDDKEKCGGKTKLPPPPYLQSESGRASPVKLQNIPLPPCAYPSPIWTSGSKRDAYKEDDDPFLIAYRKCTTGATTRRLLKKKMSSVSTLSCKHSCSVSDDSIVRISQLSIPRPTKEIIGSLFKFDD >KZN00529 pep chromosome:ASM162521v1:3:6128582:6137069:-1 gene:DCAR_009283 transcript:KZN00529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETLASHKRDPIKSSVGHVAAQRRKQHAVSIGKERREALVRTKRLCRVGVSGDSDVPIESDMMIEEDQSILEAQTSAAVEELKLSVAYQGKGAIHKKVAALRELRHLLSRSEFPPIEVAISSGAISLLVQCLSFGSLDEQLLEAAWCLTNIAAGRPEETKALLPALPLLVAHLGEKSSLPVAEQCAWALGNVAGEGVELRNVLLSQGALPPLARMMLPDKGSAVRTAAWALSNLIKGPESKAATELIRISGVLDAILRHLKKSDEELATEVAWVVVYLSALSGEATSILVKSDVLQLLTERLTTSNSLQLLIPVLRSLGNLVAGDAHTTNVVLVPGHEITGKFLNNVVAALIRCLKSEHRVLKKEASWVLSNIAAGSIEHKQLIFSSEAVSSLLHLFTTAPFDIRKEVAYVLGNLCVAPTAVSGRPYLIPDHLVSLVGRGCLRGFIDLVRSADIEAARLGLQFIELVLRGMPNDQGPKLVEREDGIDAMERFQFHENEDLRNMANELVDKYFGEDYGIDE >KZN01001 pep chromosome:ASM162521v1:3:10648946:10653331:-1 gene:DCAR_009755 transcript:KZN01001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEERPIPFRAACETRPSSYKDGATSKNGRPKLTITEDVLERRRLSKRRQNARWAIQQGLNAKLACSPGVCKRHRLCNTKWCFGCVLSGNATSPGHSSSQLNAADGGEASNAGSGKTFTMQPLPLKAFEDILRLMHKNDQNQAYQLKLCIREDGKQQVPIVGFQEFGVLHVNTVKDLIERGNSTRSTGTTGANKEFSQSHDEYANYCHLIVQGYKDQVIIGVGFYDHAILQLAIKKLLRKWNQSLLVLLASMYTDVPLLMIARCQGSRRKNLKKSESTLFLGFGLVEHLYAAQVLVKVDISSERMEGADINKSLCALNECIRPLDSDQGHIPFRGSKLAEVLRDSFFSDSHTVMISCISPNSGSCERTLNTLRYADRVKSLRMGTSFRKDTLSSSLNIKSSTALPLSSLSTTAPAYSDKPIDVRSNRFRCSKQTEMEPSESFTHERAPNGRVQSSSTSQAFPDKYKGRPESPDHTVDDYFDHYEETYEQNEQFQTRNASETMPGNRQYLRLRTDIQTKKEENDPVNAHRKLIEENMDIADIASLFALAELE >KZN03678 pep chromosome:ASM162521v1:3:46826226:46828243:1 gene:DCAR_012434 transcript:KZN03678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEKDSDLNTSSFDDNNTELTHDNEVDDAQSCCSDSSEIYNNDRSQSLKENLNSKKSDQQRMHDSTFCLKITRKILGFTRSQSEVSHGSAEGPEDKHDANAHNGEGPRRYPEDKHDMKAHNGEPRRMPSSYGLQVGVAAL >KZN03892 pep chromosome:ASM162521v1:3:49678957:49680305:1 gene:DCAR_012648 transcript:KZN03892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHENVHAVINGGLIISQPKKLCLADYESLHDDVTNPIEIVDPVIKSLLSDAKLVGSVNGLVCFCLRNYDVILMNPSTRKWITLPVEPHELRRKFFNHDFGVLKGGFGYDPVSDDYKFVKLRMSDNPFGKIIVTVYSARTNSWKHIRNARLSRNVYLKDEWGMFAGGALYWKARDFGKKSERGKGSEIIVGFDLGLGQFRAVASDSNCWSLRDFGGYLYTLDNSKSRVDVFQRNYHSAENPWSTAFSIMGRNVFGVNGVRPLMYSESLGEVLILVDCKRPVWYHLESERVKKVRLNRIRRLITSDAEFYTESLFQFPKDREPQNLLHEIRRASSVVIFVESGQPPEPIGISGAVETGYKLQKAIT >KZN02732 pep chromosome:ASM162521v1:3:36666897:36667949:1 gene:DCAR_011487 transcript:KZN02732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHLTLISCITLFLLLLIVPSNAGNPTRKKLPSKYENLEIKEPLMVLSNSKNPESLHVPSNSENLATKERQPFGFLNHLKGGKKGENLAGIRELKKYLNKFGYLNYKSINAHDNDHFDDMLEAAVKTYQANYNLKITGILDSETISKMVMPRCGFPDIINGTNSMTKKHQGHQHHSPNKLHIVAHYSYYGGSYKWPAGKTHLYYWFETHITSLSVKRAVRGAVNRWASYTQHFSFEETLDSQSSDLTVTFYRGDHGDGSAFDGPGGVIAHAFFPTDGRLHFDADEPWSIGAIPNYVDLESVALHQIGHLLGLGHSTVPDAIMYPGISYGVVKTNFHPDDRQGIKALYNF >KZN00310 pep chromosome:ASM162521v1:3:4106400:4113160:1 gene:DCAR_009064 transcript:KZN00310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAQHHHQLQLFNHLFSPQSQKPIKLPLKPICAKPNTDEAFKPKKQVSVDYDKGTRQVSVHLSGLRKEHLPKYQRLRVDGDKFQKDWAISELVVKIMRMKHWEDIEGLLNRWAGRFARKNFPVLIREMTVRGSIEHSLQVFSWMKQQKNYCARNDIYNMMIRLHARHNRTDQARGLFFEMQEWRCKPDAETYNALISAHARAGQWRWAMNIMDDMLRAAIPPSRATYNNLINACGSSGNWKEALNVCKKMTDNGVGPDLVTHNIVLSAYKSGGEYAKALSYFELMKGTKIRPDTTTLNIVIHCLVKNRQYMKAIDIFTSMRDKRAECDPDVVTFTTMIHLYSVSGQVEDSRAVFDTMLAEGLKPNIVSYNTLLGAYALRGMSKEALLVFNEIKKNGLRPDIVSYTSLLNAYGRSQQPGKAAEVFEAMKKNNWKPNLVSYNALLDAYGSSGHLAEAVDLMHAMEQDGVYPNIVSISTLLAACGRCCQKVKIDSILSAAESRGIELNTVAYNSAIGSYMSVGEFDKALKLYSSMRKKVKCDSITYNLLISGCNKMSRYHEALKFLDEMGQLAEAESLFCMMKEAGYSPDNIAFTAMLHAYSAAEDGDKAFALFQEMEMADVQLDSIACSSLMRAFNQGNQPARVLSVAEFMKKRRISFSDAVFSEMASACSILGDWRTTTLLISMMEPLINGLSVGLLNKVLYSIGKSGKIEIMMKLYYKIVASGTEISFSTYDILLKNLLAFGNWRKYIEVLQWMEDSGTKPSAAMFRNILSFAHKSVGTEYAVVIQKRIAPKAHSLAITIAFWSSRSSTASKFMVMNLAQQTDIYLNLHEVSKCLLLYRLKKLKDGQLCK >KZN03334 pep chromosome:ASM162521v1:3:43680972:43684482:1 gene:DCAR_012090 transcript:KZN03334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMAAASGFFSVASTAIDSGGKDTGKHGGLPASVDARGIGTKSVSSRGLVKTNAQAPSKVNGTRVGVMDGLKTDDEVSSSPPPRTFINQLPDWSMLLVAITTIFLAAEKQLMMIDWKPKRADMLTDPFGLGSIVQNGMVFRQNFSIRSYEIGADRTASETALNHVKTAGLLGDGFGSTPEMCKRNLIWVVTKMQVMVDRYPTWGDVVQVDTWVAPSGKNGMRRDWLLRDYNTGETLTRASSCWVMMNKKTRKLSKLPDEVRAEIGHFFVDTPPIVDEDSKRLPKLTDSNADYIRTGLTPKWSDLDINQHVNNVKYVGWILESAPQPVVESHELASMTLEYRRECMRDSVLDSLTSVLGKGYEDLTTFGQVECQHLLRLEDGGDIVKGRTEWRPKRSYGVKSFDQLPAESA >KZN00446 pep chromosome:ASM162521v1:3:5339815:5343781:-1 gene:DCAR_009200 transcript:KZN00446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKINDESRQQVVDAKCRTCYLYWPLLIVHITLNPDKWVGFKLQEKAKVSHNTQLFRFSFDPSAKLGLDVASCLITRYPLPPDTEGKTKYVIRPYTPISDPDAKGHFDLLIKIYPGGKMSQHFASLKPGDIVEVKGPVEKLRYTPNMKKHIGMIAGGSGITPMLQIIDAILKNPEDKTKISLIYANVSPDDILLKKKLDVLADSHPNLKIYYTVDKPSENWTGGKGYITKDMAVKGLPGPSEDSLILVCGPPGLMNHISGDKAKDRSQGELTGILKELGYTENMVYKF >KZN01362 pep chromosome:ASM162521v1:3:14902227:14904588:1 gene:DCAR_010116 transcript:KZN01362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSCSLLVYFNKRTSALFNEIKEALEGHDVEAKVDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKTDAKGRVLPEMILICQKLRNNLQHPNDYIRGVTLRFLCRLNEAEIIEPLIHSVLVNLEHRHQFIRRNAILAVMAIYKLPQGEQLLVDAPEMIEKVLSTEQDLSAKRNAFLMLFNCAQDRAINYLLTHVDKVSDWGELLQMVVLELIREVCRKNKGEKGRYIKIIISLLNVPSAAVKYECAGTLVSLSSAPTAIRAAATTYCQLLLSQSENNVKLIVLDRLNELKSSPRESMVELVMDVLRALSIPNPDIRRKTLDIEALEGHDVEAKVDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKTDAKGRVLPEMILICQKLRNNLQHPNDYIRGVTLRFLCRLNEAEIIEPLIHSVLVNLEHRHQFIRRNAILAVMAIYKLPQGEQLLVDAPEMIEKVLSTEQDLSAKRNAFLMLFNCAQDRAINYLLTHVDKVSDWGELLQMVVLELIREVCRKNKGEKGRYIKIIISLLNVPSAAVKYECAGTLVSLSSAPTAIRAAATTYCQLLLSQSENNVKLIVLDRLNELKSSPRESMVELVMDVLRALSIPNPDIRRKTLDIVLELITPRNINEVVLTLKKEVVKTQGGDLEKNGESRQMFIQAIHYCAIKIPEVASTVVHLLMDFLGDNVVSAMDVAVCLREIIETNPKLRSPIVKRLFKLSKPTQNCFC >KZN01924 pep chromosome:ASM162521v1:3:27053356:27054909:1 gene:DCAR_010678 transcript:KZN01924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTAANKLFKSQKKTNAFELCVVEGGSRIGGRIKSCHLGGDRIELGATWIHGIENSPVYKIAQEMNALNSDQPWERMDGFVGDSITIAEGGFELHPSLVHRVSRLFKSLMAFVRGKNDECDEFSVVNCGLDCQSVGCFLRKGLEEFLGSVKNGDEDVTGYGNWTETMLIESIFTKYENMLRGYYSADDLKSIDFEAEKEFSMFPGEEITIARGYFSIIESLASVLPHDVIQLGRKVERIEWEPSSFVGTSRPVKLHFSDGSVLEADHVIVTVSLGVLKAGILDDPGMFSPPLPDNKTKAISKLGYGVVDKLFLQLDPSHDQQTDQISRFPFLGMVFHDLGSEMKDAEIPWWIRKTSSLCPIYSKSSVLLSWFAGKEALALESLGDEEILNKVSKTISSFLSKSGKPCSGNSSSLDKYTGREFKFTNLLKSQWGTDPLFLGSYSYVAVGSSGDDIEKLASPLPNNSSAPPLQILFAGEATHRTHYSTTHGAYLSGIREADRLLQHYGYTSAPHTSG >KZN02204 pep chromosome:ASM162521v1:3:30845262:30845444:1 gene:DCAR_010958 transcript:KZN02204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCSWESLSLNHITCHECHCASLPACSLLGASNELIELPYVELHELYSPTSIFGSSLKL >KZN01632 pep chromosome:ASM162521v1:3:22102423:22106278:1 gene:DCAR_010386 transcript:KZN01632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKRRVSPIESNESNTEKKAGLELKSNESKRKRVWSWRVESVGDNFTTPPMSRKRKSRYIGPTRHEWTAEQEELVIVSFISSLNSDMGRPDYLKKMTWSEIKQRAIELYRVKFGQEPYVTANKIRNKVDWLRDAYDQKDTSAFLDRLVSDHGSVDLEWLRDIPLDKAKQLAFCWELVLLDHEAWLGKYLREHCRDSEGEDRNFVSVSKASIEEGAPDYHRIVKRPMDISTIKEKVRNLEYKSRKDFRHDMWQIAYNTHLYNDKRNPEYTSLIINAYVGILESGSSTTSDTTYWTGELPDQLFRKLVTVWPWLFVYFCFYRKEIMPQAQQLVLFGLCINYVSS >KZN02367 pep chromosome:ASM162521v1:3:32625636:32630235:1 gene:DCAR_011121 transcript:KZN02367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESDQVKKAEESKTRGLVDIVFSWSFHDALNKNIYMGKVNKIPTTFFSVEEYKKSFMNPLIEETHADLLSNIMKVNHAPLFEIVDINMSEGFKPPRDLFYDIVLRKIGRKNENEENDELQMFDLIALTDIRPRYVADLNRPNWPYTIASVEGKSTTVNKVKIYEILSSKPIMLENLGKGGSKRVNLFSVHLTNLNTNIRIWKALNWRAANINIVRNLLQSDSTAGLSCSKCDVQEINSSISTKYGETIGSFKLDDSQEAAVVSCVATSRCFHHHSVKLLWGPPGTGKTKTVASLLFMLLRMKCRTLTCAPTNIAVVGVANRLMSLVREASVYGTYGLGDIVLFGNVVKMKIDDHKDLRDIFLTNRVLCYRIFLSPFTGWRSRVESIICLLEDPKKQYRMHLNGLDKEVAFLPPHKRLSDIWEKESDDDDSTGMGGELSESILTYEEFLNEKFSSVGKSLMACIENMYTHLPSSFISVEVAKKMIRVVELLQTIESLMRSGSPPFEGLDGFEDAGEMERRLLYGPVITCIELLKELRATVSVPNLKNKTQIRNFCLQNATLIFCTASSSIKLHSYAKPPMEMLVIDEAAQLKECESTIPLQLTGLRHAVLIGDEKQLPAMVQSKISAKADFGRSLYERLVHVGHKKHLLKVQYRMHPSISLFPNKQFYDNMILDGFNVKKKTHEKHLLEGKMFGTFSFINVPYGNEEFDNNHSLRNMAEVCVIAEIVARLFEESMLRKQRVSVGCISPYKAQVYAIQDKLGTKYRAGSNNSFSVSVSSVDGFQGSEADVIIISTVRSNANGTVGFLSNLQRANVALTRARHCLWILGNGSTLVNSGSVWKKLVIDAKSRACFYNVQEDKKLALALAGCLIEIGQLDSLVARSLLFPEGKWKVFLGEVFLKSMSTIKNEKVCSQVLSLLMRIANGLRMPREDQTISVMHVDVTLFQLSKNVARRILHLIWIVDVIKEDGNWVQVVKVLDVLPEYKIPELKQNLKILFEKYTTELKNRCNHRCIEGDTVLPMTWRMQSNVATKAVTYSPDQSQSIASQLAALSLGNNTDKATQSNRDAFLPKTWPMQSNVATKAETRSPDQSESIASQVAGLRLGNETEKATQRGEEQDALYCKFVSGGFL >KZN00009 pep chromosome:ASM162521v1:3:1024690:1029260:1 gene:DCAR_008763 transcript:KZN00009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTANYYIPDELWEQILLRQPVETLIRWKCVCKSWNRIISDKSFVKAYGLHQKTSENTRKYLLLDYDYSYYSAGLIDRKSYNVLGYYNDICCRDRSRYGLEVYGICDGLLCLAGRFYDICNDFPIFLYNPFIRKGKKLVPPRRAANYLCPVSLCFGFHDDDYKLVRVQSFWNLCEICIYSLNTDSWKSVKIEVNIEDEGNFRCIGILPYPKARLVNGVAYFIQHDIQGDRIISYDLDNEKTRKMQLPTDIGSVAHVIMEEYGESIALIGSTSQNINNGVDIGYDVAIDYDELWEQILLRQPVETLIRCKCVCKSWNRIISNKSFIKAYGLHQKTSQNTRKYLLLDYDYSYYSAALIDRKSYNVLRYYNDICGRDRSTYGLEVYGICDGLLCLAVRPNNLCYDFPVFLYNPFIRKGKKLIPPWCADYSTLSVSLCFGFHDDDYKLLRVQSFWNMYDIYIYSLNTDSWKSVKAEINIEDRGNFRCFDILPYPKARLVKGVAYFIEGDRIISYDLDNEKIRKMQFPADIFVTRVIMEEYGSESLALIGYTSQNINNGVANGYNVAIYHGVAMWVLKQSDNSNIWEKKFDIKGGGNIEDWRFSNSAVQAVGGFVNNNELVMRKWKINCDKKRHHEYFLLNIETGLQKQFPRPREQAGGEFLKRINILTESLVLLTESTMPHFQSLEFETVRL >KZN01945 pep chromosome:ASM162521v1:3:27309197:27310567:1 gene:DCAR_010699 transcript:KZN01945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHANESSSNSNKRRLARTFAKVMHIRAMSRNSPADRAEEAKNQSDQEVPKTKLHGTVRHDRRNTDLKSVFDEDEKAQKKASNEAFLAKLFASVSAIKSAYAQMQFAESPYDGEGIESADEIVVAELKILSEQKQCYLKNHLDDPSPETTQVLAEIKEQKSLLKTFEIMGKKLDSELKLKHSELVFLREKLRQADKENKVIEKRLNMSGSSSTHDNLCLNTLSPAHFIRALAKTVRSTRRYVRLIINEMESVGWDLDVAADSIEPGVVYPSASHKCFTFESYVCRQMFDGFNHPFFSNSHKSLPENQGQQEFFSRYVGLKSQKPKEYLVSKPKSAFAKFCRKKYLRLVHPKMEESLFGNLDQRNLLVSGSQPETDFYAMFCEMAKQVWQLHCLAFSFQPEASIFQVSKTSRFSEVYMDCVNEEAFLSSGANPRVAFTVVPGFKIGKTIIQSQVYLS >KZN00668 pep chromosome:ASM162521v1:3:7465049:7465522:1 gene:DCAR_009422 transcript:KZN00668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLILHSSPTFHFNASNLFLNKSPHLSFSKPSRLSIKSEDSSDQSSDDASSTVVPPKSSSTGLGFGSSAASPSPTKKKQGKRERAAVIRRDPVEKPKFAALRDESELKEQGQNEQAFLLAWLGLGSIIFVQGILLAVSGIYFMTLFEELLNLLIWSS >KZN01630 pep chromosome:ASM162521v1:3:22067286:22071095:-1 gene:DCAR_010384 transcript:KZN01630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQGVEKLAPGVGKLARGAGRLKGISDFWESLDAQFKEDLLTKNPNSILLLVNDIKGADLLSDLLGTVIDKYYDPDTHTFDISGHVLGVTLKDVLYLTGLPIQGKPLIYEKSLDEDAFMRVFGEEFKDRKTLTFDEGFLLVRIPKIQDMLGINLKNYPPDVIKGAPLLPWIVGEIKRKTRNHWATHDMPAIAFFSDEDITWTPYKQMACPRPGDLKSVRNLVPLIGYNCVQHHMPHNCSDQFPVLQDYNFRSLTWKPCEIPPFKKLGGGHNIDYKDLYEEQIAEWNAGKPAEAYMTRLQQKHNLYDDELVLRTKFIKASEDTSDIRRSRSITKLSITQLSLCKWKLFLANKKLRQDDIFGIENVNAGGSSMRRPSPSPLPVTSSDPDRANDNVFESENDNVFEFENDNVIEFENDNSYLGDKANDGRGENDPRSGRNEERSSMRRPSPSPPPLNTANDDGGENDPRSGRNEERSSMRRPSPSPPPVTLSDADRANDNSFEIENDNSQLGDRANDGGGENDPRSGRNEERSSMRRPSPSPPPVTLSDDDRANDNSFEIENDNSQLGDRANDGGGENDADRANDNSFEIENDNSQLGDRVDDGGSEKGKQEDPGSDPRTPIGRQIYWRKSKGQRLTSYYGLTTVLKVQNGEKLKGNLKRKKGKE >KZN03420 pep chromosome:ASM162521v1:3:44415788:44416840:-1 gene:DCAR_012176 transcript:KZN03420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRKGLKKVHKEQVEQLEFEALKDPVRKGVIECVGDKDDWVNSEYKSLAWSCIKKEKKYREALEALEIFCKLNQAQYESTKEMAAPETNIPEKVSEAVLSDGHSETKSETEQGSDLISTDKEVDIKTEKDAESSTMEGLRKTRRTIQKPERFEHSANHIKKGKGKNKKKL >KZN03884 pep chromosome:ASM162521v1:3:49638007:49640533:1 gene:DCAR_012640 transcript:KZN03884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMRKAKVTSDIAVMELSQSSLGVKTRAKTLSLQKLEAANSIQDSSCYLQLRNRRLEKTSEAVKTQKSGGVKQNPDGNLKVLEGKSRSLNSGSVGSESGRKGKHEKGCEIGRNEEVGCGDFGVEASFGENNLEFDARERGTRESTPCSLIRGSDSIGNPGSSTKPRSLAASDRLTHNSIQQDIPSTHEIEEFFAFAEQQQQKRFIEKYNFDVVNDTPLPGRYEWVRVSP >KZN00190 pep chromosome:ASM162521v1:3:2887962:2888629:1 gene:DCAR_008944 transcript:KZN00190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTAPPLSHEPDNYDEFSMHQDLLFSDSLKDLKNLRKQLYSAAEYFELSYTNDDSRQIVVSTLKNYAIKALVNTVDHLGSASYKVNDFLDEKVNEVTGTEIFVSSIEQVLYT >KZN00192 pep chromosome:ASM162521v1:3:2892613:2893340:-1 gene:DCAR_008946 transcript:KZN00192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLINSDLEVDYGSEEKARIIYTSLGVDTELQPDKVKRQMSITNGKLAVHFEAIEARFLRASYSAFVDVLTLATKTIEEFGQGLEL >KZN00087 pep chromosome:ASM162521v1:3:1805004:1805755:1 gene:DCAR_008841 transcript:KZN00087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEVFAAQRLQSSQFIRVEETRILLDRLFRASRNSDSRGSKEQSVDMKSTLFEMMLNVLMRMIAGKRYYGDDDVADEVEARRFKEIVTETFAVSGASNLGDFLPVMKLVEKRGIEKKMILLREKRDQFVQELIDEHRRNMNGGDKTESGGTGRKSMIELLLSLQQTEPEYYNDEIIRGLILKYFRVWDELVSLIKRFVCSMWTNNHQDSCQCNPIETDRVSV >KZN01042 pep chromosome:ASM162521v1:3:11102658:11104469:-1 gene:DCAR_009796 transcript:KZN01042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATSVASHQTKTICCYYYPIPIPKYHLHLPPHLPKFISSQPLNLHFKSRKHHNFISPCAAITTTCSKDHQENHNYDDPCHQNPIFSKKPQKNDDPESNVISTNSWWEKLRAVVGQSVNLEGISGAFGIIAKDRHLVVPHIAVPDIRYIDWVELKRKGFQGVVFDKDNTLTVGDRPFTDIAYGNRNGFLTILTNPLSLDEEPLIVNQVRKLEVSLVKRWSKKGFHPTSHWLLPDSSECVIDHSPL >KZN00377 pep chromosome:ASM162521v1:3:4704463:4707528:-1 gene:DCAR_009131 transcript:KZN00377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQGHPVNVYTLPEFPTGGHEVVTGVDPTKLNHPWDVCMLGFITADILGVKVRGALAGREMVTLVRDYNSFWSNRYVIKVFNGMNVEVGYLDERASVVLARLMDAGLVWVEAIIPNYSMKADAKWRPVQIYVFAIREKVDEVYAKIIWGGLEIIRTEGSVEIVEMARQDEHDQRRLNGIARVVSDGAVVEVVETPREVVKTELFLHQKKALAWMVKTESWAGMPPFWVEQCDGSFVNEVMNVGVAHRPRALRGGILGDDSGMGKTLTLLSLIASDKCSYGGGSGSGSGSDVVEDGKEEFAIYQGKEPKRARGSRVVDKMRKRQRVVGELSNDGNALIDLVASRTTLVVSSTSVFSTWKEQLKKHTKPGKFSVYLYYKQRTKDPMELIKYDLVLTTYSLLASELESGSPVFQVPWWRVILDEAHLIKHSTPTQASAVLRLNARRRWLVTGTPLQNTTMDMYSFMSFLKYNPFTDKHSWKKTLLKPVDTSSEVTRLQAVMEAICLRRTKEQNILGLPRKIMKICSVDLSAEERQLYDQMEVEAKTAVQDYISSGTVRSHYIAVLGIVLRLRQTCTHMDLCPKVHIATLPCSNKEELSNNPELLKKMAAILDGSEELECPICLSAPNNIVITRCAHIYCQSCILRTLKRDRPRCPLCRHDLSESDIFSAPTEQSNAEIASSGESSRITALLKLLSEARDQDPTAKSVVFSQFRKMLILLEEPLKTAGFNVLRLDGSMIATKRAQVIKDFGVAAPNGRPTVLLASLRASSTGVDLAAANRVYLLEPWWNPEVDDQAINRVHLTGQTKDVTVVRIVARNSIEERILALQDQKRMAGPAVYKLNINDIRTLLSL >KZN02468 pep chromosome:ASM162521v1:3:33716546:33728059:1 gene:DCAR_011222 transcript:KZN02468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHNLWELLSPVGRRVNVETLAGKKLAIDASIWMVQFMKAMRDEKGEMVKNAHILGFFRRICKLLFLRVKPVFVFDGATPVLKRRTVAARRRQRENAQTNIRKTAEKLLINHMKTHRLQQLAENLKDQRQQNNAKGKRKSKDKEQKAADTSEGKKPVSGSSNQEVIDEMLAASLAAEEDGSQMHHASTSVSDAKGKRKITDIALEGKEPVSGSYNQEAIDKMLAASLAAEEDGRQTDHASTSVASVPFEEEDDEAEEEMILPTMNGQMDPAVLAALPPSMQLDLLAQMRERLMAENRQKYQKVKKVPERFSELQIQSYLKTVAFRRDIDEVRKSAAGKGIGGVQTSRIASEPNREFVYSSSFTGDKQVVASAGLDQNSREQQQMPVAPSNTSDGSVPTKKFSVSGSVADQSSAINHNDVETYLDDRGHVRVSRVRAMGLRMTRDLQRNLDLMKESEVESLNTAINTSSAAVSEELSSKMQPLESLDLGDDEIAVHPRSEEFINSRTPLEVTFDVDGENRDDDDDLFTRLVAGDSDLGVSSDEEIKTKKCSDSDSDCEWEEGVILDKNNIHHEVEVGEYPSTMHNRKCHEINLQLEEGLLDGQICASSCLSNYKESDMEADAGNDVEWEDGSSDIPGHTFSCQPIYKEVVYKGDMEEEINFQEAIKRSLEDLGHEKHINDSPEDKEHIEGQEVDIEGMPDGSNNHIKHLLMPKVSAESVIQTVGSNVCGGAQKLNNVCEFDIPNTYDRPLIQSEFCTDVDLDGAAPLIDRSGDSTQTIQPDIGQNGSGSSKSYAQSKCVKSGTPTENEGGHVVESKVLQNVRETLPSFGSPCQIGQVQPGNIGTPGITSDSVSPDVCLSIGDAQQFDLKNPAMEHLTGAGVFTKSFVRESIRNDTVQSFAEGDDDVNNCTENSVMMDSLKEQVEKTRVNLEEEMQRLRKERLFLGVEKRKLERNAEAVSSEMFTECQELLQMFGLPYIIAPMEAEAQCAFMELENLVDGVVTDDSDVLLFGARSVYKNIFDDRKYVETYLMKDIENELGLNREKLIRMALLLGSDYTEGVSGIGIVNAIEVINAFPEENGLHQFREWVESPDPTILGKAEVFSKNSLNCSSEGAVHGPVDDLLEKKQHFINKHRKVSKNWHFPFTFPSQAVISAYNSPKVDKSKETFSWGKPDHFVLRKLCLEKFGWGTEKSDDVLVPVLNEYNKHETQLRLEAFYSFNERFAKIRSRRVKEAVKLSRGDKASGLMDDTKLGNPKGSKRRKLSSGRAGPGEDVSISDGLAAGQESKSNEAATAGCSRKKKVHGEPCTPEEKNSESLMQAVGRENTNGRSKLGCRGRSRGRGKGRSGKRGRGKESSTFDCAEISSSDKLDKDQPDEKHDRSHQVRRSTRPRKAVRYVMNDSDGEELADAGQDGGSTVDVGRVDHANRDMGTAYNASASKLKHHVLVKPSSDNIQSGSGFCPDEAELDIGTDHVNLDQNGTHLNADEDYLKTGGGFCFVADDVDNDTDTHFKADEDCLKTGGGFCFVADDVDNDTDTHFKADEDCLKTGGGFCFVADDVDNDTDTHFKADEDCLKTGGGFCFVADDVDNDTDTHFNADEDCLRTGGGFCFKDDDVENDTCMHSNADGDYLKTGGGFCFEDDDVDNDQEKSAYSPAGDVFHSNDTGKSATGGGFCFEDDDLDNDKEKSACSPARDVFHVDTETSAYSPTRTILHDYDNPSNCSVSHSRSVDEIQAGDYTDKPYDMPDSGNIDVTKERNKKHVNVAGSSNIVVGDDPGARSGQFLSAMPNLRRKRKS >KZN00920 pep chromosome:ASM162521v1:3:9892335:9892607:-1 gene:DCAR_009674 transcript:KZN00920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEIQEESLEDRNGQQGRRCTHCLSQKTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYKHSNSHKKVLEMRTMSHLSSH >KZN01693 pep chromosome:ASM162521v1:3:23218994:23219374:1 gene:DCAR_010447 transcript:KZN01693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDAPGLFIGKGLGAVGSATERLDYWTVGAHWTVACRANGAGLQDAQSHRSDKIAVDRWNRTNEMLHDSPRRDGLAAAARDDTLTRRDVTGDTRRERVRVQYS >KZN02742 pep chromosome:ASM162521v1:3:36815667:36826057:1 gene:DCAR_011497 transcript:KZN02742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPDGTLASVAQCIEQLRQSSPSLQEKELSLKKLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQSATVLGSLCKENELRIKVLLGGCIPPLLGLLRSSSAEGQVAAAKTIFAVSQGGAKDHVGSKIFSTEGVVPVLWGQLEKGLKAGNVVDNLLTGALKNLCSSTEGFWPATIQAGGLDTLVKLLADGQSSTQANVCFLLACMMMEDASVCPKILAADTTKKLLKLLSPGNEASVRAEAAGALKSLSAQCKEARRDITSSNGIPALINATIAPSKEYMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCTSPAQVADTLGALASALMIYDSKAETTRASDPDDIEQTLVKQFKPSLPFLLQERTIEALASLYGNATLSGKLANSDAKRLLVGLITMASDEIQDELVRSLLILCNNEGTLWHALQGREGIQLLISLLGLSSEQQQECAVALLSLLSNENDESKWAITAAGGIPPLVQILETGSPRAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALKSLLSVAPLSDMVRDGSASNDAIETMIRILNSTRDETQSKSASALAGIFSFRKDLRESSIAVQTAWAVMKLLHVDSENILVESLRCLAAIFLSIKQNRNMAVVARDALPALQVLAASSVLQVAEQAICALANLLLDSEVSKNATPEEIILPATRVLHEGTTAGRSHAAAAIARLLNSRKIDNEITDCVNRTGTVLALVSFLESEKTGSAALLEGLEALVIISKFEGDKGKIKPAWAALAEYPDSISPIVSCITDANPLLLDKAIEILSRLSLAQPVVLGKEIVNASECISSIARRVVSSSKETVKIGGTTLLVCAAKVNLQRVVDYLNESNSCAYLIQSLVGMLICTENSQVEGQVNKEGVNILRYAEELRSSGNETSTYVIYGSNTAIWLLSALASNSEKSRTLIMEAGAIEVLTDRISQCLSEYTQDSEEESSIWICALLLAILFQDRDIIRAHATMKAVPVLANLLKLEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADADICDLLDLAEEFSLVRYPEQVSLERLFRVDDIKVGATSRKAIPALVDLLKPIPDRPGAPFLSLGLLLQLGKDCPSNKILMVEAGALEALTKYLSLGLQDAIEEAATDLLGMLFSTAEICRHEAASSAVGQLVAVLRLGGRGARYSAAKALESLFSADHIRNAESARQAVQPLVEILNKGLEKEQHAAIAALARLLGDNPSRALAVVDVEMNAVDVLCRILSSNCSMELKGDSAELCCVLFGNTKIRSTMAAARCVEPLVSLLVAEFGPAQHSVVRALDKLLDDDQLAELVAVHGAVIPLVGLMYGRNYVLHEAISRALVKLGKDRPSCKMEMVKAGVIESVLDILLDAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPFFLLLTRAEFGPDGQHSALQVLVNILEHPQCRADYTLTSHQVIEPIIPLLESPAPPVQQLAAELLTHLLLEEHLQKDPATQQVIGPLMRVLGSGLQILQQRAVKALVNIALIWPNDIAKEGGVSELSKVILLSDPSLPQVLWESAAAVLSSILQFSSEYYLEVPIAVLVRLLRSGTETTIVGALNALLVLESDDATTAVAMAESGAIEALLELLRCHQCEETAARLLEVLLNNVKIRETKAIKSAIVPLSQYLLDPQSQAQQARLLATLALGDLFQNEALARSADAVSACRALVNLLEDQPSEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNNTIQEYASSETVRAITAAVEKDLWATGSVNEEYLKALNALLGNFPRLRATEPATLSIPHLVTALRTGSETTQEAALDSLSLLRQAWTACPAEVSRAQSTAASEGIPVLQYLIMSAPPRVQDKADHLLQCLPGTLTVTVKRGKNMKQSVGNPSVYCKLTLGNTPSRETKVVSTGPNPDWDEPFQWQFESPPKGQKLHISCKNKSKMGKKSFGKVTVQIDRVVTQGEAAGEYFLLPESKSGSKRSLEIEFQWTNSNNMPQSEA >KZN00040 pep chromosome:ASM162521v1:3:1361669:1363861:1 gene:DCAR_008794 transcript:KZN00040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKATEGNQKVEVPSDKTAVTKIRVSNGHSPEQKAPVSASAKPTDSPVSDGYPALKVKPATPDGQHKKSVSDVPRKSFDNSSPAAASDAPVQAGSVKDAVSKFGGITDWKAHRVRSMERQKTIEKELEKVQEEIPVLKKEAETAEESKNQVLKELDSTKRLVEEFKLNLERAEKQEQQAKQDSELEQLKVEELEQGIAAEASVAAKKELEVAKARHLAAASDLESLKKELEKLRKDYDSLTEEKNEAVMQAEKAVSASKEVEKTIENLTVELMTTKESLESAHAAHLEAEELKTGAAKELEEDVQSHDKELKQAEEDLQKLNHKILAAKDVKSRLDTASASLQDIKAEFAAYIESKQNPKREGHDKNIDGGIHAAIASAQMNLDEVKLYKGKATNEVNQLRAAAKSLKSNLESEKSELETVKQREKTASETVASIDAELERTEKEISLLKVKEKEAREGTVEIPKKLPKAAEEADQAKALAKVANEELEKAKEEGNQLKARINTTEDRLHAVKKEIEATRAAENLALKAIKALEAALQAPSDEDIPDAITLSLTEYYNLNKQAHDAEARGNDKVTETLSLIEAAKESEARTLRKLEKLSSELATQKEGLETARKKAEKAKEGKSSVEEELRKLKGEKEPPKTEPAQDSSNTNAEAPEGKKKKKKSIIPKIFMFMGKKKFHSLTHSKGH >KZN02792 pep chromosome:ASM162521v1:3:37336911:37337590:1 gene:DCAR_011548 transcript:KZN02792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTNLLALFGATWLLIQLCRIIFSKTQSGSHKAPPGPPGLPLIGHLPMLGNQPHRSLHKLSQKYGPIMSLRLGSQPTIVVSSPASAELFLKTHDTVFASRPRSQAAEYMWYGTKGMIFSEYGPYWRSIRKFCTLELLSASKIESMAGLRRDELGLLVESLRRAAGAREVVDVSEKVTRLIEDMTCRMLFGKCRDERGT >KZN02099 pep chromosome:ASM162521v1:3:29536367:29537314:-1 gene:DCAR_010853 transcript:KZN02099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTKRDVDRIKGPWSPEEDDLLQQLVQKHGPRNWSLISKSISGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDTIIRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKSSSMGADDFAHFQQPLKRSVSAGSGVPVSGLYLSPGSPSGSDVSDSGLSRLYRPVARAAGVFGAQVETANTPVCVNDPPTSLSLSLPGIGVDLNEHSNRVSEPTHVIEPMEVVAATPSPVAVVQQNPFSMASVLKKPPLSHVPTSREKAEFVKETAGEEKEKPFVAFNAEFMGVMQEMIRKEVRNYMAGQQHQTAIGIGMHSE >KZN00979 pep chromosome:ASM162521v1:3:10448471:10452458:-1 gene:DCAR_009733 transcript:KZN00979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQLGNGRKFGNYHYGRIFNDRRWIIPFLASLLVWITLFMAAVFGMYSSSFGRDPLQFDIISFARSSDSSGYFVESDLKISVDDNRSSKKEAPRFAYLISGTKGDSQRMMRTLQAVYHPRNQYILHMDLEAPPRERLDLTMSIKNDLTFQEVGNVRVMAQSNLVTYKGPTMIATTLQAIAILLRESANWDWFINLSPSDYPLVTQDDMLHVFTNISRNLNFIEHTQISGWKLNHRAKPIIVDPGLYMSKKSDLTWTSQRRSLPTTFKLFTGNPPKQHPKSLTIKDFSDMVNSSAPFARKFAKDDSALDRIDKELLQRVNRFAPGAWCIGNTTDGQDPCSLRGDDSVFRPGPGARRLQELTEKLLSEGYRSKTCKT >KZN03643 pep chromosome:ASM162521v1:3:46580306:46584551:1 gene:DCAR_012399 transcript:KZN03643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKSLKRTKTNTNVKKEAKKTKSGENKGSLAAHLSMQLGQVSLMVRNQQSPAFGLANSSRFQAMIDEEAEGGERGGVEVEAIGFGFGVEGRDEKEFILSQDFFCTPDYITPTEAHIPINLDCNKENECPKSPEKIKTGKAMKQRQDGTNMIGSGFSFNQPALEVADDLPVVHDSRTVQPISTDSQRNHSYVSQSAVALRCRVMPPPCMKNPYLMDDSGVDIDPFGSSRSKCEGLFPSSISGDGLSRYRTDFHEIEQIGNGNFSRVFKALKRIDGCMYAVKHSTRQLHLDMERRKALMEVQALAALGSHENIVGYYTSWFENEKLYIQLELCERSLAINGKSKLYTEGEVLEAMHQIAKALRYIHERGVAHLDVKPENIYVKNGLYKLGDFGCATLLDASLPIEEGDARYMPQEILNENYDHLDKVDIFSLGATIYELVKGSTLPESGPHFLHLREGKLPLLPGHSVQFQSLLKAMMDPDPMRRPSAKEVVENQLFDRILRNTKTK >KZN02622 pep chromosome:ASM162521v1:3:35269868:35270788:1 gene:DCAR_011376 transcript:KZN02622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLISVLSKVGRILQGHNFASVRAGVEMALINAVANMIGMPLWRVFGGVSNTISTDITIPTASPAQAHQLASDYCSKGFQTLKLKVESNLVSDIEMLRAVRGGHPECSLILDANGRYSSSEALQLLQILHELKLSPVLFEQPVHRNDWDGLGWVTKIAKEKYGVSVAADESCWCLDDAKMIIERNLARHQHQASKTWGTWIR >KZN01237 pep chromosome:ASM162521v1:3:13488971:13495247:1 gene:DCAR_009991 transcript:KZN01237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMLVRPPPQSLSFISPHRFFFSPLNLSPRSLSTLSLFSTAATPTTSTLSSSLPYGPSLHKGSIPSHTIQPQEQTLDSDPLINEADFTRQFNIAALRVPSDHCFALENRLRGHLLNWPRIRNIARVLGDDIDEDVKELFTCNTQITKDDDEGEDGLVSLNRRIYGKAEGDGERLSGVLYRDELVRTFDAKGFVKFRNLAKLSRPKKRKKKGLAGDQEGRGKRGSVYVVEVDEEESGLEGDELSGLLGDDFRGTKWRGSTRLLLLDERYFDKGLDQMPVAIKAVLIEGDSEGMTPPTELVRCKLTLFYTYWQMNEILEALLPEGLIVPSAFETVGHIAHLNLRDEHHPYKKLIAKVVLDKHKPKIQTVVNKTDAIHNDYRTMQLEVLAGNHSLVTTVVENGLPFQVDLATVYWNSRLATERQRLLNSFTQSDIVCDVFAGVGPIAIAAAKRVRYVYANDLNPNAVDFLQKNCVINKLERKIEVYNMDGRRFIDSVFASSIAKCITQVVMNLPNDAAEYLDAFKGLFGSYNSAKTFTLPKIHVYGFSKAQDPEFEFQERIRIALSEAAFEIQMHRVRLVAPGKWMLCASFILPERVAFRR >KZN01517 pep chromosome:ASM162521v1:3:18041905:18042961:1 gene:DCAR_010272 transcript:KZN01517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVDAGKTKLLDCIRGTNVQENEAGGITQQIGATYFLAEKLKDRTRELKPDAKLKVPGLLIIDTLGHESFINLHSRGSGLCDIAILVVDVMDGVKPQTVESLTLLKMWKTSFIVASNKEQGWNSDLYYKIKNNDMGEAISIVPRSAMRLLEVKVNDDDKTTIDVVLVNGMLHEGD >KZN02975 pep chromosome:ASM162521v1:3:39767560:39771766:1 gene:DCAR_011731 transcript:KZN02975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDINSSSSAVTPTPVSRKSRWSLAGMTALVTGGTRGIGRAVVEELAELGAAVYTCSRNEEELKQRLEEWKVRGFDVGGCVCDASSVAHREELFRRVSSCFGGKLNILINNVGTNIRKGTAEYTAEEYSKIMTTNLESSYHACQLAYPLLKASGSGSLVFISSVAGLVHVGSGSIYGASKGAINQLTKNLACEWAKDNIRTNCVAPWYIRTSLVEHLLENKTFLDHVVSRTPLRRPGESEEVSSLVAYLCMPAASYITGQIISVDGGFTVNSFDGVV >KZN02628 pep chromosome:ASM162521v1:3:35310020:35315691:-1 gene:DCAR_011382 transcript:KZN02628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEFWRRHKRKVYVTLGVFGSGYLLYKLYDSHKQQLNDLEREVASERENEELIKAQMKVHFQNIQNIADTTTLPHAMVYLSARVEERMDILHLTEKLMKGKGQQNPLTSLEKLELWNRLKIQSFARTVLSIWSMTALSLYIRVQVNILGRHLYIDTARGLEAAHLLDESELINRNDQQQFLAGVDYLSNNGMSMLISNMQAAATEVLKEKQLKDLFTTSVLHDTIMQILDVFMSMGSPHQWVDYIMPEDLKYKSAAFSSNDSQNELTKFDLLMSEAHAVLSSDEFRSILDVSLRSVVDDLVQDMKDQHGDINSSGVPLAKLVPKVAQMGPLLLEEPSNNKYIQIVRNLPVAEVFFTLLYADTPP >KZN01457 pep chromosome:ASM162521v1:3:16481632:16486295:1 gene:DCAR_010211 transcript:KZN01457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVGLPPGFRFHPTDEELVNYYLKRKIHGLEIELDIIPEVDLYKCEPWDLAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRKVSSQNYPIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECDDSTSGIQDSYALCRVFKKNGICGSELEDQGQLNMVLTEEIPQGILTEYETGSPSNILPSAPSACIEDEDKDDSWMQFIKDDAWCSFNTPILGEETSQVTDAN >KZN01717 pep chromosome:ASM162521v1:3:23792560:23793153:-1 gene:DCAR_010471 transcript:KZN01717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEECSSIVATKMSSTMSIVLCMLKKGFSRSKLKIFDLHTKMKHEKHASTSMSSVLLHHHYAASSICRSTDVAMSFVSPRKDYEFSCSNTPLIRRRKRYYQYRHSYNHPKVFVDDLRWSEYESVEASPAFSLPGFGRSPVRVRQLRVSDSPFSIKDAEENTEQLDRAAEDFIRKFYEELEKQRRMAPPATYYRRAQ >KZN00856 pep chromosome:ASM162521v1:3:9314001:9316180:1 gene:DCAR_009610 transcript:KZN00856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLAPPIIQPPASNPNPTFTNSLTYTICDDLGFEFLDYLSFGDHHQLEDHDYTCNFITSAHVAQAPLILQENNTSAESSLNSNHDDMDIGHHVLPTNKCSKRMKRSDKSDENGGSRIAFRIKTELETLDDGYKWRKYGKKMVKNNPNPRNYYKCSSVGCKVKKRVERDLKDSSFVITTYEGIHNHETPHAFVYYNTQMQYD >KZN00157 pep chromosome:ASM162521v1:3:2541500:2545452:-1 gene:DCAR_008911 transcript:KZN00157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGQCLGQTYQQIDKDFLDSEDTSRDDGSTASTAVLVGNHLYVANVGDSRTVISKAGKAIPLSEDQKPNRDDERTRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKPFVVAEPEIQDHVLNEDCELLVLASDGLWDVVPNEDAVALAINEEEPMAAARKLTDTAFTRGSADNITCIVVKFNHEKSEVSHP >KZN01025 pep chromosome:ASM162521v1:3:10899176:10901843:-1 gene:DCAR_009779 transcript:KZN01025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSACVVGSGISTPSTNTGLNKELYVRHLYSSSTRPSLVKVSKAFTVKATLDQRQQEGRRGFLKLLLGNVGLATPALIGNGNALADEQGVSNSRMSYSRFLEYLDKDRVNKVDLFENGTIAVVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSGGGMGGPGGPGNPLQFGQSKAKFQMEPNTGVTFADVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVIAATNRADILDSALLRPGRFDRQVAVDVPDVRGRTEILKVHGSNKKFDEDVSLEVVAMRTPGFSGADLANLLNEAAILAGRRGKTGISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLYARIVGGLGGRAAEEVIFGESEVTTGAVSDLQQITGLAKQMVTTFGMSDIGPWSLMDSSAQSDVIMRMMARNSMSERLAEDIDKAIKRLSDSAYEIALGHVKNNRDAMDKIVEILLEKETMTGDEFRAILSEFTEIPVENRVSPSVSTPVPV >KZN03483 pep chromosome:ASM162521v1:3:44981467:44983021:-1 gene:DCAR_012239 transcript:KZN03483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEYVPVFRVQLGLQHALVVSSKEAIMQTTNDMSFMGRPNVLALKYMGFYGGFYALAPYGPFWQEMRKVSILELLSNTRVELLKPVRASEMSTCISELYSLCCRDGKVGSVKVAIDKWFQQVLFNMIAQVIARKRYSSVGKDASDKELKCLKRAYEDFFVMLVTFQKSKGIPFTRWMNFQENRVMKRTEKEFDFILSSWIADHKQRRGIQGQLKEDRDFIDIMLSMFEGSDDFIQGHKTDDVIKANISGIIFAGTDANYATITWALALVLKHREVLKKAQEELDLHVGQERWVEESDIKELKYLQAILKETFRLYPAGPLSILREALEDTTVAGHYVPKGTQLLANIWKLHRDPGTWTDPDEFQPERFLTTHAGLDVKNQQYELIPFSTGKRSCPGMATGTQMILLTLARLLQGFNLVTPTNEPINMTEAAGITLHMKYSLEVVLTPRLPNKLYE >KZN03928 pep chromosome:ASM162521v1:3:49958572:49960589:-1 gene:DCAR_012684 transcript:KZN03928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEYFFNNGISSPQNQFQTSPTQLQMTNTSQIPNFRKTTEQYSQFEANLSSLVSSPVTNNAYNTPLNHFVKEDFSVSRSSMYMSPVLPALPTDPGFAERAAKFSCFGSKSFNGRTSQTGLNNAEFQYRSSGIVLGNSKLPRVSSSPSLQALGSHMGIQENKNASQLQMDMRPSVNASDLDTKISNLSCSGANSNEESSVSAQNTSVENVFSTPMGLNSRKRKGFSKEKGKEALSTQGKNAAKEAGGDEVSNVNRSKMKKCNANKNDDVKLKEEKGDSSDEDDKQIYNGKKPLEPFKDYIHVRARRGQATDSHSLAERVRREKISKRMKTLEDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNPRLDINMGSLLSKNTIQPSDTIPHQSYQFNSPSSAYYSHHQSHQIPQVHKTPEPIDPAFSRNPGMQIDGHGEGLSQFLSFSEDDLQSIVQMGFATNQTPHMKLEL >KZN01427 pep chromosome:ASM162521v1:3:16099536:16101082:-1 gene:DCAR_010181 transcript:KZN01427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAETPNSNISMKQEPDEQPNSPNTQQKTVISQLLTTGKQKIKNETSCTNSSQSYVCDEGKSIKGYIHTCDNYQQMKDCALADELIVGVPAGDQAYHENIKTEHEDSYAEIQCNVCHNMSNQTLLLQCDLCDSSSHTYCVGLGDTVPEDDWICQNCTEHAEDEQDLKAVGLSGIDSHSGSKNRCHQNVSSTEANLSIHDIVRESGPYNVERSLPNQSRSPLTNAGDDRTVLISCRNRDSRTRALRENWDKIRQGSLSFSSFPIIKPGELSCGTSSATKSSTSDIIPDQATQDIKKDLEDDESCEVC >KZN03126 pep chromosome:ASM162521v1:3:41506684:41508165:1 gene:DCAR_011882 transcript:KZN03126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSTQKFNPLNLDFFSSESNKVIEFITAYYKNVEKYPVRSQVEPGFLLNMYPKKAPSQPVSLDTILQELEADIIPGMTHWQSPNFYAYFRTTTSNAAFQGEMLCNALNVAGFNWICSPAATELEMIVMDWLGKMLSLPQSFLFAGNGGGVLQGSTSEALICVLSAARDRALKQYGEDSITKLVVYASDQTHFVVKKAAKLVGIPTKNFRVIPTSIATCFALKPNDIKMAIERDLESGLVPLFVCATVGATPSGSVDPVEGLGLLAKNYGLWLHIEAAYAGSAFICPELTHYLRGIEHAHSISINLHKWLLTNMDCSCLWVKSPDVLLESLSMTDEILRNEASESKKVVDFMDWQIATSKLFRALKLWFVLRRYGVDNLMAHIRSDIELAKHFEALVNSDKRFEVVVPVNFSLVCFRLKPNEEGEESLKVLMNWNLMEAVNSSGRAYMTHAVLGDIFVIRCAIGTSLTEERHVNELWKLILEKTEVILKRDQ >KZN02120 pep chromosome:ASM162521v1:3:29891886:29895047:1 gene:DCAR_010874 transcript:KZN02120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLNDSPSHERDEEESEEGCSSPFDGDGPEFDKGKRVGSMSNSSSSAVVIEDGSEEEDGSRRKRSSSKIFGFSVNNDNSPELEPPVITRQFFPVDESVETMGIASEASATSTFPRSHWVGVKFGQTEPPRTAGVAGKSTEVPQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHICAYDRAAIKFRGVEADINFVLEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYEIELHPTETSSNQRDHNLDLSLGSTGSKQKELGDDHSHNQQGRDQQSASMGYPMNSWQNRGIRPMLSLQQQESGRNQLESQRRDGYNEMETLQLLSQTHLQSPGSARPQDMHSAYWNNYTKVSEVQQGVHMFPTQHNSNYQMQFSSSSSIGGQSSASDYHNQWRSSGPQVFASAAASSGFPPQQIVRSDPNWLHTNGFHSYMRPP >KZN03335 pep chromosome:ASM162521v1:3:43689926:43690138:1 gene:DCAR_012091 transcript:KZN03335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFRIIFFEMLFQDEFEICRALKNFRKYGEYPHGWEDSSKTEILDMILARSTDDRELPNDVLRVVESML >KZN01412 pep chromosome:ASM162521v1:3:15798544:15799247:-1 gene:DCAR_010166 transcript:KZN01412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVWQNPEAGHVKINVHCITLPQPLPNGNSVGVRAVVRNQKGKELWSAAGPMNGRSKLQATLWGIYYGALHCHQIKEWKTQIETDHWDTTERTITRIPVGQNSTVVFLARFGMENMKVFAETPGSFGEKQYWLDRDMGLLFSSAPPANYDLGEVIDADVPSSPVALMLNRANTEVVHAASSMWSFLSLPKRVCKAIYAWF >KZN03512 pep chromosome:ASM162521v1:3:45310572:45311910:1 gene:DCAR_012268 transcript:KZN03512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLFFLFDLLLLKFCTTLCSCNAQSSHYPNLPKFSAILIFGDSLLDTGNNNFISTDLQANHAPYGVSFPGGIPTGRFSDGKLMSDFLADALGLKQCVPPFLDPNVPQSELPTGVCFASAGSGYDDRTASMSRVISVTQQYQKYFKDYKRKIIAMLGEFEAANVLGKSLVFAISGSNDVSINIYEKPGPFHLFIDQYQDFLLGNVEKFIKSLYEDGCRNMAIAGLPPVCGPLEFGGVVGCLNNPNSDPQVYNRKLQAMLNQLQSSLPGSTIVYADIFTPLKELASNPIPHGLYTPIGNCCGEGVPAMGLTCNAFVLTCPNPSKYFLWDSIHPTQAVYRYMSDYLISNALPQFNLLA >KZN00933 pep chromosome:ASM162521v1:3:10018450:10020324:1 gene:DCAR_009687 transcript:KZN00933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETPLLRNGGKHNDILVNSLRCDFFSKLPQKVKYGVDPEAPFEIDLSKTKGLIEGEKEYYEKQVATLKSFEEVDSLVSPHDNAELDSHEESQHERAINISNWANIFLLLLKIIATMKSGSLAIAASTLDSLLDLMAGGILWYTHKTMKDINIYKYPIGKLRVQPVGIIIFAAIMATLGFQVLIQAVEQLIRDEPSENMNKEKLIWLYTIMLTATGVKLVLWIYCRSSGNRIVRAYAKDHYFDVVTNVVGLVAAVLGDKFYWWIDPAGAIILAFYTISNWGGTVLENAVSLVGQSAPPEVLQKLTYLVLRHDPQIKRVDTVRAYTFGALYFVEVDIELPEDLALKEAHAIGESLQIKIEELPEVERAFVHLDYECDHKPEHSVLARLPNSHP >KZN03330 pep chromosome:ASM162521v1:3:43647996:43654322:1 gene:DCAR_012086 transcript:KZN03330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVLEAPTSLYLSFHACKSHHTKGTSASESCVSFSVNKSVKLHKHHVRTSGLSRCWREVSASTQTADKDVLNALSQIIDPDFQTDIVSCGFVKDLCVDEASGEVSFRLELTTPACPIKDMVNESQYHCLIKSASMFLVGELDYLIIDMPPGTGDIQLTLCQVAPLSAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCIAVVENMCHFDADGKRFYPFGRGSGSQVVEQFGIPHLFDLPIRPTLSASGDSGIPEVVSDPQGEVADTFQNLGVCVVQQCAKIRQQVSTAVTYDATIRAIMVKLPNSNEVFLLDPATVRRNDRSAQSVDEWTGEQKLQYNDVPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTMERLVDAPEPIPA >KZN02595 pep chromosome:ASM162521v1:3:34911764:34912281:-1 gene:DCAR_011349 transcript:KZN02595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNPSRADTNSSRYKKKEEAPIREASQVGEQEVKDSISQFLFEKKLTHSDVKGQNRIIIPKVSWIV >KZN02833 pep chromosome:ASM162521v1:3:37904287:37908638:-1 gene:DCAR_011589 transcript:KZN02833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSFTSSPAALLLNNNATTYHLPRFLSISSFPNHKSVWRPQVALLHVKCLANIPEKDAILEETEEEQNKSLSESDSLGSISAYTWCAGLGALGFLETTYLTYLKLTNSDVFCPLGSSSTSCSSILNSQYSLLFGVPLPLIGMIAYGLVTNLALQLAGKELPFRVNETNGRLILLATTTSMATASTYFLYVLSTSFPGDSCAYCLFSALLSFGLLFTTLKASGLREVQNYVGLQLVLAAIVVTALSTSYSISSPVSTRSISWGKTAFKFLKQSLCLIRNGGTLTLCDVKMKCEELDLPMKWKEGQRFQRNIGYRRDKFQGKTEMAELYQFPLCKL >KZN02750 pep chromosome:ASM162521v1:3:36880389:36882496:1 gene:DCAR_011505 transcript:KZN02750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGKNRRERSPERDRGRASVQIQMHESTRAITRVMKHPPPSLKKVQVVYYLSKNGQLEHPHFIEVTHLAHHHLRLKDVLERLTVLRGRSMPSLYSWSYKRSYRNGYVWNDLAENDDIISPSEGAEYVLKGSQLIETACTEKFHHPQVVILPEPSTYHAKRKTLVPKRHGDPQEFDNNIATRYEDDEEEYFDEKTTYTAPNTPYSRCSIGVSTDEIELDQQNKTGHKISSPELANHNSSPPSATSSSLSDKANDNSKRFEDGDMVGTESILSRNSMLYNLIACGGSVSFRGKSKVPIVKEQAELGRKSSSLHKGVLKSAVATKVAVVEDEDDQDEIRCMSENPRFGNLQSEEKEYFSGSIVEAICEDDRVKAVPMLKKSNSYNEERSSKACGDVVGEEKDSKKKTEKECGKGKCLPRTKRSSTSCLSSFI >KZN00524 pep chromosome:ASM162521v1:3:6098383:6100497:1 gene:DCAR_009278 transcript:KZN00524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPNVKFTHLVFIFLVHLSYFSGAGATEFDLSTLTLSNLKLLGDAHWSNATLMLTKDLAVPNSGAGKILYSKPIRFRQPGVDIPASFSTFFSFSITNLNPSSIGGGLAFIISPEDESVGYSGGYLGTIDDSPNGVVAVEFDTLMDVEFKDINGNHVGLDLNSMISTQVGDLESIDVDLKSGTVVNSWVEYSGSTQVFDISVSYSNSKPKQPLLSFALDLDKYVSDFMYVGFSGSTQGSTETHSILWWSFSSHFDSDSKNGSASMSPPPTSPLMNPAANSVSPTPVESNRTVLRSSDGGKKCHSQLCREGAGAVVGVVTAGAFGLALFAMLIFWVYSKKVKHVKKSGSLASEYIKMPKEFSYKQLKLATKKFDSSRIIGHGAFGTVYKGILAETGDIVAVKKCSHSGQGKAEFFSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDYMPNGSLDKALFESRMTLQWAHRKKILLGVASALAYLHQECENQVIHRDVKSSNIMLDEGFNAKLGDFGLARQIEHDKSPDATVAAGTMGYLAPEYLLTGRATEKTDAFSYGAVVLEVASGRRPIEKDVKRVEKTGTGSNLVEWVWSLHKEGALLVAADPNLHGEFEESEMRRVLLVGLACSHPDPIARPTMRAVAQMLVGEAEVPIVPRTKPSMSFSTSHLILNLQDSVSDLNGMITISTSSSDHSYNGDSSIVGLV >KZN00928 pep chromosome:ASM162521v1:3:9935599:9937248:-1 gene:DCAR_009682 transcript:KZN00928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVLNTQVNCDGNTYSVTDYGAVSDGETDNTQSFMDTWKAACKAGGTMVIPAAKGNFFVNRIELDGPCSGQVTFQLDGVIVAPSGNPYRGSWFTFYSIDGLTVQGSGMFDGNGPSAWAQCPKCSPSIGLYAITNSHIQDITSLNSKGVHFVMVGGDGVTFEHLNITAPSDSPNTDGISMAKSSNIQVVDSYIGTGDDCVAIGQGSTDINISGVNCGPGHGISIGSIGKVEADKDVNGIHVQNCTLTSTQNGVRIKSWAPSYPVTVSNVTYEDITINNASNPIVIDQTYCFVNKQCPGDSQVQISDVKYIGVTGTSASQVAVNLQCSNSRTCQDIHLENIDLTLTEGGQASSNCANANVTYSGTQNPPPCNQ >KZN03261 pep chromosome:ASM162521v1:3:42988792:42988971:-1 gene:DCAR_012017 transcript:KZN03261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRPYNDTSNLDYTNEKADAEYVGSRYLKAKLVQSAQFVIKRLETRSLRTWGFVKACFY >KZN00076 pep chromosome:ASM162521v1:3:1695601:1696268:-1 gene:DCAR_008830 transcript:KZN00076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAGGNGGQENQNNPNEINPFQNNHPIVMNANDIVIAPWSPWMSLARQEDYRHYFESYSGTLKGDEDDENKNEMSGKDLDWFYDLSNFAESALLEILIRLPVKSLFRYECVCKNWLDLISHPSCSRLYVSSKLKASSGFRLFYRYVYAPEFEEVLRRLKPDVLVSREFSVVFLSSLEEQQMSKQFKAVGESS >KZN01107 pep chromosome:ASM162521v1:3:11747741:11751545:1 gene:DCAR_009861 transcript:KZN01107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGKSKEVVTTTKPSFTASAATTQAHSQLFIRNIKPTYNAQGTPVLEPLTGSFPPPQSQPAGREAVIGLPHEENRRGGSVSRKRGRPKMFGPQGCMAFSSYSQLQHSNANSSPGGSSLKARVKRGRPLGEIKQHKMEAPLGSASRGFIPHVVTVQAGEDVSSKLMSFSQNVPRTICILSGSGAISTVTLRHPASSGGTTTYEGLFNILSISGSFSLSKDGQQSRTGGLSISLACQDGRFLGGCVAGPLIAASPVQVVVGNFIEEECRDHDATNQIPSSATEKFIPGGDSTGPSSSPPSHGTHSESSGRATY >KZN01565 pep chromosome:ASM162521v1:3:20628149:20629355:-1 gene:DCAR_010319 transcript:KZN01565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKSYDCFIACLIAVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTAHEGRIYTLKLFCDKDYPDKPPTVRFHSRINMTCVNHETGVVESKKFGMLANWQREFTMEHILTQLRKEMTISQNRKLSQPPEGTCF >KZN00091 pep chromosome:ASM162521v1:3:1824509:1825329:-1 gene:DCAR_008845 transcript:KZN00091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCKGAHHLTANQQAGPKKQKKGETSKGKSREERLDMYIAHFKDSTIISAFAKDVDTSRPHRMTFREYASMRLDEIENENFDLEGDYSNITEAGPLVRLYDPEANIRVSDPDVFTEIMDCSRLAIEDYNKSNGTHFEVVNLLKANVEALCAYRYYITFEAIDKTQNITQSFQAKVAVCIPITVRDVQLVRIRQPPEPYSP >KZN02823 pep chromosome:ASM162521v1:3:37754376:37755926:-1 gene:DCAR_011579 transcript:KZN02823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYAYPSRSLPPSSTISSFSISNLVFKVKEYFSSAVSVILGNVFSAIFTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGLGCLLYLIDVLASLLSGRLVRERIGPAMLSAVQSQMGAVETSFDDVHNIFDIGGAKGLAGDSVEKIPKIRITRDHNVDASGEKICCSVCLQDFQLGETVRSLPQCHHIFHLPCIDKWLVRHGSCPLCRRDL >KZN03917 pep chromosome:ASM162521v1:3:49868370:49873288:-1 gene:DCAR_012673 transcript:KZN03917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATEAGAAEVVAPPPAQTVGNAFVAQYYKILHHSPSLVHRFYQDISQLGRPEEDGSTSITTTMTAINEKVLSLHYDDYKAEIKSVDAQESLSSGVHVLVTGYMTGKDNIERNFTQSFFLAPQDRGGYFVLNDMFQYVDKVSIAESKTQAFSVEVEIPVTAEQEQVVTEAAPVEDDHITEQTNGVAEEANGEVHSPFENGKIVEATEEQEEEPVAEVVNAVPDDSQMVVEANVKTEEAPKKSYASIVKDLKVNSLPFSSPPPASRKTPSKSQEKKVNHAPPTVSSAEVSGAAPESGKSQDGEAEGYSIYIKGLPYDATPALLEDEFKKFGAIKNGGIQVRSKQGFCFGFVEFEEESAVHNAVKASPITLGGRPAVIEEKRSTNSRVTNRGRFPAGRGVGFRNEAGFRNEGMRGRGNYGGGRGYNRAEFSGRSEFSNNRGNNRGGSSNRGGGDGFQRTENSANGGRVTRGGGLSGSGAAKNLAPRVPASA >KZN00620 pep chromosome:ASM162521v1:3:6981871:6988207:1 gene:DCAR_009374 transcript:KZN00620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSSPISIQLGPASITCPRQPPHLLPIHSGISSRFRVLCIQGTGNASKPKPPMPTENDEFSGWSNDNVNGGESAEMQGKKWRGGIVGAGVAGVILVAGLTFAALSINKRITNPELYMEPLTTEQELAVDKENMNDAEEELKNEGQNATLDTDALRFETAPQAFQEKVLVPAVVDQIQRQALATLQVLKVIEADAKPGDLCTRREYARWLVSASSSLSRNTASKVYPAMFIENITELAFDDVTPEDPDFPSIQGLAEAGLIFSKFSRRDMHSVSEIGETSLCFCPESPLSRQDLVSWKRSLEKRQLPVADKKILQQVSGFLDIDRIDQNACPALVADLSAGEQGIVALAFGYTRRFQPNKAVTKAQAAIALANGEASNMISEKIRRIKAESLTEKAVAADSALVDQVEKDINASFEKELLLEKEKVDAVEKLAEVATEELEGIRAEQEERNIALLNKRAVIDLETEILSCLRHEVEKQLNSLMGDQVEVSYGKRSLSKLQKDAEMQNQEISRLQHELEVERKALSMARAWAEDELKGARMQAKVLEDVRAHWERRGIKVVDDDLKDEVNAAVSWINAGTESSVEGTVSRAETVVDKLKAMAFDLRGRSKDVIDKIVQKIS >KZN02242 pep chromosome:ASM162521v1:3:31248718:31249056:1 gene:DCAR_010996 transcript:KZN02242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTHNTNTEECGSCESGWTTYILSLDEVSNDNDGNISDDSVVSDASSGLTHQEQRSEDRGGGNKLDDGANLCSKPKIIEKKIGQGNDVIVKEEGLVQQSEFVAAHVNEAGL >KZN02262 pep chromosome:ASM162521v1:3:31418423:31420178:1 gene:DCAR_011016 transcript:KZN02262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEKRIIKAKQEIDISNFLPEAVFSNIISWLPVKEAVRTSVLVKTWKNSWKYLSRLDLNPISISKPNVEVVSRDSQDGRTWEDLMQCTSLYSSIIQSIQNEVTHCQIIHFSANISNGDLENWVKELITKKKVTTLSLKCSENDIADRKNDGILFVLCPGIFSHLECLELARYRLLDTSPFQNCESLRILILSSLELNSKCITEICSFCKNMEKLSMLSCEGFSTLKISGQNLKFVELRFLDIDNIKIFAEALTTLILDKIYCQWSSVVIDAPKVTELQVYCSIQDASQMYRETYFSQEKLLERCTGFLSCHQHSHLLYSSQMGYVSAFRNLEVLSTSLDLNDIRHVILLSYIIRVCFHLKRLDITVEVRDSNKVGNLSYPEHLFWEQKGISDCLACCLRVVSIHNFRGEVLEMGFVKYLITRAPRMLRLTVKCATNDAVTATQSLLLLPRSSKNVSVVIDPPSAATDTMVLTIQPADV >KZN02678 pep chromosome:ASM162521v1:3:35792142:35794818:-1 gene:DCAR_011432 transcript:KZN02678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSFGTSISFRGVSNPSSPRACKINDSFASPRFTRPSLISRWFFLLVVIGSLVSFSAAVAVYFSVLPNLTQLFLGYGVYVRHGFPDSCNVFDGGWVVDDTYPLYNASECPFVEQGFNCLANGRRDENYLKWRWKPKSCEIPRLNVRSVLELLRSKRIVFVGDSMSRTQWESLICLLMTGVEDKGSVYEVNGNNITKQIRFLGVRFSSFNFTIEFYRSVFLVQHNWGIKRGPKRVRSALKLDKMDEISNEWIDSDVLIFNSGQWWVPGKLFGTGCYFQVHSSLKLGMSIDRAYSTALHTWASWVNAHISPNKTRVFFRTFEPSHWRNLTMRLCNVTHHPLSEPGGKDQSEFSDTVMDVVRDMKVPVTVLHITPMSALRSDAHVGYWSDNSSLSDCSHWCLPGVPDVWNEIVLSYLFANHGVTFL >KZN00311 pep chromosome:ASM162521v1:3:4114381:4118097:1 gene:DCAR_009065 transcript:KZN00311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPAPPPAKAEALVVAGSGETSESAGGGAGGAMSNDRVMAMMMYNRGVKKAKKERSGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGLVRVTDYTRDLDEMQNLSREDYLASLRRKSSGFSRGTSKYRGLSSRWESSVSRMDGADYFNSINYGDDATPDGESLGGYCIDRKIDLTSYIKWWAAPNKSRQTDLHTKSSDETKYSGSEDISSELKAFDWAMPPTEPYQMPHLGISREGKWLKSSTSSALSILSRSAAYKSLQVKALKKKEAVIENDENENKNSVNKIEYGKAVEKSSNEGGSERLAVTLGMSGGLSLQRNLYPLAPFLTAPLMTSYNTVDPLTDPILWASLLPNLPSGSSRIPEVTKTETSDYTFYSSENQN >KZN02163 pep chromosome:ASM162521v1:3:30375806:30378773:1 gene:DCAR_010917 transcript:KZN02163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRPIATALHCANKPTSHQTTKGKIVTVLSIDGGGIRGIIPGTILAFLESKLQELDGPNARIADYFDVISGTSTGGLVTAMLAAPDKDNKPLFAAKDINKFYFEHGPKIFPQDSASATGPKYDGKYLRSIIKDLLDTKTMNQTLSDVIIPTFDIKLIQPMVFSTSDALFKIQVLMQAKIKTSKNALLADVCVATSAAPTFLPAHYFETKHEDGKTRSFNLIDGGVAANNPTQVAITHIFNQIVKGHFDTVDIKPMDTTKILVVSLGTGTAKFEAKYNATMVAEWSPINWIFDKGATPLIDVYSASSTDMVDIQVSSMFQALGAEKNYLRIQDDNLTGTTTSVDGATTTNMEALADIGSKLLEKSVARVNVDTGAFEPVVGEGTNSDALTRFAKLLSDERKIRIAK >KZN01436 pep chromosome:ASM162521v1:3:16218060:16229801:-1 gene:DCAR_010190 transcript:KZN01436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLSATSLSSFPKQVRPFSLPLKPLFTPPTKTPFIVRALNSSPDTKTTNNDDVSNNGTPISSSVEDDTKINKKIDYPTGEFEYKEPSGWMSFVVKLRMLIALPWQRVKKGSVLTMKLRGQITDQLKSRFASGLSLPQICENFTKAAYDPRVAGIYLHIEPLDCGWGKIEEIRRHILNFKKSGKFILCYVPACGEKEYYLGCSCEELYAPPSAYFSLYGLSVQAQFLGGVLEKVGIEPQVQRIGKYKSAGDQLTRKSISEPNREMLTALLDNINGHWLDKVSRMKGKTREEIENFISEGVYQIDRLKEDGWITDIKYDDEVTSMLKEKLGLKEDKKLPTVDYRKYSRVKGWTLGLSGGKDQIAIIRASGSISRVRGRFSTPGSSIVAEQFIEKIRSVKESKRYKAVIIRIDSPGGDALASDLMWREIRLLAASKPVVASMADVAASGGYYMAMAAGAIVSENLTLTGSIGVVTGKFNLGKLYERIGFNKDIISRGRYAELTAADQRPFRPDEEELFAKSAQNAYSQFRDKAAFSRSMTVDQMEEVAQGRVWTGNDAASRGLVDAIGGLSRAVAIAKKKADIPEDKQVTLVELSRPSSTLPELLSGIGSSIVGVDQTLRELLQGLASADGVQARMDGIMFQGSENTSHGNAFMSLIKDYLSSI >KZN02173 pep chromosome:ASM162521v1:3:30485984:30486583:-1 gene:DCAR_010927 transcript:KZN02173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFIDKIMVDETLTSISMLPSLIAESVQAMMQSYGPGGIYGVQVFDACTDLTGKPILICQIFKYGRNTAIEGDSTSLKAPMPCTLEEFEDWICNKRAIGIAVLKSKLEDFIRGRKACVLGSRMGGDVEEILTLYYNNDVLKTDTTELTAMYKRVTEGKYNHSTKTRELYKEMTPGLRRKTIVTLQSPSKEEGCSNPFE >KZN01783 pep chromosome:ASM162521v1:3:25053891:25054265:1 gene:DCAR_010537 transcript:KZN01783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPPKEMGVNDFSYEGLNMDLGLLGGEIPLQTDELSSNSDVHALLDDVPKLPGINDIFWEQFLSTSPHTGDSSDDDNRHSTLEIKDGKEQETQFVQENGWDRTAHMNHLTEQMGLLASGADKV >KZN01065 pep chromosome:ASM162521v1:3:11287640:11293757:-1 gene:DCAR_009819 transcript:KZN01065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLFNWLRIRVVRARPSSPPISQPNTESAVSRNRKRLKHSPVSQEGSDGSPGTEERCNLLDSPRQDPDFEGLRDEAKFLKACGTIPATPADIHKPSIMLNNLSPSGFSDSSEFNSLLPNTSPQNLNLEMEPDHDCTPNKQCKDWVTCSASSAHDPNSCIRNGQMIFTSAEKNDVDKFDTSVHVFTGETTSVHSRNKSVRFNCPTGTSLSSLGSSSSGSYTHSIEKSESPDDERRSKLSPNPTPLKLSDDMQTPGTVFPSHIGKIVVGKNPRIRTQYVQAVGNEIEDFSHLRGLRNHELNPDQQSAHLIKPSEQANISTTDSEVKKADIATPSSEVSMFISSVEEEMKVEPSLSCCMKPLPFNQCANNQWVVNFNSEDTYFGHNPGDRPIFGTVAAPWNEDDSSLISLKSWDGNGIPNSTTKYKEDQKVSWHATPFEERLEKALSEKHVITQSFVVSSWQAYAGS >KZN03589 pep chromosome:ASM162521v1:3:46046253:46052266:-1 gene:DCAR_012345 transcript:KZN03589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVFEFFSNSGYTFIKIIAARDDICHTCNKSAKGSGAYLCTRHNDRRSFCLHKTCSKLPISVYLHEHSLCLQKDFIFAEDAACIICNKRVVGSPTYTCVSRNDDVNCQNFYLHKTCAEIPQQINHHKHTIHPLSLLPRPDRLTCDICRRHIKVSYGCVECNFNVCVFCGLEQRVLHHQGHKEHALTLMKKVSSFQCDACHVKAKDSSYVCTTCEFWIHKTCAFFPYTIPSPTFHPHPLTLVYSVPNIHIFFKQFCGICNRFVYRNCWVYYCRKCTYFVHMKCSTSTISMVSENEADDINNEPDLVLFPLLSQESIFDLIVTLCCKFRVNFTGGGETSVAMSVTSNDSHIIEKHWSHQIHPLQLLQFTICDNDSDDSDDDRRELICDGCIQPITISHPSYYACIQCGFFLHSFCATMLPQELPAGESHFHPDHSLLLQETGSPTYSCMSHDVDVHCQNFYLHKICVDQLPTQIDHNKHKIHPLSLLPRQDNYTCDICTRDVKVSYACVECEFDVCVFCAFEQRVLHHQGHKEHSLILMKKKALSDCDACHEEAKDYSYVCTTCDFWIHKSCALSPSIIPNPTYHHHPLTLVYSIPDIHRYFKQYCGICHKKVYKSYWVYYCHKCTYFVHMKCSTSTVSMGNKDEADDSDNESDLVQFPLPSRESMFDIIVAQCGKLKVDFQGKGENSDTITTIPNDPFIIEKHWSHKKHPLQQLKFTISQNCDDDSDDDNEVLICNGCIQPITVSHPSYYGCIQCDFFLHSFCATKLPQKLPVGASHFHPNHSLLLQMKDKFYDFVVCGVCNYSTNGFYYHCQTCDIYVDIRCAFLPSRIKHKSHNHHSLVQRPFFNSRCSISGLKFTTKNDMAYACESCSNFQIHLKCVFLPSSLEHKYEIHPITLRYPPFFYEGVFYCESCEERVNNQELLYHCTESEHSYHFYCGFWLNTIKLGAGTIKVLIADKPHTLALVLKRSTRKKSACSCSHCSTTFLLPRFFYECDGCGFLACSNCTAKLLGEKQRALL >KZN01304 pep chromosome:ASM162521v1:3:14256871:14259147:1 gene:DCAR_010058 transcript:KZN01304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMATNESCDQPLRDDSKKQIHSKFIQQELSAWKPILTPGWVIAIFIILGVVFILIGLLAFYASVHVEELVERYDVDCVPFTDKNKITKYIRDSSSNKTCTKTLRVQKKMKNPIYVYYQLHHFYQNHRRYVRSRSDKQLRSTKHEYETKECLPIATVNNNSKPIVPCGLIAWSLFNDTYQFSVNSKVVEVDRKDIAWKSDRDYKFGSKVYPKNFQSSGMIGGGKLNESIPLSKQEDLIVWMRTAAFSTFRKLYGQIKVDLDANSNITVVIQNNFNIYEFGGKKQLVLSTSNWSVGTNKFLGCAYLYVGGFSLVVAISFTITYVLKPRPLGDPAYLSWNKYPSIYEN >KZN01753 pep chromosome:ASM162521v1:3:24549386:24568853:1 gene:DCAR_010507 transcript:KZN01753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRSQVKVNELDCRKWDEDLYKTSILQDRLNPTLTIFRTAFPPNTSLNPHLIVAASSDGSIASYDLSSGNAARHQKNFVVAQPNCFLKAHHGPAYDVKFYASAQDEALLLSCGDDGHVRGWKWKDMLIPEDDCSQGCDLRPVLELVNPQHKGPWNALSPIPENNAIAVDEQGGCIYVAAGDSSAYCWDLEEGKIKTVFKGHADYLHCVITRNSGNQIITGSEDGTARLWDCKSGKCVRVINQEKDKKLKEVHSRVSCIALDRSESWLACGRGQTLSVWNLPACEHISKARMNAAIQDILFDDNQILAVGAEPLVSRYDMNGAILSQIQCFPQSVFSASLHPSGVTAVAGYGGIVDVLSQFGSHSCTFQCRSQ >KZN00910 pep chromosome:ASM162521v1:3:9801593:9805243:-1 gene:DCAR_009664 transcript:KZN00910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSFVRFSTPIGKHAAAFSSGAGRGRGRGAGGDPLFNFSRTAPGQPVDDDTNVSGPGHGRGGDEIRAPGARAFVDDDFVLGDPARPDPREFGRKVPEFIQRFEEELAGDRSDELVGNRGKGNESEVRSGILNVLGGAGRGSVNGGGFGPGIGVRQQENRFIRARQENVGTRENVKANVNENVSVRTPSKKFSNLEDARKNAIDILSRGDGSGDGGERVMESGGRGERVMESGGRGAGRGGRGRGGRGFRGRGGRGGRFGGRGERRDEDDDDDEDDDDEDASFIGDPADGEKLAQKLGPDMMNKLVEGFEEMSESVLPSPQQDAFIDAMHLNYSIECEPEYLMGDFESNPDIDEKPPMTLRECLEKAKPFVMAYENIQSHEEWEEAVEETMKNVPLMEQIVEYYSGPERITAKQQMEELDRIAKTLPKSVPDSVARFTNRAVLSLQSNPGWGIDKKWQFMSKLVGEVSEQSK >KZN02804 pep chromosome:ASM162521v1:3:37517245:37519399:-1 gene:DCAR_011560 transcript:KZN02804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSVTPILKDELDIVIATVRNLDFLEKWRPFFQHYHLIIVQDGDPSKTIKVPEGDGTDFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNNRFVDAVMTVPKGTLFPMCGMNLGFNRELIGPAMYLGLMGDGQPIGRYDDMWAGWCTKVICDHLGYGVKTGLPYVWHTKASSPFANLKKEYKGIYWQEELIPFFQAVTLPKECTTVKKCYLELSKQVRAKLGKVDEYFNKLADAMITWIQAWDELNPSKGEAGSLFAEQSISVEGFSSVLPSTLGGKHTAYAYVNVPFPGQCITDDEVLLFLLIEQLDLYHFWCLKLRDEQIAVVGIIISIMKDATSWKWGTVTSNNMGDTNSMTSMKTNQF >KZN03491 pep chromosome:ASM162521v1:3:45057074:45061715:1 gene:DCAR_012247 transcript:KZN03491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKSIHAGKAKVDLNVDFTHKLCAALMLQPFRDTGSPLSLVIGSLCIKHPNLFGKSEKLDLLWDKGLYDSNVSIAYRKPRPEWLTQQTLFLQHSVSPEVAIHGLPFDNFSRSGSGGVNLCRVSAGLDLSEPAGTNWSSKTSVKFEHVRPMNDNGHSITRDVHGFPVTCSGAHHDSMVVLKQESRFARANDGSFTKLNLQIEQGIPLLSKWLIFNRFKFVASRGLKLGPTFLLTSLTGGSIVGDIAPYQAFAIGGLGSVRGYGEGAVGTGRSCLVANSELILPLNQMCEGAIFMDCGSDLGSGCYVPGDPALRHGKPGSGVGGGCGLRFKFPLGHLQVDYAINAFQQKTVYFGFSNVAS >KZN01807 pep chromosome:ASM162521v1:3:25428886:25429632:1 gene:DCAR_010561 transcript:KZN01807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESELNKKMGGLNLEESKRSTETDDDLLVQDKADHDLHFQEAKDIGEEYLLRDKSFGVTACDTDSSFVGNIIVHRQDVSGFNRKVVEEDASCLTSPYRVNFSNITDASWLLKN >KZN03053 pep chromosome:ASM162521v1:3:40635376:40637368:-1 gene:DCAR_011809 transcript:KZN03053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSFLVFSAPILLIIADTLVPSALLSASVSPQPLYAHLSNYDFRYSLIDIPIVSIIRSAIILCVYSLCDRPGISRGPYFAIATICSVSSLIFVSLKASYVFSTLSYTSVDAYGRAMEIALFLSSWILAIGHIVVAYRISCRERRKLLVYKIDIEAVSLMQEWVSKVAKSSARRKSEVKSIT >KZN01063 pep chromosome:ASM162521v1:3:11278260:11278808:1 gene:DCAR_009817 transcript:KZN01063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHVRQIPCVNRRCPFCNNFFPGLLTLFFHCIKVHLELQCRICGAVRVGYHFLVRHFLQVHMQITIPRPMDLIRIGIRLRDIPLCHASLEDMQSAADSLSQFLLQRGYTTSNVTASVVRLIITQSATQGGTNSVLSQLDHNIVVTSLNQSPVTSESSQEHTESAEIIHVEDSDSDSDDEESG >KZN00596 pep chromosome:ASM162521v1:3:6729932:6731398:-1 gene:DCAR_009350 transcript:KZN00596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRFFIWAGLQPSYGHSLYMYNKACNLLRIDRNPEIIYDVVHAYWTERCSVTVKTFKVVLCLCKEARLANEGLWVLRRMKEFGCGVNTVAYNVVIRSFCYVGNVEEAVRLVREMGSTGNFPDMITYGAIVKCYVDGGRLDEAFGLFRGMKAHGCVPNTVLYSILIDGVCRFGSFEKALELLCEMENGGKSCRPNAVTYTAVIQSLCEGGRSVTALSVLDRMKASGCSPNKITLRTLINGLCVEGNVDDAYKLIERVVEVGDLSVGECYSSLVVCLLWVGKFEEAEKVFRILLVGGVNPDGLASSHLLRGLCLKGRILDGFRLHKDIEILGSVSGIDSDIYSLLLAGLIENNYLVEAENLAGLMSERDIQLKPPYLEHVVDYLKSSGKSELLSHFYRSQK >KZN00854 pep chromosome:ASM162521v1:3:9275950:9277032:-1 gene:DCAR_009608 transcript:KZN00854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGTQISSQVHPTITGLEYVMQVGIGTPPVRYNAVINTGADLIWTQCKPCLNCMKQSTLLFDSEKSSSFSNLSCSSPFCDALQLSLCAIDICTYTYVYNKDTYTRGTMATETFTFGENAKVSDLAFGCGQDNHFFEEDGFGGVMGMGRGMMSLVSQLNVSKFSYCLPSIADDTKSISTLFLGSVPNFSLDSATTKTTYLVKNKIYPSFYYVMLCGISVGDARLDIDEDKFAVNDDGNGGMAIDASTTNTVLEEEAFHRVANEFASQMNATVDDTSSDGYVACFNLHSSVVVPKLVFHFENADIELDNYMINDPRSGKWCLTMAISGDGTSVLGNMQQRNMLVVYDLEKEMVSFVPKRC >KZM99959 pep chromosome:ASM162521v1:3:477714:479949:1 gene:DCAR_008714 transcript:KZM99959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVANLLLLGSKAGYFNFFFRDYYLSKFFKKSFQVLKPDMLLVLGDVSARGAELTRSNWSSVIQQFHRVLGPFLELPYHVLLGDRDIGGCSDLNSGSVSWISSNFPGLDSSGCSAFGIGNISFVSLNSVALLCGDNSLRFGVEKTVETESTELQMETEQTEQPVQVSKDIRLEDFIWRENALSSGSGPVLLLHMPLHLRANRFQESNMYDKKAEYKHQDATRKGSSDLAGLGPYELWHTLPLNATEYIFQALKPRIIFSAHTHKFCDRTHYDGTREITVPAMSWEAVDDPGFVFASFKSNRKSITLTMAF >KZN02674 pep chromosome:ASM162521v1:3:35732532:35733718:-1 gene:DCAR_011428 transcript:KZN02674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKILLKRSVQQFSPDPYLGTAINCMFWILYALPVVHRGSILVLIGNSIGLGLESVYLIMFLLYAKSNQQRIYIISIFIVELAVIGLVGGLVIGLVHTDEERSRIIGILCIIFNLAMSGSPLTIARQVIQTKSVEYMPFFLSLTNTLSGVFWLTYATIRLDLNILIPNIIGAGLGVLQLILYALYYKPSPKDTSVVSVETV >KZN02102 pep chromosome:ASM162521v1:3:29624227:29629892:1 gene:DCAR_010856 transcript:KZN02102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLEAAGKDVLVDIVKLAQKRGMEGSKGGWKQFLSSYDKQLGSSLSDPSRRKPEVLLAFLNTFTKEEDLKYLEKLLDCHANRDNVLKDLKKSAGAESPEQNVCWLVQKLVRLTLEHPHYPSSYSFPSHEEGWEVTKKIKKSKAMRSTDMISVDCEMVLCEDGTEALVRVCVVDRNLEVKLDKLVKPDKAVADYRSDITGITAEDLVGETCSLLYVQKSLKNLLKNGTILVGHSLNNDLKALKLDHARVIDTALVFRYANGSNFRRPSLSDLCKLVLGFELREKGAPHNCLDDASAAMKLVLAQIEAGVENLVRLPEKDVAEKDMAKLLVHRISTSLPEEELHNIISGEFTIEVKTGNKAGGGVYSAFIIFGNQQLANEAYENLDGKQEQDSSGRPQKLVSYKLTTGVTHSLYVCKIVHDSQIGQTVSKRSLEGEVPVKSKKKSKPDPVVQEPEQNQVDSEIEKLKLQLSLRDQEISNLNKIIAKLTRKQRR >KZN00175 pep chromosome:ASM162521v1:3:2742300:2744434:1 gene:DCAR_008929 transcript:KZN00175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVSAQCRFLSYRTKKLSLASKSQTEKRMIRVATEIPEKCFPGSQLENVVFEIVKSDGVIDGTVNDNEKGDMSHAVVLKSDLTEIYDSVKCSFRHGCCPCCAIPLPQKVELNGFQNSASLDAISDGDSSYSPDKERMLIEIESSHTASSVVCKISRNKRTCDFSKDIIGVVALLGNVETNELGRILPEFLGEEQMLGVVCKSLKAAYNLETCDVDGSMK >KZN02668 pep chromosome:ASM162521v1:3:35651220:35656274:-1 gene:DCAR_011422 transcript:KZN02668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSISDIPVVGKTLDKISDVIVEAGTRGLRYCFCYKRLVKDLNSEAKKVVIQEDRIARKVDAERSDGKVILDHVLKWQHEAVEIRTQIEVFSERYQQKRSWMCLKDVRFCHPVARFRYGKEAAQKIKKVTKLVDSGKELIDNEIAYHPPCDNVPVSDGTIYWKFESRKDAYVKIWEALVEDDGFSRIGIYGMPGVGKTRMMEQAWEEVKEKGIFNKVIRVNVGNDQVDVMKIQERLAGYLGCNLDLKINEDQRASQLMKSLKNGGKVLVIFDDVWSVIPFHKIGIPLGDGSSDGCKILLTSRLQDVCLRNSCQRLVEIKTLTDDEAWDMFKNCVGTSRIDLLQDESLAPKVCRECAGLPLLIVAVAKALKFMSEYSWRDALDQLQNCIIEDVPGIDREVYVCIKLSFDKLPKDAKSCLLLCSLFPEDAEIEINVLFDFAIGSQLLNSERNRVYAMVEILKSASLLLACNDDGKVKLHDSIRDVARSVAAKDPLYAFLFLRCSSRFPSTFDDHACRLLHLCIENDAVRFQDDLVFPDLHTLWLERCHLGIHFSESFSKVFLNVRFMVVRRLSFPLGFQAPHKLNMLVFDHCNLDDISTIVSKNLETLMVWGCNIPSPIKLPELKYLQKLELLYFYEILMMPNALSSLSSLEELHIPGGLKIWLGGQRVLERSCVEISAELSRLNRLKILKIRLRHPESCPEENVMKNLQEFSINVGYSYEHEGGSYTSTKLVNFFDTQLQGAGIAAVQRAEEVNLRNVEGSPNGLIISKSEAFAELRKLHIGGCDDMEHLTTIPDGKMRPNSMIKCFTNLSVLKISNCSSLIYLFCPSVAKCLVQLQELCIYACHEMENIIRNDDQIDEEIIMFPKLKLLELNYMKKLTSFYGKKREAASTSTRMDIFDIPCVQDQSLFDGTVALPSLEILQLEDLENVRQVWKEEYCGNNCFRQLKILEVKNCTELEVVIQPEILSRLQNLEKLHVESCPNLRYVFLPPTEMLYGLQNLQELHINNCNSLTYVLLTPAARNLVCLKQLHVSYCYNMKEIIMEICDDVLNIDEKVVFLELESLKLTHLPHFTTFLNHELVDNSLLAELPFSEISHSLKSDEADICKGHSCTVSKVTFPNLMVLEFEGLRIGLNDMELSSDDFNSGIMSLKIDSQHELQLPSIWQLQNVEILHLANCWRHGLFKEINPQGSFQRLKVLKVYHHRLPALFSYTVFENLQQLEELELQNCCHLEEIIEDSETSMNNGKTLSFFRLTSIVLGNLPSLKDFGAKAIFACNLPALKVVKVCNCQLSTLFTCTVFKNLQQLEELEVSECRLLDQIVADDKTSSTEEKTISLHLLKSLRLVELPELVSFSSSPTYNFDFPALRSFRWDECQKMNYFTNLQVHTPLLHVASSWNWGVSFSDLNEYIRVENDEEMFTIALKNIFELDT >KZN02846 pep chromosome:ASM162521v1:3:37995113:37997062:1 gene:DCAR_011602 transcript:KZN02846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEMWNAPPGFRPTKSAPCSPAKPLGVSRTRSESFHVAHKVPVGDSPYVRAKNVQLVDKDPERAIPLFWAAINSGDRVDSALKDMAIVMKQQNRSEEAIEAIKSLRIRCSDQSQESLDNILLDLYKRCGRLDDQIALLRRKLFLIQQGMAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYTEAEDAYRRALAIAADSNKMCNLGICLMKQGRITEAKETLRRVKPPAVADGQRGVDSHLKAYERAQQMLRDLESEMMNKGGDRIEQSKLFNAFLGSSAIWQPQPCRELNAAPNPSVTPARRLQDDFADENVNSNNIPNQPNPQGPGKPTVPFGNSLNIAAQPFFSSKFVAPQPPADNQSMTDRLKRTRSANAAGTAADADGAANLNLTVGNKFGQSWKPPLMETKSQPNSPKGDKWKELALPDNKDFEDAILAAVLGSLTDEPKSKLVVKNGEGEVGKVQLKVEKKRLKVFQQIAPSLSPRT >KZN02651 pep chromosome:ASM162521v1:3:35558117:35558926:1 gene:DCAR_011405 transcript:KZN02651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHLKIHPVVDIEAPPTAPLVANSSSKASERGGSLLHVKDMNPAPPRAQPPPGPPPPQFRQTTPLIPSPPPRRKSRSFCCKCICWTISLLILILIILGAIVGILYLVFQPKIPKYSVDRLQISDLRLNTDGSLYAKFDVQITAKNPNKKIGIYYQQGSHLSVWYAKTKLCQGKFPKFYQGHMNKTRLDVSLTGQAQYGNTLLGALQEQQQTGRIPLDLKVDVPVSVKLGKLKLRKVRILGKCLLIVDTLSSNSVITIKASSCKFKLKL >KZN01488 pep chromosome:ASM162521v1:3:17248991:17249938:1 gene:DCAR_010247 transcript:KZN01488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYRGQNYFVHLISEFYGNMVVQKGMDDVLKISTVVHNKNMLVDVNTLNRCLKLGDQVHFQPCINVYAKFAFDRKEFEVFVGHFCDSDVPLGLCDENCAIEYHHFTPLYQQIAIVVRSNLLPKPKHAQFFDFVDLKVMFQLVTNQVEFNINYVILLNMIMTFEVEYLPYGLLLTSLFELYHIGMPRILAEKIEYCDIINIVKNQVLIKDHNPLNIKPVCIAPEMIITGNKGALTENSAELVKLKAEVASLKEINLKIIARLDNLENKHKEDSTVGNNEGIDEKMDRLFSEEMVNEMVDKSDKMAVDKAENLLLN >KZN01965 pep chromosome:ASM162521v1:3:27459571:27460089:1 gene:DCAR_010719 transcript:KZN01965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYVSCTLSSPLRGKKQSRATKVLFPSGEIRQFYDPIKAAELMLESPSSFLVNSKTLKIGRRFSALNADEDLEMATVYVLFPMKRVNSVVTSADMATLFLTAKRASIGNARILPEAQMAAVEHSSEEAAPKLNLDDVDDFSAAEYSQRLSWCRSKKPVLETIAEEEPVGLR >KZN03328 pep chromosome:ASM162521v1:3:43633910:43636552:-1 gene:DCAR_012084 transcript:KZN03328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKCIGLFTIMILLLFLQDHVVISSPSAATTHLSPNRQKLALFQFRLSMSIDKSPAICSDGTTSKVMNWSLSSDCCTWGGVTCNQTTGDVVGLDLRCSQLIGAIFPNSTLFQLSFLQFIYLDHNNLYGLLPEEIFHLPNLQVLSVFYTNLTVSLPKNKWGSSSSLQALYLEQITLSGGIPDSIGYLKSLNLLSLPNCSIDGEIPKAIGNLTQLTKLDLSLNNLTGLIPDSLANLQNLTVLYLNNNKLSGHFPSCVANLQLLEELILSTNLLSGPLPSNLTALSLPNLTNLQIFENLLNGTIPSWLFDLPSLFFLSIDFNSFTGQLNEFNASRLVLQVFTCSNNLINGSIPESFFDLVNLTMLDLSSNNFSGVLDIEMFSHLEFLDRLILSYNSLSVRVTNSSMLPPQIQTLGLSSCKIKEFTHFFGTAENLRYLDLSNNQIHGEIPQGIGEAKFYNLDLSENFLSGGIENLPWAFLDYLNLQSNMLNGSLPASICNSSSLDVLNLSHNNLSGVLPTCPSSLDYSLSVLDVRVNSIRGSIPSALSNFRKLRSLNLYRNKLEGRIPPSFAELEYLEVLDLGSNQISDTFPQWLEALQNLQVLSLKSNKLHGSINNVSKVEHPFPSLRIIDLSNNEFSGPLPAKYIKNFKGMMNREVNTLEKRYMGDSYYSDTVTMVIKGVPTEFVRILTAFTTIDLSENNFEGEMPEFIGNLKSLRDLNLSHNHLSGHVPSLIGKLSVLESLDLSFNQLVGIIPPELTCIYTLSKLNLSYNYLSGHIPEGAQFQTFDEDSYGGNLALCGRPLSKRCNIKEISETQEDADEDDDYFFSGFTWEAVTMGYGSGVVVGFLIGYVILRTRELKWLTRITAKKWGRKVRSLEIRRFA >KZN01214 pep chromosome:ASM162521v1:3:13164506:13169068:1 gene:DCAR_009968 transcript:KZN01214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCVATFKANVQPAQAYRSGYNESGGFLVKKIGGSVNSIGKFTQLWKSFTTQKTRIKIKRGTVSSVLTSDVNDQIVEFEPPVFVHPEVDPKTVASVILGGGAGTRLFPLTSRRAKPAVPIGGCYRLIDVPMSNCINSGVRKIFILTQFNSFSLNRHLARTYNFGSEMNFADGFVEVLAATQTPGEAGKKWFQGTADAVRQFVWVFEDAKNKNVEHILILSGDHLYRMNYMDFVQKHVDTNADITVSCIPMDDSRASDYGLMKIDETGRIIQFAEKPKGSALKAMQVDTSILGLSDQDALKNPYIASMGVYVFRTDVLLKLLKDKYPSSNDFGSEIIPSAVRDHNVQAYLFSDYWEDIGTIKSFFDANLALTEQPPKFDFNDPKTPFFTSPRFLPPTKVEKCRIVDAIVSHGCFLRGCSVQHSIVGVRSRLDYGVELVDTMMMGADYYQTESEVASLLAEGKVPIGVGQNTKIRNCIIDKNAKIGKDVIITNKDGVEEGDRADEGFYIRSGITVVLKNATVKDGTII >KZN01875 pep chromosome:ASM162521v1:3:26482116:26485575:-1 gene:DCAR_010629 transcript:KZN01875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQNKVNPKTIISIGIRTSARLHRSSVERGKITQDITESQDYIGGLSVQRKYSLPGKSSEFKS >KZN02016 pep chromosome:ASM162521v1:3:28362646:28364546:1 gene:DCAR_010770 transcript:KZN02016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPMIVTSHQSQMSSMEESRYSASLSPQGGTPPPGDSSRSFFRSEDNSRLGTTPAPKMLKTAAKMIPKPSSTIISFHNATSATTQYNDDHGNDISSVLMGMISEGSRKASATTRNPLQAQDHVIAERQRRERLSQMFIQLSSLVPGLKKIDKASVLGEAANYIKQLQGRVKALEEKMIEKDGEAIVSVERFRLHTDEDQSSSSGDDNFADHNEESIPEIEVRLSETDVFLRIQCQKIPGLAVKMLSDIEKLHMTIVSSSVMPFASNSLLITVIAQMNMEFCMTADDLMKRLQFTALKLRCS >KZN02680 pep chromosome:ASM162521v1:3:35874157:35877347:-1 gene:DCAR_011435 transcript:KZN02680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFTFKLEALPRLRRRNSETFRTEYITAREVRIFAGTWNVACQLPTDDLNIEEWLDINEAADIYEIISLNAGNIFGVEDIRPIPKWERLIRETLNKIQPVKMKGKCYSDPPSPSKFEQSEDFSPTGDEASVESDGDEQEEIFMSKKESDFKNNYQVIRRDELLPTAGANLSILLDEQLSTHFYAPKTSKAFGDRVKKRVSKKEIVGLSWAEPPLDLLAHCNIDRTTSLTNSKSFKAFNSINFLKSSMNDDTSPKGQPPDTAASTSKVDLEPLVNWKRRSHYVRIVSKQMVGVFITIWVRRSLRRHIQNVNVSTVGVGAMGYMGNKGSISVSMSIYQTLFCFICTHLSAGEKKVDAIRRNADVRAIHSRTHFNSFTTIPLPKSIYDHERIIWLGDLNYRINLPYNETRKLISTSDWTKLIEHDQLVQEFKKGGTFDGWSEGTLNFPPTYKYELNSDKYRGEDSKEGRRTPAWCDRVISFGKGIKLISYGRTELRLSDHQPVAASYMVEVEVFCPKRLQKALKYTGAEIEYELNLLDYELGKI >KZN01430 pep chromosome:ASM162521v1:3:16164707:16170626:-1 gene:DCAR_010184 transcript:KZN01430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEETPNSNISIKQESDERPNSPNTPQKPVIAQLQATGKQKIKNETSWTNSSRSYVCDEGKSINGCIHTCDNYQQMKDCAVAHEQIVGVPAGDKMKDCALADELIVGVPAGDQAYHENIKTEHEDSYAEIQCNVCHNMSNQTLLLQCDLCDSSSHTYCVGLGDTVPEDDWICQNCTEHAEDEQDLKAVGLSGIDSHSGSKNRCHQNVSSTEANLSIHDIVRESGPYNVERSLPNQSRSPLTNAGDDRTVLISCRNRDSRTRALRENWDKIRQGSLSFSSFPIIKPGELSCGTSSATKSSTSDIIPDQATQDIKKAWKMMKAAKSVEKKKYTNTIPCPSNGSKHPLTNTETPKHFPSVRSMLPSSRHSGDKDKDSTNEFKEVARHATYSILAACGIGIPKPWVRPFSNPHCSHADKVDGARRSTLMPSSCRECFMAFVKDVVDTVLLEKTS >KZN02811 pep chromosome:ASM162521v1:3:37590628:37594570:1 gene:DCAR_011567 transcript:KZN02811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVAPFIFLLLVLGCSARPLYPLPSRRNDKTKQPLQTFRPYNVAHRGSNGEIPEETAAAYMRAIEEGTDFIETDILASKDGALICFHDVILDDTTDIAEHKKFASRKRTYDVQGLNTTGYFTVDFTLDELKSLRVKQRFSFRDQQYNGKFSIITFEEFISIALDAPRIVGIYPEIKNPVLINQHVKWPGGKKFEDKFVETLVKYGYKGSYLSKHWLKQPAFIQSFAPTSLIYISNQTDLPKIFLIDDVNVPCQDTNQTFAEITSDGYLDFIKEYVVGIGPWKDTLVPVSNNYLQTPNDLVARAHAHDLQVHPYTFRNENLFLHYNYSQDPYLEYDFWINEVGVDGLFTDFTGSLHRYQEWTSPSISDDDASTLLHKIASMVSKYRKT >KZN01935 pep chromosome:ASM162521v1:3:27163089:27164039:-1 gene:DCAR_010689 transcript:KZN01935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITTAEPRSPSNTRLGWIGTGVMGLSMCSHLLKAGYTLTVFTRTQSKAQPLLDLGAKWAASPSSVASQSDVVFSIVGYPSDVRHVTLHPNSGALSGLNPGGILVDMTTSDPSLAVEIADAAAAKGCFSVDAPVSGGDRGARNGSLSIFAGGDKKVIDTLSPLFNLLGKVNYMGVSGKGQFAKLGNQITIASTMVGLIEGMMFAHKAGLDLSLFINAISTGAAGSKSLELYGYRILKRDFEAGFFVNHFVKDLGICLRECQNMGIALPGLALAQQLYVSLQAHGEGDLGTQALVLALERLNNVSLQSVASSTEMA >KZN03453 pep chromosome:ASM162521v1:3:44752960:44754534:1 gene:DCAR_012209 transcript:KZN03453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFQPGFRFYPTEEELVSFYLSHRLQGHNQTDLDRVIPVVDIYDLEPSQLPNYSGELCREDKEQWFFFVPMHEREARGGRTNRTTASGYWKATGSPSYVYSSQNKVIGVKKTMVYYRGKAPLGRKTRWKMNEYKAIEEQVIAGASTSCAAPRLRHEMSVCRVYVISGTFRAFDRRPLGTAIMEPVDEPQDGQENIVTRTSSSAEYIYRETDIGNTMIIDNAGVSCSEPMIIHHAAPFSGED >KZN03367 pep chromosome:ASM162521v1:3:44068373:44069615:1 gene:DCAR_012123 transcript:KZN03367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCYFLGLVVKPIDRIFECPRWGTSTKEIVVPASTPEWQRGGSMENKVAQARRSVVQRTERREQTGVRRTLSKPDKVKKVWTYDGYIQKKFSLSIMTYVLLNLCVAQSDWVKKVWFEHLLGFRMISYPHGLGYNIVDAFCSRTYALKKQGIF >KZN00371 pep chromosome:ASM162521v1:3:4579227:4580102:1 gene:DCAR_009125 transcript:KZN00371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDESNWFSKWEEQLPSPDELMPLSQTLITPHLAVAFDITNNPVINTSPGGVATLQVQHSLQPPPPLSMPLQSPNSGEFDSSELGGGGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGSGGGSHASSGDAATDHLFASSPVPAHFLHQGRSNSEHFLPFVPVSALQQQQMVAVAGHPQYRHVPHFGSPSNAQFEHPFMARQPHQQQQQVHRMGTPVQNTLQSSYIEDLESGNARKVLTLFPTGND >KZN02063 pep chromosome:ASM162521v1:3:29015563:29016109:-1 gene:DCAR_010817 transcript:KZN02063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAWRAPRRGTIKVNVYYVHQDEPALREHNNGVGVVLRDHHGRMLWGAANLMHNLTEQQGMLWGIQVCIIHAYNMGLLKWKPDMGRDLPEDLLVTGTVFGQGEVVDG >KZN00015 pep chromosome:ASM162521v1:3:1078959:1089251:1 gene:DCAR_008769 transcript:KZN00015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEAESTAMAFNHDIVQRVMPHYYNWSKEYGKNFLYWFGPKPRLAVADPDLIKEVLLDSSGCFVKPKLNPSAKLLFGEGLVGLSGEKWGVHRRITAQAFNMERVKDWVPEMVASTRKMLDRWEEESGGRDKFLPTKKNRMRWRLEKETQQSIRTILENKKSSDSPKCLLTLLMSPYKNQENKEEKLSSEEITDECKTFYFAGKETTANHLTWALLLLALHQEWQEKAREEVSRVCGQSSSPSADNSADLKIRDLSTNKSKSLSNNRMPTLVQRAIDNTNMSFRNLISYLASHFTIPTKTKKAEKIMTDPMSIPVVGPILEKISSMIVAALFSHLNYVFCSKSLVEDLKSENEKLEIVENRMSRKAEEESNNGRILEKHVVDWKKEARENQESVKSCLEKYDNRPSGRCFGFLPIPHPLSRYRLGKEAEKMAKITTSLTTSGDRHLANPIAYLPLDMNAPETEFHEFKSREEAYQKLEGLVTDDSSSILGINGIAGAGKTRLMERITTEAGKKGTFHKFVRANVGNGKLDVIGIQQQLAGNLGCDFKSTTDSGLRANQLRSSLRQGGKVLVILDDVWRVIPLETIGILSADGMSSIGGKILFTSRSEEVCKLNKCEQPVKLKPLTFAETWDMFSKTVGADTIDSLQNISVAKDICKRCGGLPLVILALGNALKYKPLDSWKDARNQLKNSKIEGVSGIREDVDVYACLKLSFDHLEKDAKACLLLSSMYPEDADIPIRELVQLTRGSEQLVGSQLMKGDEIRTRVHSMIYILKSASLLLQGLNSEHIKLHDIIRDMARSIAIKDHGFLFATTSGSLPNNPAEYSALKVLHIDVEETHSGFPSNVACPDLHTLSLRSSTYRDPTTLQGWISQIQVFANLRFLVLVEFSWPEKCSLESLDNLKTLWFVNCNLFCFGEREAKFLPEKLEDLCCWDCDIPKQLNLPELNHLRKLEIYINTRSWLWARGINVEQNTISRLSSLEELSLPSKFYINKEGAKDGSLPILDEVSELPCLTNLHIRSQESKSSKLATTFLNLREFHLFVGDELPRKWSTKVSPVTKSIKLSSYAAIEGYRTLIDKAEEVILSRAYITGNSICNRNTQEFINLRYMKIKACHAIEYLARISPGDKIQESLHQSIPFSNLIKLKIKSCYSLKYLFCDSFGRCLHQLKELEIVSCPEIEQVVLGEGASDGNIIHMSKLKIMILTDLPRLLHFYKDARQNQPLFNQMVEFPSLEELVIRGLSDITDIWGDNKIYENTSSFSQLKILTVRGCNKLKNVIPPSMLRGALTSEVDTLGSNTDSFTGRASQGHVKAMLSHNPKKKLQILLKKIVRVSRYVCRKTPTITEENLNDPYDISVQVPPQNTRVCPLVQMTLQVLPCLEKTGLNFEDQSGAVSLYPNLKRLDIYECDRLENVFIPFNDTHCMNLEEMSVANCIKMCEIIGAGKQKYSSGIVFHKLRSLTLRDLLRLTSFWGCRSGEANHYKVEFPNLKTLHLHCGENPSLLEMIESGRDDSTFQLENLAISCGKEIKIPKQWLLQLDNLESLSLHRCWSDELKSLRFQRLKKLTLGQLSCFTVFSFPDFERLQQLRELRIAHCDSLEHIVEVVNGEEASGMDTETAALVQLVKVYLEGLPKLRSFTHTKSKNLMASLEQVEVEPSILFRCPVVGNLQQLRWLDVTDCRLLEGIVEVARGYQTSHRNDHIITFPQLYSIKLRNLPNLQNFSPTKSYSFKMPKLTGFGLFYCPRMENKPFMQIIAERVHFSSEERRRLIIVENLKDYTLRKINKLESVGESSNSNQDVETETMRVEQAEAIVVQQREEGVGAEEDDFFFLRKSQTSLFFILFLFLVLVLCLKEV >KZN02404 pep chromosome:ASM162521v1:3:33125182:33125722:-1 gene:DCAR_011158 transcript:KZN02404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSESPNIKSCGSCSSDSVKNWEGRLCFCGKQARVYTSWTLKNPGRRFYTCSTPKEIQGCHFFEWIDEGFSGRAFDVITHLNHRRIFLEEKLKHVEEDLEENIEKRRTLKDEKLQLIDGNKAFEADLKLIRRQLKLCVLVCVLLFAVVLMSF >KZN00298 pep chromosome:ASM162521v1:3:3948983:3956686:-1 gene:DCAR_009052 transcript:KZN00298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGQQGPDRVVPVSNSTSPVAVESHFSPDNRIEQILQPKEDKVVSASSLNTTIAGPPSIITGPPEILASSGALATSYQPNAYAPLEQSFYYGGYENNAGNWGEYPNYVNANNLQIIPPAMFNDNSSLFYPSGYSFDTQMAYGQFPPLASPMSPFLVDGQLYSPHQIPMSPNFYPQSISPGLPHVGSSHSMSQTEMATPVSTGQDSVNDNMLLGPGSGYYLHFGSFPASSAPANSSLGLYKYPGEFGSGENPLIRSNSNDTGNILSPLTPGAVYTQPVGILGSYDHSLAQASQQQIPFTRRYHNSTSSRMSNYGNWDASRSNRFTPDKGGRRDRDSISISADSHGNANDRNRGPRALKPKIKTSTEEKAAPGVAKAGASTSGVNLDLYNQPDFVTDYERAKFFVIKSFSEDNVHKSIKYCVWASTPLGNRKLDAAFQEAKDSNSIYPVFLFFSVNASGQFCGVAEMTGPVDFENDADYWQQDRWSGQFPVKWHIIKDIPNGRFRHILLDNNENKPVTHSRDSQEVKLEQGLAMLKIFKEHEADTSMLDDFVYYDEKERSLQEKRAKQSSPGKIPDASITQLSENLAGTLHLKVIEDKQK >KZN01007 pep chromosome:ASM162521v1:3:10770303:10773288:1 gene:DCAR_009761 transcript:KZN01007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKSLAKSKRAHTQHLNKKHHPKPTSKAPSAGVGVGGTASQKPNVKQFKGSKKLPSNWDRYEDEDDLDFQGSTSQVSDVLVPKSKGSDYAYLISEAKAQAQAQANYPGSFPSFDDDFSDFREQVGSLLSVRGENILSWAAEDDFLSEDKASGVQEASFLSMDLNALAEQLAKVDLSERLFMEADLLPPELLTKELQASRDHTCTSAVPILSETTNVTSSEKSKGASEIDGEIEVQGIDDLEHSLKNLLQSESRSDKSSMPKSKRPLSTEPASVKNKSDSGFKVADAEAELDVLLDSFSEPNTSRSTLFKEGPRYSSSTIWSEETTTDQLVSTRVKNSLDQSKAALTLDDSLDDLLNETSNRINQDTVTWSNDVKTSFDVHPSPSAPTKSKLLDDFDSWLDTI >KZN00685 pep chromosome:ASM162521v1:3:7614683:7616688:-1 gene:DCAR_009439 transcript:KZN00685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLCFTDDASKYFDLELSYITASPLGVPQQVIAVNGNFPGPTINVTTNENVFVNVRNKLDENALVTWPGVQMRRTSWQDGVLGTNCPIPPTWNWTYNFQVKDQIGSFFYFPSLNFQRAAGGFGSFIITNRKVISLPYKMPDGDIVISIGDWYTKNHTALRTALDMGKDLGMPDGVLINGKGPYQYNASVPDGINYETISVDPGKTYRIHVHNVGVSTCLNFRIQNHKLLLAETEGYYTQQNNFTSLDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNQTVWQRVTGVAILHYSNSKGKAIGSLPDPPNDFYDKSYVVNEAISIRMLEIEHL >KZN01500 pep chromosome:ASM162521v1:3:17465422:17467527:-1 gene:DCAR_010235 transcript:KZN01500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLTKFTLDYLAKNCSLSLKVSSFTLVFVKDLKIIQVPCVRYGYPLSFCSNLCCTSSNVERVWWESSSQTLLLRKLEISLKDHDLDKAWDSYKGFKKLYGFPERNVMSKLVTKLCYSSDAKWLRRACALVSLIRKGKSDLLRPCLLTNLSLSLARAQMPVDASKILRLMLEKEWLPEMNMLGLIFLHLVNTEIGMFLASNILFEICECTKTSSGENQIKVDTVTFNLVLDACVRFGSYLKGQQIIDLMAKVGVVADVYTITAIAQIHEMNYQRDELKKFKDHVEKVSYRFVHHYQQFFDSLLNLHLKFNDVDSASSLIMDMYKHQVSISCQDDTMKPQNPCLVPIGSQNLKSGLKLQISPQVLQKDPVVQVEGKQELILHQKGKLVLSNKALATIISIFKRSGRISDLSKLLISIEKNVTSVQGENLCSGVVDACIHIGWLETAHDILEDLDSMGVPLGMNSYLSLFKAYYKQQMFREAEALTKQTEKAGVFGNMSSELIYSTFLSLHEKKTSHAEAVSICDKSDLANSLVREIIKEQEETSFVVNELNSSISFFMKANMIDDAVKAYRRMQEMSIHPTRLTFFTLISGYSSLNMYREITIIWGDIKRNMESGSLACHRDLYDLLLYNFIRGGYFERVMEIISHMKDHGMFMDKWMYKREFLKYHKALYRNLEASNAKNEVQSNRIEYVQAFRKWVGIS >KZN02900 pep chromosome:ASM162521v1:3:38753144:38753662:1 gene:DCAR_011656 transcript:KZN02900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIKGNIYIVKFSKIFQRNSVNAQLVYYKKERLEDDDQVKEIRGRKENDYSSCSDHDEGEDIGANCQVKQKMCRDHDQRKEGHGKKVKECSCDDDEAEEVGVDHYRKRDAFKDHRPEAYVPPRKAYYWGDVQSGRHKNFPIILGAGSRFNAAYPNYHGSYYPGQWSQAYFM >KZN01162 pep chromosome:ASM162521v1:3:12474157:12475508:1 gene:DCAR_009916 transcript:KZN01162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDYTINRVSLIEGADEHQYHLNKIISRATSVGLSSRVYYRNSNGAVPFKWEMQPGKAKNPPRKDVIPPPSPPPAVHNRWLAKHNRLPDPQSFKESSSLSRLRFWKKRSKKIIINGRKIEERRNGNVTDGVHKYETGEFGESDGEFTGSGNDSRSSPSMSSSSSNSNSSLHLSRFATPWNVTDVLQIEEHSQTYDEQGQRTACANENDGALFKTNLSRNSTINQSSRLFYQNPGSVPFKWEKLPGTSKNPAKEETVPPPSPPPAVQSLGLTTPRVQFQSEKPKTNTLRLLLKKCKINVEAKKKFQGLSLQKSRKLDVDNISLASVSSRGLSQRMLRNVVANSSCYGAGKPKSIRDV >KZN00786 pep chromosome:ASM162521v1:3:8608513:8617705:1 gene:DCAR_009540 transcript:KZN00786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEKGSEEMIRSSAQADGDDKMRKKKSKKMKRRKNLILGCFRRRKRDDYGDSTIEEADANGNLDMESASNIGKASPSHLVVTVNGIIGSAQNWRYAAKQFLKRYPHDVVVHCSESNHSMLTFDGVDVMGSRLADEVLSVIKRHPGLQKISFISHSLGGLVSRYAIAKLYTEDSPEEKCEVKGESPYREEARGTIAGLEPVNFITSATPHLGCRGHRQIPMFCGILAIEKAATNVSWLLGRTGKHLFLTDKDDGKPPLLLQMVNDCEDLKFISALQSFKRRVAYANARFDHIVGWSTSSLRRRNELPKKQKLPRNTRYPHVINEEPARTDHFCKAEHNDDLTDDIEGRVIHNHIDLSQINGENESTVTRKMIGSRPPRCERKCRNCGHCKAVQVPIAPLRRSHKLYTAFSRGDDLSDYKPMCWKCQCGNSFFNP >KZN03355 pep chromosome:ASM162521v1:3:43923597:43924591:1 gene:DCAR_012111 transcript:KZN03355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENSRRVLMDKNESKSTPLSSIFCLTDKSKLKETEKKEDCFILDYVPDDFLHQFSISNNNTNEVSIVAEKGEVACRDFPHSRHNCARYPFSKTNHESYCQLCYCFVCDRVAPCRMWTEWHCHATNNEIWKSYKQLRREIMRLRREVRRLRRELMELKEVNRDLD >KZN02482 pep chromosome:ASM162521v1:3:33825480:33825644:-1 gene:DCAR_011236 transcript:KZN02482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKTYKAGCIRQITSTKTNTNYSSLFHMFRRTRKFQYETFHVRTPYEISMLTL >KZN00797 pep chromosome:ASM162521v1:3:8795936:8799296:-1 gene:DCAR_009551 transcript:KZN00797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITYKATSCSMKLVIDKYNKAKAEHYQSDNQVTEAKLWERETTVLRRQVQDLQGSHRQLMGEELSGLNTKDLQNLETQLEMSLHCVRMRKVDQMHQENINLNKKVNDKKDADGTNRNGESSKNQIDAHAHIRLQLCQPQ >KZN00435 pep chromosome:ASM162521v1:3:5282813:5283694:1 gene:DCAR_009189 transcript:KZN00435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREGVRPNESVLVSVTTACANLGALAQGMWIHSFAKQNKLDSNPILATALVDMYSKCGYLELALSVFESIAAKDSASWNAIISGVAINGEAIMSLELFNKMVKAEVQPNDTTFVAVFTACTHARLVNKGLELFEQMNSLYGVEPKYEHHACMVDLLARAGRLEEAKTFIDNRMGGIGEGDANVWGALLNACRIYGNVEIGDEVWKRLANMRVSDSGTHVLSYNIYKEAGWENEAKGVRKLISESRIKKTPGCSVLEVDGMVEEFVAGDLSHPQAQEICRMLSSLFNVMHTLE >KZN01980 pep chromosome:ASM162521v1:3:27671430:27671657:-1 gene:DCAR_010734 transcript:KZN01980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQGSGSDGRFSGNGGGGGGGMAGGSGGVHIQARQGQAHNGASGHRGVSQGQQSDSYLAHPTAPYYYALSLALL >KZN00880 pep chromosome:ASM162521v1:3:9528570:9531546:-1 gene:DCAR_009634 transcript:KZN00880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEMILPPASSLSPSVISYLDTKLRTKHDQEASSSLLLELESECNGLEKTLSDLNHILESRLFSYASFSSQFATHLTSVKANLSDLHSYTALSAGDSSLSDGTGRSELPALAKEVARVEAVRLYAETALKLDTLVGDIEDAVSSVVNRNLRKHPSSRNLEDVRLIAIKALKQAEDILAWVTKARPQWARLVLAVDHRVDRALATLRPQAIADHRSLLVSLGWPPSISSSSTIDTDKKNSAAVSNPLFSMQGELKRQYCESFLALCSLQELQIQRKSRQLEGHNLEVALCQPLWTIEELVNSLFIASQRHFSKWVDKPEFIFALVYKITRDYVDTMDDLLQPLVDEAMLLGYSCREEWISAMVSSLSTYLAKEIFPVYVGQLDEDVVTGIQSQARISWLNLIDLMISFDKRVHSLIVQSGILVSLQEEGNMQKLTSLQVFCDRPDWLELWAEIELNEVLDKLKLEVEDERGWSMDVQGAAVLFGAEEYKSPAISGAFLDRLSSLIDRCRSIPRISLRSRFVRVAGAPVIYKFLDSVSLRCQEAEGLTALTDDAALIKVTRSVNAVRYVETVLKEWCEDVFFLEMGLKEAESYGTSFAENSINEVSMGDIGCGILGEEIRKLEEFRVEWIEMLSTVVLRGFDARCRDYIKNRKQWQERSEEGLVVSRSLVDALDYLQGKVSVLEGSLNKMDFVGLWRSLASGVDKLIFNGILFSNAKFHDGGTERFGNDLTVLFGVFGAWCLRPEGFFPKISEGLRLLKMDKNQLQGSLAGGEVWLKENGIRHLSVAEAEKIAKNRVYNS >KZN03430 pep chromosome:ASM162521v1:3:44483759:44486345:-1 gene:DCAR_012186 transcript:KZN03430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDYVKVHETRSSAAGSEDQKMTRRKHKTDNTSHDTGDKHAAKKVKSDNGNENGSERDVDRESISAEFEKFCKEISNHLSVEQMRKILEANGRGCGSDDAVVPICMDMVYYGPLDQCPICGGGLEWGRTKYFCTGAYSEWSTCTFNTREPPRKEEELVIPDSIKDTPAYDLLKDHQDPKSRNKMVAFGPPDKPFTGMTISLSGRLSQTQQRWKRDIEEHGGKVANSVLGVTCLIVSPSDRERGGSSKVTEAVENGIPVVTEAWLLDCIKKQEALPLDAYDVVSDLAVEGKGIPWSKQDPDNEALESIAAELKIYGKRGVYKDSKLEEIGGKIFEKDGILFNCLFSLSDKGRELNEFSVMQLIEVPNKNLHLYYKKGNVGNDPRAEEQLVEWENVDDAITDFKRLFEELTGNEFEPWEREKKFKKKLRKFFPVDMADGYDVRYGGLGLRQLGVAAAHCKLDPMVASFMKVLCSQEIYRYALMELGMDSPDLPIGMLSEVHLQIWEETLLELVEKIKGMKX >KZN00540 pep chromosome:ASM162521v1:3:6223128:6226465:-1 gene:DCAR_009294 transcript:KZN00540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLCRVLLSMFIIGSFAVDTRNQGVVNIGAIFTLRSINGRVAKIAMDAAVQDVNSNPNILRGRRLNLFVHDSNYSSFMSIIGTLRFMEIDTVAVIGPQGSVLAHIVSHLANELHIPMLSFTALDPSLSPLQYPYFFQTAPSDLYLMKAIVDMVDYFGYKEVTAVYTDDDQCRSGIIKLGEKLAEKQCKISYKAPVLPEPLPTRKDIEIALLKVRSMESRVIVVHTYPKTGFTIFDVARDLGMMTSGYVWIATTWLSSVLDSNPSSVNSSSALGVLTLRLHTTDSPRKQAFESRWNKLTNSSIGLNPYGLYAYDTVWIIAYAVQKFLSEGGKISFSNDSSLSATGGHTLNLKALNIFDGGEKILGNILQSNFTGLTGPVAFRSDDRSPKNPSYDIINVMVTGYKQIGYWSNHSGLSIVTPETLLKKPGNISRLNQRLKNVVWPGGTTRRPRGWVLPNSERPLRIGVPNRASYKDIISKSNDNASNIEGYSVDVFVAAVKLLPYPLPYEFILFGDDRENPSYNQLVAQIRANVFDAVVGDIAIVTNRTRMADFTQPYIESGLVVVVPARRLNSSAWAFFQPFTLSMWLVTAVFFFVVGAVIWILEHRTNDEFRGPPRKQLATVLWFSFSTMFFAHRENTASTLGRMVLLIWFFVVFILTSSYTASLTSILTVQQLSTPITGIESLVVSNLLIGFQIGSFAENYLRDELNVPTSRLRQLGSPEEYADALERGHVAAVVDERPYVELFLSEHCKFQIVGQEFTKSGWGFAFPRDSPLAVDMSTAILTLSENGKLQEIHDKWLKRRFCSSQNSEEDSDQLQLRSFWGLFLVCGVVCFLALVLYWCLTLHSFRRFNRHSVNLSNEDVDQPALSIRPTKTSEHIRRFLSYADEKADISKNKLKRKQMESGMSSSRRIDFTRRYYGSKRIQAATISSVRYC >KZN01282 pep chromosome:ASM162521v1:3:14103478:14104500:1 gene:DCAR_010036 transcript:KZN01282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGQRRNSRLAESPRVFNQVKKRQAYVDLGEIDHSPIQDKIRRVPRAEPKTNVHDIRLEMNLVRDKRAPVSQDDNDFVTPLKRLIESERHLA >KZN00074 pep chromosome:ASM162521v1:3:1672941:1673195:-1 gene:DCAR_008828 transcript:KZN00074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIKPEVTVLLVLLMACSLILAATARPLDDAKDLNSAGRGTNTILGALYVAEVKTGGDLSIAADVPVQESGDESGPSPGVGH >KZN01538 pep chromosome:ASM162521v1:3:19438448:19441614:1 gene:DCAR_010292 transcript:KZN01538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSNNSVETVNAAATAIVNAESRVQPTSVQKKRWGSCWSLPSCFGSSKPSKRIGHATLVPEPTVPASAAPVTETVNHTSTTAFPFIAPPSSPSSLLQSDPSSAIQTPGGLMSLTSLSANVRSQGGSIFAIGPYAYETQLVSPPVFSTFTTEPSTASFTPPPEPVQLTTPSSPEVPFAQLLASSLAHPLSRPRRNSGPNQKFLLSQYEYQPYQVGSPGSYLISPGSTIPNSGTSTPFPDKLPLIEFQVGETPKSLGYEYYTSQKWGSRLGSGSMTPNGLGSRLGSGSLTPNGGVSRLGSGSLTPNDLNSKAGSGSMTPTEPVSKDDLIVNQISEVASLANSDSGSQDEEIVIGHRVSFELRCEDVETCLKKNLNSTEETVSEFQHDVTVQCARTDKEVSDKAMNTCNCGGESEAIMPGKAIEGHEKQCLCKNNSGRHGSSKEFKFDSTKSDEWWTSDKVVGKESGSKNWTFFPMLQPELS >KZN02506 pep chromosome:ASM162521v1:3:34074104:34075013:-1 gene:DCAR_011260 transcript:KZN02506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAEEDEWELVNDDGFVYKRKKRPRLDISATTSAPPPDPALEEKNRRNRKKRALLNLKAKYEAEISRWELLSNTLRDLNLQTQNVEKGFEKVDVGEMGNELPVEFSGFSRCGLIDELLAQVEAQEALINNVANLCEAAEALCSLQEERSKQFLLNLPVWDSSPRELMNALCDE >KZM99931 pep chromosome:ASM162521v1:3:181361:182641:1 gene:DCAR_008686 transcript:KZM99931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESSTIFLFLCLLSVVAFSSAADTLAAGEFITDAQTIVSARGEFAMGFFSTKGNPRNRYFGIWFNKISNRTVIWVANRESPISNTSGVVRVSSRGIVVSVNQSTDSLWTSNSSRSVKNPVAQLLDTGNLVFRDQSEPQNFAWQSFDHPVDNFLPGMKFGYDLESGVDRYFLPWKSEDDPAPGNYIHRVDKNGYPQLLLWKDSVPWYRTGPWVGSGFSGIPVLKPNGIYTPKFVINDKEVYYVFDLVNRSESPITRLTLTPGGVSTRYTWNREKQEWSQYLTLQVSDCDRYGICGSNGVCNVNKSPRCECMDGFSPKNPEDWEEADWAEGCSRNVPLECGNGDGFSKITGVKLPDTRWSWYNRSMSLVECEDKCFKDCNCTAYSNTDIRNGGSGCLLWFGDLKDMRGYSEDGQDLFVRMPASEFSK >KZN01958 pep chromosome:ASM162521v1:3:27396467:27396853:1 gene:DCAR_010712 transcript:KZN01958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSRYMNGSLKFTPRRLFRSRYVRLNGGQRRKMKTARLGGGSPVRAWRIRASARRVKIVLSSPMKLLRKLKNLYLTMLLKLAGEHGQKRITKARHLPIARSTAEFNNRLLLEIYKSFAVSREMATS >KZN03242 pep chromosome:ASM162521v1:3:42832187:42834750:-1 gene:DCAR_011998 transcript:KZN03242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRDGVSRKVLQEELRVWAVGSVTGFKNKIFFETLVKMLLDPTLPVTLLLSSEDEIILRKVLYDVVILPEYSFLKPDRVAHQPSKQSLAIARLMVTYEATEIYRKEGDHTKAISYINAFSASHLPAELIKWVNNEIGTGNKSSGPNGYSPKAVLKWIYNLEDQGINILDNDMSQYRARLVLDSLETDNELPDFNRKKVDTDVLFYIDNKGDEEMNEETDAKNKPMSDAFVAAAHSLQSVESTGKRKRKARGVGEKKHLKFRKHSIIEHSLSSEDKFAALGEDYSSSEG >KZN01167 pep chromosome:ASM162521v1:3:12529813:12550639:-1 gene:DCAR_009921 transcript:KZN01167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDSAFQGAGQKAYPLNHSGLEIWRIESFRPVPVSKSLHGKFFTGDSYVILKTTALKSGAVRHDIHYWLGKDTSQDESGTAAIKTIELDAVLGGRAVQYREVQGHESDKFLSYFKPCIIPQEGGVASGFDHTEAEEHKTRLYVCRGKHVVQVKEASLFNGSNSSIQERAKALEVVQYIKDTYHDGKCEIAAIEDGKLMADAETGEFWGLFGGFAPLPRKTATVDVNDTGDVSTRLFGVVKGQHEPLDVESLKREQLDTYKCYLLDCGSEIFVWMGRNTSLDERKSASGAAEELLHTVHRPKTQVVRVMEGFETVMFRSKFNSWPQSTDVTVSEEGRGKVAALLKRQGVNVKGLLKATPEKEEPQSYIDCTGNLQVWRMDAEGKIPLTGSSMSKFFSGDCYIFQYTYPGELGDQYLVGTWFGEQSVEEDRNSATLQASKMVESLKFLPVQARICEGNESIQFFSIFQSFLVFKGGVSANYKMYIAEKELPDVTYSEDGLALFRVQGTGPENMQAIQVEAVASSLNSSHGYILHNGSSLFTWLGNLTTSEDQELVERLLDLIKPNVQPKPQKEGSESEQFWDMLGGKSEYPSQKVGRDVESDPHLFSCSFTNVMQVKEIYNFDQDDLMTEDIFILDCHSEIYVWVGQQANSKYRRDALTIGQKFLECDFLLEKLCGQAPIYIITEGSEPTFFTRFFTWDSTKSAMHGNSFQRKLAVVKNGGTPLLDKPKRRTPVSYGGRSAALPEISQRSRSVSFSPERVRVRGRSPAFNALAAAFENSNTRNMSTPPPIVKKLYPKSGSADSAKSVSRSSPITTLTSSFDKPAEDTSIPHLVKDAESKKAPINNITEAITIQEDVKEGEADDDEELVTYPYERLTKLSNNPVTDIDVTKREVYLYGAHVTSWKNDYGEELLFVSNKPPKAIRGGIPICFPQFSSHGSLDQHGFARNRVWSIDSDPPPFPTSSSSKAFVDLILKPSDDDLKIWPHSFEFRLRITLGPGGDLMLTSRIRNTNTDGKPFTFTFAYHTYFSVSDIRGYCLQHIILPSYLLVFSEVRVEGLETLDYLDNLQNKERFTEQGDALTFESEVDKIYLSTPTKIAILDHEKKRTFVIRKDGLPDAVVWNPWDKRAKAIVDLGDEEYKHMLCVEAAAVEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRRVLGS >KZN02225 pep chromosome:ASM162521v1:3:31089717:31089935:-1 gene:DCAR_010979 transcript:KZN02225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMGFGRLMGSLKSKLRCLKTKKPAAGGGLYDRIEKSESMRVEIRSRKAQKLIQETLKVADSPKINKTYAF >KZN00333 pep chromosome:ASM162521v1:3:4294051:4301785:1 gene:DCAR_009087 transcript:KZN00333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHAKAIYRLTGLSCPTFSQKIVKALPGFPGELPFKLETGYVEVGGKEDLVLFYYFVQSERNASEDPLLIWISGGPGCSSFRAFMYQLGLSCPTFSQKIVKALPGFPGELPFKLETGYVEVGGKEDLVLFYYFVQSERNASEDPLLIWISGGPGCSSFRAFMYQLGPLTIDYDDTTKEIPDLHLNPYSWTKFANIIFMDVPITGFSYSKSPETYKNSDTLSPKYTYEFLCKWLEKHPQFRSNPLYITGVSYSGLTIPAVVEEIFNGNEAGNEPRINIEGYVLGNPLTVKDIDFNARIPYAHRMSLLSDQIFESTKLSCKGDYINVNQSNTLCQNDLQQVNQCLKDVCFYHISEDVCNVETSTRSLLQSLDSSSSKYPDLPLRSAILKGRPLCREITYPYASIWADNVDVRKALHIRQGAGHAAQEYRPEECQAMAYRWLGHKPL >KZN03305 pep chromosome:ASM162521v1:3:43402495:43404950:-1 gene:DCAR_012061 transcript:KZN03305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNKGLNLKATELRLGLPGSESPERNNSEEKDGKSGYSHLVTSGAKRVFSDTINGGSGKWVFSGNAGSDGEVAQNGALFSPRGGAKILGGGGAESNIVKESVVSVPEKKKDQAAPHAAKTQVVGWPPIRSFRKNSMAINPPKNDSDAEEKLNSGCLYVKVSMDGAPYLRKVDLKTYSSYFELSSGLEKMFSCFTIGQCTSHGATARDGLSESRLVDLLHGSEYVLTYEDKDGDWMLVGDVPWNMFTETCKRMRIMKSCDATGLAPRAMEKCRNRN >KZN00158 pep chromosome:ASM162521v1:3:2554177:2554842:-1 gene:DCAR_008912 transcript:KZN00158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRISGAESATFIIKNACPYTIWPAALTGAGSQPSTTGFELASQAEHNIEIPPPWSGRIWARTFCTDVCLTGECGKGGGPCAGAGGNLPVTLVEFTLNGDGGKDFYDVSNVDGFNLPVSIVPEAQCPSTSCGVDINAECPAELAVYNQNGHAIGCRSACTAFNTPQDCCTGEYNSPTVCQHSKYSNFFEQKCPQAYSYAYDDKTSTFTCPTGPNYKVTFCP >KZN02744 pep chromosome:ASM162521v1:3:36836565:36837086:1 gene:DCAR_011499 transcript:KZN02744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQPRKRGQPKLLVTVDVVERRKNSKRLQNAKRGCIQGMCTTKPMFWTRTNSFLFEYPFPVQGHNHKTFNTVRHLFTWSFNICDRWRGFGMHTSYFWKLCNFVYPDSTSMPTPIWFKLCVRILSLVALLTNFILLFLFRREAIAIWVFRRLSKHNWISYWRTFKFGQLSKLK >KZN02158 pep chromosome:ASM162521v1:3:30329758:30330995:1 gene:DCAR_010912 transcript:KZN02158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEIRSEVWDKIAVSCGFNCSVVDSIWYSIFCGGDKYKEKVVRWMLKNDRLENRYIFVPICKSNHWTLLILCNLGEDFNSESKSPCMLFLDSLRIREENMEPEIRRLIALSFVFIMECVPNMDNSNRWLIV >KZN03240 pep chromosome:ASM162521v1:3:42813847:42816161:-1 gene:DCAR_011996 transcript:KZN03240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAAICPDAIVPSVDDLTDQILEVLNYFRLGAVMFLGAMAGAYIVTLFAIKYRERVLGLILISPLCKAPSWTEWLCNKVMSNLLYFYGMCHLLKQCLLYRYFSEEVRGSPEFPESDIVQACRKLLDEKQGTNVLRFLQAINRRPDITEGLKTLKCRTLIFVGDQSPFHTEALHMTAKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMAYGLYRPYQPNGSPRSPLSPSCISAELLSPESMGLKLKPIKTRVPPDSSDDEDRLLVHIQS >KZN02962 pep chromosome:ASM162521v1:3:39594297:39595592:-1 gene:DCAR_011718 transcript:KZN02962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLHVLLFNIIFIFSISSSSCKTTPSSLLLPLKTKFIPSRSLLKPPNKLSFHHNVSLTVSLTVGSPPQQVTMVLDTGSELSFLHCKKTPNRPLTFNPLASASYTPIPCSSPTCRVRTRDFTSPVSCDPKKLCHATLSYADASSVEGNLAADTFRVGSLDQPRTVFGCMDTYSSSNPEDSKTTGLMGMNRGSLSFVSQMGFPKFSYCISGRDASGVLLFGEASFSWLKPLNYTPLVQMTTPLPYYNRVAYTVQLEGIKVAGRVLPLPKSVYVPDHTGAGQTMVDSGTQFTFLLGPVYTALKMEYIRQTRGVLRVYDDPNFVFQGAMDLCYRVETTRKILPILPTVSFMFRGVEMSVSGDRLLYRVPDMKIGNDDIHCFTFGNSELLGMEAYIIGHHHQQNLWMEFDLEKSRVGLAEVRCDLASQRLGLDV >KZN00535 pep chromosome:ASM162521v1:3:6181459:6183972:-1 gene:DCAR_009289 transcript:KZN00535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNCLPSSWFHDPADSKHSSNSSVVNVDTSSHAGDEEHSNQPYHEHCFGILKSGGEQVDEHGESYSEGSVVKPRFTTKELFPVAGEPRLMDPLVQQQGQQVKKSRRGPRSKSSQYRGVTFYRRTGRWESHIWDTGKQVYLGGFDTAHAAARAYDRAAIKFRGASADINFNIGDYDEDLKKMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGRDAVTNFEPSTYENDLCSEAETGGSGQYLDLNLVMSPPDFADGAKGTDDFGSLSFQNHLKDIPAVIKSRKVIQIEESSTSAIMGTPLPLGQVYTQGHPSFWSGVNSSVLPIYEGRAMEKIIEADSQPLWAWSNQGPYAEATSVPLFSTAASSGFVTSKANASSDGVYYPHFTIPPHHYAPKPCQISTNTNSVFYY >KZN03493 pep chromosome:ASM162521v1:3:45068192:45070783:1 gene:DCAR_012249 transcript:KZN03493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANLQIKFTTCIFFAAVVSLMISPSLQSTTSVKYCDKKANYAVKVEGIDMIPFPIVSGQPATFKISASSGQAISGGKMSLQVLFLGISVHTESHDICEKSSCPISAGKFVLSHTQVLPGITPPGSYTLKMRMTDESNNQLTCISFNFKISSGSYDAAL >KZN00961 pep chromosome:ASM162521v1:3:10340763:10341038:1 gene:DCAR_009715 transcript:KZN00961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASKAWIVAASVGLVEALKDQGFARWNYPIRCLHQRAKANLPSFSHAKMLSSSTHEPVLGRLLNSSQDKAKQSEESLRKFMYLSCWAPN >KZN02784 pep chromosome:ASM162521v1:3:37270022:37271734:1 gene:DCAR_011540 transcript:KZN02784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPHYFGEGAWESITKHWDSEKFEKSSKNGKENRQKLDMKHISGATPFSVRRAQSSEDVSNSQPSPEAPLSPASKRKRELHLLMQERKRKKNTVVLYPRQTVSGVLGKDEAARLNASQPIHSSTTNISEAAYSIIGKVLAEVTSMAWIQFHAPDLMRCWKIS >KZN01802 pep chromosome:ASM162521v1:3:25363156:25363458:1 gene:DCAR_010556 transcript:KZN01802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMMLKKRNEELERALQKSLEREEMMKRELLSGFHRLRVAEEAEEHLCSQLGEVEAEAVEQARNYRSRMEALMEQLSLAQTLLQSASLSIPSLSSSESL >KZN03925 pep chromosome:ASM162521v1:3:49943541:49945052:-1 gene:DCAR_012681 transcript:KZN03925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGDTAKEAVVDEINKEEKKEEVKNEEKEAKKEEKKMKAIQYSSYGGGANNLKHVEITVPTPKKDEVLIKTEAVSINPLDIKVQHGSFRPLYPKGFPCVPGGDVTGEVVEIGSEVKNIKVGDKVIAMLNVYAGGGLAEYAVAREILTVQRPPEIPAAEAAGIPVAGLAAYQALTHHDGIKLDGSSSDKNVLITAASGGVGCFAVQLAKLANVHVTATCGERNIEFVRSLGADEVLDYKTADGAALKSPSDKKYDLVIHCASSIGWSTFAHQLTSHGKVIELNSGPKSYWSVALRRVTFSKKQLVPLSFSPKSDHLEILVNLMKEGKLRTAIDSKHPFDEAEKAWAKSAEGHATGKIIVEF >KZN01690 pep chromosome:ASM162521v1:3:23183538:23184452:1 gene:DCAR_010444 transcript:KZN01690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPEYYDFFIAHCYDQGRRWPYKPSQHKLYVINKNNIHKPMALDLPLPSCSEPNRFSVVGSCNGLLCLAYLGSLKRKRQHRIYLWNPVTRQHKDVCMRKQNLQHRVSLGFGFDDASRDYKTVSVLTDRFDFVSRVSVYSLGQDCWNRIDHAEMKARVLQQCAVIAKGLFYWLMEEKSDPRRFGLVWFNVQTEELGTTSLPDGISCPSVFELKGSVAISTGYIINHEIGIWKQDDDHGWIKIHAIKNSGITGFYGCLNTGEFVGGTYYAIALCDPANKVIKYSNNLPRGILRAYNYSASLVKLN >KZN00937 pep chromosome:ASM162521v1:3:10099725:10102187:-1 gene:DCAR_009691 transcript:KZN00937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEEKLRRGNLDHDPTINPGLGNPRCPRCLSLLAPEYENGEWNITPVLHDATAVAGCGIGGMLSAIHGFNTGIPFVQKHVKGPKWLPFIIGVPPLLMFSAASAALGGYVLPKFTLLTVTSYYAASSASHYGISLLTRHIEDANTSHSPHERIR >KZN00362 pep chromosome:ASM162521v1:3:4509991:4511565:-1 gene:DCAR_009116 transcript:KZN00362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIYMAGHIIVTIILILHAQTSQAQEPGSFSGNGDYNYNESSGRGPSQWGNLNPDWILCKTGKMQSPVDVTNVKVETVTDSVEVDTEYKASLTTLVNRGHDIALEWTGDAGYIEINGIYYQLQQVHWHVPSEHTVNGKRFELERHAVHVNAKTGNIAVLAVLYKIGGKDPFLKQLKKYLKTMVKSNITETYPGMIDPDDITEDDESFYRYSGSLTTPPCTEGVIWTVQKKIMTVSKSQVDLLLNAVHGNENARPLQAINKRKISLYVPCDDTDSARIFLPKKMDWSVIVYPLEYLQRKLFYSST >KZN02597 pep chromosome:ASM162521v1:3:34942019:34942336:-1 gene:DCAR_011351 transcript:KZN02597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRDRKRKKSDTADLENVERRVKKEATAMTTPPPTEEEVDEFFTILNRMRSTVKYLKNNKVGESIIPALEVPPPPDEEVVVDVKVGDNNCVLDLNSIPDEGESD >KZN00044 pep chromosome:ASM162521v1:3:1395030:1398912:-1 gene:DCAR_008798 transcript:KZN00044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLDIPVAGVLVDKVSEIAGRPLYPLLHYNTIVSDLDSQIELLRIEENKIAQKAIEARYNGKILFEHVLTWQREAEEILKIQFLPIHNPVSRFRMGREAVRTVKKVIEVTNRGRELASSEIAFLPPVDSTKFPDFHSRIYAYKKLWEMLTNDGSPQILGIYGMPGVGKTQMIQQISEEATTKEIFDKVVRVDVGSRRLDMFDLQNQMALQLNCSLESQNNTEDRASVLNYSLISGGKILVIFDDVWREIPLHIFGIDGSYMDCKILFASREENICFLNNCQHPVSITTLTDDEAQNLFTNTVGISQIDSLQDDSLVQKVCKECAGLPLLIIAVGKALRLRSHNVWEDALFQLENGTVERIAGIDPHIYACVKLSIDRLADDAKSCLFLCSMFPKDAAIHTRKLIQLAISTELVAEEESGVSSIIDTLRSSSLLLDYQEGHTIKLHDFVRDVARSIATRDPEYAFLLVRCGLWLPDDDNYGSRKFMHIHLEEGDICFPHNLVCPDLHTLWLQCYKHIQQFSGGFFSMFANLRILFIQEGIFSSSEGQISLKPLDKLRTLILDKCNMTCIHQTKASFFPENLETLCIWDCHLPRPMDLPNQKNLLKLEIIGKKGEVVFLPNTISRLYSLEKLHIRNGFQILDDVSAFPVPIQILDDVSAFAVPIVPEISKLTRLKSLQTFLEGSEHFRDTNILCKLLEFNICVGKPAEHTGNLKRSIVLHGDQPDGVESLMERAEEVVLQTTTHVDMRKFWNIDREAFADLRNLYIDKCDTMMHLASISQDQIHSGQQRTSFSELTILEIKNCSSIKYLFSNSVVTCLQRLQELCIDNCPVMEVIIDEDTGEGKIMNFEKLTSLKLVGLPKLKSFCRDKSDQFQSLFHTTVAFPSLENLHIDDLKDISEIWAKHNYDDNVSSFSKLKSLKVYDCHKLKLVIPVPMLPRLQLLEYLNIAFCRSVISEVGTFGNTEIYPLRALRSMDLESMHCLTETKLNSMDFYKAETWYPNLENLRIRDCSSLRNVFQPPCAKSLVKLKKLCVIGCDNMTEIIGAGKQEITDGVGLLPRMAELKLAHLPNLTSIWHRKISSCIEYNLSLT >KZN03501 pep chromosome:ASM162521v1:3:45134729:45142474:-1 gene:DCAR_012257 transcript:KZN03501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMMDPELMRLAQEQMSRMSPSDLSKIQQQMMSNPDLMRMASESMKNMRPEDLKHAAEQMKYARPDDMAEIGEKMANATPEDFATMRARADAQVMYELNAAQMLKKQGNELHSRGNFSGALEKYLLAKKNLRGIPSSKGRSLLLACSLNLMSCYLKTKQYDECIAEGSEVLSFDAKNVKALYRRGQAYKELGQLEDAVSDLNQAHEVSPDDETIADVLRDAEQRLTEEGGVPRRRGLVIEEITEEVQSSLSGDNDISSTEYSASAPKGPRETSRRIPSSNTENIQALKDDPESIRTFQNFISEADPETLASLGGGNTEGISPDMVKTASNMISKMPPEELQRMLQLASSFQGGNTSVNEGSRDSNFGSFGPGQIPSNVTPDMLKTASEMMSKMPAEEMQKMFEMASSLRGKDSGSAATSKFQMNGVQSNGSNSHGSREPVTLNGDHSRESSSSQGFLDPRTVPQSNLTDLPQNSFPSSSADIQEQMRNQMKNPAMRQMLSTMMQNMSPDMMANMSEQFGFKLSREDAEKAQAAMSSLSPDALEKMMKWADRIQRGAEGARKTKDWLLGRRGMVLAICMLIFAVILHWFGYIGS >KZN00720 pep chromosome:ASM162521v1:3:7888019:7888327:-1 gene:DCAR_009474 transcript:KZN00720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPSIFASSDGVAESAAASTTPSSSVLPLDTGDQAFVRNEDPFKTKKRIQKLNDKGAALSKKQMIIPPQGSQLIKVTQFLRGWCLKTLNLCSIYKAAASL >KZN02813 pep chromosome:ASM162521v1:3:37597915:37601449:-1 gene:DCAR_011569 transcript:KZN02813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTVSPDAISVIMANPSPDSSSSDYPDIVVQVLDLKLTGNSRFRFVANDGKMKLDGMFPSSLSNEVNSGNIQNLGLIRILDYTLNDIPLKNKKYLIVTKCEVVSPPLEAEIVDVVKSEETGILLKPKQDVEVNNVQGLGIVLKPKQEMFAKSAAQIVHEQTGNMAPSARMAMTRRVHPLVSLNPYQGNWTIKVRLTNKGNMRNYKNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFYDRFQLGKAYYISKGTLRVANKQFKTVPNDYEMTLNENSVVEEAIDEGTFIPETKFNFVPIDQLGPYVNNSELVDVIGVVQSVSPTMSIRRKSNNETIPKRDITIADESKKTVVVSLWNDLATTVGQDLLDIADQSPIVALKSLKVGDFQGVSLSALSRTVIVVNPETPESRKLKSWYDSEGKDSSMASVGTGLSPSSKSGGRSMYTDRVSVSYITSNPSLGEDKPVFFSTKGYISFIKPDQSMWYRACKTCNKKVTEAIGSGFWCEGCQKNDDSCNLRYIMVAKVADASGEALLSFFNDQAENVIGCSADELDKLRSQDGDGSTYQMKLKEATWVPHLFRVSVVPQEYNNEKRQRITVRTVAPVDYAAESRFLLEEITKMKASQ >KZN02316 pep chromosome:ASM162521v1:3:31951069:31954694:1 gene:DCAR_011070 transcript:KZN02316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKNLASSPASPTLRRPDSPIRSRSSSSSSSSSSRHEGITKLDKIKEDESENERVDFLKPSANSKKLDGSEKGRAFRFRFGSFKSTAQNGDQRHMDASAWPAWLTEAAPEAVEGWLPLRSDMFHKLQKIGQGTYSSVYRARYVETGKLVALKKVRFNNMKPESVQFMAREIAILRRLDHPNIMKLEGIIASPLSSNIYLVFEYMEHDLSGLISNPDVKFSNAQIKCYMWQLLCGLEHCHSQGLIHRDIKTSNILVNNEGVLKIADFGLANFVTPRNQQQLTNRVVTLWYRPPEIFLGSTSYKETVDLWSVGCVFAEMFLGRPLLKGRNEVEQLHMIFKLCGTPSDEYWKKSKLPLATMFKPQHPYESTLRERCDEFPRIAVNLLETLLSVDPEKRGTASSALDSEYFSTRPYACDPSSLPKYSPSKEIDAKARDHTRKTAGTRKRALGASRDPRKFRKPLEVSSTSQEIEGNCHAARSINEDSSDSKVLTATGSQKSGQTEISQADSTQTVHANSSLSRFAQAYRRNQDNPSRMQRKIYSRSKTMIAMDPMAELREFQSSGPDSLNLSADFIYLTTRRSQPR >KZN02642 pep chromosome:ASM162521v1:3:35460369:35460533:-1 gene:DCAR_011396 transcript:KZN02642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFKENTESKLCIEKIRKQVKKKFGDLRLMSEQRKLLRKRNIRSIQSKFSLLP >KZN00220 pep chromosome:ASM162521v1:3:3191499:3193111:-1 gene:DCAR_008974 transcript:KZN00220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNNTSGGHSLLNVVKKAFGSPTKSTKSSRSEQHEQEADEKKRGKRMWIFSKPCIYETTIQHSESANSGTAATCYHSCSSNASSRKLAVNDVRPKADDLSHRRAIALAVATTAAAQAAIMTAQAAAEVVRFASSSEIARKYCNAAVVIQTAFRGYLARRALRALRGIVKLQALVRGHNVRKRAKMTLRCIESLVRVQTRVRDQRRRLSYEGSKESTFGVSISLREIHVANRKSQEKEEKNYGWSEYWEKMSRKSCDKKDPIKTVEIDTAQCYSYRVPGSVCSPMHRRDRNLSSPFPMTPSPCKTKRLQVHSASPRGLKEGRNSIMAQTPTLGSSYHRMFRHENGVSASVPSYMAATASANARIRSESAPRQRPLTPERENVSHVKKRLSFPSPKADVYGVMSDTELERRLSSPSSTRGMQFGTGRRSSTMLRKQPW >KZN02702 pep chromosome:ASM162521v1:3:36215926:36216087:1 gene:DCAR_011457 transcript:KZN02702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAAKKTFGSSKSTVEKSAKSAAGAVGDAVHKTKEKVKKKQCTGDACTHDEL >KZN00621 pep chromosome:ASM162521v1:3:6991206:6995262:1 gene:DCAR_009375 transcript:KZN00621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGLPLLECVYCLACARWAWKRCLHTAGHDSQTWGLATAQEFEPVPRLCRYILAVYEDDLRNPVWEPPGGYGIDPDQLIRKRTYDDTRGRAPPYILYLDHEHDDIVVAIRGLNLAKESDYAVLLDNKLGRRQFDGGYVHNGLLKAAGLVLDAECDVLRELVNKYSNYTLTFTGHSLGSGVAALLAMVVVQNLDKLGNISRKRVRCYAIAPARCMSLNLAVRYADVINSVDDFLPRTATPLEDIFKSIFCLPCILCLRCMRDTCVSEEKMIRDPRRLYAPGRLYHIVERKPFRCGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREAKRALDIMLEQDPIMEIPATQKMERQKTLAREHSEEYKAALRRAVTLAVPHAFSPSRYGTFEEQEAGENSNMSSEDSSSGSSHNSRPRETWDELIEHLFEKNESGHLLCMLGRQNKQPVQDGMSYGGEMAMPVKYADAKTGRWKFQSCQSWVQ >KZN02332 pep chromosome:ASM162521v1:3:32159398:32161839:-1 gene:DCAR_011086 transcript:KZN02332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNSYGKRSHSHSDYSDDGRNKRRNSGPERESFAIGAEDTVYRYLCPGRKIGSIIGRGGEIVKKIRAESKSKIRIGETVSGCDERVVTIYSPSDETNDFDGTDDRVCPSHDALFMVHDRIVADDLSDDPEEEPQVTVRLLVPSDQIGCVIGKGGQIVQSIRSDTGAQIRIMKDDHLPPCALASDELVQISGKGAVVRKALFQIAARLHDNPSRSQHMLISAKPPNAYESGGSVMGPTGGGPIMGLAPVMGSYGGYKGENGRWSGSLYSAPRDEPSSKEFSLHLVCPTANIGGVIGKGGSIINQIRKDSGATIKVDSSSAEDNDCIVSISAKEFLENTFSPTIEAALRLQPSCSEKVERDSGLISFTTRLLVPTSRIGCLIGKSGSIITEMRNTTKANIRILSKDDVPKVASEDDEMVQISGDLDVAKDALLQVTSRLRGNLFDREGPVSAFVPVLPYLPMAVDHSDGMKYESRDSRRYGREHSYSSGYGGPGDLPTSDLYGTYGGLHSGSGGSAYGGYGGYSSGRTGSSGYAPPPSRCIFCLVLLIDLVEGISTEYHH >KZN03609 pep chromosome:ASM162521v1:3:46289160:46290671:-1 gene:DCAR_012365 transcript:KZN03609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCEKVGLKRGRWTAREDEILTAYIRANGEGSWRSLPKKAGLLRCGKSCRLRWINYLRPDVKRGQFSSDEEDVIIKLHAALGNKWSLIAGHLDGRTDNEIKNYWNSHLSRKAKSLPVFHQIKPVETRVLMEQLQKQGKKGRSKAPKIPPSEKNLLEIPEDCSLKVQIEPPTPFMEESLGDILKWTPDNISNECTRLPDQQGLWNDIDLGKDIAGGTFLDSGFGMDFLGDLDFNGSLETALATATGNELVQANHNQETNTPSVTNSASCIHKESVENPGWDYLDSAMQGDNLFWDTEQERLLISGLWEATDEY >KZN03357 pep chromosome:ASM162521v1:3:43930995:43931797:-1 gene:DCAR_012113 transcript:KZN03357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICISLVATEGSQLILVNNCKETIWPGTQGGAGHPSPNNGGFVLSSGQEVVMDVPDKWSGRLWARQGCRFDLNGKGSCDTGDCAGLLHCQGMGGVPPATVVEMTLGSSVSPLHFYDVSLVDGFNLPISMAPVGGGIGCGRAACEVDLNICCPSALEVKVNGKVVGCKSACLAMGSPKYCCTGEYANPKTCKPTLFAHLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPPK >KZN00487 pep chromosome:ASM162521v1:3:5748242:5749756:1 gene:DCAR_009241 transcript:KZN00487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLLTALSLENHYPSTLLSMDSSASSSHDELDLEMSRHVVLPRPPDINLPLSAERSPPLQPWNMEACESLDVGLGTQIYEAETFLAAPKVGRKCAKRVDSIWGAWLFFSFYFKPVFNEKSKAKLIRDSNGLSGFDKSDLQLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEIVSLPSLMGLDDDERRRWMELTGRDLNFSIPPEASDFGSWRTLPNTDFELDRLPPLKNSTTNSNSKKLLNGAGLNLSTQPSNHANGDVMDVSPPSSKRKKDFFPHGNDDECYLTVNPPEHVPNMEIHPNEPHWIHEFTGVMSNAAGPVTAAKTIYEDKEGYLIIISLPFVDLQRVKVSWRNTPTHGIIKLSCLSTSCMPFVQRRDRTFKLADPFPEHCPPGDFVREIPLPTRIPEDATIEAYYDGPGTSLEILVPKLREGPEEHEVRVCLRSHPHLGGNDLMLN >KZN02114 pep chromosome:ASM162521v1:3:29756904:29758417:-1 gene:DCAR_010868 transcript:KZN02114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAGVIVEKWVKVLTNADLDSDEMRLPPKFVKKYVNRLKTNSLLKFRNGYEIATVFNHETGTFSGLSTMYEDFLFEVGQMLVFEFDGSCDFNVYVIDTDLTEVEYPPVLHHTQSGHPRVVSVKRGGLKFVYFVKEESPLYDELEPPASFKRSFRFLPGYQNYIFSNGKKIDGVYNNESGKFKGLSKFCSILGLENFSQFNLVLFTYEEHGMSSVAFFDDHFVEVLFPGTPLSIGQNSENPTATGRIEIVVKPCHMYQYSYGVDVSTVHNSITAFWKKTDYINLHSGERSWKVQVKCRGVKNRRSTINNGWIQLREDLGLVEGDIVVLECAHFCGNHFALHVVKNDGA >KZN03199 pep chromosome:ASM162521v1:3:42380910:42390633:-1 gene:DCAR_011955 transcript:KZN03199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSEGLDSNGGVVEVKIGLIVDLNSSMGVMVESCIAMAQYDFYRSHPSYRTKLAFHTKNSHKALAAASGALELLKNEEVRAILGPLTSPEARFVVELGEKAQVPIVSFSATSSNISPLQSPYFIRTAAADSSQLKAITTLFQGLEWKKVIIVYEDTGYQDGFIRDITHAFREADIRISGMSAVSANDSQILEELNKLKAMAARVFLVHMTSLLGSRVFVHAKAIGMMGKGYGWIMTDESSNLLNSMDDEAIGAMEGVLGIRPYVPKSKNLAFLKRRQEKELQCSKPKAVLNIFGLWAYDAVWALAMAVERIGPINSAFVKQKKRQNASDMASLGSSDVGPVLLKEILSTKFNGLSGEFNLVNGQLQISTFEILHVIGKGERTIGYWTPDRGLSRHPYSSNNSSNYSTSASVLKNIIWPGDTTDKPVDWDLPTSGKKLKVGVPVKEGFTEFVKLEQNPVTKEYSITGFCADIFSEVLEHLPFRIDEPQFIPFQDPRTGKSAGSYNDLLKQLELKEYDLVVADVTILPEREKTVDFSRSYMETEVVMLRQIKYSKGKDIWIFVKPLSSDLWLTIALACIVMGLIIRTLERRVNREQQLGMWVWFPLASLAFPERNRVASKWSKFVLVIWLFTAFIVMQSYTANLSSILTIAQLKRSREKPYCIGFQDGSFVRELLINRLHFNESKMKSYASAEQYHDALSKGCLNGGVDAIFDELPFIKIFIDTYGEKNYKLEGSSYSTGGLGFAFQKGSPLASFISTAILELTGSGAMHAIMTKNFGLEYSEQNYFPEISSYSSSIGVMNLAGLFIVSGSITLLALVFSAPAFQQLYASVSSRYRQNFVFPSPPSDGTDTSAQSVAETTVSGNLSTNAMPENSISIAIQRDDAEAANRNSSNIINTTVPMVYELFFHLVRHNNTGISILLCCLMILAKSNHASATDHVIEEVKIGLLLDLNSSLGKMVESCMKMAYSNFYKLHHYRTGLLFRTKNYHGVVEAALKAQELLNDEVRAILGPQTSPEAKYVVELATKTQVPVVSFSATRSSLSYVQSPHFIQMAGDDSSQSKAITVIVEGFGWQEVVIVYEDTGYQDGFVQYLSDAMREAGIRISWTRAISISANDSQIVDELRKLKAASCRVFLVHMTSLLGSRIFINANTAGMMSEGYAWLTTDVLSNLFGSMDVTVIDAMQGVLGIRPYAPKSIDLTSFKMRWERELQCAKPKVELNIFGLWAYDAIWALAMAIERMGLINSSYVNMTQQHNANLPENLGSSEVGPILLKEIAHTRFKGLTGEFNLVKGQLQISAYEILHVIGNGERPVGYWTPNRGLSRDPDAANISSKYSTSVNVLRSIIWPGDSIVKPMYWAVPTSGRRLRVGVPVKEGFAEFVKLKQFQNTAEYNITGFCADVFRELLFHLPFNIDDPQYIPYGDLTVGKSAGSYDDLLTQLEKKKYDIVVADITILPERAQRVDFSTPYLESQVVMLRQVKYDEVNDIWIFVSPFSSDLWLTIAVSCLFTGAVILILEHRANDPEKLEKAFWFPFGSLVFAERNLVTSKWSQFVFVIWLFTAYIVMQSYTANLSSNMTISRLQHSTDKLYCIGFQKGSFVKEMLTKRLDFNVSRIKSYSSVEQYHDALSRGCHNGGVDAILDELPFIKIFLDTYGKTNYKLLGSPYRTGGIGFALPKGSALTPHISMALLNLTGDGLMHAFKTKNFGPEYSDGDYSFDTASRISSFGTRDLAGLFIISGTLGILAVLLSAPWFQPKISFMSTHDKSSSVTSSEAGETESSVHSTAETRDDMPPKSDENSDSDYVIVQDDISTSIT >KZN03899 pep chromosome:ASM162521v1:3:49728886:49730241:1 gene:DCAR_012655 transcript:KZN03899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHFIIIFGFSLVFASFVNGVYEDDVNFDQNYVPLWANNHITRLYNGTEVQLLLDQSSGTGFRSISDYGSGRFGMRMKLPDKNTSGIVTSFYLTSAPVGSQPGNHDELDFEFIGSSTLLQTNVFADDSGGREERYQLWFDPRKDFHLYEILWNQYLVVFYVDGVPVRVFKNYKDKGVNYISNPMNIQATIWSAPWAGPVNWKEAPFISSYRRFGIDGCVSQSTSIDPKCLSPGLPWNVQKDLSPREQIMHQEFRKKNVVYDYCLDKARQQHHPECLLPHIPPD >KZN03459 pep chromosome:ASM162521v1:3:44801964:44802242:-1 gene:DCAR_012215 transcript:KZN03459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQKLTITAEDNGKGAKCLRVKSKGLHSVYSKTIRCNVQHAEIKGVPNAINSKKNNIVLIIKSKPNVSDKKKSKPNISGKKEKNYSSVVGF >KZN02000 pep chromosome:ASM162521v1:3:28076107:28076976:-1 gene:DCAR_010754 transcript:KZN02000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKYNLVTTSVPSSTTDMALDSSPIRQSPKRKIKDISKQKSKVKKKKVVASGMASDNKKKEMDSRDTQMQKPPRKRKLRILDVDSDRDESTPPSVNISNTETIDPANSGKKAETNVYKRKRLVKCSNYVPTLQFNELVEEKVNPLPELDEMMIQDMNKTTEIADTEERIMTQEVSTQLQQAALDMVIYQPLISVNPIHEVSVEKNLQNSVIEAFI >KZM99936 pep chromosome:ASM162521v1:3:240563:241768:-1 gene:DCAR_008691 transcript:KZM99936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQLEWHMNHQGSVGASKKETNPNTSHNPNMAHSYAPQTPPYYPSYFTDQAAAAAAASRSLGSGTLNQQQGLVVQRLGPNGLILGPGQTSGFGYVYPDQFPVLDQYGVGPANAFYQPNTPGTVNVSPEMSKDMVSYVPTIQCCPAGVLPKKKRYNGEISESIEVSGEMTGKDSYASFNGSSIISAGNYMTNYPGQSQDFGTKLSMNHTFPGPKIEPQDVGTIQDCKANGRSMRGGRNTLMEYEFFPEKEKGSIFKDWIKLGSESSSVAAAGGGYGETNSCLSTTNTSAGIAGASSSSIDLSLKLSY >KZN01371 pep chromosome:ASM162521v1:3:14994101:14996822:-1 gene:DCAR_010125 transcript:KZN01371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLMSSKSHGAAEKSVSNIYSNQSTNPDTTRPSTYTTTSGTLSNTNGGGSSVTSSSAGQQSQSQFSMAASCGTGTSSMGEILATLNLKVYSFSDLKNATKSFKKDTVLGVGGFGTVYKGWVDENTLEPCKAGSGIMVAVKKLNHESVQGFQEWQSEVKFLGTLCHPNLVKLDYNPKISDFGLAKSGPSGGDSHVTTRIMGTYGYAAPEYVATGHLYVKSNVYGFGVVLLEMLTGLRVVDMKRPSSQHNLIDWAKPRLLHKRKLKTMMDARIQGQYSTKAAVQAAQLTLQCLAIEPRNRPSMKEVVEVLEQPVIICPYRRSDKSS >KZN03521 pep chromosome:ASM162521v1:3:45377530:45380618:-1 gene:DCAR_012277 transcript:KZN03521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLNYTISLLLFLNLGSSLFSTTAIGVNWGTSASHPLPPDKAVELLKANGITKIKLFDADASVLEALSGYNADVTLGIPNSMLHGLNSSFKAAQNWVHDNVTRFVSQGAGRLRIRYIAVGDEPFLQSYGNQFHPFVVGAATNIQAALFRAKLANEVRVVVPCSFDAFQSKSGLPSGGHFRSDINKTMIQLLGFLDKHHSPFFVTISPFLKYYQKENTSLDFALFRETAHPHNDSHKIYKNAFDLSYDTVVSALSAARFPQIDIVIGQIGWPTDGFANATSSIAEEFMKGLIGHLNSKSGSPLRPHNPPIETYILSLLDENQRSIATGNFERHWGIFTFDGQAKYHVDFGRGSKKMVDAENVEYLSTRWCVVNNNKDLSNVTAKASEACLLADCSALSPGGSCFNISYPGNISYAFNSYYQQQGQRFDSCDFGGLGLITTVNPSMENCRFSVEISTSISVSRRILYLFQWLIPLVVTILFCL >KZN01547 pep chromosome:ASM162521v1:3:19937083:19947778:-1 gene:DCAR_010301 transcript:KZN01547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPPSQHGIKLAVNLISAYFGDIVAKVCECLLRKGTLPLAQIIHFTELSKQNAVNSLLVLIQHNCVQAFATQSEGRFGGAPRISTQYMALFHNIIHHMRFPKFIAIVNEELGKECEEIFVWLLQDGRLSVNDIVERQKEPSRNSTASDALHECFRKLVNAQYVERCPAHEPFLAPPTEEETAAKKRAAKFTKTVEDTIEARALAEASPMDSVRFLLETDTWTDDPEQKSNDNSSNLASAGEKRKLDALESETKLWATNQKKEVLWRVNFEEFVRRLRHKSCIENVRARLDSGAATVLGAVLEATRRTETKVKVESSVPLSIDDIMQEVIKSEEGRTMNSDRVRASLAQLGCDMPSITGIDETYSIDLKKILELAQIREVESIVLKRYGKEASRIFKLLLQADRVVETEMISKDTFVDKKDAAKILHRMWKDDYLHLQVMEKDKGKQKYLLWAVKKPELWQHILDDMYHAALNLRLRSAFEQEKDKEIAQLPREKREGELGKRFDRWMKIRLVLESSLMKLDDAILLFNDF >KZN00505 pep chromosome:ASM162521v1:3:5862562:5864402:1 gene:DCAR_009259 transcript:KZN00505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARRLSTLDSILHIFKLQNNVVNLSHHSPFATPKAHYHSRAAALGQQNVEQSNEFFDAHQVLDEGHDWNVVSATTLLGQYSRYNRHKEVIYLYIRMLQLDVRPNEFTFGTVIPSSMILKDLSLGKQLHGHVTKAGLASNVFVGSAMLSLYAKLSSIEEAHRVFGDTCDPNVVSYTTLMRGYIEKNRFEDARSIFRAMPERNAVSWNAMISGYSQKGCNEEAVNLFIEMMREDLAPDRCTFPCAIVAAANIAAIGMGRSFHACAIKYIGELDLFVANSLISFYAKCGCMDDSLLVFNELLEKNVVSWNALICGFAQNGRGKEAMQIYDKMQSSGLKPNRVTLLGILSACNHAGLVNEGDNGATLVLQ >KZN01662 pep chromosome:ASM162521v1:3:22736768:22737856:1 gene:DCAR_010416 transcript:KZN01662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPKLFMFVQRRDGRYVIYRIEAFVYYHSGIKNDEISPLVVFKHGDLPNCQCSFAYIKPYFYIVGENKHDVFTIEIECLFSLNTSKDARGAQLVSRVSTPMSSDKSSPLAFAFKNNLYVFSKARSTLPKYKKNYNEFEVYSPSEKSWSPLGCKPLQDCDVESYVVIGSMVYFTTSLHVVISFSLDRAHWGTIYDPYGLTYVHKNTAPNSSQYGVWLPTFNKQILVADDILFVNGDRVSRNCFSAWLPEADNDPSARSFLRPFFRLSSDLPFCELDGCSNHIFRFSDQLLCVVCYGAESSEEHTTSSYVVLNFFAVPSSNMGDPLSFNEPTLQRKDVLHTSSLLITAKKPDHGGIISSCFYV >KZN01005 pep chromosome:ASM162521v1:3:10726682:10728308:1 gene:DCAR_009759 transcript:KZN01005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLICDQVVCVTGAGGFIASWVVKSLLEKGYTVRGTVRNPDDPKNDHLWELQGAKERLTLYKAELLDYNSLFEAINGCDGVFHIASPVSEEESMVETAVIGSKNVIVAAAEAKVKRVVLTSSIGAVYMDPNRSPNELIDETFWSDLEFCKSTESWYFYGKVLAERLAWKESKLRGLDIVTISPVLVIGPLLQPTLNASTAHILEYLTGAVKTYSNSNLAFVDVRDVASAHVFLFETPSAKGRYICYESSLHLGEMAEILAKYFPEYAVPTKCSGEVKPRAKPLSFSNQKLKGMGLDFIPVKQCLYETVKSLQGKGHLPVFNHQNDI >KZN03040 pep chromosome:ASM162521v1:3:40448551:40450300:-1 gene:DCAR_011796 transcript:KZN03040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSRISSSILSSSTKIPQEFRLFRGPVTRGGTIRRSCSANLDDVSDEFSSEYIKELAQSFELSCDGVIDEENSKTNPICTLSESNFLISEHRRSEYTETGTFSRLKLDYLEPLRLGIRPSPPIWPEREEVLRASIERKVNSMEIPLSLRMIKKKQQRHGGFGDTGKLYSCSFQKAFSSMEFIIIELQSCALQIREVLCDEDLEGIIAKVQRDMHVSFLWLFQQVFSRTPDLMINVMVLLSNFGVYATAHNIGSEAPLITGPLHESTTEALSMKQHNFISIDDNRRLSRSVPSFDYPSDVPDDEISQELDSVEELKLWNSMVDEAKEMQVAGLEDIVSDHDELQWFVSPVTVEIEPDDKMDYFKTDLLYQMGLSRDPDNSLLLCNYAQFLHLVAHDYDRAEECFKRAIQVEPPDAESMSHYANFLWKVRKDLWGAEEKYIQAMAAEPDNSYHASRYANFLWNTGGEETCFPLDTSQNNSKAL >KZN00308 pep chromosome:ASM162521v1:3:4074901:4088244:1 gene:DCAR_009062 transcript:KZN00308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRKALEPAFQGAGQKPLYLTLTRGTEIWRIENFVPVALPKSDYGRFYSGDSYIVLQTSPGRGGAYLFDIHFWLGKDTSQDEAGTAAIKTVELDEMLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKKPEEEEFETRLYVCKGKRAVRLKQVPFARTSLNHDDVFILDTEDKIFQFNGSNSNIQERAKALEVIQVLKEKYHEGTCNVAIVDDGKLKTESDSGEFWVLFGGFAPLGKRVTSEDDVVPERKAPELYSIVGGQVNAVAGELSKSSLANNKCFLLDCGAEVFVWIGRLTKLEDRKAAVKSAEDFIASQNRPKSTYVARLIQGHETTAFKAKFDSWPSGSAPSAPEEGRGRVAGVETFIEFCCSIVGGQVNAVAGELSKSSLANNKCFLLDCGAEVFVWIGRLTKLEDRKAAVKSAEDFIASQNRPKSTYVARLIQGHETTAFKAKFDSWPSGSAPSAPEEGRGRVAAFLKKQGVALKGSSKSASVKSAPVNEEIPPLLEGDGKTEVWHIEGSDKTPVPKEDIGKFYGGDCYIVLYTYNHQQHQHDKKEDYYLCYWIGKDSVEEDQKNAAQLTGTMSRSLKGRPVQGRIYQGKEPPQFLAIFQQMVVLKGGISSGYKNYIAEKGLKDETYSGDCVALIQILGTAVHQKKAVQVDAVATSMNTNHCFILQSGSTMTIWKGSKSTPEQQQLAIKVASFLKPGADKKNSKEGTEHSSFWSALGGRKSYTSEKESSDFTRDPHLFTFSIDEGKLEFKEVYNFSQDDLLTEDVMILDSHAEVFVWVGQRADSKEKQNSLENGQIYIDMAVSLDELSPHVPFYKVTEGNEPCFFTSFFSWDPSKANAHGNSFQRKAMLLFGTGPDAETNDGVHTQRAAALAALNSAFNSTSETSQATETSEGGHSEGGHTQRAAALAALNSAFSSSSETSHATENKSNETNQGGHTQRAAALAALNAAFSSSSGTSQDKSKVTSGGGHTQRAAALAALNSAFSSSSEPKRAPSPKPAGASSGSQRAAAVAALSFVFRAKNKVSPPISPRQSSRNTPTEPVISGSSENLPEVEDPTETHTPTETQVEEAETVEPVKESNEESEPKPEPVSESNEETESKPEPVSESTEESEPKPEPVLESTEESEPKPEAEQDEENGSESENTYSYDRLKSNSDNPVTGIDLKRREAYLSDEEFETIFGMSKEAFSKFPRWKQDMLKKKHDLF >KZM99918 pep chromosome:ASM162521v1:3:48986:51722:1 gene:DCAR_008673 transcript:KZM99918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIMSQLNLKMMGSKHDKVLAYPSCCTRQVTSSVNFARSLSHNIYSNSRLLSTAYQSCTSSSLRENYYCNNVADPFAAEKVVMMMKPSVGKSTNPGPSIRDFVDTISRILASLLTYGVVFTFLTVPACFYASNSAWAVSSGRIGGSSSSSSSSSYGSSSSSYGSSSSGSYYYSDDDDEGYSKKDDSSITHTCTCDTSCTKCIDCQIRKNGKQEKQEEENKSSTNSSCSCNCHSTSNVYVDMEEQKADDGTMLIFQVGVLDKKRILQRNLNNIAKNADTSTAYGLNCTLKEVVKALLQHDNSSLKFHDLSLEYQTYLTRGSLDKSFKKNLNELLEGFAGGGITLGNVNGVKYRERIKVNRRVDNEYTMVTVMVLATGHYLIPKKKEKGRKYFDSLAVLQTLQHIPKNQIQSVEVFWSPQKEDEVLSEEDIRRCTKMAPIEDGRIFLI >KZN00207 pep chromosome:ASM162521v1:3:3035202:3038587:1 gene:DCAR_008961 transcript:KZN00207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNAYWRYAEATRQPNGPAALQPVLGKRPHPEYDVPAGHDLPNYYGRDDGRGAPRVMKEADSLGASYDRYLRGVQMDSYSRGEPARVISGGLSSRPIEDPRIMGIGASDLAKHQAVGVGRPEHPLPPDASPTLYVEGLPANCTRREVAHIFRPFVGYKEVRLVTKDSRHSGGDPLVLCFVDFLSPAHAATAMDALQGYRFDEHDRDSVNLRLQFARYPGARSGGGHRGRR >KZN03169 pep chromosome:ASM162521v1:3:41961449:41964819:-1 gene:DCAR_011925 transcript:KZN03169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAIAPDNSSNPLKLPWTPANASDQFLIYMYFSEVQTLQPNQTREFDIYLDGKPWSRRPVTPYNRSTVTVYSDALEKPAASHELVIQKTKRSTLPPILNAFELFTVKKFLQFQTDDQDSKDLSDNNLSGDIPDFLSQLTLLRILNLKGNNFTGSVPSSLLSKSKSGLLLLSLDSSQEGGDTNRCLSSSCKKSTNKSTILIAVSIASVLVLILIAVIIILWMRSYKMRQAYIKDDSLETKKRQFTYSEIISITNNFEKIVGKGGFGTVYHGYVDDTQVAVKMLSATSVQGYKEFQTEAKLLMSIHHKNLTTLVGYCNEENKLGIIYEYIANGDLEGHLSGRNPYVLSWEQRILIAIDAAEGGSHVTTVVAGTPGYLDPEYYRSNRLTEKSDVYSFGIVLMEIITGRPAIGTSNDRGHIVEWVKSRLEEGDVEIIVDSRIRENVDMNSVWRTVEIALACVSTASDDRPTMDFVVSQLKESLSNDLCRSETRKKELVGVQSLNLESEVSGPQPR >KZN02185 pep chromosome:ASM162521v1:3:30628686:30629233:-1 gene:DCAR_010939 transcript:KZN02185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSISTMISTFFLFSLFTIQGTQARILPAAGEKATFEFFNINLNEEKEWMSMVAEQIIKSSSFISNHRRFSTPPPPAPDARINNFRKTLLSPPPPPPASPEEGHSSSTPSPNTAPAKDDSYIRSSSTSNKYRRSKSPPPRDIWIASTFIADC >KZN03783 pep chromosome:ASM162521v1:3:48093783:48094775:-1 gene:DCAR_012539 transcript:KZN03783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHEVLEREIERLRTLYQQQQQQPHQQPPPSHQRARSRDLDSQFASLSLKHKDSSSGTDFVSGPLNI >KZN00281 pep chromosome:ASM162521v1:3:3682135:3690402:1 gene:DCAR_009035 transcript:KZN00281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLQVLHKLTSQLGSSAKIFKAIADPPTTSLQRMKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQLVQNGKRVVMMGDDTWLQCFPHHFNKSYPFPSFNVKDLDTVDNGCTEHLFPTLYEKEWDVLIAHYLGVDHAGHIFGVDSTPMIDKLEQYNNILEKVVDVLVSQSGSGGLHENTLLLVMGDHGQTLNGDHGGGSPEEVETSIFAMSMQPPPASTELDTSYCKLDLAGREVCVDFIEQLDFAATLSALLGVPFPYGSIGRINPVLYALGPGTWNRETHIEGNCQQTDKEAWMHNYVDALCINSWQVKKYIDVYSASSVIGFSKEDLLQVTEMYTQAEKSNFNRVNDSVQYKVESCHSSVTDLKRQIDLYDKFLASVAELARTKWTEFNLEIMAIGFGFMLISIAIHFLVIKRLENLQQASSVSNGSCRMSLNAIFAYLFVAIRACSVLSNSYILEEGKVAAFLLATTAILCLRSSILNKKLLTEAIAFILLISILRFTIELGLSKQATNSSLLTVHLSWILRIDKGSSVGTYITEIVPILAMTILAYLLHKSMAHRYCPSITRYVIKGTIFSYMLIGVQWILESDLISFSHIGIKANIIPRMIYAIGVAQLLSLVLGRLVRGKNLTGWKDGILLNTVAMLSAWSSTVIVLSGKQGAFVALASIAGGWLILRLQGMEQNSNNGKYGTISLYSASVTQWSLLAACLFFGTGHWCAFDGLRYAAAFIGFDEFNLIRQAILLTIETFGFSLLLPIFGLPLLVIYNYDFNQNKHPKRLLFMQLSQVWGLFAPKFVFDVAGLILTDFLICAASLFIFE >KZN00572 pep chromosome:ASM162521v1:3:6509793:6516063:-1 gene:DCAR_009326 transcript:KZN00572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGTQSSLRKALGAIKDTTTVNLAKVNSDYKVRSWTSTLLKQLIMWSALQKKGTLEATIFAAISATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDPTFQEELINYGRSRGHILNMAHFKDDSGPNAWDYSAWVRTYALFLEERMECFRVLKHDVETDRPIQDALSISSADDDLVVQRTKDLDTPELLDQLPALQQLLFRVLGCQPQGAAVHNFVIQLALSMVASESIKIYNAITDGTVNMVDKAERLSEFYEICKSVDVGRGERFTKIEQPPASFLQAMEEYVREAPRASTARKDLAIDAPKVILAIEYEKDPEVQEKRPPSPPPPEPEAVKVETPAVQPPDLLGLDDPVPESASLDEKNALALAIVPVDNQPTTTGLDLANGATGWELALVTAPSSNESAVASSKLAGGLDKLTLDSLYDDAIVRSNQNVSSNPWEQPQMANFMMPQTAYDPFYASNNIAAPHSVQMAAMNQQQSAFMLQQQQQQQQMMMMMNPQQQQAQNPFASPYGGNAYSHPPGMPVQYYNPYTGLR >KZN03398 pep chromosome:ASM162521v1:3:44268653:44269658:-1 gene:DCAR_012154 transcript:KZN03398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLELACLSLECGDDRVSSSLSDDYEASLSFSENSDSSIDGYNFINCENEEVFDSKRVLTLVKTECSVDIQSDVLDFNLLLGTAERDCRICHLSLQKGGSDIESGTAIQLGCSCKNDLAAAHQDCAETWFRIKGNRICEICKSIAQNVVASDHMEPPQQASEALTLSENAASAPVSSNIVTPSFSYGHLLLDSMFACMVFAVFLIWIFHFHMAV >KZN02979 pep chromosome:ASM162521v1:3:39793755:39793988:-1 gene:DCAR_011735 transcript:KZN02979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNKQSCNNTVTYFQMPLHYPRYTKKDYQDMPEGILDMLLAAYGLSPNGDLAYKRAFAMGAFLWTDIDWNSSANPS >KZN01238 pep chromosome:ASM162521v1:3:13506484:13509142:-1 gene:DCAR_009992 transcript:KZN01238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTVSLKKKPILQYVLKLMERTSTTSASVTLIFCIFVFSLSLNSIATEDIIRPNQSVRDGNTIVSAGGQFELGFIGLGNSTNRYVGIWYKKIPLKTIVWIANRETPLNTTSGLLKLNTNGILVILDSFDNVVWSSNSSTPVNSPVAQLLDSGNLVIRDVKDSDPANYLWQSFDIPGNTFLPGCKLGWNLETGLERYLSSWKTDDDPSPGEYTNRIDPNGFPQLLTRKGSAIHSRAGPWNGVRFSAAPNFKSNPIYEFYFVSNDKELYYYYKLLDNSVSTRIVLSPSGSVQRWVWIEKSQIWRLYLSGPIDDCDRYKLCGPYGSCNINRSPKCECLDGFEPKSQKEWDVADWSSGCARKVELTCADGEGFVKQSGLILPDTQSSWFDRNMSLDECKRLCLKNCSCTAYANTDIRGSGSGCLLWLDKLIDIREQGENGQDLYIRMAASELAKKGRSKIRFMIIPILLTVTTMLGACLWVIRKKKKHPDVKIARIKRLLYLDDDGDFGNGDKDAELPLFDFSTLASATNGFSTDSKLGEGGFGAVYKSIRSSEVFVSEIIDDNVCILL >KZN02839 pep chromosome:ASM162521v1:3:37958219:37959422:1 gene:DCAR_011595 transcript:KZN02839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAVEIFRHKFILCLAFSVFVSSCQLVSGDELAFFARLNLPTGARGPDSLAFNGKGDEFYTGVSDSRILKYELANHAFVNFATTSPLRNEAVGDDRNDTKLGQAPCRPLGVAINYNNGDLYIADAVCGLAVVGSNGGVANTIANSVNGIPLLFLDAIDIDPQTETVYFTDAGSIFQKSLDIIEILSSGDTSGKLLKYDPQTKQISVLLTELSGATGVAVSADGAFVLVSEYIAKQIRRYWIRGPLAGTSDIFIELAGSPDNIKRTVLGDFWVAVTMVDLQLAVPTLVPFGQRINPIGVILENFSLEVQYRNAIVSEVQENAWGIFVGTLLGGFVGIYRR >KZN00736 pep chromosome:ASM162521v1:3:8016794:8022372:1 gene:DCAR_009490 transcript:KZN00736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCTGGTIKHHRQSQGKADHDYSSDNNSKNIDKKTSDGLEEEESYDGGMNGSAGFEFYEKKRTPHIFDSGELNFNISNQFLNRRSAAARTPPATKGPHMNSFFGKAGIAGLERAVDVLDTLGSSMTNMHAGSGFAYNLASRGNKVSILAFEVANTIAKGSNLLQSISEENIQILKDEILQSDGVLRLVSTDMTELLKIAAIDQREELNIFSREVIRFGDLCRDPQWHNLDRYFLKFDSDPAAGKLLREQAEMTMQELLSLAQYTSELYHEMHALDRFEQDYQTKVEEVESLHLPRKGEGLLLLHSELKHQRKLVKSLKKKSLWSKSLVEVVEKLVDVVTYIHQHISEVFGNDGASSTEEKSSGKPDERLGIAGLALHYAHIVTQIDNIASRPTSLPPNMRDGLYSGLPVNVKKRLRSRLQSLDVHEELSIPQMKTQIERTLKWLAPIAADTTKAHQGFGWVGEWANTGIEYGKTTKNSVIRLQTLYHADKGKMDLYILELIICLHRLISLVKHKDNGSKAQPLQSPVERELVPDHEIPSEVQNTITLEDGNVIDNSMNRQKLFGKSKSLEFVMGRRKSLKIRAPSRSAGSSPRTATALERRQSKASILDVLDGIEQHSELS >KZN00577 pep chromosome:ASM162521v1:3:6583783:6587049:1 gene:DCAR_009331 transcript:KZN00577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLIRSCQNSYTQTTIRDAVEDSITWPVRIVIPIIPGDYSDLELKPVGRLEVKLVQAKELTNKDIIGKSDPYAVLYVRPIRSRTKTSKTISNQLNPVWNEHFEFIVEDASTQHLVVKIFDDEGLQASELIGCAQIRLSEIEPGKVKDVWLKLVKDLDLQRDNKNRGQVHLELLYCPFGMKNGFANPFSPELSMTSLEKVFKSGEEDMDYAENGGEVKNKRREVITRGVLAVTVISAEDLAPADVMGKADPYVVVSMKKTAAKNKTRVSNDNLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKVVHDYMGRCILTLTRVILEGEYKDSFQLDGAKSGKLNLHLKWMPQPIYRDS >KZN00886 pep chromosome:ASM162521v1:3:9578947:9581755:1 gene:DCAR_009640 transcript:KZN00886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNGLPTLARVKLTDLIATEGLPSDAYRLSIATLSQSLAHYSAAIIQLPGGDGALLNSCLESARLYFHHKPSYPSADISHINDSREWCKTSGYYADTRLWLETYDYRPGLTPTGPDSEFEFPPAGLSDIFVLLGKAARDILDAISYYLNLRSSAFTEILDNVPLRNSDISSSVLSVSCHGRPSFQGEQHHSLTTQEDDHLVMFSDPEHQVDKSLLSLIKSDKAGLHVRDYNGRWVLVDGDLGPEEAIIYPGLALYQATAGYVSPALYRTDIGNHQGTMCGRCSVSFKLMPKSLASLNCSEMRAAGHGVESQFQIPVPVDDFMQKSHSTDQLFNRQNFPSFSFDPAQDGSIKPPMRKKKNNSRCKPLPPSKRLRLEAQRVLKERVQDIADQKSIKLRFCSLKECENHIHNVDSPCAHTRMEIGWPPGVPFVHPHDLPNKAKIGFLETYEPGWTAAHDMELSFIEPGQSSQHTANCNSSVYF >KZN03936 pep chromosome:ASM162521v1:3:50048967:50050256:-1 gene:DCAR_012692 transcript:KZN03936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTYKIQPTHPTLGNHQKKESNNTHKSNNTLQDSHYFPAYSNMDAELKKSHVPAFGSWDCNDDLPFTQCFESARQAGLLRYSYSEDRDLYVAGDLYQNDIVTPAMIVVPRRRTKAAAGKKEGWVVCECEHKYDVKQPPSPVAHHAPPPTYLPKAVDEDLYKISPDLLYANSKRKRGFGLFSCCLRPSCDL >KZN00760 pep chromosome:ASM162521v1:3:8228390:8229289:1 gene:DCAR_009514 transcript:KZN00760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTTFLSKPPTNISYSTPQITPLQFHHTLNLSIFTKPKHHPLTKTQKPPLFVTNAVVSPKEKDVSNTDERVKQVHSIDEFDEALRSAKDKLVVVEYAASTSQQSRKIYPFMVDLSRTCNDVEFLLVMGDENEKTKALCEREKIEKVPHFSFYKSMEIIHEEEGIGPDVLEGDVLYYGDSHSAVVQLHSRDDVEKLIEDHKVDHQLIVLDVGLKHCGPCVKVYPTVLKLSRQMDGMVVFARMNGDENDSCMQFLKDMNVIEVPTFLFIRDGDIKGRYVGSGKGELIGEILRYQGVRVT >KZN03541 pep chromosome:ASM162521v1:3:45619881:45623662:1 gene:DCAR_012297 transcript:KZN03541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKNKKAAKPQKESSHPVTNHHSKQVICFEGENLVQLLKSIQREIESARNLDGGLPDKIWVKQQFSIGVNDVTRVLERMPPVCSTAGSSNPVTGSGNIKDTRLQAILLASDCYPQMLTKHLPSLASLKNVPLIYVKDKRRGSLRLGDLVQLKTAIALGIKDRGNGINHLIGNILNDQELCGLDARDDLKASNIDMLATTEIVPPVTL >KZN03523 pep chromosome:ASM162521v1:3:45385486:45391563:1 gene:DCAR_012279 transcript:KZN03523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKDNTEKTVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLHDENETKHLQALDEHESDRLRLFQMDLLDYDSIVRAIAGVTGVFHLASPNIIDQVVDPEKEILGPAIQGTKYVLMAAKELGVRRVVITSSNAAIIPNHHWPADVAKNEDCWTDVEYCKQKKLWYSASKTLAEKAAWELAKEISLDVVVVNPGAAMGPIIPPTISASMSVILRLFQGCTETYDDLYMGAVHVKDVALAHILVYENPLATGRHLCIESICHFSDFAAKVAELYPEYQLPRGYQSGVAEIKDAAKKQMDFGLQFTSIEQIIKDSVESLKSKGYQSGVAEIKDAAKKQMDFGLQFTSIEQIIKDSVESLKSKGFLS >KZN00898 pep chromosome:ASM162521v1:3:9682219:9684834:-1 gene:DCAR_009652 transcript:KZN00898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKSVGSLKEADLKGKKVFVRVDLNVPLDDNLKITDDTRVRAAVPTIKYLKDHGAKVILSSHLGRPKGVTPKYSLKPLVPRLSELLGAEVKMANDCIGEEVEKLVAEIPEGGVLLLENVRFYKEEEKNDPDFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLMQKELDFLVGAVSNPTKPFAAIVGGSKVSSKIGVIESLLNKVDILILGGGMIFTFYKAQGLSVGSSLVEEDKLDLATSLMEKAKSKGVALMLPSDVVVADKFAADANSKTVPSSSIPDGWMGLDIGPDSIKTFSEALDTTKTIIWNGPMGVFEMEKFAAGTDAIAKKLADLSAKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKVLPGVLALNDA >KZN00754 pep chromosome:ASM162521v1:3:8161017:8161414:1 gene:DCAR_009508 transcript:KZN00754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPVFLALFLPCAGLSLTSEKRTFRIRAGEASEIXGKDLVMGNECSICLDDIGQEELARSIPGCNHGFHLRCADTWLSTNPVCPVCRGKLYEFFDASATNPC >KZN00987 pep chromosome:ASM162521v1:3:10519238:10522030:1 gene:DCAR_009741 transcript:KZN00987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEAIYFALTRMNCGKVRKLCMEHREGPFLELTQRRDSVLQKALYSKQVDLVLDLLQDARLRWLQDFHKKLGDHVNREGNNILHVAATHDSCLSAAVKILNYAGHLLTFKNDVGETPIFLAVQYGQINMFKYLNHQIEIIAPDPERRLSFYKKANRYETYTILHEAMYNDHFELALYIARRIPDLICEKDHNNMTALHLLAINPLAFSNLDWKLPDFLIRFLFGSFSFQEDSTGDTMGAACVAKSSFSMRIPSWENMKRKYRRHYAALQLAYILVLRDTSWIEAVSPDAEAACSADCHGIKLVKADEYSGRESMKPPTPLILATKYGCLEIALMIIREHPFTVEQVGPEYGSILHLAIKYRRMEIFNAVMKLQMQITRLVRLVDQQGNSILHMVALNATQLAQVKKSYIPWDSSELNDATRNPAFELQEDLLLFQRVERTLMTHYHKTPNTDYLQADQLFAANKEPLRVAAQEWMKRTAENCAVNSVLIATIAFTAAYTVPGGTDDQSGSPLLLNHTLFVVFTVSDVLSLASTIIAALLFLSILTSSFRFRDFKESLPKRLMFGISCLVFSLIMLTLAFAATITLMIRSRQRPTRIALYAVAFLPFSVLIATYMLFTVPLRQTVEYTISKVKLIFPMFYVRYGVSKTWTNLNVEV >KZN01866 pep chromosome:ASM162521v1:3:26318546:26321252:-1 gene:DCAR_010620 transcript:KZN01866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLVNVRYNYDGTFNKTSYSGGKSIIINCQDVDEFSYTVALENVKDCLNCTEIGGLYVLNGKPLQWKLLKCDSDLLQLVDACESGGDINIYVDCVVDKECKPLEPGVPFLVELAKKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHVQEVQNNNLPKTPPKNLRSSTHLQEVENNNLSKSPRLEDLQKNLSSNPQWKKDVSPNAVSALVAKRRLHLSKLDTIESGRVNEYELRKIQNVEENKKKFKELGLGKYAANPIKPIVQQSTKEKKDREDPEYVVENETGDESDDTSEGIKYVQKRKAIPGPRTRSRANDKDLGDKDPVDPIDKGKKVAAASTKSAKLIKPTCSKLLKQGDNSAPSGTIAAYMALRERQKQNLEAEMRREDVGDTDLQNGSEGEEVEAGNMVICTLFLLYVSVF >KZN03235 pep chromosome:ASM162521v1:3:42762747:42763177:-1 gene:DCAR_011991 transcript:KZN03235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIFHFVHHKNYSQMASPCRGKALWPELVGQKGNVAAAKIQKENPRVHAIVLPEGSATTMDFRCDRVWVFVNKYGLVVAPPQIT >KZN00452 pep chromosome:ASM162521v1:3:5394686:5403941:1 gene:DCAR_009206 transcript:KZN00452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAESSSADSSSKNNETEVILNIYDLTPVNNYVAWFGFGIFHSGIEVYGMEYGFGAHEYPISGVFEVEPKSCPGFIYRCSVPLGRIDMPPSEFAQFIETVASEYHGDTYHLISKNCNHFTDDMSIRLTGKNIPGWVNRLAKLGAFCNCLLPESLQETTVKQMPEYHSCADEDASGSPSINTSQELSDSEDSDKDNKQLLSPVSRNGEVAFIKETSIGANRNLTSLIQMVCI >KZN03127 pep chromosome:ASM162521v1:3:41521196:41522698:1 gene:DCAR_011883 transcript:KZN03127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLPALSFDPLNIKDFASESNKVIEFITNYYKTVHKYPVRSQVEPGYLQDLYPKTAPFQPTSLETILQEIQTDIIPGITHWQSPNFFAYFPATISNAAFHGEMLCNALNIVGFNWICSPAATELEMIVMDWLGKMFNLPQSFLFEGKGGGVLQGSTSEALVCLLAAARDRALKQYGEESITKLVVYASDQTHFVVKKAAKLVGIPPKNFRVIPTSLATCFALKPNDVKMAIERDLESGLVPLFLCATVGATSSSSVDPVEGLGLLAQKYGLWLHVEAAYAGSAFVCPELRHYLKGIEHADSISMNLHKWLLTNLDCSCMWLKKPSVLLDSLSMTADYMRNEASESKQVVDFMDWQIASGRRFRALKLWLVLRRYGVKNLMTHIRSDVDLAKHFEALVKSDKRFEVVVPVNFSLVCFRLKPNEETEESLKILNWKLMEAVNSSGRAYMTHAVLGDIFVIRCALGTSSTEEKHVNELWNLIQEKTRHILKEKCWNELQFPI >KZN03271 pep chromosome:ASM162521v1:3:43066696:43069204:-1 gene:DCAR_012027 transcript:KZN03271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDNYEMLCQKIEDASKTHAPCNDFPEMDKNNHPAIVKIITEEEGVPCLVYISREKRPKKPSHFKAGAMNALTRVSGLITNAPFLLNVDCDMYVNNPQVVLHAMCLFLGVGNERDCAYVQFPQEFYDGIKDDPLGNQYVVLVKFVGHGLAGIQGPMYTGTNCFLRRKVIYGISPDQKITTGELSDPDLQRKFGESSPLKESVAHILLPSISSKGGSSSFVEAAHRVAGCDYEHGTCWGTEDVLTGLGIHRKGWKSMYCTTDPVSFQGCAPTTGPDTMIQAKRWATGFLEILFSSMSPISATIHGKLQFRQCLAYLWIMSWSLRSIPELVYSLLPAYCIISGSHFLPKVYEPAILIPVAVFLIFNIHTLSEYLKIGLSARAWWNNQRITRINCTSSWLFAIFTIFLKFIGLSQSAFDVTAKNPSINDDKDMKNKDCSKFTFSESLIFLPGTTILLVNLTALTIGMVRLLVTTPHSNEAGIGELLCSLWAVLYFWIFLKGLFGKGKYGIPSSTIVKSGVLALLFTQFCIWSTNA >KZN00497 pep chromosome:ASM162521v1:3:5810033:5811921:1 gene:DCAR_009251 transcript:KZN00497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTIAAFRRILASSSSTIVSSPHSSLFARFNSTLTSPKLFISGLSRLTTDEKLKEAFAPFGSLVEAKVIVDRACGRSKGFGFVTYNTIEEAEKAREGMNAKFLDGWVIFVDPAKPREYKPPQQEQQPSNTGGYTTNKTIGWCG >KZN01640 pep chromosome:ASM162521v1:3:22216882:22219956:-1 gene:DCAR_010394 transcript:KZN01640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCIFLAHSRRRSSRLIASPWVHNWPKKPPAFVTIDDDGESCRTPGIVSGGTLLRKKVRGSVFGKSHAEGSTSTRMEIHTPRLEANRMMTRDQLEDDDAFVTSREQFSNSGRKETGKSGRNMGSYVLPRKARGQMASSGKKMQSQVTTHRHDAAHRQKSKVGSSTAKISEPDPTVDLSCSVKKSSAIKVSNCSNNSSSSALTAQHKPVVINPRDKRTNTLQEHHSKTRKRAVKAISRRAHLAVRATTPKRDQANQRRSYEGYIQKKFSPRILADVMRNLSEAQAQWVKSAGFGSLLGFRMEIYTHRLAYRIADAFCSRTCELRLKAGTVVVTESLVNKILGLPQGSLDIELQEGKVGKTTWDEQYRSTSISPGKVRDMLKISKSVDYNFKMNFLILVYNFFIEANQNMYISRRLLSFGGNIDECGRYNWCKLLIDKLRTTHEFWSEGKAWRNFAGPLAFLIFCYVTCLETPNLVRPTLTYPTYLCWTETMLRERQNRESSEDTFGVGSIVILDDELEAADKSPIPQGIDGAANQMHCERASRD >KZN03479 pep chromosome:ASM162521v1:3:44966730:44967032:1 gene:DCAR_012235 transcript:KZN03479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSFKNAFVVFMALWLLLASGCIASHGGSSGVENRRELRKAPSGPDPIHHSAAHPNNPKYATSPPTRY >KZN02936 pep chromosome:ASM162521v1:3:39321294:39323402:1 gene:DCAR_011692 transcript:KZN02936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKFGGTKPVGTPSLGWSSVVVIVSLLAGASVVHNIYKPDLTLPPIDSVGAAKPGQPE >KZN03439 pep chromosome:ASM162521v1:3:44668860:44672191:1 gene:DCAR_012195 transcript:KZN03439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYFHVQCCLIILVALLQGALSITYNVTDLVPNTPGGIRFEKEIGVDYCIQEMGTINQFIYKLFDQENNPGDRRPQDFVQLYIDVHADNATAATQGNTINMSSIFIQDYDGDVKWGFTSILYHEMTHVFQWLPTDAPEGLIEGIADYTMLKANYFPPGRAKPGDGDSWDQGYDVTARFLEYCESLLQTFVAQLNKKMKDSYNDSYFVDLLGKPVDTLWKEYKEKYHHDPKDIDFPDVQIAVAQTIWILQGRFSYSGKSLAGDFLMKRANLHKDYKSQMLKDQVVMTSDQNIGEMIMSSNYFVIWLNMKLMLAEDLVHGERL >KZN03161 pep chromosome:ASM162521v1:3:41852262:41853768:1 gene:DCAR_011917 transcript:KZN03161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLALPYKDQNHKKLIRIFGYPDMLDDGEEATTLVIIGPNGEFVDQCGADILMHFGTPAYPFTRKNLAKLETDIAKELKLEMLWDPNTNFKVTKDGLPIPVSQFSGKRLLIYFDMYEYYKYWENLAKIKELYFKMKGTDEEFYVIYIRIPSPYNEPDVSWPVHNYGELPWPVHYNGEGYSLPKELEHTVLNYHYDPGRFFLRCLLIAFDRFFLRCLLIAFDRDGSIVRKTFDPTFDDTEFPFYAGGLEEEFRYQFDRWFG >KZN02363 pep chromosome:ASM162521v1:3:32558122:32568538:1 gene:DCAR_011117 transcript:KZN02363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWVKVLSISCRVGLKFPTVEVRYNKLHVEAECEVVSGKPLPTLWNSLQRMIFDIAKIGGLKSRKAKISIINEVSGIIKPGRVGLKFPTVEVRYNNLHVEAECEVVSGKPLPTLWNSLQRMIFDIAKIGGLKSRKAKISIINEVSGIIKPGRLYNDEKVLFVVFPFPGTGPWTGAIIASVLRHAILVCCLSKLFWRCPDWLFGLLMNLGLKHLEYQNTRRSHLLGFNTTGLHTHLVNRCAETLPHATPWISRKRVSESTDLDLQGECSFIPLRNLISCKIWLSDMVSSLAQAYSADTSDSSYDAPNVYALRLVYVAIGVGVAASIT >KZN03888 pep chromosome:ASM162521v1:3:49659653:49660768:-1 gene:DCAR_012644 transcript:KZN03888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNNAALSKPRKPPVLIMPVQDDYNQQCDLYNVSGSKISQANSRAKSDQSSRCVGSSKGWLILDHSDQTNEGSLSIFSPLSGNVRKIVLPSCARPSGFCTRWISKAVLTLSPGSSGEKYGVVFLYGVCDYKVAFLSTCGDQDEGNKWIDLKSSGGSYSDVLSNQDSVFALLTSGFVDVWDLRSGTEGGFVKNLRIETKCLDRVVELEPNLRDLYTSNSYLVESKGNLLLVIRITGEFVRENGEVVNEGALLDDEVYSHLVLPYKTKNFYVHKLDMRLRKWVRIDSLDDQALFLGLNESVSFAASDIPDCRGNSIYFTDDHWDHINIHEHDLYGGHDMGIFSLSDKNVEEIFEIRRMVPPPVWIDQKVSAV >KZN02865 pep chromosome:ASM162521v1:3:38184389:38192188:-1 gene:DCAR_011621 transcript:KZN02865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVSVGRDLLFKALGRPYTEEEFDNLCFQFGIELDDVTTEKAIIRKEKHIDDQVADNDEEVIYKIEIPANRYDLLCLEGIVQSLRIFTGLDPIPVYRVREPIRKESMLKMHVKPKTAEIRPYIVCAVLRGITFDEARYNSFIDLQDRLHQNICRRRTLVAIGTHDLDTIEGPFTYEALSPTDINFVPLKQERSFRADELMKFYESDLKLKKFLHIIAESPVFPVIYDRKRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKAKIVTMFSLYCDNKFEAEPVEVIYPDGKSHIYPDLSSYNMEVPLSYITGLLGVSLEAGKVAGLLNKMQLHAEPHALPNGDHTFNVSVPPTRSDVLHPCDVAEDVAIAYGFNEIPTRKLPSLKPLALNQFSDLIRTEIAMSGYTEVLTFILCSYKENFAMLNRKDDKSKAVEIGNPRSSDFEAVRTTLMPGLLKIVGQNKDHPKPIKIFEVGDIAVLDESKDVGATNRRQLAALYCGATSGFELIHGLLDRVMEVTGTSFVKSKDTTGYYIECSSEPEFLPGRQASIIYKGKHIGNFGIVHPEVLRNFDIPDTCSFLELSIEHFL >KZN02714 pep chromosome:ASM162521v1:3:36411270:36412520:1 gene:DCAR_011469 transcript:KZN02714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASGRQSGATFGADKNKEEEIMVSALAHVIAGGGRKDGADYHHLPTHHSEPESQVFSHYADLSVAGSPSLMHESSQDTSYSQGTSSGEEAQATRKYRGVRRRPWGKWAAEIRDPHKAARVWLGTFTSAEAAARAYDVAALHFRGSKAKLNFPENVTRASSSSHSPTPQWIVSPNPDPNFPISTSRDPIAQGAPMSQYSVHEPVEYSDYYPQFAFSSAGTSASCNYSSSASTSDYTSIVNVSSSHSPAFPSSDQYHQP >KZN00450 pep chromosome:ASM162521v1:3:5378801:5380323:-1 gene:DCAR_009204 transcript:KZN00450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSLIPALAKATGVVFAGVLAASLASTAIKLNYQKHKNSGSQRLIPTRFRLELGLLISQRLSVSPRILKAHNLVSAARTCLICPTWPLLDTGVHLKGQGLTVGYARSWEKCVWLSPMAGDVLILYIALSELRYFQGAPLKL >KZN01017 pep chromosome:ASM162521v1:3:10832675:10837820:-1 gene:DCAR_009771 transcript:KZN01017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENVAKHWKTNEVEAVETAKEWSRLYATGE >KZN01894 pep chromosome:ASM162521v1:3:26677143:26677754:1 gene:DCAR_010648 transcript:KZN01894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFGLLKFWRNRAPEVNQDSFDVSVTYPQCDHGNLSKLDSKAFNATVFSLSKMKTNFKSRSQLLVLRSSPKLRVSMLRHKKSSAEISCIMATPKREQSSSRNTKQKSGDSSSNKYVKLMKQASKIRIFDQSVSASPPAVFKGVCKGLMKSKSSVRTVAVPLSRRDDSALQQQDGIQSAILHCKRSYSSSSSSSSFSTISQGI >KZN01468 pep chromosome:ASM162521v1:3:16619089:16621651:1 gene:DCAR_010222 transcript:KZN01468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQVDLLFHDMIQQVNRLLEYPKWLSVERSQISFYSIKLTSIYMAFQTRPMKCQDNLSELLVDTTLHILVRRILDSKNLGTSGEFSNLIDPPDLTLIGMRLAFEVQVIYKAFMQDSSLDLSLQDKDLNFTFGPYMNPDGDMKFQEVFIGFKSEASGLLQQLASITKKKLEVISVVGMAGLGKTTLATRLYNDPYVMHYFYIRAWVTCSQVYRKKDLLLSILRSVSDITDDICEMDDNVVAHHLYRALMGRRYLIVIDDIWSNEAWDDFKRCFPDDNNGSKIMMTTRLKDVALHAQPEGNPLCLRFLTEEESFDLLRRKAFIWGIFSGNLSLIGKSISSKCRGLPLAIVVIAGILKNKYQVDWWEQVAESVSSYILSDEKQYMDTLALSYNHLPQHLRPLFLSFGAFPEDHDIIWLWITEGFILPDSTRKSLEDVAEHYLMDLVSRSLVVAELLVFPTELLQLVQLRYLELQFRTGNPPESISHLKELQTLIMSSRMHIVVPNNTWKMKHLKHFCIKSGVNLVNFSSVDDNSSLLEHLQTMSLVSPTRSCRHMLARTYNLQKLGLCGPMTTASGDLKFPDICHLKQLTTLKLLNTIPLCKVARLSDSFLFPETLKSLSLSNTYLGWKEAWAFEMIPNLEVLKLKFHAFVGNDWETRPEAFPHLKFLRLDELDIVTWTASRNHFPVLQRLQVWRCSYLMEIPEDFGNICTLEWIELSGCSDAAADSARDIMKEQGSNGNDWLKIRLNPGLTPS >KZN03488 pep chromosome:ASM162521v1:3:45030021:45031220:-1 gene:DCAR_012244 transcript:KZN03488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVEVPSHFLCPISMQLMKDPVIVSTGITYDRELIEKWLLTCKNKFCPVTKQVLSDDTEPTPNHTLRRLIQSWCILNDFDRIPTPRQPLDKTRIVKLLKEAEKSPSSRFECLKNLLSICEASPMSIKFLEQNGAVDFLVLLLNSREFVDEALELLCNLKVSETSLAQLVNDKFFESLVFVLKSTSFQSRVHAIMLLKSLVAVADPALLIRIQPQLVVEVVNIVKDNVSQKASKAALKLLIEICRWGKNRIKAVEAGAVFVLIEHLLFDKFSTSSERRSCELVLVLLDQLCGCAEGRAELLKHGAGLAIVSKKVLRVSQVATGRAVRILASVARFSATARVLQEMLQVGVVSKLCLVLQVDGNGKTKDRAKEILRLHSRVWKNASCVPPYLLSSYPSCD >KZM99950 pep chromosome:ASM162521v1:3:375126:375323:1 gene:DCAR_008705 transcript:KZM99950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCERHMKCRRLHYINASGGKHSESLSQYIPIRSHPKEHHLTNINFCVQPETDMWQLDSEEPEES >KZN02637 pep chromosome:ASM162521v1:3:35409966:35410586:1 gene:DCAR_011391 transcript:KZN02637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATGEMQFESRAKHCDTANAATSIPGIKNMPEDSSMGISRSEGPTEIWADSETNILGTCELSLASSTSIPNPNSELSEAGGGGV >KZN00012 pep chromosome:ASM162521v1:3:1039241:1042839:-1 gene:DCAR_008766 transcript:KZN00012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQHCSALHYINAIKGDSVSKVLNVNSRRKPALVFKSLEEIYEDKHPRHLNEPSEAESQNGCENGEVKDITKNLCLPKAERITMERDQVSTDFECSDYEEMASESDDLSFGEMTLKELRKTCKLKKRKMSDSVCSSPEFQEDGDDCDLMIPLSSWNTTLSKIAKSTKKHARRSASAILAHDCTYPQQSSNANFVPMTIKIEASTFCLSELQALNFAAETTDGPSSSGEQVSVYDMDSSQILQTSDTILENKETISLAGQQETCVNISGFLENKETISSAGQCEARVNTFSLSQPEVDYMPLSFIPPPSEKTVGSGTQESCRHPASRASELHKESDILQSPSSQSFLLTDKNINDKSLVIHKTKDEVSEMPLDNGISSTNFVNGSELCVFEDDARNRLDMLSAPQSCLNMPEILWNESEYRDSNVATSDGLCLIKSGRASDSDLVAEEIYSRTIENDWSLTTYNSNTSGYCVEPNIYPTDLEDAAFANEKQLLLPINTDAEISLSGCDDNELSSPETCNQGDIVLWQPPERFPSTKKVISPTFQEKVCLTTNYRELTDDIGDHKCKGKRFVGNQTEDNALSEVSDTTGVESCAKGELTEQRILRKAILSPKKILKKSKHNKKGSLPEKCSPIGRLVGSQPTRLLPGMYKGRSSIEACHERAISFSQRQMQDIESVAAKLMTELKSMKDMVEEKLLYEAYRSTSMKNDADEVKSAIKSATKVEETTKKWLSMMARDCNRFCKIMSQPQKSTLDASAAKETMMHREKKKISFADEAGGVLCHVKYFEYGENNTNLAS >KZN00722 pep chromosome:ASM162521v1:3:7908771:7911234:1 gene:DCAR_009476 transcript:KZN00722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACSYCKMLYNPFNSPTDPCYRSTYVSNAIVVARHLGAILVLPDIIEKRSGDSREFGEIYNVTKFVTSMKGIVKIAITQPLGVSTRQLTLVRVPSGVSEEYIASKVQPIYKKKGSLKIVTYLSPSLVTKGEGNDTTSLSCLAMFESLQLKAELEKTILSMVEMLRSSGQNSSSLYIAVDLKDENFGNKGCQGSFINGRKTCYTAHDIIQFLKKIRIEREATIYLTLDGWHDSLNSLTEIYPNVHTKETLVPADKVVPFLSPETYVYKKIIDYYICSSSDIYIPAKFDHFYMTVVGERIATGERIVLEPAESDSKNGADYISPYMLRKSHYAYSCFC >KZM99984 pep chromosome:ASM162521v1:3:789526:792976:1 gene:DCAR_008739 transcript:KZM99984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYCAGGQSAIDSGKGKSRAGQIKYGYSLVKGHAAHSMEDYHVAKFVKVGEHELGMFAIYDGHMGDGVPAYLQKHLLGNIIKEEEFWTDPMRSIKSAYEKTDQAILANSSNLGRGGSTAVTAIVIDGRRLWVANVGDSRAVLSKGGKAIPMTVDHEPNTERGSIENKGGFVSNLPGDVPRVNGQLAVSRAFGDKSLKTHLRSDPDIQDAVIGRDVDVLILASDGVWKVMTNEEAVDIATKMKNPQKAAKQLAEEALKRESKDDISCVVVRFH >KZN00512 pep chromosome:ASM162521v1:3:5955166:5955795:1 gene:DCAR_009266 transcript:KZN00512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPYSSSESGITSYKSSYLAWNSDDSEDMGFYVEPFPYCQEDFFYRSGFCKNWEGEDDVYASGAANLLGDYFSRFVWDGFKISEGSDAFNDRYDDLKMVKDLSDFVGWDEYKYCEGSDGLDMVKDLYGDKELYDSYGSSGTERETQFRAEHIPWFDRDYGFQDRVDEDCGAAELKSKYSQSWESWDEMGLYEQIFGDWHNMLRSDTEAG >KZN02484 pep chromosome:ASM162521v1:3:33836138:33836455:1 gene:DCAR_011238 transcript:KZN02484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSFLKVFILLLVLPLVLTQPAVNNVLSYGAIGDGLKDDTSSLLKAWEATCKSSASSATMYFPPNHVFLTHPLLLKGPCKAEFVRVEINGTIIAPTEPKNWKGG >KZN03885 pep chromosome:ASM162521v1:3:49644925:49647278:-1 gene:DCAR_012641 transcript:KZN03885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVVKCHPAGADPVILANATDVSHFGYFQRPSVREFIVFVGRTVASRTPPSQRQSVQHEDEEGGANCGDDATSKSSGIRNDLSEWQQQHAILVLSKSKELSQLRFKLCPRYLKERDFWRIYFTLAKNILAEYELRAVRLHKLNQMKLETQNGSDTSAYEVEMSETKLGTT >KZN00798 pep chromosome:ASM162521v1:3:8810405:8813861:1 gene:DCAR_009552 transcript:KZN00798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSLIKATAKRSIHLAQLNCQRGMHTRNKKAMELIAKGWSALKEVDRVIDYCELNDKRLIPLLRTAKENFELALETDNSNTHARFWLSKLHLKYHVPGACKAVGAALLVEAADMGDADAQYELACRLRVENDYVQADQQAFQYLEMAVDQLHPAALYMLGAVYLTGDCVRKDISSAIWCFHRASQKGHAGAAIAYGSLLLRGFQVPECLTKFTVKKDSSTRTSRKNAENSKKNALEMAREQFEIAAKAKCDLGFRWLKRLDEEEKRLTNL >KZN01177 pep chromosome:ASM162521v1:3:12633022:12633336:1 gene:DCAR_009931 transcript:KZN01177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKKSNRLSQAALIKQILKRCSSLGKRQSYDEQGLPLDVPKGHFVVYVGENRTRYIVPISFLNYPEFQNLLHQAAEEFGFDHDMGLTIPCDQDVFESLTSMLR >KZN01979 pep chromosome:ASM162521v1:3:27663670:27665861:1 gene:DCAR_010733 transcript:KZN01979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTSDDIASLDDNDVDSQGSRSRSSSGESDSYSSEEEDQEYEDEQEEEMEEQIGDEDDDQEECSSSLPVILTNPDVLDCPICFVALTAPVFQCNNGHIACSNCCCKIRNVCPSCSLSIGSNRCRAIENVLESIEISCKNIKYGCKKTTSYNKKHEHELMCIHEPCSCPHLGCDFVASSKQLYMHSYRAHTYSAISFSYDSTFSVPVTNGMEYAVLRESTDKTLFVLNYAVQSIGNVASITCISPSSVKKGFSYELVAQKRDSKIKLESFTECMPRWSKYVPHGEFLLVPSDFLESQFLLVRIQKGAQ >KZN03238 pep chromosome:ASM162521v1:3:42795423:42796335:-1 gene:DCAR_011994 transcript:KZN03238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESHNYDDLPRDSDDDLCRLWGLPYCQGPELVGQKGDGAQMISRCPGKSAWPELVGEKGETAAAKVEKENPGVHAIVMLEGSPGTLDFRCDRVRVMVNEHGIVVHPPHIA >KZN01452 pep chromosome:ASM162521v1:3:16378276:16395063:1 gene:DCAR_010206 transcript:KZN01452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKPSSSSLFPVFTAPPPPTTTTTTTKQPPQWLSNTSFTTDISLINDAVSSHYATTTSLPIEHDDDDLESDEDNKHNQRPQYELVDSPASDYSSSEENKNLKKKKRKRKKQQSGVADADYKYGPSGRKSDKAWANSSSDKDYFFDSRGDRDNLAFGCIYRMDVARYKPHSSTFGNASHAKYHRNPKRRDFDADDDIDVLDSKLKSVGRYWSARYNAVERHKNFKRMRITAPEKCAMTFDNFIPLSDDTFCERSDGRTISKASVIEESWEDVVLRKTREFNKLTREYPHNEKGWLDFADFQDKVASMQPQKGARLQTLEKKVSILEKAVEVNPDNEDLLVCLLNAYRRRDSTDVLISRWEKLLVQHSGSWKLWKEFLQVVQGEFSRFKIPEMRRIYANAIRALSATRGKQHRQAYGGTIALSHDSAVIQQELNLVDLFVNLCRFEWQAGYQELATALFQAEIEYCLFCPSLLLSEQSKLRLFEYFWDSNGSRVGEDGALGWSTWLEKTEEQKQKVVNEESDEIDEGGWTGWSEPLLKTKEVDVNQEKIDENIAEVEESDELGEGEVEPEKDAATLLKMLGIDADADASDEVKNAATWIRWSQEEILRDTDQWMPLHPKTAGDTHGDGITDGEIDEHFSRVILFEDISDYMFSLTSEEARLSLLYQFIDFYGGKISQWTSTNSSAWGEKILSLEVLPDIILDKLRRVHEVLTKAKSTPMSLSLECLLDGSGDTSMRTNMMKFLRNATLLCLSAFPKNHLLQEAVLVADELSNTRMGTLSSSVTPCRALAKTLLKKNRQDVLLCGVYARREAVFGNIDHARKVFDMALSSSEMVQPDCLSNTFLIYLWYAEVELANNSGSNSESGVRAMHILYSFGSGVKYIPFTCQPSSLQQLRARQGFRERIRTIRAMGAHVVTDDRFTALLCSAALFEELTAGWTAASEVYDQAFSMVLPKRRTHSYQFEMLFNYYVRMLRKHHQQSQLGTVWGKVIQGLHLYSLSPELYSVFVEIGHLHTTPSKMRWILDDSCQKKPSVIVWLYALSFEISRGSSYHRVHGLFERALASDNTRNSVILWRCYISYEMNVACDPAAARRVYFRAIHACPWSKKLWLDGFLKLNSILTAKELSDLQEVMRDKELNLRTDIYEILLQDEL >KZN01202 pep chromosome:ASM162521v1:3:13007307:13012821:-1 gene:DCAR_009956 transcript:KZN01202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNSVTKSVYFLSLVLVLGAQLIQSSVTYDNKAIIINGHRRILLSGSIHYPRSTPEMWEDLILKAKNGGLDVIDTYVFWNVHEPSPGNYDFRGRYDIVRFIKTVQKAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQGFTQKIVQMMKSERLFESQGGPIILSQIENEYGAERNALGAAGASYINWAAKMALGLDTGVPWVMCKEEDAPDPIINACNGFYCDAFSPNKPYKPKMWTEAWSGWFTEFGGPIYQRPVQDLAFAVTRFMLKGGSYVNYYMFHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIREPKYGHLKELHKAIKLCEQALVSSDPNVISIGKYQQAHVFSGDHGHCAAFLTNIDNNSAARVKFNNKHYNLPPWSVSILPDCINVVFNTAKVKSQTSRIQMLPTNSFLHSWQTFDEDIMSLSKSSTFTVTGLLEQLNVTRDNSDYLWYMTSIDVKSSESFLRGGQKPTLAAESRGHAVHVFVNGQYSGSAYGTRENMKFTFTGPVNLRAGTNKIALLSVAVGLPNIGLRFETWNTGIMGPVSLHGVDQGRRDLSFQEWTYKVGLRGESMNLVSPSQRSAVEWVGGSLFNRVQQPLTWYKAYFDAPEGNEPLALDLRSMGKGQAWINGQSIGRYWMEYANGNCGVCKYAGTYRAPKCQQGCGQPTQRWYHVPRSWLNPKQNLLVLLEELGGDASKITLSKRTTGSVCADTFEHHPTVENWKIDNTGEPKMLGEVNLHLRCAPGQSISAIKFASFGTPTGTCGNFQKGACHSPNSHAIVEKMCVGKESCKVTASNNYFQADPCPNVLKRLSVEAVCSGKL >KZN02270 pep chromosome:ASM162521v1:3:31502499:31507803:1 gene:DCAR_011024 transcript:KZN02270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYTDIKEGEAEILMHAKNEVFYNKTQMTIDPCEVLEVIPHDHPCSVVNEALETQEGYVLRELKPPRVLEALSASGLRAIRYAREVECIGEVVALDNDKVSVEASRRNIKFNGSVASSKVDSQLADAHVYMLTNPKEFDMVDLDPYGSPSVILDSAVQSIADGGMLMCTATDMAVLCGGNGESHANRYKRYIVPILSVQMHFYVRIFVRVYTSASAMKNTPLKLSYVYQRVDCDSFHLQPIGRTVSKTEPGPIKDKINRLIGVKYQPGFGPVVPQECSDCGKKYNMGWSIPIHDQEWELPDVPLFLSLHNLCATLKCTFPSAVIFRSAVINAGYRISGTHVNPLGLESGAPMNVIWDTMRCWVKSHPVKAQSPDYDGSIILAKEAELQANFAIAVASLSKAQAKKITRFLPNPERHWSPKLKAGRTITSKHVSLLGPHAINGTNNNEESDEPQAKRKKPEDSTSAL >KZN00075 pep chromosome:ASM162521v1:3:1675907:1676700:-1 gene:DCAR_008829 transcript:KZN00075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRHNMNKPGTTLTNSAILAEFAKKMPHVIFLKVDVDELEAVSKEYEVEAMPTFVLIKEGQILDRVDGAKKDELQITIIKHAGAWVGTSCKLGSNFHLAMDYTSFLVA >KZN00345 pep chromosome:ASM162521v1:3:4385250:4390002:-1 gene:DCAR_009099 transcript:KZN00345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTGKGCTSTPRRSPRLQPAPDQDTIMANSDDDFQQSAPTIFMKSKTRIKTSAKRPPENPTTDVELDDEDFCLKDLYQQDNESNSDSQNAEDMIVEEEDQPIKPKFTKWKRKANQNEDNQDADDPLNKKKPILKFQIRKGYPIEEVQGAQHINKTKSKIKLRNSPRLLSQMIDSLXMDTDNPHNATENEDPSESLRLRAQNLIEEKLLFDTDLKIELQKNPESYTLQTIQKVIQDVFGYKVTEDVYSYFEKQLLRFDDDLDNCCYYNWAEYLINRLVAGTESWNRTSSTFFTGSLIFLTFPSLDEKKTIHQRSSVFSKNMDDILTKAGRNKIDDLEMVFFPIHKYDHFYLITYHLKKTTYEIIDNIKRDEHEEICYGEVPDMLI >KZN01213 pep chromosome:ASM162521v1:3:13143027:13146463:-1 gene:DCAR_009967 transcript:KZN01213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVLDATHAGDWTYRGEGAANLVLAYSGSSPRFVGKVLRVHKVSRSDSECENALTALTIHECLLWKEIDDLVLAPTREFAEHLYVQHVMCPLLGTDHVDSGTCVFVAKEFLEAVESNVLSQRPSWRINDAISNTQCESALLLSDVSVFPRSTLKDEFCISVEIKPKCGFLPASRFIADENYVKRCITRFKMHQALKLHQGKVSKISEYDPLDLFSGSLETVNKAMKDLIVTPQNNLRVFLNGTLIFGGSGGLADPTCCLNEELLEDALKCVIQAEDGMRTTNFLHLVAEAVCRPGLLDRLLEVQKLDKLDIEGAIHAYYNVISQPCPICRVFKENEKKLLERYTSLHSDPLSKSLKIVKDYLIAATAKDLSIMISFRPTEKRVMGSSNDIVSLKLNSQCFEYKASFIDLDMKPLKKMAYYFELDQKIVRHYSQMVKSNIYSERVASIKDTLHHEQTSAVEDVSHTRDSSGK >KZN03353 pep chromosome:ASM162521v1:3:43911948:43915500:-1 gene:DCAR_012109 transcript:KZN03353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYGLDRAIEVGTDDEENEAETYCVASTDDDDDDDVINPRSRDPLVDSNHAWPQSYRKSMDMFTGTPPSRSYLTGYSAQSSPYKRSQLSMHDEFFLNQPLIPETSLDKEEVPTSTLPVRLSATSSIISSLSDTPQQQKCSFSQSVLNAINVLCGIGILSTPYAIKEGGWWSLTILVILGIVTCYTGVLLKLCLESSPRLQSYPDIGQAAFGVAGRICIGAVLYVELYSSCVEYLIMMCDNLSALYPNIHVDFAGIHLDSYYLCAIISTLVILPTVWLRDLSLLSYVSVGGILAMVLVVVCLFWVGIVDGVGFHPGGSALNLANLPVTVGLYGFCYGSHSVFPNVYSAMKEPSRFSSVLIISFVTAGLLYMGVGICGYLIFGEATKSQFTLNLPAKYVASKVATWTVVIAPVTKYALTLTPVAFGIEELLPSAYQSYNVSILIRTILAISTLIITLAVPYFSSMMALIGSLLVMLVAVIFPCICYLGINQGRLRKPQIAVIIFVIFVGVICAIVGTYSAILDIMKQKA >KZN02504 pep chromosome:ASM162521v1:3:34056713:34059183:1 gene:DCAR_011258 transcript:KZN02504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKVESIPPSDKQMIDSGEGLICSNCCCSLDCADVLQEWTNLPPGVKFDPTDAELLDHLAAKCRVGNQRSNMYLDKFIITLQEEGGICYTHPENLPGIKKDGSNAHYFHKSMNAYASGKRKRRKIQSEDSVRWHKTGKTKPVMENGVTKGHRKILVLYTTAPNNRKAVKSNWVMHQYHLGTEDDENEGEFVVSKVFYQQKNVKVVDNLIAKEESVHPDIYISSPRKQEENTKNDWTIDIVKTPAQEVEAIKTIPHTSPSRSQSITETEFPSWSAGEICKPSLCKEDMLGGIAGMTEEVYIRVDSPMYPDFFEELQQYLDGRGNRKDEVLIECNDVTSHSRE >KZN02880 pep chromosome:ASM162521v1:3:38499487:38502634:-1 gene:DCAR_011636 transcript:KZN02880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFHLSFKLLLIFLTSTSLLFLSSDSQTQPDDTTVMLALRKTLDPSPELGWTGPDPCKWTHVVCSEGKRVTKIQIGHQNLQGTLPPNLSELTQLERLELQWNNISGPLPTLSGLSLLQVLMLSNNQFSSVPVDFFTKLNSLQSVEMDNNPFSAWEIPETLRNASALQNFSANSANIIGKIPDFFGPDEFPGLVNLHLAFNSLEGELPLSFSGSEIESFWVNGQMGSGKLGGRVDVLQNMTSLKEIWLHSNSFSGPLPDLSELKALESLSIRDNSFTGPVPESLVNLESLKVVNLTNNMFQGPLPVFKNSVSVDMVKDSNSFCLPKPGDCDPRVNSLLSIVKSFGYPSKFADNWKGNDPCLSWVGITCSNGNITIVNFEKMGLTGTIPSEFVALKSLQRLVLADNNLTGTIPEELATLPALTMLDVSYNQLHGKLPAFKANLNLKTEGNTDIGKDKSDSSSPGSSSNGNSSSGNDDNSENSGKKSKSSVGIIVLSLLGGVFVIVLIGLAAFCFYKSKQKRFSRVQSPNAMVIHPRLSGSDNESMKITVAGSSVSVGAVSEVHTLPASETTNDIQMVEAGNMVISIQVLKNVTNNFSDENILGQGGFGTVYKGELHDGTKIAVKRSDGGVIIGKGLTEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSSHLFNWAEEGLKPLDWTRRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGKGSVETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDESQPEESMHLVTWFRRMHLNKDTFRKAIDPTLDLNDETLSSIHTTAELAGHCCAREPYQRPDMGHAVNVLSSLVELWQPSEQSSDDIYGIDLDMSLPQALKKWQAFEGRSHADSSASSSFVASLDNTTTSIPTLPYGFAESFTSADGR >KZN03672 pep chromosome:ASM162521v1:3:46780685:46781113:-1 gene:DCAR_012428 transcript:KZN03672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKWSIFLGMTMVLMASIASSQIPVSVISAAPSVLPFEPPLSSPTATSPSSSALSPDITPLFPSPAGPSPAQSSLPLIPSSPSPPNPDEVTAPGPITALPPSGLFPDSSAFTLHAFSCPSSVMLLLLGLVQVFSYCKFVGF >KZN00397 pep chromosome:ASM162521v1:3:4987687:4994691:1 gene:DCAR_009151 transcript:KZN00397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQTEGDPSLKLRSSFSLNLELEVAEALTDLAKPLEINIPEQPEAFSPSIDESLEPTSPLHLALWTDNQDHADHAVVVQQYGERESSVTVKKSTQTGKDTEFLPDSSGSETRVKTIQDLNVVPEDHADHAVVVQQYGERESSVTVKKSTQTGKDTEFLPDSSGSETRVKTIQDLNVVPEDCVFEGQQDSEKETHKTVKEPIHTEENAEIQQNPNDGKSSAPPVSCKSRREMNRLTEDQKEARKLRRMLANRLSARQTILKRQARCDELAKKAAELQCENDNLKKCSKTLTLIIIICEKEKEVALRKYNSLKSTNERLKAQLKTGVTEKVEVEEVDETREGSKCNNGHPPTQTSLSTQQYYIFNQPSGVPCVFPYITPGSNIVQSAPQDVNFVASQAPVLANGINCSLLEHDNPLRMSIPVNASYALPYHWFIPPMHHADRVPPQSMDLADKPNVTNQYRRSVADTKKDQRLRHKKIRGEASTSREAIKPSVQGDHEKASGKKPAHKPKVATEAVTNSSSEGNEETVVGMSCASKRRNGARNRRNEAVTCAAATSSSSEEIPQPPLDRLLRRRMDAYSTAAARKRRKEVIKMKNFQYSRQSRVRISSN >KZN01769 pep chromosome:ASM162521v1:3:24851347:24857223:-1 gene:DCAR_010523 transcript:KZN01769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQLKIHFVRSVLQSLCVYQLCLCGTPSVDGSSQKCDTKDAKKQGLNKRPKVEKVPSIPNDWPFKEQELKALKARLSLALEELEQKKAAWKGKGQKEEIAPAKDDNVDAWKEQNAGEEIVTAKTAPIVKIRGVTHLLMHVNLHRYNYSWDDYKTAKLVVSAPITMTVFLRANTSINIVNANYFPMSLFYSLIRFNSNAILELSMIVSRLAIIYKQRDFNFYPAWAYSIPAVILKIPFSFVDAFQWTGLTYYVIGLFQLGVLTALMGIIGAEKTTLLDVLFGRDIRIGGYPKVQDTYARISGDCEQTDIHFPQITVNESLTYLSWLRLPPHIDDSTKATKKKPHRSSFTGIFAAAFGSGIQFFAMELLRQTKVKNTINETELNSDENIFFDLFIKPNCGDTLPDCELLDMAKDWINREPQEVLLGWEVHIRGMEK >KZN01817 pep chromosome:ASM162521v1:3:25662312:25665936:1 gene:DCAR_010571 transcript:KZN01817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:OMT1-1 description:Methyltransferase MNTTTELIPPTIQTNDEEEEACMFAMQLASASVLPMVLKSAIELDLLESIAKAGPGAYVAPAELAAKLPSSQPETPVKLDRILRLLASYSVLKCKLRELPQGGVERLYALAPVCKFLTKNSDGVSMAPLLLMNQDKILMESWYHLKDAVLDGGIPFNKAYGMTAFEYHGKDPRFNKVFNLGMSNHSTITMKKILETYNGFAGLKTVVDVGGGTGATLNMILSKYPNIKGINFDLPHVVEDAPSYPGVEHVGGDMFVSVPEGDAIFMKWICHDWSDAHCLSFLKNCCKSLPQNGKVILAECILPEAPDSKLTTKNVIHIDVIMLAHNPGGKERTEKEFEALGKEAGFKSFNKACCAYNTWVMEYLK >KZN02218 pep chromosome:ASM162521v1:3:31011638:31012318:1 gene:DCAR_010972 transcript:KZN02218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPHTFRKDRHSKILTAQGPRDRRVRLSIQVARRFFDLHDTLRFDKASETLGWLLTNSQPAIDDLVKNKRSSCAAVDEGCSRSDTTEAAEMRDKHYMKNTTSIDNTQDQRVSMQLEEKAKLASLLARESRAKARARARERTRQKMCVRHLLGTNKLLPHDFRIAANHLSTWNELSYLHNISCRAPYFSVGTDQVGQSRNPELLSETSKYSLHLFYMSILTFDQSN >KZN02321 pep chromosome:ASM162521v1:3:32028790:32029986:-1 gene:DCAR_011075 transcript:KZN02321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKVGEAVSERSSEEGNGGWDDMVKESGCVSEVDVGGVRRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPSPNSSSSAPALPTKITNLLLHRLKARNNSLAAASSTCSPAMTYVEKEQEECRDELQEFSDSQFTDFLNDTEDYVPLQEMFTSASSDTSEHYSTTNSTFEPSCDEKGNMIQVNGLDVDYNCGDLADQSSGSQCSSDVTNDEGKVEEEGEEDTSAMDLHFVDELESAFSCPFEIAEEIAEQGTYEGEPMMISEAMERMKYERKFSASLYAFNGVNECLKLKLRSANATQKAYPDQLSKLKNACYNYQNKQKEEEEEQECEVVAETKQDQEVEICNNEGESSLWNSLDLEPICYVN >KZN02062 pep chromosome:ASM162521v1:3:28994344:28995841:-1 gene:DCAR_010816 transcript:KZN02062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSDYNTPQFQSSPSLMANRHFSRMTTKSFSDFFVYVGCSLVALLLVWALWSFSTPTPDPNTFPPTFIKPVENEPVKSQGIDLNHDSFIPSFYDDPTLMYTVGSPLKNWDEKRSIWLSHHPSFAAGAKERIMLISGSQSTPCKNPMGDHLLLRFFKNKVDYCRIHGYDIFYNNILLQPKMFTFWAKIPAVRAAMVAHPEAEWIWWVDSDAAITDMDFKLPLNRYKDHNLVVHGWPKLIYEKKSWTSLNAGVFLIRNCQWSLDFMEVWASMGPQNPNYEKWGATLRTTFKDKIFPESDDQSGLVYLLLHEKDTWGKKIYVEGEYYFEGYWLEIVENLENVTRKYNDIEKGARSLRRRHAEKVSERYGEMWEDHLKDAGNGREGWRRPFITHFTGCQPCSGDHNMMYSRQTCWGAMEMALNFADNQVLRNFGFVHNSLSDSSKVSPLPFDYPASEIM >KZN03266 pep chromosome:ASM162521v1:3:43033326:43036398:-1 gene:DCAR_012022 transcript:KZN03266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQDLHDVTADTNVKAVVDAKRVLVGAGARILFYPTLLYNVFRNRVEPEFRWWDEIDQFLLLGAVPFPGDVPKLKLLGVGGVITLNEAYETLVPTELFKACGIDHLVIPTRDYLFAPSFADINRAVDFIHRNASCGKTTYVHCKAGRGRSTTVVLCYLVEYKHMTPATALEYVRSRRPRVLLAPSQWKAVKEFKQHRLASKAQSPSRDAVMITKEDLEGYHSFCAADAQKKLAIVTRATRTSPVIARLSCLFASLKVSGGDTTISRQLNEAHAC >KZN00274 pep chromosome:ASM162521v1:3:3601833:3606556:1 gene:DCAR_009028 transcript:KZN00274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLFLASFASKTLGTCLEKPVLWQLLLNNNAATLQEDRDPVSVSSTVFFSRGNKYALFYSYTKGQSADIYGRFVIRKMLPTDSVKRLSFKRCVSEGDLVIVYERHDNMKAIKVCESAVLQNRFGVFKHSDWIGKPFGSKVFSSKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVIMYLEIVPGCVVLESGTGSGSLSTSLARAVAPSGHVYTFDFHEQRAASAREDFEKTGLSSLVTVGVRDIQGEGFPEKFTGKADSVFLDLPQPWLAIPSVGKMLKQDGILCSFSPCIEQVQRSCETLRSNFTDIRTFEVLLRTYEVREVKMNSFQGDEDRSTELPPCKRKQRLNEEGNGLENPSPPVVMARPSSEARGHTGYLTFARLKCAA >KZN02146 pep chromosome:ASM162521v1:3:30204730:30205023:1 gene:DCAR_010900 transcript:KZN02146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSCIHMEPNREMTVEEFRAWLRRYDMDHDGKINREELAEALRSLRIWFGWWKAREGIKAADSDGNGYINNSKEIEKLVKYAQKNLHMKITKNSW >KZN00508 pep chromosome:ASM162521v1:3:5908639:5913649:-1 gene:DCAR_009262 transcript:KZN00508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGIAEEGLAMALSSTCPSSTTFLGGRAIDRCNPIIRDARRLGKVVQMNTTDTEPPSPPPQPATDQNFVNSMNDIIHQTEKKKKKKKAHMISSLKKEKKTNSSADCAGKMVNKTGSTSKVATDDYEIIVDSPGDSSRYLLTTTNSSSTREMKSFVYSQRFDPRLAMVEQSSATSSFSSPVTSSPASAAPNHQVVVLRVSLHCRGCERKMRKHISKMEGVTSFNIDFAAKKLTVAGEVTPLSVLASVSKVKKAQLLTSPAPTTSSSTIPSYSDQTISPISQDKVTEHGPVVGLIWTNPGQMLELSYPLVDAKMSSINSTHPLRNGDTIVSARGEFEMGFFSTPGLPQNRYFGIWYKKISSNTVVWVANRDSPVTNTSGVVSVTSQGILVLNGTKMIWSSNSSRSVSNPIAQLLDTGNLVFRDGNNIIWQSFDHPVDNFLPGMKFGIDLDSGLQRYFTSWKSVDDPSTGKFTYRTDRGGYPQSLLWEGSKVTYRTGPWVGSGYSGFPKQDSTELYRIEYVISPREIYYKFDLNDNSESAITRLVLTPNGDTQRLIWNKQTHVWSVYLSYRVNDCDDYEFCGGNGICNINSSPRCECMKGFHPKFQENWDTADWSGGCARNTQLDCKKGDGFIRVSGVKLPDTRLSWYNTTLTLQDCRKLCLSNCNCTAYSNTDFRGGGSGCLLWFGDLADMRGYSDDGQVLYVRMAASDSDSTEESKKSSTSRVVVIVIPLLAAVTVILVLLLLYAFRKRKLKRKGIMPAWRKYNEGKHLDVVDPAIMESSNHYELFRVIQIALLCVQQYPEDRPSMSSVVLMLSSKIELTIPKEPGFYSERNPEQNHSSSSKCDSESVNEISITRLTPR >KZN01129 pep chromosome:ASM162521v1:3:12062460:12068323:1 gene:DCAR_009883 transcript:KZN01129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRSSQRKNVAATLDSSDTDSASSTSTLRSDQMLLSGGFDFQLEKDSVLDQALDDLYEKRSSTREKALVKIIVAFNSKLQHEFVEKKFATLLHQCLNSIKKGSSSEIALASHTIGLLALTIGPGERAQEILEESFSPIIEALKSKSDTTKILALLECLAIITFIGGDNPEETEKSMQTMWQVVLPKLGSNVVTIKPSPAVITSAVSAWSFLLTTMDGWTLNQKIWLESISYLSSLLDKDDRLIRIAAGEALALIFEMGYLEKFSIATTGLSDSSNHNRIKARELAHIQGLRAKILNQVKSLSMEAGGKGSVKKDLNFQRNTFRDILEYLEDGYSPEISMKIGRASFSTITWSQLIQLNFLKHFLGSGFVKQMQENEVLHDIFGFSPKRKLFSGTEKHISGTEKRMYKSPNSVLNKSRTRFLNKQRMLCQDRNAGHFAAADMGNDDV >KZN01252 pep chromosome:ASM162521v1:3:13713244:13715496:1 gene:DCAR_010006 transcript:KZN01252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAAMRAGGKLAGVVNASFRGGIPAVAVPEHLSSKGRTIAGVLSSSDAQIDGSTVNKVCSECDDDWEFPQVEEAMPRLVFGGPPSLQEAKDATSQLRTALDEAYLSNKSSCGPYVSYPEHPLETKVCVATDSDTNASARSHAIQAFRLLNESTAAQTVVASIASDQNVWSAVLQNDALVEFLRSQKTGMNGYSKKSSAAAEHSGYDSDSAKKFDYETSDEETRPKNDHRNFLEDIRVRLEDMMNSLSGFFQNLFAGSGTVDGSAKATSVDKAMGASIMGLAIMVILIVVLRRGSTA >KZN01293 pep chromosome:ASM162521v1:3:14147983:14148378:1 gene:DCAR_010047 transcript:KZN01293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKRKKCATQETTKSADIEKGAGNEDFVMEKIDHPDEKERERLENFRANLIGIGLGKLFMPTPGFKNMDHQHNGTPAADTPPVQEDFDADEENESDEDQPLSIRRSTRLSMKTKFKFTNTPETTINLDAE >KZN02361 pep chromosome:ASM162521v1:3:32505543:32514148:-1 gene:DCAR_011115 transcript:KZN02361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASVYAVYYGSKVIFWSLQVLLFSRDSRTRELLKAFNIDGQIENLSVAMDPTIEEEVRVKVLAGSKKEKSQSSISFFQRSRNALMGAADAVRRVAVRGAFGDDRKTEALITTIDGNIWTGCTNGSIVQWDGNGNRLQEFQYHSCPVQCLFAFGLRIWVGYASGLIDVLDLNGSKLGGWIAHSSPVIHMAVGAGFIFTLANHGGIRGWRITSPGPLDNVICKELGDREFLYTKLENVKILAGTWNVGQERATHDSLISWLGSAATGADIVVAGLQEVEMGAGFLAMSAAKESMQVGLEGSSVGQLWLDMIGKILDEGSTFQRIGYRQLAGLLIMVWVRNDIRSHVGDIDVAAVPCGFGRAIGNKGAVGLRMRVYDRILCFVNCHFAAHLEAVNRRNADFDHVYRTMIFSRPSNILNSASAGVSSSIQVLRGANVTGIEPVVGIPELSEADMVVFLGDFNYRLDGISYDEARDFISQRSFDWLRERDQLQAEMKSGNVFQGMREAVIGFPPTYKFEKHQPGLAGYDSGEKRRIPAWCDRILYRDNCSTTVSTCSLDCPVIASVLQYEACMDVTDSDHKPVRCIFNVEIARVDNSIRRQEFGEIMGSNEKIRHMLVEQNKVPETIVSTNNIILQNQDTSVLRITNKSGEDIALYEIVCEGEFTIHESGQLSSNHSFGSFGFPRWLQVSPTTGIVEPDHIAEIAVHHDEHQTLEEFVDGVPQNWWCEDARDKEVMLLIKVRGGCTTEIKSHRIRVRHSISGKKMPVERKPKNVNTQSSVLQRADFLGNSCTSDVFAHLQNLHTP >KZN01581 pep chromosome:ASM162521v1:3:20937276:20939581:1 gene:DCAR_010335 transcript:KZN01581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASVPRHLVSRFKRRVGEGKLYGLRNVKVTTNTYPYRPLASDKKLLFLATTEVVELGDDAVRIAMHGFQFVGLPVLQSRAGDVTILSDIVGCFCGYGEVETVGDGLKRKRDIKIFTDYSVTSTITLLGKLGELFDPTLYTQDGGPYVMVVTSVTVKTYQRALTFATTSGSRIYVNPDEQHVSSVRERFSALSTKVVALEGTSASKLPPEEAMFVNRMTVDDLVGATCSGELKAAIATLKVIITAVNTRFGWYYVSCKSCVKKATPVDGVYVCAEGKKPVDYPLHMFRINLQVEDDTGTTTVVLFNNTAERLLDTSVKKLINKMSPGDNSPPAELQTLLGKQFVFKLALNKYNWVDGRQDYGVAAVYVPVPDLEAAYTKKKMPQDAPDQAGSSGSAGEVDAEANSRKRKLPPVLPAVAESEETAT >KZN00179 pep chromosome:ASM162521v1:3:2793721:2796623:1 gene:DCAR_008933 transcript:KZN00179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDVMDHETGWKVDPLALQGIIGLMDPKNVHKFYNDYHRYLTSDGVMVDVHSMLETLGTGLSGLVECTRLPDEQSLRNNIDLGKDIAGGNELVQADHNQETNTSSVTNSTSCIHKELEENTGWELGLSDVGLQGDLFCDTEQEILMISGLCGRLLMIDDKGN >KZN00519 pep chromosome:ASM162521v1:3:6015776:6016384:1 gene:DCAR_009273 transcript:KZN00519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEATKQAAGDEDLPSAPQRKPKLCGYCKEQGHDSRTCSAKVKFLFTSVIVMIVEDIVGYYYLLLLQAVDQQKKLQEAREAREKTQEAREDTRREKTHEREEERGSPNKGNQTGCSTPQKSPGGRIKQLAKRGKNTPPLKNSSLVFITRKEGSDASHAGIVRAFKPPAQDGKVHPVEGQKFTSLKELERARLHKQKTLGKKD >KZN01090 pep chromosome:ASM162521v1:3:11560705:11561343:1 gene:DCAR_009844 transcript:KZN01090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQDAKSKLDRFYLVREIDCGLKWRNRLYDAYEEEELVIAIMETEMRAAMERERDECSSEESAMTRAIDERRREREMRGVSLTC >KZN02206 pep chromosome:ASM162521v1:3:30862684:30866528:1 gene:DCAR_010960 transcript:KZN02206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRLEFTVLFLLGASLVCHSRDLGIKNSFAGKTYSVSQANYLDLKWETKASKDIGKKENVCTLCEEFATDAQNYFSANKTQKEVVDMLQKSCSKLHSFKQECLTLVDYYVPLFFMEIASLEPADFCQKVDLCEQVAFVSEHLKKDSCEFCQKTVAEALLKLKDPETELELVEMLLKVCNSVKGYEKQCKKMVFEYGPILLVNAEHLLESNDICTMLHACESPKLNMEQASTGETIMLASS >KZN02402 pep chromosome:ASM162521v1:3:33107400:33109430:1 gene:DCAR_011156 transcript:KZN02402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTMINPTTITAVLLHLLLITTTITAAPILGLDSFLSQQSRFDPRATNDSFISLPSSIKKSLSLSSLHPPSLSSLLSLKLNIPISVKLVGPNFPSSSSNLLSSFISAAVTSDKFQIIAPFSHQNHHLSISHSLHIDIAHSPSSLSSLLSDALKNEILKTPASLRSQLVSIDYKIVDDIVKKDFERQKPHEGVYIYLLNLGAQTKTYAYNYGYGESSPAFTKCLGSIWTGKDRYLWIDLAAGPVDYGPALSGDGVLPRGEFHPLAALHGRPKSQKALLADLASLVSSAYQVLLVPSLRIPVPFENTLLVQIVHIHSNNKDSQGLDLKLIESTFMDEVRDGGLLLGDQSLKFKNYDVSLHECAICAFAVSRSTTAYTSRYLFDNYTLIVSEYLDSKRLHQILSESAEEMQRLAKLPFEDDNGRVLPVYVFDLDLSNILLLDRYHQSVAFKDMVIAVRTKNTQTVSDYSCNGRHVFTQTRELERPLVGSILQSMWGLSPTHLLWSPRHNATLVDYTWSVGQTPFGPFSETTSLSFVQKDAARRNVLLTSLNYSITSAIDVIDSIAAHGGERKLLKQNQHAEFIQRWNLFRYKLDKAVSALSHFDFEMALYFVKSSDHDLYSIHSLVYGASQEVEASLVCFSDPPIPWASLATPAVICFVLIFAYTQREKLFRNKRKQF >KZN03746 pep chromosome:ASM162521v1:3:47621957:47623414:1 gene:DCAR_012502 transcript:KZN03746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVACERNLPLKIEEEKQGIVSVLGSYSQVPKPPASAAASFRRTLSADMSSKKWLAENGFNKPPAMKRNSSSAQLSFISAIDHRSSSSEEEEEELDCKKYERIPKQDLWSSIVSQKKTEELPPPYVHHLLKKSSSSLSLESLEICTEGLGSETGSDKVTLDTGSDKAEESLEPLAQQESEISQNSYLEETTEVVKYNYPAISNKKLQPKSFPPPIPSLAGGTEGASVQMHSQRQNGRLVLKAVSSPSTKKLKSHRQDGRLLLTFIQPPKGQQHVQQPDSEKAVLFDEEEYMIEDNLQKLLNSQDADDIKGHDYEITNDNEEGINELRDNVTKQEVPKLARGVINIHRSALMMKKMMGMENQNPTWSQKFHNAAVETETENEEEKGTKLPQSLPPLPRTTRSMPTTPPPAASFNAYEYFWRIKPAASGLKNPYTHCSTLKKNTFNNTPAPSKPKPYEQQELPALRTCRKARRSLLSWDGYCIATT >KZN03901 pep chromosome:ASM162521v1:3:49735090:49735392:1 gene:DCAR_012657 transcript:KZN03901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRATIWSAPWAGPVNWKEAPFIGSYRRFGIDGCVSQSTSIDPKCLSPGLPWNVQKALSPREQLMHQEFRKKNVVYDYCLDKARQQHHLECLLPHIPLD >KZN03700 pep chromosome:ASM162521v1:3:47062103:47074499:1 gene:DCAR_012456 transcript:KZN03700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYYLSIFKVSLFALSYWSFHFASVCAFGLLAYVGYIIFAFPSPFRLHRLNGLILVFILLWAVSTYIFNVAFTFLDWNGEDMEIWEMVGLWHYSIPGLFLLAQFCLGILVALNNLVNNSVFLYMSDEARQSSRNNSTDEGREETEVMIVATIAWGLRKCSRAIMLLLIFLIAVKPGFIHALYSLIDYDSTWDFVEIALLACFCAIHNHGFEMLVSFSAIIQNTPCRPVGFTILKAGLNKSVLLSVYSSRTTSGIHGNPSNGRQLVEKTKRRLWFPLKVYAILVFVFVYILSIFPSVEAWLSRKLDLYSIFGYSSQAPLLQNLWESLAIMIVMQLYSYERRQSKFLVTENQTPAQFGALGFIRRLLIWHSQKLLLAALFYASLSPISTCGFLYLIGLVVCSNLPKASRIPSKLFLVYTGILVTVEYLFQMWGKHAEMFPNQKHYWLSLLLGIQVYKPGFWGLEAGLRGKILVIAACTLQYNVFHWLEQIPSSLLDDNTWEEPCPLFVSTEDVLPVVSSSDVDQDPTLGSSHEKRKGWTSSSWPSLHTDVNHSSYDVSHSESGSASKYTFGYIWGSIKDSHKWNNKRILDLRKERFDIQKTTLKTYLMFWTENMFNLFGLEINMITLLLASFLVLNAVSILYVASLSACVLMGRRIIRKLWPIIVFLFASILVLEYFAIWNHVMSLNPQGSDGDVSHCHDCFRISNTNFHYCTKCWLGLIVDDYRMLISYYVVFMVACYKLRADQFSRFSGSFTYRQMISQRKNAFVWRDLSFETKRTLEYDILHLGYLAFAVIFFRMRLTILKKKNKIFRFLRIYNFVVIVLSLAYQSPFLGDYNPGRCETRDYIYEVIGFYKYDYGFRITSRSALVEIIIFLLVSLQSYMFSSAEFDHVFRYLETEQIGAVVREQEKKAAWKTAQLQHIRENEEKKRLRNLQVEKMKSEMLNLQIQLHSMNSAVGCGDMSPGSEGLRRRSTYKIGKDAGKLDFEEGMPAAQGINTYEDVVYPSEPNDSPTSARTESPWAGAFTKHFMGSPVNEITELEEDDRVNEHIDLDDEKKRKLQGKENALSSAVQLIGDGVSQVQTIGNQAVNNLVNFLNIGDEESDRNAPFSAEDGLVKETENQNMKYVSFNRSHSLQSDKSRAFSDTTKLQIGRIFHHIWFQMRSNNDISSITAKDSGWMSWTEFTTLKGSDIYQNDIHVTSSWHSRVYKLLQPAIGMAKMIAKSCTRYLKSLTQEAESPPYLVQLSVDVHLWPEDGIQPERIESGLNQLLRIVHDERCKEDNPKSCPCASRVQVQSIEKSTEDANVALAVFEVVFANSWRECEPAERFKSLTPAADVAKEILIAQQTGISEQIGFPYSILSVIGGGKREVDLYAYIFGADLSVFFLVAIFYQSVIKNKKEFLDVYQLEDQFPKEFVFMLMIIFFLIVLDRIIYLCSFATGKVIFYLFNLVLFTYSVTQYAWQMQPSQESTTGLALRAIYVTKAVSLALQAIQIRYGIPHKSTLYRQFLTSKVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKSDAVLNRSGHKQGEKQPKMTKFCNGICLFFILICVIWAPMLMYSSGNPTNIANPINDASVQFDIKTDGGRLTLYQTTLCERIPWYNIKNVSTLDPNGYLDAYDDDDIQLICCQADASSLWLVPDVVQKKYVQSLSSNMEIRFSWVLTRDRPKGKEVVKYENLVDPVNLPKAWEIKEVLNGSADSFRTYNLYPRKFRVTGSGDVRPFEQEVDDVTGDLVLNRGDPEWWSFYDVHSPAVKSCGNLTGPMAIIISEETPQGFLGETLSKFSIWGLYITFVLAVGRFIRLQCADLRMRIPYENLPSCDRLIAIVEDIYAARAEGELGVEEVLYWTVVKIYRSPHMLLEYTKPD >KZN00783 pep chromosome:ASM162521v1:3:8554287:8569232:1 gene:DCAR_009537 transcript:KZN00783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISGLLTSAGINIGVCTVLTSLYSVLRKQPSNLKVYFGQRLAQVQSKGHGPSFFERLVPSPSWIIKAWQTSEEDLFAVGGLDAAVFSRVVIFSMRILSVAAVICIFLVLPVNYFGQSMHHKKIQLESLEVFTIGNVIERSRWMWVHCLALYVITFCACALLYIENKSITKMRLAYITGSPQNLGRFTVLVRAIPWSGKSYSDSVTQFFTKYYPSSYLSHQIVYLPGTVRKLVHDAEKMYNILKAPRISKHCGPSIARCGLCGVTGKSFRFLPDRSAASEEKQDFDDFSKMECPAALVFFRTRYAAHVAAQTLQSTNPMLWVTEMAPEPADVFWANLCVPYRLLWVRRLGSLIASIAFLIFFLVPVSVVQGLVHLDKLKHFSFVRKLSEQRNWIFDLVTGYLPSAMLTLFIFCVPPIMMVFSAIEGPVARSCRKKSASIKVLYFLIWNVFFANILSGSVLQRFDRISSLKDIPLQLAKGIPSMAVFFMTYILTSGWTSLALELMQPISLLLHWLDKCLFRGKHVLSCESQTFPYQTELPRVLLFGLLGFTSSITAPLLLPFLLVYFFFAHLVYRNQFMNVYVTKYDTGGLYWPIAHTAVIVSLMLMQVILLGVFGLKKSAVASSFTIPLIICTFLFHLYCRQRFLPVFKRNVARVIMDMDEQDEHSEKFEEYHEQLQSAAYCQFKSDNCENGTLEVINERLRSSYCSFKSTTLESPLALQQEQSYNSGHDRLQDPEDTKPVDRCSPSPNRFVPHSPVYQYCPSPYRHVPHSPVNQYSSSPHRHVPHSPVNQYSPSPNRYEPRSPGPEIKESKADMQ >KZN03245 pep chromosome:ASM162521v1:3:42862056:42864794:1 gene:DCAR_012001 transcript:KZN03245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRTFPAASLHVSIITVIVIFSSLLEIGQSNDNSQEVTCSTTCVAQNCNTIGLRYGKYCGVGWSGCPGEKPCDDLDACCKVHDDCVGKKGMNNVKCHEKFKRCIKKVQKSGKPGFSNVCPLDVVVPVMDQGMDMAIMFSQFGNSKVEL >KZN01438 pep chromosome:ASM162521v1:3:16257259:16257525:1 gene:DCAR_010192 transcript:KZN01438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGLAGKFDGKTWSLKDPEVSGLGAPAMGIGPGAEGILTFSEIENHNLANKATVAHDATTISTYSVAGSTWIGYDDIVSTSVKIGYA >KZN00238 pep chromosome:ASM162521v1:3:3343653:3344030:1 gene:DCAR_008992 transcript:KZN00238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQYVLKLYISVKFITANIINRATGDLIATASTVEHCIKNSFDCGRFSNPKAAAAVGEVLAKRFRVASISDGGGAAAREIHADVNREIEKKGSEKSEMMWRVVDSLKSDGLKVVVDDESESGPSV >KZN00043 pep chromosome:ASM162521v1:3:1383064:1387785:-1 gene:DCAR_008797 transcript:KZN00043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGKIVSKHKAIRLCPSHTCSFINFTTSSTPFLQNSTSSLNLFSPYFTPIQNLVNQYKSPHAHLTLSPFSHKDHNFLDQTSQKFENLVFKCKNCCDIRGAKQLQSHVIKDGFFSDVFLSNSLINLYVKVGDLGSARLVFDEMSERNMVTWACLVSGYVQNELSEEAFAVFRGMVREGIVPNSYAIGSVLRGCYALGSRGVVPGLQIHGLVSKTVYAFDVVVCNVLISLYGGCMESPDYAFRVFGEICEKNSVSWNSIISVYSKRGDACSVFELSSDMQKEGSGFSEYTFGSLITAACSSVEYGLSLLKQILAKVERFGCLEDLYVGSALVSGFARFGLLDIAIEIFKQMGARNAVTLNGLMVGLVRQKRGEEAFYIFKEMNDLVEINSDSYVVLLSALAEFKLPDEGRSKGKMVHGYVIRTGLCDFKAEIGNGLINMYAKCDAIDDACSTFKLMRNKNSVTWNSLISALDQNECFEDAIISFHDMRRSTLIPSKFTLISALSSCASLGWMRMGEQIHCEGLKLGLDTDVSVSNALLSVYAECGCITECKKVFSFMPDHDQISWNSIIGAYSNNEGPISEIINHFKKMMQEGWSPNDITFINTLASVSSLTSCELGRQIHALVIKCALMNSTPIENAFLSFYGKCGAMVECENIFSGMFDRRDDMSWNSMISGYIHNGMLPNAMNLVRLMLQSGQKLDCFTFASVLSNEKKTDVAIASRSAIADIANTFHDKMYIKSKSADKTLEHLARARERENLFEIKVHCRLERNKQNWKSYSYIKCQ >KZN01144 pep chromosome:ASM162521v1:3:12173825:12178868:1 gene:DCAR_009898 transcript:KZN01144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLSTWPGQILGSLKYYMLYGLLLGKAIYSGWEEGSIDWCLHIFILCALRALLYTLWNAYSNMLWINRNRRIIQRGVDFKQIDNEWHWDNFIVLQALIVALGLYKLPAALREEIPVVDTRGFIAAIVLHIVFSEPLYYVLHRCFHGSYLYGHFHSVHHSSPVPQPFTAGHATLLEQLVISGVMGIPVIGSCGMGYGSISMIYAYVLTFDFLRCLGHCNAEIVPHQIFQAFPVLKYLLYTPTYHSLHHTEMDSNFCLFMPLYDALGKTLNTKSWELQKNLSMNADKNKNIPDFVFLAHVVDVTSSMHAPFAFRSMASKPFAMKLILVPLWPIAFLFMVAMWAKSKTFLVSFYNLRGKLHQTWAVPRFGFHYFLPFCSQGINNLIEAAILRADKLGVKVISLAALNKNEALNGGGMLFVEKHPDLKVRVVHGNTLTAAVILDEIDQDVTEVFLTGATSKLGRAIALYLCRRKVRVLMLTSAAERFLKIKKEAPPEFQKYLVQVTKYQAAKHCKTWIVGKWTTPREQKWAPPGTHFHQFVVPPILAIRKDCTYGDLAAMRLPEDVEGLGCCEYTMDRGVVHACHAGGAVHYLEGWTHHEVGAIDVDRIDIVWEAALKHGFKPLSYSNTSLKNN >KZN00703 pep chromosome:ASM162521v1:3:7735625:7736266:-1 gene:DCAR_009457 transcript:KZN00703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSHDETSTLDVIRQHLLDETLLTHNFSFHSSDKSDQTCFSERKPSLNISVSQINNKVDFSSAERRNYRGVRQRPWGKFAAEIRDPTRKGTRVWLGTFESAVEAAKAYDSAAFKLRGSKAILNFPHDVGNLPAPAEDGRKRSADSPEVDVERKVAKTEEEVIEEEVKTVELSPLTPSNWTGVWEGGKNDKGIFEIPLLSPMTPACSKVSNSI >KZN00060 pep chromosome:ASM162521v1:3:1552978:1553391:-1 gene:DCAR_008814 transcript:KZN00060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKPRAQKQDKPNPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDTAVFYLRGPSARLNFPDILADHRDEGYSHHQELSAAFIRQKATEVGARVDALELSADKSSRTFLKPDLNEFPSPESSDDM >KZN02325 pep chromosome:ASM162521v1:3:32068617:32069890:-1 gene:DCAR_011079 transcript:KZN02325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFAAVASWQKSSFSGVMAASRAWQPCNSSSRGSNVHSGRTVSMEVKKQKMKMALPGVSASTSTYSSRISTNIPLYEHPGASFDRYLEDKPRVFKAIFPDTKRSQRLTEEEWRIHMLPTQFLYLTVVPVVDVRLRCKSAGIDYPDGVSSDITKVLELDILRWELKGLDDIVTPSTFSLLVKGEMYADRRTKPSLKGRLQMSMSFAVPPVLALVPEDIRRGVAESLLQRLIGNMKHKVNGSLIADYSQFKREILG >KZN02145 pep chromosome:ASM162521v1:3:30199564:30202541:1 gene:DCAR_010899 transcript:KZN02145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGAAESASPNSPSNFSSQRHFYLAVDRLQFKMETLVDLLGMAGRLPCLPMVVCCNTRDELDAISYALSNLSYLSATPLYSDLAEADRALVLDKFRQATIKWNRNPILHSEDKNDTENEEQKSRVVVATDACLPLIASGEAPVSARVLINYELPTKKETYMRRMATCLAADGIVINMVVGGEVVTLKGIEESSSLVIAEMPINLQVLANIVLK >KZN01826 pep chromosome:ASM162521v1:3:25790785:25791405:1 gene:DCAR_010580 transcript:KZN01826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLHNHHKNTTLRLLTSPTPTPSNPPSSDSFEFQESDLFFSPSSDTQSVSVSPPTPPTPSHLPRQPTHLSSFHGLSAALSTDHHPLLRRKSALNPSVSAVRTIPQRSEGSHGAKFHQSAPMNVPVWPKKETRAKNFDEIEEEEDEGDEEMIPPHEIVARSHVTFSVFEGVGRTLKGRDLRRGWKDTKGERLEAGSECGVSANRFS >KZN00991 pep chromosome:ASM162521v1:3:10548665:10549444:-1 gene:DCAR_009745 transcript:KZN00991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQMATEQYFQEASNLGDFSESKGTSQQKCQSGSNTEDKVEQGITGSFDCNICLDFVHDPVVTLCGHLYCWPCIYKWIHYQSVSPENPDYQPPQCPVCKAVVSKETLVPLYGRGLSTKPSESTPSHLGLVIPQRPSTTRCGIQTHTPTPHIRPPQHLHYRQHRHHVQPYYSFPDGYSTTPMLSLSSTTATNPVVGVFGEMVYARLFGNSETTLYNPNSYNIIINSNPRFRRHVMEADKSLGRLLFFLCCCMVLCLLLF >KZN02943 pep chromosome:ASM162521v1:3:39404912:39405108:-1 gene:DCAR_011699 transcript:KZN02943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIQRSQLDLLEFIDWTGIECLNQNPSHPFGNALKQVYI >KZN01732 pep chromosome:ASM162521v1:3:23970311:23971720:1 gene:DCAR_010486 transcript:KZN01732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEEIEEVPPSPLTTNASEDKEEVTLLQDGPDFYKLTAHTGTAPSGATVYEATFMPEDTSVFDHAGPNDVFVALQMAHDAVQPHKFNNLVIDAMRNKRCRHTNILPIKHMFDSGGRACVVMPLNEVQSLRYIIASTPIFRQGFPEDCIAIALRETIYGLGRVHKYSAHKKVTTGEIFFHLDDHRIKLAYGGSSFDRNCEIEATLQGSNSTRLPIWSVHQWGAAPEVYDSGHDPTRYIRKSDIWLLGISALELAYGGLEFGEREDLLRFINWIRIEKRLPNKGEEIDFSLVKDEAVEKEKERDLRRYKKVDNLDKKLKQTVRIMKVRYMIAKIQKKHYKIKEYNEEKEKKNKWRKVKNYLKRKADMIPVPESIKSGMKKEKAKSYLKRKADMIPLPESIKLRMKKKKEKKLNCFTKEFGKMVALCLAEDPDMRPDCWDLVHHKFFKKSRTVTYFEDVVVKKAREPVWYY >KZN02579 pep chromosome:ASM162521v1:3:34763368:34765011:-1 gene:DCAR_011333 transcript:KZN02579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSDIPLLGNIVSSLSSATVEAMYRGLRYTFRYKALVNDFESEMKKLNAEAERVSVRVNEETDSGRTIYDSVLEWQGNVDEIRNKANQISVSWKCIPCLPIPNPVARFQLGKEAVVKKSNAAELVARGRGFQDNEIAHRPRMQNEPNTESILPIYPSLKDAYEELWNILVDKDGPVIVGIHGMAGVGKTTMMKRLWIEAMAQGVFNKVTQADVRSQNPLDVINLQQQIAGHLNCRLELKDDAMLRANQLRSSLKKGGKTLIVLDDVWRKIRLEDIIGTSLADAKSWKGYKILFICRERSACLDNDCKRVVEITALTGPESWDLFEKTVGAADIENLNDKKLAEEVCKKCAGLPLVIRAVARQLRSASHHVWTDTLNTFQTNIASVPGISGDVFASLKLSVDNHDQDVKKFLLVCSLFSSRGAHISKKRLILLAAGSQHVPGGITRILAMIDILKSSSLSHKADNEHLKLRDIFRDVAVSVAVTDYAFLRARCGSLFADAAADHSRWRLIHLDVDKDDDQLPDRLVCPDLHTLLIQVYHVFFVFIP >KZN02627 pep chromosome:ASM162521v1:3:35305624:35308255:-1 gene:DCAR_011381 transcript:KZN02627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRDSDVLMLEAPPSSRPAWSTGADIIDALPYIDDEYVKEDVDRMIQREMRLSSKKPSDFLKQLPPIPSFNLENHPMLAREFERVRASKPPVPLDMSRYGLEMPSANKRNDEAAWNQALQKAQRLLQHQVIRLENLELMSKHGADVWIQHNQRLEAILSRVQAEALQIRKEIDGVNLERKKHQQKTADELNVLSAQWRELCEKNIEIEAACVNMGIYIEELKKEAAERGWDVESKMDSVPVP >KZN03960 pep chromosome:ASM162521v1:3:50220755:50221164:-1 gene:DCAR_012716 transcript:KZN03960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLASLVPRVLVDVSSVKALCILWYPRDNQKAPQKINKHRAMDDIKESIAQNLNFTRTTYSNTGQRNDSKRQTGNYAGIGSTCFMFYSET >KZN03627 pep chromosome:ASM162521v1:3:46443994:46446169:-1 gene:DCAR_012383 transcript:KZN03627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIHHKYLHVNGINMHIAEIGEGQPVLFLHGFPELWYSWRHQLLSLSSLGYRAIAPDLRGYGDTDVPPSVSSYTVHHIVGDLVALLDSLKIGRVFLVGHDWGSFIAWWFCKLRPDRIRALVSLSVVHSPRNPKRKPLQSLRAAFGDDYYICKFQEPGVAEEDFARVDPALIIKKFLVGRNPGLFRIHKDGLGRNPGKQIILPSWLSEEDVNYYASKFKQTGFTGGLNYYRAMDLNWELTAPWTGVQVKVPVKFVVGDLDITYNTPGVKEYIHKGGLRRDVPFLQELVIMEGVAHFLQEEKPEEISAHIYDFIKKF >KZN00244 pep chromosome:ASM162521v1:3:3400864:3403244:-1 gene:DCAR_008998 transcript:KZN00244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNFGSFKHSFLRTPRDRWLSRKTYPELGFLEGDYPNEDESFWSRFHEKLIKFRCDVENGIFKAFKMGRSDPRKVVFAAKMGLALVIVSLLIFFREPLSYIGQQSIWAILTVVVVFEFSIGATLSKGFNRAVGTLLAGALALCNAELSQMAGKFQEVVIVTSIFIAGFFASYLKQHPAMKQYEYGFRCFLLTYCIVLVSGMSHFVKTAFSRLLLISVGAGVCMVINICIYPIWSGEDLHKLVVKNFRGVANSLEGCVKRYLDCVEYERIPSKILVYQASDDPLYSGYRAAVQSTSQEETLLGFAVWEPPHGRYKMFNYPWSTYVKVSGALRHCAFTIMAMHGCILSEIQAAAELRQVFRSEIQKVGTAGAKVLLELGAKVERMEKLSPEDLLAEVHEAAEELQLAIDKKSYLLINAESWASTRMPKEFEDPNNIQELKDSENKNLLISSLSQVAGHLRSMHTPKHPNMSQALSFGVASSEDISRQQQWPSRLSMLGDTVLNEREVHTYESASALSLATFTSLLMEFVARLQNLVTSFEELSEKAKFTEPSPNTAVFVE >KZN00773 pep chromosome:ASM162521v1:3:8449342:8450254:-1 gene:DCAR_009527 transcript:KZN00773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFDKQAEVYLNARPSYPAGWYSMLADQTLCHSFAWDVGTGNGQAAIALMVFAFDKAAEHYGKVIGTDVSEAQLRYATPHPRVQYIQTPLSLSEDEIVSLIGGEDSVDLVTVAQAVHWFDLPKFYSIVTRVLRKPGGLFAVWGYKDIFVSPTFDPVMKRFRDTVLPYWNQNVKYIFDGYQSLPFPFQDVGLGCEGKPLQLKISQEISFEGFIGVLKSWSAVTTAKENGVDLLAEDMVRNFESAWGGSDLVRSVTYTAFMLAGKPLE >KZN01600 pep chromosome:ASM162521v1:3:21379799:21380041:-1 gene:DCAR_010354 transcript:KZN01600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVPSTQDNNGCFMETPEETINIYKDQTDVLPKLIVKGCQACYIFVMVQETDQRCPKCHVGTSLIDVCHDFHASKKRII >KZN01725 pep chromosome:ASM162521v1:3:23898126:23899193:-1 gene:DCAR_010479 transcript:KZN01725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGDNEDDVERHNDCETDDNSVKELVKNVGDQMLHIIQNENDNHKNLLNKLRVVYS >KZN00667 pep chromosome:ASM162521v1:3:7440931:7445551:1 gene:DCAR_009421 transcript:KZN00667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTCYTEYSSILSLLFTGWLPGKAGQTEAVPDQEVTEERGTLKRLRNATRRELGAEYQASDLSLLIENTLVQQLGLARKITITKDSTTIIADAASKDEIQARIAQIKKELSETDSVYDSEKLAERIAKLSDGVAVIKVGAATETELEDRKLRIEDAKNATFSAIEEGIVPGGCAALVHLSEYVPPIKAKLEDVEERLGADIVQKLWIKEQSLEETIGLVIRFIITEDKAKVLELVKEVAKFDDFMLLDIKQELSFFKAAYALYDSEFYVKADADIFLRPG >KZN02079 pep chromosome:ASM162521v1:3:29297531:29298280:1 gene:DCAR_010833 transcript:KZN02079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENEAYLSKTTHLPRKRFFRARAHSNPLSDSHFPVPISPSHVDYSLHYPQLVVSSDTTSSKKVEFADIGCGFGGLLISLATLFPETLMIGMELRDKVTEYVKERILALRKANPGQYQNVSVVRTNSMKYIPNYFQKAQLSKMFFLFPDPHFKEKNHRRRVISPYLLDEYAYALRVGGIIYTITDVEELGEWMNSCLAGHPMFEALTQKELEADPVVKLLSSATEEGQKVSRNGGQTFQAIYRRITPIS >KZN00243 pep chromosome:ASM162521v1:3:3395523:3399210:1 gene:DCAR_008997 transcript:KZN00243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPWRTSLASKSQPLHLHHTRTRLLSTRHSTRLRAFRRNDFDDFSSRFSSGKLWTDLWKSANDGFEQFAYETRKTAEKIDRRFAVSRRLSDAAESAKYRAKEIDRDLRIMDKWRSFSFDFSRNWPSYRKQLIEFFDTPLGKGITTIVFLWVALSGWLFRIVIFSIWVLPFAGPLLLGAFANFLVIKGSCPACKRQFIGAKTQTVRCAGCGNIVWQPQGDLFSRGSQGGSSSSKSEIIDVEFEEK >KZN01649 pep chromosome:ASM162521v1:3:22467470:22470490:-1 gene:DCAR_010403 transcript:KZN01649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSYQIKTNTEIHAGESSKYVSKDGSDTGYSNSKGSSTSVPTTSRSEGEILQSANLKSFTYNELRNSTRNFRPDSVLGEGGFGSVYKGWVDEHSLAASKPGMGIVVAVKRLNQDGLQGHKEWLAEINYLGQLRHPNLVKLIGYCLEDDHRLLVYEFMPKGSMENHLFRRGSRFETFSWGLRMKVALGAARGLAFLHGAETKVIYRDFKTSNILLDSKFNAKLSDFGLARDGPDDDKSHVSTRVMGTYGYAAPEYMSTGHLNAKSDVYSFGVVLLEIISGKKAIDKNRPTGEHSLVEWAKPYLTNKRRIFRVIDTRLEGQYSLDHAQRAANLAVQCLCMEPRTRPNMDEVVTALEQLQVKEIARDHHKGVQPKRHPRPRMQ >KZN02328 pep chromosome:ASM162521v1:3:32080803:32084819:-1 gene:DCAR_011082 transcript:KZN02328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFSIHLEETLPEWRDKYLRYKPLKKLLKNFPDSSSVSNLPPPAAGADASPPLPQHLQEWFVKILSEELEKFNDFYVDKEEEFVIRFQELKERIERLKEKSCKDGVFTSESEFSDEMMEIRKDFVSIHGEMVLLKNYSSLNFAGLLKILKKYDKRTGGLLRLPFTQLALDQPFFTTEPLTRLVHDCEANLEILFPLEAEIVEPTSVVRGTNSTASNMATISGEATSLGEDTGEVYRMTVAAMRTIQGLKRESSTYNPLSFASIFGCRDNDSSGAVTGGNSDSDSIDSLQNKEPKQDDAPSTK >KZN03416 pep chromosome:ASM162521v1:3:44396112:44401143:1 gene:DCAR_012172 transcript:KZN03416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFFQFRRFGFFKIFSIDLKNTKFASRVCDCFPEIDGLVQVSSFYLNWVFRFPGFYGEDCCDRVSFAAASSAVLVDDNVFDCMPVRGSFVGKILSDDNVVDSRLGGGDDVLGEIVVPGKRVIRPGGLKLFVMVSRVIKTLSWHAARRVIFEKAVEKYGFTKSIDSFRIIVHVYAVAHMESEVSALLRDILEYYQSSNRDLFSLYTDIVASCSTTSSSDILVDTLMKVVASNKMLDHAINVFVQSKMLGVTPSIKSCNFLLKCLGEANKGENLLNMFDKIQKFGPAPNAYTYTIVLNFYCKGQAVDIGKAICIFKEMEKKGVEPTVVTYSTLISGLCEAGRLEDAIEFINDMRCTKQPLNSYCYNHVMRGFCLKGEPHEALSILEDMKSYGVAPDMYSYGVLINGFCRIGNLEKGLDLIAEMESNNLKPSLACYGPLLKGISDDGLIDISLDVYNNLKNFGYQCDQHVYNIMIRGCCLQADFKSACQILEEMISNTTTPDALNCRNLIPCFSKMGFVDKAREYYNILLERDILPDLRSCNSIVQAYCYQGRVGEALQFMGEMCECGIAPNCYTYNAVINRLCKEGHPKRALELFPVMLKVNVYPNVQIYSTLLDGFTKQKNFKKPFALYAKMLIAGVTPDRITYTILINAFCQRQKMHKACILMNEMMRKGFIPDLKSYTSVISGFCKTGNMKKAWEVYNKMLQRGILPSVVTYTCLIQGYCKVNRMDIVGLLLDEMREKNISLDTITYRILISAHRRLGHLDRAYELFSEMGKEGVLPDFSIYKMLDLDTYNVVSKCETDNEFVNNDQIKCVLFIDPFSVDYDTWLRRERIRNHESTFGDTQFNYDTTMMREHQLMREHQRSFAKNIINNATPGTFEPASDLAKKKRKSPYRHIYANADKDNIGLSKEQNSTSHRRPNRKPVSTDDTPVSTLCDIFNSSCRESTNAKTYLKDILEVPSAVALDFEMKDSTDESLHSMEEAGFYTLTKSSAVLRMYWMTTMNLIYMSMMVSVMF >KZN01364 pep chromosome:ASM162521v1:3:14911247:14915647:1 gene:DCAR_010118 transcript:KZN01364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSCSLLVYFDKGTPALANEIKEALEGHDVEAKVDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEAEIIEPLIPSVLANLEHRHQFIRRNAILAVMAIYKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFNCAQDRAINYLLTHVDKVSDWGELLQMVVLELIRKVCRTNKGEKGRYIKIIISLLSVPSAAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHREIMVELVMDVLRALSSPNPDIRRKTLDIVLELITPRNINEVVLTLKKEVMKTQGGDLEKNGESRQMLIQAIHCCAIKFPEVASTVVHLLMDFLGDSNVASAMDVAVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGLATIKQCLGDLPFYVASEEGETADTSQKSQQSAASETATAAPTVVQGSLSTGNLRSLILTGDFFLGAVVACTLTKLILRLEEVQPSKVEVNKASTQALLIIVSMLQLGRSSFLSHPIDNDSNDRIILCIRLLCNTGDEIRKIWLQSCRESFVKMLSDKQLRETEEIKAKAQVSYAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVNDGDDANKLNRIIQLTGFSDPVYAEAYVTVHHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERSVVVLNDIHIDIMDYISPAVCSDAAFRTMWAEFEWENKVAVNTVIQKEKDFLDHIIKATNMKCLTGPSALEGECGFLAANLYAKSVFGEDALVNLSIEKQGDGNLSGYIRIRSKTQGIALSLGDKITLKQKGGS >KZN01094 pep chromosome:ASM162521v1:3:11610145:11610803:-1 gene:DCAR_009848 transcript:KZN01094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVPCRWRVDTLIFKVGRVNDSVAPVPMGESTECQIASFNMPQGVGAESPCRVSRTSSTHFEESVLLRFPPILKKWINGTMLLNALALQQSLGGSKSINLYKAASSGTMFGPFSVEMINDDVPDVLSFKRHQLIHDFLWLTICVNHPNAYYVAKEGTRQVIICATSHVSVMR >KZN03760 pep chromosome:ASM162521v1:3:47859400:47860009:-1 gene:DCAR_012516 transcript:KZN03760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMENDDIRLNLRLGLPGSNETSDNKSGSKRASSEVDQDSSPPPTKTQVVGWPPVRSYRKNILQQNKREAEFAGTYVKVSMDGAPFLRKIDLKVYKNYAQLLDALQSMFKCTVGYGGSEHAPTYEDKDGDWMLAGDVPWEMFITSCKRMRIMKASEARGLGCL >KZN03948 pep chromosome:ASM162521v1:3:50122514:50123647:-1 gene:DCAR_012704 transcript:KZN03948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATASTMANQLKTSFTSSVTRGLVSPKGLCGAPLRVLPSRRNSAFTVKAVQAEKPTYQVIQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVNPLLRGVEVGLAHGLLLVGPFVKAGPLRNTEFAGAAGSLAAGGLVTILSICLTMYGIASFKEGEPSIAPALTLTGRKKKPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYFVK >KZN03511 pep chromosome:ASM162521v1:3:45302452:45304017:-1 gene:DCAR_012267 transcript:KZN03511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRSTLGVNDAGGLKAMDDAGSGAFAGGSDEIPAYGGKHDLRLSHMLALIVFIRHSEKTVSTEIKEKEKSTENKAKEKALRTSQKSVSQSKLSQEKRRQKSVISEGNVGAEQGGEEKLTQSEKKKSSEVNLRQSQRIREKSNEDKGSQRTGSQKSIGGGLRKSQRLQKEKNSEVNLSKKGGPKLTEKSSKSGTSKVEMENEEEDVEGV >KZN00735 pep chromosome:ASM162521v1:3:8014459:8015450:1 gene:DCAR_009489 transcript:KZN00735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FSNI-3 description:Flavone synthase I MGAVGGDDVKDWREFVVYFSYPVDARDYSRWPDKPEGWRSVTEVYSEKLMALGAKLLEVLSEAMGLEKEALTEACVNMEQKVLINYYPTCPQPDLTLGVRRHTDPGTITILLQDMVGGLQATRDGGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSTSSRLSIATFQNPAQNAIVYPLKIREGEKPILEEAMTYAEMYKKNMTKHIEVATQKKLAKEKRLQNEKAKLETKF >KZN01235 pep chromosome:ASM162521v1:3:13434244:13435561:1 gene:DCAR_009989 transcript:KZN01235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSMGRARRLVSCFRKRSSSSPPSPDSTSHDDKAIASISSEEERKGGAVLVELFSSQGCATSPQAELLFSRLGRGDFELELPVILLAYHVDYWDYAGWKDPYSSSQWTVRQKAYVESLNLDTMFTPQIVVQGRDQCLGDDEDAMLSCIASAARDPALAFQATYRRQSDDSLQVNLTGSLRSKMDSQSADIMVALYESGLVNDCPDGANKGRVLANDYVVRRLQKLTSVQDISVKKKVSGTLDFTLWEGFRSGKCGITLFLQNSSQQIFGAQNFKLPDNL >KZN01843 pep chromosome:ASM162521v1:3:25944668:25955327:-1 gene:DCAR_010597 transcript:KZN01843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSASSILYWRSSLNVAAADHSGLSLSSVRPLIFKQRKKCTGGFIRPLSLSVVSASVGSQDGSNSAVEDDKKGLFLGPQRDSSGSVVGFHLMPHSDSADKEQVSADIEGGEKLSTRVTYNIVFVSSEAAPYSKTGGLGDVCGSLPIALAERGHRVMVVTPRYQHGGPSDKRYASATDTECRAKVFCSGGVQEVAFYHEYRSGVDWVFVDHPSYHRPGTPYGDVYGAFGDNQFRFTLLCHAACEAPLVLPLGGFTYGENCLFLANDWHAGLVPVLLAAKYRPHGVYKDARSILVIHNLAHQGVEPAATYSNLGLPPEWYGALGWVFPTWARTHALDTGEAVNVLKGAIVTSDRILTVSEGYSWEIRTPEGGYGLQELLDSRKVVVNGITNGIDETEWNPSNDENIPSHYSIEDLSGKVQCKVALQKELGLPVRPDCPLIGFIGRLDYQKGIDIILSATPELLQDDIQFHCPCAGVAAAAGRAAPPLGYAAPLLQVFSWKVMLGSGEKQYEEWMRAAESSFKEKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTVPVVHATGGLRDTVQTFNPYALDGRGEGTGWAFTPLTRESMIAALRTAVATYRDHKDSWDGLVKRGMERNSSWDNAAVKYEQVFEWVFLDPPYVG >KZN03818 pep chromosome:ASM162521v1:3:48504957:48507114:-1 gene:DCAR_012574 transcript:KZN03818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLFSDVIPVEIGNLKQLQYLNFRDNYLSGSIPYQISHLQKLQYLDLGSNYMENAKWYMFSNMPLLTHLNLYYNELVSEFPSFVVNCRKLTFLDLSLNKLTGSIPESVFLHLPNLEILNLSFNFFEGSLPRNISKLSKIKELRIGRSLKSLFLHSNRFTGSIPEGLGKNILNLSTVSLSENKFSGALPEGLCSGLALERFLVNENNFSGSLPMCLRKCSKLSRVRLDENQFSGNVSEAFGVHPNLVYISMSGNKFTGELSSQWGNCESLANIEMSRNKISGAVPTELGNLKNLQALKLDSNQLTGEIPSELGNSVLMLKLNLSNNLLTGNIPKSLGKLSKLTHLDLSKNMMKGSIPKELGNCESLLSLSLRQNSFSEKIPSELGNLRQLNINLDLSSNSLSGTIPSNLGKLKDFPCVGQSL >KZN02436 pep chromosome:ASM162521v1:3:33488830:33493946:-1 gene:DCAR_011190 transcript:KZN02436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFYDPVQPALQAFGGSNIELMLDVPNPQLQSLQAAAGASSWASGHPLNPKCSIFSLWEQNRVPQWPHSVAPFICKSWFQALKYCGTAALILAEMSGNVVARFVAEGKDRNFGARTAVGTVNVEKYGFSFMPNDNCIRIWPMLLPFLCGFLGCYERVAMNWGNVRELSRKQLRLVSLFFTTVVLFVPAVISMFVFEVEGDSILVGDLGWPLANTVVFGVLLSENYTDDKVVSSKDFQGEFVVTFICTIVLELFYYSELSLFGLLICGLLVGIAVKGLNPLYSKYTELGLESSESLTTSIMKPIRHIWSERKSRKIALFLLINTGYMVVEFVVGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANSQFNYGRGRFEILSGYVNAVFLVLVGALIVVESCERILDPQEISTSSLLTVSIGGLLVNMVGLIFFHEEHHHAHGGSASCSHSHSHSSHHHHSHNHVENNQSVHRIVEENVLHESHKKSGHTSNGDNNHVHEPKKETTQKHQHRHIDHNMEGIFLHVLADTLGSVGVVISTLLIKYKGWLASDPICSIFISVMIVSSVIPLLRNSAEILLQRIPKVHEHDLKEAIRDVVNMKGVRGIQKLHIWSFTNDDVVGTVRLHVSTETDKDTAKAQVSDVLHEAGIKDLTIQVESVKDR >KZN03675 pep chromosome:ASM162521v1:3:46797180:46800212:1 gene:DCAR_012431 transcript:KZN03675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSKKGSMRTNEKQNWWISPTNVAYHFGTSGTAVAAATAITHPLDVLKVRLQMQLVGQRGPLTGMGQAFVQVLQNEGAKSLYRGLTPALMRSVLYGGLRLGLYEPSKYVCELAFESNNILMKIASGAFSGALATALTNPSEVLKVRLQMNQNSSTGPIEELRRISSTEGMTALWKGVGPAMARAAALTASQLATYDESKQASSKDVLTRWTPLEEGFYLHLISSTIAGSISTVVTAPIDLVKTRLMLQRESKVVGTYQNGFHCAYQVLRTEGLRGLYKGGFAIFARLGPQTTITFVICEKLREFAGLKAI >KZN01838 pep chromosome:ASM162521v1:3:25897322:25899717:-1 gene:DCAR_010592 transcript:KZN01838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTGKNFNVIKKALQDAFQTADEKLINWLETSGEETESGSTATALFINNDLLFISHVGDSCAVLSRAGKPEVLTGSHRPYGSNKASLNEIRRIREAGGWIVNGRICGDISVSRAFGDMRFKTKKYEYVLELSHYFLNMCPVLYYNDHIRNQLPRTNNGWILLMERMLEKGVQEGRWSPKFVSRIKFSGDLVTVCPDVFQVDLGVDTEFILLASDGLWDYINSSEAVTFVRNQLRKHGDVQMACEALGQVALDRRSQDNITIVLADFGRTNWESVPIQQQNIFFELGQAFATLGIVSFGIWMTSMLGS >KZN02494 pep chromosome:ASM162521v1:3:33953901:33959068:1 gene:DCAR_011248 transcript:KZN02494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRLVFPKGDLSPHDSASDPEEKEFSDDDDDDRNHKHRRRETQTQSLERDIGQASTRPYRNRNKPFENGHPHRESASQSNETWRNYNMPPLEKDFPGKFDRRRPGSTPISRAPLDLNQRGRGNQSWTGDPGSGRGRGREPWGVRDSRFSSLDFNPAIAQQGYVPSSLFAGRGMSSVSNPQSASWTAFGLVPGLPNGGLDSLHPLGLQRTLRAPINPSMNMGMPHQRCRDFEERGFCLRGDMCPMEHGINRIVIEDVQSLSQFNLPVTLPSAHMMGASAAPGPSSAVSAPSSTLGNGQGVYSKSGKAGAENDGLGSNGDAIGSAVATGADFYDPDQPLWTNDSAQTSTELLSSDPTKVTRGDNQSVPTHDQHIGLYSGSANERPVKNPVTALGSHSTNSSVRGQSSSLKNRVNSVQTVTTNEDHVLDTVEDAVGHQGKMKNADDIVSHSMEAMKTLNSTARFIQKPSQKARCTLFVSGIPQKDNRRDALLSHFQKFGEVINVYIPVNSERAFVQFSKREEAEDALKAPDAVMGNRFIKLWWANRDNVPLVGMSTGGTVPLASSIVSATSVPDVPAVATKDVPKGGSSHELVSSLNSFDQLKSVATNGPTAAMQKKVENLDYLKEIRKKQEMLDRKRSEFKRLLERMEKQKQAAGSKSELAVEQAAKRPKVEIVADVQKAGSPVLVGSSSIVPSIQAELTDRSRTGRNTKPHSSDTSMVMALTESSSFKQSTRQQAPAGTPFVMNRFKLDNRPTSFKIVPPLPSGLANVAALKEHFSTFGELANLDLEDIELGDNTDETMKLNKCASVYFTTRHSAEKAFSNGKWWKGHSMQFIWLTSSNSGKEDTGRENPSSPTKGSSDANGQPVGEGALLVSQKKPMSGDEESENSERRDKSSDHLGADDEMHSSLTPVSLEKQSSQG >KZN03569 pep chromosome:ASM162521v1:3:45903680:45909027:1 gene:DCAR_012325 transcript:KZN03569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLFAVKTEDGRKGQDGQPSVGPVYRNPLAKDGFPPLDPELSTTWDVFSASVKKYPGNRMLGWREFINGKWGPYVWKTYEDVYNEVLHVGAALRASGIEPGSRVGIYGMNCPQWIVAMEACSAHSLICVPLYDTLGSSAVDYILDHAEIDIVFVQDKKLKELLKPECTHARRLKSIISFSSLMESEKDGALAIGIKPYTWKDFLLMGRESPAAIVPPKPFNICTIMYTSGTSGEPKGVILTHENISTYIRGIDIFLEQFEDKMTVDDVYISFLPLAHILDRMIEEFFFYRGASVGFYHGEIDALKDDLLELKPTFLAAVPRVLERMHEGILKVVEDLNPRRRKIFSILYKYKLKWMKLGYKHRDASPVADLLAFRKVSAGLGGRLRLIVSGSAPLSNEIEEFMRVTSRAFVVQGYGLTETCGLASIGYPDEMCMIGTVGSAFVYSELRLEEVPDMGYDPLADPPRGEVCVRARSKFAGYYKNQELTNESMKDGWFHTGDIGEMLPNGVIKIIDRKKNLIKLSQGEYVAIEHLEKVYCITPIVEDIWVYGDSFKSAVVAVVVPHKGNTERWAQQNGHKGSFSQLCSLKDLTESVLQELKSTAERNKLRGFEYIKGVHLVPEPFEAEHDLVTPTLKKKRDKMLICYKVQIDELYQKLQKGKH >KZN02138 pep chromosome:ASM162521v1:3:30109053:30112499:-1 gene:DCAR_010892 transcript:KZN02138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSMSFRNPGTYTSPGTPDYADNNVGDFQRGWCSERVALPVNSSSKRHISAAALIPFSSGRALPSKWDDAERWITSPLSGTSAPRTPVVQPQRHLKSKSGPLDAQGLAFSPRYSPAHVQVVNGGNAGGFMAGSPLTTGVLVPDGLSIHYGGGIGVQNPVRPGRMLRRSYNAASQTNLLCDSSLPDSNGMICSAICVLTFVELIQCLMEIFRAIPSCPVRCAYISFKQELMSSDPGTIAYSKLLTEWTDEKHGGSNESEIMATGAVSRRDMATQMSSAGGSPKEKLSPDHPTLNPTCNFSSKVEIRDVQVDKGATMTRQSREDGVSVTKKASPETKELALPWDLAKASKNRSRLQREEARISAWENLQKAKAEDAINKLEMKLEKKRTASMDKILNKLRNAQTRAHDMRQLMTDDVDNQIPRSKVNKFSSLRKYVALGPIRSCFTSHAN >KZN03038 pep chromosome:ASM162521v1:3:40432544:40434240:-1 gene:DCAR_011794 transcript:KZN03038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFNERQPIGTAAQSQDKDYKEPPPSPLFEASELSSWSFYRAGIAEFIATFLFLYITVLTVMGVSKSPNKCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLTVTRAVFYMVMQCLGAICGAGVVKGFEGKRQYNLQGGGANVVAHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDHAWHDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >KZN03689 pep chromosome:ASM162521v1:3:46940954:46946504:1 gene:DCAR_012445 transcript:KZN03689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRFWRRRLTTDSMGYALSRLELDSDCSNSGASNLVDENFSPNKPVNVLDHEVAQLTKLNSAPHDHSGDIIPGKRGSSVNTVKMLAGRECNYSRRGRFSSADRCHVLNKYLPGNCPSLVDRMTTRAYVSQFSTDGSLCVVAFQGSHIKVYNIDRGWRVHKNIITKSLRWTVTDTSFSPDQRHLVYATMSPIVHIVNITSGATDSRANITEIHDGLEFSRDDGEGYSFGIFSVKFSTDGQELVAGSSDDAIYVYDLVANKLSLRIDAHTSDVNTVSFADESGNLIYSGSDDNSCKVWDRRCFKPKGKPAGILIGHLEGVTYLDSRGDGRYLISNGKDQTIKLWDIRRMSSSSNCKPVLRKYDWDYRWMDYPCPTRNFTHPNDQSVATYRGHAVLRTLIRCYFSPAHSTGQKYIYTGSHDSCVYIYDVVSGTQVAKLKHHKSTVRDCNWHPYYPMLVSSGWDGDVVKWEPGNEEAPAPSVKGRIDRREV >KZN01646 pep chromosome:ASM162521v1:3:22368129:22369382:-1 gene:DCAR_010400 transcript:KZN01646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTSSQNHNSKLHMHKHITSAPNTQVSRHAHTPNAKPTVNAPKSVLVDPSNLSKLDNMLLNVQPGMMVYVPQNGVVHNMFLSAKWENMIFYRGQNYFVHLIGEFYGNMVVQKGIDDVLKISTVVHNKNMLVDINTLNRCLKLGLCDKNCAIEYHHFTPLYQQLAIIIRSNLLPKPKNTQFFDYMDLKVMFQLVTNQVEFNINYVILINMIMAFEVEYMPYGLLLTSLFELYHIAMPRVLAEGIEYCNISTLVKHQVSLDDCKPLNVTPVCITPDVMIIGSKQGTNRAKDELDKIKEEVNNLKEINLFIMARLDHLENKSKEDSTVGKEEGIDDKMDRLFNEEMVNEMAGNGNSDKVELPSLNDLTDDLGFVVVEEPEKA >KZN01971 pep chromosome:ASM162521v1:3:27576670:27576990:1 gene:DCAR_010725 transcript:KZN01971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSIFWKWLKIQLAVRFSGDANSVGIIPERRSGRSRSPGARKHQSPVREGSEERAKIEQWNRERDQEELAKHTITGEHGMDYEGQKHNGDHYHHQLLQEDSGYY >KZN03823 pep chromosome:ASM162521v1:3:48589213:48593608:-1 gene:DCAR_012579 transcript:KZN03823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFTGSHGRDEMHVLHGADEHRPPAAEATKKICRVCGDEIGLKENGDLFVACAECGFPVCRPCYEYERSEGHQCCPQCNTRYKRHKGSPRVAGDDEENFDADDFDDEFQMKNYDNAPDHQHLNTPSENGDNFQQQQQHPNGPAFSSIAGSVTGKDFEAEKETYTNSEWKERVDKWKTRQEKRGLVNKGDESGNDQENEDEYLMAEARQPLWRKVPIASSLINPYRIVIVSRLVILGFFFHFRILTPAYDAFPLWIISVICEIWFGFSWILDQFPKWFPINRETYLDRLSLRFEREGEPNGLSPVDFFVSSVDPLKEPPIITANTILSILSVDYPVDKVCCYISDDGASMLLFDALSETAEFARRWVPFCKKYSIEPRAPEYYFSEKIDYLKDKVQPTFVKDRRAMKREYEEFKVRINALVAAAQKKPEDGWVMQDGTPWPGNNSRDHPGMIQVYLGSEGALDVDGKELPRLVYVSREKRPGYQHHKKAGAMNAMVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMRGLDGIQGPVYVGTGCVFNRQALYGYDPPVSEKRQKMTCDCWPSWCCCCCGGSRKKKSKKSKKKGLKALLGLSGLYSKKKKMGKNYSRKSAGPIFDLEGIEEGLEGYDELEKSSLMSQKNFEKRFGQSPVFITSTLMENGGLPEGTNSASLIKEAIHVISIGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYGYGGKLKGLERLAYINTIVYPFTSIPLLAYCALPAVCLLTGKFIIPTDFLDIEYALILELDHMQLNNFASIWFLALFLSIITTGVLELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGFLKVLGGVDTNFTVTAKAADDVEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASIFSLVWVRIDPFLPKQTGPILKQCGVEC >KZN01482 pep chromosome:ASM162521v1:3:17061258:17068408:1 gene:DCAR_010253 transcript:KZN01482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLGSEEFDVETGEFDNEREKLIRSSRVVQINNQALLSGLAYCLSSCSMILVNKYVLSSYDFSAGISLMLYQNFVSVLIVSMLSTLGVISTEPLTWRLIKVWLPVNVIFVGMLVTSMFSLRYINVAMVTVLKNVTNVITAVGEMYLFSKHHDNRVWVALFLMIISAISGGFTDLSFHAIGYTWQIINCFLTASYSLTLRRVMDTAKVVTKSGNLNEFSMVLLNNTLSLPLGLLLIFVFNEVDYLATTPLLRLPTFWLVITLSGFLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSVAGILLFKVPTSLENSASILFGLVAGVFFARAKVRERSQS >KZN02216 pep chromosome:ASM162521v1:3:30973062:30973733:-1 gene:DCAR_010970 transcript:KZN02216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDVEESSNRPPVTPSSTENGNIDAGDFECNICFDLAQDPIVTLCGHLFCWPCLYRWLHHHSHCQECPVCKALVEEDKLVPLYGRGKTHTDPRSKPIPGTEIPRRPMGQRPQTAPPPEASNFPNVGLGHIGGFVPMATARFGNFAFSAGFGGLLPSIFNIQVHGYPNGTGYGAGFPHGFSGGYHGFHGPRAPNTNQAVRENNVLKNAFIIVGLLLIFSLIWG >KZN03382 pep chromosome:ASM162521v1:3:44207512:44208318:-1 gene:DCAR_012138 transcript:KZN03382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGPRTFPGGVNKWQWKRMQAKKAKQLLKARLARERQIYEMRKRAELKAAVSELERPWEVVEKAPKLFSASADEQVQVLADRFQKPGGFDLWSEKDGPELFKTVDGFPSARFFPKGVVHSIRPYGRVNEEIEEFGDLGKGLGDEKGVKIGSFDEYVEKRNLLNEGRKGKVRKKRNGKRVGDSDLRDVKDGSYKISDDNGMKLRDMSNGMHSRKNGYDRGDSGRTRVVKKDGSFGKGMHKREVKVANSEVYDMDLQRDGSYWFKEQNE >KZN02951 pep chromosome:ASM162521v1:3:39479869:39483924:1 gene:DCAR_011707 transcript:KZN02951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFFVGGNWKCNGTLDEVKKIVAMLNEGAVPSPDVVEVVVSPPFVFLPTVQSSLKSDFQVAAQNCWVKKGGAFTGEVSAEMLANLGVPWVILGHSERRALLGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMEVVAAQTKAIADKISSWDNVVLAYEPVWAIGTGKVASPAQAQEVHLELRKWLQGNVSPQVAEKTRIIYGGSVNGGNCKELGGQADVDGFLVGGASLKPEFIDIIKAAEVKKSA >KZN00478 pep chromosome:ASM162521v1:3:5669705:5672040:1 gene:DCAR_009232 transcript:KZN00478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGIGKFNLCFAGDAGEISRRHHDIAIYLSNPLDEGLGHSFCYIRPDPSRLSSSKLYSDDFPPLFRTISGASISANTFTPLSTLIDPFLYNNCTLDKASSFESSESFAAIPLQPIPRNVIPAVRSGPICNSSGMLFGSGPIERGFLSGPIERSFISGPLENQSEQLLRYRPKSKKWAFMKIIKRAISRSNLLPIGKDKEVDWGQNGNLDNFTMNNNNNNLSSQASLVDDDVEDHEIFDGRNVQWAQGKAGEDRIHVVISEEHGWVFVGIYDGFNGPDATDYLLSNLYSNVYKELKGLLWNDKYDENEEKCSCGGEGDLKRKRGEDRERSWKCENEMHRFETSEFPSCGSNGVGNTNHSDVLRALSEALRKTEEAYLEIADMMVMENPELALMGSCVLAMLMKGDDVYLMNVGDSRAVLAQKVDLERISEETLSDLDRIDVDEFGSFTSLASSQLTVDHSTSVEEEVQRIKSEHRDDSSAITKDRVKGSLKVTRAFGAGFLKQYFTNKEAVSEVETFIALFPEGDPAQHLIEEVLFRAAKKAGLDFHELLDIPQGDRRKYHDDVSVIIISFEGRIWRSSV >KZN03093 pep chromosome:ASM162521v1:3:41214556:41214794:1 gene:DCAR_011849 transcript:KZN03093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKVQAQPLCISKGKRDYTALRLQISKENRQIWKKGREGIHSQSDRPQLSWE >KZN03853 pep chromosome:ASM162521v1:3:49305635:49305799:1 gene:DCAR_012609 transcript:KZN03853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTSTVDEENEQLKNKLAEMNERMKAMEYQMAKFIQAVRDPQDLDLDSENDDN >KZN03470 pep chromosome:ASM162521v1:3:44909472:44910843:1 gene:DCAR_012226 transcript:KZN03470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSTIVGLGTSSLSSPSHLVSAKQTVSLTSGFLRSPVAARNPLRLAQASGGKFTCFEQNWLRRDLNVIGFGLIGWLAPSSIPIINGDSLTGLFFSSIGTELAHFPSPPALTSQFWLWLVTWHLGLFICLTFGQIGFKGRTEDYF >KZN01191 pep chromosome:ASM162521v1:3:12866560:12867032:1 gene:DCAR_009945 transcript:KZN01191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTAHFTKILERERKYQEPEEVEIAEDLNYFLIWVPLGRRDSRGASLSGSNSNIPAPNNTLQTILTKSKLQGLDIVDLEALSGSHTMGKTRCVSFRQRLYNQSGNEHPDYALDLRNSVLTA >KZN03027 pep chromosome:ASM162521v1:3:40280545:40280739:-1 gene:DCAR_011783 transcript:KZN03027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTNGVLRKRKKTKSNTCLNCTVHTCKVTWGQNMKGGSADCMQSVSVAGSRVCESTCTRRVTS >KZN02985 pep chromosome:ASM162521v1:3:39854820:39861046:-1 gene:DCAR_011741 transcript:KZN02985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELFGSPGKASGLLLRTGQFFFAGASVGAMASAAGFSISTAFCYLIASMGLQALWSLGLACLDIHALRLKRRLQTPILLSLLAVGDWVTSILSLGAASSSAGVMILFVVDSDLCKRDRKLECNMFGISIALAFISWFLLAISSYTVSTIPLEKELVSSVLSYVSGHLVDQNLINRAAVLECKQLHAFALKASIDSNTFVATALVDVYAKCNLIEESVLVFESMCEKSEVMWSSMVAGYVQNEFYEEAILLFHRARGTGLEHSQYILSSVISACAALAALIEGNQVHAVLHKSGYYANVFVVSSLVDMYAKCGCIREAYSVFSSAESKNVVLWNAMISGFSRNACSIQVMVLFEKMQQIYLIASMGLQALWSSGPACFDSHALRLKRGRQALILVSLFVVGDWVTSVLFISLGAASSSADSQE >KZN03089 pep chromosome:ASM162521v1:3:41148131:41149036:1 gene:DCAR_011845 transcript:KZN03089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGHSPCASCKLLRRRCTKDCTFAPYFPSDDPHKFAIVHKVFGASNISKMLQELPIHQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQTEMLCIQMQQEQPPLLPPSMEPISAHDLIGDDKSSFYSNNMMPQYLNYSSSNSTNVIHDSLKRESFFGHDMHVS >KZN00462 pep chromosome:ASM162521v1:3:5500516:5500860:-1 gene:DCAR_009216 transcript:KZN00462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYLPFRATTVRSAFRNHDSSRTQKTSNPKWWAPLVSWSSEPDYFDSDLSAKAEAKPETTEPIKSRIAPGSFTEEKARKLRLMTKDTAFHDAMYHSVIASRLAFDSNDRSDF >KZM99934 pep chromosome:ASM162521v1:3:226239:228845:-1 gene:DCAR_008689 transcript:KZM99934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFETFLKNLFYTGDTLLPGMKIGWDWTTGKARKLTSWVDTTDPSPGNFVYKMDLLGLPQVVLREGSEKKFRTGVWNGIRLSGLYRSRPTNPLFNYKFTNSTKEINFFYEFTANQTISRLVLNQSGILQRYAIRGGTSEWSLIYETPSDTCDPYAKCGPNGLCKATEDLSCECFHGFIPKSESEWNILDRTNGCIRRKPLNCLKGEGDGFLWINNVKLPDLLAQKFWFNGSMSLKECEQMCFGNCSCTAVAVEYISKCVMKMTLILFSERNNEDIDLPLYDLSTLASATNHFSDTSIIATGGFGPVYKGKLPSGQEIAVKRLSKDSGQGTEEFKNEIILISKLQHRNLVRILGWCLEGGEKLLVYEYMPNESLDKLIFGMAAVE >KZN02557 pep chromosome:ASM162521v1:3:34412221:34417408:-1 gene:DCAR_011311 transcript:KZN02557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFGHASGGGKQVVPFDYEAEVSQRLLESAQSSHNASAFDCIADPSVDVNYVGAVWLNVRKAEMICRDESPIEIRFESEKFKTDVTPLFLAVHNGNVALVKRLLSSGADVNQKLFRGFSTTAAVREGHLKILEILLKSGACQLACEEALLEASCYGHATSTALLMGSDLIRPHIAQHALVNACSRGFVNVVDTLIKNGVDANTTSRVLLRSSKPCLHANIDCTALVAAIVSRQVSVVDLLLQAGANTDIEVRLGAWSWDMCTGEEIRVGAGLADPYPISWLAVEFFETSGTILRMLLQHLPLNTRHLGRSLLHHSILCGNTGAAKFILDAGAHIEAPIETFEKADLRPVHLASRVGSSTILQHIIDSGCDLNAPTDDGDTALIICVRYKQEDCLRVLAKAGADFGLVNLAGVSASSLAGSNQWYCGLQRALLDTVKAGRVLRSCKAYVFSPILFVARSGDVQALRAVFEHGEINLDEQDEKGFSAVMITAMEGHVEAFRLLVYAGADVKLSNKSGETAITLSKLSPNYDLLEKVMLEFTLERGNRSGGYFYALHYAARQGDLNAVNLLVGRGYNVNDFDGDGYTPLMWAAREGHTDMCELLIYHGAHCNSKNAKDETALSLARKAGFTRHDVERVILDEISRKLVLTGANVWKHTKEGRGAPHKKVIKMMEPEGLLRWGNSKCRNVRCQDAEVGSSNKFQRLRQSKGDSDRPGLFKVITVKNKEIHFLCEGGLEMAELWVRGIKLVTKESLSCH >KZN00717 pep chromosome:ASM162521v1:3:7872690:7872947:-1 gene:DCAR_009471 transcript:KZN00717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSEVIVDGSFIYEKETQLTIRKTCLFFPGDGLTVYDCSGHLVFRVDTYATNASHRSELVLMDPSGRCLLTVRRKRVDRRKRWA >KZN00779 pep chromosome:ASM162521v1:3:8508436:8509973:1 gene:DCAR_009533 transcript:KZN00779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEAAGHIVTVELKSGELYRGSMIECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLEARIKGKGSSIGVSRGRAAGMRARAPAGGRGGPPGRGVVPPLRR >KZN00005 pep chromosome:ASM162521v1:3:994726:999051:1 gene:DCAR_008759 transcript:KZN00005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKSDFAQKLLHDLRLKKERMAASQSSGTSSTMARDGQRNSGQTYRGTQKTKGPEPVRPNTGNSRRRASGNARLPTDSENSSQLVQYRSGQSTGQRVDLPMAILLALQNSGKHGKGNFSGGNPMLQFLQQIGKKSLDTGKMAGYGSLSKHHSSTSQFPTFSNLHVNEISKGVQNLNHILRACSNGLNIDKYSIEVGKELLKGATDLEESLRMLVNLQEASEYMIKPQRKNRITLLEEEDSDEASKGSTIQQKQLDLPRFSFDKPSRNSHGFVTKSDSNQRLIELTYHARSSSFSNNQASSSNSEPHRRSSSWSSNFKTPTDSEQTSHSNSSRAAAEKGRMSSIIAKLMGLEEIPEQVPSQIRQKDSGTRNGEGMGLKITYLTTKNTEIKTKKSDRQENITKKYNVLKQDRHVSETKNNNVPQKAEKHQVLHQLNSVVAIADENLQRKNHERAKRTIHGSDPENSAIKLDKQQKSINRSIEVFGNQVLEKEARQNSMKHRQLKTPEKSETKEPIIKDEMHQRLKLKHRSSSILQEKAEHRKVTAQTEGRNSERLTARYQPKPLQNNELQQLHMLRKSGIQEEKHQTNKREEQNIRSNYQAPTPNGSPLRSATAQDGSPVRSKVPLKTMHNENSELAPQIQTEKDMMLPLERKRPIHVLATGKKAEITQKFRGQIPRKMNERMNKRSGTLTNLATPTKHQTSVTQESRERIQETATETKGSEEHSSVACKEPEESTEEAKSEEIVQTLSTDEEKHFETAATIDPSSSQDDECRSLEVVSPPDANDISKDTAHQAQPSSEQKSLETLPSSLIGSNEISHPRLQEHKKALISWKQEPLTENEKKLKETLITSPLFLNTAEALFRLNIPVGILHASDQIYQEKSTKFTIDCAYEVMNRKGRRQELLQQCFKVSICNTTVSSLDNLIKQLYKDFDRLKYYGGNEHDDNDDAEALLKMLEMDIHNTHPHLNCMWDFGWNEVMFAFDGTNDIIKDVERQVLDELIDEITSE >KZN00953 pep chromosome:ASM162521v1:3:10272426:10273581:-1 gene:DCAR_009707 transcript:KZN00953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLFLKLPNKSRRKPALPAPSYSSPRNINKTKHRITSLDHHALYSLFSVSSVFPTTMKKKNKLLLCFRPLTHDPPPRDSDSVLLSNHKNTETSKLKSDQEALRVTQRRSRSLNASSRNLCGDLKSVLVEASLHQQKQPSVKGNAARLMLRSKSHHDSINLSKSLGSIEDNCVSLSSSAIPETERRTSSPLSQSKTLAKSQIHADANARSLNSGLFVFLMSLWATIFCGRICAILFTLIFVYCMPRRTWGDRRLKSVENLPEETEKECKKRVIMEGLLERNHHSCRGKVIS >KZN01568 pep chromosome:ASM162521v1:3:20708760:20709376:-1 gene:DCAR_010322 transcript:KZN01568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVQPDYKYARTWHDRYERVCCFYEVCAPKKGEYVFISAASGAVGQLVGQSAKHKTEYNLHGKLVDPESTNNDQQNGKPVSPSISRTTKGRRT >KZN02388 pep chromosome:ASM162521v1:3:32929095:32929862:1 gene:DCAR_011142 transcript:KZN02388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRQGANQSRISDDQIIELVSKLKQLLPEIRSSRSNKVSAPKVLQETCNYIMDLHKEVDDLSERLSQLLSTIDTDSPEASIIRSLIM >KZN02135 pep chromosome:ASM162521v1:3:30066953:30068933:1 gene:DCAR_010889 transcript:KZN02135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSCSKEFASNYMLLNSENLGFIDLFYIIFSKDLKDRKFIDCSEGSGEESSSHRWIIFASIVAQKLLFLLAKPLARFGSGVEYWLNLFSSNGGFLGLVKNFLRGKVVEPNKESVSFLSILGYTDKRVDLDKNMKPGDWRYLSALSIMAAKVSYENKANIETVVRDHWKMELLGSFNFWNDYQEKATTQAFVCRDTRAEEELIVVSFRGTEVFDADSWSSDVDLSWYELRCGVGKVHGGFLKALGLQKSLGFPKEIEQVDDRPVAYYFIRNMLRELLAANKNAKFIVTGHSLGGALAILFPAVLAFHKEDLLLERLQGIYTFGQPRVGDAKFGEFMEEQLKNYSIRYIRTVYCNDIVPRLPFDDNSFMFKHFGECLYFNSFYQGQIVAEEPNKNYFSLLDAIPKRVNAIWELARGFIIPYTRGADYKEGALLRVIRLIGVLVPGISAHFPQNYVSASRLVSSGVLPTRD >KZN01206 pep chromosome:ASM162521v1:3:13066447:13070318:1 gene:DCAR_009960 transcript:KZN01206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFVLTLALCRLIVTVGLMSLDPRELIGINDHRFSLDPAQLESASRDFGGQNQAEPLAIFHPTSADDIRGLVQAAYESGHGWAVSARGHGHSINGQAHAKNGVVIEMSGSKQLPRVDESLKYVDVWGGELWIDVLNYTLRYGVAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVYELDVVTGKGELRTCSEEQDSELFHAVLGGLGQFGIITRARIALESAPQRVRWIRLLYSNFSRFTHDQEYLISLHMLPAAQKFDYVEGFVIVDEGLINNWRSSFFSPSNPVKISSVVGANGGVLYCLEITKNYDQSSAHTIDQEVGELLKELQYIPATEFTTDLPYVNFLNRVHKAEVKLRSKGLWEVPHPWLNLFIPKSRIDEFDKGVFRGILGNKTSGPILIYPMNKNKWDERSSVVTPDEEVFYLVALLRSALDGGEETQTLKYLSDQNRQILRFCDDAGIKIKQYLPHYNTQKQWMDHFGDKWPTIYQRKMEFDPKHILATGQRIFQPNFRTYTGSL >KZN03667 pep chromosome:ASM162521v1:3:46726435:46728006:-1 gene:DCAR_012423 transcript:KZN03667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISHHFDIEMVVVFGILGNIVSFFVYLAPLPTFRRIYKKKSTEGFQAIPYIVALFSAMLTLYYGLLKPDGFWLITINTIGILIESVYILLFMIYAPKDAKKFTAKLLVLLNGAAFGTIILTTLTFFEGPDRITIVGWICAVFSVCVFVAPLSIMRLVIRTKSVEFMPLSLSFFLTICAVMWFFYGVLADDYFVATPNVLGFSFGIVQMVLYMIYKDKNKHGILPIVSIDVEMQVDKHVVPSTAKIDSEFAEDDLKKTAKIDSEMPEDGTKMNEQNAEPAEHQEVVVSVVISTDHDGKEPQVHCNTKLSVEY >KZN01119 pep chromosome:ASM162521v1:3:11964737:11964913:1 gene:DCAR_009873 transcript:KZN01119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSNIHVVHALAGTNHYLHAWKLVENFSGERSGTHNHKGLDGLRKRREFRVIGFEKE >KZN01833 pep chromosome:ASM162521v1:3:25865157:25867451:-1 gene:DCAR_010587 transcript:KZN01833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKLSMLNLAVIFISIPVLIASTYIPGVKGTIGVNYGRVANNLPHPVQAAHFLLHSTIINRVRLYDTNADTIKAFAHTGIAVTVNIPNNQISSLAKLSYAKEWLETNIVRHVPATNIVRILVGNEVVSTGDKLTVENLVPAMQAIHTALVGVSLDRLIKVSTPHSLGILLTSSPPSAGKFRPEYENQVLKQILDFLKATGSPFLINPYPFFGCSKDTLDYALFRTNSKVLDENTKLTYTNMLDAQLDAVHSALKLLHFDDIEIVIAETGWPSKGEPGQLGVDVDSAAEYNGKLMQHVSSGVGTPLMPNRTFETYIFGLFNENLKPGPTSERNFGLFQPDMTPVYDIVVGVPISPEPKPNSGKRWCLPKPEANDENLQKNIDYVCGLGIDCGPIKEGGACFYPDTVRAHAAYAMNAYYQTTAKNGYDCDFAQTAATTISNPNKRSFEMEEDLSITPYMSSDRVNDLANWLGLSVSAAFFASLERFSCVHLNTADTDDEDDDEGANENPLMLTILPSPSVSSSISASIPVTSLPV >KZN00432 pep chromosome:ASM162521v1:3:5265343:5275186:-1 gene:DCAR_009186 transcript:KZN00432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSNYTLLNQEDPSLTSTPAASYYESNSAERNKLKSDWDFTDHRQQPSQLNRMGATSIQRQSSGSSFGESSISGGDYYVASVDQDGLGVEQLRSMGMDGGGGGGGGGVSFSSSKSWAQQTEESYQLQLSLALRLSSEATRADDPNFLDPVVDDLPPPRSASAGSAEIMSHRFWANGCLSYFDRIPDGFYSINGIDPYVWSVCSDLQDNGRIPAIESLKCIDPRIESLVEVVLIDRRSDATLKELQNRIHSVSSNCNTTDEVVDQLAKLICNRMGDTPSSGEDELFPVWKECSDDLRECLGSVVLPIGSLSVGLCRHRAILFKVLADTIDLPCRIAKGCRYCKRDDASSCVVRFGLDREFLVDLIGKPGSLHKPDSLLNGPSSISISSPLRFPRYRQVGPTVDFRSLAKQYFSDCQSLDHLFEDSSAGSVIDGDAEDFLHIKKPDMHRKSTGVSPSNSGDIIQSHLPPRASRPMIRDRDLTNAYPGNMMAPFPDPRVNTGTDQTSQLVTSRPRELPFDVEDLDIPWSDLDLKEKIGSGSFGTVHRADWNGSDVAVKILMEQDFHAERFKEFLREVAIMRRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKSGAKETLDERRRLSMAYDVAKGMNYLHRRNPPIVHRDLKSPNLLVDKKYTVCDFGLSRLKANTYLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFKCKRLEIPRHVNPQVASIIEACWANEPWKRPSFASIMETLRPLIKPPTLQLERADNPLVT >KZN00759 pep chromosome:ASM162521v1:3:8205226:8207366:1 gene:DCAR_009513 transcript:KZN00759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASEQDVSEYRKSLAIRVSGFDVPRHVKTFEDCGFSVEIMKAISGQAYEKTASIQCQDFPVVLSERDIIGIAKTGSVYGGMSKLEQFKELKAGGEIVVATPGRLIDLLKMKALTMSRATYLVLDEADRMFDLGFEPQIRSKCDARKGGGKRAKGRGGGDNRGVRGVDFGLGIGYNAESKRSPSHVVPGRSAAVNSLKTGMTTQFKSSFVAALSGTLNARLSNSSGMQAGNRVLRGFVSGGSGR >KZN03863 pep chromosome:ASM162521v1:3:49441818:49445636:1 gene:DCAR_012619 transcript:KZN03863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIQNGGPHNLEEPLPGCFGKMDNLFDLTAGMPGNQLLTEKPYRDESPTNVVARLMGLDAISCQQPHSASQRSHSRNSSYSNVPMEYCQQVHDSFETQKLHEIHPYPVHNNFKDVYEIWQSHDICVKDKSSQRERFSGSASEKKMALIRQKFADLKRLASDEKLRQTKQFQDALEVLSSNNDLFLRFLQEPNSMFSKQLCDLHTIAPSPSTKRITVLRPAKMVDGNKFTGLQKQFQVKKTSQTEQVRSRDKRTCGFSSPTNCKAEDSHIQTTRIVVLKPSLAKSRDLKTVVLPHSSFPSALSTKDDQGEHENNDARESSVGKEITRKVCENFGGHSRDGTLRAPMLSNGYSYMGDGKSFHSSENEYAVENLSDPELMSQASRHSWDYKNRSDSPYSLSFNRASYSPESSVCREAKKRLSERWTMMAASGNCQEKGHMRKSSSTLGDMLAISDMKKLLRPEGDSSHKQEARASTSCLCTNLSDNDSCNATARNFLRSKSLPVSSTIDGSRLGLEVSNPKMEKNEIMKEVPKARAVKLKLKQRVSSLFFSRHKKYGKEESSQPSSVSDHGCNPSTEAPEHSNDIASSSKVPSLDLSSMEPILETSPTKAVFSVLKSSANENFNENQEQPSPISVLEPSFDENDHGIPEFYNNLKPVGNGTRECSSHLTKSNLIDKSPPIGSIARTLSWDDSCTETTTPFSMNMTAFPICADKERQEWFFLVQTLLSAAGFDNVEQPDTHFPRWHSHDSPLDLSLRDQYLDLNDKEVQHEAKLRQRRSIQKLVFDCVNAALKNLAVYESTTGKKGVSCNRSNASLKDHASSTMVEIVWSQIEEWFSDEMKCVPGYFGDNRLVVEMVARKEVAGKGWLEHLAVDMEDLRKEIENKLLEELVQEAVEDLTVLL >KZM99922 pep chromosome:ASM162521v1:3:96274:97215:1 gene:DCAR_008677 transcript:KZM99922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALIQYNVLHYRSLGHHLPRQPRALSRSISCSVITKPRNAKQLNIDQPAVEVALEKSQTKPAVLAASPPARPKRRVILDDPSLQSTWSHRGWVASGSAVVIISLAECISEAATSHIWLQPLLASFLGYLIADLASGVYHWGIDNYGNASTPMFGPQIDAFQGHHKFPWTITERQFANNLHSLASAVTFVVLPLDLVFRQHPFLLGFIGTCSGCIMFSQQFHAWAHGTKSKLPPLVVALQDAGVLVSRSQHAAHHRQPYNNNYCIVSGAWNQILDQLQVFQTLEMILFFKLGVRPRSWSDPDVEWTEVEIHTH >KZN00188 pep chromosome:ASM162521v1:3:2869659:2871818:1 gene:DCAR_008942 transcript:KZN00188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNYCNMKDSANKWQQDVPEVVSFEHFPTASSPDATNDESNMDSSSSPARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQSRVRARRLQLAHQKFEKRIKEEENLAAEEEYYRLRTPMRTFGPEAWDNRNLIKEKIKENSMRKHDAEMKRERALAYAFAYQQQQQNEYLHSDLKGDEFAQRSSEHEKQQWGWNWLERWMASQPHHMRNGPHDSSYMTLKTTDSMSEKTVEMDMAIPLGSEFVPMGRRLSGDTLEPARYSTRHNQHSGSDSVPSYMAPTKSAKAKVRSQGPVSAKPRNSSVSSWNPSTKRGPAGTGDSSSSGGGTVSYQVQMTPSPKSNALHQGQPKWMAGYSPDSSGGDERGHLWRHHYT >KZN02046 pep chromosome:ASM162521v1:3:28797838:28798107:1 gene:DCAR_010800 transcript:KZN02046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNLVNINSMDSNLAEKDYRLGVMSCSFQEKQKVFHSFALFGRREREKQRQIDKWPSSPYKSPSFITTTSNMLHLLSLSLALLKRSRE >KZN00464 pep chromosome:ASM162521v1:3:5511933:5512127:1 gene:DCAR_009218 transcript:KZN00464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSKTSGLWCTVHKEGDDDDAYTYVPCVRGGGDDDDGDYDYAPAASEGDDDDDGGYDYAPAA >KZN01385 pep chromosome:ASM162521v1:3:15238299:15241545:1 gene:DCAR_010139 transcript:KZN01385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSPQNRKSKTSKYKRRLRNSSNKYLKPGALAQIRYSKAKSVSACTDIGKKRVGVFAEDEKIEGSGVQDEGIKGVDESSMLSSPVRSVLNPVGGDINVSTPNNLQRTPKTPCAADECDSDSRLEALPMDLLVKIMCHLHHDQLRAVFHVSQRIRKSVVIARQYYFNYTTPDRSRQEMLRALTPLAKEHWPFSKGDGKGIFLRSPKTPPAPRHGPRPPRIKFSEGPQTGSVLFPEPSFSARCMGPSALRKTRFKTIASNRVLFSEDELCHAVAQNTLR >KZN02189 pep chromosome:ASM162521v1:3:30665765:30666079:1 gene:DCAR_010943 transcript:KZN02189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKISNNQQDAFLETEKLSLSGAGDDKQLGSPNSTSVTSKLYIKASSSAQTQNSSGTLDRQVVLRRIRQHKCLKKVQNTFQALLPQAASHQGWLEQDDVFTSP >KZN00236 pep chromosome:ASM162521v1:3:3327127:3334622:-1 gene:DCAR_008990 transcript:KZN00236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFGYLGNGGLSSSASNLSPLAPPFTVDRANPKFDPNPIGNFSEPNAYGVPFSSFHNWQYPRSSASGPEYYSNYESEIGPLHTADYSYLGSEPINPPTAHWASPNPNTTDPTNNPFSYSGAPKQYYPPYQVLDDNVSSVGHGEANYELLSSSGLVVPVVGSSQVDYTQGLSSMEFAPPWGGYWNGLSEGNCGRRTDIDGSFRFEETDFPAPHVYRDYLKQEVVPDEFLRCEVNSTATQRIYAAVPEKEKNGGSLANNQLDDCLHQNLRFSPTESSKGPILGTSTKFTESNLESPILEPVTFSSNLHQSNSLGGKGLQLFDSCIDDCTSVTKASVVPVIRPPIVGTSFPMQDTVASKSVDIGDTIAVNKKDVGSYNQIIEKEPLLPSNSEVKEGFLDSNHLCFQASRNDQRICSPASFSLVKPLSKNSLNHGIKIRGQIPDINVPHCSSMSVDNTEAIKHTANATENSDNYNPAEDSPCWKGAPASNVSPFKSLEAIPGQNRSKKLETRGLLDFEAVHKFPHNEFVMDFSSQVCGHNLRKENLSTDNTKAVLPMKNLIATLPTKEFLAAADCRSKSDGVQQNENNRDQYSSNVESPIEQYNLLNTSKSDFGSLQIPIKQLFPKEVNITSNSAVDAGIITSENLEDGCIPLHYVENVLYSPPSEEGKAPATDSSEKVNVQTLASALHNISELLILYSFDDKWELKEQDSKTLQHAVSNINLCLSKKIVQLTPKQEPIFSSAGISHILKESATKARLHATDEVGNTAVDQLDSYSTNEKKRIFGVSDKNIEKIMNVVSLKNDADLTRHHNMVQNIKTVLDENFQSEESMSSETLLYRNLWLNAEAELCVTGLKSRFNKVKIQLEDCKSYKTKDNSVALKKIPSTNVCSDPHLDVASGSTPEADDILKQKASVPCISSTKSDVKDDEASVMARFQILKCRDDNVNNTSNLEVKSLPDVVQPVDTDVGVDDSVMARFQILKCRGDNTRNVEEKSLLDEVQPDDTDVEDSVMAGFQILNCQGDNADASNVEEKSLPDLVQPDDTDFGVPWQITGSQTGKKSFDVAVGSRVHRHSDHGKTENVGLSPHDPQHEAVKEFSVYAPDDSTTVQSRGPVWIQNIPSEWVNSTIAQPRGKISMHNGLAPGPGWNKEIPSDWDGSTTAQPISMHIMPAPGPGWNKNTPLDWDGFTAAQRISMHNELASGTGWNKNTAANRDGSTTAQPISMHNELASGPGWNKNTASDWDGSTTAQTISMHNELAPGPGWNKNTPSDWDGSSTARPISMHNEHASGSGWYDNTPLDWEHVLKDDYEWHK >KZN02859 pep chromosome:ASM162521v1:3:38127179:38127791:-1 gene:DCAR_011615 transcript:KZN02859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEETIESQIEVAMASRIPHFRQQADTLTFEGVRRLLEKDLGLDKFALDVHKRFVKQLLSKVLVSVADPSPAIVNIIHPFSLWGTILSLSCNMKGSSFVLFMIITHMSKLCNIIIFASSYIENTY >KZN01185 pep chromosome:ASM162521v1:3:12747101:12752458:-1 gene:DCAR_009939 transcript:KZN01185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSQLILVILAAAASVRCAAAATSGGKWDMLLKNVGISAMHMQLLNNNRVIMFDRTDFGHSNLSLPNHKCRTYPSNKGTLIVDCTAHSVEYDVHTNTIRPLMVLTDFWCSSGAVMPDGSLVQTGGYHGGDHAVRVFKPCDNGSCDWQENVSGLIQRRWYATNQILPDGRQIIIGGRRQFNYEFYPKTGLTVSYNLPFLFQTNDPGIENNLYPFVFLNVDGNLFIFANNRSILFDYHKNVVVRTYPNVPGGDPRSYPSTGSAVLLPLKMTQGDEVEAEVLVCGGAPKGSYTSANKSHKFVNALDSCGRIKINEAKPQWVMDKMPMPRVMGDMLLLPNAKVLIINGASAGTAGWEMGRDPVLNPVLYNPDENIESRFEVQNPSKIPRMYHSSTILLGDGRVLVGGSNPHIKYDFTGVLFPTELSLESFSPSYLDPIYAYLRPTIISPVSRIKIGYGQRVPIRFSVPGRVKMDMVRVTMIAPSFTTHSFSMNQRLLVLRSEDVKIVGRSKYQIRVVTPGSGNLAPSGYYMIFVVHQEIPRFELSGVADFDNWGKKEEEGRKFGAFDSLRERRGGGFERDESWGKKKEDVGSVRPKLNLQPRNVPIGEEVQNGGVVKPKGLNPFGDARPREEVLREKGQDWKEVDKKLESLKLKENEATSDGPGFGKRSFGSGNGQSGGNGDRSERSWRKPVDVDVHPQRLVNCIF >KZN02501 pep chromosome:ASM162521v1:3:34000066:34005885:-1 gene:DCAR_011255 transcript:KZN02501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSDIPCLGKIVDRVSDAMVDPLFRGFDYMFCYKDSVNFLDSEIRKLGNHEGRVSRRTDAEKNNGKTIDMDVSKWQQEVKEIQRSTEEFLEKYRNRSSWRCIYCLPIPKPVSRFKLGREAVQMAKRVSGLYDSGKGYLENDIAFLPPIENVPASDTEFKEFKSRKDSYDKLWEALVSEDGSMILGIYGMPGVGKTRMMEQLWQEVKEKKIFDKAARADVGSEELNVIKLQNQIAGHLDCHFQSQDDKKHRANQLKHSLLNGGKILILLDDVWSQIPLIEIIGISLAEGSSSKGLKILMTSRKKYVCLDNNCEHPIEITTLTTGEAWDLFKHTVGPEKIHALQDESLVQEVCNKCHGLPLLIHTVGKALKCRPHSLWKDALYQLENGKVEKIAEIDPRVYVCVKLSIDKLEEDAKSCLLLCSLFPEDANIHIRELILLATGSLVPDGESRICAMVDILKSSCLLLDCQEDHTIKLHDLIRDVARSVAVSDPKYAFKIVKCCSRLPDDTDYCTRKFMHLQLEDSDLHFPGDLCCPDLRNLWLQCLNNVIPKFSGSFLSNLRFLWIQEGTSYYVKPENSIQCLGKLRTLILDDCYMADINKNNVRFFPESLESLCIRNCRMPLLMKLPDLKYLRKLEIKTLFGSEVRMVPNAISSLRSLEELHVPHGIQILDQSCLEELFGSETDMDGSDISLNGSDIFLDGAPLLAEISKLTNLRSLQMFFSDFEHFHCSDMFVNLLEYNIWVGNQNKAWGTTEDQSVPFKRSITLMGNQIEGFGSLIERAELLKLYCTDINVGSIYDSNREAFADLRCISIRRSSSMEYLARMSQGEIQHSCQPLTSFSKLTKLQICEAYEMKYLFSKSVAKCLVQLQEVYIFDCPLMEAIIKNEQDTSNEGDIINFFKLKSLKLLRLPRLESFYGEEKEMQHSSSTSIMDDSAAIPLVQSLPLFDGRLQLSLHPQFPAFCLLSLFIIGLSDALFRWFLYGFIYKGLVNVLNSSVSSSAKAGRVTELSDSAEDLLDEHNYKLSDLNSSSIKELKIEMEPDRYLVESDCWNLPVTSLYLKCQRLYSKIPEPCATCLPALRTLSLDLWNLESFSFNMPGLTSLRLWRCYLPETVWNHGFRESRDKRCGTSREHERHICCTSRSRESYILFVAGECKGFSHELSSVDQPRDRDRIMIVK >KZN00318 pep chromosome:ASM162521v1:3:4154429:4158492:1 gene:DCAR_009072 transcript:KZN00318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGVGIQLENESVVVDKTIVGDQVLDVEKEAGEGGFGSSGEKVESSETALESKLASPDKVTRAISKNSKALVRPAFKKATKLAGERPSLTQGGSFPAKGLGANAIGKSVDGHPTQSSAKHAGADRSKTEVPVSNGAANSVSRRASTGLSTNGASKGLASASVEQSTLVSVPSVHQSMPVLTNGTATCPPPDGFLSADQPTKSDKATLSSTEEDAHSPSSSNVAGVHCKSSTLGFSSRLEVRAEKRREFFSKLEEKIHAKEVEKNNMQEKSKESQEAEIKILRKSLKFKAAPLPSFYKEPPPKVELKKMPTTRARSPKLGRRKSYSGTRNSLEGGSGHVSREHDTSTKSMQVKYTNKPNRKSLPNLHSRESISAKTEGKSSKLKQRETVAKGEDMKATADKEQASKTESVNPPELEDVKLEKNPPGNTELLANSAHPFVPETEVTLNGG >KZN00762 pep chromosome:ASM162521v1:3:8240407:8243167:1 gene:DCAR_009516 transcript:KZN00762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSSDTQTVSNDTVVNIMGSDLVLNSMQPDLSYDPHFVTDCPPAHRSSWSEIPRSPNLYGQSSPAKFSFSGENMRHRRRQSGSGFSSNKSLPRHSQLMRMKSKSRLIDPPEQYDNSERIMKSECMEARSECQYDDPYAKEDLPEEFKMIKFSKWAVIQFFCMIVIIPALVCSIFVNSSHKNILCGLELWRWGILLLALLCGRLVAGWGLRVVVFLVELNCMLRKRVLYFVYGLRRAVNNCLWLALILIAWRIVLFDRVEHLAHGKVLSYVTKAWVCLLVGTLIWFVKTLLVKVLASSFHVSTFFDRIQCALFDQYVIETLSGPPLIGNHLEPVEEEQSTAEVPQLQDAAGNTVSPRTTASPRSGKLKTITKRRKIFPSFKSSRFSTVAAKEEKEGITIGHLHRLNGKNISAWNMKRLMNIVRKGNLSIDEQICEDETAVQIKSETQAKNAAKKIFCNVAKQGSKHIYQDDLMQFMRDDEALTTISHFEGASGWKGISRKELKNWMVNTFKERRALVLSLNDTKKAVNKLHQMLNIFVGLIILIIWSLILKVASTQFFVLLSSQFLLVVFVFGNTCKTMFEGIIFLFVMHPFDIGDRCEVDDVQLVVEEMNILTTTFLRYDNQKICYPNSVLSNKPISNYYRSPDMGDAIDFCINISTPVEKIALMKEKITSYIEKNSDHWYPAPMIVLRDIEDMNRLKISLWLSHRINFQDMGERWVRRALIVEEMIKTFKELDIEYRMPVVDMNVRSMPAIVSNRLPSIWTSHTN >KZN03893 pep chromosome:ASM162521v1:3:49682392:49683425:1 gene:DCAR_012649 transcript:KZN03893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHENIHAVKNGGLIIRQFGKLYLADYESLHDDVSTNPIEIVDPVIKSDAKLEVHNAAREPYGMRPTFCHKYFGLCIGGFGYDHVSDDYKFVKLGMCGNRFAEIIVTVYSVRTNSWTHIQNARLSRDIYLNDQWGRFAGGALYWKAIDFGKKTEIIVGFDLGLGQFREVAWPADRNFWNLYGFGDNLYTLKYSKSPVDVFQMNDYSAEKPWSKAFTVMGKNVFDYGFKPLMYSEGLGEVLILVDNKRPVWYHLESKRIKEVRINPIPRLTSDAEFYTESLFQFPKDRQPQNLLRGIRGTKQQQNKRETTAQVANSRGKNS >KZN00824 pep chromosome:ASM162521v1:3:9010369:9013729:1 gene:DCAR_009578 transcript:KZN00824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAQQTPTLSQQYHLEKEQLNTASKPVEEVVVPDSATEAVKEAVTAEVEESSSTPESSETPDAASGESSETPVAASSDSSESPDAASGKSSETADASSEESSEAGESITAATEGSSEITEKDNVGEPEAEETPGIKLETAPADFRFPTTNQSRHCFTRYVEYHRCIAAKGEDAPECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >KZN01629 pep chromosome:ASM162521v1:3:22063042:22063493:-1 gene:DCAR_010383 transcript:KZN01629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESYEIDCKCGAGKMIRKRSNSEKNRGRMYYICPKAKKTETIGKWDWGCKHFLWEDVLTQSQSCGASSSGSSGKGKGTDGDDSSTPTPTTEEKRIKALSKALEISQTANRALVDLIHDLTLDDY >KZN03849 pep chromosome:ASM162521v1:3:49157372:49158135:-1 gene:DCAR_012605 transcript:KZN03849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQCMCGSWAVEKTSWTEYNPGRRFLTCVNGRCNFFKWVEPEFDARSKSVINGLIRRLKNKDDECFAEMIKAKDEYKDFYSEELSEAQKQARNWKILAVLLLLYIFLCWFPSAGAGYIFAGAGLIFSGAGLIFSGDGLFFSGASLGVGSTNSTSKSL >KZN02907 pep chromosome:ASM162521v1:3:38832167:38836553:1 gene:DCAR_011663 transcript:KZN02907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASSAYSWWWASHIRTKQSKWLEQSMQDMEDKVEFALSLVSEDGDSFAKRAEMYYKNRPELINFIEEAFRCFRAMAERYDSLSTDLQKANTTIATCLPEQIQFAMDDDDDFLASKHPKFSSGPAADPSKVPKAPARPMKGMMHAATKKLEPKKSSIPPRRIKPKSGLSKAEAVEEIDKLQKDILSLQTMKEYVKSSYESGISKFFALENDIMEKQDKVSRLQDEFGVSTMIDDNDARTLMAEAAIKSCKETLADLQNKQENSAEKAEVENKKIEDVCQRLESIKYELLPDQTDNVQRVDQNSNHGAEEFQSLKQEMIGPERKKLEALREKIKEHKEGSGGPLTVSELADKIDDLVNLVISLESAVLSQNVLIERLRTEADDLQSQIRRLEENKGGLMETHELQSRVKELEEKLYLVQNIYMNITTQNNDIKDQFAEAHSSLNDLSEKLPSVEPDEELEETDLLEEEEHGLIEKRPEKALIEQDKGKSGDEALLEHDKGKGGGKALLEQGKGEGGGTALIKQDKEEGGGQALIEQDKGKDGGEALIEQDKKEGGGQALIEQDKGEGGGKALIEQDKGEGGGQALLERDKGEGGGEALIEQNKGKGGVEVLVELDKGEPDAKLLVEQDKGESGGETLVEQDIEKGGGEALIEQDKGKGGGEALIEQNKGEGGGEALIEQDNREGGGEALIEQDNGEGGGEALIEQNKGKGGIEVLVELDKGEHDGKALVEQDKGESGGETSVKLDKGKGGEALIEQDKGEGGGGDNKLKSNPPRDEEKSDKIDENLNASSRNSNNLSGGKEVATLQNEKDNGNTVLNSDNSGTEKREHIPKTSISVLLDVNLNAEEKFEDELNWQQMLLHGVEDKERILLAEYVAILRNYKEVKKKLSDEEKKNETLFETMLQLRNTKSALLKKDQEIQFLRHKLNLLKQDSCDIPGAKDSTEDESFGMHPTEEDKEEIKLLINQPKTLSPIEEKLRGQIDAVLEENLEFWLRFSNSFHQVEKFKTEVHDLQDEIVQAKKKNELRQDGKSQGSISADLKAEIRAIYKHLSEKKTEVGVWLEQSELLKEEVQHRITSICEIQEAITNGLKEGVESDEITFSSHQAAKFHGEILNMKQENNKVNEELLAGIDHITALKIEIEKTLVKINAEFKLTERKPSSSTSSSRSYSISKIPLRSFLFGIKARKHKHSIFSYRHYSRSTK >KZN01852 pep chromosome:ASM162521v1:3:26101554:26102287:-1 gene:DCAR_010606 transcript:KZN01852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVGQAVKRIPRIKFPQRHQNRTLSTSDAKSVSVSRSDISTAPMNTASGGKASLQPKRTPVTDREIEAILLGGTF >KZN02460 pep chromosome:ASM162521v1:3:33645902:33648382:1 gene:DCAR_011214 transcript:KZN02460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDYMDIFRWYGILYQILVVSIFIFIGGFECAVSDGSACVLDLGYGGSPSLHNLSNVEGDWGGFLNNNSCAGPFEEYLYALALHTSQTGDIFLNSDEQSDCLTTMNRPGIDVLGCGIEKLTRGGGGCSDFSVKDVNNRLGDDFQSMKKKCELQGKNQDKSCGSCLRSWEDITGTDSGNDEPTESESLTCRFAVLVSLASTKIKDEAWIQKTFRCLVDQHQAPITEISSDSAAPIPNEKKKISTPMKFALPKDSGHLKFSIKEVYSATNNLHAKNFIGEGIAGKVYKGILPNKQHVAIKQITDEGYTETFLRELKSLAKVRHPNLVALLGYCRHKDECFLLYELCPNGNLSQWIFGKNRRLSWVRRLEIAVHCARGLWFLHNYPKGCIVHRDIKPTNILLGANFEAKLSDFGLSKIIDIGETYQSSEVRGTFGYVDPEYQNTKRVDSAGDVYSFGVVLLQIISGRRVINMNMNTPMPLHKMAKSLTRNDSIRGFADPALEGDYSEQAFSLTFKLALSCTTRKKERPSMDKVILKLEEALDISTATRSCTTLTTPDCSISST >KZN01938 pep chromosome:ASM162521v1:3:27198851:27213902:-1 gene:DCAR_010692 transcript:KZN01938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPQTPIPLPERVETMIEQICKEQKQPHPDADARKILASIGEEKSLHMLTIISQTQIKKTFSGFIKYLLKNYRPKPLSHAMSPKSTLSTPHKRTPSSVSGWDDITSHSPILKQFLQSPCSNSDKAVTPIAIGASSSTNVQLTGISVGEHSLASPCSTCGNSYANPRKKLRFSPHVSINRESTSAISKQWQILFELEFRKIFLILNYIGRLKLEEVVSEEDAYKILLMKSYPMERFESQLWTMYNGFSFAGTVSTDRRKYLDWECGETHHYHCHVWQDGSFSFKGPFLKTTKTLLHRTFGDENILIVKFEEQARGGSSIISGDHNAFYGRVAKEGILVGQRRYRFFVFKDGERKGKKNSPTTSDVKCYFIRTELIAHCDTKDPFNLFNKTMHEARCLFMHLHTTPTMAKYASRCSLILSQTINVEVNLDRVHIERIEDIPCRNENGIKVCNEDGDLLIQTDGTGFISEDLAMKCPRALLSARYRKAKESEMEDASLEGKLTDAHVREPPLLMQCRLFHNGLAVKGTLLVNRKLPLGTIQIRPSMVKVEADPNFEGAPSINSLEVVNISRKPGKSRLSKYLIALLYLGGVPEQFFMNILADALEDSQRLFSDKRSAVRASVNHGTIDDDFTTARMILSGVPLDEPCVQHRLSHLAYSERKGLRQGRLPISESFFLMGTADPTGLLNSDEVCVILDNGQVSGKVLVYRFPGLHFGDIHVLNAVYLKELEDVVGNAKYGIFFSTKGERSVASEIANGDFDGDMYWVSRNNQLLHYFKKSTPWTRMHPSPNKFQVKPSDIPNDELEHKLFKLFLDTKNQNISMGVAADSWMAFMDKFLTLDDNSVEKNKLKKTILKLIDIYYDALDAPKTGKEVTIPKEYRADSYPHYMEKGKAYDSTSVLGKICDAVDTYVPKRVLASEIKKLPLFELVPIPDAKLKFWKEIYDNYRTDMSSALSSTNQSEMKPADDVFSKYKKILYGAADFEQSPRKWDDIYVDAVALYHACYDYARSKGDVTKCNFAWRIAGHALCNFHVAKSAEKSSMFYSLPSVLGEIL >KZN00213 pep chromosome:ASM162521v1:3:3141920:3147667:1 gene:DCAR_008967 transcript:KZN00213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPGVTAASLPMHRKEWRAVSEHLQNSTNEVQQPADVDFCSVTNMDNEFQQRLHTVVKQREELQRIETGLKAELIARSQILSLQNTYESQIKEHVNANVKLQEQLREREQAKHELEREIENKERELHAIRLDTKAVWAKDDLLREQNKELATFRRERDSSEAERAQHIKQIHDFEEHIQEKERQLAELQEQHRASQDTLIYKDEQLREAQTWITRAQEMDALQTSTNQALQAELRDRTDQYNQLWLNCQRQFTEFVHTIQALQIELADVKEGNATSNDESRDLKKKDASQPGKNVERQLDVSGDSQTHDSASLPNGNLENDSSSLSLGNALAQTNPVAAVPVIPQSLVGMPTYLPGQVAALHPFLIHPQVVPQSGPTTVPPSHTPYFHSVPLVSSLQHWQNQQAASEGLQMPTHDQYSSSQSGQNLDRTENTNDYEVPVNGKPSHPEFIDHISQGLEPQAVVPTPNDRAEDLESMKRGITDSQPQNNVQQLSSQFQDGLKLDSLMHSSETETINTLGKADAQVSRTQQPTSAAKISEEPVNRINSIEQSTDISANVTLPSEGSISSEEKTQISVGKSSEITLLDQGSLLRCIVRTIPLNGRIRISSTLPNRLGKMLSPLHWHDYKKSYGKLDDFVAGHPELFVIEGDYIQLREGAQEIIAATAAVAQVKAAASATVSSSSLFPSVAVTPMAQQHRLKREHSTSRPGNVADNHPPPLMTMQSSSGNGATVDSSDGISSQVRGSVQGRGNANYVAKQGRTSGTSSKTRR >KZN01684 pep chromosome:ASM162521v1:3:23133807:23137348:1 gene:DCAR_010438 transcript:KZN01684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPITQPVIAVLISSAIAARSYKKKSLDLSGALAGFLVMTIHFAVNYRFGAMLLVFFFTSSKLTKFGDEKKRKIDPEYKEGGQRNWLQVLSNAGIASLLVILFWVMAGSEDKCLDSTESKFATALIGSIIGHYSCSNGDTWSSELGILSDAQPRLITTFKPVRRGTNGGVTKAGLIAAAAAGSIIGLTFALMGFFTTNCMFDKSLNQLLVIPLSTLAGLSGSVIDSLLGATLQFTGFCSVRNKIVGKPGPTVKKISGLKILDNNAVNLVSIVLTAMLTSFAGLYIF >KZN03362 pep chromosome:ASM162521v1:3:44020387:44021268:-1 gene:DCAR_012118 transcript:KZN03362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEARYWMWTKQTTANLSSEILVSTKPSSIGDSWEEQAFAEDAAGPLGGCIWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLKQSPNSNTSHLVSPNSSLGFHQHPPDLVSTLVHNNSEDQEIMKLPSMVPVPVTQVMKFEEKAFSAPYFSSPTMKEQQNKNSTLSPLLWSSFIADRYCNIGDCDKQEKNYNFPESKNIRDKRNYVEADLSAESLKILICEDDKQTSANGKKDENTSFKRRRLTDDATVLFFPNTNSDKRDINGSPESEVFEISHNSKEDLDLELRLGA >KZN01731 pep chromosome:ASM162521v1:3:23964838:23968486:-1 gene:DCAR_010485 transcript:KZN01731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPGGELCSGVLPNHIGGVWGRINDFKSLLKYDLPRLQFQLAFIYILTESFHKLFRLVHLPRIAAEIMAGILLGPTVLGNINKSLENSIFPPEGEVFLATLSRLGYIFFMFLIGVKMEPSLVKTSGRRAWVMAVITVVLPLALALIVSGYLDTILPLYRRPTVRTVIQVQTMSPFPVVALLLMDLEIVNTELGRLALTSALISDLSSTTLSTFTSFTRLGFISSTAQSKFSFFMMMQALSFTAILFVSIVFVVRPFLFKWIIKSTPEGKPVKGFYITMIAALVLLSATLSDSIGLPYHFGPFILGLCVPVGPPLGSTLVEKLDSVTSGLLAPIMATYCGLIIDLTQYADLRFQGLIFNICFGSAFVKSLVTVIAALVTQVPIKDAFALSVILNAQGIVQMASYLNNNLNMTIDRETLASTTVSVLVTSIIITIVIRFLHDFSKTYTGYQKRNLMHSTLNSELRILACVHRHDEALAAIKLLEVSNPTRESPIAAYALNLVELLGRASPLIINHSLGQKGSSSSSRTQPMIDTFTYFETQNRGLVSVQVFTAFSLPKYMHEDICSLAFDKMVSLIILPFHRKWNYQGQMILDSSMFRSINRFVLEMAPCSVGILVDRRKLRHTSPVDSAFYRVSVIFLGGDDDREALAYIKRMAYSSAVQVTVVQLLSIDDKQSENKWETILDSESLRDIKHLISTRDNIVLQQETVNDGSDTTSLIQEMQDSFDLIIVGRRHKDFRALQGLAQWSELPELGVLGDLLSSPDISKPVSVLVVQQQLVQLH >KZN03224 pep chromosome:ASM162521v1:3:42677470:42678857:1 gene:DCAR_011980 transcript:KZN03224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHFRLLCKPILFPTVQLRQLSTTTFFLLAACLILSAVSSSASSQSSVRASRPLLSRPLKRLAHPVVILISCDGFRFGYQHKTPTPNIKRLISQGTEAETGLIPVFPTLTFPNHNSIATGLYPAYHGIVSNSFLDPITGDAFTQKNFDPKWWLGEPLWETVVNKGLNAATYSWPGAEVNKGSWTCPKQFCSPFNESVPFEDRVDTILRYFDLPTSEIPALMTLYLEDPDAQGHKVGPDHPSITDAVARIDKLLGRMIAGLEKRRIFEDVHVILVGDHGMINEGLESGKVGNGKYLKVYLKENLPSRLHYSDSDRITPIVGLADEGFKVEMNKTEAKECAGAHGYDNAFFSMRSIFIGHGPRFAKGVKVPSFENIQIYNLVTSILNLHGAPNNGTSSFPKTVLLPRRNKKIPVS >KZN00981 pep chromosome:ASM162521v1:3:10462000:10465943:-1 gene:DCAR_009735 transcript:KZN00981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEDTNPFAADDDVNPFARTSSTNSRPRQLPPERATYSDATVNIPLDGAKDLRKREMELQAKEDELNKREQELKRKEDAIARAGIIIEEKNWPPLFPIIHHDIANEIPIHLRKLQYVAFTTWLGLILCLVWNFIAVTLAWINVAGVKIWLLAIIYIISGVPGSYVFWYRPLYRAMRTESALKFGIFFFAYIFHIGFCCLATIAPPIFFDGKSLTGILPALEMFNENLVVGVFYIVGCAFFAVESLISIWVIQQVYMYFRGSGKAAEMKREAARTMAAL >KZN02978 pep chromosome:ASM162521v1:3:39787020:39790889:1 gene:DCAR_011734 transcript:KZN02978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNSSSKSINETVNGSHTFTIRGYSLAKGISPGKYLSSDTFTVGGYDWAVYFYPDGKNLEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKEKHKVHSHFDRALESGPYTLKYKGSMWGYKRFYKRTALESSDYLKDDCLSMHCTVGVVRNRIEGPRQYSIPIPPSDMGQSLKHLVDSELGSDILFRVGDETFKAHKLILAARSPVFRAQFFGLVGNTKMDEVTLEDIDPSIFKAMLLFLYSDELPDIQEFSDSTVDSASTVTLQNLLAAADRFGLDRLKQLCESKLCEEISVDTVATTLSLADQHRCSQLKEVCLKFASANLGGAYSL >KZN03209 pep chromosome:ASM162521v1:3:42481209:42483838:1 gene:DCAR_011965 transcript:KZN03209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVKAALLINGEAGKDSLKNELDNLPPQVMKRVETLKQIQSQQDELYAKFLEEKAVLEIKYQKMYEPLYSKRYDIINGVIEVEEVTENDAVKGIPNFWLTAIKNNEIISMELTERDEGALIHLKDIKYSKLDDIKGFKLEFFFDPNPYFKNSILTKIYHLIDEEEPILDKAIGTKIEWYPGKCLTKKILKKKSKKGCKDAMPVIKTEKCESFFNFFDPPKILKSDEIDEDTAEEFQYSLDYDYEVGETIRDKLIPQAVLWFTGEADQESYGDYFVDDNNDNEVHDIVLEGDEKDGDDDEYEEVDEIDDEDDICDKSLEEENGAA >KZN02670 pep chromosome:ASM162521v1:3:35675858:35677366:-1 gene:DCAR_011424 transcript:KZN02670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQLLPSNPNPDPNTQQFDPNLLDTMETKQLVHSQQASSFKYYTPKQNNSTATSLLRKPQHYYHPYLPNQIPFATNYNLDPSQTQTKIYSNTISPPITLPPVLYSRRRKSSTSARVPRVINLSNVGKLSKMMKNFQTGMMVFIQQKGDVHSFLMTARWDNLIFYRGQNYHTYLMGEFYGNLVTKTNQAGLFVFDSVVQGQTIHVTMDVIFRALRIDPAHIPQPCINIYEAYKFNQRDFEIHIGFFCGTDAPIGLCHENCGISFKHFLPKFQQLAIILRANLLPKPQGDQYFDFIDLKIMYQLVTNRLEFNIVYVIILNMFLAFQLDYMPYGLLLTAVFDLFKIPTPRVFAQRVEYCRIENLVVEQVPLKKIVPYKYGPPTPPEESANRDYARENVILRSVIDELKIKNENNIHEIKALKNEILENSSKITDLEGKLGIEQVENGKKAVIELDDDVDFDSVCAGTVSVTSNLPNLTDFNAVLGIVTAEPNAYIGRLFCSDII >KZN02360 pep chromosome:ASM162521v1:3:32499524:32499943:1 gene:DCAR_011114 transcript:KZN02360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVHALGKKKEWHDEVNGSNRDYCQDIDDINIEVRISPSTNSELDILKDGQTLSSRSYIQGETEIVEYDGAALSTTGNEVIKQAALRRKIPKFGISEAQKANIKKEQTGAAKRMFANALGYPSGRENSFLKFSGAEHNK >KZN03529 pep chromosome:ASM162521v1:3:45468981:45471912:-1 gene:DCAR_012285 transcript:KZN03529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTQLQELCQKKKWALPRYSCVKEGPDHNPCFTASVVVKGVTFDTTLHSNNIKDAQNDAARLAVDHFIASEPKAETETGQPGIDIHQAGKLQSSEEEFKNDDMDDYKLRLQTYAQRNKLGIPLYLSDKIGPPHAPCFKAKVFVKRIPYESPGSYKTLEEAEIAAAQFALLSFAKDTLQKASSSS >KZN03941 pep chromosome:ASM162521v1:3:50080857:50081841:1 gene:DCAR_012697 transcript:KZN03941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNLPAAAKVIVEEEIGDSLPPGYYFLPSAAQLIEEYLLQKILDLPMASNIVKIMDFVELIDPDQLNLEEFKYCKDKEGYYITKKAERKANEKTIIKTLTGHWKEYKSNIPISNNSQIVGYKSTFTFYQADGTETAWKLNEYTSNPDIVPVDALTDTVRAKIEEYVACRIHIKKVKLAEQVIYNEETVKDEDEDEDGEE >KZN02331 pep chromosome:ASM162521v1:3:32120178:32121548:-1 gene:DCAR_011085 transcript:KZN02331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGALEFRGSTSTPKNGVNRGVSVLDFVLRLVGIIATLASAITMATTYETLPFATRFIRFRAEYNDLPSLTFFVAANSVVMLGLLTGGASAAAAIVYLAHKGNSRANWLAICRQFNSFCERVSGSLIGSFVGISVFVLLIILSAVALPRRSSHH >KZN03292 pep chromosome:ASM162521v1:3:43257783:43258532:1 gene:DCAR_012048 transcript:KZN03292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYQSAGRNQKPRGLRVKQALQFTVMLAICVWLLYQIKPSRNENKNHSLSTWSKLSQEGRTLILGRKGCAVWSSNRSDSESKGAHFVEENDVTDDSGTGNWKSDQVLDKEKAVMENDIDEISTETESGSTLEHLGMDNETMLGHTEVEDGNYSFPDENGIPEELEKDYQKINLDHSSISSTHIGGKMSKRKAVPRQKAMQHKFLSKNKDDGTTEDLKNDIKAGVDSSTLKIMQVKSKYSDDFRAQNTL >KZN02860 pep chromosome:ASM162521v1:3:38133386:38134108:1 gene:DCAR_011616 transcript:KZN02860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGPIFSFPLQTEIQLGWAVGIIIRRANGSLSSEIAGTIPNMNSIKKQLAAIHIGIKRAYEESCKNIIIAIDNLEAFGLLKFQHNGISTEARNIIQQMKILKQDKSWKCKIRYVYPRRNRVATYLALLGADLFFRLFLFFEPLGRAAEFIDMDIGLGFHDPRYQDVPGDEFETELLDQALEEGWGAPNGPGHATQFMNTVGIHGVQHAEIPGEMEIHDLIYEDKIEEQEEGDDPVVMTG >KZN02301 pep chromosome:ASM162521v1:3:31833689:31834435:-1 gene:DCAR_011055 transcript:KZN02301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITKVYRCVHSSTCVCTKGHISEEVIFLLLQHLNWNPKIIASLSRVCKWFDDLAKRVLWKEFCRTRAPKMMLDLQSSGSHSIDGNWRALGKLLIYCSGCTKGGLFNSTHIPGHFAYRTRFSRTSGKSFLLPQCRSDILYVSDPCEHLDQGEEGDVGFFRGVFKSFGVSKVRKMLIGRGAKLHPTEVCPYCKAKLWSMLQAKMIPQSASCRLGAYDDAIEYYVCLNGHVLGICTLLPLSDSEEASELE >KZN03290 pep chromosome:ASM162521v1:3:43237371:43244127:-1 gene:DCAR_012046 transcript:KZN03290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHWLKAAEDLFEVVDRRAKLVVGDKPDEESNSQAPVSNGRGSKAKKTRGKSKPSKKLPSDESSTPAESKVDQTSSETSRSDVASSKDGATQSIDNNDSGPISSTTLNSNEEQQTVKGDDSVVGTISSTISDNEVRHVAEHDEVSGTVANVEIVPPASDGDVVLETPLDGHHGIPSSSLASKSVDISVKDHAADSVENAKDTESTMKMDQEKSQAVSVDAPIMDVDAQLKVSEVKVEARPGQMSHQENKDVSPAKVQEQLDEAQGLLKSAISTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLISERELSKSYEMRIHQLEGDLSSYKTEVTRVESNMAEALAAKNFEIESLVSSMDAIKKQAALSEGNLASLQVWNKMLEDSSKMLDHLKRYLKTIAFIISITTLVERTSIELVHSYHSPQPIIAVGVNAFFKLNPVVTYKYMHSL >KZN01425 pep chromosome:ASM162521v1:3:16090167:16093054:-1 gene:DCAR_010179 transcript:KZN01425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAETPNSNISMKQEPDEQPNSPNTQQKTVISQLLTTGKQKIKNETSCTNSSQSYVCDEGKSIKGYIHTCDNYQQMKDCALADELIVGVPAGDQAYHENIKTEHEDSYAEIQCNVCHNMSNQTLLLQCDLCDSSSHTYCVGLGDTVPEDDWICQNCTEHAEDEQDLKAVGLSGIDSHSGSKNRCHQNVSSTEANLSIHDIVRESGPYNVERSLPNQSRSPLTNAGDDRTVLISCRNRDSRTRALRENWDKIRQGSLSFSSFPIIKPGELSCGTSSATKSSTSDIIPDQATQDIKKAWKMMKAAKSVEKKKYTNTIPCPSNGSKHPLTNTETPKHFPSVRSMLPSSRHSGDKDKDSTNEFKEVARHATYSILAACGIGIPKPWVRPFSNPHCSHADKVDGARRSTLMPSSCRECFMAFVKDVVDTVLLEKTS >KZN02372 pep chromosome:ASM162521v1:3:32751094:32751616:-1 gene:DCAR_011126 transcript:KZN02372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSNPRGESVNRLNPRGNGDNENFVNTQATVVTYLECQKNVAESAGGHAVDGCQEFIGSGGEEGAPSTLICGACGCNRSFHRRLVTVLSE >KZN03321 pep chromosome:ASM162521v1:3:43579280:43580335:-1 gene:DCAR_012077 transcript:KZN03321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAEDNNVIAPFVVKTYQMVNDPTTDNLITWGRANNSFIVVNPLDFSQRLLPVFFKHNNFSSFIRQLNTYGFKKVDPDRWEFANEWFLRGQTQILKNIARKRHNKSGLYPLNKYDEEEEEDLLTEISRLKQEQKILDLEVEGMTKRLEATERRPQQMMAFLYKVVEDPEIISRMMLDKDRTRRIGEKKRRLMTISSSTNSSHSSSEMMATTSLKSEEEEERATVGSMSSSEGSFDGHSPSSETVLPAWLMGQRRQLRMMETQPLVAQAAYNGGGYAGVSPPVDGGGGGDMSFFAGNSSLLPYPFSLLGGEF >KZN03347 pep chromosome:ASM162521v1:3:43874955:43878439:-1 gene:DCAR_012103 transcript:KZN03347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLAQSTVLVTGAAGRTGQIVYKKLKEKPDQYIGRGLVRTEESKEKIGGADDVFVGDIRDADSIVPAVQGIDFLVILTSGVPKMKPGFDPSKGGRPEFYFEEGAYPEQVDWIGQKNQIDAAIAAGVKQIVLVGSMGGTDLSNPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRAGGLQDKEGGIRELLVGKDDELLQTDTRTISRADVAEVCIQALQFEEAKYKAFDLASKPEGTGTPTKDFKALFSNITTRF >KZN00941 pep chromosome:ASM162521v1:3:10124723:10125928:1 gene:DCAR_009695 transcript:KZN00941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRSSPPPENPADDSDHVDAASDDDEQPIESDPQNDVAEEQNDGEQESQEEDDAESEGEGEDEAPADANDQSGEKQVSSQGSESIGKESGSDPDQGSGSESEKSSPSPVPVKPIRSKPMKVLAKKPDPKSDPKPKRKRASVKRGGEAEKSGKGGNKRARVSNGDEKRSGIHRLWSEDDEIVILQGLIDYQLANDEDPYSDLEAFYKFVKDSLHVSVSMKQLSDKIRRLKKKYSVNAEKGDDVVFVKPHEDKSFELSKKIWGGGNGAEEGGKGKSGRKKKDKDVGKTVNNGVKVNGKDDVAVEDDIENGVEADEEDEEGLDFKGMYPYMSKAWESDFSCSQPLKEISMGNFRLVSSEKMRGMEKEWKDTYVKEMQFYVNKLDLKSKHAKVVLDQMINSDP >KZN03376 pep chromosome:ASM162521v1:3:44152695:44154909:-1 gene:DCAR_012132 transcript:KZN03376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVSGEDLIEALREVDWSSPPRPINEFFSRFTFPRSYAKWASRLKCNLYYYRTNYFIMIVLILEDYMTHLEALSYSFAGTFSEKVTRTVRRFSPHLAAKMRPPLTPVIRGRPSAKRAIYICGRPRRVFVSIFSAASSLLWFVSCGLLTVLWAFAIGILATVIHASFRTPNLKARLNTFREEFRAVWRNYSDI >KZN03638 pep chromosome:ASM162521v1:3:46533350:46536888:-1 gene:DCAR_012394 transcript:KZN03638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKRYEEYMQGQHHQKLPGVGFKNLKKILKRCRKQEQEHHKLHLQNLSLESRASSSAQLHDSSSCPDHCSVCDGTFFPSLLNEMSAVVGSFNERAQKLLDLHLASGVQKCFIWFKDKLQGNHVALIQEGKDLVSYALINAIAMRKILKKYDKIHYSNQGQAFKSQVQSMHIEILQSPWLCELMAFHINLRETKTKKRDAPDFFDGCSLEFNDGKPSLSFELLDSVKIDIDLTCPICLDIVFDAVSLTCGHIFCYMCACKAASVTIVDGLKEAQSREKCALCREAGVYEGALHLEELNILLSRSCPEYWEERRQSERAERTKQAKEHWESQCRLFTGV >KZN03241 pep chromosome:ASM162521v1:3:42821276:42823748:-1 gene:DCAR_011997 transcript:KZN03241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAAISPDDIVPSVDDLTDQILEVLNYFRLGAVMCLGAMAGAYIVTLFAIKYRERVLGLILISPLCKAPSWTEWLCNKVMSNLLYFYGMCHLLKQCLLYRYFSEEVRGSPEFPESDIVQACRKLLDEKQGANVLRFLQAINRRPDITEGLKTLKCRTLIFVGDQSPFHSEALHMTGKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMAYGLYRPYQLNGSPRSPLSPSCISAELLSPESMGLKLKPIKTRVPPDSSDDEDRLLVHIQS >KZN02685 pep chromosome:ASM162521v1:3:36000157:36005256:-1 gene:DCAR_011440 transcript:KZN02685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKGSKNRKRLWRVGDPVLAKLTGYPSWPAKVEAPEKYGLPADCEKVFVFFFGTQQIAFINPVDVEAYSEEKKDYLIVNRHTRGSNFHRAVLEIIAYSKKSRNEDKGTNCPLMGEVNMTNGSNSIDSLADSSVKDEGSKAISIDSKKVRYDDDFLVSGASAVDKQDTFRIKEVTPSEDPLCNVNAKEMFLPTGEDNRVEEEQKLFTEKRFMSNRRPRNSSQVNSYKLRNSIQPSSKIIEGGGIGGRYGMRSPSCRRRQTMKSPDVSELKDVGSPDSVFCSTYEKNDSVIGTVGSSSLSFNEGSTMVSGYGLPQTESVVKCTEGDTELSNKLDLPSSAVIVKKKRKPIKKQANSGTNELTGLEKEPASEIEEHKACQTPPSSNKGWDVDYINDDGDEHFPLLKRARARMGLLSSEVEESDSPVHREKSSEVSVMVQGQGPITKVYARRRPKGTEGNSPAESITVSLVHPEKSSEVLYCRILPLKAPLDSEEDSPFERFRSRGMEELDNSLLTNKFPINNPPHQGVSPIIGRPVDVEAALPPSKCILRALEAMSANVAEDVKKPPPVALFDGGLISHEGKQEDLLQHFVGNYQIKNLENYFEENDLAEISTKKSDIVLASAGIIHCSTDDDSDPLLCNLRSNCATTNLSKLDTDKDDNNDGR >KZN00486 pep chromosome:ASM162521v1:3:5730404:5730983:1 gene:DCAR_009240 transcript:KZN00486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRCEEDQGRRENDGHKGVKVSKGCKSKKEGGRKTLLKNKNKAKDVVRITVEGKKTRSFSGYLEKKFTPSIMTDVLLNLSAAQNKWVKAIGFGWLLEFRMLCNVHILGYNVVDAFDSKDCSLNLTAGKVMINEMVVHNVLGLPNGSEEIKFSGAQRISKN >KZN01097 pep chromosome:ASM162521v1:3:11653334:11653951:-1 gene:DCAR_009851 transcript:KZN01097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFSTWIIMDSNHNLNLSSTSSANVITLDGQLRQFPIPLTVSQLLQQIDSVSVSVSESENFICNSDGLYYDEHIQAMDLTEELLSGQIYFVLPNSKLQYPLSASDMAALAVKASTAISSITKNNQPSSKSQIAPIDLSIQSPISQAAAKKNHTSDKQAGSGLGISRCGSMRKIQRYSTRRAKLAARSFRRLTTIHEVSDLQAY >KZN03105 pep chromosome:ASM162521v1:3:41314098:41330016:1 gene:DCAR_011861 transcript:KZN03105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGTGGSAFLRHEHSIDIITPPHPPLPITPSPPHHPRSAPKFPSDIYLSEDERKNYLAICLPLYEAALKGDWQTAQKIISKCPKVINVSITKNYETVLHIVSSTKHAHFVEELLKLMKPEDLDLQNKNRNTALCLAAAAGTVKIVEMMVEVEPNLLMIRGNNNMSPLLMAALFGHKEMVSYLYSRTDNMTGGDWTDTDRIMLLNACISAKLYDVALKLLDKHKKKLALATDKNALHILARNPSEFAGIRQPVYWRLLNKIIPGPRIGPGEKKCQALEIVKVIWGEIVQQKDDDIWDIIRGPQEIVRVEEKDQPNARKQGVSSHSCLQTIYACLVKVYQQIMSEEGVMAGTSTPKSSADIELQPHHSIDITTAQPNFPSSIYVSDREKYQTICLPLYEAALKGDWHAAQGIIGKYPQVINVSITMYHDTALHIASSTKHTHFVEELVKLMQAEDLELQNSYLNTALCLAAAAGTVKIAEILVKKNPNLLTKRGANNMSPLSLAALFRHNGMVSCLYSKSNNMTDDEWTGTDRVMLLQACISANLYDIALKLLHHHKKELALATDKNALHVLARNPSVLEETTQAFFWRLFNPLLFGPKISSDHKKKCQALDILRIIWREVAKLEHDDMLNIIRVPSETGDKCQLLFVAAALGNTRFLVELLRLSPELLWKKDNNEHTIFHVAVLHRQENVYNLIYEIGSGKDIITSSRDSDGNSILHLAAMKPEQSRLHAVSGVALQMQRELLWFKPECKFRLHSDGDFKFILPTKHRRIINSDDVSSLAAKKTRKHRRNFISAGQISDSDDVSGHNRRRDSIARRRDVIVAPLIAIGASVLKSAVARADEKSPESIATVKSAAETPAVVSVEKKKEEVINSRIYDASAIGEPMALGKDKTKVWDKLMNARIVYLGEAEQVPIRDDKDLELEIVKTLRKKCLEAERSISLALEAFPCNLQEQLDKFVVGRITGETLKSFVPHWPPQRWQEYEPLLNYARDNQVGLVACGTPIEVLRTVQAEGIRGLSKADRKKYAPPAGSGFSSGFASISRRSSMDINSFNTTGTFGPSSYLSAQAKVIEDYTMSQNILQAVAAGGTAGMLVVVTGANHVIYGSRGSGLPARISKKMQKNKQVVILLDPERQYIRREGEVPVADFLWYSAARPCSRNCFDRAEIARVMNAAGMRRDALPQDLQRGLDLGLVSPEVLQNFFDLEQYPMISELTHRFQGFRERLLADPKFLTRLAIEESISITTTLLAQYGKRKENFFEEIDYVITDTTRGIVVDFFTVWLPAPTLSFLSYADETNVPDSMEALKGLLGSIPDNAFQKSLAGQDWNLSHRVASVIIGGLKLGGVGVISSIGAVAASNILYTVRKFLNPALANIQMNKRSPIFKSAAVYAAFLGTSANLRYQVIAGIVEHRISDQFSDQALLVNMLSFVTRTINSYWGTQQWIDLARATGLQAKKSQPASDEAVNTANIAALGCNTPEDSNIEDINSTEM >KZN02665 pep chromosome:ASM162521v1:3:35623421:35625029:-1 gene:DCAR_011419 transcript:KZN02665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSKPASSVQEVPKVTAPGPDRPSSVQAFHDGAAAFYATTVASPTLHPYMGRRQLGDQDYANLGLPQEEERVLDEERTEEAFTIHQSQLLKHRTIEDMFFSNNNINQLMIFQTSKSSRCRSKCVRFKQVRFKEASNVSDSKKRNSVL >KZN03141 pep chromosome:ASM162521v1:3:41679070:41681468:1 gene:DCAR_011897 transcript:KZN03141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINSSICPKFLEASPHPKLQTRTFCTKIQNFDLKCILQTRRVALQLTTQKVHGSTSIKRQISEPTPDCETWQQSQTQVLVDLLHDCAKNRSIRDSGAVHGYILRREVPGEDLLVLLNHVAYSYSKCSDFSVAKLVFDNMPQRNTFSWTVMISGSTENGFLSDGIKYFSKMQENGMLPDKFTYSAIIQLCIGIECIDCGKSVHAQIVKRGFASQTFVSTSLLNMYAKLGQIEDSCRVFNNMEEHSEVSWAALISGLTANNLYYEAFSSFLTMRKEGVAPNTFTVTSVLKAIGMLGDVDKGKQVHKYVSESGMLSNVHVGTALIDMYSKCGSLHDAKSVFNNFIDSGLNIPWNAMISGYSLSKCNEEALRLYVEMCQNNIKSDIYTYCSVFNTISDLRYLWFGKQVHGLIVKSGCHVTVLSVINAIADAYSKCGSIEDVRKIFDRMEDRDIVSWTTLVNAYSQCSEWRESLAIFLQMREQGFAPNQFTYPVVLASCANLCFLEYGQQVHGLLHKSGFDTDSCVESALIDMYSMIWGHAQHGSCAYALELFRKMEEMDIEANAVTLLCVLFACSHGGMVEEGLHYFESMEGTYGIVPEMEHYACIVDLLGRVGRLDDALEFIKSMPVKPNEMVWQSLLGACRIYGDVELGNVAAEKILAILPDCSSTYVLLSNTYMEAGNPKGGISLRNMMKERGVKKEPGCSWISVKDRVHKFYARDEGHPQKDDIYVQLEVLSKGIKDMGYVPCLRYALQA >KZN01046 pep chromosome:ASM162521v1:3:11112375:11116017:-1 gene:DCAR_009800 transcript:KZN01046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLNPYNPINSVDTTTYDWNCRFRLQSFWKVVGREKQEFWGINMVLIDDSNARIHAFANSKYCDNLLKEIKEGEVYVMSNFKVKDYLGDEKYRAVRNKKHIFFTPHTQFKKCEKVGLQIEKYAFDLFHYDEIEKLADDNRFLIDMVGKVKNVQELIKITKDEEEKTLFKFQISNGSSTVPVTFFDQFGQNVEKQFANYDSNNLYVIISFAKVGRYEGMPHLSNYPATRVFVNPKHYSVQELKRRRAVGVCCLASSCNENGQQVRTQLDKLHFEADTARAKANRARSRLIRLSEAAEMLQRQALTCIRSGRENDARDLLVRKKKVMQALENSKRRIDLLDELSAKLNEAISLKENQLVNAVTADVNVGKEVSSHDIHIVPPKEEIIVDTVESEGLDMNFLELRNESLSQVHPDCQAEQSSTSDTKDPEGTLIMNRQSETTSGSSLREISSYENFLEHLDQQLNNIEEELDTFLRFSNIILEGEGTSINLKLQQAGEILEGVSQIRKRIRAMKQSAVEIK >KZN02800 pep chromosome:ASM162521v1:3:37444820:37446998:1 gene:DCAR_011556 transcript:KZN02800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSTQTLSWLTAAMPSTAISREKARLLAPVILLVLALLLRLTPAFLDVFILPLPASATTSTGTNTNTPTINSPATTTTTTPIGGSPYVTTPGNGVLGGVGSGLGPSGLGYNTDVSHGGVTLQQDLSISGLSTATMLTMLGLFCA >KZN00869 pep chromosome:ASM162521v1:3:9408701:9419813:1 gene:DCAR_009623 transcript:KZN00869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSDDGSVDGEVERERDAFSYGVQQRKRMLRPRGSPLANRDPRSKSRAQENEGSLAKHDASVVTYKVGECLQELTKLWKEYESSQADKSSASPPNGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPSASPPPSAIQELRAVIRILPPQACYISTLRNNVRSRAWGAAIGCSYRVERCWIVKKGGGTIDLEPCLTHTSTVEPTLAPVVVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISAVADKGLKKPFFTSARLKMGEVLYLESHYCRYELCFSGEKMVKTAIAGLASPAEAERSQSHYSNGANGEKSSTDGDNGIVDVFRWSRCKKPLPQPMMLSIGIPLPLDHVEILEDNLEWEDIEWSQTGVWIAGKEYPLARVHFLSPNK >KZN00972 pep chromosome:ASM162521v1:3:10416230:10419410:-1 gene:DCAR_009726 transcript:KZN00972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISFSTLCSPTPPSLLSKSPRKTRLLASHRTNSLPLDSSLKPRLDFKLTNRFSEKNSKLSLGRNLGVLVKAENGDKGVGEEGENEARGLSTTPERFRYLMQEAPDPPVRWPYFIALGFLVYAWRTVLWELYNWKKAATGIFQFLGDLSKLALALVFHFIGDPVTSVIRFFEDSFYNLRALYSWVVACTPVSEFTTIIILVSAVCAIAEATNSEAVSSQPYLLTVAGITGFAAVRGVISELFFWTLLTGLFGFARFVKKRDYVSAALPAAAALAAVGEPWVRVIVIALYLTLAIVSHSKKPLEEREVEATTTGRKVPIPLLCAALAIGIRVAAKWAGYRHLTWMIV >KZN00887 pep chromosome:ASM162521v1:3:9584220:9585287:1 gene:DCAR_009641 transcript:KZN00887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSFPTEHPPPQSHSGVPRTLPLSRKRTRGSILQLHPNQNHLDFTSFLGEDISLMMQIQQREIHDFIAHHVEKLRSEVEEHQDVSRRRIRAAVEGVIVKKLRAKEEEMEKIVNLNRVLHERVKSLSIENQMWRDLAQTNEATVTTLRTNIEQVMRHRARGIDSAESCCSSNGEGQEEYHAGNWCRKCGKEESCVLLLPCRHLCVCSVCGLGLNICPVCRSTKTASVVVNNC >KZN03648 pep chromosome:ASM162521v1:3:46610902:46617231:-1 gene:DCAR_012404 transcript:KZN03648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTRLLTHLKPYNSPPSNYTHHLISIIQPPLHNHSLSPSPNPNFTLPKRWHGGHSHHDHRRRSEEGEKIFRLGLAADIGLAAGKALTGYVSGSTAIIADAAHSLSDVVLSGVALLSYKAAMVPKDKEHPYGHGKFESLGALGISSVLLATGGAIAWHAVDILAGLLVAAPQIIDPSAYPHMQSHSSGGHHHGIDMSHPVLALSTMIVSISVKEGLYWITKRAGEKSGSGLMKANAWHHRADAVSSIVALIGAYSLASGGSIIGVKFLDPLAGLVVSGMILKAGLETGYASVLELVDAAVPAQLLDPYRQTIKQVEEGCNHLRGRRAGSSLHLDVDPFSSVSAAHEIEENVRRAILESHPEVAEVFIHIEPWLSNITLDVKDQQSDMKGAYHSKSNVSSNDKDIEDIIYGLLAAKYAEQMVVERITSHILQGKTLLQVEVSMPPDVLIRDAIKLAKDAQGHIKPAVSDAVQVCFQLQLGSAIIESRNV >KZN01948 pep chromosome:ASM162521v1:3:27338691:27338999:-1 gene:DCAR_010702 transcript:KZN01948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSKSGTINKSLIAAIALAVMIAQVNLAVAVNCNIASLAPCLPVILNPSLQPTAECCTNLVAQEPCFCIFIKDPRFKGYVNSPGAKKLQAACGVQPPKCS >KZN01062 pep chromosome:ASM162521v1:3:11275367:11275774:1 gene:DCAR_009816 transcript:KZN01062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNISSPMDLIRIGFRLRDIPLCHASLDDMQKAADSLSQFLLRHGYTTSNVTASIVQLIITQFATQGGSNSVPSQIDQNIIVTSMNQSPVTAESSQQEHTEFAENHGPVDNQLYDRTDEMIHQEDNDTDDEESG >KZN01308 pep chromosome:ASM162521v1:3:14283235:14284278:1 gene:DCAR_010062 transcript:KZN01308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRRRRVGEGKWRRKRVDSSEHSVQDSGFELAWREVHSLNDEEKQKLKISVLRYWFLLDYELKETIEKDNISLARVAIERIKFLRKFVDAELLDGALKGDEQSLEDIQSSLLHQGLCEKADKLKISEVLDPIASEKSESEKKDLIDFIWFHRDLVHSYVLNQLSSKDDESINLALRHIHYGSLQRSREEKKKHNEAQDMEAEKSKLDFKKVLLQPAFPKPSKPVNGGTPSSSKGLIPSKSVFVYNLPIEAKVQELWKVLKAWGKILDITLPIKKDKFGRRFGFVLLKSTDEAENFIRNSNGKVIKGNQIKTQFARNMRTRDKKISSPTKVESNGHTGESKLDRGT >KZN02503 pep chromosome:ASM162521v1:3:34048765:34051606:1 gene:DCAR_011257 transcript:KZN02503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDADGSSRIILSEPTGSTAEVHLFGGQVTSWKNGQREELLYMSSKSVRKPPKGMRGGIPMCFPQIGNLGLLEQHGFARNRLWSVDKDPSPLPPASNQSTVDLISKSTEEDLKTWPHRFELRLRISITAGKLTLVPRVRNVDSKAFSFMFALRNYFSVSDISEVRVEGLETLDYLDNLQQGDRYTEQADAITFDGEIDRVYLSTPTKIAIIDHEKKRTIVLRKEGMVDAAVWNPWDKKAKALPDIGDEDYKTMLCVDSAAIETQIVLKPFEEWKGRQELSTVSSSYCSGQLDPRKVLHGLR >KZN00380 pep chromosome:ASM162521v1:3:4764828:4766369:1 gene:DCAR_009134 transcript:KZN00380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITDELYSDILEQSSAVMGSKSTSVANHLNSSDCLGDVLWNEDGSSWNGSDNDVEKPSDMDREWWRRRDQFHTIGYRDGLIAGKEASAQEGFNTGFSESVFVGYKWGLVRGVTSALASLPGAAGKMLVKSEEKQNKFLQLHKSVDSISTSDALKLFRDDKCNKLEKERAPAVPTSQKDKEPVAGSNDNLLETLFEDIEALIFGSPALEVNLETSK >KZN02587 pep chromosome:ASM162521v1:3:34823606:34824627:1 gene:DCAR_011341 transcript:KZN02587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRREKLEVKLLEFYCLKQQHIDEGAHLRKYLKSKILEAHMLNATKTSLQFDKERLEEGIKQNRLAEKQLESATASLKEIQGQKCVESAQFKWQLLLLKEQLLGFGIEEKGIKNVELELEAIELKRKKIELQLDMRELGVNLVSAQTSLPVFSKFSESHIIGELKEEKRRLMLSKEAFLDNIEKLQMNRFDMVQELVYNRWLNACLGFEIQESLTPVKQNWNRLSFESQKHQSFSQLKVMK >KZN03427 pep chromosome:ASM162521v1:3:44473243:44477071:-1 gene:DCAR_012183 transcript:KZN03427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDDGGNGSGQNGGGDGGNGSVVAKPKAKGGGGEGGNGEGEDPEQVKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGTNDEKVLVLAATNTPYALDQAIRRRFDKRIYIPLPEGKARQHMFKVHLGDTPHDLTESDFEILGHKTEGFSGSDISVCVKDVLFEPVRKTQDAMFFVGLNDGTWVPCGPKQRGAVQTTMQDLAARGLAAKIVPPPISRTDFDKVLARQRPTVSKSDLDVHERFTTEFGEEG >KZN03356 pep chromosome:ASM162521v1:3:43928036:43930467:1 gene:DCAR_012112 transcript:KZN03356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGPNWDGLLKWSLANSDGTKPTRNLSEEDRKWFMEAMQAQTIDVVKRMKEITLVMQTPEEVLQEHGVTPTDIEDMLDELQEHVEAIDMANDLHSIGGLGPLLNYLRNSHAAIRAKAADVVSTIVQNNPKSQQLVMEANGLEPLLSNFSSDPDITVRTQALGAISSLIRNNRPGVAAFRLANGYASLRDALSSDSVRFQRKALSLIQYLMQENYADSNVITELGFPRTMMHLASSEDAQVREAALSGLLELAKHKTDESGSSMSDDDTKLKQILQDRIKSISLMSPEDLGAAKEERQLVDSLWSAYFNEPSSLRQKGLLVLPGEDAQAPDVASKHFEPALRAWAANSLAGVTGSSSEKKEAPLLLGLGPPSGN >KZN03803 pep chromosome:ASM162521v1:3:48323508:48324626:-1 gene:DCAR_012559 transcript:KZN03803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGYKSTSRNTPSFMSLPVELQSEIFLRLPLTSLWTCKRVCKAFRTLIENPNFEHIHASTSDSLLLQINHETWGLVSIDCTTKDVISYVSLIPRIPYVGTLSLVGSCNGLVCFVVRIENQHEDDVLLLWNPFTEQSRFIPMPDFDDYLHEQTMEFYFVPETNDYVVLSIGFFKYYKPDQINVAVYRMRRKAWEIADQIQLGHRFNCYEVLNDYRDRERGTSVFLNGCFHWMFSSIAESSEIVSFNVRDGVLRRIWCIDIDNDWIDPCTIGIIDESLALLCRNCYNDDLEIYVMSDYEVNDSWVLKYKRGPDDVLLNSFRENSGMTYDSRHSMYFCNMKDLKKKIMKVISPEGSCLSGFSSFSLPRVLQLAR >KZN00211 pep chromosome:ASM162521v1:3:3115373:3125956:-1 gene:DCAR_008965 transcript:KZN00211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLTVSPMGFGTWAWGNQLLWGYQESMDDELQQIFNLAVENGVNLFDTADSYGTGKLNGQSEKLLGKFIREYQGRKQEADDVVIATKFAAYPWRLTPGQFVNACKGSLDRMQIEQIGIGQLHWSTANYAPLQEKALWDGLVAMYDKGLVQAVGVSNYGPKQLLKIYEYLQARGVPLCSAQVQFSLLSMGEDQMEIKTICDSLGIRLISYSPLGLGMLTGKYTPSTLPSGPRGLLFKQIIPGLEPLLDSLGKIAQRRRKTIPQVAINWCISKGTVPIPGVKTLKQARENLGALGWYLSSDEVLELESAARESPRKMIQNVFQTSYDLNSSKEERDNLRTKRRKEYEKLRRHCRRLLKLNNTKLKLTETGRTSNSEEGGHVIQGRDSADSEDVVSARESLSSEDISPSVEYLDDFSHAALNGCDGSKRITDPITSGTESSDSDSSVDVGITFSSECNEENDPEMPSKDSPNMENQSKTSTSEDFATWQRIIRLDAIRANEEWVPYSPSQAAVSEERARRAAEVVGLKDYDHLEPYKIYHAARLVAILEAYALYDPEIGYCQGMSDLLSPIITVMTEDHEAFWCFVGFMKKARHNFRLDEVGIRGQLERVSKIIKFKDSHLFKHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQQAPPTEDLLLYAVAASVLKKRKLIIEKYSSMDEIVRECNGMAGHLDVWKLLDDAHNLVVTLHNKIENPLPDID >KZN02566 pep chromosome:ASM162521v1:3:34664891:34665226:1 gene:DCAR_011320 transcript:KZN02566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVEHYYTTFDMDRSGLSNLYQDNSMLTFEGQKIQGNANIVAKLVSLPFTQCKHTITTVDCQPSGPAAGMLVFVSGTLQLAGEQHGLKFSQVLSLSLPLSLSR >KZN02695 pep chromosome:ASM162521v1:3:36110616:36111344:1 gene:DCAR_011450 transcript:KZN02695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPNRISNGSSLSTASTPPSPPPPPTPAHNTLIQPSATKKRRKPKVFRAIKSVFRTFPIMPPTCKFPSLPGGRLPDSGQRVCGTLFGYRKGRVSLSIQENPRTLPSLVIELGMQTNVLQKEMNNGMVRIALECEKRIEKDRTKLMDEPLWSFFCNSKSYGYGRKREATDEDLHVMELLKAVSMGAGVLPAANSDMNGPDNEMAYLRAHFDRVVGSRDSETLYMLSPDGNNGPELSIFFVRI >KZN03935 pep chromosome:ASM162521v1:3:50021519:50034138:1 gene:DCAR_012691 transcript:KZN03935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLCRLLSELGYQEDSKGGVVDPDSLEWPFQYDEARPILDWLCSSLRPSNVLSPSELNQYEQFLQQGKMLEGEDLDFAYESIAAFSTRRDNQEAVFGAEEGVKDIRDATSALKAEALDLQRQLKHLQSQYDMLSGQASTLIQGRRARVAATSTVNGQLTNIDDSLSARNLEMNAVLGRIASTTQELSHYHSGEENGIYLSYSDFHPYLLVDTSYVKELNQWFTKKLDTGPFRLVAEEGKSKCSRVSLDDISNTLVQADMEKSHHQRVSELQRLRSIFGTSERQWVEAQVQNAKQQAILTALKAQVTSDEAHIHLDIHSLRRKHAELSGEITNLYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFIGHLTNQLARHQFLKIACQLEKKTMLGAFSLLKVIEMELQGYLSATKGRVGRCMALIQAASDVQEQGAVDDRDTFLHGVRDLLSIHSNAQASLSTYVSAPGIAQQISGLHSDLMILQSDLEHALPEDRNRCINELCTLIQSLQQLLFASSTTAQPNLSPRILMKELNEMEKVNAKLSAAVEEVTLEHCKKSEIVKHHSQEMALQRRVFVDFFCNPDRLRNQVRELTARVRALQAS >KZN00662 pep chromosome:ASM162521v1:3:7425367:7425873:1 gene:DCAR_009416 transcript:KZN00662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEEGSDFARALQLSSGTILGMVTTAATQLDLFEIIAKASTANGTSPFGHDAKRLSADDIVAHLPTRNPTAPAMLERILRFLAAKSILNRIVVTGENGEEKSLYGLTSVCKYYVSDEDGVSLAPTLVMLHDKVMVDSWYVRLILLTTAASCRPQKYIVRKIQNELA >KZN01400 pep chromosome:ASM162521v1:3:15541161:15550287:-1 gene:DCAR_010154 transcript:KZN01400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLKTNEKYSNTVGNRKYPHQGSRKGYIGLQEEEIREGRLEPDEVPDRAILGKKARVPKHGMIDPKLAKLHQKIKRKRITKEELLAHDEKMKEEFEQKTKDLEIQIAELRALIAASNIHSPILSDKASCPGEKEEEQEQQKNDENCFAIDPPPPPPEQKDTFEVTPESQKRILQSAGAKWRNFKAKLTAEHVLPYIGQKKKLSKPPKQYAFVGKKAWRRYKTNEKYSNTVGNRKYPHQGSRKGYIGLQEEEIREGRLEPDEVPDRAILGKKARVPKHGMIDPKLAKLHQKIKRKRITKEELLAHDEKMKEEFEQKTKDLEIQIAELRALIAASNIHSPILSDKASCPGEKEEEQEQQKNDENCFAIDPPPPPPEQKKKKKPQSEVQRVHALFNSVKPKEDVPPRFRVLYKFAKTVMKESGNSIPVPCDVDIFGIERTIYLLDESVLSLLEFKMIGQAVISTYMTYLYSMFRDTPGRDLSTSFSYLHPSAYNLNDEFEAYVVQRLKDGVLQMNFLPFNYSSHWILIVIWESEIYLLNSLPHYPHPEQLEKALKRAVRSYNAQEGRVNKNPKIKKLVKKKKPQSEVQRVHALFNSVKPKEDVPPRFRVLYKFAKTVMKESGNSIPVPCDVDIFGIERTIYLLDESVLSLLEFKMIGQAVISTYMTYLYSMFRDTPGRDLSTSFSYLHPSAYNLNDEFEAYVVQRLKDGVLQMNFLPFNYSSHWILIVIWESEIYLLNSLPHYPHPEQLEKALKRAVRSYNAQEGRVNKNPKIKKLVVSI >KZN01407 pep chromosome:ASM162521v1:3:15738399:15741584:-1 gene:DCAR_010161 transcript:KZN01407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDALQGARTFYRIPDLGTVKSYVLSNKDMCDKIQFEAEQLLLVFHGKGNCRKDNPYSLFPAKSDSSIFIICICHLHKYACWKYDGTVVTYFSNKLFTMYGQENFAPQFRQGLQMYIPSAQQDRPPYTSTSFQTSTITSQAYMVHLGYSSVTNQLSQPDSNIYAHLSPFPVPPSAILPPVLHLNGSTKLMQSFPSAQQDPQWTQNVYQIPSHIPQSSPLSPGQGSSRGLVWLPSHAGVRGVQPTSQPYLPLSSPMMYAHALGPVAYTSSALVPSLPSLPPQVPAPLPPSSLLGAPYACVMNHSAILLPSTHINQFSFNPSSRPGLLDSMRNTGVSLLNTDSDVDMEECCIVHKLDI >KZN02607 pep chromosome:ASM162521v1:3:35031633:35032685:1 gene:DCAR_011361 transcript:KZN02607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLLFIFICYHRIKTRHESSSYPKDVEAFIRNYASSKPCRFRYATLKKITTSFKYELGKGGYGNVYKGRLTDGRVVAVKVLNETKGNGEEFINEVASIGRTSHVNVVTLLGYVYEGKKRALIYEYMPNGSLEKFIHGTTPSLKGQILSWEKLYNIAIGIARGLEYLHCGCNTQILHFDIKPHNILLDKDFCPKISDFGLAKLYNREKSILSSIMHARGTIGYIAPEVISRNFGPVSHKSDVYSYGMMILEMVGGRKNVDARAARTSEIYFPRWVYKHIHDNEETSSADNITEEENHVVRKMMIVGLWCIQTNPAHRPSISKVMEMFEGDSAALEMPPKPYMCSPPSSP >KZN03260 pep chromosome:ASM162521v1:3:42963742:42963975:-1 gene:DCAR_012016 transcript:KZN03260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPSSTQGYKEFQTEASFLMSVHHKNLSSLVGYCNEDVNMGIIYKYMTNRL >KZN03418 pep chromosome:ASM162521v1:3:44407624:44410876:-1 gene:DCAR_012174 transcript:KZN03418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATGSGKSRLAIDLSTYFPIEIISADSMQVYQGLDVLTNKVPLHEQKGVPHHLLGTVSPDAEFTVKDFRDKAIPLIDDILSRNHLPVIVGGTNYYIQSLVSPFLLDESTENMDESVSRELSGDKQNDLELEFQRDTLSHTLSHRYDRLQELDPAAANRIHPNDHRKINQYLSLYTRSGVLPSKLLRGKAMENWGRVDNFRYNCCFLCVDASIPVLDEYVGQRVDCMVAAGLLNEVYDIYSLNMDYTRGLRQAIGVREFEEFLRVYLFEDQNDNASEIMQKMSRKKSNNIWKEDIGAILNSQMDNPLKTLLADSIENVKANTRRLVRRQKRRLNRLQALFGWDIHFVDATDSLLCFSDASWFKNVVDPSALIISSYLNKDKSLLPDSAASNGIKGSKMIQRDLWTQHICKPCGNRVLRGEYEWEQHVQGRGHRKRIYSLRKSGILCSEEKKEAE >KZN01943 pep chromosome:ASM162521v1:3:27291205:27291507:1 gene:DCAR_010697 transcript:KZN01943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGDIDDILQSPAEVVEDKISTLIFMACCSKVFICMFLMLHLHLLVPRLEARPLELHRQQKNITEPFLNLFAKRKLIAYGETLAAEPMRISPGGPDPKHH >KZN03194 pep chromosome:ASM162521v1:3:42308640:42314109:1 gene:DCAR_011950 transcript:KZN03194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSLLLSSCSFFFVLVFLYTRFSTSKTPLKNPPPSPPKLPIIGNLHQINQDPHLALRSLAQKYGPVMQLHFGSVPVLVVSSADAAKEIMKTHDLAFANRPDSTIWSRIFYNGKDVSFAPYSEYWRQVKMICVTQLLSNKRVRSFHNVREQEVALLVQNIKDSRSKIINLSELFSTHLGNVVSRVVLGRKYAMTTKDGVENSFRELFQNIAQLIGYFSFGDYIPWLFWVDSLTGLKGRIEKAFFEADAFLEGVVRDHSVALDNGASSDDLLYNLLEIQKQDSNPDFSIDNESIKGLILNMFFDGTDSTSIVLEWTMAALLQNPNVMCKLQNEVREIGRGKATISEDDLEKMHYLKAVIKESTRIYTPLPLLVARQAMQDAKVNQDPHIALRSLARKYGPVMQLQFGSVPVLVVSSADAAKEIMKTHDLAFANRPKSSIWDRIFYNGKDVVFAPYSEYWRQVKSICVLQLLSNKRVRSFQTVREEEVALLVENIRESGSKAVNLSELFYALLSNVVSRIALGRKYAITTEGGKGNSFKELFQNIAQLIGYFSVGDYIPWLFWIDSVSGLKGRVEKAASEADLFLESVIKDHSIALDNGASSDDLLYNLLEIQKQETNSAFSIDKDSIKGVILNMFFDGTDTTSAVLEWTMAALIKHPDVMCKLKNEVREIGRGKSRINGDDLENMHYLKAVVKESMRLYTPVPLLVAREAMQDVKVMGYDVKAGTQVLINAWAIATDPAMWDNPEEFIPERFLNNPVDYKGLHFEFIPFGAGRRGCPGIQYAMAINELAVANLVHIFDFALPDGRRLEDLDLTSETGMTLHKKSPLLVIATSRV >KZN01644 pep chromosome:ASM162521v1:3:22340066:22344257:-1 gene:DCAR_010398 transcript:KZN01644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMQRHQWLLSWCPYNILPPPPPAHLSQTPRKPRFLSVSASTTIVSETLSARERRQMRNERRESKLTGTGWREQVEDKLLVKPKKRYKSWTEELNLDTLSDLGPQWWIIRVSRISGQETAERVARALIRKFPEIEFKVYAPAVHIKRKLKNGTISNKPKPIFPGCVFLNCVLNKDVHDFIRECEGVGGFIGSKGPAEVGLMSLVSTVCSSEVKCANSLKVLLLINSMQDKLQTYNIVIFQECKNKKQINKPRPVSADDIEAIFRQAKEEQEKTDRAWEEEQERERILNEEQLKKSSEVDSKEVKVISKSKKRSKKASEALVTGKKDKLLVPGATVRVLSGSFAEFKGALKKLDQKTGMVTVGFTLFGKETLADLNVSEVVVETE >KZN02435 pep chromosome:ASM162521v1:3:33480549:33486449:1 gene:DCAR_011189 transcript:KZN02435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSYIGGSSNPRRGWAHSLLPSTKSSKHRKTRRRAAVKDFLTANFFAVGLSVSLLLFISIIYKYGVPRPLSSPFVSDASGRGGIVRLKSKVAYRKPVDHGGGVASVDITTKELYDKIEFSDVDGGPWKQGWRVTYEGNEWDSEKLKVFVVPHSHNDPGWKATVEEYYDRQSRHILDTIVDTLTKDKRRKFIWEEMSYLERWWKDAPNDKKEDFTNLVQSGQLEIVGGGWVMNDEANSHYFAIIEQIMEGNMWLHDTIGVIPKNSWAIDPFGYSSTMAYLLRRMGFENMLIQRTHYELKKELALHKNLEYVWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAVCCQFDFARMHAFVYEQCPWRENPVETKPENVRDRALKLLDQYKKKSTLYRTNTLLVPLGDDFRYVTIDEAEAQFRNYQLIFDYINSDPSLNAEAKFGTLEDYFRTLREETDRINYSRPGEAGSSQIGGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRSAEMMMAFLLGNCQRALCEKFPTAFSYKLTAARRNLALFQHHDGVTGTAKDHVVQDYGIRMHTSLEDLHIFMSKAIEVLLGIRQERNDQFPSLFEPATVRSKYDAQPVHKALGAREGTVQSVVLFNSLEQTSNEIVMVTVERPDVTVLDSNWTCVKSQISPELQHDSSNMFTGRHRVHWKASIPAMGLQTYYIANGFVGCEKAIPARLKVHGSDHLPCLAPYTCSKVEGDTIEIGNHRQTLTFDVKLGLLQQVTYKDGTKSVIGEEISMYSSSGSGAYLFKPNGDAKPIIQVNGHMAVIEGPFMQEVYSYPKTEWEKAPISHSTRIYNGDDTIQQFFIEKEYHVELVEYQFNDKELIVRYNTDIDNQGIFYTDLNGFQMSRRETYDKIPLQGNYYPMPALAFMQGSKGQRFSVHTRQSLGVASLKNGWLEIMLDRRLTRDDGRGLGQGVLDNHPMNVVFHILLESNISTSNPTSDPHPLNPSLLSHRVGSHLNYPLNAFIAKKPQEVSVQPPPRFFSPLTASLPCDLHIVSFKVPQPIKYSQQLFEDPRFAIIFQRQQWDTSYCRTARSDCVSVADEPVNLFNMFKGLVVLNAKATSLNLLHEDTEMLGYGEQSEDIAQDGHVLIAPMELQAYKLDLRPNQ >KZN02748 pep chromosome:ASM162521v1:3:36863641:36864756:1 gene:DCAR_011503 transcript:KZN02748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELDPAPAPAPAAGADPLSKAYGSLNEVSPDLSVLHDLACRGSWLTILDKVIQARPHLSKPHHHLTYFSYNLLSLIKLRRFSDALNQLDSLDHSLDSPNYLYQTYPKHYPNQCGSMVPFILRVLHAELPAKVSGNSRQETLDRLYVLLEFVRGKLVSDILSSTSRALWEKRECFVINSIISHHLSLKQYGVCLDLIKYLIQKSESDGEGDDHKLDHRMGLLSKLGYIQMQYGDLDGAKATFGLIENQVDDRVELRNLVGRNKALIYLVGKDYVSAVREYDECIERDGNDVVAINNKALCLMYLRDLSDSIKVLESSLERIPTMALNETLVVNLCSMYELAYVNHADIKKTLSNWIARVAPDDFDSTCTRV >KZN01912 pep chromosome:ASM162521v1:3:26802330:26804102:-1 gene:DCAR_010666 transcript:KZN01912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFSGGYSDFSISGSMNTRSVNDPRRPYMSNLAGMDSDVSSQMFNRRPEPTGKRSLSEFQQHFQQQQQLALYLRNVKQRASYQQNASPISPLSPPVDFYGHSQSPDISSLSSNSAYRIPIFQQLRQHQQQQQQRQQHQHVNNMILSAKPEAPAEKNMMHRLQELEKALLDDDEDQNDVVAATSVVTNSEWSDTIQRLIGTDHTTVSPSPASSSSSCSSPSIICAKQSLTEAATAISEGKLDIANEILTRVSKVSNKQGTAEERLMAYMCSALKTRLNQTENPQDLYGKDHMASIQMLYEVSPCFKLGFMAANLAILEALPDDENNVNLHVIDFDIGQAGQYIHLLHALAAKRTANRVIALKITTFLDYATDLKAVGDGLKVLASKVGVNLSFRVMNLNINDLNIGSFGLEVGEILAVNFAFRLYKLPDESVSTENLRDELLRRVKNLSPKVVTLVEQEMNANTASFVARVNETCGYYGALFESLDATVPRNKPERVKIEEGLSRKMSNSVACEGRDRVERCEVLGKWRARMRMAGFEEKRLSQKLGESLRVKLNSGTRVNPGFTIKEEAGGISFGWMGRTLTVASAWR >KZN03116 pep chromosome:ASM162521v1:3:41402976:41403377:1 gene:DCAR_011872 transcript:KZN03116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTQAILILLLISAVLIASPAAGLGGSGAVGGRTEIPDVESNEEIQQLGEYSVEQYNQQHHNGDGGDSTDSAGDLKFVKVVAAEKQVVAGIKYYLKIVAAKGGHKKKFDAEIVVQAWKKTKQLMSFAPSHN >KZN03835 pep chromosome:ASM162521v1:3:48840908:48844619:1 gene:DCAR_012591 transcript:KZN03835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENILGLLDNSGGGIGREVPSGGSTTGSMSTVDNLVFSSEVSSCYPDENNDSDELELGLGLSLGGGGAAAPLNKSLKAPSWCGNGQYARILTAKDLPCLVSKSSSSSSPSTIPKANHKRIAADSVSPPNGAASSQVVGWPPVRAYRMNSLNQAKLSITEDFSSIVDKSENAVVNKDKNSCNKQGNDTMDKGPSKTSLFVKVNMDGTAIGRKVDLSAHNCYETLARTLEDMFCRSKTANTKSSMEGHNILVEAGGSSKLLVGSSEYVLTYEDKEGDWMLVGDVPWRMFVSSVKRLRIMRTSDANGLAPKIQERHGRQRARAI >KZN00110 pep chromosome:ASM162521v1:3:2018605:2019514:-1 gene:DCAR_008864 transcript:KZN00110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKGSSSTQGSESNGKKKPATVIPAKKKSVKKMMAETMVGCVTSTINNNNNRINPENAKINIREPGSELGFFALRETVSEVSVEEERRANAQGHEELASDEFGVGWWVHAFMVVEGEGVM >KZN01605 pep chromosome:ASM162521v1:3:21634301:21637583:-1 gene:DCAR_010359 transcript:KZN01605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDGCEIQYPEVVHRSQICCPVPVSISDGGWKFVNFISLAFPTEDKVAPPRSFMRMFGSVIPEWFIYKTKDNGIFGGHYEFLERKLSGMRRVCEVLRLVDFSLLELMVFTYDGGRVFKLNLFDGHNVEIGLDVNVVVSGSLASTLRQCLHFKIEVMPSHMLRYCHGVCVPTQFQRLTASWKKKDKIRVYRGGMSWEFEIRKRRPGNQTAIHGGWIEFRQEIELKKIPRDFVRKYGSRLEDSLLLKFRNGYEIAVVCKNERGSLLGVFSIFEDFGLEGGEMLLFEYNGVRDFNVFVMGKDLTEIIYPNIVHFTQNRRPRTVSMRNGGLKYVHFVKEEEPLYDEFEPPFSFKERCGMLQGYHTFLFSNGKKTVGQYNHENGKYQGLAKICSILGLQNFGKFNLVLFSYEEMYVTTVSVFDDHFLEVFLPGTPLSSGK >KZN02068 pep chromosome:ASM162521v1:3:29141712:29141942:1 gene:DCAR_010822 transcript:KZN02068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKYRSCSSGHVLWFDYKDTMSTTSYSLERWCIEEIKDYVETTNEVSFIVSLHECLKELKKKEIGSTREYKSSKMS >KZN00347 pep chromosome:ASM162521v1:3:4393662:4394725:1 gene:DCAR_009101 transcript:KZN00347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGNTNLSGVLVPDLGKLQYLQYLELYKNNIHGTIPNELGNLKNLISLDLYNNNLTGIIPPTLGKLQSLIFLRLSNNRLVGRIPRELVNVSTLKVVDVSSNDLCGTIPTKGPFQHIPLSNFANNPRLEGPELEGLARYDTNCI >KZN00804 pep chromosome:ASM162521v1:3:8857573:8861881:1 gene:DCAR_009558 transcript:KZN00804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIDNPSSDSTDPLQLNSLLSFCHRTFKDMPAPNDSDDFDSVHNFLKLKGLNKNEELFDDAKAVLGSELARRPGLGLKRARPSFCLRPDKGPIVSLETTLDIDKLQDPDEFFSAFYRQEFAREEIERQTGGTMTGKNENNPPAKQRRRRPSLFGTKASYKHKYSLAIPEDDGTIASQEISEQDNLNSSNFVSQEHYVASQEGELEQANLSPLKFGSQKHSVESQKGESGPVSNSENVTGGILDELLSQDIEDLDGDGALKLLQEHLQIKPVDLDKCLHDFSDAGNIDIMDLEEEMPVKRKALLDIQNIVDGSIKENPASRKRLNRNESHSPMYSTLPKSPSAASSLFGEGKSSSKPFHVPFSAADLDLSPAKSPTHEHFNGQSDNLCTMNEPSVHGDFNLQADAEAKNHACATPGSKPVMPGDSCSEKSASIDFTTRTEDSHDGLVDKNEGNHVGEILNETVSSAQPDIGNAELARGSPETDLQAHGLTEFEKTIENILQGDSTQPGADIADSATENLKISQSEIGNKEPFAAEGAFMDGCMSNAESGLEQQNKGLVHAGLLVYDGATEIEQGHWNIGKEKDFYVDVCMRGTMSIPVAYTSSIVFADRKII >KZN01886 pep chromosome:ASM162521v1:3:26602701:26606465:1 gene:DCAR_010640 transcript:KZN01886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSKTNRILLLIDLNPLLPLQNPNPYLNSILTCSKILLSFPPLSASLFAFKFFFSSLSPLRSSSALHFLSNAVSFNHPSYTLHSLSTILDSLSSSRFENLKGSCSPSQASNTAKSLLQLLHDYDWEAADIDTVDCVSGNFCDFPGLSSNLVLLFSPVCKSITCLAEFVGLNISDGVDSVFHKHFGVVNDRFVSKDIHVSWVDVKYENEGSDDSDEVDEFTTLVEDGIRSLGWGFCSTETIILGSALVPFGLIYPYIGASFSINNNNNYSNNELIKSIRGELRLKVSDISGKPLRCKCCELELLKVRSVDTVVALLDEGFDKEQLFFDQFRGGIAVLNVETVQKYVEIPKMGKQSHNQFLVRFLAEESGRSGKKCLDKSFADRVLQQIAGRSGESINFDPKWQIFLSFLYREDCVALVSISKDNGDSIKGLLKPFTVHSALLSFINDKINKVSSELGIKSGVSTSEICSPPVYAKRKKIKKNALPDITWSTFCKMAYELSDLDLAEVYFSRDFEKSKKMKFLKCWVRQIQKSSLSHHIPPDKSWPHQHKIKEKDERISKTQCKIEIPISGQYSPEPPEMQDGVASASCSETAETFFSNLPKKIQHGLEAEGVDLQILAQRLVSSSIHWLHQKQKGNSLENETAELENTSDWTLCTKLIELLTRDPKKLKRQNDNNTYLQASDRDSTSEIVILMRMEILQSEVASSIDGLAKRKLVKQICTILDIIQYLVEGGIHGHVSLYDYAERTIKTRYQRNLGDVVDKIYTQMDLLPFGEEDDLNLLQFNSEDSNHSWKDKQEFYHSHDKDSQKLISTEDESSQPLDITDGSPQETKREEHAQKLSEARKRRERARRFVSFTSSAPDLQRVWAPKQPKSGTVKSQSLSYKSKERERQRSTYTVVCETPMSGNKRSRSQRTRKCDGTLQDQGNYSSSCVSKALFQDG >KZN02972 pep chromosome:ASM162521v1:3:39716694:39722104:-1 gene:DCAR_011728 transcript:KZN02972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQGREPGHNPFKSFKLSIFSDKLSDIIATSFKAFLIIIFILTSVVLVFHSFFGSQTRWWPRYPDTLLNTTTTRPVCVDPSRTENPTNLSHIVFGIGGSTETWSHRQKYSRLWWRVNSTRGFVWLDEQPDPNSTWPENSPPYKVSSDWTKFRFSSSQSAVRIARIVSESFRVGLPDVRWFVMGDDDTVFFVDNLVTVLQKYDHEKMYYIGGNSESVEQNVLHSYGMAFGGGGFAISYPLAAELAKAMDGCLDRYYNFYGSDQRIWACVSEFGVSLTNEPGFHQMDVRGSAYGLLATHPAAPLVTLHHLDHLEPLFPNHTQDESLNTLIQAYQLDPARTLQQSVCYYKNWGGIWSISISWGYTIQIYNSFLLPPDLQTPIQTFKTWRSWANGPFTFNTRPISPDPCEQPIIYFLDWAHEASKRESLTSYKKFGAESPKECKGKVDYRRTVEKILVSAPKMDSQEFAKAPRRQCCEIENFQYGKMKVNVKSCGL >KZN03773 pep chromosome:ASM162521v1:3:47969506:47975127:1 gene:DCAR_012529 transcript:KZN03773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTSLLHKTTVHHRLAAANRAHILLHLLLILSIFYYRFSTYIDIEATTSFYANFSTFAWLCMVVAELILTLVWLFSQSFRWRPLSRSVFPENLSGEKDLPGLDIFVCTADPSKEPTLEVMNTVISAMCLDYPPEKLAVYLSDDGGAAVTLHGMREAAAFARWWVPFCRKYGVETRAPGAYFSGRSGGDECQHGDEFGDEEKEIKLRYEHFKKLVENGDGTQVDDVNSISGDGRPPLVEVIHDNRGNNDENAAKMPLLVYVSRERRPSYPHRFKAGALNSLLRVSGILSNGPYVVVLDCDMYCNDPASAKQAMCLHLDPNMSSKLAFVQFPQVFYNVTKNDIYDGQARSAFKTKWQGMDGLMGPVLTGSGYYLKRKALYGSPDQEDTYLHQPDASCFGDSNMFIASINEALGKAEASTEELLHEAHLLASCSFEKGTKWGSEIGYSYDCLLXQIGYSYDCLLESTFTGYYMQCKGWTSMYLYPKIPAFLGCAAIDMKDGLIQLRKWNGNLLELGFSKFSPLTYALSSRMSFLHSMCYAYFSFQPLWAISFLIYGIVPQFCFARGIPVFPKVTDRWFIAFLALWLSSLLQHMYEVFTSGGTLRTWWNEQRIWVIKVVAGSLFGCIDCIMKKIGNSKTVFRLTNKAIAKEKVENYEKGKFDFEGAQVFMVPMVGLVIWNLICLIGGLWSVFDRGNASEMFGQLVLSTFLLLVSYPIIEGIVTRKGKGKM >KZN02583 pep chromosome:ASM162521v1:3:34786494:34788566:1 gene:DCAR_011337 transcript:KZN02583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARKVVVMVEDMEVARTALRWALQNLLRYGDLITLLHVFHHPSSSRSSRNRKKLRLLRLQGYQLALSFKEICNSFPNSKTEIVVTECDQEGAKIADLINQIGASTLVLGLHDQSFLYRLNCTENKLKCKVLAIKQPTTLTATRTISLSDSSTNMDFSQIEIADGLR >KZN03230 pep chromosome:ASM162521v1:3:42731788:42731997:1 gene:DCAR_011986 transcript:KZN03230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLQFSILRVANILNKSDPVFLQENLLIVQEQLELPSFLVVALSSQLSASKLAPSDILLCPSLLLLA >KZN03581 pep chromosome:ASM162521v1:3:46007521:46008956:-1 gene:DCAR_012337 transcript:KZN03581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSPSPSLDLKISEEKSDTQTSKKRKMSEKTVVTVKIEANENKKHKNEGPPSDSWSWRKYGQKPIKGSPYPRGYYRCSTSKGCLAKKQVERCRTDASLLIITYTSSHNHPNPKELKEELEQEKEEEQEEEVKIDSLEEPEDRKEVEVKDQGYSFDENVGSEKITSDFHYIHSPLSGSEHQGIISDIKQEEGIQFTENFENILFDEKEKPLCYPHLMTFATPKSEENDFFDELGELPTSSSFTSFWRGNFFEDRILIHLS >KZN01181 pep chromosome:ASM162521v1:3:12691774:12696169:1 gene:DCAR_009935 transcript:KZN01181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSTEQVPSEQLEAESLAASTGSLTLLQNAFSKLSDPQTHSISPSSLQQIFGIHIEKLNYEAVAAPVGFAELLNHIGSSILDLFFLADKGGINWIEFLRGYTKCCGRTSASNSLTTLCRVFAMAAEKAGLSLKLKFEFDDSDCKMTGSLLASDLSMLLWVCWLMSWHHRNHRSQQKADHVIPDLNHLVLSAVESCNDVGSNIEKFNIMEFNGELPAGKIHMWALRTVPFLADSFGQFLHAKLLQVVSHEENLETSGPSVGDVASTKCNACLLTPGRAWAVSLNLRSTLHEDIVKTCFPNHVYERDENLLYRSGLHGRGLNRFWANVEGYKGPLLMLIAASSGDAHEGSEDSKEWIIGALTDQGFENKNTFYGTSCSLYALGPIFHTFSSSGKEKNCIYSHLQPAGRSYEPYPKPIGIGFGGTIGNNRIFLDEDFAKVTLNHHAVDKTYQPGSLCPGQGYLALQASVLDVEVWGLGGRSAKEVQNANKKREDLFTEQRRTIDLKTFSNWEDSPEKMMMDMMSNPNSVRREER >KZN03478 pep chromosome:ASM162521v1:3:44951688:44957399:-1 gene:DCAR_012234 transcript:KZN03478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEIMEQIGRGAFGAAILVNHRVEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDIAELMKKMNGQYFPEEVICKWFTQILLAVEYLHSNYVLHRDLKCSNIFLTKDKDVRLGDFGLAKTLKADDLTSSLKMDQYEIMEQIGRGAFGAAILVNHRVEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDIAELMKKMNGQYFPEEVICKWFTQILLAVEYLHSNYVLHRDLKCSNIFLTKDKDVRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSFYQLITVTFPTGPRGACIWI >KZN03921 pep chromosome:ASM162521v1:3:49910956:49914561:-1 gene:DCAR_012677 transcript:KZN03921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSSSFGIIDIELSLLSNKNPGWKHNDQGLVNFHNYQEVHSWPGVFAMSRCFPFPPPGYDKKIPFADEKWLEKVKREKKHKKEKRDKKDKDKKDSKEKKRDKKEKRDKKEKRRKHKKDKERSETELREKDSTEKLTDNEKHREKELKNTSVENDKNDTVGPKYNSFENLESKCMQRDDTSEFKFLLDSGKKRTRDNIKVSPLVQKINLAGRSDLEVPGTVLKDKRDSDRKVYGESKEFVARPHNNCSLPSNIGGNERQLARKENGMHKNVYGDKDGNMDPNREKIIKSKGETGGDKANVKVPDIELLKTKENSWNAACFDNGKPSPLSKADNETSHLGKRKACEMNDVLLHDKESQPCKLTRFCPPSNHVSEGERNSDPCTTPTQPIANFGEKVQNHKVNVKFSCNESNLEATQANLQLVSEKQENRDSYELNNNILSSHPVLQNDGVALNMCYASNQFASERLQTSNGHYIDGKISLDPVVKNGKVVDEAVTHLSSGGYVMIDDHKVKHNDLKIDRLEEGKTPNVSSTRPSSKKVKKNRDISAKPPHPDSKYLSEILNIPGVEWPDMDNQEWLFGGDNQQSNKSKSGAPQVAESEHVWSKALRIESADITALPYVIPY >KZM99999 pep chromosome:ASM162521v1:3:961138:961687:-1 gene:DCAR_008754 transcript:KZM99999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQKKSRKKAMKIAATAFGVESVALTGDDRDQIVVVGEGIDTVELTNSLRKKVGCADLLSVGPAKEEKKEEKKEEKTSESLAVPLAYGSQPYYYNYNQYPVVYGYDRVDQRDSAPCSIM >KZN01174 pep chromosome:ASM162521v1:3:12614678:12617806:1 gene:DCAR_009928 transcript:KZN01174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIIGLGLGDERDITLRGLDAIKKCSKVYIEAYTSLLSFGISSNGVSTLESLYGKPVTLADREMVEEKADDVLLEARDSDVAFLVVGDPFGATTHSDLVVRAKKLEVDVKVIHNASVMNAVGVCGLQLYRYGETVSIPFFTETWRPDSFYEKIQKNRTLGLHTLCLLDIRVKEPTLESLCRGKKQYEPPRYMSINTAIEQLLEVEQIRGGSAYTEDTTCVGLARLGSEDQMVIAGSMKQLLMVDFGPPLHCLVIVGKTHPVEEEMLDFYTVAGNLDQTKE >KZN02215 pep chromosome:ASM162521v1:3:30963003:30963317:1 gene:DCAR_010969 transcript:KZN02215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLTDQYTTPPLQAPVPSFGSPSYVFGAPAFPVTPAGVRSTPTPVHMHSFGAYAGESSPWAVRDQSEPEGPSQPEQRQQPPRDAKGKGRRCHTGSHILGHKKK >KZN02128 pep chromosome:ASM162521v1:3:29980804:29990351:1 gene:DCAR_010882 transcript:KZN02128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKANGAKLRGKIAGPTNPMVTPILTDLYQFTMAYAYWKAGKHNERAVFDLYFRKNPFGGEYTVFAGLEECIRFIANFKFSEEEISFIQESLPPTCEDGFYDYLRGIDCSDVEVYAIPEGSVVFPKVPLMRVEGPVAVVQLLETPYVNLINYASLVATNAARHRFVAGKSKLLLEFGLRRAQGPDGGISASKYCYLGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEIVEKSLRRYDGSSVCEDFVSLVQMWLNKIKPIMSNIPAAFMWSNLLRGIFGETNQSELAAFTSYALAFPGNFLALVDTYDVMRSGVPNFCAVALALNEMGYKAVGIRLDSGDLAYLSCEARKFFISVEKEFGVSGFGKTNITASNDLNEETLDALNKQGHEVDAYGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVTKVSIPCKKRSFRLYGKEGYPLVDIMTGENEPPPKTGERILCRHPFNESKRAYVVPQRVEELLKCFWPGSPDKEREELLPLKDIRDRCIHQLEQMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >KZN02817 pep chromosome:ASM162521v1:3:37654950:37656604:1 gene:DCAR_011573 transcript:KZN02817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILERYERYAYAERQLVATDIETQGSWNLEHAKLQARIEVLQKNERHYMGEDLDSLSLKELHNLEHQLDSALKQIRSRKDKNLQEHNNLLLKKANGKEKEIYQPPPVEQQNDGNSSTALPNQLHSVNIGLAQVE >KZN03604 pep chromosome:ASM162521v1:3:46220061:46224682:-1 gene:DCAR_012360 transcript:KZN03604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARTEVRRSKYKVAVDADEGRRRREDNMVEIRKNRREENLQKKRREGVQAPQLENLSATAVQIEQRLESLPAMVAGVFSEDGSLRLEATSHFRKLLSIERNPPIEEVIKSGVVPRFVEFLGRDDYPQLQFEAAWALTNIASGTSDHTKVVIDHGAIPVFIRLLTSASDDVREQAVWALGNVAGDSPKCRDLVLAHGALMPLLAQFNEHAKISMLRNATWTLSNFCRGKPQPHFEQTKPALPALAKLITSNDEEVLTDACWAFSYLSDGTNDKIQAVIDSGVCGRLVELLYHPSSSVLIPALRTVGNIVTGDDMQTQVIIQHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNSEQIQAVIEAGIIGPLVQLLGTAEFEIKKEAAWAVSNATSGGTHEQLKYISLHFLSCPLVQLLGTAEFEIKKEAAWAVSNATSGGTHEQLKFLVSQGCIKPLCDLLNCPDPRIVTVALEGLENILKVGEAEKNLGHTGDVNVYAQLIDEAEGLEKIENLQSHDNNEIYEKSVKLLETYWLEDDDEQLPGGDTAQSELKFAGNGPSVPSGGFSFS >KZN00128 pep chromosome:ASM162521v1:3:2193730:2194360:-1 gene:DCAR_008882 transcript:KZN00128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAVQEQRAASATAVGSTVGDSSPPDIVLPKDKAEPLGINIQQILVEDGIGGLDVNSGQHCEDLGFSLQLGDHEPKRRRSDPSPSGEAK >KZN03686 pep chromosome:ASM162521v1:3:46917621:46919767:1 gene:DCAR_012442 transcript:KZN03686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEEWEDHEQAIARFCSLSSALNQANLRKLSLHHQLQSHLQVEAETLNRLNELEEMREQLESRKLLMGNRSMHSKVVKEKVKRQEDQLSAEIKSLLFAGTALSVATAIAAVNYIAAFWPDVSLIVSQSSVTAICRSYSVKPTISLQLI >KZN00586 pep chromosome:ASM162521v1:3:6667401:6667805:1 gene:DCAR_009340 transcript:KZN00586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASHINLSLLFILAASMAMLAASSNVNTIQTQTSYNNKIDLATFEQCYSSVSECYDEILIFFRALARGLSLDTDYNIAPSCCSAVMDLHQKCWILLFPDPFYNFELRTFCARQTQGPAPAPAPVAAAPAMSV >KZN00809 pep chromosome:ASM162521v1:3:8892023:8897126:-1 gene:DCAR_009563 transcript:KZN00809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQTYKGFEEFKFAIVEDWYRKQDEIMSLDVYQPLWNIVLSSDMERDAKVLPGQSKIFPLKHYFPSFEDSFEDVIYPKGDPDAVSISKRDVDRLEPEIFVNDTIIDFYIKYLKNKIDPSERHRFHFFNSFFYPKLAELGKSLSSFVEGRAAFRSVRRWTRKISLFEKDYIFVPVNFNYHWSLIVICHPGEVADFQGKFRCEEIFKVAMHIAYGFYPRKSFWSEGAYSELELLDLVRYVICPISLSLLYGTSKTACDYPVEDTLIVPNDGDMSNPSHSMLIYCGGSV >KZN02224 pep chromosome:ASM162521v1:3:31081594:31083664:-1 gene:DCAR_010978 transcript:KZN02224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNGSRSVEIEHVCNVFRGDWVLGSDGPYYTDETNCEIDQRQNCMKFGRPDTEFMRWRWKPDECELPRFNASQFLEAVTGKTMAFIGDSVGRNQMQSLVCLLASAANPIDISHVNDTRFRRWLYPSHNFTLLALWSPLLVKYRDADTSGFSRNSVLNLYLDEADETWTDHTDDLDFVIFSAGQWFFRPFIYYENNTIVGCFKCERQNITELPHYYGYQMAFRTAFQTLLKNENYKGITFLRTYSPSHFENGDWNKGGNCVRTSPFTKQEMKLDGYNLASYMTQVEEFRTAEKEAEKRGLKFRLLDTTEAMVLRPDGHPNYYGHSPQENRTLADCVHWCLPGPIDTWNEFLLQMLKAEGNGNIKIKLQTED >KZN03431 pep chromosome:ASM162521v1:3:44498081:44498937:-1 gene:DCAR_012187 transcript:KZN03431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHFFLLLHTTCGHYFLYIPLPSLDSTMLKTLVIESSHREKIENLSFLDLPLILRFRICMVLFTTINKASWISTFSSNFNLLSLVSLFIMASAVSADPLRIGRVVGDVVDFVCPSNVKMNVIYNSKKHVYNGHELFPSSVSIKPKVAVLGGDMRSFFTLIMTDPDVPGPSDPYLKEHLHWMVTDIPGTTNASFGMSPLLLID >KZN00129 pep chromosome:ASM162521v1:3:2201791:2204516:1 gene:DCAR_008883 transcript:KZN00129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIETFAFQAEINQLLSLIINTFYSNKEIFLRELVSNSSDALDKIRFESLTDKTKLDAQPELFIRIVPDKANKTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTEGEQLGRGTKITLFLKEDQLEYLEERRIKDLIKKHSEFISYPIYLWTEKTTEKEISDDEDEEIKKEEEGDVEEIDEDKEKKESKKKKIKEVSHEWQLINKQKPIWLRKPEEISKDEYASFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLEEKKKREEKKKSFENLCKNIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSSYMSSKKTMEINPDNGIMEELRKRSEADKNDKSVKDLVMLLFETALLTSGFSLDDPNVFAARIHRMLKLGLSIDDDEEAGEDTEMPALEEDANEESKMEEVD >KZN03216 pep chromosome:ASM162521v1:3:42596900:42598492:1 gene:DCAR_011972 transcript:KZN03216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKKPRIVIIGAGMAGLTAANKLYTAQKDGESFEVCVFEGGSRIGGRINTSEFGGDRIELGATWIHGIGNSPVHKIAQETGSLQSEQPWECMDGFLDDPVTVAEGGFEVSPSLVEVITNLFNSLMDFAQGKNVEFQENVAAKAFLNCGSEKPSLGLFLRNGLEAYWDSVKNGEEDVGWSRKLLEEAIFAMHENTQRTYTSAGDLLNLDYNAESEYRMFPGEEITIAKGYMSIIESIASVLPDGMIQLGRKVEKIEWQPSSCHGTTRPVKLHFSDGTSFSADHVIVTVSLGVLKARIRDESTMFLPPLPSFKNQAISKLGYGVVNKLFLQVNTTHDQETNESSKFPFLQMAFHQSDSKLKNPNIPWWLRRTAYISPIYKKSSVVLAWLAGKEASALESLDDEEILNKVSTTISSFLSSPTKLCNGNASSLGNYDGKELKFTNVLRTKWGTDPLFMGSYSYVAVGSSGEDIDKLAEPLPENGSASAPAPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLKYYKCISDL >KZN01204 pep chromosome:ASM162521v1:3:13038870:13041636:1 gene:DCAR_009958 transcript:KZN01204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYMGKSTVKLGPWGGQDGIHWDDGVYSTVRQVEIAHGTGIDSIRVEYDSSGVSIWSEKHGGQGGNITDKVKLEYPEEFLTSMHGHYGSLKGWGPALIKSLTLISNKRSYGPYGTEEGTYFSLPMTGGKIVGFHGKGGWYLDAIGVHFEPTYKQNPQNSVAQFSQNAYSTNDNFGYSMIQGSLNKQYDVVIAVKQKDSNANFLPNYFSKKNQFTITDYPTPKPATDSRNQFTITDYPTPKPATDSRKNQFTVTDNPYPKQTKVVVPPTVPRVPSKDVKDVYTYGPWGGAGGTVFDDSTYDGIRQIRVKRNVAIVSIKVCYDFKGEAVWGSKNGGSGSFKKDLIVFDYPSEVLTHITGYYGPTMVMGPNVIKSLTFHTTKSYYGPYGEEQGQPFSSNLKEGRIVGFHGRKGLFLDAIGVHVIEGKVLPKLHSPSKALPADIASSGKPVPPVSSSNQVLHPKNPPTTLSIKPAIKVTLPTDSPAKVLPPAISPKKPTKQQNELAIKQPGSPKWSFITGRRGASEEDHTYQGVQRVIKDPAPHGTGPWGGEGGKPWDDGVFTGIRQIILTMSPHAICAIEIEYDRNGQSVWSVAHGANRGGQTSERVKLEFPHEVLTCISGYYGAISKDEAMKVIKSLTLYTSRKKYGPFGEEKGTFFTSAKTEGKVVGLHGRSSMYLDAIGVHMQHWLGNQKPKQSSTIMKLFS >KZN02226 pep chromosome:ASM162521v1:3:31111390:31116630:-1 gene:DCAR_010980 transcript:KZN02226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQPPENTYGSRNTSTQKIPNVIILLAATLLLLTIVPLYYPFRPSSTPSPLSKTSPESHIPTTHSDVDSIKITEHEKCDIFSGEWVPNPDAPYYTNTTCWAIHEHQNCMKYGRPDADFMKWRWKPDGCDLPIFNPYQFLEIVRGKSLAFVGDSVGRNQMQSLMCMLSRVVYPVDDSYSPDENFKRWKYVDYNFTLATFWSPFLVKAEQANADGPTHTGLFNLYLDEFDEKWTTGIQDFDYVIINAGHWFSRPGVYFEKQKIIGCRFCQVDNVTDYPMTYGYRKAFRTAFKAINSLQNFKGVTFLRTFAPMHFEGGEWNAGGNCKRQKPYRSNEVSLEGLNLELYMTQLEEYKAAEKVARQNGKKFRLLDLTPAMLLRPDGHPSRYGHWPNENVTLYNDCVHWCLPGPIDTWSDFLLQMFKTENWRSFRENLPQKVGKDRIK >KZN02544 pep chromosome:ASM162521v1:3:34349667:34350995:-1 gene:DCAR_011298 transcript:KZN02544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEVLSRELIKPYHSTPQSLRDYTLSLIDELLPPMNLPTILYYYNDNLSSSSRCQHLKRSLSKVLTRFYPYAGRYIKESFKVDCSDQGAEFVEAKVDVSLDDFIGQTKNLKMELLNCLLPRPIGAVDGVTDPLLAVQVCAFACGGWAIGILTSHRVADMSTSSTFVKEWAITAKQLLSESFDECHDFPVSSWTSASLFPGKKLSCRPLGMPVAKENFEYHKIVTKVFSFKQNSISRIREMARLDKSSERLPSRVQSVIGIIGKAIIDIHVVADPGNSKEFLVIQTVNMREKTDPPIHKNQYGNLFLVSTAPIVASEGGVELDSIVDLVTRAVRGEVENCKRILSVGGEMIISDGFDVLTKALAEPEISSNLVFTDWCKFPYYEADFGLAKPVWISGINSPLGNNVYLFSDQYGEGIEAWVNLSANDMRKFEQDLNIMEFST >KZN00448 pep chromosome:ASM162521v1:3:5356044:5356757:-1 gene:DCAR_009202 transcript:KZN00448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGDWMCGSCQYLNFKRWDSCQRCRFPKVGGEACNMSSYGMSKPEGLPGDWYCHEIRCGAHNYASRASCYRCGVPKEDYSGHGAGIMGSGGYEYNNTVPPGWKAGDWVCNRVGCGIHNYASRTECYKCKTPREYGKQSRPLDLLVHDISCLNVITLQNVFFQVVQSNKVD >KZN01936 pep chromosome:ASM162521v1:3:27167233:27168756:1 gene:DCAR_010690 transcript:KZN01936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTAELKNVKHEVLLRIPGCKVHLMDEGEALELSHGDFTLFRISDGNISIATTIKVGDDLQWPLTKDEPVVKLDAFHYLFSLPMQNSNPLSYGVTFSDQTAGSLKLLDTFLIEHSCFSASRVSTSRNKDVDWKEFAPRINDYNSVLARAIAGGTGQIVRGIFILSNAYTNQVQKGGEMIQTRAVEGTHGGTITESKGNKSNPATRKSNVNKSIKRVRKLSKMTEKMSKSLLDGVGLATGSVMGPVMRSQAGKGFLNMVPGEVLLASLDAVNKVLDAVEVAEKQTMSATSGAATRMVSNRFGESAGEATGDVLATAGHCAGTAWNIFKIRKAINPASSVSSGILKNAPRK >KZN02313 pep chromosome:ASM162521v1:3:31929210:31930319:-1 gene:DCAR_011067 transcript:KZN02313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACFLSSSSLTKTLQPIPSIKAKVLGLPRKNLHQLPCRKVNFPSSRICCQLGSPSPSSGDEEKPFVDADWRSFRARLIAGEQSLRQEQDASSATDPDTVTNQPQTISIGDKWAHTILEPEKGCLLIATEKLNGVHIFERTVVLLLSTGPVGPTGIILNRPSLMSIKEMRSTALDVAGTFSDRPLFFGGPLEEGLFLVSPNGVPGSDGVGNSGVFDEVMKGLYYGTKESVGCAAEMVKRNVVGVDNFRFFDGYCGWEKEQLRDEIAAGYWTVAACSPGVIGLSSVGSVGLWEEILGLMGHKKVL >KZN01929 pep chromosome:ASM162521v1:3:27085813:27090034:-1 gene:DCAR_010683 transcript:KZN01929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSQKPSKIKFEYEEDNELEGTATGSSILEEDSAMCDLDGSLIGADQTSADYYFDSYSHFGIHEEMLKDEVRTKTYQNVIYKNSFLFKDKIVLDVGAGTGILSLFCAKVGAKHVYAVECSQMADMAHEIVKANGFSNVITVLKGKIEEIELPVPQVDIIISEWMGYFLLYENMLNTVLYARDKWLVNNGLVLPDKAALYLTAIEDAEYKEDKIDFWNNVYGFDMSCIRKQSMMEPLVDSVDQKQIVTNCQMLKTMDISKMTMGDASFTAPFKLTAERDDYIHALVAYFDVSFTQCHKLTGFSTGPKSRVTHWKQTVLYLEDVLTICEGETITGSMTVSQNKKNPRDIDITVKYALSGRRCAISRTQSYKMR >KZN03002 pep chromosome:ASM162521v1:3:40060081:40066219:1 gene:DCAR_011758 transcript:KZN03002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICHANVAIFLRCFGICKGWLSRYPSLQVYLIKRSRLQAMMETREGIDEQFDEQPEENHLGYIKSFPVRKLARSGNYGTALLPHSHFVDVPHINQLRTWDCGLNCVLMILKTLGFNNCTIEELDTLCSLTSIWTIDLAFLLQKFAVSFSYFTVTLGVNPEYSTEIYYKEQLPDDLVRVDSLFQKAKDAGINIERRSISREQLSLLVLSGRYIAIALVNQFLLSQSSLKNICFSGWKSGNLSYTGHYVVICGYDAAKDEFEIRDPASSRKHEKITSKCLEEARKSFGTDEDLLLEQKINCSSSGFLTEMESSMTPCRYLCQSKIVKHLLFLSWCTPVASGAYLYSTEGRCCRIVEVGLVGLHKKRD >KZN02812 pep chromosome:ASM162521v1:3:37595232:37597393:-1 gene:DCAR_011568 transcript:KZN02812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSARMAMTRRVHPLVSLNPYQGNWTIKVRLTNKGNMRNYKNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFYDRFQLGKAYYISKGTLRVANKQFKTVPNDYEMTLNENSVVEEAIDEGTFIPETKFNFVPIDQLGPYVNNSELVDVIGVVQSVSPTMSIRRKSNNETIPKRDITIADESKKTVVVSLWNDLATTVGQDLLDIADQSPIVALKSLKVGDFQGVSLSALSRTVIVVNPETPESRKLKSWYDSEGKDSSMASVGTGLSPSSKSGGRSMYTDRVSVSYITSNPSLGEDKPVFFSTKGYISFIKPDQSMWYRACKTCNKKVTEAIGSGFWCEGCQKNDDSCNLRYIMVAKVADASGEALLSFFNDQAENVIGCSADELDKLRSQDGDGSTYQMKLKEATWVPHLFRVSVVPQEYNNEKRQRITVRTVAPVDYAAESRFLLEEITKMKASQ >KZN00137 pep chromosome:ASM162521v1:3:2297994:2304463:-1 gene:DCAR_008891 transcript:KZN00137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLTDQYTTPPLQAPVPSFGSPSYVFGAPAFPVTPAGVRSTPTPVHMHSFGAYAAESSPWAVRDQSEPEGPSEPEQRQQLKVLYYWLKIHVFLPDFAEVYNFIGSVFDPNTKGHVQKLKEMDPIDVETVLLLMRNLSVNLTSPNFEDHRKLLSSYQIDLEKENTDEMVNNLLDDEADHNAQFEWQHRSISDPFDKIHTSPHEEDLVSKTNDEQAPEIRKKKHFIGVRRRPWGKFAAEIRDSTRNGVRVWLGTFNTEEQAALVYDQAALSMRGSLAQLNFPTETVRDSLRDDNYFCSNGSSPAAALKEKHKMRSIAKSSRNKSSSVSGKNMVVLQDLGPDLLEELLGVSDTSSPCSYH >KZN01675 pep chromosome:ASM162521v1:3:22878839:22880307:1 gene:DCAR_010429 transcript:KZN01675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRESGDPQFWLPPMFLSDDVFKETELMPRPLSRSNSGFSSPGDSSVASTRTESDEDEFLTELTRRLTGSLHLSRDIRKPEPNLGLMPSDKTDTLRKMLASPQSTLKDEKAGKITRLEQNCTSEYIHFWAAAQKPKPSLDSVPSNTKHHAHHSNQRILYLGTPGLSHSAWPTLQQSQSHKHPPPVSWSTRVALLSNNGGSSGTGVFLPLPRPVATTPTQMPRGKHPGGPKQRNVSAESEQRMEMSRTPAAVDDEIRLPQEWTY >KZN02844 pep chromosome:ASM162521v1:3:37982888:37985175:1 gene:DCAR_011600 transcript:KZN02844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTIVLGVEKKSTVKLQDSRSVRKIVNLDDHIALACAGLKADARVLINRARIECQSHKLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPHTGVPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYTETSGQETLKLAIRALLEVVESGGKNIEVAVMTRDKGLRQLDEAEIDTIVAEIEAEKEAAEAAKKGPSKET >KZN01777 pep chromosome:ASM162521v1:3:25019293:25020270:1 gene:DCAR_010531 transcript:KZN01777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEAKRLRIGYALEPKKIKSFITPSLLTHTQNQGIDLVQIDPNKPLTQPLDAIIHKLHSPDWDQKLLHFSSQFPNTLVIDSPLAIQRLRDRVSMLDVVAQLNLSPTLTTPKQVYIENSESLLDCLSDVSEDFKFPVIAKPLVADGSAISHNMTLVFNRNGLKNLQPPVVLQDFVNHGGVIFKVYVVGKYVQCVKRSSLPDISEEEMGNLGDAMPFSQISNLHSSGYKAGVGDDVEMPPLSFVNQVASALGKALNLNLFNFDMIREASVGHCSRYYVIDINYFPGYAKMPSYESVMTDFFKDIVNQNSVTVTAKGQENNNHEVPS >KZN01993 pep chromosome:ASM162521v1:3:27901372:27903024:-1 gene:DCAR_010747 transcript:KZN01993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCNPLKVRKGAWGSDEDALLRKCIHKFGEGKWHLVPQRAGLNRCRKSCRLRWLNYLRPTIKRGEFGEDEVDLMMRLHKLLGNRQALSLLLWSLIAGRLPGRTANDVKNFWNTNVQKKLATAHFGGHKEVVKGKELIKTKQNSSATTCTATTHTVVKPLPRTLSQGTSVLYYSLNPRKHMYSPPGKILKNRLSSNETRALEIPVSDLDGNEWWRNLFVEIGIDDEGSFEGLLKTSSSNLENLGDQRCPILKTNESFAPVTEATGLQEEDCRSWSDIWNLLNLDYI >KZN03603 pep chromosome:ASM162521v1:3:46214865:46216842:1 gene:DCAR_012359 transcript:KZN03603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKDKTFSVLVLTGNFMNDFMSIIVFAILDLIDFLLCYAYRVVDFTFEAGWKPCYCSSAGEASSKIVLERGETKTNVCLSSSKFQLEGMSDTLYTRSSLISEVSKLTNVSGLKTETNSVIQRIDKFIKGRLRSPSFNIIEMLQGKIGDQQSHHAPRWSDCDCQTCNAWSFSTGDTLFLKAEGTLGNAEENVIFIHGFISSSAFWTETLFPNFSKSVKSRYRLFAFDLLGFGKSPKPNDSFYTIREHLEMIERSVLEHNKVKSFHIVAHSLGCILALALAVKYPGSVKSLTLIAPPYFQAPKGEKATQYVMRRLAPRRVWPMIAFGASVACWMRTYLIEGFCCHTHNAAWHTLHNIICGSAGKMEAYLDVLEKNMTCNVNIFHGRDDELIPLECSYNVQSRVPRSRVEIIENKDHLTIVVGRQKAFARELEEIWNSSSNLSS >KZN00841 pep chromosome:ASM162521v1:3:9167086:9173998:1 gene:DCAR_009595 transcript:KZN00841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGEISCIQGNVCHRVVLISAGASHSVALLAGNVVCSWGRGEDGQLGHGDAEDRISPTRLSTLDGQEIVSVSCGADHSTAYSELSRQVYSWGWGDFGRLGHGNSSDVFIPQPIKALYGMRIKQIACGDSHCLAVSMEGEVHSWGRNQNGQLGLGTIEDSLVPQKVQAFQGIAVRMVAAGAEHTVAITEDGDLYGWGWGRYGNLGLGDRNDRLVPEKVSNVDDEEMVLIACGWRHTISVSSSGGLYTYGWSKYGQLGHGDFEDHLIPHKLHALQGEVVSQISGGWRHTMALTSNGKLYGWGWNKFGQVGVGDNLDHCFPMPKVVQISCGWRHTLAVTEKQNIYSWGRGTNGQLGHQESIDRNIPKIIEALSVDGLNGQLIESSNIDPLIGKISVLPSTRYAVVPDDKKVCFSFCQTSVYLQ >KZN03446 pep chromosome:ASM162521v1:3:44707787:44707969:1 gene:DCAR_012202 transcript:KZN03446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCSTVWKLGGVWIMSGNGNEGYGDGYPKGRVRSDLSFKWDWGFVSCHKIANSNAMDLRF >KZN03114 pep chromosome:ASM162521v1:3:41382278:41385487:1 gene:DCAR_011870 transcript:KZN03114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLAPSGGGNGVVSTTETTAATSSQDPKQNLDETINSIQKSLGLLHQLYLTVSSFNLASQPHLLQRLNNLVLELDNMSKLSEKCNIDVPVEVLNLIDDGKNPDEFTRDVLNKCIAKNQITKGKADALKGLRKHLLEELDQSFPDEVETYREIRSASAAEAKRVAQAQSMLPNGDVKVKPEV >KZN00234 pep chromosome:ASM162521v1:3:3281819:3284158:1 gene:DCAR_008988 transcript:KZN00234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIAIGRLKPGFQVAQLQFIDDGGTFLCSNNSIFCLGFITSDKDYTTFVLGIFHLSTSRKIWSANRATPVRNSDKFVFEDGGNAILQIGGSVIWSTNTSNKGVYAMEMQDSGNLILLGKDSKIVWQSFDHPTDTLLSNQKFSEGMKLVSNPSTSNLTFFLEMKSGDMVLYANFGNPQPYWSIRQDDRKIIQKNGQNVSAAYLIGNSWRFYDKSRIVFWQLIISGESDTNSTLVATLGDDGYITFFNLESQNFDDGFSVLKIPANSCSRPESCNPYKICYHGNINDICGCLSVLNSTDNCRPGIVSPCKESHGSTELIDAGNGLSYFALGLVAPSSKTSLDGCKTSCLANCSCLAMFFEEKSQNCFHFDNVGSLKASGDGSDFVSYIKVSRNGGNLRGHRGSKKKILLVAIILTVTTLIIGVVLYGIRHYYQKQNSIQVESPRETEEKNFLENISGMPIRFSHYDLQVATNNFSRKLGQGGFGSVYEGVLSDGTRVAVKQLEGIGQGKKEFRAEVSSIGSIHHHHLVRLKGFCAEDSHRLLVYEYMANGSLEKWIFSKHNGDVLDWDTRFAIAIGTARGLAYLHENCEVKIVHCDIKPENVLLDENFHAKVADFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNSAISEKSDVYSYGMLLLEIIGGRRNYYASETDEQCNFPSYAFEMMEQGKVRDIVDAKLRITLDDERVSIAIKVALWCIQHNMHLRPSMTKVVKMLEQVSFVPPPPSSQQMNSSEPLDLNSCADLSAVRLSGPR >KZN02252 pep chromosome:ASM162521v1:3:31331178:31331708:-1 gene:DCAR_011006 transcript:KZN02252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPIVGKFVEKVSEYTVDAVFRVREEKANGNTIEDYVSKWETDVEEIQKSAAEELSPSCSCIQYLPIPNPISRFRICRNVVKKADAVTQRINSGKEHLTGEIAYLPEVKNVPKPGTTFEEFQSRKSTYGKLWDALVNQM >KZN02616 pep chromosome:ASM162521v1:3:35165662:35177612:-1 gene:DCAR_011370 transcript:KZN02616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILVTDTSFAGLKKLMDTFTVNVKRAERRELNVSLIQPTTTATSTYEKVQSVAVRIELSNGCVGWGEAPILRPLTAEDPALAMEKVAEACEFLNTSPAMTLGLLVGEIAGILHGFEFAAPTTTATSTYEKVQSVAVRIELSNGCVGWGEAPILRPLTAEDPALAMEKVAEACEFLNTSPAMTLGLLVGEIAGILHGFEFAAIPMVSPSQAAQLASNYGKNGFKTLKLKVGKNLKGDIEVLQAIRAAHPDCLFILDANEGYTSTEAIQVLETLHEMKVTPVLFEQPVRRDDWEGLGRVTRIAKEKYGVSVAADESCRVLADVEKIVKGNLADVLNVKFAKHGVIGALKIIELAQAYGLHLMIGGMIETRLSMGFAGHLVAGLGCFKFIDLDGPLHLSEDPVVNGYEVSGPVYKFTNDSGNGGFFDWDDME >KZN02053 pep chromosome:ASM162521v1:3:28895780:28896253:-1 gene:DCAR_010807 transcript:KZN02053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSSYNTSASSSSNHHHFSKAKGVPSYHSALHSIRRPLQPKLMTKKPIAPLPPTPPKIYQVEPSNFKEVVQRLTSIPEFQPRRLQDLAPPPLNLLPSTTAETCDPTQDYFSTLPTTFSDHFGTLTPTLSPASLAWCSFLIQSPGNVSTLEQGTVL >KZN03535 pep chromosome:ASM162521v1:3:45562060:45562776:1 gene:DCAR_012291 transcript:KZN03535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEADVGRKRMRQSVENEQIIATDAEETVGEETEDGAPLSEQMQLDIASIHEKINSFTTLVSELMESGKSLLLERSNQFEERLISIHKEQTEKWEQEIRELRLLDAANEEANSLLHDARYLLQNVHIDS >KZN00427 pep chromosome:ASM162521v1:3:5249550:5249945:-1 gene:DCAR_009181 transcript:KZN00427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQKRSRKLDEEMQEWTCKKTKRLLTIAADRAFEKKKFAAEMRLLQYRKARQGRHWVPTKDETRTEVMYGVGRPLCEYYYIKGRDINNSFLRKEITDEQRIALLEESWAEYDAKVKDIEKSNFDDYAKHP >KZN00329 pep chromosome:ASM162521v1:3:4256214:4263471:1 gene:DCAR_009083 transcript:KZN00329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVTKPFSSSIENLSGSSRDIPSDKDFHLFSNSDEFKSSISEIDEKSQSMIDSVARSSAQLMKKESDHGGDEANWLENVNDEILGQLDCSLDEYNKMKQSEGDDGFQLVCKKKKGVSENVSKEVGQSSGGFDVKVGSKDKKSLGSKPRVPFHIPSIPKPQDEYKIIVNNFNQPFEHVWLERSEDGTRFIHPLEKYSASDFLDKKIIDIKPAKPLPVESSPYKLVEEVKDLKELAATLKGVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRVQIGPYLREIFKDPTKKKVMHGADRDIVWLQRDFGIYICNLFDTGQASKVLKLERNSLEYLLNHFCGVLANKEYQNADWRLRPLTKEMLRYAREDTHYLLHIYDMMRVRLLCSAGDSDFSDSALLEVYQRSYDICMQLYEKDVMTESSFLYIYGLQDANLNAQQLAVVAQYRHIGLGPYDDVAICTGTGKKGLCEWRDMVGRIKDESTGYILPNKALLEIAKQMPLTTSSLHQLVKLKHPYVESQLDSVVSIIQHCVQNASAYEVVAKQLKEAYTEATSPGENYENDD >KZN01247 pep chromosome:ASM162521v1:3:13623540:13624429:1 gene:DCAR_010001 transcript:KZN01247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHVEKLAEEIKKGAASVEGVEAALWQVPETLPDEVLAKMSAPPKSDVPHITPDQLAEADGFIFGFPTRYGMMAAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTFAGDGSRQPSELELEQAFHQGKYIAAITKKLKKAA >KZN03458 pep chromosome:ASM162521v1:3:44800708:44801724:1 gene:DCAR_012214 transcript:KZN03458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISSYKGGATTTITGIDPDVLESHILTKIDGPSLACSASTCHLLHTLCRKDNLWKDICNATWNSVKHPLVRDAIAKFPGGYRSFFYGAFPMVRPGSPGRTRRVLPQDYAWKIYSAVDIYYGSKGIHSKVIVTDTGDSAFLAFSFWLDLLDSQESVKIPLKFEGDENKCMLKLKENLALSCILIDPIRKRAVNISSLTPISVRPHWDGNDVQVMYATILSGFGSSEFVECRIVATVRCKYGKDVKMREAYLYLEDMHKMRLNGDRSLRIFLEAMENGERKKGNAGEEREIYMKYRELKRKRIEGDYRRETRLRIGCRVACLAYLIAFVFLCNFLNVF >KZN00069 pep chromosome:ASM162521v1:3:1650010:1651035:-1 gene:DCAR_008823 transcript:KZN00069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGVVNDTWDGIVPDESRPSRSVLRLSAGLVWEKAQEPLHRDIDVTKVCGIGPGLAFAKSLMHKDSGVGQVALVPCAIGGTNISQWARGSPLYNQLVRRAVAAVQGGGTIRAMLWYQGESDTVTLADAELYKGRFENFVINLRQDLVFPLLPVIQVALASGSGPFIAKVREAQLGTELPNVWCVDAKGLQLQPDGLHLSTPAQIQLGKMLAHAYLQTIPSPIHSGAARRVPKFDCLSLFHRIAGR >KZN01756 pep chromosome:ASM162521v1:3:24615510:24616971:1 gene:DCAR_010510 transcript:KZN01756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKEVIGTLVKDNGFGLLVTKPQGSESVFLKVLKGSSEEKGNKSGTEDPIKFGFLIVAQLTAAQELNTEFNGKWIGRSKLAMYLARDSYRQVQTLPSQKWMDRTIIEDRNNRKAFKGQSSALEVKSSMEIRDGKTVEGLVQQPSFCAVQVGQKFGLNCKELESISQTSLKQDLCLEASRDSSKSMKNRLHDEDQSSYILDMQDRRLQKSQSHQSLARDHIRYLDKWERNKWNLSEQVDNSSSESSKRKYG >KZN02641 pep chromosome:ASM162521v1:3:35451521:35453044:1 gene:DCAR_011395 transcript:KZN02641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPISLGIRVASLRGTLRLEIKAPPSDQIWLAFTSVPDIDLNLESSVGEHKITNGHIALFLINRFKAAIRETMVLPNYENIYIPFMIAENDDWLPRQAAPFIWVNQEASTDSPIIHEKPSSQHDEGTQLSGSERETHSKTESNESKIEKADGLQLQTKKSVATPSESTNQSSVSENPIQELKTPLLGAGEPEEIPLLSKEEHVERQEPTPGTQSPSRSSSIYDSSSRSSSIMDEETHSIQGDESRPKRMGNTRAKMLGLGKKMGEKLEERRRHIEEKGRNIVERMRAPDKAGSQ >KZN02947 pep chromosome:ASM162521v1:3:39430766:39434844:-1 gene:DCAR_011703 transcript:KZN02947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIVPNIRMDPLNLISGDFGPFRPQIATQVPLWLAVALKKRGKCTIRLPDWMSVEKLTQVLEAERDSARDFQPLPFHYVEIARLLFDHARDDIPDIYMVRSLIEDIKDVRFHKIGTGLEIISERTYAVKLLNLSAMEVNIVRPFVAKALETFYKLDSPEMIQDAERRPDARTQAPDRGPRITNLMGGNIVTFADNLRHV >KZN02974 pep chromosome:ASM162521v1:3:39756548:39757117:-1 gene:DCAR_011730 transcript:KZN02974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYSHYLMWMKHSKQYHHILNSSHFRGSSMKSWEEQAFVEDSQGPLGGFIWPPRSYSCSFCKREFRSAQALGGHMNVHRRDRARLKQSSNTTNGSQETSDSNPSNFASSAPAKASSCKEITNLPLFPEESRVEGHVETKLCVGLNFGGGGDGKKRKREAVMLPFLLKACSNEKEIDLELRLGDSSVVN >KZN01302 pep chromosome:ASM162521v1:3:14224655:14224928:-1 gene:DCAR_010056 transcript:KZN01302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETLYVEPLRTVHSNQHNQSPFTPIYVGHNGVGRMTELLQAVQENIKGSRIPETREEGQQDLML >KZN02793 pep chromosome:ASM162521v1:3:37340012:37341847:1 gene:DCAR_011549 transcript:KZN02793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIDFSILLLLFGACFWFFNLCPAILTMTRNTSRKRPPGPPGLPLIGHLHMLGKLPHRTFHKLSQVYGPIMSLKLGSVSTIVVSSPAAAELFLKTHDAIFASRPRLQASDYLWYGTKGMAFSASGDYWRSVRKFCTLELLSSSKVNSMAGLRREALVVLVEFLEEAAAASEVVDVSEKVAHLTEDVTFRMLLGRGRDEKFELSEVIQELAEIVGAFNIADYVPFLRALDLQDMTMFIVFTVQLFCHSCFV >KZN02083 pep chromosome:ASM162521v1:3:29347253:29348201:-1 gene:DCAR_010837 transcript:KZN02083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAEKAHNVKIVGSGEKTVVLGHGFGTDQSVWKHLVPHLLDDYKVLLFDNMGAGTTNPEYFSFERYANLEGYTHDLLAILEEFEIQCCIFVGHSLSSMVGLYASILRPDLFSKVVTLSASPRFLNTGDYFGGFELEEIDQLCNAIESNYKSWACGFAPLVVGGDMDSVAVQEFSRTLFNMRPDIALSVFRTIFTNDLRELLGQVTVPCHIIQSSKDLAVPVSVSEFLHHNIGGKSVVEIIATEGHLPQLSSPEITIPVILRHIQHDINQ >KZN00983 pep chromosome:ASM162521v1:3:10481720:10482556:1 gene:DCAR_009737 transcript:KZN00983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESTPISTHPTKPTTTPPPPPPQPKPALITHPQPQPEEEETVKEVLSETPISKPPPPPPQITNPNPRPDVKPKKIEPIGVTKPTEQEISLVSEISEASEFCSFSGSFSTTTVNEKDDGEVTQRVGPRSPAKTPRKCTYSGEVKRERGPTRSKRGGHSQVVRSSRPVAQGRANTTPHRRNVGLTSGAVKRDSGELSLRRSRSPAPRGEKGGPGGRTVGQRGVTGGGVTGQAGGKSPVRKVDESQRKVEKQDDAVLGENGSESLENPLVSLECFIFL >KZN03655 pep chromosome:ASM162521v1:3:46685699:46686007:-1 gene:DCAR_012411 transcript:KZN03655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTKLGEEYPVVIFSKNSCCMSHSIKTLIYSFGANPMVYELDELPNGQQMEKELKALGHKPSVPVVYIGKELIGGPNEIMSLHVKGKLVPMLLKAKAIWI >KZN03412 pep chromosome:ASM162521v1:3:44378434:44378610:1 gene:DCAR_012168 transcript:KZN03412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISESHEYVRKAKAWNPLLSDRQPNKPQAHKAVASVTGSPDSAEFRTQKIEKQTFLVL >KZN00835 pep chromosome:ASM162521v1:3:9098860:9106476:1 gene:DCAR_009589 transcript:KZN00835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNTFADARAPVAPPASGVVADDPNQRQRFFVELAPDETTIVSWKALVQEANNGVVADDSSNEKEELGDNIKVEKSRIKEGGSIVKRDIVQHKSDKEKSSISLEVLNKTMRKVIMAFVTSGALQKLHDLKSVQHDLPSRSSMNKTFSPTVSSQTIKEDIICLILIWCCWIPPQNLHPLPIHQLPRHC >KZN01394 pep chromosome:ASM162521v1:3:15407387:15415825:1 gene:DCAR_010148 transcript:KZN01394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRVHNFFEQEHVSQGQYHPQIADGNWAAANNNFRVGNQRQFDALSSKTIDYSLQQSDLERARNNQFQQVRHNYNPPSSSRADSVNNQSHNLHQDFNGYMYGHQASQIRPEDANYVGMGIESNLNNSGRGVPLNRQLQGSSTEHPAIMRMDSFESPVSYDFFGGQPKVSEHPSMRQSLPEQQSESSDMQQLQQQLMFRKIKELQRQKDFQQLHARQQHSVKQVSSFTGQGSGNRSYDFINGTPVSDASPWAKLPTGNTNWLHNASSAMQGSPGGLVFTPGQGQASQSGNLIRQQVDQSLPGVPISRLRDSSDQYQYANNKSLQQQATYNNSYQGNNYAAFPEQVNMQDEHINNSLGFQGHKLFGQTSHQGPSGRMNMENPDEVNSLEKNVAMQDFQRKQMVGPSGTSHDTVGEARSSQNSVGLDPAEEKILFGSDDISIWDAFGEKTVMNGEASNCLDSSEINGLPSIQSGSWSALMQSAVAEISSSDVGIQENWTNLSIQHPNPPTRSHQSSTYDTGKHHTSMVANSVQVSAAAFEPVPLSEDTNLKNNYHNSSQVFQQPGQKTSREQIEGQGPNQQSSAGESNWLNSGNQNYGSASHSVNADSDGRRYSNHWAALQNGPSQLSKPNSWTFTNDVTHSGDMPSDVHGKGNHVQNSQNGQKQLMHESLNLKGGIWKVNPMSNSSAEMERLRSTTGSFQEGSSLNTAAVLNSTKSRAGDETNFLGRWKPMEAFVKTKESEDSRKPEHLLKNGPLFMGSAFPSSEKEVKTQNMDTFTEKENQNAGYRSNVSNNNFTDGSKETELSDASDSQSLAGGKQKSSNPGRPKSAGPRKFQYHPMGNLGEDVEPSYQTRHASHSKMMHLHNSEGFRSQDKGFFGNSKLIAQLPRGFIEKGKGQLPDHQEDTNRLDEVSFRGSLPNYGPEMSSAISNSVGMSALDKASHSRNMFDEQHASIILVYCSENMLELLHKVDQLKEHSAMHSTSTGYIPRSQTPEAESSDGIGGCLQQNQSSSAQGFGLQLAPPAQIRPGLKHFTSNPSRTDNYSSNQATGVGGDQGRMMLNSTALGQSLPSHEVGRGEYKNTKTVPGQTAIESWHTMQESFSSDSSFPCTSSQLQDQLMAKASGHAFSKECISQTITTTGISQKGAFSNRLSNIWPNVPPPQQLSGAQDRPTQPNPVQHHQSDIVESTLPVLHNLEEQGPALVNDSPSELGVDSSHPQGLVCEKEVSRKNVCVQVSSGNTDSTHKVKKSFREECGIQQLHHAPSMNPPSTRRDIEAFGRTLKPNNLVQQDFSLTNQIRAMKDVETDPSYRGSKRQKGTRNILLGEQVALRSGQPYEADATVEDGPLSSTCIPCEDPKVHHFSKQEDYGARNESENLRHGTSAAFNRIEHPNISPQMDPSWSNQYGSIKDGQMLPIHDAHKAVTLKVMEQSNKLSYAESLDHMNVSGDAREINDKHPIENSAFLATENLPSLHLLPPNNAWEHTAVSRPKKRKFAAPEIQSWEKEVSYSCQDLPSLRVAEANWAKAANRLSEKVEEDVDTNNDGLLLPRARKRLSLTRQLMQLLFPAPPAKILSAEASSNYETVVYSVARRALGDTCSLMSCLESNDSPSVQANLCSRKTSDNREKHTSQVMQNFTGRVRKLETELLRLDKKASVSDLKMEVQDVEKISIINRFAMYHSRLQADGADTSSLQNSQKPFPQRYVTAVPLPRNLPDSAQCLSL >KZN01514 pep chromosome:ASM162521v1:3:17833872:17837464:1 gene:DCAR_010275 transcript:KZN01514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAITLLFLLLNISWYPASSGLNQEGLSLLSWSSSFNTSPSATFFSSWDPTDQNPCKWNFISCSSNGLVSDIIITSIDLSTNFPTQFLSFKNLTSLVLSNGNLTGEIPQSIGNLSSLVVLDLSFNALTGNIPHEIGSLSELQQLLLNSNSFEGEIPEEIGNCSKLKQLELFDNQLSGRIPEAIGQLSYLEIFRAGGNLGIHGEIPLQISNCRQLAFLGLADTGISGKIPYTLGELKNLKTLSIYTANLTGRIPPEIANCSALENLFLYQNHISGVIPIEMGFLKNLKRVLLWQNNLSGSIPGTFGNCSVLTVIDFSINSLTGELPQFHASLNALEEFLLSENKISGPIPPSFGNFSGLKHLELDNNHISGEIPPEIGKLKELSLFFAWQNQLNGIIPTELGNCVKLQALDLSHNFLTGSIPKSLFNLNNLTKLLLISNKLSGWLPVDIGNCTSLTRLRLGSNMLAGLIPSEIGLLQSLSYLELSKNQFTGAIPPQIGNCLELEMIDLHENKLEGLVPDSLDSLARLNVLDLSRNRLSGSIPDSLGRLASLNKLVLSENFITGLIPKSLGLCKDLQLLDVSSNKLIGSIPDEIGHLQELDILCNLSRNSLTGQIPDSFSYLLKLANLDLSHNMLTGSLKTLGNLDTLVSLNVSYNDFSGALPDTKFFHDLPDTVFLANQRLCTNRNNCQVSEIHHTRKCIKITTVIVLLSVLVGMTIFTIVIILFIRAHGTKMNDEESGLEWNLTPYQKLSFSVNDIVGKLSDSNIVGKGGSGIVYRVETPMQQYIAVKRLWPTKNGELPQRDLFSAEVTTLGSIRHKNIVRLLGSCSNGKSKMLLFDYISNGSLAGLLHESRLPLDWDARYQIILGAAHGLAYLHHDCFPPIVHRDIKANNILVGPHFEAFLADFGLAKLLSSPDCSRASNTIAGSYGYMAPEYGYSMRISEKSDVYSYGVVLLEVLTGMEPADRRIPEGGHIVSWVNHELKVKMREFNSILDQQLLLQSGTQIQEMLQVLGVAVLCVNPSPKERPTMKDVTMMLTELREETGDFEKQNAHSTGSVSNPRAAIQCSSFSRSSQPLIRSPPQ >KZN02565 pep chromosome:ASM162521v1:3:34658432:34659421:1 gene:DCAR_011319 transcript:KZN02565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALPEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDNVHWEALTSDEKHFITHVLAFFAASDGIVLENLAGRFMKEVQVAEARAFYGFQIAIENIHSEMYSLLLESYIKDSEEKARLFRAIETIPCVEKKAKWAMRWIDGGESFAERIIAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACMLYDLLRMKPSEERVKGIVADAVEIEREFVCDALPCALVGMNGDLMSQYIKFVADRLLGALGYGKMYNVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMNSINGNADSHVFKLDEDF >KZN00600 pep chromosome:ASM162521v1:3:6756527:6757069:1 gene:DCAR_009354 transcript:KZN00600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKVKCSCGEEKCKEWAIVELQGVVEVQPDFKDSFRTLPMGKLCRPSSEENYTFTVGYHELSGSKVPLKKPFLVMKKIKHSVAGKGDDDTGLSSKVELEVIGIVRHRILFKNRPKALISSKMLA >KZN00442 pep chromosome:ASM162521v1:3:5317208:5320292:-1 gene:DCAR_009196 transcript:KZN00442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGGGEFGCWVWVSVRSEQELGPGFFETWAWKLSDEASLILLKLKLEINSMSRGDRVIQPPLVDTTCFCRVDAGLKTVSGAKKFVPGSKLCLQPDVKPSIHPTRQKPSRGDRNRSQSPLLPGLPDDLAIACLIRVPRIEHRKLRLVCNRWYRLLAGNSKALEAAALVPLNGKLCIIRNNMSITMVDVSKSGDTTGATAEHLWETIAGKGQFKTFVTNLWSSLAGRSRLKSHIVHCQVLQA >KZN03012 pep chromosome:ASM162521v1:3:40148956:40149444:1 gene:DCAR_011768 transcript:KZN03012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIPSLFGRRSSNAFDPFSLDGWDQLQGFPFNNYSSFGPLSDQFRSREASFANATIDWKETPEAHVFKADVPGLKKEEVKVEVEDDRVLQISGERTREQEDKSDTWHRVERSSGKFLRRFRLPENAKVDQVKAGMENGVLTVTVPKEVVKKPDVKSIQISG >KZN00745 pep chromosome:ASM162521v1:3:8077865:8078556:1 gene:DCAR_009499 transcript:KZN00745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNISGMWFIVYAFVMSELSEALVKLPPNATLTAFLTFGDSLVDQGTNNDIKTIAKCNFPPYGLDFVGGIPTGRFSNAKTIPDLIAEALGIKELVPSYLDPNLQTADLLTGVSFASGATGYDPLTSKTAVFSISKIMFNMFYVDRITQHLYLHACRQSYRYRLSWNCSKSTSASLKH >KZN03074 pep chromosome:ASM162521v1:3:40930329:40931630:-1 gene:DCAR_011830 transcript:KZN03074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVEVKQITLITPSEPTPTQTLSLSALDSQLFLRFPIEYLLTYEPSHGSDKSTTIAQIKLALARALVPYYPLAGRVRARQHGSGLEVVCQAQGAVFIEAESSADISDFEVVPLYVTERRKMLSLHVVDVLRGDPLLVVQLTWLLHGAVALGVGFSHCMCDGVGSVEFLNSFAEFARLGKSNAKPVWDRHLLDPSHYGSDSVGHPEFHRVADLCGFMSRFSHDKLTPTSVTFDKISLSELKRVALTSRVETVSYTSFEVLSAHIWRSWAKALNFPPNQILKLLFSINIRKRVEPSLPDKFYGNAIVLGCAQTTAKNLTDKSLSHVAELVRQAKEKVGNKYVRQVVELVSETRASPDSVGVLIMSQWSRLGLEKVDLGMGPPAQVGPICSDRYCLLVPVQNEMDGVKVMLAVPTYGVDKYYKNLLLKNNKAAC >KZN00730 pep chromosome:ASM162521v1:3:7967212:7973194:-1 gene:DCAR_009484 transcript:KZN00730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPLIQPLKYPIVRRDDAVVDDYHGVLVPDPYRWLEDPDAEEVKDFVQKQVDLTESVLKQCETREKLQKKLTELYDYPKYDAPFREGDKFFYFHNTGLQPQKVLYMQDRLDGQPEVLLDPNELSEDGTVALSVYAVSKDAKYLAYALSSSGSDWVTIKIMGIQDKKVHNDTLSWVKFSDINWTNDSKGFFYCRFPAPKEGEKVDAGTETNANLDHQLYYHFLGTDQSEDILCWEDPANPKHNFGAQVTDDGKYVLLYTFESTDPVNKFYYCDISAFSNGLEGYKGKNLLPFVKLVDNFDAYYGAIANDDTLFTFRTNKDAPRYKLVRVDLKEPTTWTDVVDEAENVLESAIAVNKNQIIVSYMSDVKDVLQLRDLKTGTFLHQLPIDIGTVVDISARREDNIVFISFTSFLSPGIIYQCNLESGVPDLKIFREIIVPGFDRAEFHVNQVFVPSKDGVSIPIFVVAKKDIPLDGSHPCLLYAYGGFNISIKPSFSVSRIVLMRNLGAIYCIANIRGGGEYGEEWHKAGRLATKQTCFDDFISAAEYLVSTGYTQPSKLCIEGGSNGGLLIGACINQRPDLYGCALAHVGVMDMLRFHKFTIGHAWTSEYGSSENKEEFHWLIKYSPLHNVKRPWEKSPAQASQYPATLLLTADHDDRVVPLHSLKFLATLQYVLCTSLDKSPQTNPIVARIDIKAGHGAGRPTKKIIESSADSYSFMAKMLGASWVD >KZN00366 pep chromosome:ASM162521v1:3:4531835:4533394:-1 gene:DCAR_009120 transcript:KZN00366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRNFMETAKPYIAMISLQFGYAGMNVLTKVSLNTGMSHYVLVVYRHAFATAVIAPFALVLERKVRPKMTFSIFMQIFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEILDMKTVRCQAKVVGTVVTVAGAMLMTLYKGKVVEMIWSSHIHPIKSSAPSTPVDADKDWIKGSILLIIATFAWASFFILQNVTMRRYTAPLSLTSLVCFMGTLQSIAVTFAMEHKPGAWRIGFDMNLLAAAYAGIVSSGIAYYVQGLVMEKRGPVFVTAFSPLMMIIVAIMGSFILAEKIFLGGNK >KZN02385 pep chromosome:ASM162521v1:3:32895648:32895948:1 gene:DCAR_011139 transcript:KZN02385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAELNNQLVYVYAPSPPTFEGERHRLYCTENEMENYLLGGL >KZN00780 pep chromosome:ASM162521v1:3:8512654:8516117:1 gene:DCAR_009534 transcript:KZN00780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRILIHVRLVEDLTRWYAIRVWPQTTVAQFKYMVSVLVNVPVYQQILVHADLCLQGHRTIASYGLQDGCAVYLFRIHDPSAYFSSVAAIAALAPLDGNNTPGFGGHDSAPLSPHLDGSSDGTVNEILIYVQFSSDPRFSIRVRPDLTVLEIKGLINVEQNIPVNEQQLIFSGQTLLDDRTIGSYGVEDGYVIHLARRSLPANTEPLEVLYEDQLSELRRLGFRDTYDNIQALVETSGDVYAAALLLLQNL >KZN02543 pep chromosome:ASM162521v1:3:34345289:34348057:1 gene:DCAR_011297 transcript:KZN02543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTDFPLRWESTGDHWWYASPIDWAAANGHYDLVRELLRMDGNHLIQLASLRRIRRLESVWDDDEHFDDVAKNRSQVAYKLLLECESKRGKDSLIRGGYGGWLLYTAASAGDLDFIQELLKRDPLLVFGEGEYGVTDILYAAARSKSSEVFRLLIDYALLPRFSEGYKKEDEEDTKEMPSAYKREMMNRAIHAAARGGNLIILKELLGDCCDALAFRDVQGSTILHAAAGRGQVEVVKDLVASFDIIDCSDNHGNTALHVAAYRGQSAAVEALMLASPSTMYSRNNNGETFLHMAVTGFQTSIFRRVDQQIVLMKQLVSGNVFNIEEIINAKNNDGRTALHVAIIGNIHTDLVELLMSVSSIDINVCDNNGMTPLDLLKQRPHSASSELLTTQLISAGGVFSCQDYSARRIMASHIRMRSAGISPGTSFKIPDSELFSHMGIRERDTRIKSDGITSARLSTDQEEMRKVDFVMENHGPKKYAKQSSVSDTALRLKRLFGWPKIKKKKPEILQKKIDEISLSSSEEIPISLRQRYSQRSSLANNKRTISVSNNVQSPIAKKKFAFGLVQGVVHSMPHSNAKHRSCSSSLSKSSLSSHSSLDKQKDIIVENNMAEESCCAPTVDAGSNVPARGNTKLMKRICFGASGSQKQHEIHERSFSSITS >KZN00026 pep chromosome:ASM162521v1:3:1221763:1222612:1 gene:DCAR_008780 transcript:KZN00026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNGLLKDEMPKNIRIMKQNLFEDQQEQLELIVEQLSMCLELPFHEYPEYKFVETKIKIIDLSGIADKCCKRLYECIGNELLGELHQAVHIIAPYRAYGVERASEIPSESSDENVLMTERCVARPCHPSSSSQDLYEGNGGPLKRVKIDEEESRWV >KZN00878 pep chromosome:ASM162521v1:3:9498491:9501835:1 gene:DCAR_009632 transcript:KZN00878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSSCTAKMFLRRCYSSFSSHPLRVCVVGSGPAGFYTAEKMLKAHQNAEVDIVDRLPTPFGLVRSGVAPDHPETKIVTNQFSRVAHNDRFSYIGNVTLGSSISLSELRDLYHVVVLAYGAESDRAFGIPGEDLSGIHSAREFVWWYNGHPDCSNLAPDLKSTDTAVVLGQGNVALDVARLLLRPTTELATTDIASSALDALYESSIRKVYLVGRRGPVQAACTAKELREILGIKDLYIHIQNVDLLTTPADEEEMKSNRIRRRVYELLSKAASSETSGPSPGQRELHFVFYRKPDKFVESNEKSGHVAGVQLEKTVLRGTGIQTAVGTGQFEELGCG >KZN02626 pep chromosome:ASM162521v1:3:35290408:35302026:-1 gene:DCAR_011380 transcript:KZN02626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIEDDIRDLQLDSAENEANGEDAASAEVEISDRKDEGNRKLMANGEELMADEVEKPNRIDEGIDVTEVEENSHLHVEPKNSREAVQQELPGPEKTENENEDAKKRHFNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEARDNSRESWYMAYIMDTNEEERNKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGFEKGGQTREHVQLAKTLGVTKLLVVVNKMDDPTVNWSIERYNEIESKMVPFIKTSGYNVKKDVQFLPISGLQGSNLQTRVSKDVCSWWNGACLFEALDAIDIPPRDPNAPFRLPIMDRFKDMGTVVMGKSESGSVREGDVLMIMPNKAPVKVLAIYCDDDKVRHAGPSENLRVRLSGIEEEDILLGFVLCSVARPISAVTSFVAQLQFLEAIYTAGYKAVLHIHSVVEECEIVELMKQIDMKTGKPMKKKVLFVKNGAVVLCRIQVNNLICIEKYTDFPQLGRFTLRTEGKTVAVGRVHELPTSSTSA >KZN03808 pep chromosome:ASM162521v1:3:48350006:48353784:1 gene:DCAR_012564 transcript:KZN03808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFFKLISYFQTQSEPAYCGLASLAMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKSTGISFGKVVCLAHCAGAKVKAFRSNHSTIDDFRKYVMECSASEDCHVISSYHRGTFEQSCKHESWASTAKYLVEDVPVLLGLGDVADVKNVLSVVFKSLPSNFAEFIKWIAEVRRQEDGGQSLSEEEKGRLAVKEEVLKQVQELGLYKHVTEFLSSKSSCCQGMKSFSNEESLPSIAANICCQGAGLMEGNCRSSVAYSCAETGLKCIRANGDNSVTVISGKVVNGNDEQQVDVLVPSSQTEVSCSGSCPSSYKVLYPASNDVLTALLLALPPETWSGIKNEKLLQEIRRLVSTDNLPTLLQEEVLHLRGQLLVLKRCKDNKVKSQERGATCRLNYASKKAVLVPQRSTCSFKLDNDVLIIPDI >KZN02431 pep chromosome:ASM162521v1:3:33454289:33454951:1 gene:DCAR_011185 transcript:KZN02431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVGSSNLPDSSCSCASSRCCSVSVSENEEEDSCLDDWETIADALIATDTGHDQKIVSPPQSVKNNGSTDPKSVNQNSHVPLKEKESKKMVPGVRGKPQAWRLGDVSRPQSLPNLVKQNYQKMRSSRRATATWARQNVEPQPSSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKKIMEGDARCPGCRKQYEHVEGDLGFRAEVDLNKASYGNLRTWIF >KZN01426 pep chromosome:ASM162521v1:3:16096168:16098075:-1 gene:DCAR_010180 transcript:KZN01426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQTLLLQCDLCDSSSHTYCVGLGDTVPEDDWICQNCTEHAEDEQDLKAVGLSGIDSHSGSKNRCHQNVSSTEANLSIHDIVRESGPYNVERSLPNQSRSPLTNAGDDRTVLISCRNRDSRTRALRENWDKIRQGSLSFSSFPIIKPGELSCGTSSATKSSTSDIIPDQATQDIKKAWKMMKAAKSVEKKKYTNTIPCPSNGSKHPLTNTETPKHFPSVRSMLPSSRHSGDKDKDSTNEFKEVARHATYSILAACGIGIPKPWVRPFSNPHCSHADKVDGARRSTLMPSSCRECFMAFVKDVVDTVLLEKTS >KZN02657 pep chromosome:ASM162521v1:3:35589835:35590452:1 gene:DCAR_011411 transcript:KZN02657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYIFLPFFLLLVPCALAASAPNPVIDTFGRYLRQGVSYYIVPVPPEDPTVSSRGGGLNLAATRNKTCPLDVVQEMDENNKGLPFSFIPINPKKGVIRESTDLNIKYMGDTACNESMVWMLNQLGDPNGPYFVTTGGVEGNPGAQTIGNWFKIEVFIDDYKIMYCPTVCKYCKVICRDVGIVVVNGRRYLGLTDQPRRVMFKTQ >KZN02459 pep chromosome:ASM162521v1:3:33643320:33644720:1 gene:DCAR_011213 transcript:KZN02459 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MSSNIVNNNGSSSPPHIVLFPFMSKGHTISSLHLALVFHRHGANVTIFTTPANRPFMSKFLSNPSINLIDLPFPKIPDVPPGVESTDELPSMSLLFPFMNSTKLMQADFEGALKTLPTVTFMVTDMFLGWTLNSASKFGIPRLAFAGINGFATMIIEYLILKRPELLLEKRFDEGFEHPSFPSMKLTKNHFDLHLFCSKIIADEISATPKSYGVIVNSFSELEPRLLEVWNKECKPKIWCIGPLSMAKPPSRELVNQEARPWMKWLDTKKGSSVLYVAFGTQAELSKEQIEEIKIGLERSQVNFLWVLRKCENIDEGFEERIKGRGMVVKEWADQREILGHESVKGFLSHCGWNSVTESICAEVPILAWPLGAEQPLNAMMIVDEIKVGLMVETCDGSMRGFVKAEGLERMVRELMEGEMGKVVRKRMEEVGELAMKAMEEGGSSWINTRELIHQTRVLSDTHATL >KZN01134 pep chromosome:ASM162521v1:3:12088843:12090208:-1 gene:DCAR_009888 transcript:KZN01134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMGVLQHEDCDFLNKMFSNDDEADFAFQFWEGFGNHTIFLPTNEANADANSVGFDDNLYSSSEFFNTSFCHSQDNTNISTSSNNYSACLPNVSHEVPRFCGSNIIPMMDNISKSMNIFLMDEENNALGKFVPNEHYVQDVVTAEVGKGIADVTDLGIETNFEVLQQPETVAIVENIPKKRCRVPRDTPKYKKNVQTKRTKRVTQSVKIDAENNLNGTNGQSSSTYSSEDDSNASQELNNGATSDSTEAVALNSSGKPRAGRGAATDPQSLYARKRREKINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDEMWMYAPIAYNGMDMGLYQNISQAL >KZN00131 pep chromosome:ASM162521v1:3:2233768:2239034:-1 gene:DCAR_008885 transcript:KZN00131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLVGVFLEKVSEYTVKALFRGFTYMFCYKTLVDQLNSETEKLNIEEGNMSREVEKEKNNGKIVKDYVSKWQVNAQEIQKSAAEELSPSCSCIQRLPVPNPISRFRIGREAVKKAKAVTQLKDSGKEYLTGEIAYLPEVIVMPNFETTFEEFESRKDTYQKLWDSLVDEDGPVIHGIYGMAGVGKTRMMEQFWEEAIKKKIFKKAVRVNVGSENMDKMKLQEQIAGLLDCKLESEVMENRASQLENSIRNRGKILLILDDVWRDIHLDDIIGTPFGNGTSSSGGPKILFTSRKKEMCLANKCQHIVEIKTLSPGEALYMFKKIVGPADLNNPLPDESLVKEVCDKCGELPLVIHAVGKALKGKPNYWWTDAHDQLQKGKFEEIADVDPQVYSGIKLSIDYLQNDDAKSCLFLCSMFPEDADIDIKILIQLATGSQLIPCGESRVLAMVDYLKKSSLLLGSGEDAQTKVHDIIRAVARSIAFTDSKYAFLQVTCNSRYLPSNANYTRRFLRLDVETEDVDFGEHWICPNLHTLWLHCGNYWQPFSGGFYSMFVNLSCLMLQYVDISSEHFSLQPLGNLGTLSLFSCDIRNTDARFYPKRLESLCIYECRLPEPLDVANLEYLRKLEIRQQRAVLVRENVISSLSSLEKLHVSHGFVHSYDEYHMEPIVKEISELTCLRSLHFEFYQDNTFQGTDIPFSTDRYNIFVGEALNGNFKFDQDWKVPLTKSIQIIGNHSMPWEGLMVSAEKVNLCNSDVDVSSICNDHKRAFEDLKILVIFGCDNMGHLASISRDRILDSVQLATCFSKLTILKIFRCSKLKYLFCNNIAKTLVQLQELRLDQCDSMEAIVMNEGTSDGEIINFSKLKSLQIEYAPKLRSFCAENSDYPSAQNLPLLDKMVAFPSLEYIYICRCGSLRSMFASSVARELKQLKQIRVEACEEMTSIARVDEQAICDGILFPELTCLVLYNLPNLMSFWSNQNGKADTCKAQLIPRLSSNVVLDFPHPKSFFDDENFQLYMPILKKLVVDSCRITTLFTFSVFRKLQLETLEVKCCEFLVNIVEDFRGDQICDRIITLSRLTKVDLMNLPNLKRFFQVANYEFHMPVLKTMEIRGCGLSNTLFTRSIFKNLQQLEEVHISDCELLDGIFEDAVGDEILDPSDKIITLNRMQTPNSFHMLGVSRNQTSRKVKYKQL >KZN00134 pep chromosome:ASM162521v1:3:2257098:2266008:-1 gene:DCAR_008888 transcript:KZN00134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPVVGVFVEKLSEYTVEAVFRALRYMFCYKALVHQLNSETEKLNIEEVNMSREVEKEKNNGKIIKDYVSKWQVNAQEIQKSAAQELSPSCSCIQHLPIPNPISRFRIGRNAVKKAEAVTQLTTSGKEHLAGEIAYLGGLINIPNPDTTFEEFPSRKDAYQKLWDSLVDEDGPLIHGIYGMAGVGKTRMMEQFWKDAIEMKIFNKVVRVNVGNENKDEIKLQEQIAGLLYCEHLMSKVLERRASQLENSIRNSGKVLFILDDVWEDIHLDKIIGTPFGNGTSFSGGSKILFTSRERDVCLLNSCENLVEIKTLRTDEALYLFKKTVGPDTINSLQDESLLRNVCDECGHLPLLIHAVGKALKGKQHISWEDAHNQLKKGKFEEIGVDPRVYKGIKLSIDNIRYEDARRCLFLCSMFPEDANIDMKMLIQLATGSQLIPDGESRVLAMVDHLKRSSLLLDSGKANETKVHDIIRDIARSIAFADSKYAFLQVTCNSPDLPSNVNYCNRRFLRLDAEADDVEFDEICPDLCALWLQSNRHPQQFSGGFFSMFSNISCLMLQKVKISLEHFSLKALCNLGTISLLECAMSDTGVILFPEKLKTLCICQCWLPSLDVAYLKCLRKLEIQEREPLWVAKNFISSLSSLEELHISNGFTQNAFKYDLEPIVMEISKLTRLTSLHFEFYKDGSRNPFQGTDIFCNINRYSIFVGEDQDRTFMPRRDWKVPLTRSIEFMGLHSKPWEGLMARADEVGLSYSDIQVSSICHGHERAFQDLKRLRINQCHSMEHIASISSLDEIQYSVQPATCFSKLTNLEVIECSKFKYLFCSNIAKGLVQLQELSLRYCNSMEAITMNEGTGEIINWSKLKSLKLVHMQRLASYYVTNSAYPSSQYKPLFDGMVALPSLEYILIRECHSLSSIFSPSFAGDLKQLKQMNIRDCKEMTGITGVDEQAISDGILFPELTSMELVNLPCLTSFWCYRKANLSCLTSFWCYGKANTCKVPLKLSLLSSVVLMDLPDLRSFFHDENFEFDMPALKMVIVDGCRLSTLFTFSMLRKFQLKKLGVKNCEFLVNIVEDLRADEICDQPIMLSELTEVNLANLPKMEGFFHNANFEVHMPALKKVNVTSCTLSTLFTLSKFRILQLEKLEVLDCELLENIVEDSRGDVTCDRIVTLSKLAAITLEKLPKLKSFFHNANYEFHMPVMKKVKVLKCGLSNALFTRSIFKNLKQMEELSVCDCELLEGIFEDAKAGDTLDTSDKIITLNRVSTVHLEGLPKFKNIFYGATYECYMPALKDVKIVGCGLSVLFTCSVFRVFQLQKLHVSHCESLEHIVEEVGGDVTCDRIVTLSQLATITLEKLPKLRSFFHNANYEFHMPVMKKVKLLECGLSNALFTRSIFKNLKQMEELSVCDCELLEGIFEDAKAGDTLDTSDKIITLNRVSTVHLEGLPKFKNIFYGATYECYMPALKDVKIVGCGLSVLFTCSVFRVFQLQKLHVSHCESLEHIVEEVGGDVTCDRIVTLSQLATITLEKLPKLRSFFHNANYEFHMPVMKKVKLLECGLSNALFTRSVFKNLKQMEELSVCDCELLEGIFEDAKAGDTLDTSDKIITLNRVSTVHLEGLPKFKNMFYGATYECYMPALKDVRIVGCGLSVLFTFSVFRGFQQLENLHVSNCESLEHIVEEAGGDEISEMNSKTIKSSKLSSVTLESLPNLKSFSGAVSYVFDMSKLQNFRLINCPRIDNSSSSKINTSLVCVTSDWCSEEEFQDLDDFIRQNQIRGSYSRARVGESSYSKCETNISV >KZN00228 pep chromosome:ASM162521v1:3:3243181:3243441:1 gene:DCAR_008982 transcript:KZN00228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSMFSSFDALFAESFAQKVGFSWPVVSTKESQTSSSFLDNSADKFIGKKMSENQQVQQKRSKTTSTRFAPELDGVHCFETIIRY >KZN01131 pep chromosome:ASM162521v1:3:12081528:12084354:1 gene:DCAR_009885 transcript:KZN01131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEIEWPANRVRDTFIKFFEDKQHVNWKSSPVVPHNDPTLLFANAGMNQYKPIFLGTADPNTQLSKLTRACNTQKCIRAGGKHNDLDDVRKDTYHHTFFEMLGNCSFGDYFKAEAISWAWKLLTKACSNVYKLPEDRIYATYFGGDEKSGLDPDTEAKALWLKYLPKKRVLPFGCKAEAAQVRGKTFYLQYSNRQEIVNYKTTADVVRNVLEMLQIAESLVAERKIQTKRRIKN >KZN02761 pep chromosome:ASM162521v1:3:36972198:36974182:-1 gene:DCAR_011516 transcript:KZN02761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLVDKYLSSTQGADPQVKDHQATQKQVQETKMEIDVLKNDIEILQKSLRYMSGGGTGKMTMDELQMLEKNLEVWIDHIRSVKMDIMVQEIQLLKNKEEILRAANHYLNDKMINQYGFTDMDASVMNNIAYPLTVQSETYQF >KZN01774 pep chromosome:ASM162521v1:3:24928942:24929361:-1 gene:DCAR_010528 transcript:KZN01774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLSLLSPPLSPRLSPVGPPITTCSSSPCWHQPLTIFNHRQFHSPIHFNFTLNKPLSSSFPCNCSNEQQLSHDSDDDDEDGQGVVEYVADTANTWNSRLPDRWDVLGLGQAMDYT >KZN00109 pep chromosome:ASM162521v1:3:2011311:2011898:1 gene:DCAR_008863 transcript:KZN00109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGHHNKLLRIITLPFRFLKKGKDMYVKSMMDVASKPRYGSSQNMMGSRNKSSKASSALPKSFSTSYAPSLSSTNFDGDDLKELIRANSTTYNNNDPTNKLRTEMDLYLKQVIKEQQVKKLKESLVMGTNSRLSSSQGVVPRSVSVGMGKIDEEKPFEEEEEEEEDSIQVKKKKEVMFPRSRSHAVSQTTAVF >KZN02964 pep chromosome:ASM162521v1:3:39612748:39613932:-1 gene:DCAR_011720 transcript:KZN02964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYWCYRCSRFVRVSTDDDSLSCPDCAGGFIEVIDTPAPTISESRRNRFPAAAMYMVGNGGNSGNSEQNSSPAIRRSRRNTGDRSPFNPVIVLRGTGEAEPAEDGSGFELYYDDGAGSGLRPLPATMSEFLLGSGFDRLLDQLSQIENNGIGRMEHPPASKAAIESMPVVEIGEEHLGSELHCAVCKEAFEFGVEAREMPCKHLYHDDCILPWLNLRNSCPVCRHELASDVNNNSSSGNVIETSEVNRVQNENSLTPSNGNGNEDETVGLTIWRLPGGGFAVGRFSGGRRGGDRELPVVYTEMDGGFNNNGVPRRISWASRGSVARERGGFRRVLRNLFGCFGGSRGSVASSSSESRMNGRSRSLSSAFSNSSQRRGAWPWAAEASNRARRS >KZN00725 pep chromosome:ASM162521v1:3:7919139:7921429:1 gene:DCAR_009479 transcript:KZN00725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMYEQQQDVIRNKDYVIKMSRSMGSSHEEEPQLLDVLNLSGMSLESLPNPSINLAAICKLDLSNNNLQSIPESLTARLLNVAVLDVHSNQLKALPNSIGCLSKLKILNVSGNLLQSLPRTIENCRSLEELNANFNMLTKMTDTIGFELINLKKLSVNSNKLNFLPSSTSHLINLCILDARLNCLRALPDDLENLINLKVLNVSQNFQYLRTLPYSIGLLISLVELDISYNNISTLPDSMGCLNKLHKLSVEGNPLVSPPMDVVEQGLFKVKLYLSERMNGAHKNSPRKFSWVGKLKKYRTFNASSSKAQVNVNEVESLETQGFIVNHDHSDYHDIQAIASPRSLKMFSPRRLFSPKTYFTR >KZN03213 pep chromosome:ASM162521v1:3:42528023:42530897:-1 gene:DCAR_011969 transcript:KZN03213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDFGKIITSNIPSAVLFPSHIDDIKNLITLSYNSTTPFPIAARGHGHSVRGQATARDGVVVDMKSLSNSHVGTRVSWTSSLGYYADVGGEQLWIDVLCATLEHGLAPVSWTDYLYLTVGGTLSNAGMSGQAFYYGPQISNVLELGVITGKGEFFTCSKHTNSELFYAVLGGLGQFGIITRARIVLDKAPKRVKWVRMLYHDFSAFTRDQEHLISITGLDYVEGHLLMNQSSANNWRSSFFSPNDVSNIASLITQHKIIYCLEVVKYYDDFTTHTIDKELKVLLEGLSFNPGFVFEKDVTFMDFLNRVRSGELQLQSQGLWDVPHPWLNLFVPKSRIFDFHQGVIVNMIHARNITRGLVLLYPTNRKRITQQGTEDSACTMIPCLNSQLSLSAENLFLRE >KZN01124 pep chromosome:ASM162521v1:3:11999430:12001947:1 gene:DCAR_009878 transcript:KZN01124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSYTIEHIFSTYERGEVNSSLLGEREDMTFRYKRVDLPDMLERNEANTQGKTDSGKNQNCKLYAHSLIGVGVALSLYFKRKIKKTFEMADYATIVAATVKNPKLLMAASALVLPIQPLKVSVVHTGMM >KZN02922 pep chromosome:ASM162521v1:3:39059060:39060160:-1 gene:DCAR_011678 transcript:KZN02922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRYRWADEDGPTISDLFWRKCADRTKDNLSKERTKALQNASNEFPGQGDLHMHKFNPWWCSADIWAQMCAQWTEPEFVHKSNTASGNRCGGAEKAKGTYKGGSISQGQHMANKESQSQGTINWLDVYVATREGIPAAQEVAKNYRTLVAERYPEGTQPPHIDQELWERASIVKKNYVKGQGQRRRPSIFGSTCSTQSSQSPSHPPVHTPADCVRAICQDRALLRVLGGHLGLMDPDELAHAVAEAAASQQSDGRQVYNLFSSKLQFCY >KZN03436 pep chromosome:ASM162521v1:3:44537621:44543727:1 gene:DCAR_012192 transcript:KZN03436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVPCSLVGADGLKLVGISFGGGIRMGRSPYRKIVSSFPHIKCSTNSHRVTPNNNKDSFLDLHPEVSMLGREKDYKFSTIKDTHTLSDDSTESVRESSRSSNYNEATIKVIGVGGGGSNAVNRMIESAMDGVEFWIVNTDVQAMKMSPVNSGNRLPIGQELTRGLGAGGNPEIGMNAANESQAAIQEAVQGADMVFVTAGMGGGTGTGAAPVVAGIAKSMGILTVGIVTTPFSFEGRRRTVQAQEGISTLRDNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGMVNVDFADVRAIMQNAGSSLMGIGTGSGKTRARDAALNAVQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSLSGQVSITLIATGFRRQEESEGQGQGDVTSGMSRRPSSFTEGGSVEIPEFLKKKGRSRYS >KZN01126 pep chromosome:ASM162521v1:3:12020976:12022506:1 gene:DCAR_009880 transcript:KZN01126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRLLAKHNSDNRFKIARAGAIKPLISLTLSPDSQLQEYGVTAILNLSLCDDNARLMTSSGVISPLVKALRSGNQTAKENAACALLRLSQFEDNRVLIGRSGAMEPLVKLLESGNIRGKKDACTALYSLCSIKENRIRAVEAGIVKVLVELMADFGSNMVDKCGFVMSLLVGEVEGRLAVVEEGGIPVLVEIIEVGSQRQKEIVVAILLVLCEESLMYRRMVAREGAIPPLIELSQCGTTRAKHKAETLIELLRRPRSTNTSSTSTSSDGSL >KZN01015 pep chromosome:ASM162521v1:3:10818035:10819691:-1 gene:DCAR_009769 transcript:KZN01015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQVTREKRSEQGVVEKDKVPKITSHFESLTVTPVKESSGAVNVGKFEVHGVEEKDSSRQMEDITKYRQEAQQKSNDALTAAAERYNKAKEGAGPKTNYTVMQGGQDTSKVAAEKAARAKESAVQGAQRASQIAAEKAARAKEGAVQGAQRTAEIAAEKAARAKESVMQGAQDTSQVAAEKAARAKESLMQGAQRTSQYAAEKGGVLKDTAIEKGQPLYESTRDTLASAGRTAADYTGQTKDYVAEKAVGAKDVVAEKAVGAKDVVAETGKGTAGYVVKAKDYVAEKAVGAKDVVVESGKGTAGYVGSVASTVKDKAVVAGWGAAEYTAEKVADATKAVANVTAGAAGYVGETAVAAKDKVGNVGISAKDYAAQKLAAAKDTVVATEESAKDYAARKRAEAERQMQAKKSAEARGEVWTTTERKHDDIAGAGRQTAEAAKETISRPVEKAGEFFQEQSEYGRKGQLGGERTTEVQQGGGGVFNAIGETIVEIGQTTKDLLVGKGQSSPAATETVKDSSEYNK >KZN01734 pep chromosome:ASM162521v1:3:23976388:23980154:1 gene:DCAR_010488 transcript:KZN01734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPYYYCGTLLLLILGFSKSYAYQEGKVTGSRFFIFGDSTVDAGNNNYISTTPENQADYPPYGHNGYFEKPTGRFSEGRIVVDFIAEYAQLPLIPPFLQPSAEYVNGVNFASAGAGILPETNQGLVIDLKTQLNHFEQVRQSLIEDLGEAEANTVLSEAVYSFSIGSNDYMGGYLGNPNMQQMHDPEEFVGMVIGNLTQAIQELYAKGGRKFAFLSLSSLGCLPVLRALNPRKEGGCFEEATALAMAHNNAFSSVLANLEHLLKDFSYCNSKFSIWLDDRINNPLNHGFKDGINACCGIGPYGGIFTCGGTKNMTEYKLCESPDDYVWWDSFHPTEKLHEQLAQELWYGSPDVGPYNLQQLFSGNNKKFIIADVVDDPSYEQKY >KZN02442 pep chromosome:ASM162521v1:3:33527908:33528099:-1 gene:DCAR_011196 transcript:KZN02442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQKIALVLAVCFVFAALSVSAQVSPAAPPSTDAAVGLISFESMLIGVFAVAVSFFALTAAV >KZN00032 pep chromosome:ASM162521v1:3:1281444:1291400:1 gene:DCAR_008786 transcript:KZN00032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALPQPIGTPIESPYGSWKSPITSDVVSGSDKRLGGFGVDSRGQFYWTESRPNESGRSVLVKESPKAEDEPIDITPGGFAVRTLAQEYGGGAFKISGDTVVFSNYKDQRLYKQSIHSKDSVPVPLTPDYGGPLVSYADGEFDSRFDRYVTVMEDGRESRLNTTTTIASIDLNSDNIQEPQVLVGGNDFYAFPRMDPKGERIAWIEWGHPNMPWDRSELWVGYISSKGDICRRICVAGGDSNIVESPTEPKWTSEGNLGWSCHTLLSLDLVRNWQPGELFFVTDRTNGFWNIYKWVESKNEVLPVYPLNAEFARPLWVFGMNSYEFLEQKHLIACTYRQNGRSFIGILDYAKNKMSMLDIPFTDINNITAGSRCLYIEGASGVLPLSIAKVTLDAQQLKAVDFRIVWSSSANFSKYKSYFSLPEVIEFPTKVHGQNAYAYYYPPTNPIYQASKDEKPPLLLKSHGGPTAETRGILNLSIQYWTSRGWGYVDVNYGGSTGYGREYRERLLGQWGIVDVDDCCSCAEFLVDNGKVDGRRLCITGSSAGGYTTLAALAFRKTFSAGASLYGIADLKLLKEETHKFESRYMDNLIGSEKEYFERSPINFADKFSCPIILFQGLEDRVVPPAQARKIYQALKEKGLPVALVEYEAEQHGFRKAENIKFTIEQQMLFFARLVGQFKVADEITSIKIDNFD >KZN01927 pep chromosome:ASM162521v1:3:27064474:27065560:-1 gene:DCAR_010681 transcript:KZN01927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHEACEKWGFFQIENHGIDKQLMEKVKDLVNQHYEENLRDTFYDSDIAKSFEEGNRATIDWETAVFIKHHPDSNINELPNLSQEFSKTVNEYIDQLIKLAEKLSMLMSENLGLEKNYIMETFSGSKGPSVGTKVAKYPQCPHPELVRGLREHTDAGGIILLLQDDQVSGLEFLKDGQWVKIPPSVNNTIFINTGDQVEVLSNGRYRSGLHRVMADKNGSRLSIATFYNPAGDAIISPAPKLLYPNNFTFQDYLKLYATTKFDDKGPRFEVMKKMANGDISLPV >KZN01969 pep chromosome:ASM162521v1:3:27498295:27500048:1 gene:DCAR_010723 transcript:KZN01969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKRNSSDLGLAAILCTSYAALHCYWEASSYYTSRKLQTQNSSSEEMDQFGLPFSPILNELIFPRQEQERIWLFINSKFFPKAPEVYRRYRVLSCGRDKRSKAVIRKKRNRRMWYMLEREGAKQLIVTV >KZN03204 pep chromosome:ASM162521v1:3:42424887:42435503:-1 gene:DCAR_011960 transcript:KZN03204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNKFDLSSASPDRPLYASGQRGSYMAASFGRSSSFRENVENPILSSLPSMSRSTSSVTQGDVMSFLQCLRFDPKSIIVDHKLNRQGEFKRFAGLAIGLQPDESPSSSTKSKVPSLSPEEVKRFRIGLRESTIKARERVKIFNEGLLVVNKCFPSIPSRKRSRPDGISGERPSALFASDRSAVGPGVGKLGTQNHTLAGGFELEQQKAEERSKNVIPNKRTRTSMVDPRMDVRPSTPARTAGTADRDKEGSRFPTNSVAQGEDQTLAIGVDGWEKSKMKKKRSVIKADIAPGSPATKAIDGYREPKQGVHPRLLSDGRPRTSDSYAYRPGGANGIVVVKADGTSQAQQTSTGMRSTVPRSDQDSSLPLQDRRDHIINSDKERVNARVINKANTRDEFSSGSPTSSAKLNAATRAPRSSSGIVPKLSPVVQRANAAKDWELSHCTSKNSGPVGASNRKRTPSTQSSSPPVAQWASHRPQKMSRTARRTNLVPIIPSNDETTSLDTISDAAGSENGLGFPRRVSGNSPQQAKLKGDILPTATLENEESGAAETKSSKSKKSDDLDDKSGKKIQKLSPLLLPPRKNKVASREDLGDGIRRQGRTGRGFTSTRSLAPLTTEKYGNMGTAKQLRSAKLGFDKTESKAGRPPSRKLSDRKAYTRQKHTTVNASADFLVGSDDGHEELLAAANAVTNPAHALSSSFWRQMEPLFGFISDGNMAYLKEQRDGDSIPSTPNAAPLGSMLSRGVGMFKRAGDMYDTMPAELSPEDIAAGSEISLCQILLSALIPEDVTEDPTGSGNEEGEFNIYESEYEPNGQIETGSYSNGSVQNFELSGRGRNGCNGYELNSCRSYDEMEHDLQYNKMSFHERAVLEIQSIGLSPERVPDLIQTGEEEISRDISRLEDKYLDQVRRKKDMLDTLSKSATKARELQEKGFQQAALDKLVGMAYQKYMSCWGPNAPGGKSASGKMAKQAALAFVKRTLDRCQQFEITGNSCFSEPLFSEMFLSRLSQFSDAQQLAASTDGESGKLYCMDARASASVGVQSQQSPSLSNNDMYSFGPLSSMNSPAERTIGNEDTWSNRVKERELLLDDVVGGVVRTSLSSNAKGKRSDRDREGKGNNRDFSSRSGTTKIGRPTSGNVKGERKYKSKPKQKTTQLSAVNGLIAKVSEQPKAGLSSMPTSGSMRTNTDKEKNNFNLDMLGTSEPIDLSNMDALDVPDDLGDQGEDIDSWFGIDDDGGLQDNDFMGLEIPMDDLSELNMMV >KZN03324 pep chromosome:ASM162521v1:3:43610326:43612424:1 gene:DCAR_012080 transcript:KZN03324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSDDQQRRRILMKLADLIDENKEELAALDTIDAGKLFHHGKNRDIPGAAETFRYYAGAADKIHGDTLKMSMDYQAYTLLEPVGVVGHIIPWNFPAQMFAMKVAPSLAAGCTMVVKPAEQTPLSALYYAHLTKLAGIPDGVVNVVNGFGHVAGAALTSHMDVDKVSFTGSTEVGRKVMQAAAMSNLKQGEICAAGSRVFVQEGIYEEFLKKLEEKATSWVVGDPFDPSSQQGPQIEKKQYDKILSYIEQGKKEGATLLTGGKPFGEGGYYIEPTIFTDVKDDMIIAKDEIFGPVISILKFKTMDEVIRRANATTYGLVAGVITNNLNIANTVSRSIRAGTIWINCYFIFDKDTPFGGYKMSGYGRDMGMDGLAKYLHVKTVATPIYNTPWL >KZN03379 pep chromosome:ASM162521v1:3:44170931:44174150:-1 gene:DCAR_012135 transcript:KZN03379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFDSQYHVPQQSRRDKLRVGCNLDNTSSSLLPPFYDPSIMTSSDLLNFQHGVKEERMNLMGYISSCSSSNSHNLYMDPQASFHLNPAHIQDLNNNTNPNNFYQNNQLRVLDQSFAGVLPSGQGLSLSLKSSQQTHQNSAPLSLNLQRSYEQQAYDLSRSSVPLGPFTGYALILKGSRFLKPAQQMLEEICDVDKGNFQNEKFSGDACLLDPIPLETNLSRGDVSDDDPRSSVEQRSKKSKLLCMLDEVYKRYRQYYQQMQAVVTSFESVAGLSTAAPFANLALKAMSKHFRYLKNAITDQLQFTIKSYGQVNYGKDGIPRAENADTSIYGQKPIQNMGFIEHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRNQVSNWFINARVRLWKPMVEEIHNLETRQKPSQRDEQSAEKTNNHLHASDSVPSENASTSTQRVHELPSKRSRDDYSNNIMTGEEPMNLLYGNALRHQHVGVGINGPVGTSGVSLTLGLQNNGIGMSEPFAMNAARRFGIEASNEGFVMGTFETQNRQFGRDYIGGQLLHDFVG >KZN03830 pep chromosome:ASM162521v1:3:48800277:48809026:1 gene:DCAR_012586 transcript:KZN03830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDQNLPSADAYLDPCVAPPSVDSLIKDVSAASLLVDCPANDQKNQPQADVYLDPCVAPPPVDSPTKDVVNAGAPPVVGCSTKDEDATTKAAMNNDQKNQQGFATADWSFDPCTAPPHVDFPKKDAQAAPPPGAASTDDYECSDLFRCLGKLTRLIICCFCVISELM >KZN03913 pep chromosome:ASM162521v1:3:49846407:49849179:-1 gene:DCAR_012669 transcript:KZN03913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLEKSWCFCNGGGKSERMKASIFSSKGQALARIGIGGSLFGTGFLIHRNLLLTTHVNLPSVASAESAEIWLQNGVQACLFPHRFFITSSILDLTIVGLDIMDGDSNAQGQHPLYMKTCTKVDLDLGSVVYLLGYAENKELKVGECKVVIATDNLIKLSTDEVIWNPGSVGFDGHGNLAFMVCDPMKLATPPNTKSNTTSSASSSWKKDFRMQFGIPIPVICDWLNQHWEGSLDELTKPKLPLIRLKSAGQKSEFSSASFTMRQVFKSAEIKSAEAEEDGTPSSSNIMSKPNCMPGTSLSPIANIGKVEARVSNPNSSHLQGIPTPEIYESPRLNSIPFSKKASTQNQLLSINFPPRITRPPVSYHNVRKLLPFSDENFVKQVPLQHAMQENHLVERVQSSIADADMASIGSTSEVQSSSFPVEAPEAQNGYNSSEGETTMYSAETAESHNYPSPKQGNFKQVGRSQSCVNYNRWGTVQRNPIAQRTMGDKSRSFVQGRKMYSQGATSQRSNDYYSPTVSSIMKKRNNLEQPSRPKQFAVHSSAKWTF >KZN02749 pep chromosome:ASM162521v1:3:36870089:36870481:-1 gene:DCAR_011504 transcript:KZN02749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAMSVKNSCKVKPNFYQLLSLSSEDNVGFHEIKKAYRSKALEFHPDVCPPSSRAESSRRFMEIREAYDTLSDPHSRRMYDYKMSLVDSLFYEDARGDLSNKVWETQLSGLQKRSLDKLDKKKKKNACA >KZN02848 pep chromosome:ASM162521v1:3:38011383:38013597:1 gene:DCAR_011604 transcript:KZN02848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTVNYLCEMCGLRHWPSGTLALVYQVVKGVTLLESKLDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQYFADHPGSVPITEAQGEELMKMIGAPSYIECSSKTQQNVKGVFDAAIKVVLQPPKAKKKKGKGACSIL >KZN03588 pep chromosome:ASM162521v1:3:46041986:46044210:-1 gene:DCAR_012344 transcript:KZN03588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSFTVSFSLTLLAFFSIWVIHSTPILHQEPHFDLGSGHDPVGDFSSNSSHEVKNAIFIGTQLIGSVNTSGFSNFSRTGSDLNDTQDSLSHLNGSVSELISSVLIAPKQSNLDFDGVVISGNALNAHENGSESLSGKVEVSKNSEIQESSKKLCDVTKGKWVFDDSYPLYTNVTCPFIDEGFSCQSNGRLDKDYMKWRWQPQHCDIPRFNATAMLNLIRGKRLVFVGDSINRNQWESMLCLLVGAIKDPKRVYEAHGRRITKEKGNYCFKFLDYKCTVEFYVSHYLVHEGKARVGKKRLQTLRIDTMDRGASRWKGADIIVFNTAHWWSHYKTKSGSYSPSPCNRVNYYQEGQQVYPRLDVSTAFQKALMTWGSWLDKYINPQKTQVFFRNSAPSHFRGGQWNAGGHCREASQPVNETSRTTYYEKNIILEEVLKQMKIPVTILNITGLSDYRVDGHPSVYGRRPGKSSSGGQDCSHWCLPGVPDTWNELLYFYLQSNTRHT >KZN00666 pep chromosome:ASM162521v1:3:7438087:7439816:-1 gene:DCAR_009420 transcript:KZN00666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWAVSVSAQLGLEVVRIAAFDLAAEEYCLLPQPCYSGFEEFMEIVTVLGGKLCMNCNYNMRNVDIWVMENYGVAGSWTKILTIVQNVALQFMQLKPLAYSNNRKKVLLLKDFGELVWYDLELKVIKMVNNPVIPDFWRAYGSVESLVKLDSAPSTGMAQFRKKKKEVIDRCSGVAFWLCSGEAGAIHQSVTK >KZN00782 pep chromosome:ASM162521v1:3:8524642:8528762:1 gene:DCAR_009536 transcript:KZN00782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKHRPSSAFNSPYWTTNSGAPVYNNNASLTVGTRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRAPGVQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLNMFTFLLDDIGIPQDYRHMDGSGVNTYTLINKAGKAHYVKFHWKPTCGVKSLLEDEAIKIGGANHSHATQDLYDSIAAGNYPEWKLFIQIIDPDHEDKFDFDPLDVTKIWPEDILPLMPVGRLVLNKNIDNFFAENEQLAFCPAIIVPGVYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPANAPKAAHHNNHHDGLMNFMHRDEEVNYFPSRFDPVRHAERHPIPPPMLTGKRDRCMIEKENNFKQPGERYRSFCSGRQERFVNRLVDALSDPRVTHEIRSIWISYWSQADKSLGQKLASRLNVRPSI >KZN01058 pep chromosome:ASM162521v1:3:11227142:11231804:1 gene:DCAR_009812 transcript:KZN01058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPATPRQYPLQRISTFKDRPSTTASTPSSPLSSFASDPIFSVFLSADFNSTSFSSTALSTGSSIAIAEKLQLAIRTLENQLRSQVLSHHTHLLSQLSNLHQADSSLTHLRNSLSTLQSSLLRLRAEITEPNKAIKSKTTQLSNLHSSVSYLQGTIRLIRLSRKLRGLMGVESLDLSKCAQLYYEVVSLFNESDLGGIGVVEEEMKWVLECGEELRVKGMEVLERGLESFNQAEVGAGLQVFYNLGELRGTVEGLVGKYKNVGVKSIANALDMKAISSGGGMYGGGPGGIQRSGTPQIGGGSKAKEALWQRMNGCMDQLHSIVVAVWHLQRVLSKKRDPFTHVLLLDEVMQEGDSMLTDRIWEALVKAFASQMKSVFTASSFVKEIFTTAYPKLFSMIENLLERISRDTDVKGVLPAMSPEGREQMINAVEIFQTSFLALCLSRLSDLVNTVFPMSSRGSIPSKEHISRIILRIQEEIEAVHMDARLTLLVLREISKVLLLVAQRAEYQISTGPEARQITSAATSAQIKNFTLCQHLQEIHTRVTLMVKGLPAIAAEVLSSSLGTIYGVACDSVTSLFQAMLERLESCILQIHDQNFGALGMDSAMDNNSSPYMEELQQCITHFRREFLSRLLPTSASASSVGSETICTRLVRSMASRVLIYFIRHASLVRPLSESGKLKMARDMAELELAVGQNLFPVEQLGAPYRALRALRPIIFLETSQLAESPLLQDLPPSVILHHLYSRGPEELESPLQRNKLTYLQYSLWLDSQGEDQIWKGIKATLDDYAVKVKARGDKEFSPVYPLMIRLGSSLSEKAPASHKPF >KZN02087 pep chromosome:ASM162521v1:3:29398604:29402418:1 gene:DCAR_010841 transcript:KZN02087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVSILGTVPLGLCGGGRSFMDSADAKKFINQKYIIEVASDQLQDTDIRPLLGVFCTCKSEIEAISHTTSSCLLNQDDIMSMIRGTDSQLRVLNLQNVTFSEDVLREIFEQGLDCEVLNLRSTDIRKLNISGRFMQLHTLNLDFCTSLATLEKDCFSCMPKLMRLSMCETRVGNLWTTTATLAKLPSLVELRFQNCLGCKDTGPCSASFDEQKSFLAYDSFVPAHILTRNLSNDNLVKTPSLHQNSKSSNLSEVIAASNGQASSQIEFSFDKLHVEDKVVPSLSAFNCSDATTTSTKYTLNHPSPICFVKYYREYMITSLPHLRVLDNLPIEKLDRELAKTTFAKHFEYLPYKRRHKESVVSVLQKRESGTSNIHHRKPFRKNQSSSSAKGGYLCSHSLLAAKVGNSVWPRVHSISNVSHITKDDSDNLQPRQFEYHPSNSSLLAFGTMDGDVVVINHENESVTGYIPSFGTNNSVLGLCWLKKYPSKLLTGMDNGSLRLYDINYMLPRVSGSVCSSSTVSFENFEHLTSVHVNSTDDQILGSGYSKKVAVYDICSGKRIQLFTDMHREAINVAKFAHHSPSLFVTSSFDHDIKMWDLRQKPFRPCYTATSSSGNVMACFSPDDLYVLVSSVDNEVKQLLAADGRLHMNFEIASTGSAQNYTRSYYMNGRDYVISGSSDEPVVRVCCAQTGRRLRDIHLEGRASRGSLSVQSLRGDPFREFHMSVLAAYTHPFPRREILKVNLLESSEFAEEDLLNQEQYPAY >KZN00872 pep chromosome:ASM162521v1:3:9439921:9447793:1 gene:DCAR_009626 transcript:KZN00872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSILDSPAADAEKRNIPGVEEKRNKRKAAVCDSEKGSASGKKKLKAHNLQSREVSAGSPKILKLAAACADDKEKADKVSKEIPSTKTRKDQGVDEVVAVQKAHASQSREVSAGSRKMPKRAAACADDKEKAVKISKKVSSSKTKKGQCVDEVAAEQKAQVSKSSEVSAGSRNMPKQAAGCANDKVKAVKISRKSTKAKKDQGLDEEEVAVKEAHVSQSSEVSAGSREMPKRAADKEKAVKISKKVSSVKAKKDQGLDEEEVAVQEAHVSKSSEVSAGSRKMPKRAAACADFKEKVVQISKKASIIETKKDRCVDEEEMAVRLTAGQEDGRPCRRLTDFILHNSDGVQQPFEMLEVDDLFISGLILPLEESSQKEDCSIRCEGFGRIEDWAISGYEDGVPIIWVSTDVADYDCVKPSAAYKKHYEHFFAKATACIEVYKKLSKSSGGNPDLSFDELLAGVVRAMNGMKCFSRGVSIKDFIISQGEFIYNQLVGLDETSKDDQQFLELPVLIALRDESSRHVNDFQERIGSTNGTLKIRDNEDQKNSVTEEGEDKKMARLLQEEEFWKSMKQKKGQGSRVASTKYYIKINEDEIANDYPLPAYYKTANQETDEYIIFDGGLDACYTDDLPRSMLHNWALYNSDSRLISLELLPMKPCAEIDVTIFGSGVMTEDDGSGFNLETDTSHSSSSGSGTANVDGIPIYLSAIKEWMIEFGSSMVFISIRTDMAWYRLGKPSKQYAPWYEPVLKTARVAISIITLLMEQARVSRLSFMDVIKRVSEFEKGHPAFISTIPAVVERYIVVHGQIILQQFLEFPDEKIKKSAFVAGLTKKMEERHHTKWLVKKKKILQRDEPNLNPRAAIAPVVSKRKAMQATTTRLINRIWGEFYSNYSPEDMKEGISSDEKEEEEAEEQEEIDDDEEDEEKETLVALEKTPTPTSTPRKSKSNSKLKDVSWNGKPAGKRSSGEMLYKQATLHGKMIAVGGAVLTDDASAELPAIYYVEYMFESSDGTEMIHGRLLRQGSETVLGNTANERELFLTNECMEFELMDVKMPVIVEIRSRPWGHQHRKINANADKIDKARAVERKNKGLETEYYCKSLYWPERGAFFSLPVNCMGLGSGICSSCSANKDHTEKEKFSVSSCKTSFVYKGTDYSIHDFLYVSPDQFATERVGQETFKGGRNVGLKAFAICQLLEVVVPKKAQQADDSSTEVKVRRFYRPEDISDEKAYCSDIREVYYSEETHTLLVEAIEGRCEVRKKSDLPSFDAPTIYEHVFFCEYLYDPHKGSLKQLPSNIKLRYSTVKGAYDSSLRKNKGKCKEGEDDLEAEKSKENCLATLDIFAGCGGLSEGLQQSGVCRTKWAIEYEEPAGDAFKLNHPDTTMFINNCNVILKAIMDKSGDADDCISTPEAADLAAKLSEEELKNLPLPGQVDFINGGPPCQGFSGMNRFNQSSWSKVQCEMILAFLSFADYYRPKYFLLENVRNFVSFNKGQTFRLAIASLLEMGYQVRFGILEAGAFGVPQSRKRAFIWAASPEETLPEWPEPMHVFAAPELKVALPGNKHYAAVRSTQAGAPFRAITVRDTIGDLPMVTNGASKTTLEYQCDPISWFQKNIRANMMVLTDHISKEMNELNLIRCQRIPKRRGADWHDLPEEKVKLSTGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDSYQFYGNILHKHRQIGNAVPPPLAYALGRKLKEALEGKGSM >KZN02934 pep chromosome:ASM162521v1:3:39312715:39313479:-1 gene:DCAR_011690 transcript:KZN02934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSMVAAKTFVLKTNIHCSGCEKKIKKLLQDIGGVHRISIDAAQGEIKISGTIDPQTLLKLLQKNGKKSELVWEPLIDQKDLKIMRRPKSPVNKYHGIHDEDVVSRLQKLSEIKGLKSVELTRDGVKITFKEDYENGQGFSGKSEACSARKSPGKAMHERYDGEESCCSRNEARYKQYCDGCGRPKNCGCMASHGNISQGIPWRGPPGYFPSAPPEVPVYYPPPAPPPPQDLAYSHPFYSTFSDDNPRSCNIM >KZN03653 pep chromosome:ASM162521v1:3:46678277:46679848:1 gene:DCAR_012409 transcript:KZN03653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPSHILLPILSLLFFSKITWSVSSDDFLRCFSHSHGTSNIIFTPKNSSYSSILLARIDNLRFASPSTPKPVLIVTPLDESQIQTVIYCAKKTNIQIRIRDGGHDFEGQSYRATERFLLLDLINFRSVKINTKNKVAWIGAGLTLGELYYKISQKSSTLGFPAGLWSTVGVSGFLGGGGYGMMKRKFGLAADNTLDARFIDVNGRILNRKSMGEDLFWAIRGGGISSYGIVTLWKIKLVPVPKLVTIFGVVRTLEQNGSELFQEWQTISPNFESRDLDVRVVVDTIQSNSSPRTDKKTVRFIFQSLFLGRINKLLPIMQKSFPQLGLTRRDCTELSWIQSAPFFSNFSINTPPEILLNRSAIPRFPYKGKSSFVDHPISSKGLKGVWNRMLQLPTQVVLIQYTPFGGIMNEFSESSLPFPHRPGVLYMINIGITIDNNVKQRLRWIDELFRYYGPFVTKNPRTSYVNYLDLDLGTGNSSYEEASAWGKMYFKDNFDRLIKVKTAVDPDNFFRHEQSIPALFI >KZN03096 pep chromosome:ASM162521v1:3:41246011:41251747:-1 gene:DCAR_011852 transcript:KZN03096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVIDDEAMIEVQIPISSMRIKPRKATAIDCTCLLRPGLDVSVLSTPQQTEDTEDAEDTENSSAEDQMPVWLDAKISSIERKPHEDDCACTFYVQFYVTQGPIGMIKKALSKDITMVQIEKISIFQKLEKNPCEDELYRWKFSEDSTSRHIFKLFTGKFASDLTWLLVTSVAKQLTFDVRSIEGQIVYQIWDGDTYTYSQNSEQCSKAVTFKLENGTLSPVVVSFAPTDAQNVTPDDELNDSGSLSLYDVLDLRRSKRRNVQPERYLGCDELPDPDIDISRIGLIRESKLDYEDIPMALSVQDDHAHKTQKHRTDGHKTDRQEEMDKIISSYRKEVFGSLLNSQRNIKQMDLSSDSSDEEQEIIMNDQGEHPSQSAIVPLSTENNSGAGEVYPLAAEVSGTSAADISKIVSKYYSDRAGNVDEKRTSRTYYSKVEQQKKKKPAVNYSLVHSGWGWKAAYKRYPRAKRLRSTVTDWQNIYDHTRSSSTRRAFSASVYRELIRRCMTDIDSVVGQEQPPILDQWKEFQSNSSNQNEGKEKKDMDENEAGIPEEPEDSCEEEVSEIDILWKEMDMALASAYLLDEEDTMDEVPNETKKSTGIGRQVCQHDYRLNEEIGIVCRSCGFVCTEIRYVSLPFFPSHSWTTSKHVRKEDKENVSDSKQEEKKEFDNISIPTYSDTFLSEEKDSVWALIPNLKEKLRFHQKRAFEFLWRNLAGSMIPAEMESARNNRGGCVISHSPGAGKTLLIIAFLESYLKLFPGARPLVLAPKTTLYTWYKEILKWKIPIPVYQIHGGQTYRGELLRRKVQAPAGLPRNQDVLHVLDCLEKIQSWLAHPSVLLMGYTSFLTLTRDDSNYTHRQYMAQVLRRCPGILILDEGHNPRSTKSRLRKGLMKVDTDLRVLLSGTLFQNNFGEYFNTLCLARPNFVNEVLDELDPKFRKKKKEISNFSRENRARKVFINEISGKINSDISEERVQGLNVLKNLTNEFIDVYEGGSSDSLPGLQIYTLMMKSTKIQQDILEKLQNQRPIYKGFPLELELLITLGSIHPWLIRTTQCAGNYFSLEELAALEKLKYDLKLGSKVRFVMSLIPRCLIRKEKVLIFCHNIAPINLFLTIFERFYGWRKGEEVLVLQGELELFERGRVMEKFEEAAGPSRVMLASISACCEGISLTAASRVILLDSEWNPSKSKQAIARAFRPGQDKVVYVYQLLATGTLEEEKFKRTTWKEWVSSMIFSEEFVEDPSQWQALKIEDELLGEMIQEDRNSLFHQIMKNEKASNGLMRVKD >KZN00603 pep chromosome:ASM162521v1:3:6809353:6809724:-1 gene:DCAR_009357 transcript:KZN00603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQEGGLSLLPPDLPNETDRSRYRLQVKDDLSLIELCIKQYRVHPEHRFLSLTRIRYASGLYSLNKSDILWVDRQIHVLTAFKLYIDPGITHK >KZN01569 pep chromosome:ASM162521v1:3:20713022:20713682:1 gene:DCAR_010323 transcript:KZN01569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMILPNHWFSKRFRNPYSSELNNGDSTAHPKLAVNKAAIETFKGTIKRKPKTITFVTAVKTVDIDVAVKGDPTATFECAVNTDDTKSRNRNGKMNRSKNDSRSY >KZN02554 pep chromosome:ASM162521v1:3:34400151:34401945:1 gene:DCAR_011308 transcript:KZN02554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYWQLGEELRGLKVSEEHKWMMAASKLAEQTRSKVERRNNLDLSKGLVETRPRDNNFGFQEENKFESLNFNMLNLDSKMNDTVGRSPVRNGIYNMNVGYQKNNFNTVVNVNGTKHNGGNQHKEANNSNCENGNLNAVDKRFKTLPAAETLPRDEVLGGYIFVCNNDTMQEDLKRQLFVPEYLQTTFFADRHMLFVQASGFGGSNIDATAWEDKKCKGESRFPAQVRIRIRKICKALEEDSFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGE >KZN02137 pep chromosome:ASM162521v1:3:30105255:30107666:1 gene:DCAR_010891 transcript:KZN02137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHVYYSCIVSAAQWALENEHYLGNRILEVKVATPKEEMRPPPSKKVTRIFVARIPPSVREGTFTSYFERYGEITDLYMPKDISAKGHRGIGFITFENAESVDDLMAETHELGGPTIVVDRATPKAITDINYDFKPVCRVPQGRYGAYNSYIFATRYAALGAPTMYDRPDSMYSSKPTYDVYSCIQCCLWACNFLTFCLNKKDVYVPKDPRRSGHSGFGFVTFDEDGVAERVSRRQHEICGQQVAIDTATPGEDFSQRRNFPVDEPESFLGYGGPMRAYGSAYGRMYGSLDFNDWSGYGIGGGRPSRANMRYMPYWVISFDGQGLGSKAKFQVHVLLDSWTSFMLDFLKVQQGS >KZN01093 pep chromosome:ASM162521v1:3:11597882:11609623:1 gene:DCAR_009847 transcript:KZN01093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSEIKRDGSEAEIQLSEYGEVTVSRKRRKVSELAHRDLSSNVCEEQCRVNASSSVDINNDFVGNKSNSLSCCNCDDGKLSSRVDPSCQLNGGNESISCSSVGGTSYPINDSKLNAGVDMSGQLNDSNESISRTSTGGSTEGAPYSVKTEAAYASPAYVSSWMYVNAQGQMCGPYIHEQLYEGLSSGFLPDDLPVYPILNGSLINPVPLNYFKQFPDHVATGFAYLPGSISGVKAPKNSQTFPSSDFSSDKQELATTSGSNNSQTAYSACVNFSNVNSNPQGPNTDATDLSKPYMPVSGEESCWLFEDDEGKKHGPHSLVELYSWHQYGYLRDSLMISHADNRFKPFILKSVVDTWRTTGEKTLSISNDKDHTTGPIPIISEDLCSQLHSGIMKTARRVVLDEIISHVIAECIASKKANKYQKFEVINQNAKTLSASTNMNESCSANVVITSSHEKALSECVSELTLPANRSPIRTLSSMKSVGSAENFLDACATIYQVLFDSSMQVVWNAVVRDPVVEFSSAWRRTVLWNAHSAVVGQEISVKQYEGEVEEVHLAASEGELSDGEVDCPPGFELPRVASDVHILSPSVSSCFSNGEESYRKNLPSKDQIYRDVEHIVVGVEHDLHSSAVMSLTQYFESIVDEEVKKIIGSSRDDLSNEVEVDPPIQQSHIVSLNGSSEALLDLEKLSDDNFHVSSELEKQDHQKKVSVLGSSFSDVFTNALVKMCATLRSTDVVNDIDLLDAPGSETKSETLAPLHIGRIHSSRSHERFPKITLYAALAMFRQKLHDEVLRECSSSLIGRAFNKFWFSYRSSKKNSKLKTARRAKKINDVRPGKVSAVVDKCSEKVRSKRGKEALDISLMTGRYTYSRKKGLRKKSGSFSEWSNFANVGSHIQSVGLSNEPDIFVEATQNTEVSASRLSKSTDIDCSTKICADANEHTVRNEHLPSVHTTTHKALKITPGIQVNEKSLRESKIPPPVRPNESIKVKKDVNKKSTQERGSDSSKKFSINQNKALNMKRKHTVDNNYPSGKLLKVAKGSTGQASLKQVVVTKSKDSKCRTSATHPKSYGCARTSINGWEWHKWSITATPSEKARVRGSTFVHPQNRGSEINVSQFSNAKGLSARTNRVKVRNLLAAADGSDLLKATQLKARKKRLRFQQSKIHDWGLVALEPIDAEDFVIEYVGELVRSSISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHINAGEELTYDYKFPVEEVKIPCNCGSNRCRRSLN >KZN01366 pep chromosome:ASM162521v1:3:14923788:14927760:-1 gene:DCAR_010120 transcript:KZN01366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLGGTENEVQHEIVIVGAGICGLATALALHRKGIKCIVLERLESLIYTGGAITIMANGWRALYQLGVDSILKQTAYPILGTKDIWLDKNKQQDMSFMSSEARCLRRNDLINTLYNALPPDVVKFGHQIVSVKLDPQTNYPVLQLQNGNSITAKVLVGCDGAKSVVADFLQLKPTKLAALCSARGVTNYPNGHPFPHEFVRMRRNNTAVGRIPIDSNLVYWFVAHPWVPTDTNISQDRELIRQNTLQVVKSFPKETVEMIKNTDSDSLSFTRIRYRRPWDLLLGSFRQGTVTVAGDAMHVMGPFIAQGGSAGLEDAVVLVRNLAKKMSIIPTDPRSIGEALDEYVKERRMRVVRMSTQSYLTGKLITESTPLLVKFACIILMILLFRDGSGHTKYDCGTL >KZN02604 pep chromosome:ASM162521v1:3:34996572:35000031:-1 gene:DCAR_011358 transcript:KZN02604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFRGLCICLKKRLMRCRAKGDEDGGNDGWGLENLFFELRALQIATNFFSELNMLGHGGFGPVYKGLMPNGQVVAVKKLSLESRQGLREFTNEVKLLLKVQHKNLVMLLGCCVEGPEKMLVYEYLENKSLDYCLFEILLAQFKLHLNEYKLCYGVKYSRRITYWAWELFQAKKTLELVDTSLTECNPDEAAMCIQLGLLCCQASVSERPDMNSIYLMLSSDSFVLPKPGIPAIQGRGGHWTTSSGTPTNTNGSSIPTDITKASGGSSFVEEYSRNSISCSSMDEGR >KZM99967 pep chromosome:ASM162521v1:3:582654:584768:-1 gene:DCAR_008722 transcript:KZM99967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFMPRTGTTDEFCTPSPRRLRDVDLSGIHKRTAFRTINLSQRHSIESTSNRTLQSGVTQCGQDDDVNIIVPEPGQLGWFQSDSQRGGRNLLSAFNSTCMRQNDTASTRVAWPVNGDKSSVPVDGGTSGVFDEHEDGVAAHEADQEEVLNKSLRSDYEVKCRILCSSNRKLAAA >KZN01455 pep chromosome:ASM162521v1:3:16439889:16442669:1 gene:DCAR_010209 transcript:KZN01455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSSVQSKWPHTCILVLLILYKLTVSASKSSSVSYLKHCASVVPEATPTTYNANVSFPYLRTLTSFIKGNQRIFRRNSFYTSLTSVNFHSVRDIYETDLHGVYKIDAELSFSVYSNNNIYDLVSNSTHGRSSRRPRMYGQLIFLLHGFWSESSGKGCFIGSAPWYSSEGEPLNLEVMFKLNFSMSSTYSNSFVTGELKSLSHLNDESYFSPISILSFPEVTWYEYKLISEENLKGFNVFNNTKKRSVLGSQTGEICSIFNRNYITFNLEYASSCSSSLKNCSLLDGKPEYRPTSVSLHSIQCNEYENKMRFLVHLTNSSRVGGYEMFDPSTTLFGEGFWYEKTNSLVLVACKISSSNSFGDAHVGDCSFRLSLYYPSVWSIEHRDRAAGHIWTNKTAEDVGYFGMINFRTSDACIKAPSLKYEYTEIEKVNKFFPKKAVTREETFPTGHYHDMRFDMSVPNSEYFGWGSAEPIFIGDESYADFSVFIQQSRQGGFGKTLESQGRYAEVVSHNIPLNISYKLIFFSNGDAKLGAGHSSLNTSLNSFGQLVISAEGVYDAETGHLCMVGCRNLVSNNLLDCDILLNFQFSGSMKTQGGLVKGSMQSTREQSDELFFQHLNITSSRFSDSDAERSLWRIDLEITMILISNMNACIFVCFQLYHVKRYPSTVPYMSLVMLVILTLAHMVPLVLNFEAQFLRQHTRNIYLNSYSWLEVNEVIVRVAKMVAFLLQFQLLRLAWTARHTGDSNQSGISVAERKTLLVSLPIYIVGGMVAFFLKSTRNSHSKGPLALNCSRACQQQQNLWGDFIAYASLILDGFLFPQVLLNIFQMSRRSALSTPFVLGTTFVHSIPHAYHLYQAKNYVPAHDGPDVYVNRSAELYSSAWDIISPLVSLLLVAIIFLQQRYGGRFFLPKKFQGVEIMRRSVSN >KZN00430 pep chromosome:ASM162521v1:3:5257613:5260112:-1 gene:DCAR_009184 transcript:KZN00430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFKGKYADELIANATYIGTPGKGILAADESTGTIGKRLSSINVENNESNRRELRELLFCTPGCLQYLSGVILFEETLYQSTAAGKRFVDVMKEGGVLPGIKVDTGTVELAGTNGETTTQGLDGLAARCAKYYEAGARFAKWRAVLKIGPNEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGAHDINKCADVTERVLAACYKALNDHKVLLEGTLLKPNMVTPGSDSGKVTPEVIAEHTVRALQRTMPPAVPAVVFLSGGQSEEEATVNLNAMNKLQTKKPWSLTFSYGRALQQSTLKAWGGKKENIEKAQAAFLARCKANSEATLGKYQGDAPLGEGAAESLHVKDYKY >KZN01410 pep chromosome:ASM162521v1:3:15774622:15774944:-1 gene:DCAR_010164 transcript:KZN01410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPVGFIGSELSLSLWILWCSIPYLWKELTTPDRSGIDGKNGGKLGASSKIILLLAMAGCSGCSR >KZN00434 pep chromosome:ASM162521v1:3:5280223:5281568:1 gene:DCAR_009188 transcript:KZN00434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVINKLVTLKAHMDGSPQESDFVIKTETLSLSAVLESKDVLVKNIYVSIDPYQINRMKSLCSSQTASGSSYAAGIAPGQAIDTHGVGRVVVSGNPDFKKDDLVVGRIGWGEYSIVKEGANLDKLNPLGFPYSYHVGILGVSGFTAYAGFYEICQPKKGERVFVSAAAGSVGNIVGQYAKLFGCYVVGCAGSQEKVDLLKNKLGFDDAFNYKEETDLKAAVAKYFPDGVDIYFDNVGGEMLEAAVANMNQFGRVAVCGVIAEYTEKGKRAAPDMIDIVYKRITIRGFLAGDYMSQFPEFISATSDHLKTGRMHSIEDISQGLESIPSAFAGLFRGDNVGKKIVQIADE >KZN02819 pep chromosome:ASM162521v1:3:37712303:37717639:1 gene:DCAR_011575 transcript:KZN02819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAYGFLTTSVQGVRERINPSPSVGVYGSGFCSNEGFAKRFLCSSSVEGVEKLGPGVSASESRVPRNWICEVQEEPDNTRLTRLSSSSNSFQRATSREMVSLVVATTTDPASIGPASALLSMPGWHPGPSLQGNMSSFVNKEVRLLKHDNSIVREDHLDKRWEAATGELVHEVIFLSKHTAASNRPALTIHPIGVPHLRESDVSPAGGKPGWAAPPNPRIGPWLKLLKTIAQAHDLTPEFEVTLEATHHGPEINSPTMFVEIGSTEEYWKRQDAAQAIALLVWEGLGLGGGDAVGDWSRNNGKNKILLGLGGGHYVPRHMDVILKDTVWVGHLLSGYSLLMEDPGQSKARENPGEVGGTWKEAIKVSYETSKAAFPGGDVLAHIDQKSFKGWQRNVIMSFLAEQNIKVGKPGDFC >KZN03726 pep chromosome:ASM162521v1:3:47465301:47465682:-1 gene:DCAR_012482 transcript:KZN03726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTKFSSVSRSPSECASASKGKSRMSPKEVAMKKSKLKGKGKKTNFTIQDNVIAPPKGIQYVIPVREHETAKLNTNNKYEQISDLLETLSVKQFDDFRASWKN >KZN00957 pep chromosome:ASM162521v1:3:10303343:10304669:-1 gene:DCAR_009711 transcript:KZN00957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLEEPQALYYTCEDSMFDNIFDIESQHMPSNSNHIDPVFRQQAMSLILNLSSHKDLDPFVTYLAINYLDRFLSTGAIQDGKTWILKLVAVSCVSLASKICKTEVYVTHIQHDGKFYFDKKAIGRMELLILDALKWRMRSITPFSFIHFFVSFIEVQDPPLTQALTARATEIIFKSQWEFRILEFRPSLVAASAVLSAAHELFPSQFLCLEHAMLTCSYVNKINFEKCKTIMQDIAKNGYESVCDIVSNTPVDVLDQRQWSSCSGSTGTGFVEMRDCKRRKVGNHGSY >KZN01120 pep chromosome:ASM162521v1:3:11971920:11984020:1 gene:DCAR_009874 transcript:KZN01120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMDIYRSRSVEWKPTPVVALATSPDESQVAAAREDGSLEIWLVSPGSVGWHHQLTIHGDPNSRISSLVWCCSSASSGLDGPGRLFSASIDGSVSEWDLFDLTQKIVVDSIGVSIWQMAATPYNRLQSDERVENSELHENGDGKNRISGHSSDDDEISGSGSEDDDEVDLHKEPVIENTSVAIACDDGCVRIYTISDSDDLVYNRSLPRVSGRVLSVTWSPEANKIYSGSSDGFIRCWDAKTSHEIYRITVGLGGLGSGHELCIWSLLALRCGSLVSADSSGSVQFWDSQFGTLLQAHSRHKGDVNVLAAAPSHNRVFSAGSDGQVILYKLSKDAVQPIDGESHDKLAKKWVYIGYVRAHTHDVRALTVAVPISREDMLHEDSMKRVRGRHKPLDFSYHKWAHLGVPMLISAGDDTKLFAYSANEFTQFSPHDICPAPQNPPMQLVLNTVFNKTTLLLVQASYWLDILCVRAKSGGIPDRSSGSSVGIADTTLVVRVKSKASRKIICSTISYSGDLFAYSDHEKPSLFKLTKSEAGKSQWVLEKLKLPKLPFAHSMAFSFDSSQLMIAGHDRMIYVVNVESLKLMHTFTPCRKEIDKELPPSEPPITRMCTSTDGQWLAAINCFGDVYVFNLEIHRQHWFIARLDGASVTAGGFTPRNSNILIIATSSNLVYAFDVDAKQLGEWSMRHTFRMPQRYQEFPGEVIGLSFPPSQNSSSVIIYSARAMCLIDFGLPVRDNDHESVKCHDPALSKSQSSSINGSLKRKLKGRDIETKNTGRKNFEICGFGDPVLFVGHISKGSLLIVDKPWMEVVKTLDAPPLHRYLYGT >KZN02425 pep chromosome:ASM162521v1:3:33388871:33391902:-1 gene:DCAR_011179 transcript:KZN02425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNDSQKQFLTLIRGFASESSQGERRIVDLKKRIDELRYEIVGANAELEEAKCAKESIEQDIKGYEVEMAMNEASIQTLEVLLPDNPTFQARVGLIQNEVAAAKSELEALKYPEDAEVSRRSLEETLAQIVSQTIVEEQKYQAELNIHKQLAIRVVELHADPAGVDSIGRKVSPNGDFT >KZN03005 pep chromosome:ASM162521v1:3:40081789:40082262:-1 gene:DCAR_011761 transcript:KZN03005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFFGGRRSNVFDPFSLDVWDPFKDFPLVASSGSEFGKETTAFANTHIDWKETPEAHVFKADLPGLKKEEVKVEVEEGKVLQISGERSKEKEEKNDKWHRVERSSGKFLRRFRLPENAKVDEVKANMENGVLTVTVPKVEMKKPEVKAIDISG >KZN01707 pep chromosome:ASM162521v1:3:23588652:23588969:-1 gene:DCAR_010461 transcript:KZN01707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSTSMVWYQRIVEENQMLKKVSVLTNSIKNKHKSIFNAFDHDISLRSLVFKLEERYMLFFLAFSESYGFYWLHPRLMAFQPRLQSRTDSEAVLLFLAPISNGF >KZN02652 pep chromosome:ASM162521v1:3:35560702:35561757:1 gene:DCAR_011406 transcript:KZN02652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEELSVEQAAAERRERLRALKAARELSETPAEDDKPVQAEGDDEPEDDAERDKEENNVNMKFRNYLPHDKQLQEGKLAPPVLPKFEDPVATEPPEQDDKEDPFLNIAPKKPNWDLRRDVQKKLDKLEKRTQKAIFQLMGMLTFTYLHS >KZN00630 pep chromosome:ASM162521v1:3:7038203:7044346:1 gene:DCAR_009384 transcript:KZN00630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIIRLSSLSLTTIFTTTTAASTSASFRTPSPLFTRLPLRRLSAAKLSTARREKKAGVIAPRSQDFNGWYLDVIANAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQLIPYSFIEKEASHVEGFSPELAVVTIGGGKELEEKLVVRPTSETIVNHMFTQWIQSYRDLPLMINQWVNVTRWEMRTKPFVRTLEFLWQEGHTAHASPEEAEKEALQMIDIYTKFAYEQAAIPVIVGRKSKVETFAGAAKTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQASLVCLYGQFADENGQREHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPKLAPIQVIIIPIWKKTDEKTGVLAAALSVKESLHTAGIRVKIDDSDQKTPGWKFNFWEMKGIPLRIEIGPRDVSSESVVISRRDVPGKQGKVFGVSMESSTLVAYIKDKLDEIQLALLSTAVSFRDSNIVDVTSYDELKEAISQGKWARGPWSASDEDELKVKEETGATIRCFPFEQPNEKGNCLMSGNPADEVAIFAKSY >KZN00967 pep chromosome:ASM162521v1:3:10354916:10355182:1 gene:DCAR_009721 transcript:KZN00967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASKAWLVAASVGAVEALKDQGFCRWNYTMRSLHHHVKNNLKSSASSFAHQTKKQLSSNIRDQSDKAKQSEESLRKVMYLSCWGPN >KZN00038 pep chromosome:ASM162521v1:3:1355203:1355493:-1 gene:DCAR_008792 transcript:KZN00038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKCVRSIQGRDGKREMTIEEFKRWLKRFDGDRDGRISKAELREAVRANGGRFSRWKVHRGIGLADGNNDGFIDETEMKNLVEFAQAEFGLKIRA >KZN03315 pep chromosome:ASM162521v1:3:43513811:43516782:-1 gene:DCAR_012071 transcript:KZN03315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAKLHISPLDCHHRTGQPPVFHRQVAMWDPISLKGRRSFVQKCKSFRQEKESEVVDARKEMESSEVKSESGVYRLMGSLKSAIQRASRSRVDKKFVEDLEESLSSIALHVGRYIVTMMGTGVILLTGFQLSGGDGQMNDLIWYSWLGGIIIGTMIGSNMVLDEVARAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSQDSVETTIKELEDSLKECLETADDFSKRSLKYAEVVGVACDVSDPKDVKKLSDFAINELGSIDIWINNAGTNKGFRPLLQFSDEDIQQIVSTNLVGSIICTREAMRVMADQHKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKESKKSKVGVHTASPGMVLTDLLLSGSTVQNKQMFNIICELPETVARTLVPRMRVIKGNGKAINYLTPPRILVALVTAWVRRGRWFDDKGRALYASEADRLRNWAESRTRLSITDAMESYTEDTWVSVFSLSVVCAFIILSSTLSS >KZN03951 pep chromosome:ASM162521v1:3:50134854:50136668:1 gene:DCAR_012707 transcript:KZN03951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSCPSNAIPVVSTFTSPFETCDDQKKPLSLWPGMYHSPVTNALWEARSSIFERLLDFSVDAPPQSQLLTRTPSQSRTAILYNFSSDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDHMTRPLLLVTASVDKMVLKKSISVDIDLKISGAVIWVGRSSIEIQLEVTQLTNGSTDTFNSVALMANFIFVARDSKTGKAAPVNRLSPETKEETLLYEEAEARNELRKQKRGGDKRDIENGNRLEALLGEGRIFSDMPALADRDSILLRDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYVFAGMMPCFLEVDHVDFLRPVSITKPN >KZN01398 pep chromosome:ASM162521v1:3:15499341:15499529:1 gene:DCAR_010152 transcript:KZN01398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTWDGVRYETWYEIGNVEGYLQRFRYEGTQGYEGTYGYEGTQGYEGTQGYEGTYWYDIFLN >KZN03120 pep chromosome:ASM162521v1:3:41454871:41455434:-1 gene:DCAR_011876 transcript:KZN03120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNDKFDVKEVHLKLLDDLVNVNSLLTIAVFVGLSMATPGIKSLDSRQECHAGPREAKMLILYEVIAFSCFLLSSIVAKVLKLHLYLDGADKYAFTTPDFDLKEFMLAISACGSVAGIISLSLSIVNIIQIRIGLLSCGCREATAAVLCLGILVGFALVIYVVSMVIAIYASFKSDHAKVLEKGQV >KZN02454 pep chromosome:ASM162521v1:3:33602942:33606075:-1 gene:DCAR_011208 transcript:KZN02454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALIFAQSSAPLRVSTLNSLINPRKSTQFGDHSLAFKASNRTKRPQCSSQIPPQLQLQSKDKDRFFPLKECAISIALTVGLLTAVPALGWPSLASASSNAAFPDLAVLISGPPIKDPGALLRYALPIDNKAVREVQKPLEDITDSLKIAGVKALDSVERNVRQASRAEKQGKSLIISGLAESKKDHGIELLGKLEVGMDELQKIVEDKKRDAVASKQKELLQYVGGVEEDMVDSFPYEVPEEYRSLPLLKGRASVDMKVKVKDNPNIDECVFRIVLDGYNAPVTAGNFVDLVKRHFYDGMEIQRADGFVVQTGDPQGPAEGFIDPSTEKTRTIPLEIMVEGEKAPFYESTLEELGLYKAQTKLPFNAFGTMAMAREEFENNSGSSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDFLADLKVGDVIQSIEVVSGLENLVNPTYKIAG >KZN02024 pep chromosome:ASM162521v1:3:28532546:28533916:1 gene:DCAR_010778 transcript:KZN02024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLITTALRRTALRRTASLKPINLRPFSTAAATIPDPYDDPSSLTVKGVKISGRPLYLDMQATSPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDEAVEIARGQVAQLINASPKEIVFTSGATESNNISVKGTLHFYKEKKKHVITTQTEHKCVLDSCRHLQQEGFDVTYLPVKSDGLVDVGKLRDAIRPDTGLVSVMAVNNEIGVIQPMEEIGAVCKEFKIPFHTDAAQALGKIDVDVDKWNVSLMSLSGHKIYGPKGIGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACELARKEMEYDEKRISMLQERMLNGIRAKLDGVVVNGSEERRYVGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEEEIDRAVELTVKQVEKLREMSPLYEMVKEGIDIKSIQWAQH >KZN00492 pep chromosome:ASM162521v1:3:5777802:5782574:-1 gene:DCAR_009246 transcript:KZN00492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEVALIAQTKTPLSHTRDVHFLSWAFLFIFLAYGAVQNLESTINTEKNLGTISLGVLYTSFTVSTLFASFVVRMLGSKNALVLGTIGYWLFIAANLFPTWYTMVPASLYLGFSASIIWVGQGTYLTSTARSHAYDHNEHEGTIIGQFNGEFWGMFASHQLVGNLLSLVLLNKGEDGSTSGTTLLFVVFLSSMTVGTILMCFLHKRDPKKEEGLQESSVTFYSLMVSLSNSVINPLCDRRLLLIIPLIAYSGLQQAFVWAEYTRFIVEPVLGEAGVGGAMAVYGAFDAICSLAAGRLTSGLSSITLIVCGGALTQAIVLLWLLLGYSTSTGLLGTVIPLIIAAIWGIGDGIFNTQLSALIALLFKHDMEGSFAQLKLWQSASTAVVFFVSPYITFNVMLEFMLVAVCISVAGFLVLTLKLEKAFSSNTSNN >KZN00705 pep chromosome:ASM162521v1:3:7782416:7784793:1 gene:DCAR_009459 transcript:KZN00705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGDDGSGKEGGGFKLFGVEINFGHELNKKSGDESGKVQKKRGHDDDEGGESDRLRKSKSVGNLHEMGNHSGGEGDADANGGDGAVGGGGGADDSGYHSDGVLHVNSRRAAHMRRKGTPWTQSEHRAFLLGLSKLGKGDWKGISKNYVPTRTPTQVASHAQKYFIRMTTAEKKNRRASLFDIPFNESNLPPHTPAAPFTASENSQQVISSAVAPPRRTTDIHGLEWSSAHTAASEKKPPLAPMSRNFVQDTGHMSYMSAVPGRSFPAAPVMPQAELYPMMNHTITQYQNYYYVPSSHGNFPAPIMNQKSNGVFLQPYPPVLVTSQALPATPAGGSFASSASLPNYYQPVTGPPTSFAACAPFPNQYPTANGPGTSFAACAPYPTANGPATSTVTKRDALEAGIGTLSLKI >KZN01659 pep chromosome:ASM162521v1:3:22699768:22700209:1 gene:DCAR_010413 transcript:KZN01659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHDPDVDALHCLYISTEIRVSLKNYTSEANGAKQEKQEENAGSEKKNESEYSPAKTNSSSSTTSRKMLLPSEKYSEMGESSKTLSDKIDKLIEAIEKMTAAIKANQRRKFKCSITS >KZN03856 pep chromosome:ASM162521v1:3:49334526:49335093:1 gene:DCAR_012612 transcript:KZN03856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSSSSSSSLDIIVQSKPSEDQLSELGIKWGCSPGKYQLKFDAQETCYLVRGKVKVYRKDSPEVVEFAAGDLVILPKGLSCTWDVSVAVDKHYKFDSS >KZN00269 pep chromosome:ASM162521v1:3:3550561:3552167:-1 gene:DCAR_009023 transcript:KZN00269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTGKTEEQVKSRVCKRCKTSYNPSSNDASSCRFHPSFFVCRRHDDQKRYYELGPDDPPYAAKFYDCCGAEDPEALGCTTSFHISYDDN >KZN00111 pep chromosome:ASM162521v1:3:2021173:2021364:1 gene:DCAR_008865 transcript:KZN00111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKGSSSTQGSGSNGKKKPATVIPAKKKSVKKMMAETMAGCVASAINNNNNRINPENDDDE >KZN03533 pep chromosome:ASM162521v1:3:45506519:45509540:-1 gene:DCAR_012289 transcript:KZN03533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSIVVARLTDLLIEEPVALHGLKDEIQQVVTKLELMKTFLQDADSRISEYQVRTLVADIRALAYDAEHVVETFIVKASSSAWNRRNQAIKIKDIESKMSLLSDRIRENNIKSTSESSNSSSEAPGKLKRFHSFKTLEPEIFAETGAIGLLDEMFMNVIIIVGRDVVRA >KZN03343 pep chromosome:ASM162521v1:3:43857931:43858644:1 gene:DCAR_012099 transcript:KZN03343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIARANEYLLHLNIELIISICSVLLEKSFMDFSNFFQVWSLYQTRAEISYLLHHLDWTHMHTFDTNGLTPQGVRFSLFLHDCKNLGINHALCYDACKNLMSGHQPLDNLQILHTISDNHSLSFLAYFIFKPFYQNTSPEESASSLYHKFLTSMHFRSNLVNNCVILEARRTVHHVGWGQVPRIVPEHPICPFSVSRCDGHYYRYGWPPMLDDITRATCPNCFLDMLFSFIFNHYY >KZN00034 pep chromosome:ASM162521v1:3:1312688:1319018:1 gene:DCAR_008788 transcript:KZN00034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFCKVFWGPKYDGKYLRDVLTEKLRDSRLDETLTNVVIPTFDIKHLQPKIFSTYEAKKYPCFNARLSDICISTSAAPTYLPAYRFKNCDREFNLIDGGVAANNPTLVALSEVTKQIFENNSDFLPIKATDYGRFLIISVGTGSPEIEQKYDAICAAKWGALGWFIHQGSNPLVEVFTQASGDMVDYHLSVVTQALHCERNYLRIQEDSLTGIDLSIDASTEENLEKLVGIGEKLLKKPVSRINLDTGVYEQAKKNPCVDARLSDICISTSAAPTYLPAYRFSNCDTEGNVREYNLIDGGVAANNPDDTLTGIDSSVDISTKGNLEKLVGIGENLLKKPVSRINLDNGIYEEVKDGGTNEEALKRFAKLLSDERRLREIRSPHRTTAENPKNA >KZN02285 pep chromosome:ASM162521v1:3:31635027:31637610:1 gene:DCAR_011039 transcript:KZN02285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGEENTGIDMLERFRTKRDSERKLGERDEGEGEIELSLGLSLNGRFGVDPKRRNNNITRAASIAGFSVGSGGEDVRAWSVAGGPDVGLSRTCSLPMDTEEWRKRKELQSLKRLEAKRKRMEKMKNVRMVRVKAGGDEVVVGPPAPQPAVVIDKKSSVQFCSQGSIGSQGSGAGSSGVSDPDTHEIPQATNNGSPASTRSSVEPIVDNKPAETVTEKPSPVLEHSGSRNEEALKNMISNMPCVSTRDGPDGKRINGFLYRCKSGEELKIICVCHGEFLSPAEFVKHGGGGDVENPLRHIVINRAQS >KZN03620 pep chromosome:ASM162521v1:3:46379464:46382159:1 gene:DCAR_012376 transcript:KZN03620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKIVTSGGGLAGIILLGGALVTAALVSTFAYKRIQKSKKGDDDNCLIKCSKGGLSFLVRDCLSPQLTRDLRPSNGTEDDYVSRESMVLDDTKQVNGDKDEDIDILEKGRESPLCDESVTIMEDFPVQFADTSLLQKPEKLKKYDRFEKNSELKADEKILMANSDQVGVVCNGIKKNFDGNNKMDDLVEVVFKEHMPAEKCSENSEVIIEREEVLVKVPQSEQLGIDYDYQKRGDECDGILVEEREELVEAAVVNKIIRADNNPYQGGNECDADVGITIEKGEELVGGVAANGIQLSEKLDRDQGILCADGEIIQRNEDGTCDINKTSLLLVTDSSSLLKPASADLVEETLVIEDTKILEGDEADKISVQEDSIKVEDRVETQFVEPNEDCKIDRETTNDKWEGADEIGNDQQMQQCEEFQINEATKYSSDKSSPEMNSFQCDGLGVQEFSFPLLDSPPYCNFGNLIKDVYSQKALHVEDFNTMEKDKSANEVFSYQTMVERNPQSTNNDEMKSFNDGGENDVAKGEEILLTGLVDIGDGRKNDAEALEIIGTEDSELGNNQQPPLKEDNNYNDNVNEEEVSDSSEEEETSDSDCDEEDTGDEDEDISETSRGSSLASNAEAIWPVESVQELSRKIKPIQDNHNFMETEREDGHSNFNKHNLEEPEKNLKKEFSDKFTEEITTRRQATMFWFLSLLVPFLLLLWLLSSQLSSPDSSCCHMQ >KZN01409 pep chromosome:ASM162521v1:3:15761903:15767962:-1 gene:DCAR_010163 transcript:KZN01409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNNHNSSVLEQTLQDGKLLRLLNCLIVAHLRDSNHTQAASAVALATMTPLNTEAPPNKLIELVAKGLAAENNDVFGGFSSFALDSSVNGTAAHGSIPAPRTTVVDFSAVHDTKGASKIFSKHETRHISEHKNVARCARFSPDGKFVATGSADLSIKLFEVAKIKQTMLPDSREGSVRPIIRTFYDHLQPINDLDFHPQNSILISGAKDHTIRFFDYSKTVAKRAFKVIQDTHNVRSVSFHPSGDFVLAGTDHPIPHLYDINTFHCYVSANVLESNANGAINQVRYSSTGGMYVTASKDGSVKLWDGVTASCVRSIGGAHGTAEATSANFTKDQRFVLSCGKDSSVKLWEIGTGRLVKQYVGATHTQLRCQAVFSDTEEFVLCIDEPSNEIVIWDALTAEKVARWPSNHNGAPRWLDHSPTEAAFISCGTDRSIRFWKETL >KZN01942 pep chromosome:ASM162521v1:3:27281023:27288497:1 gene:DCAR_010696 transcript:KZN01942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRRVLVVGNNNNIVILVALVLLTSFSYSSAAPLLPLGIHPLDDKYFATDFIKCKDGSISFSRDRINDDFCDCPDGSDEPGTSACPAGKFYCKNVGSTPRFLFSSRVNDQICDCCDGSDEYDGRMNCPNTCVMGGNLEYKRIDYGATTGNRFVDRKVVQNRLDVGDSVQKLTGLKVVIIIQVFFIIVVLSFRLFHRGVRSRRRQSR >KZN03962 pep chromosome:ASM162521v1:3:50230834:50244116:-1 gene:DCAR_012718 transcript:KZN03962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSRIGLRYVRAGRLIIHRNFHNSNKTNIINKNHRPPLVVVSCSSPSQQQQHQPHTYQLSPALFNHHRLNFPRHPFLLPNAASSSAFFSTATNAADNSNSNSNAKANSKTNDLEGPRPDHQEINNAQILTTLAKSLWMKDNVEFRLRVLAALAFLIGAKVLNVQVPFLFKLAVDWLTTTSGNATSLAAFTTANPTSVAVFATPAAVLMGYGIARMGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHELDLSYHLSRETGALNRIIDRGSRAINFILSSMVFNVVPTILEWRTKFRKIMNKADNDASTRAIDSLINYETVKYFNNERFEVERYDEFLQRYEDAALKTQRSLASLNFGQSVIFSTALSAAMVLCSNGILNGEMTVGDLVMVNGLLFQLSLPLNFLGSVYRETVQSLVDMKSMFQLLEERADIRDENGVKPLKLDGGCIEFDNVHFSYLPERKILDGISFSVPAGKSVAIVGTSGSGKSTILRMLFRFFNTHSGSIRIDGQDIRGVSLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSAAHEEVYDAARRAAIHETIMNFPEKYATVVGERGLKLSGGEKQRVALARAFLKAPAILLCDEATSALDSSTEAEILSALKFLSNNRTSIFIAHRLTTAMQCDEIIVLENGKVVEQGHHDILLARAGRYAQLWAQQNNAGDGTADLAV >KZN03172 pep chromosome:ASM162521v1:3:42019674:42022173:1 gene:DCAR_011928 transcript:KZN03172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNITYNGHGLKEFVPRRTSAYVSRQDWHVPEMTMRETLDFSARCQGVGCKYANIRAGVPGAGLNPRSDNISGSDITEVGNMVQSPLAGQFCKGSTQPFQPSPSARRLSEVDLSGTYHAEFVIKYKQEF >KZN00902 pep chromosome:ASM162521v1:3:9734127:9737510:1 gene:DCAR_009656 transcript:KZN00902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGSDAETEMDYTYRTELLSPPPSTGDQSSWRLDIDKFRLPQRHSAAQPFGFRRLLRMPKKQGKVAEYYEKQEKLLEGFTEMETINETGCLPGNLTEDEMNQLARSERMAIHVSNIANLVLFVAKVFASIESRSLAVIASTLDSLLDLLSGFILWFTSNAMRNPNQYHYPIGKKRMQPVGIIVFASVMATLGLQILLESARELVTKSRPETDPEKEKWMIAIMVSVTVIKFLLMVYCRRFKNEIVRAYAQDHFFDVITNSVGLVTAVLAIQFRWWIDPTGAIIIALYTISTWAKTVIENVWSLIGRTAPPEFLAKLTYLIWNHHEEIKQIDTVRAYTFGSHYFVEVDIVLPEDMLLSQAHNIGETLQEKLEQLPEVERAFVHIDFEFTHRPEHKAKV >KZN01236 pep chromosome:ASM162521v1:3:13484372:13486899:1 gene:DCAR_009990 transcript:KZN01236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFADSFGIEFRKKWLRLVHLTLEKAGPAFIKWGQWAATRPDLFPNDMCTELAELQTNAPAHGYDYTKRTIERAFGRKIPEIFEKFEEQPIASGSIAQVHRAILKFRYPGQRSRPILVAVKVRHPGVGEAIRRDFMLINFFVKFSKVIPTLKWLRLDESMQQFAVFMMSQVDLAREAANLSRFAYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGDNILHYVDEVDGQEPVKSGLAHIGTHVLLKMLLVDNFIHADMHPGNILVRVNQKKAPSKGLFKSRPHVVFLDVGMTAELSKTDRVNLLEFFKAVALRDGRTAAERTLRLSKQQNCPNPAAFIKEVESSFRLWGSAEGDLIHPADCMHELLEQVRRHKVNIDGNVTTVMVTTLVLEGWQRKLDPEYDVMHTLQTMLFKVDWAESLIYTIEGLMAP >KZN03019 pep chromosome:ASM162521v1:3:40234960:40239295:1 gene:DCAR_011775 transcript:KZN03019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIRDRTEDFKDAVHRVALSLEYDEPKMAAVMATFIMHKPRQRSPFIKAALKTLESIGELQQFLMKHKKDYVDMHRTTEQERDSIEHEVTIFIKECKEQIDVLRAGISNDEANSKGWLGIRGDSSNTDTVAHKHGVVLILSERLHVVTSQFDQLRAFRFQDTINRAMPRRKVKKVAPTDTTNSMKHNDYQGRGHINSDVAEPSEIQAEPKRIQQQLLDDETRALQVELSGLLDAAQQTETKMVEMSALNHLMSTHVLQQAQQIELLYDQAVEATKNVELGNKELSKAIQRNSSSRTFLLLFLFVLTFSVLFLDWYS >KZN00198 pep chromosome:ASM162521v1:3:2967194:2967646:-1 gene:DCAR_008952 transcript:KZN00198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRIKTSLLVLLVVLSFYLSSGTVDGFSNGAKLINSLRQDGSLRVNLSSRKLLSHDVSDYDEAGANTKHDPRGRRGGGGGKNR >KZN02014 pep chromosome:ASM162521v1:3:28317200:28317712:-1 gene:DCAR_010768 transcript:KZN02014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKHFREGVAASVFDVTDQLDTLIRDKSRKCTVAYVHPSRNRVSRFLAKLGMETCKELYTFDRPIGGVEELLDWDQGMGVPHAAYMDIMIPYGAPDPVNFDISVPLADQIDDLGLGQLNAPRFARSEMDIDEMEDVIEGAVEDSFVASFGPNEPKDPLWAYEPPIGDMD >KZN01906 pep chromosome:ASM162521v1:3:26763663:26765453:1 gene:DCAR_010660 transcript:KZN01906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYDGVENHKRNKLRRGREFQSSPFLILNQEPNNIMGDKYESRLYIGNLDFRITEAALIKMFSPFGTIVSEDFLWHTRGPKRGEPRGYAFVQYRTVEEAKLAKEKVHGKLVYGRPLVVRLASEKDLVDGAGSSDKAIDAKKPGLTGSCPGQLTRSAKIAAIKNKLKDMEEQNHETKRPKKDEGS >KZN00912 pep chromosome:ASM162521v1:3:9813640:9815239:-1 gene:DCAR_009666 transcript:KZN00912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTKARNSNGPVGNSKTFSKSGKNNGEERRNGDQEAGKKAKGSSSQDSTNAHSGNTQHTEGNKKLQLDKILKRWFLVDYDLVGAINKENHSLIPMAEARVNYLIGIVPDKLLTEGINGKEEALWSIHELLYNNGCWEKASNLVVADYDGSENGNTSDPVQPFFNAYAHLIHPNTRRSMKRWKRWA >KZN03197 pep chromosome:ASM162521v1:3:42368175:42371029:-1 gene:DCAR_011953 transcript:KZN03197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIFGKRKTPAELLRENKRMIDKSIREIERERQGLQNQEKKLIVDIKKTAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMTSEVMADAIDDALEGDEEEEETEELVSQVLDEIGIDINSELVNAPSATVAAPAAKNKVAAQAEVTGNDDGGIDSDLQARLDNLRKL >KZN01114 pep chromosome:ASM162521v1:3:11884749:11892422:-1 gene:DCAR_009868 transcript:KZN01114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLINKAGLARTVILMAVIMYTAEAAMMSNMHPLILVPGNGGNQLEARFTSEYKATSLFCTRWNPLVKKQGGWFRLWFELNVLLAPFTQCFAERMTLYYDPEVDDYCNAPGIETRVPDFGSTDSLLYLDPNLKHISAYMAPLVESLEELGYADGLNLFGAPYDFRYGLAAQGHPSKVGSEFLNDLKNLIEKASNANDGKPVILVTHSLGGLYVLQLLNRNSLSWRQKYIKHFVALAAPWGGTVQEMLTFASGNSLGVPLVDPLLVRAEQRSSESNLWLMPSPQLYGRKPLVITPNATYSAYDIAQFLVDIGFSEGVHPYNTRILPLVNNLVAPEVDMTCIFGSGVKTAETLFYGENGYDKQPEILYGDGDGTVNMLSLMALQNEWAGKKNQSVEVIRIPDASHTSILEDSVALDEIAKVIKSINSVTSSLAYS >KZN03269 pep chromosome:ASM162521v1:3:43056422:43058826:-1 gene:DCAR_012025 transcript:KZN03269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRLSPPLIPHILTTLSSHTINFFRSIFGLARSNTQCQIPAMDPCPFVRLIVESLTLKLPLATKSAAGSGVHPSTTPCFCKLRLKNFPSQTTLIPLSSTEASASATSFPGFHLDPATLRRLSEKSVHVTLFVYTGRMGRTCGLSSGKLLGKVSVGVKLDDSVVLGPVVFKDGWLKLGNEHANSTSTAELHVVVRSEPDPRYVFQFGGEPECSPVVFQIQGNIRQPVFSCKFSADRNSRSRSLPSDFTTNRRVWMRTFSGEREKPGRERKGWMITIHDILGSSVASASMITPFVPSPGTDCVSRSNPGAWLIFRPHGLSMKPWGRLQAWRERGSIDGLGYKFELVNDTGLTSGIPIAQGRGFVMGSTVEGEGKVSKPTVMVGVKHVTCMSDAALFIALSAAIDLSMDACRLFSHKLGKEFMHDDHDTFS >KZN01246 pep chromosome:ASM162521v1:3:13614798:13617488:1 gene:DCAR_010000 transcript:KZN01246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIPFVRLCRNVAKNHLEGPIPDNLSSCTNLNSLNVFGDKLNRTIPSAFKRLESITYL >KZN02081 pep chromosome:ASM162521v1:3:29315110:29316420:1 gene:DCAR_010835 transcript:KZN02081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHETDKNIQIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPGTSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEELSQDTGKYVFGVDDTLKALEMGAVEILIVWENLDMNRYVLKNNTTGEIIIKHLNKNQDGVQSNFRDAATNAELEVQEKMSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRTFDEYPDEEAYDDSE >KZN03475 pep chromosome:ASM162521v1:3:44928204:44930993:1 gene:DCAR_012231 transcript:KZN03475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGSGGSGIVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTAHDGRIYTVKLFCDKDYPDKPPTVRFHSRINMTCVNHDTGLVEAKKFGMLANWQREFTMEHILTQLRKEMTTSQNRKLAQPQDGTYF >KZN00871 pep chromosome:ASM162521v1:3:9435802:9436062:1 gene:DCAR_009625 transcript:KZN00871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKGRPDLWDAVDEALDASKNLKVRRSRRRASASSQVEDDVEMEGEGTPIILNDDDFGYEPNTNSERNDEIRARDNILDLYDDDI >KZN01369 pep chromosome:ASM162521v1:3:14958425:14972354:1 gene:DCAR_010123 transcript:KZN01369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEIYLRVGNILPVNRWLSAVVVFRKIMDVLAAKGFLVEDNTLAKFYTSLLQCLHLILTDPKGSLTDHVAGLVAALKMFFNYGFIHKPQIILPVSSQKKQDDTNKSKKHPTNSGPYKPPHLRKSKSNQNHEVSSPDLMSSDSDYSDSDGSPSEAFNIYSSKARVAAIVCMQDLCRADLKSITSQWTMVLPTSDVLQLRKCDTNLMTCLLFDPYIKARLACASTLAAMLDAPVSVFLQMAEYREPTKRESYMSLSSSLGQILVQLHTGILYLIKREQHSRLVASLFKVLVLLISSTPYSRLPDELLSTTIRSLHATVREGYLFKRDHNSGLLAVAINCLTVALSVSPSSKVNDLFLSELSTDPEINPVISFEALQALRAVAHNYPNIMVICWDQVSLVVSRFLSPVVSKDSTWSSKSNAGHSASAIEEKVTAAAVKVLDECLRAITGFKGMEDLSDDKLLDSPFTSDCVKIKTVSSAPLYGSGSQAVTIEELQMPSAGSTQWCVSIDSYMPLILLHSSYLVRTAAVTCFAGITSSVFLSLPKEKQDFVLYKSINVALNDEVPSVRSAACRAIGVIACFPHISQSAEIVGKFIYAAEFNTRSPLVAVRIAAAWAIANICDSVRHSLSGYTSTRCSVDAKWSSELIPLLIDCAMRLTKDGDKIKANAVRALGNLSRIAHLTSQSQFRSCDGQVNIASLSLVTSGCNEHVPPITGEIVQQEFAVNSIMLGRMVHAFLSCANTGNVKVQWNVCHALSNLFLNETIKLRDMDWAPSVYNILLSLLCNSSNFKIKIQAAAALAVPASVLGINL >KZN01067 pep chromosome:ASM162521v1:3:11298258:11306427:-1 gene:DCAR_009821 transcript:KZN01067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSDSNELNHFSSSFTSDAALFDASQYAFFGQDGAQGTVLRGLEDEANDASVLGFGDDEYHLFDKEQESGIGSLSDVDDLATIFSKGNLWGSGFATINKVVTGPRHPGVIGDRGSGSFSRESSSAAEWSQELDFPDWLDQHISDTESFQESKRWSSQPHLSSVLVPESKPIYRTASYPLQQHQQQYPIETNLESESAFSSYPPPGGISQLSSPHHHPQHLKLSSLGSGPQLPFSTPHLSPLSNSSIHMTGVPHGYRYSGNIPHLLSPDISLGSGSQNYWNNRAGLLLGDQSSVMNNILQQQFPHQSNLVSPHLMSQQQQQQHHRLHLPIQPSLSRYSSLQSQFYNALPSPPSRLRKHKSADMRDQRPKVSQKGKHAWLSQQSSDASQQSDYNRPQFRSKYMTADEIEGILKIQHAATQSSDPYIDDYYHQARLEKKSTETRSKLKFCPARLKEPSSRSRNSSDSQPHLQVDSHGRVSFSSIRRPRPLLEVDPPSSASGEGSAEQKMSERSLEQEPMLAARITIEDGLRVLFDVEDIDRFLQYNQPQDGGTQLRRRRQILLEGLAASVQLADPLGRSGTSAGLNPKNDSVFLWLVFLPKGRKLISKYLQLLFPGGELARIVCMTIFRHLRFLFGGLPSDPEAVETVSSLAKMVTKCVSGMDLNSLSACIAAVVCSSEQPPLRPLGSSAGDGASVMLICVLERATQLLNNPQSSSNRVPPNPVLWQASFDAFFGLLTKYCLGKYDRIMQSICAQNPQPSPDVINAEAARAISREMPVEVLRASLPHTNDRQRKLLLDFAKRSTPVSGISGHSGSSGLVTPEFVRG >KZN01477 pep chromosome:ASM162521v1:3:16938884:16941278:1 gene:DCAR_010258 transcript:KZN01477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRLIIILVIALAHPIANHQEKSLWSSSRKLVTEFKSFGSLENGLPETNSTEEKLSWLRSQVIGANVAFETPFGERLLTYADHTATGRSLRHIEDYILHNVLPFYGNSHTSDSYVGQQTTKMVDEASRYIKKCLGGGEDDALIFCGSGTTAAIKRLQEVMGISIPSIMRERVLAELESRERWVVFVGPCEHHSNILSWRQSLAQVVEIGLNKDGLLDTNDLVLQLQHYSSTKRPMLGSFSACSNVDGTYTDTRALAYLLHHYGAFACFDFAASGPYVEIKMRSGEMDGYDALFLSPHKFVGGPGSPGILMLNKALYRLKSSPPSTCGGGTVKFVNCFSETDTLYIQDIEEREDAGTPPIIQKIRAASAFWIKEYIGYKSISNQEQNYTKRALERLLRNPNISVLGNTNRSRQAIISFLVQTTTRPSSNELINPDKSKQNETDNKRSKPLHGRFVAKLLNDLFGVQARGGCACAAPYGHRLLNLNEPASSAIRSAIQKGKGGARPGWTRISFPYYMSNEEFEFLLEALEFTATYGQRFLPMYNFNWETGAWTLRKEVSSHQLPIKGICEPDMTKEEQISAVTKGVEISGVYASYLSTAKQIACLLEEFPSQRPVPDDIDTDILTFRV >KZN02201 pep chromosome:ASM162521v1:3:30751242:30764365:1 gene:DCAR_010955 transcript:KZN02201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPSSNVQRGGESGFPQQESVKTYIFDENTNCTGRTEVQVMDVQVNDLTLNGEGSHFEQGGDKWTIAESSTSEGHDNDDSFFEFEMDGQNSEDQYTRGSLASENSQLYVETIVSEFPSTGREEAPWPEEVKWPEPEEAMAVWVKWRGMWQAGIQCARADWPLATLKAKPTHDRKKYIVVFFPRRRNYSWADVLLVRPINEFPEPIPYRTHKVGAKMVRDLTIARRFIIQKLAVGILNIIDQLHSEALLETARNVVIWKEFAMEASHCKDYSDIGRLLLKLQSMIAQFCFDSSWLHHSLESWKHRCKNANSAEYVEMLKEELVDSILWNEINSFTNGSVQSELNFEWKTWKQEMMKSFSMSHPIANGRDVVQPSDVSQLGVELQISSKRPKLVVRRAEAHTSHVETQCPIQSLNTEIDTGFMYCRNIVDPDTSEMKHTGAEAPPMGADRWGEIVIEAGSTEIIQTKDVNLTPVNGVATRPFNASSKSNQCAAFIESKGRQCVRWANDGDVYCCVHLASRLGGNSPNLEASTSDAILCGGTTVLGTKCKHRALNGTPFCKKHRPQNDEVIMPSTPNSKKRKHEESIHTLKATSCKDIVFGGDSATALQLDSVSFKSRDGSYEKSIIKMPEQSSRENSGTEVLHCIGPVSEEANDNCLESPKKHFLYCEKHLPSWLKRARNGKTRIVSKEVYMDLLRECHSREQKLHLHHACELFHRFFKSILSIRNPAPKENQIQWAITEASKDARVGELLMKLVLREKDRLEKLWGFTADKDPQNCSSVEDPVALPIVVANDSGHDDSEIKCKICSNNFCDDQSLGKHWMDSHRKEAQWLFRGYACAICLDSFTNKKVLESHVQERHHAKFVEQCLLLQCIPCGNHFGNADELWSHVLSLHTSSFKTLGPSQQHDATTIGEDFAHELEAAKLVSVENINTDNQGVSRKFICKFCGLKFDLLPDLGRHHQAAHMGANPVASNLLKRGISFYAYKFKTGRLVRPRFKKSKGAASYKIRNRAGATMKKRIQLSSSIIAGELKDQIHGAESDKLGGLVEFQCSNVAKMLYSEITQTKPRPSNLEILSFARSACCKAKLQNLLEENYGILPERLYLKAAKLCSEHNIVVYWHQDGFICPSQCKRITVQNPVTPLFPFPDESRSRLALPPVPKATEWEVDECHCIVDSRHFKQEPILRTIILCDDISFGQETVPIACVVDENLLGSLPILVEGSNDQSTKYSMPWEGFRYVRKPLVDRSLAVNAESLQLGCACGDSTCSSETCDHVYLFDNDYEDAKDKYGKPMKGRFPYDDKGRIILEEGYMVYECNQNCHCSRTCQNRVLQNGVQVKLEVYKTEEKGWAVRACEQILRGRFVCEYIGEVIDEQEATIRRKRYSEEGCNYLYEIDAHSNDMSRLIEEQDLYVIDATTYGNVSRYINHSCLPNLTNHQVIVESMDCQLAHIGLYASRDIAIGEELTYDYRYKQLPGEGCQCLCGAPNCKGRLY >KZN03701 pep chromosome:ASM162521v1:3:47075430:47076303:-1 gene:DCAR_012457 transcript:KZN03701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSQRRRVNSDSLSCVPESGILNERILLLVFESLKWDVNVLCQTACVSRKLQAVAKRLLWKELCLYRAPRMVSELTSGGPASRLGGGFSALAKLLFYCCGCESTRCFEVSQPVPGHFMKSTRFSKTSGRSFLMRRCGSDLLYVSDPCEHPTGSSNRGDDLGIFRGVFRGFLKSKTRDCLIRGVGLEDGVHCPYCGARCWSMTAARLVPKSAARRLGANEDGIEYFVCVNGHMIFYDEELSVSLILLNS >KZN01141 pep chromosome:ASM162521v1:3:12160189:12160500:1 gene:DCAR_009895 transcript:KZN01141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRLLVVERTAWTENNAGRRFVSCVKGRNGCNFFRWTDPPVCARGRAVICGLLRRIERNEEELEKLMLLQEEKSNCRVQKFRCLNVKMFVFIVVVIWFVWTRF >KZN01476 pep chromosome:ASM162521v1:3:16929373:16931140:-1 gene:DCAR_010259 transcript:KZN01476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMSNAVIAHDPRIIKQGESSHLFQQIQPPTLKIEEVEFEDDKVVVELEDDEEDEFYTLEKMESMDNPAMVEKPVFKYVESVFDEEALLIKQGLNYEDSVSNNVIVNEKSTVKRPMTVDSIHNQTAHQKIAVNKAATESFKAPRKLCNNCGSSHHLNPVCKNDVATPINDVNVNGNLHRTPIMDRSMNVCSDIDCMTCKITAMSTVFKLLILSTAKCSHLYDVENPEPTNVSSKAAPTKKKAVPFSKS >KZN02366 pep chromosome:ASM162521v1:3:32611708:32621812:1 gene:DCAR_011120 transcript:KZN02366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLVSGGDIVTLETELSELGTSSSPTSVEQNTYDEHAEKWAELNRLPSFERLRSSLVDDHDDTKGKRIVDVSAISASERHIFIEKLIKHIENDNLRLLQKLRKRIYKVGLKFPTVDVRYNNLRVEAECRVVRGKPLPTIWNSLQSLIFNIAELGGLKSRKAKICIIHEVSGIIKPGRMTLLLGPPGCGKTSFLKALSGNLNKSLKAISIEGKSASLQTDYILKLLIIASITMTAFLRTRMEVDAGHANEYLGSLFYSLIILLVDGFPELSMTVARLPVFYKQRELCFYPAWAYAIPAAILKIPLSILESLIWVSLTYYAIGYSPEPERFFRQLILFFAVHLMSISMFRFLASVCRTTAAATLAGSMAVPLGLLFGGFIIPRPSMPSLLKLGFWVSPVTYGQIGLAVNEFHGPRWQKMLSTNTTIGHQTLVDRGMDFSEHFYWISLGSLFGFTLIFNVGFILALSYLKAPGSRAIISREKLSEIQGSEEIMNAECINRRSNANGRMVLPFEPVSFVFQDVQYYVETPLEMIEHGTSQKKLQLLCNITGAFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGTITGEMKIGGYPKVQETFARISGYCEQSDIHSPQVTVEESVIFSAWLRLHPQIDSKTKYDFVKDVLETVELDGLKDSLVGMPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTTGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDESISGVPKIKNNYNPATWMLEVTSTSAEAELGLDFAQVYEGSTLHESNKELVLSLITPAPGSKELYFPTRFSQNGIAQFKSCLWKHHLSYWRSPSYNFNRLMYLIVASFLFGILFWDKGQKLDNQQNVFSMFGLMFTACTFIGINNASTVLPYISTERNVLYRERFAGMYAPWAYALAQRIPKWWLWFYYLIPTSWTLNAMLSSQYGDVDEEIMVFGEKKTVAAFLKDYFGFHHDRLPMVGVVLMLYPIIFASIFTYCIGKLNFQRR >KZN00956 pep chromosome:ASM162521v1:3:10295689:10296809:1 gene:DCAR_009710 transcript:KZN00956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSPSIDKNGIKKGEWSKEEDDKLRAYINRYGTWNWRQLPKFAGLSRCGKSCRLRWKNYLQPNVKRGNFTKDEEDIIINLHNQLGKKWSIFAKNLPGRTDNEIKNYWHTHLKKRTQGQNSVMPSNQEIKRESPSLIIKEDTNQESSQPDNYQQTSIPPFQGLTSPNESCCTVLSQSYSSTPSSLEYSSADFWSQELTIEDYDLTQGSSCSELSFGSVYDPKSSDLSTWIRTNFSNFDYLDDLWSESFETDNYMINAYNNQQSYVDPGHVYPSSPSTEEVCLWSYDLSDESIS >KZN01840 pep chromosome:ASM162521v1:3:25930962:25933065:-1 gene:DCAR_010594 transcript:KZN01840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMASASASIRLPPEPANYDEVSMHQSLLFSNSLEDLKNLRNQLYLAAKYFEKSFTNDGSKQIAVDALKAYTIKALVSTVDHLGSVSYKVNDLLSEKIDEVSVTDIRVSQIEQRLRTCQTYIDREGLSQQSLVISTPKHHKHYILPVGTTMHGGNKSKAKYEGCNLDDQDDWRELKNAIRATVTETPTSSFRRERSPSPSTPSQQHQSLIISGNVSKRHLEKRTVSPHRFPLLRFGSFSSRPASPNSRSGTPNSRSTTPNLSRPTTPNLRQGYLLESRKSVSMRHNVDGENFKVSDHIPSKSKRLLKALLTKRRLKKDDTLYTYLDEY >KZN02908 pep chromosome:ASM162521v1:3:38837539:38847730:-1 gene:DCAR_011664 transcript:KZN02908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTACTLQVSQQLGIYRNHQASRQFQRKDLWSIRLSDRLKRRVPAVSNRCNPFKCHSFLNPGHPFHISNLKNVAVELTRSSGALQGKPVLLKLVPAVTILLFAVWGLGPLMRLSRNIFLHKNDSSWKKSSANYIMTSYLQPILLWTGATLICRALDPVVLPSEASEIVKQRLLNFVRSLSTVLAFAYCISRALDPVVLPSEASEIVKQRLLNFVRSLSTVLAFAYCISSVIQQTQKYYMETNDPADTRNMGFQFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFIVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAIHIPNHKFTVNIVRNLTQKSHWRVKTHLAISHLDVNKINSIVADMRKVLAKNPQVEQQKLHRRVFLENVNPENQALMILISCFVKTSHFEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYGDADLDSMPFADSVFTRGRASANRPLLLIEPSYKINGEDKTKPQPRSARANAEQDNKAGARTILDNQLDLKGGPSDVKAKEKQTSDSKEKEASLPDTKEDLTVGDTPYPDSKRDQKSAGIHDSKPGDKVVDKTLSKPGAKVVSKTGEVLSSNSNSPVTDNVTESNSIKKRPKSVGSEGAPQKTVVHDSSSAVIETSGSKANQSTSSSKQTERLLDAQAPASRSVLEENIVLGVALDGSKRTLPIEEGMSSSVAMPEFKELATSRSASMSQTTDENKKNGKNSTVPETAPADK >KZN02580 pep chromosome:ASM162521v1:3:34768270:34768808:-1 gene:DCAR_011334 transcript:KZN02580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKLRIIYNAKEAIGGLKTPVVRNPKGLWASESAVVWGEVNKGILSKSWDKAREAKSKSNNVLASALYI >KZN00807 pep chromosome:ASM162521v1:3:8874701:8878412:-1 gene:DCAR_009561 transcript:KZN00807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFWTQGDSDTEEEESDIEQDDDEEVNEPTTVNKTGSRYLQDNASDSDDSDGQKRVIRSAKDKRFEEMAATVDQMKNGMKINDWVSLQESYDKINKQLEKVMRVTESDKVPNLYIKALVMLEDFLNQSMANKEAKKKMSSSNAKALNSMKQKLKKNNRQYEELITKCRENPESEEEAEAEEETEDDEDDDDDNEFLEDPLKAGSDSGEDDDDDDDDDAVTDGPGWEKKLSKKDKLMDKQFKDPSQVTWDFVNKKFKETIAARGRKGTGRVELVEQLTFLTRVAKTPAQKLEILFSVISAQFDVNPSLSGHMPINVWKKCVQNMLLVLDILAQYPEILVDDTVEPDENETQKGADHQGPIRIWGNLAAFLEKIDVEFFKSLQIIDPHTREYVERLRDEPLFLILAQNVQEYLERVGDNKAAAKVALRRVELIYYKPQEVYDAMRKLADQTEDGESGGTEASGGFKSVEGSRGPPEFIVIPKVVSRKTTFPESGRTLLDVLVSVIYKYGDERTKARAMLCDIYHHAIMDEFSTSRDLLLMSHLQDSIHHMDISTQILFNRAMAQLGLCAFRAGLISEGHGCLSELYSGGRVKELLAQGVSQSRYHDKTPEQERMERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANSLDAKHKFISKTLRRLLEISERQTFTGPPENVRDHVLAATRALSKGDFQKAFDVIKSLDVWRLLKNRENVLEMLKSKIKEEALRTYLFAYSRSYDSLSLDQLAKMFDLTDAQTHIIVSKMMITEELHASLDQPSRCIVFHEVEHSRLQALAFHLTEKLSVLAESNERAVEARIGGVGLDPMPTRRRDGQDYAATGGGGKWQDNMLFSQGRQGGGGTRSGYGSGRPFAPGPNYSGGYQRDRSGRGGYSSGHQGTRYQDSSYGGQGRSYQNNSARGSQMDGSVRMVSLNRGIRS >KZN02692 pep chromosome:ASM162521v1:3:36094196:36095473:1 gene:DCAR_011447 transcript:KZN02692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAVKLQALTLPLHKFNRHYPPAGSRPVNLWLPRRRWTSLSVQQSKNDSVVLDGSAQFTSSVATPPPLIQLNLTQRHILLLNFIACATAVSATWLFLAAIPTLLAFKRAAESLEKLLDVTREELPDTMAAMRLSGMEISDLTMELSDLGQEINQGVKSSTRAVRLAEEKFRRFANMSPPALMQVATTGQTKAAGPVLARTARGIKEVIVKGRNAVQLFFTLTKWSRVAINYFKSRTKARI >KZN00830 pep chromosome:ASM162521v1:3:9051779:9057808:-1 gene:DCAR_009584 transcript:KZN00830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYKKKPVPLVENPTGLSPDEAVFQVRFTKEIFRDYGEYLNRICFYRKRIWMCKVTGKSNLTFEEALMSEKRAIEKVQQFPSNLVAPVLREVQYSMLNLKDLVSMIAEKLQESMVEGSELYGRKNNRIYPCKIMKVLEEEADKTQYQVAWLDKEKKVTGNAVVDGNDLIKKKLPFSREVLKSFIRESTYRSVPWVLHDELAQKHGISTDPPEDVVPKMSILHGCVTNGKRKRRDGKKNDSPVKNKKTLKANQEHSAIDVDNIYDETKYPIDDLLVPTARYDPAIAQRPIPSSDFKIPNDCVGDLLMVWDFCSSFGRLLQLSPFSLEDFENALCHKESYVVLIVETHSAFLCSLMKDNGEYFLATQRKKRKPKITLLKWTEYLCDFLEMVGTDEFSGYLPTIRRGHYGLLDVSAKLGILRELVARVLATELYREKLDEYIEERQALAAANREEALAEGRKRREAKDHKLHSNGNHTTTTEGRRVGSEGSNSGELVTYDGNPPNEATARGELTLSEIIKESSKTKKNGMEKKADDKAVVAMNHLTGKGIQEVATNDSKYIAGEKGLKQRVPSFLTLYTLQKEYLAREMEKRIIRTNPLGKDRHYNRYWFFRRDFRIYVESSDSKQWGYYSTKEELDSLIGSLNRKGVRERALKKELEEYYERICLGIQKKLKDSAAAEEADVRRSTRIRAPPRQNPALAFLKYENKWKED >KZN02029 pep chromosome:ASM162521v1:3:28568296:28569610:-1 gene:DCAR_010783 transcript:KZN02029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDRNVCETGLKLGLGFSPAVEIIPAKAGNHSHPCRPKAVALSFEPSLTLGLVSGDKVNDGFVIKPSSCEILYRQDSTGASSFSNASVKREREQRGSEETETTARVSSRKQKQELAKELNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKKCCETLTDENRRLQKEVQELKALKVAQPLYMQLPAATLTMCPSCERVGGSGGPPATSADNSSKETFSSITTPKPHFYNSFPNPSAAC >KZN00932 pep chromosome:ASM162521v1:3:10006677:10010111:-1 gene:DCAR_009686 transcript:KZN00932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGSRTPKMSEARRRAARCIANRARLAVRATPPKQDQQKKKRNYEGYVQKKFTPAIITDVVLKLSNAQSEWVKKAGFQHLLGFRMDTYQHRLGYKILDSFCSETCQIRLKAGDVLITDDLVHKIIGLPLGDRDIELKEGKIAKTDWDKQYDSTSISPGMIRTAIQKSKRADNNFKMNFLMLVYNFFIEANQNRFVTRKMLSFPGSLDECGNYNWCKLLVEKLRKTHDFWARKKHIRNFAGPLAFLIYCYVTCLRTNEMLQRNIKFPAYLTWSDEDLHEREKKETDEDKFGVGSLVNLDDVQGVDVAGRGSRNTEAENMTRELTLRDMVVPDSVSGGSEEEFIGGCGMQDVDIVSRGDELCEIMREQDGCGGQIQSNNLDEHVLRGDNNGELGQELILEEINCIQSEERGAAHMGGKEKGGITDKRSRGCAADISEGHGKNKEKVVVADKGTTVSAAEVNAGQGTNEQMDCQYDS >KZN01006 pep chromosome:ASM162521v1:3:10740513:10742148:-1 gene:DCAR_009760 transcript:KZN01006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVCDQVVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDPRNDHLMELEGAKERLRLYKAELLDYNSLFEAINGCDGVFHTASPVSDDPALTESAVTGSKNVIIAAAEAEVGRVVFTSSIGAVYMDPNTSPDEIVYETRWSDLDFCKKTKNWYCYGKVLAERAAWEESKKRGVDMVVINPVLVIGPLLQPTINASTVHILKYLNGAVKTYANSVQAYVHVNDVAAAHVLLFENPSAAGRYICSESSLHRGEVVEILAKFFPEYPVPTKCSDEIKPRAKALTFSNQKLKDMGLDFVPVKQCLYETVKSLQEKGQLPVHDQEI >KZN01539 pep chromosome:ASM162521v1:3:19451656:19453605:1 gene:DCAR_010293 transcript:KZN01539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPTVKVVLGSFAFAVFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFQVMTPDQAYAAIDLPILGLLFGTMVVSVYLERADMFGYLGKLLSWKSKGPKDLLCRLCVISAISSALFTNDTTCVVLTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKITFGEFLIGLFPAMAVGVIVNTLVLLCMYWKLLSDPKDVEDASCEVVPAEEVVSHRFSPATMSHLTSLNSEDLRYTLESLSVRGSPNINWSADDTLRNRSIASEFLNNKGLNGEIEPKDTRIVEPLQKEEGTSLSSPVPSVNGLANIDNVVRPMAEEKGLKTKWRRILWKTCVYLVTVGMLIALLMGLNMSWTAVTAALALIVLDFKDARPSLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWDFMEPLAQIDHVSGVAILAIVILVLSNLASNVPTVLLLGGRVAISAAAISPQKEKTAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAQHLGYNLTFWSHLKFGVPSTLIVTAIGLTLIRG >KZN00986 pep chromosome:ASM162521v1:3:10514769:10517786:-1 gene:DCAR_009740 transcript:KZN00986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEEIYGALTRKDWKQVRELCKNHRDGPFLKLSSSRDSVLQKALYSGDVELVLALLDDAYENFREGQAFEDKLKDDVNVIKNTALHVAATQDSCILAAAKIFDYAGHLLFAQNNRGEFPVFSAVRYGQLNMFKFLDSKIFEAVGDEDFRLSLYKLSNERGTYTILHVAIYNEHFELALYIAKKFPSLICEKNHQRLTGLQLLAMNSSAFRYQNWKWLKHLLPSPFRGSFAESSHKDATNHKVEDGTDGPSCTMGIPWWNKIKKEYRRYQAALELASILVEKDTEWIDKLESSGVADAVADTNWGDDNSTESQNNADESVKAPTPLILATKYGCLDIALQIISKHPQTVEQVGRKYGSILHLAIKYRRTEIFDAVVKMKMQMRRLVRLPDKDGNSILHMVALDTTRVKVENGSDATSTTTLKDKSSQPWDAEEIKNEARSPAFILQDDLLLFERVEDILKTHYHKTLNKDLETADQLFSAKKEPIRQEAQEWMKRTAENCSIVAVLIATVAFAAAYTVPGGSNPDGSPVLLNQTFFVVFTVTDVLSLASTLTAVVVFLSILCSSYRLQDFKETLPKSLMFGISCLIFSLTMMMLAFAATIILMIKNRQQWTRIALYAVAFLPVTVLVATYLPFYVPLMRTFYYTVDKVKSIVPHFTCPKKRSPPFHLQKSSLPKTKKATGSSGPGDEV >KZN01918 pep chromosome:ASM162521v1:3:26941408:26943754:-1 gene:DCAR_010672 transcript:KZN01918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPQYRISRPPGLLIALAILPNLCLTSLSDILPAESEILLRFKATLSNQAALSSWNETRPPCPTGNWVGVHCVDNNVRGLRLQNLGLKGKFKVDVLKDLKGLRTVSIMGNQLEGEFPDFRVLGALKNVYVSDNKFSGVIQADAFRGMISMKKFHAARNRFNGSIPESLVHLPRLKELRLENNKFSGHIPNFEQKNLTVFNVSHNELEGQIPARLVKFNETSFLGNYYLCGGPMGACRASWLRLPVVICVAIAVAAVITIVAALVILRRRKKRKALSAMSPGNTILMTSSSTSSSVTSDLDMLEHGHPSKSRMMPSLSTRRKSHAHNNSTPKLTFLRDDGHSFGLSDLLSASAEILGSGVLGSTYKAVMNNGSSAVMVVKKFAKMNEVGREEFQEHMRWLGKLSHPNILPLVAYYYRKEEKLLVFDYVANVSLAVHLHGKKLDWPKRLKIIKGVARGLQYLYNELPSLIPPHGHLKSANVVLNEEWEPQLTDYALSRIVNQEDTQDLMIAYKSPEYKQLGRISKKTDVWSLGMLILEILTGRFPANMIQQGKGVADNAELATWVETLVRENENWKNKVFDRDMMTDINGVGEEEHQEMLKLLKIGLACCEMDVEKRLDIKEAVERIEDVKGP >KZN02362 pep chromosome:ASM162521v1:3:32518952:32519662:-1 gene:DCAR_011116 transcript:KZN02362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGMDPPKIFDRFYDSSEEEQENEFLYTDTNTAGKRLDYMIQFLDRRLENHHRNSDPLPEFVAPGGGEGIFRLPVRAAVHPGRPPCLELRPHPLTETQLGCFLRNLVCVDDSELWVGSENGVRVWSLGDVYKPAREDVVVNGDQETAPFVQSVTVSPTLCLVGHDASRMVWSGHKDGRIRCWKMLADCRDGDRPRSFFKEVLSWQAHRTPVLSMVVTLYGIVRVYCTNYYICQIL >KZN02764 pep chromosome:ASM162521v1:3:37011964:37013486:-1 gene:DCAR_011519 transcript:KZN02764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNVPQPHAILYYSQRTTDGGLLISEATVISDTAIGYRDVPGIWTKEQVEAWKPIVEAVHDKGGIFFCQIWHTGRLSNTDKPLSPSSDGSHFSPPRRLSTEEIPQIINDFRLAAKNAMEAGFDGVELHAAHGYLVDQFLKDQVNDRTDNYGGSLENRCRFALELVEAVSEEIGADRVGIRLSPFADYNESGDSNPEGLGLYMAESLNKYGILYLHVVEPRMKTAWEKFECTESLLSMRKAFKGTFIVAGGYGREDGNKAVAENRADLVAYGRVFLANPDLPRRFELNAPLNKYDRNTFYTSDPVVGYTDYPFLDQTYDKET >KZN03538 pep chromosome:ASM162521v1:3:45591472:45596163:-1 gene:DCAR_012294 transcript:KZN03538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEENRAKIKDWLLKELGKDWEDDDKMRPKFKGFSGQRSDWEPNYIFWRDLIINLSRHLRVLFITPSQVKSVWFNRGGLTPLCLDHVLLEMQSSGELLRSSELIDPASGRLSQIFRRVVRLAGLSRSSDLTEDDLIVATLLKEKAAEVVKVLSDNHWTYSCIITIRKLQEICGGSREAYAVLSYLSGSGKAKYLSINRQGVKEGVKISLSTSAVSSPSTLDYDTLHLIWTAEKLQQQLDGIDQRCEILREKALTSLKSGNKVVALRHTRELKLASQRSVGSTTYTEIEDEDIEDEFKTLELEFGGALSPVLEVDTGSAKETISSETADLLSESIANLRIKDDNEGKRAVVQDSAKAVRNNRTPEAA >KZN03020 pep chromosome:ASM162521v1:3:40242262:40244765:1 gene:DCAR_011776 transcript:KZN03020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKSSSRLFTIGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWLKMVPMQTIRSRTQFVKITALSFVFCGSVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYMITLKREAWLVYGALIPVVTGVIIASGGEPSFHLFGFVMCVGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATLYMEQNVVGITLALAKDDIKIVWYLLFNSALAYFVNLTNFLVTKHTSALTLQCAECFPGPWKPRIMYSGVPSTLLTSVSFFQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYTLTVIGVILYSQAKKRSK >KZN00378 pep chromosome:ASM162521v1:3:4711899:4712345:-1 gene:DCAR_009132 transcript:KZN00378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSSKITALLKLLSEARDQDPTAKSVVFSQFRKMLMLLEEPLKTAGFNVLRLDGSMNATKRAQVIKDFGVPAPHGPTVLLASLRASCTGVDLAAANRVYLLEPWWNPEVDEQAINRVHQIGQTKEVTVVRIVARNSIEERMLALQDQ >KZN03829 pep chromosome:ASM162521v1:3:48792799:48792969:1 gene:DCAR_012585 transcript:KZN03829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLAWMGMIVVALLVAAYPSVRCIVTAIVRRMAARNKVNTYEDATSVSTPDTHIV >KZN02422 pep chromosome:ASM162521v1:3:33377611:33379422:-1 gene:DCAR_011176 transcript:KZN02422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPLVKPLLLACFVISCIYTSVIAEDDVTCLQGFKDSIQDVDNKLFEWSFGNTTVGYICKYTGVTCWNDRENRVVSLELRELNLAGQLPKALQSCHNNLQTLVLAGNNFSGSIPDKICSWLPFLSTLDLSGNKFVGSIPASLADCTYLNNLILSDNQLSGEIPVQLTSLNRLKKFSVSNNALKGTVPSAFSKFDESDFSGNSGLCGGPLKKCGGLSKKNLAIIIAAGVLGAVGSLLLGFGLWWWCLRSNRRKKGSGYGGEDSESWVEKLRAHKLVQVSLFQKPLVKVRLVDLMAATNNFDGASVIITARTGTTYKAVLPDGSALAIKRLSRCKLSERQFGFEMNRLGQLRHPNLTPLLGYCLVEDEKLLVYKYMSNGTLYSMLNGGNASELDWETRFRIGLGAARGLAWLHHGCYPSILHQNISSNMILLDEDFDARIMDVGLAKLMTYSDPNERGLVNGELGELGYVAPEYSSTMAASGKGDVYSLGVVFLELATGQRCLEVSGAEEGFKGNLVDWVNHLSVSGRIKDAIDKRLRGRKHDEDIEQFLRIACHCVETVPKDRWSMFKVYESLRIIAEEHGFSEQYDEFPLLFGKEDMDKVI >KZN00098 pep chromosome:ASM162521v1:3:1912721:1913309:1 gene:DCAR_008852 transcript:KZN00098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPDTDFNLHKKDMTLTTTSRKMKNIGYTSKTGADHYYLEDYGRNDPVPSSKAYIRHGTIQHGSPLMPYIPKPSPPAPPVPGRAKRDGLP >KZN03656 pep chromosome:ASM162521v1:3:46689082:46689390:-1 gene:DCAR_012412 transcript:KZN03656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSRMGIEYPVVIFSKSSCSMSHSIKTLISSFGANPIVYEIDEHPNGQQMEKELKALGRKPNVPAVFIGQELIGGANEVFSLHLKGKLVPLLKSANAIWV >KZN02743 pep chromosome:ASM162521v1:3:36827410:36829939:-1 gene:DCAR_011498 transcript:KZN02743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFSKYMSLSLMFLLVSCTIISSGEARIRRYKWEAKYEYKSPDCYKKLVITINGRSPGPTIRATQGDTVIVELKNNLLTENLAIHWHRIRQIGTPWFDGSEGVTQCPILPGDTFTYEFVVDRAGTYLYHAHYGMQRDAGLYGSIRVALPDGKSEPFSYDYDRSIILTDWYHKSSYEQATGLSSIPFVWVGEPQSLLIQGKGRFNCSLPSVGAGLCNATSPECSPYVLTVVSGKTYRLRIGSLTSLSALSFEIEGHNMTVVEADGHFVEPFVVTRLFIYSGETYSVLVTANQDPSRNYWSTSKVVSRNSTTPNGLAIFNYYPNHPKKLPPTIPPRGPIWNDVGPRLNQSLALKSHRNFIQPPPKTSNRVIVLLNTQNRVNGNVRWSVNNVSYNHPHTPYLISLKENLQNSFDQTPPPEGYDDSNYDIYSVAPNVNGTTSNSIYRLQFNSTVDIILQNANSMNNNTSETHPWHLHGHDFWVLGHGKGKFNRSTDPKNYDLISPIMKNTVPVHPYGWTALRFRADNPGAWAFHCHIESHFFMGMGVVFEQGIDRVGKLPSSIYGCGDTKGLLRP >KZN02477 pep chromosome:ASM162521v1:3:33783648:33791296:1 gene:DCAR_011231 transcript:KZN02477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQASDRRFPLNAQDYRLFEEVGEGVSATVYRALCVPLNETVAIKVLDLEKCNNDLDGIRREVQTMSLINHPNLLRAHCSFTSGHNLWVVMPYMAGGSCLHIMKSAFPEGLEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILVDSNGAVKLADFGVSAGMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRRFSKSFKELVAACLVKDPKKRPSSEKLLKHHFFKHARSVDYLSRTILEGLSPLGDRFRTIKAKEAEFLVQNKALLGDKEQLSQQEYIRGISAWNFNLEDLKNQAALIQDYDAISNIEESSASGKQKDGYDEVGFAVDNPPPGTVNQPDVAPHYQDGLNDIHTMENSLAAFPMKPLQALKGCFDVCNDEEAIGSPSTKEFRTDTEQPMKMQSPPRDEGKEAGQSDIENAGESTSQPRHTSSLQRKYLSGSFVQDHAASPKKVINDAERDPPQTKLQPERNFSGPLQYRLKKDIFSSASVEDAPEGAVVQRKGRFKVTSADPSPKIQAPLNGPSNSGLGGSASPAGPSLAAASVLPSLQCILQQNTMQKDEIVKLIKCVEQLSVNTTESSEGGSNDPSQIPPTSYRERELQSQLTQLQQSVGSLVEELQRQKLKNVQLEKKLISLAKKEEDIQE >KZN01677 pep chromosome:ASM162521v1:3:22956317:22956742:-1 gene:DCAR_010431 transcript:KZN01677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPMSPPASTDMATQMNFYWGKDVIILFQGWPSNNLAMYILALAFVFMLSAATEVLSIPQVVRPSSGSRPIISLLIQASVYAVKMGLAYLVMLSVMSYNLGIFIVAVAGHGLGFLLVKIRALAAAGPANQAGSEFVDPKV >KZN01421 pep chromosome:ASM162521v1:3:16023677:16027534:-1 gene:DCAR_010175 transcript:KZN01421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEAQQHFVDLDDSAAANSWLAAGQDRSVDSPLLRRTHDSFSAAPASNLDRNLFNDLVEIVPLVQSLIDRKAKTSFTRRGSVIYTKTPARESISKASELKGKHAAQSIPTKKRRDLGDKDQHKNAGDNKDGCANDFSFPQISTSENNEELLALRDEMVDLQRKLSEKDELLKSAEISEGKLKSEIAKLDELKQQVSEKDFVAKSTQQQLSDAKIMLADKQAALEKLRWEAMTSNTLAEKLQEDVNTMQAEMSSIMILCEGLRKNNDDVSTEDYDVPLNHIDHIPDDVDSLDVLEMQRLADAQEAYIAAVAAAKESQDEYSIAAARSARLHLLSLVIKN >KZN01518 pep chromosome:ASM162521v1:3:18085418:18085678:1 gene:DCAR_010271 transcript:KZN01518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSMTEVIDRHDFHGFDSGLKVIMGSHLWSIVQNIQVKTNQMGTNVYIQIIFKSLSCLSKKEGFNSDILQRLSNFDCVCRCRMAFD >KZN03077 pep chromosome:ASM162521v1:3:40958264:40959936:-1 gene:DCAR_011833 transcript:KZN03077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETSDTNSEDWKTISYDNIDKEEDGGGSEEKLVCARKNGGISSSNSTVEESEKSTKGASGSVRQYNRSKNPRLRWTPDLHRCFIQAVDKLGGQERATPKLVLQMMNIKGLSISHVKSHLQMHRSKKMEDPDEVLSEPGLLGTRDYNHHIYNFSQLPMLQGSGHSPNSRRYANEPWSRHNNPTYTSFMGGFGSSSFKQSLLQPMPAKNNFGTAGSTSHTLGFDFYRTNLSFSAEDQPRVCRNQESFRSHLIQLNMHKEQQDRGGNNEVITPKAQKRKAAENSDSELDLNLSLKQPEKQEANDKLEASSLSLCLFSSSASNCTSRLEERDMSITGKKHARRMASSLDLTL >KZN00444 pep chromosome:ASM162521v1:3:5335089:5335929:1 gene:DCAR_009198 transcript:KZN00444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLQLKSLNHISLVCRSLTESLDFYKNILGFIPIRRPGSFEFEGAWLFNYNIGIHLLQSEDPESLRTTRQINPKDNHISFQCESMAAVEKKLKEMEIEYVKARVEEGGIEVDQIFFHDPDGFMIEICNCDNLPVVFVAGEAIRMCSALGCSVQPKLAVV >KZN01375 pep chromosome:ASM162521v1:3:15082030:15085613:1 gene:DCAR_010129 transcript:KZN01375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVYPSYFNNLTNINKDILPVLRTQVQKLHCYVDTASPSAGSSWQSTEMEMEIQSLLEKLLDVNDSMSRCAASSAPTTLVTQKLARHRDILHEFTQWSKKYHDLSLISYRHFSGIVDYTNSDDMIYAIRKLDESEFKNAFSHGVIRVRFMIQAAVQVTEAGVVAKAEVRVGAGARVLGIFMMATTLRMFATCQRLQAQAQAHAAAASGLLARTKFRLHMPPAIALTQEGD >KZN00532 pep chromosome:ASM162521v1:3:6154518:6157931:1 gene:DCAR_009286 transcript:KZN00532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTGNEERKQLALNALERRFALAQDELLQQQQPKRKKPLPQEKPKFTTYNNNNSTTNTNTNTSSSSYGNPIATLSSPNHSVKKDNETSDPVYSFLSYPVHENLVSTSIEFSDKKGSVPDKILHELLRNGDAALKYNQGLKGKKIDNWISLENVEKGCGAYDRAHIKALNRISKRSNKHMSMKQHKKFGSLSLPVDSLKFEIYKPMHEMWKGYITQLLKNLGNIQVAQRLLSADLHGSIILIVQSNVASFVGANGIMIRETAETFSIITADNKLHVVPKKASVFMLQADCWKITLLGDELRSRNMGP >KZN02182 pep chromosome:ASM162521v1:3:30592159:30592836:-1 gene:DCAR_010936 transcript:KZN02182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETSVQRPTKPTQVGAPPPEPEHLRCPRCDSTNTKFCYYNNYNFSQPRHFCKACRRYWTHGGTLRDIPVGGGTRKSAKRSRSSSSSSLFSDHEYRHMPTPTTPFFLPLSNGDHNGVSVPFVNNGKPCYNNMAGSFTSLLGTQAPGLLSLNGFGLGLGSHGFEDVGFGLGRNVWAFPPVGPGGVTESVGGNTWQVESGENGFTNVDTTFSLPDLAISTPGNAMK >KZN01950 pep chromosome:ASM162521v1:3:27355334:27357315:1 gene:DCAR_010704 transcript:KZN01950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKYDDGEKIGSVKSTLNFYVERMSDSYSPKRKNLKDVSEKPSPTKRDVHSTRRETSQFRNSTRDAEAARAKTESELFEAKKTVKDLASRIEESNSRVKKIEELEKQTKSRELGQDQRCNRREDDRYVEIIKELDYIKQEIRKLKADMAFVLDQKRDAEKETEASSSKIKSYSGSVEVLRKEIDELNEEHVLVELARMEANKEYKEIEAKRKEDAKQHSSVLEGTRKKVSDLNDLFEEINSTEELQNKLANTTYDVDTLKNELALVMSKDTKKSEGLEYAEKGEESESPSFLQLVLEELEAAKKELALINEEGFQFTASMDIIRDEVKHLSEEIARLKKTEEKADLTVQSLNSKLLRANLKLEAVCAAVKEANSMSTSLEVALEQLKTEAEAAKREKELISEDTAKMKAEVPKIESEIDILQAKLEAAIQELGSVKSSEATALENLKAIVENSMRSRASASRHSATITISTFEFEYLKGRAAGAEELADKKVAAAHAWIEALKASEKEILMKTEIAHRELREERNAHETEEPVLTKVSDNEVQIPGRKVDKFIEPTKMQLEVPPRKSVNKYGTLTPSRRSRVRTSASPANRNMSKSNSSSLDRKRKGVPTLAKFLSSNNNERNQ >KZN02021 pep chromosome:ASM162521v1:3:28460310:28464217:1 gene:DCAR_010775 transcript:KZN02021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDISIDDYKLSYYVMSIYVAEDTKVNKVWTELEGYIKKLVHAEVYVESKEEGNVEGASAMNRTSFLGLTGAKVNKVWTELEGYIKKLVHAEVYVESKEEDNVEGASAMNRTSFLGLTGAKVKTYILVWLTPGLL >KZN03903 pep chromosome:ASM162521v1:3:49787637:49790346:-1 gene:DCAR_012659 transcript:KZN03903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVSSLVGSPIPSSSTRKMVRKNLEIRAAGSTYGTNFRVTTFGESHGGGVGCVIDGCPPRLPLSEADMQIELDRRRPGQSRITTLRKETDTCTIYSGVSEGLTTGTPIMVKIPNTNQQGDVYNELSVAYRPSHADATYDFKYGMRSVQGGGRSSGRETVARVAAGAVAKKILKLFSGTEILAYVSQAHKIVLPEDLVDHEALTLDQIESNIARCPNPEYAEQIIAAIDAARAQNDSVGGVVTCIVRNVPRGLGTPVFDKLEAELAKAAMSLPASKGFEIGSGFSGTFLTGSEHNDEFFRDEHGRIRTRTNRSGGIQGGISNGEVISMRIAFKPTPTIGRKQNTVTRDKQETELTFRGRQEPCVVIRAVPVVESMVALVLVDQLMSQFSQNELFPINTDLQESTANHELASLLL >KZN00955 pep chromosome:ASM162521v1:3:10289912:10291048:1 gene:DCAR_009709 transcript:KZN00955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPSIDKNGIKKGEWSKEEDDKLKAYINRYGIWNWRQLPKYAGLSRCGKSCRLRWKNYLQPDVKRGNFTKEEEDIIINLHNQLGKKWSIFAKHLPGRTDNEIKNYWHTHLKKRTQGQNNLTPPSNQVKAESSCQTPQILKEEIKREPSQTDNNHHQISTLGLCQGLTSPSVSGCSTGLSRSYYDAPSHQESSGNIWNQDLMVEDYELAQGSSCSDFSFSYSDDSNMSNLMTAGDHTTITPTYECFDDFWSQLLETDYMVSSYKHQQPFVDPVNIPSSPIADEVFLWSYDLSSDESNR >KZN03246 pep chromosome:ASM162521v1:3:42867315:42872094:1 gene:DCAR_012002 transcript:KZN03246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKKGVQMVQMKRELAQLLESGQDRTARIRVEHLIREEKMVAAYELIEIYCELIAARLPIIESQKNCPIDLKEAIASVVFASPRCSDIPELTDVKKHFTAKYGKEFITAALELRPNSGVGRMVVEKLSAVAPDVQTKAKVLNAIAEEHNIKWDSKSFEEKESKPAEDLLNGPSTFEKAGEMQAVPKIQVPHIQATSGYDKPVRLSNSNESGSRSSFNTPNYSTADSGVLNTRTGINPDLRSSGSWAERMENRQSFKRDDAYLDRKHWDIEFKDATSAAHAAAEAAERASMAARAAAELSTRENITRRYSTESQKLNRHDSRQGYYAAGNGEDFNRDVQEEDRRHSQSSAQSYSKASINDDKYVNSMQKPDKFSENVSFKETTRAEMDIVSPKNHLNRQSFEGGTKRASGWQDNFDTENVDEFGVASMGEQHNSSSSFSHSSTDNEIYTALDHKNSKSMADEDPYVGNYQESVHNDVKKMDSYNDYFADYDEDESNDEKLKFDAGPKYDEGESTSYAPSPERTSAPFSRTSVDTWNPRRDAFNSPEPLTSPSPVSAENLFSPRFSEIAAKSINPTESDKVPGTYDVDTPKFDSEDELDDSTYEETNDSRIYSPKHKGFSQYPERAKVESQGSLGSAFKEIHIHKDDVHATGSADTPKDDRLAIQNGMEGENELSFGALKGGFRNKSYVHPPYRKLSGDASSSTKEVAEQNLIRKSSRSKLINNAEAKSSFSRPTTSSDPDADADDSVDEVIQKATSVRKAPESHKVRMKSKFMPPVGSYFDDDEESTEDVPEKTFPGRDHLVGGASRRTRDSNGNYIPESVGSVNLHSQNERKSNSSNYGASTSTELKYQARMTSSHTGSGKEPGTAKQATSKPMQVSKTSTPETKFKPSAGGQPSNPYRDTETKDNLGNVKTPPVEVEPPKKEDPPKRASHVHPKLPDYQSLAAHMQSLRSNRH >KZN03772 pep chromosome:ASM162521v1:3:47946914:47967795:1 gene:DCAR_012528 transcript:KZN03772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAVNIIVGTHVWVEDPTVAWIDGQVSKINGKSVEIETTNGKKVVAKLSDIYPKDEEAPPGGVDDMTRLSYLHEPGVLQNLSNRYQLNEIYTYTGSILIAINPFQKLPHLYDPHMMEQYKGAPLGELSPHVYAIADVAYRAMIKEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRKSTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPPEVVEKYKLGSPKSFHYLNQSKCFELAGVNDTEEYLATRRAMDIVGISESEQEAIFRVVAAILHIGNVEFAKGKEVDSSVLKDKQSKFHLQTAVDLLKCDLNALQDALLKRVMVTPEEVIKRSLDPVAAVVGRDGLAKTLYSRLFDWLVDKINVSIGQDPNSKSLIGVLDIYGFESFKQNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEKIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKANKRFIKPKLSRTDFTIAHYAGEVQYQSEHFLDKNKDYVVPEHQDLLSASKCSFVANLFPPQPSETPKASTKSSKFSSIGSRFKVQLQQLMETLNSTEPHYVRCVKPNNVLKPSVFENANVMQQLRCGGVLEAIRISCAGYPTRKTFFEFANRFGLLVPKVLEKNLEEKAACKKILDKTGLKGAQIGKTKVFLRAGQMADLDARRALKLSGAAKIIQRKIKTYIARKYFLTLREAAICMQAFCRGKLACKLYGNRKMEAACIRIQTKMKGYHWRTSYKKLQISVVVIQTGLRAMACHKEFRYRKRNTAATMIQARWRGHKSLAYYKKLIRASIVTQCRWRGRIARKELRKLKMEARETGALRDAKTKLEKQLEELTWRMQLEKRLRTDLEETKGQEITKLQNSLQGMQKKVDEMNALLVKERETAQKAIEEAAAAVKETPVHIEDTEKIEALSAEVETLKASLQSEREMSDDYKRKYAAAVDSSEVKHKKLEETEKRVHQLQESLNRFEEKLTNLESENKVLRQQALSMAEKSKVLQELEAENKSLRQKVLTVAQNHKMLANRSKSLIQRGELTKAAIVSPKLQNPIYLCHSYWVSIYIANDLQQELPSPPIQQLKEQAEVEEWPQKSLNEKQQEYQDLLIRCIAQPLGFSKGRPVAACIIYKCLRHWRSFEVERASIFDRIIQTIGHAIETQDDNKTLAYWLSNASTLLFLLQRTLKASAAAGMTPQHRRSPSTLFGRMTRSFRGIPLGSDLSSVNGEVTGGVDTIRQVEAKYPALLFKQQLAAYVEKIYGMIRDNLKKEISPVLGLCIQAPRISRASLIKAKGPARTLANAAAQEILTGHWQGIVKSISNFLSLLKSNHVPPFLIRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKTGLAELDNWCYKATAEYAGSAWDELKHIRQAIGFLVINQKPKKTLDEISHDLCPVLSIQQLYRISTMFWDDKYGTHSLSPDVISAMRVLMAEDSNNPVSSSFLLDDDSSIPFSVDDISKTMEQITISDIEPPPLIRENAGFNFLLPLSK >KZN00305 pep chromosome:ASM162521v1:3:4043535:4053562:1 gene:DCAR_009059 transcript:KZN00305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRCSSVEDQEPNVRMIGKLCDYASKNPLHIPKISSLLEERFYKDLRTENFRSTKTVMCIYRKLLFSCNEQLPLFANSLLSIMHTLLEQTGQDEILILGCQTLFDFVNNQKDGTYMFNLEVFITRLCQLAQEAGDDERANHLRAAGLQALSSMVIRHATPFYYHMNCDWEFGYRHINILQVCLMGKYSNISVDFDNIVSVVLENYGSPNKELHNPGQDQLETSKAEGRTNPSREVTKVPSWKMIVSDKGETNVTEEDAKNPCFWSRVCLNNMAKLAKEATTMRRILESLFRYFDESSHWSNADGLALPVLKDLQFLMDDSGNNTHFLLGTLVKHLDNKDIQKHPDMQLDIVKITYSLTQFTKVHPSVALLGAVGDILRHLRRSLQYSADDPNADTDVVKRNRNFGEEVDKCLVELSLKVGDAGPIYDIMAGTLENISPVTLRARAALSAAFPEALFHQLLIAMVHPDHETRIGAHRVFSVVLVPSSVCPHQPVSVKEPDKLATFTRTLSRTVSVFSSSAALFEKLRKEKSSSRRYLVLENKDNVPSEGEQKNNIGFLERIRSTYSGTSSSSDPDVPPSQEEDSTDDIFKAVEATTLRLSSHQIILLFSSIWIQSISPANMPDNFEAIAHTYSLILLFSRTKHSSREVLVRSFQLAFSLRTVSLAEEGQPPPSRRRSLFMLATSMIIFSSKAYNIPRLYPCVKSTMANKVDPFLSLVDDCKLKSVDNESGRHKIVYGSEEDDNTATKFLSELDLTESQSKESLASLLVKNLELSEAEVSAVKEQLLKRFVPDDMCPQGAFTDTQEKLYQFGSDNHRSLEEASRSIELDDSARNSFRSDDEYATEVDIGLPHLLSANQLLDSVLETAHQVARLSSTKSDVPFREMANNCEELSNEKQEKMFHLMNIQRKRDKPGDSYQKDGSRYIDQNEAAVSSESFRGTVPVLSEYQHNPQSFRLPNLSPYDNFLKAAGC >KZN00993 pep chromosome:ASM162521v1:3:10582584:10587227:1 gene:DCAR_009747 transcript:KZN00993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSKGYDYGSDDDILSSYEDYPIPEPSNGNHPVNTNNPGKEFQKSRMANSSAFPASSYSPPGESYKQDLAAHVESTVRKYVDNLLRFLEGISSRVSQLELHCYNLDKSIEEMRSGLARDNEEASSKLKFLEKHLQEVHRSVQILRDKQELADTQKELAKIQLTHKASSSSVHSQRSEERASPPVSGARKNDDTSNLHCQQLALALPNQVSSQPSHPTRSGEQRQHPIMAPQGITPSQGYYLHSVQLSSIPSQMQQTHGQYLPTDSQYRTSPQPIQTQVNQTPQNHYQQQWSQQLSQQSQPQQQISLQSQVRPSPLAAYSPYTHGQAASSSTSEALPNSMPRKMSFSGISQATLSHSEAMPYGYLGVSRPVQQQPPHQHLKATFGAQPGDRYVTSGPHPTVFPGNTYVMYDGESLHLPQTQIQPSNYSQNQQPPNATSPTARPPQNMRNHPYSELIEKLVSMGYRGDQVVSVIQRLEQNGQPVDFNVVLERMNALSAGSSQRAWAG >KZN02939 pep chromosome:ASM162521v1:3:39344796:39345467:-1 gene:DCAR_011695 transcript:KZN02939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEDKKKKKKQKHQHPNDQTTKQPSSDYSFKPISDVKGLRFGGQFIVKSFTIRRARPLELLNLLSLEKFDTKAATFPSTTAFLPTNFTILAHHAWHTLTLGLGTKKSKVVLFVFESEKMKAAMERMWPPEIPLGEVNKKLIRGSSGCEMARFKFRKGCITFYVYAVRRIGTLGFSCADDLRLILEYVVALNDFLDHTAMLAMPNQRSLNYAATTAQVAMAH >KZN03421 pep chromosome:ASM162521v1:3:44417964:44422281:1 gene:DCAR_012177 transcript:KZN03421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEDQALRLSRYSESLGNRNSTSMKFDWMQLLGDPAKSGTNDGGRLGRNDEGGSLRECDLEDNAIRLNFDGKCYGDGDFSGLGGFEGKLVDENPGPGLALSSRSLGDPVKSVGNDKICSLMELDMNDCCSAQAIRLDSERKRYTGSEYSGLGRFEKKLIDENPKPGFTVSSRGSDDSVVHQSLARENYREKNFSFRYLQDDNYSMEQSRARRLSSFGTGSLALLEKEYPGSLRSHSRISSGTDMLNAEMIDSTIVHNALDDNMCLKSSVPRTYLPAENIPVGEEHENDTYNESLRIRIGNVFQESDYFHVSASVPEDYSYDYFDSTLDKNNECYYEDLSGESRVWCDDSFLEGHKILGNNDLNLSRTQKWDKDIVDRRNAYRREQYVNQRSPVSGDDIYERSELAPWSEYGQYNVNNGHGSLLLEEGHVYERSGTIPFVENDEEFDGDYWERLADSESCLINTALVLKRKHYMDDISTNIYSGNIIPSNDERGEKNYYQGVPSEQLSADDREGFNLSKKLKSSNSRSERVPYRVIEHNSSGKDITKRLGPRRQDINASPSHLVSTPESSVKKRLGPVKTSKPSVKQRLGPAPKYNQTDFRLKIQNSGKLYQRAYDDNYLVQLEEASIGGMISTNVEPHKNSEEFKQLVHYAYFRFVKQLNENSAQRRRILEQGRAGRLKCIVCGSNSKEFLDTKSLVEHTFYSQKVGDRALHLGFHRALCMLMGWKNRTAGGRKWFCELLSDSEASSLKEDHIIWPPIVIIHNISLNSNNFDERVIVSIEELASILRGMGFEERVKVCRGRPANHSIMAVIFNSTLSGLKAAERLHNFYSETRHGRSDFENIRICSSSRRTGETSKASEKKAEHVLYGYLGIAEDLDKLEYETKKRCLVKSKKEIENIAMPM >KZN00909 pep chromosome:ASM162521v1:3:9798564:9799649:1 gene:DCAR_009663 transcript:KZN00909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNNNISSPLVTEGSRNELQSCLEDTIEQYIGDFGWSQLFQVVIVSFVWIFDSQQAFISIFTDAQPQWHCVSTLNGNANTSCSSDICLLPESSWSWDLPLQTSIVSEWSLQCAGSMISGMPASAFYMGSFAGGFVLVGLADSSFGRKNTMLLSCLVMSLAGLLSTVSTNIWVYSIFRFVSGFGRANIRTCSIVLSSELVGKRWRGQTGTAGFFMFTAGFLSLPLIAYLNKESSWRVIYLWTCTPAILYCFLVYLLVKESPRWLFVQGRKEDFVRTLKSIAPTDKADDLTLASISNMSFEQKLETTDVYYAIKKFFERKWAIRRLMTVMILGFGVGLVYFGNWNAIRGWEFKLQHLPKHCT >KZN01728 pep chromosome:ASM162521v1:3:23941797:23942147:1 gene:DCAR_010482 transcript:KZN01728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKNKTKENWSTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRAVKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGESTHQVPIEIGSTQGKALAIRWLLADT >KZN03505 pep chromosome:ASM162521v1:3:45178814:45188248:1 gene:DCAR_012261 transcript:KZN03505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLLHFSSNSSNQSDQSLKIAKLEARMVGKASSAAVSSVLVQAKVGPDNAADVENEAESLLSSDSEDNGEDFLILANTKKRQRAEDEHSDVFEDLENAADSRQKSDGTLDGKIVLEGNKKKQIPCRDQSTSGKKRGSRTKPKMSPPTVLQSNGQLENSSQKDRLPKEQHGLGDHILLEEELRKSRQEASDNQLERNQLDKELKDIKEKEQQMKPKRLKVLSDLLISVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQAIKDLNVHLRQLLEMKEAVERQRKLLKKRQSDKGVASDAEARAQEEDSLIQDEIYKSRLASIKREEETLIRERDRYEIEKGRLIREMKRIRDEDGSRFNNFQILNHRYALLNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDTFEIDQNTFCTVLEYCSGKDLDAVLKGTPILPEKEARIIIVQIFQGLVYLNKRTQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELNKTPFISSKVDVWSAGILLYQMLFGKRPFGHDQTQERILREDTIIKAHRVEFPSRPAVSSEVKEFIRRCLTYNQVERPDVLTIALDPYLTYTKK >KZN00747 pep chromosome:ASM162521v1:3:8117425:8122990:1 gene:DCAR_009501 transcript:KZN00747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNILGISFALYAFVISQLSEAVVKLPPNVTFPAVIAFGDSIVDQGTNNYINTVIKCNFPPYGLDFMGSTPTGRFSNAKTPLDLLAKELGIKELVPAYLDPNLQTKELLTGVSFASGASGYDPQTSQIASVLSLPDQLELFKAYISKLKALVGEERGNYIIANGLYFVVAGSDDLANTYFIIGSRRLQYDVPSYADLLVNSASTFIQDLYGLGARRIAVFGAPPIGCLPSQRTLAGGLNRMCEDSRNQAAQVYNTKLSSKLDTFGHTLPQSKIVYIDIYSPLLNLIQNPQNYGLEVVDRGCCGTGNLEVSVLCNRFSTFTEAAVKLPPNVAFPAVIAFGDSIVDQGMNNNISTIVKCNFPPYGFDLMGGAPTGRFSNAKTPPDMIAQELGIKELVPAYFDPNLQSKDLLTGVSFASGASGYDPQTSKIAAVLSLPDQLGLFKEYISKLKALVGEERTNYILANSLFLLVAGSDDLANTYFTFGARRLQYDVPSYADLMVDSASTFIQDLYSLGARRIAVFGAPPIGCLPSQRTLGGGLNRMCVDSRNKAAQVYNTKLSSELDTFGNTLPLSKILYIDIYSPLLDLIQNPQNYGLDVVDRGCCGTGDLEVSVLCNKLSLTCPDHTKYLFWDSYHPTETGYKLLINKIIGRYITRFF >KZN01152 pep chromosome:ASM162521v1:3:12268928:12271500:-1 gene:DCAR_009906 transcript:KZN01152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQIQSVTELGGISKELRLEYNEFTQWESFSSARDHDTILHIRVSEKMSNGLIILNVDCDMYSNSSQSVKDALCFFMDKEKGNEIAYVQFPQNYENVTKNDIYASYMRTISEVDFHGMDGLGGPLYIGTGCFHRRDTLCGRVFSKNQTTSNYWNRGNEHRFLEEASFDLQERLKNLASCTFEKDTQWGNEVGLKYGCPVEDVITGLSIQCSGWKSVYYNPERKAFLGVAPTSLYPTLVQHKRWSEGDLQILLSKYSPASYGFGRISPGHIMGYCVYLLWAPSSLPTLYYCIIPSLHLLSGNHLFPQISSPWFIPFAYIIFSAYAYSLAEFLWTGGTFLGWWNDQRIWLYKRTSSYFFALVDTIGSLVKFSSTTFTITSKVADQGAYQRYKQDIMDFETPSPLITILVTVAMLNLFCLAGLVKQLLVDFSRTFETMAVQIILCSFLVLINLPLYEALLLRKDKGKLPSFVTVISVSLALLACTCFTFLN >KZN00105 pep chromosome:ASM162521v1:3:1982435:1982674:1 gene:DCAR_008859 transcript:KZN00105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIINHSSLNSSVCGVNPSTTTSIHDVAGRQKVIVARMLGNDARRHLSSVLTPDHHSGDKVIVHATEHELVVVEKTCAK >KZN01639 pep chromosome:ASM162521v1:3:22211091:22212023:-1 gene:DCAR_010393 transcript:KZN01639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEQDRNGCPLDELLAEVDQMQEANAIEHVTFSEPAIEHSQQPLTESRNANHIVEGSATHYGRTEKEIMMTETAAIPTARESAGPVVEKSKPVIADLTSTVRNVKLLDMNLLKGYLLSNRT >KZN01098 pep chromosome:ASM162521v1:3:11660685:11661446:1 gene:DCAR_009852 transcript:KZN01098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEAEHSAKVKPGFHFHPTALELIVHYLQTKVLGVSYHQGIINEHVDLYRDVTPEQLKGDAIIHRNEYMYFFSQVAKKHVNGKKCSRVVVDDQGTSLGYWKASQKGAEILGANGVAIGLETRLVFYTADGRKTAWLMIEYKLADNPYHKMDREWTICKVYEGGRTSHEEDAEGILEH >KZN00404 pep chromosome:ASM162521v1:3:5077138:5078251:-1 gene:DCAR_009158 transcript:KZN00404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRELEPLIVGKVIGDVLDHFIPSIKMSITYSTKLVCNGHELFPSAVSAKPRVEVHGGDLRTFFTLVLTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGTEIVSYEIPRPNIGIHRFVYILYKQSGRQTVNHLPCSRDNFNARRFAANNNLGLPVAAVFFNAQRETAARRR >KZN03690 pep chromosome:ASM162521v1:3:46950016:46951146:-1 gene:DCAR_012446 transcript:KZN03690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPMDFLALQAIRKSLEDLPGSSYFASWDFTGDPCNFAGVFCEENKVVSMNLGDPRAGSPGLTGRLDPAIGKLSSLAEFTVVPGRIYGGIPPSFSQLKRLRFLGISRNFLSGEIPAGLGELRMLRTIDFSYNQLAGSVPWSVGSLPALSNVILGHNHLSGSVPRFGSKVLTRLDLKHNELTGSIGPDYLPSSLRYLSLAMNRFTGPVDRFLSKMNQLNYLDLSLNQFSGYIPGCLFTFPISNLYLQRNQFIGSVQPYGQVRIQTVDLSFNRLSGPISPLFSTVQTLYLNNNRFMGQVPATIVDRLLDSSIQILYLQHNYLTGIAINPRVEIPVRSSLCLQYNCMVLPVQTPCPVKAGKQNTRPTGQCIVWNKGVN >KZN00166 pep chromosome:ASM162521v1:3:2643816:2645834:1 gene:DCAR_008920 transcript:KZN00166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASEGSPDSSSSSRKSSKKPKYSRFSQQELPAYKPILTPQWVITVFVFIGIVFIPVGLASLSAANQVEEISERYDDKCGPQTNNTCERKITVKKKMKNPIYVYYQLDKFYQNHRRYVKSRNDLQLRQTSRGREQAGCDPISNTANPIVPCGLIAWSLFNDTYKFSVKDKDINVDKKDIAWKSDKDYKFGSQVYPKNFQKGEGGIGGGKLNESLPLSEQEDLIVWMRTAALPTFRKLYGKITVDLEVNDVVTVKIQNNYNTYKYGGQKLLVLSTTSWMGGKNHFLGRAYLTLGAISLFIAGCFIVLYIIKPRPLGDPAYLSWNRYPGGGI >KZN00876 pep chromosome:ASM162521v1:3:9478023:9480791:-1 gene:DCAR_009630 transcript:KZN00876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGSQSCVVLFVTFLLALSSQSLEGRENGVKTKVFLSPKIVLGPGSVANKWFYNIDFPRGHVAIKNFNAEVVDESGNSVPLHETYLHHWVAVRYYERKGTDVSKHSESSGFSQSNYIIARNAGICSYNGLPQYFGLGSETRKTATHIPDPYAIEIGNPAEIPDGYEEKWLLNVHAIDTRGAAERLGCTECRCDLYNVTLNEYNQPLEPDYIGGLYCCYDKTQCRLKQGFESPRRDLYMRYTVSWVDWEDSILPLKIYIFDITDTWKKPEDSKMAPSTNHDCHVEYTVEACATDTNKEACVDSRQISVVMPTGGDVIYGVAHQHTGGIGSTLYGEDGRVICSSIPTYGEGKEAGNEAGYIVGMSTCYPKPGSVRIADGETLYLVSNYSSSQRHTGVMGLFYILVAEPLPNTKSFTQAAIEMHGETEKSSLVQVGVLFGVGIAMAIVFVGYRKLRSPREDGYAPIVI >KZN03568 pep chromosome:ASM162521v1:3:45875158:45877932:-1 gene:DCAR_012324 transcript:KZN03568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQSPNLARNKKTCRALGVPTIDLSMDRSVVSEQIIRACEEYGVFMITNHGVSNEIISRIEEETFDFFAKPAVEKHYSGPPNPFGYGCKTIGFHGDMGELEYLLLEANPSSVSQRSMALSNNPTRFSCVVNDYVQAVRGLTCDILDLVAEGLCLGDKSVLSRLINDVESDAVFRVNHYPPFDQSPKVLNPTADFQMRDDKFANNGDSSRVGFGEHSDPQILTILRSNDVGGLQICSHDGLWVPVPPNPTEFCVLVGDTFQALTNDRFKSVRHRVMANSNNKPRLSMMYFGTPSLDAMISPLPRMVSSHNAPLYKPFTWCEFKKAAYSLRLANRRLDLFRHNYNENSEKITQC >KZN03956 pep chromosome:ASM162521v1:3:50185131:50192189:-1 gene:DCAR_012712 transcript:KZN03956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGVVTGIGVGRLSLAPPPNLKLKAKRVFSGKSGGLVMDDDKEDTMMGGTGSRRRSSRSRKAKKTTDEEEEDEKKKRRRASKKTSSGLSTSSVMIDIEDFGKEQSFEIRSSLLDWYDNNQRDLPWRKTTTCSDRAYAVWVSEIMLQQTRVDTVIRYFIRWIHKWPTLVHLSHASLEEVNQMWAGLGYYRRARFLLEGAKFIVQQGGHFPTTLSELRKVPGIGDYTAGAIASIAFQQAVPVVDGNVVRVIARLKTISANPKDSTTVKAMWKLAGQLVDPDRPGDFNQALMELGATVCTLHNPSCSTCPVSGQCRALSVSINDRSVSVKDYPTKVVKAKKRHEFSAVTVVEIIEDEALMDQPQCNSRFLLVKRPDKGLLAGLWEFPSVPLIGEVDLVTRKDAIDQYLKSFDLESGSTCEVVLRKDVGEYVHIFSHIRLKMYIELLVLHLKGRKCVNLEKENMEWKYVNSKDVGSLGLTSGVRKASELALALPICHSPPEFVQELSVGQMGGGEEDEAPESSRAAAPRASLKTISNWKHKLRENCLKRIRDDRSRLLWKMRLLPNNNNNQATLIQSALQAIVSDELTKINRDLLWEYDGLPSQPECEDILLQMQTFFYQDLMQHQSTLESSAKTWEDEEDDYLARAVYHHMQLNDHPVQQTVWCPICKEGELHENYSHVYCTSCELKLLRGEEVTLELIRNRLAEAHAEHLDRGCKLTPKIRMESRFNLSVLYIECHSCDTFEVVI >KZN03248 pep chromosome:ASM162521v1:3:42878211:42882390:1 gene:DCAR_012004 transcript:KZN03248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQYILESSVYPNEPEPLKEIRAVTESHPRAYMGTAPDAGQMMSMLLQLLNAKKTIEIGVFTGYSLLCTALAIPDDGKIIAVDLDLDAYEIGLPIIKKAGVEHKINFIHSEALPVLNNLLETQSDNEGSFDFAYVDADKINYRNYHERLLKLLKVGGIVVYDNTLWGGSVAMPEEQVAEVWKSNRNSVIEFNEVLASDSRIQICLTPLDFARLVLETSKSNGKRKEGINCTKQRIIANPRVVSAKDFTTLMQYILESSVYPNEPEPLKELRVVTASHPRALMGTAPDAGQMMSMLLQLLNAKKTIEIGVFTGYSLLLTALTIPEDGEIIAVDLNLDTYEIGLPIIRKAGVEHKINFIQSEALPVLDKLLETSGNEGSFDFAYVDADKINYRNYHERLLKLLKVGGVVVYDNTLWGGSVAMPEEHVAQEWKAGRHWTIELNKFLASDSRVQICLAPLGDGMTICKRLI >KZN02296 pep chromosome:ASM162521v1:3:31793142:31795445:1 gene:DCAR_011050 transcript:KZN02296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPNPNPNYPPLNQTDPNALYYQQSHASNYSYYYPNHHLQPPNPNYSQHTFQSDSSIPSHDPYYNVSQGSSFVNPPGFDLNSYPPQSYGYDYQVQQPGGVDYQQYQAVSAEVVTAPYYSDPNAASMSTNWAVNDVTSAANGVTLPPNGMHQYVPAKPKPVSLNRRAKLKVFSKKEPKTKVVQSAWCEVCRVSCDTKDVLDKHKSGKKHMKNLEKLGGGSVPSSYPVPVASAGPANPVIGPRENPDKKKATPSATKIVQSAWCEVCKVKCDTIDVLNVHNSGKKHKKNLEKLTRASVPVPGPVPLVASENPLVGPPVNPGKSVSVGVGKSKKKAAGSSEDLETKRRRVLEGGAPVSTVRTCVTCNVVCNSETVFQYHIQGQKHMAMIVKLASERTKIAAGAIRS >KZN02141 pep chromosome:ASM162521v1:3:30154363:30156729:-1 gene:DCAR_010895 transcript:KZN02141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISNSSAARGANDGAQATDGEEDVGTAEEAMEYPDQGPPLTQQSQDFEANEAEEEGEATSEESEDDETPLAVAAKKIKQMRLRTLGLTTTKDSPIMVAAAKLKTLKQIMDSEKQQEKTVAEEQALEMEDAKRKTVKKEMQQRIDKGKMPMQTSQVEPRRSTRLFQKTAFSKFTNTEDNPVVIDEAEAEEDTLQTKEQSPPVQINSYTGPMKLGKKKPPPVKQFKTIVGQTELKSAPFLSHGRNVITKSSLAKALSNLKKTYLPGSIAKEKDTQQP >KZN01449 pep chromosome:ASM162521v1:3:16344115:16344941:-1 gene:DCAR_010203 transcript:KZN01449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIPSAQQDRPPYTSTSFQTSTITSQAYMVHLGPFLLNSIPSITFEWKHRTDASFPSAQRDPQWTQNVYQIPSHIPQSSPLSPGQGSSRGLVWLPSPAGVRGVQPTSQPYLPLSSPMMYAHALGPVAHTSSALVLSLPTLPPQVPAPLPPSSLLGAPYACVNHSAILLPSTHINQYSFNPSSRPGLLDSMRNTGVSLLNTDSDVDMEGTCTTLCLSLLCDSAHLTADRYFSFFGFILGLARV >KZN00173 pep chromosome:ASM162521v1:3:2714076:2718926:-1 gene:DCAR_008927 transcript:KZN00173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPSNNEQINASAAQNSLALVLVDEDECAITERRELENGTLSQKESVVDASKKLQDALKQEGLKIKKHEDNINFLKRQENLINDTILDLQVTLGKYHSSDGLMAERDNLSKTKSDVEMIQHNLKHTNSAAGIVCQLKTHHTQGSQLPLIKGVLGIVASLGKIDDDTLSREEADVKFLKSSGQSHPTAEYCAIEDQIKKTEWEKEHISDDLQREQVLLKKAKATFDVKKQELLQFLAQSSNPVQVQVILFDI >KZN03549 pep chromosome:ASM162521v1:3:45673493:45674497:-1 gene:DCAR_012305 transcript:KZN03549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKQVNNVSPVNQNTSQTSETSVRTPIKFAPHKFFCNPSETYQSPPRTPINFAPHQFFGISDPSQDNQTLQRTPIKFAPHQFFAITNPLIPDCPENPIPDMFEGMDEFNTLRRRLMYNHRYREDEPYRIRPEFRPRSKYNGALVTAVFSAIEFLKGEKMIPTKENIHNFLRHSDIPNHHVINVDEALDHAIEHNDVLMQELGEQRLYIGRYSYLWQCVNPLGGQTNQYSDATWEAVKKFLSSLDGPGKLLLSRSRYEAALVLKKSCLPRLSLGRVIQIFNMVVAKGWIKIYGDGLYSIGATLPRS >KZN02470 pep chromosome:ASM162521v1:3:33735846:33738332:1 gene:DCAR_011224 transcript:KZN02470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNREEAIKARELAEVKLQRGDFQGAQKLALKARNLFPGLDDNISQLLIVCEVHCAARDNSIASEKDWYGILQIGGIVDEAAIKKQYRKLALLLHPDKNKFAGAEAAFKLIGEAIEVLLNPKKKSLYDKMYRAFKRHVVPQSPAHQVYQSDRSAVGTKTFWTICSSCHSKFLYSRTVENKLRTCLVCKHEYVAYDLNAQSAPQANQPNAVGSKGLAPTFSSCKSETTSSVKGKRKVHTSQQDEEVIFDESVQPAPKENTKNEDVCNWRRSSRQKPKVSYNEDQIADDFPDLTQWSAGSKSGWCRENKEEHGKSHASPKASSVPDNAESAPKSDTNSLPEIYKCPDSEFSDFDKNKEEASFRVNQIWACYDSVDGMPRFYARIRKVLSSPFSVKFTWLEPAPENESDINWASVLPISCGRFHHGATEETSDRLIFSHQVEFENCSSRGSYLIYPRKGETWAMFSDWDIGWSSDPESHKPFRYDLVEILTDFKQIVGIDVCYLSKVTGFVSLYERDYKNGSFFNQIYPHELLRFSHRVPSNKLSGTEREGVPAGSFELDLAALPNNTDELLQPEEVKMSSQTSPEDENPKNKDEKVNTRHEKTAFSDKIPSLRINKKRSKGDTYYDFEMDRAAGNFGRGQIWAMYCGQTGLPMIYGQIKKVEATKLLTVLLKPWSSKEPSSCGIFQLSAEKPQLYFPSSFSHLMSVEYISQDKVEICPRKGEVWAIYKNWNAGILDENPSYDIVEVFKSNDSSVEVWLLEHFTGSRSIFKHQRRDRGFKITLKIPHNEFPRFSYQIPAFKLSNQNDGCLKGFWELDVAAVPHDAYRDKA >KZN02376 pep chromosome:ASM162521v1:3:32802817:32804484:-1 gene:DCAR_011130 transcript:KZN02376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKMGVKKGPWTPEEDIMLVSYVQEHGPGNWRAVPTNTGLRRCSKSCRLRWTNYLRPGIRRGDFTADEEKMIIQLQDLLGNKWAAIASYLPERTDNDIKNYWNTHLKKKLKKLEDSTNSDEGRACLATEENTLISSYSHSISRGQWERRLQTDIHLAKQALNDALSLDQKPMIIPPPNPSHHEAASTSSQLYASSTENIAKLLKGWMKNSPQMSCSSTTVTTQHSAVQKNIQDAHVTDYSTSSEGTDQSKSNGIDLSQAFDSLFGFETLDSSSNISDFSTKSHNNNMSPEEASTFFNNERKPDLSSLVPFSMFENWLFDDGAHNKLGLTSNFSFDDI >KZN00297 pep chromosome:ASM162521v1:3:3944087:3946976:1 gene:DCAR_009051 transcript:KZN00297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGAKFTGLIDLNSHHDNYDIPQGFYRKLDEGSNMSIDSFGSLQLSNGGGSIAAMSVGNSSSGSNDSHTRILGHQGNKHVNHNYSAVQSVNRGKVSHGLSDDALAQALMDNRFPTQGLQDFDEWTIDLRKLNMGQAFAQGAFGKLYKGTYNGEDVAIKLLERPEHDLERAQLMEQQFQQEVMMLATLKHSNIVRFIGGCRKPMVWCIVTEYAKGGSVRQFLAKRQNRAVPLKLAVKQALDVARGMEYVHALGYIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRQYTHKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPNIPVDCLPVLGEIMTRCWDSNPDVRPPFTEVVRMLEYAETEVMTTVRKARFRCCMSQPMTID >KZN00670 pep chromosome:ASM162521v1:3:7471432:7473133:-1 gene:DCAR_009424 transcript:KZN00670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYAAVKPATKPGYEETQESIHKIRITLSSKNVKNLEKVCADLVRGAKDKTLRVKGPVRMPTKVLKITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADN >KZN02834 pep chromosome:ASM162521v1:3:37910290:37914610:-1 gene:DCAR_011590 transcript:KZN02834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNSQIFGGSQEECHSSESGWTMYIGSPVNEDNDDGDQVENDYVEDEYKGGGDVESDDSMVSDASSAMAANMHNMYQKQVKEDDEDVDLKQISRKVVKKVEKNANQGKKKDMESAGMNVAPAQSGNKFSGARSWTLGTTTYAGEICFAVIIAVLGLLLFALLIGNIKYACKFFMKFNG >KZN02965 pep chromosome:ASM162521v1:3:39651167:39652794:-1 gene:DCAR_011721 transcript:KZN02965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKRLEKNLNESLVVSELEGTLLKDKDPFSYFMLVAFEASGLIRFVILLCFWPVIWLLDVCGKRDYGLKIAIFVATVGLRVSEIESVARAVLPKFYLDDLDMEAWRVFSSYDKRVLVTKTPKVMVERFLKEHLYADEVIGTDLAVNRFGFATGFVKDDLGSIAQSVSTYFENQQPSLGLGSPGSQFLSICKEQCHPPFTRDNKQIHDHHIRPLPVIFHDGRLVKRPTPFTSLLIILWIPIGIMLAIIRILMGMVLPMWAIPYMSQLFGGSVVVKGKPPPPPSRSNSGVLFVCTHRTLMDPVVLSTVLRRRIPAVTYSISRLSEILSPIPTVRLTRIRHVDAEKIKQELSHGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPIFFFMNPRPIYEVTFLNQLPAEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGIVSYTSITDSAKNLGRTVKQVVGSFKPFIK >KZN01095 pep chromosome:ASM162521v1:3:11619220:11619732:1 gene:DCAR_009849 transcript:KZN01095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSNETTDHSSPSPKPPLHPPEPLNLSQNSEDPAVMEQVFNYFDENKDGKISPSELQTCAKTVGGDLTREEAEKAVHISDSDDDGMLNKKEFTELVQGRSGVKDEEIREAFKMYAAEEKGSITPKSLKRMLSRLGQTNTVENCEAMITKFDVNGDGVLSFDEFRTMMMR >KZN02236 pep chromosome:ASM162521v1:3:31203496:31203819:1 gene:DCAR_010990 transcript:KZN02236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVMKQQEANTFSTNPKTGWNSPIPWLFGGIALMLGLILVALLILVLSYRRRNPPASTAEIKMEKTSATVVQPDFVPVVVIMAGEEKPTYVATPISANDHNLCNEV >KZN03429 pep chromosome:ASM162521v1:3:44482262:44482608:-1 gene:DCAR_012185 transcript:KZN03429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKETKSYEDKKLGVLGLGRKKTDEAEHIMWKDDIKVPCGRLVPSEHKDSVLDYNEYAVYDPQQVSIRFLVKVKFEEQDVEYEEASPE >KZN02845 pep chromosome:ASM162521v1:3:37990931:37991577:1 gene:DCAR_011601 transcript:KZN02845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVEGKKQAAADVLYNYSSFAMTCIGNQVRPCDLRMHLMKEISGMQTSLKESSQKPGSPKAGTASSSGMQLDKNETFRALLA >KZN02075 pep chromosome:ASM162521v1:3:29273183:29274454:1 gene:DCAR_010829 transcript:KZN02075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNQIVRPRQWPGFQTSKSIGSFGDANCMEQMLVHCANAIENNDATLAQQILWVLNNIAPSDGDSNQRLACGFLRALIARAARKGTCKILTGAVANVHITTHKFSVIELTGFVDLTPWHRFGFTAANAAILDAVEGYSIVHIIDFSLTHCMQIPTLIDAIANRLEGPPLVKLTVAGATEDVPPMLDLSYEELGMKLVNFARSRNVILEFRVIPSTSSNGFASFIEQLKVQNLVPVNNGIEALVINCHMMLHYIPEETLNPFSFNNTAVSSLRTMFLKELRSLDPTVVVLVDEDADFTSNNLVSRLRAAFNYLWIPYDTVDTFLPQGSKQRQWYEADMNWKIENVIAEEGVERVERLEPKSRWVQRMRNAGFRGGLFGEEAVMEVKSMLDEHAAGWGLKREEEDLVLTWKGHNVVFATAWIPS >KZN02870 pep chromosome:ASM162521v1:3:38283028:38283753:1 gene:DCAR_011626 transcript:KZN02870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPECHVEHMLTCLSLITGIYIGDGKVIHFTRGAGQEIGTGTFLDTIFSSSSPDSSSTPCPICGNQASANGVILSCIDCFLSGGELHIFIYNVLPLVFLAQARGGTCTLAKSDPPEDVLHRAEYLLENGFGFYNLFRNNCEDFAIYCKTGLLLFTSVNVGRGGQTASFLAATTAVASSPLRFLTTSFSGLTAVGFGSYCISRLVSDIGVRRDVIKIPVERLLSHSRSDEDEGSSPAHMANQD >KZN00889 pep chromosome:ASM162521v1:3:9600437:9603868:-1 gene:DCAR_009643 transcript:KZN00889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRYYVTFFVVLTCILRAFDASPGDADPVYASCVKQCKQTGCVKKVCLPQCNSSANSNPLDGQLYKPEPVYLRWKQWDCQSECRYHCMLNREKLRAEYELPPVKYHGKWPFTRVYGIQEPASVVLSVLNLAMHFQGWLSFVILLYYKLPLRPLKSPYYDYAALWHIYGLFALNSWFWSAIFHSRDMDLTERLDYSSAIALLGYSLILAILRSFNVRVEAARVMVAAPLLAFVTTHILYLNNYEMNYGWNMKVCVFMGVAQLLIWSVWAGITHHPSRWKLWFVVVGGGLAMLLEIYDFPPYKGFLDAHALWHATTIPLTYIWWSFIKDDAEFRTSKLLDKVK >KZN02266 pep chromosome:ASM162521v1:3:31452535:31452876:1 gene:DCAR_011020 transcript:KZN02266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSPPRPLPYDADTRYFRLQRDGLVSRREKGSCHSQEEPDVIRSDTDADSEPLITANKWNESTPEDGSKEPDCKSKTKTSTGKSATGYAHIYSSSSEDEDVCPTCLEGKVKD >KZN03175 pep chromosome:ASM162521v1:3:42064175:42064324:1 gene:DCAR_011931 transcript:KZN03175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVRPCTDERDTDHPCFRLHERTPYRVYKRLPELGYLVPVSSRSEDDED >KZN03289 pep chromosome:ASM162521v1:3:43220948:43230531:1 gene:DCAR_012045 transcript:KZN03289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSVGEIRYSKLNCIDLSSPDIQNSVSLLKQACLDCGFFYVINHGISQEFLDEVFAQSKKFFDLPIEDKMKVIKNEKHRGYTPILDEYLDPVNQINGDYKEGYYIGVEVPEGDPRAQKAFIGPNVWPAADTLPDWRETMERYHQEALTVARAVARLISLAFDLRSDFFDQPVMLGDPIGILRLLHYEGHVSDCIKGIYGAGAHTDFGFITLLTTDDVVALQICKDKDAEPNVWEYVAPLKGAFIVNIGDMLERWSNNIFRSTLHRVLRTKQDRYSIAFFVEPREDCLVECLPTCRSEENPPKYPPIECEAYLLQRYRDTQADPSSYKIACLDCGFFYVINHGISQEYMDEVFAQSKKFFDLPIEEKMKVIKNEKHRGYTPILDSYLDPVNQINGDYKEGYYIGVEVPEGDPRAQKAFIGPNVWPAADTLPGWRETMERYHQEALAVARAVARLMSLAFNLRSDFFDQPVLLGDSIGTLRLLRYEGHVSDCIKGIYGAGAHTDFGFITLLTTDDVVALQICKDKDAEPNVWEYVAPLKGAFIVNIGDMLERWSNNIFRILNGKGKMDSPEWGSPSRYNGAWCRSTLHRVLRTKQDRYSIAFFVEPREDCLVECLPTCQSEENPPKYPPIECEAYLLQRYRETHSDPSSYKI >KZN00339 pep chromosome:ASM162521v1:3:4339598:4341376:-1 gene:DCAR_009093 transcript:KZN00339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEHRIFIGDCVDSQLEDLGLRDISVWNSEPFLFLDLIWNFTFVVVSVLVLLFSVREQPSTPLRVWIGGYALLCLVHLGFVCFDYLTWNSVDFEVDEDDGYSVSVCRNRLSKRLQSVYTVISSFWWAVGFYWTLVGDKALMQDSPSLFWLAVTFLAFEVLFVMFCIGMASIILLIMFCYFPVVATIEYISAIGKGASEDELRTLSKFHYTRNTFSSAEKQDCELAMEPDNEFSTPKLVLHPDDSECCICLHSYVEGAELCRLQCRHHFHHKCINKWLRINATCPLCKLSIIRGEMLV >KZN00142 pep chromosome:ASM162521v1:3:2368855:2370217:1 gene:DCAR_008896 transcript:KZN00142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFQANYLFLIFLLVLVVCCGYRKIRLKLEEYYKVRPKGDADHEKQTDESPVSLLQVTVSRPIRVIFGDEAVLEKYDPSELAQIPKPRLGEGTLGTLYKAVHKFGSTVTIRKIRKEVASSDDFEFWIKFFGGLRNDSISKPIFSFWYGGEAFVVHEYFCLGSLEELLHGTEGIQYTPLTWKIRQQIALDAAKAVAAVHKQVITNGKALVCGVIKASNILINIDFSARLSSYEIPYLIPPATIIRRNPGRIAPELTHSQYKVPKVFTQKSDVYGFGILLLELVTGKKASVTNLGEYIKEKKRREGLEGIPDKRMVEVNPNVVAFVGIARLCLRNDPYSRPSMDQVVKMIQDLE >KZN02795 pep chromosome:ASM162521v1:3:37350073:37350802:1 gene:DCAR_011551 transcript:KZN02795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGAILCHISLLKDMLDQVNEEIEASYQITREIESEIVKCCEIETEYAVKESELTRNLYHLHFEIHGLMAVTSDSMASIKRLEAELSCLRIKRDEICNGMDNKR >KZN01458 pep chromosome:ASM162521v1:3:16486940:16492475:-1 gene:DCAR_010212 transcript:KZN01458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKSAVLYHYPCPDGAFAALAAHLYFSATSMPTFFFPNTVYTPLRVEELPLCEIDVAYLLDFVGPPGFVQELSSKLECVVVLDHHKTALETLNQGIAVGGNVSKVIDMDRSGATIAYDFFKEKLLAIGDHGKTINKFERVRPLFEYIEDADLWKWKLHNSKAFSSGLKDLNVEFNANLNPSLFQQLLSLDLDSVIKQGMLSLSHKQRLIDDVLSQSYEVALGGGDFGRCLAANADSVTELRSELGHQLANKSCRMNLRGIGAVVYGVPELKNDQMLKISLRSIENEDTTPISQKYGGGGHRNASSFMLRADSFELWKIGGKEAGNFST >KZN02849 pep chromosome:ASM162521v1:3:38015314:38016123:1 gene:DCAR_011605 transcript:KZN02849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRILKELKDLQRDPPTSCSAGPVAHDMFHWQATIIGPNDSPYSGGVFQVTIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYESMGRSWTQKYAMF >KZN01047 pep chromosome:ASM162521v1:3:11126047:11128654:-1 gene:DCAR_009801 transcript:KZN01047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDEDNSWRLFTSEDMIQGYKRLKRRKTARTREKVTRRTNSHYATETSIVDNLERDSGAVDMDESPMSAVSYLQDTFQSLQNNNESSKVQDSEIFMTVASNMVNGFLTPMCTPSSVKETCTTNSCKTSLGEHNRPLRTPFADITNTLDRNVAHTSKGRVKEKSKAASLESRNSRDKGKAKEFLTSTHDAGTTNSCKTSLGEHNRPLRTTFADITNTLDRNVAHTRKGRVKEKSKAASLESRNSREKGRAKESNWEHAPLKDWSRNLFAEEFSTNKSTNSVLYDEDLEETRFEAAYFSDDSSSDLDSADADPYVDDEFEDESEVETDSGMSSPLLNKFYF >KZN03779 pep chromosome:ASM162521v1:3:48058492:48062793:1 gene:DCAR_012535 transcript:KZN03779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRASSKLIPLTKPSFSLLSRNLSTQNPTNHILSSNPNFKNCPQTHVLINSRSNFDASPSQDFSTLVDPRLKIPQISSRQRKIKEKSQLEEAFEAAETADEMVKAFKEMEASFEEKELGLACLKMGLKFDQEGEEPEKTLSYGLRALKILDNNNGFSFPLAMTLQLLGSACYNLKRFNDSLGYLNRANRMLTKLQEEGKISINDISPVLHAVQLELANTKTALGRREEALVNLRTCLEIKEMTLEEDSKELGNANRDLAEAYVAVLNFKDGLAHCLKAMEIHKRKYGLNSVEVAHDRRLLGVIYTGLEEHEKALDQNQLSQKVLKNWGLKSELLRGEIDAANMKIALGKYDDAINSLRGAVQQTEKDSEERAMVFVSMAKAFCNQENFADSKRCLQIACGILDKKESMAPEDVSEAFMEISMLYETMNEFETAISLLKRSQAMLEKLPQEQHSVGSVSARIGWLLLLTGKVKQAIPYLEEGAERLKESFGSRHYGVGYVYNNLGAAYLELDRPESAAQAFAFAKEIMDVSLGPHHSDSIESCQNLSKAYDAMGSYAIAINFQEKVVEAWEGHGQSAEDELKEALRLLEHLKQKARGALSKEVPQKALPLPHTKESVAVRSLSALADTEKLSKAA >KZN00235 pep chromosome:ASM162521v1:3:3323121:3324447:-1 gene:DCAR_008989 transcript:KZN00235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFIVKNEVRNAFIRDRGLAAGLVRLHFHDCFVRGCDASVLIDSTPANSAEKDSPANNPSLRGFEVIDRAKAMLESTCKGVVSCADILAFAARDSIEITGGLGYDVPAGRKDGRISRASEASANLPPPTSDVDQLTQSFANKGLTQQEMVTLSGAHTIGRSHCPSFSNRLYSYNTTTVQDPSLDLSYAGRLKQQCPEGSTNANLVVPINPSSPATTDVDYYTDVLANRGLHTSDQTLLTNAATAKQVAQNAMNPTLWRSKFAAAMVRMGQIGVLTGSATGEIRTNCRVIN >KZN02528 pep chromosome:ASM162521v1:3:34238696:34239086:-1 gene:DCAR_011282 transcript:KZN02528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCFRYSPTHPSQFLMMETQDKGRPLPKFGEWDVNNPSSADGFTVIFAKARDDKKTKGTAVNGAPPQRVESKPQPDHQSVS >KZN02351 pep chromosome:ASM162521v1:3:32369935:32371996:-1 gene:DCAR_011105 transcript:KZN02351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAWTKKKLNQAWKQCKKKLTSGFVSGQPSEFVSGQQRCSTCNSVIYEERLARLEHAVFSKKQCHDDENIFDIYGHGEEDKADEMDGHGEEDKLDENLPLMEVLSTLKSKRKRTKKSPQPKPSPSLKRKNKFGTTYARRKKTSTISSSTGAPPTIVSDVISEKTSPCQITGPDRAIVTRRKLLVLDINGVLACVLHGMPHNGFQNYIPIYIPSDSETQTEACMKSVIKRPQCEEFLKFCLERFDVGIWSAKMEKTVTPSINATISFSTRIELKFIWDQSNCTRSKEGELCKETRKLREIGYDDVANILLVDDSKHKAKLNLPNTAIFPTSYNYKNLNDDELGPNGNLRLYLKGLAAATTTSVSDYVRDHPFCPSQRK >KZN03719 pep chromosome:ASM162521v1:3:47301585:47303189:-1 gene:DCAR_012475 transcript:KZN03719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAFHYGPISVKLWPLSPSTRLMLVERMTKNLTTPSVLSRKYGLLGKEEAEEISKKIEELAYASAKLHFEKEPDGDGSLAVQRYAKESSKLMIEAVRRGPLKAKEDGSVITEKGTTTHDTVFDISGGSRAFINAEEAERLLEPLSRQGNKYTKICFSNRSFGSDSARVAQAFLSALKDQLKEVDLSDFVAGRPEDEAIEVMKMFSSVLDGCELRYLNLSDNALGEKGVRAFESLLKSQRNLEELYLINNGISEAAAQAVCELIPSTEKLKVLQFHNNMTGDEGAIAISELVKHSPALENFRCSSTRVASEGGVALAEALGTCNNLKKLDLRDNMFGIESGVALSKALPGCPNLSELNLSYLNLADEGCIALANALRESATLLKVLEMSGNEITAKAAPALSSLITSQRLLLKLNLSENELKDEGAIQIANALEQGCAHLNEVDLSTNLIRRAGARVLAQTVIAKPGFKLLNIDGNFISDEGIDEIKDMFKSSSAMLAPLDDNDPEGEDHQDSEEDGDENELESQLKRLEIKHG >KZN01524 pep chromosome:ASM162521v1:3:18812946:18813218:1 gene:DCAR_010265 transcript:KZN01524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSPSKTNQIIADKSALEEKIKGLEEDNVNLKKNIRLMEKQLAHHQTVIDLLKKHIEERSAREAFIPDEVESRKVSKLIQAERKKDKN >KZN02002 pep chromosome:ASM162521v1:3:28100811:28101411:1 gene:DCAR_010756 transcript:KZN02002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRFLSEKFRLFSQNQKEKSSAVPGREKRFLIIVNVLGSSGPIKMVVKEDDSVAAVIRAALKLYAREERLPVLGYDVNKCLLYPSHGEQNALSPNEVIAAGGGRNFLLCKKQNHRESADDVMRSSEMTALKKTSCWKTLLIKPFRNIKSLVRTRC >KZN01857 pep chromosome:ASM162521v1:3:26160797:26161090:-1 gene:DCAR_010611 transcript:KZN01857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATFSVGMVIRDHKGSFVEGRSMTLPRPVTVFEAECIGVRDYCGGNRLLANIQSSS >KZN01724 pep chromosome:ASM162521v1:3:23864976:23870764:1 gene:DCAR_010478 transcript:KZN01724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPAGEGRQAAPKDFVCPVTGNIFDDPVTLETGQTYERKAIQEWIDRGNSTCPITRQKLDGAQLPKTNYVLKRLIASWREQNPSFAITQFDNQYQESDPNFNSMTRSVSPDSVIGQVNIDSSVVELRVAIKNLCMSEILKESEMAVLWIERFWHEADIKLDVQSILSKPPIINGFVEILFHSVDEGVLRATVFLLSELGYRDDGVVQTLTRVDSDVECVVALFKRGFLEAVVLVYLLRPSAMILIDMDIVDSLLSVLGVKEDELITMCIKPKSASLFLLGQILASAEESTLSGFMRGLVSEEVIGNIVTSLEAEWQEERLEAVGILLRFMQEDGHCRNVIADKAELAPVLESFFGATDDERFQIVYFLSELVKLNKRTFNEQVLHIIKDEGRFSTMHTLLVYLQTAPPEQCPIVAGLLLQLDILVEPRKTSIYREEAIGSLISCIKNTDSPAAQIAAAETIVALQGRFSHSGMPLARAFLLKSAGLDKKYKSILRKEKLHRSPSEEILEDEKAAEEWERKMAYVLVSHEFGLLFQALAEGLKSRYAELCSACFLSATWLVHMIDVLPDTGIRGTARLCLLKRFVSIFKSAKDTEDRALSMLALRCFIRDPDGLRDLKTSMKDILKGLRELKKSSSVAFEMLKVLSEGKDSSSDIWNHKELVQEDCSVNGEVLSILCSKDKIFSGHSDGTIKVWSSKGETLHFIQETRQHTKAVTSLAVSHLGDKLYSGSLDRTVMAWSIGNEWMQFEQVYDMKDQVNNLAVANSISCFIPQGPGIKVHSWNGASKLLNSKKSVKCLALVHGKLYCGCQDNSIQEIDLATGTLSIVQSNSRNFMSKINPIYALQVSDEQLHSVSSSVDGSTLKICSTSNYNMIGSLTSALEVRSMAISSGIIYLGCKGGIVEVWCRKKLERKETLQTGTSGKVLCMALNDEEEILLVGTADGKIQARTSGSCSKTCLFSSSLQWLPLPCPSFCIFSAHMKAFQDIIIFTNQVAFLFSINTGLFA >KZN00013 pep chromosome:ASM162521v1:3:1049368:1054364:1 gene:DCAR_008767 transcript:KZN00013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDDDYQSFSPPSNSPSHIPKFKRLKKKSLASSPNSKPKPTRSRNESVSVSSKQVLFTNVDFAEIEALEASERVELGEEAKPIAESVLFTNVDFAEIEALEGSRSVGLDEKAKAKVKPIRNVDFVEIEGLEGFRKREVDERAKFSEKDEVDLFNSVDFKRLEDLEDSRSEELDDSEDVLVRDPLTSVDFKKLEALEGSQSVDFDDSEDLSVSQSSYRDEKDGEDLGAKIAEFKSEVRRKKRSARGGSREKKRKIDSVLSLPRVDFAKLEELEASKGVSSDDKSRESFDSIEERSNVDGEVRKVTKRILDFDEIGGDAEKRDGKEEKMKERKAHLEQLHAESQRLLRETSGAGFKPVPVVQKPISSLLEKIRQRKLEVSKKMSLLNNSGYATENVDSLVETVDVADMDTVSTRGGGEDNLSAKVEVEAKIDATSGNIKSSLDTSLTDDINRLRTNSSPENEKSPVAVAEEPTPTFRAPVDDTQDLFGDSETSGNKDDQLDNQQSSPIEEVLAPSLLTMNLKIDSVPLDDDISSDEEDDDKENLEPIIFKPGNACSSPKGDPVKAFVDDEAEEEDDSDHDIRFQENDEDDANEDFGELNDLIVTGYEEKSIDKERRNELHQKWLEQQDAAGTDNLMQRLGCGPKVKENTLFDVEVESDEDDEGSSDEEEDIGPAKTARINARKAKQMIPQMFADKEDPFVSSDDEETEKMLVKQRVLEKVEDQATLISPAEDENSREVFGLIKQINNAPIAKKKQQVPSFFETLITGGSSSSSSSSSKSSFLGRVSSHSLPSKKRSSTAKSFIFGRDDSNSRSSILILEDSSDAVAKEIRPTQKSTAKFTSSQAKSVTRSSQIVAETNSGTSLIEILKRSTMQSTSCNQDNMVGMTQTIFSAFKIPKKPVKVEGRAKY >KZN00321 pep chromosome:ASM162521v1:3:4188493:4195638:-1 gene:DCAR_009075 transcript:KZN00321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSMGAGLMAVIAVSGSIVFLSLQVHKRLLSDFMHKIEFELGSGKDETKKRVSTSPLQMKNRKGEFYQIKNAKTVSCSSCGGSRVVKDYSKHGLMEEGSMPRNWQALYKGMLQYRKLSTPCDTFTDVVGSPYYVAPEVLRKFYGQECDVWSAGVIIYILLCGVPPFWDENEHGIFEQVLRGELDLESEPWPDISEDAKDLVKRMLVRDPKKRLTAPEVLSHPWVKVHGVAPDKPLDSAVLSRLKQFSAMHKLKKIAIRIIAEQMSEDEIAGLKEMFKMIDVDNSGHITLEELTTGLERVGANLMESEIKQLMKAADLDNSGTIDYTEFVAAMLNQSKTLKEDHIFAAFSYFDKDGSGYITPDELQQVCEQFGFGDVHLEEIMNEVDQDRDGRIDYNEFVAMMQNTEFSKKALQSSSSTAFKDAFKTS >KZN01285 pep chromosome:ASM162521v1:3:14111704:14111928:-1 gene:DCAR_010039 transcript:KZN01285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLGRAAELMDLDIGLGPHDPDLIEAEMVEEELELLEVALAAPQGPMEVGLAAVFLAGAAFQMALTLRITKRL >KZN00008 pep chromosome:ASM162521v1:3:1016144:1016978:1 gene:DCAR_008762 transcript:KZN00008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRGLRKGKKLIPPRRAGADNFTLFVSLCFGFHDDDYKVLRVLAFQNRYEIYVYSLNTDSWKFVKAEVNIEDGGSLEKFNVLPYPKARLVKGVAYFIQGNRIISYDLDDEKIRKIQLPGDMSSATHVIMEEYGESIALIGSNAQFYNTYNVWAIGYGVVMWVLKQSDNSNIWEKKFDIRGGGINKHRWILYPVVQAMGGFVNNNELVMRKWKIYGDKYLHREYFLFNVETGFQKQISRPREQARGEF >KZN02221 pep chromosome:ASM162521v1:3:31040006:31050472:-1 gene:DCAR_010975 transcript:KZN02221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKQCSLGQLLNPMQQEQIDYDQVEWMNDMVLDTRPQVHSNVDAFEEEYRNLECLPPGRLKDLLLQEILAPKLPQHQPSLSWGHPPNSFYFNRSSNRVEYQQASSNEFQNCTPSMRDSAMSEGNSWGVSSPNTACDRKLLGNVASKVKASYGHISAIPASSNIDYYFEIEQFEDGSHFGMSNSQQILKPLPENDFQLHICNRGFPKNNQNLAHVNFSPMLRGKTSPSDMNMMVPQFSWNMSEACNDFSRVEYNTPFTSHAGSTDQLLVTGFQQSDRCVQQSYLQVDENLHPQSHLFSVKHDGNFNQSSERQIYKLPELPEQINASQQYYSEVSVSDLGPLSAAKLTNSCSSDLQTILLSYITYKSKLGNTINQVPFLKHIHMTNCSSHQCNCYQYSVLVSHYDNCLYSGCSICAPVRGIFATGKLYMDSGKRKSQYPGTSYNREVNGTCSDPIVDCLPRLKRHKMEVPFYDLATPAMNQPCPHDRVLHVEQHQEAAXNLLTNLVDENLHPQSHLFSVKHDGNFNQSSERQIYKLPELPEQINASQQYYSEVSVSDLGPLSAAKLTNSCSSDLQTILLSYITYKSKLGNTINQVPFLKHIHMTNCSSHQCNCYQYSVLVSHYDNCLYSGCSICAPVRGIFATGKLYMDSGKRKSQYPGTSYNREVNGTCSDPIVDCLPRLKRHKMEVPFYDLATPAMNQPCPHDRVLHVEQHQEAALCRSKNVTENVLRPTEDQTRDVSDRCHAVDHACCGDVYNTSQLEQLPDRLPQLEQWDEAVLHTNNVTEVSKDQLNLEGQTTESLNICDGTDSLPVDLPSTLILLEKLISRTEYGDIDDTCSSEITNDTVDTFQGLIYNSLPDSSEVSTRENEKEDMEFRYLGLPVLEDKSSLLASASDCKDVLKLKQLKIQGVSWADYFSVGEMKEHLLSLRWMSQDIGDDMKISYGENICQLCATDSLEFAPAQLYCSSCGILIKRNLVFYKETGETGMQHCFCTTCYRSSVGGIISFHGGHILKNKLQKEKNNEKIEESWVLCDKCQRWQHQICALYNDKSDPGGEAEYVCPRCWLEEVETGKREPLPRTVGFRAKDLPCTMLSDHIEKRLFNRLKEEREEWAKDSKKELNEYQVPGEADLTVRVVLSVDKMLEVKQQFLDIVKGSDYPSQFLYRSKVILLFQRLEGVDVCLFAMYVQEYGSECGKPNQRCMYISYLDSVKYLRPEQKTTKGEALRTLVYHEILIGYLDYCKKRGFSTCYIWACPPLKGEDFILNCHPENQRTPTVDKLRNWYSSMLKRAAKESVVVDYTKFYDFFFLNSEVTLSRLPYFDGDYWSNAAGSIIKDFEEQSGGGLNRKVKKQVTKRTLKAMGHNTLSSDATKALLVMQKLGHDILPAKDDFLIVHLQYFCKCCHNVLLSGVHWVCNKCTNVHICSRCVDAEHNHSEEKVHTLDNGEEHVLCKVVIDDMPSNTEDNDIIIDNNLFSDRHVFLNFCQSNHYQFDTLRRAKHSSLMILYHLHNLLYPAKDPCK >KZN02590 pep chromosome:ASM162521v1:3:34860550:34861629:-1 gene:DCAR_011344 transcript:KZN02590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSQCNLKKITANNMYPSNGYVNATFPEYDFNPNSEIQDLSSSNSSCLFNFPSPLNQYGDEAIYLQQFHAILSQNNLDSPGALNEMTVPDITAYSCENNNSGTVMEHVGAGESTDQPPEKKKLSKKDRHSKINTIHGPRDRRMRLSLDVARRFFGLQDILGFDKASKTVEWLLRQSKMAIKELTGQTNKGSDTFSLWASASDCEVVSGIDEFSVPETASTTKTDGSPSTQRKNKRIRRVRKPTFYPFDKESREKARERARERTKDKKRQQQQLDDQHSTNLMTWSPIGSSKDLAGSSHNTITYNSLPSLDEVEEMQNGCEESINIIDPNLFMIAGNWSPSALLNYQHSEISHEVLAKF >KZN02045 pep chromosome:ASM162521v1:3:28791074:28794456:-1 gene:DCAR_010799 transcript:KZN02045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDTRLNSKNSNNWLDFSLSPDHMNNNMESPSEPPPQHSTSTSPLPSSSASFSQPPHFNYPNFYYGAGGENGGYLYSPLSVMPLKSDGSLYIMEAMSRSQPQGMVTSSTPKLEDFFGGSTMGTHHYETSHDSSSAMALSLDSMYNYHHNQAQNVPHNSQDLLNLLQHNSSQQIQVQHYPDYSSFAGQELYQIPQAENKGTPQLSHDHNSGMKNWASSSHYPASHAVEHQKMVECMSDENGGESTSVGYGNIQSLSLTMSPALQSSSCVTASHQISSAVADCLVIDTKKRGPGKVDQKQVVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGGYDMEEKAARAYDSAALKYWGPSTHINFPLENYGQELEAMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGTNAVTNFDISRYDVERIMASNTLLAGELARRNKEVTLAIEAPNPNSFGHNHNSEPCISSKQNNVSSISEWKMALTNHASQPSKRSVGTEDYMAQAYSVARHDLLQIGIDTMSSVPDEASKNCTPHLSNASSLVTSLSSSRECSPDKNNVVPLVRMPALATKFLSGSSTNAGSWISTAQLGPHVPVFAAWTDA >KZM99966 pep chromosome:ASM162521v1:3:531510:532169:-1 gene:DCAR_008721 transcript:KZM99966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHELKNITIDNPPAQYPNSSSVDPSSQPSQPTLSKIKKWLSSPYFWCFLISFFVKALIVGLLLGLYYKHVNPLFQIDDVHVKISPIKYPDYEITLKARNKNRVSTLDFDEEGDASLFSGSKEIARGKYPKFEEHPGNSHDVTMILHGSTALPVIQSSLNLVDVRENVPLFLSIDAPMKVKIGALKVKSKNIKIKCSLTLQNLDSDATILRQNCVSEG >KZN02066 pep chromosome:ASM162521v1:3:29124565:29126133:-1 gene:DCAR_010820 transcript:KZN02066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFRLVSTLQPDQQSFNSSRTSSSSRSISHQNPPHYQVDEECFNVFMDEEDLSSSSSKHNNSNYYPPSTYIQQQYHHQLPLSNTPTPTTTNTSTPPHQYQHHQSSGGAGFETSSADHYSYSSAHDLTLEFGSLVSGGKWASDILLEAARAVAEKNTTRLQQLVWMLNELSSPYGDTDQKLASYFLQALFSRMTDSGERSYRTLVSTSEKNCSFEATRKTVLKFQEVSPWTTFGHVACNGAIMEAFEGESKLHIIDFSNTYCTQWPTLLEALATRTDETPHLRLTTVVANKASGPGTAAVHKVMREIGNRMEKFARLMGVPFKFSIIHHTGDLSELNLAELDIKEDEAVAVNCVGALHSVQAVGNRRDYMISMFRRLQPRIITVVEEEADLDVGVDGFDFLRGFQECLRWFRVYFESLDDCFSKTSNERLMLERAAGRAVVDLVACPPSESIERREPATRWSHRLHGAGYAAASFSDEVCDDVRALLRRYKEGWTMAPCSDAGIFLLWKDQAVVWASAWKP >KZN00749 pep chromosome:ASM162521v1:3:8127775:8131422:-1 gene:DCAR_009503 transcript:KZN00749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEELETPKLSEEEEELKRLLVPDVATLPLNPPSAVQSNFVTYFAPDFLKPGHDQYVHRHANGLCVIGLASTHVAFKDEGGITSVDFNVGKSDRSGVKVTGKRKKNAQHFESNTALCKVSTSDASYIVRCCVKGSLLEVNERLIKQPELLKSSADREGYVAIIMPRPADWLKAKASLLTSEEYKKLRVDCTTQDSSIS >KZN02020 pep chromosome:ASM162521v1:3:28419920:28420984:1 gene:DCAR_010774 transcript:KZN02020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRNRETLKPGRVCFSFAAYAKNLIDTLINSNVQVTHGLTDSEFSCIESTFGFTFPPDLRSILREGLPVDAGFPNWRSSSTQQLQILVDLPVLNLCRQVSRREFWLDSWGIRPRDDDEAVDLAKGLLRNAPVLVPIYRHCYIPASPGLAGNPVFYVHGGEIRVWSFDVSGFFQQVEFGGNGEVSRRPTSLSNLFDAPAWAATEARRIAFWTDLSEGKGEARGEIGGAREDTRGWWSGELGGCLEQVYEKLKEGGWKEDDVREMMIMDGSDEKLSWSSSSSSPLDQDDSSSNSTCMKDEVELHVRVLSGTLLRAGWSSEDVMELLGFQDDNTNWLDFNKKEDFSVNNTCLTKV >KZN01810 pep chromosome:ASM162521v1:3:25465067:25465309:1 gene:DCAR_010564 transcript:KZN01810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRYRSATSAYYYGAVGAMIVYDMTKRQSFDHIPGWLDKLRAHAEKNIVIMLIGNKSDLGILRAVPTEDAQELAEKEKL >KZN03393 pep chromosome:ASM162521v1:3:44251453:44253051:1 gene:DCAR_012149 transcript:KZN03393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELNEGEFLNELSRRLAHSTKRIRAIYDGQLATENNDPWRLNYKDTDRNIVTSPGGDISNHVSPRYEEAVNRNIEATELLYAAAEEATSLQRRITGTGVFSICALPPKPISNQQFMQQQSTKKKQKGYVASGLLPSAWPKQKNSHFHEVSVSDGSEIRAVFLGNQVTNGERSGYSTVLLPQRVVQALNLDLGAMETPHQHNKIGFFHQKYGNCPPAHVSTHAELRRDMGWSTMTNKELQLPQEWTY >KZN02175 pep chromosome:ASM162521v1:3:30511600:30514789:1 gene:DCAR_010929 transcript:KZN02175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAASIQLIFVLVVGFLSVLKAEDPYKYYTWTVTYGTASPLGTPQQVILINGQFPGPRLDVVTNDNIVLNLFNKLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNFTYKFQPKDQIGGYTYFPSTAMHKAAGGFGALNVYSRPRIPIPYATPAADFSLLIGDWRKGNHKGLRQYLDSGKSLTFPDGVLINGQTQSSYSGDQGKTYLFRISNVGLSTTLNFRIQGHKMKLVEVEGSHTVQNLYDSLDVHVGQSVSVLVTLDQQPKDYYIVASTRFTNRVLTGTAVLHYSNSHTPVSGPVPAGPTYQVHWSMQQARTYRWNLTANAARPNPQGSFHYGTIKPAKTIVLTNSAPLINGKQRYAVNRVSYINADTPLKLADYFNIPGVFSTNSIQSSPSEGPASVATAVMPASHHDFIEVVFQNNEQTMQSWHLDGYDFWAIGYGSGKWTEASRKSYNLIDALTRHTVQVYPNSWSAIYVSLDNQGMWNMRSATWERQYLGQQFYLRVYNPVQNLANEYDIPSNAILCGKAVGRHI >KZN02233 pep chromosome:ASM162521v1:3:31165116:31165714:1 gene:DCAR_010987 transcript:KZN02233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAQQQNNMKAQANEASEKTQEKGNQMMDSISNAGGQMKQSMQETGGQMKEMASNMADSAKEATGMK >KZN01280 pep chromosome:ASM162521v1:3:14086398:14088027:-1 gene:DCAR_010034 transcript:KZN01280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTNYVTVCIWFIWLPKLQSPIVVITEPHSISAGLDYPGVGPEHSFLKDMGRAEYHSITDEEALEGMCLLLHIWALLDGGWEWESGIGMGAFTRLSRLEGIIPALETSHALAYLEKLCPDLPNGTKVVLNCSGRGDKDVQTAIKHLKF >KZN00507 pep chromosome:ASM162521v1:3:5873530:5874594:1 gene:DCAR_009261 transcript:KZN00507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSLSNLNLSRQSLPLSFHTNPPSISPPISSLSFKLTPPPHFPKTCVKSSCLSSISSNLSRPIPQLKPLEIPSFNPLSLLKTTAIVTLTATAVLFARFNIRPAIANPVAETVSNVEAAAVEQGAVSDEEKEKNLELLVNDSPGDVQALKNLMEIKIKNKKVGEATGILDKLMALEPEDKEWPLLKSHLFVYSGDVESAKKGFNEILSKDPLRVEAYHGLVMAASQDESSPELEEVEKRIREGAELVKKENRMEDFRDFMLLLAQVQVFQGGFDDALEIYQGLVKEEPMDFRPYLCQSIIYSLMGKKKEAEDSFRKYKRLVPDGHPYAQYFDDNLNATKLFSQKMENERRGASV >KZN01577 pep chromosome:ASM162521v1:3:20897379:20898386:1 gene:DCAR_010331 transcript:KZN01577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVDHGIGGTDTSSLDVLHPEIIETRVLAKLDGRSIASVACTSNLLRTLCNRKSLWRGICNSTWDSVKHPLVQQTICSFPGSYRSFYNDSFPVLCSSRTKKGGLRDQVKTRELISAVDIHYEKNPVHSKVLVTNVHASSFPQSLFCVDLIESNETVEMPLKYEGDENKCMLKLEEKLTLSWIVIDPTLKRAANVSSSRPVCVKPYWDGTSIKVIYATILSGDSCGIYKTEFVECRIVAIFGCEEGKNIELRKLSFCVVDMERTRLKGEKTLRILQEAMVSGQRKKRNGEAKEVYSKYLSILSERDVKKRRQNMLYSVLWIITVSFWFSSPFA >KZN03476 pep chromosome:ASM162521v1:3:44945017:44945658:1 gene:DCAR_012232 transcript:KZN03476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQVDEEHCCHHSNRRKYYRRLFAAFLTLIILTLLIILIAWAILRPKNPHFTLQDATLYAFNVTTASNLLTTNFQITLSSSNPNGKSGISFKNLEIFGVYKNQQITLATQLPNTYTGHKDATVWSPFLYGTSVPVAPYLATSLAQDQMAGTVLINLKVFGKVRWKVGSMNTKWYHLKANCPAYIPFGGKSNGYLTVGNAVKYQLLQNCNVDV >KZN03007 pep chromosome:ASM162521v1:3:40113969:40116181:1 gene:DCAR_011763 transcript:KZN03007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPLTSAAKPPSFPIKSRINIALLNFLINLCYKNHDTIHRSLWNFLCKPLLTSSNPKPINGVFTYDVTVDPSRDLWFRVYVPTDTKASLPVIIYFHGGGFVICSPHIKFYDVVCRRFAAEVQAIVVSVNYRLAPEHKYPAQHVDGFDVLKFIDGEKEILPENADLARCFLAGDSAGGNIAHHVAKIVCESYSGKIEVKGVIAIQPFFGGEERTESEKRITGPSLVPLERLDQFWRSWLPAGDGHNKDHKAINVTGPEAVDLSTLDFPATIVFVGDLDILQDWQRRYYNWLKNGGIQVDLVEYRNSIHCFFLFPDLPQSHQLFTDISKFVHQQEIK >KZN00688 pep chromosome:ASM162521v1:3:7639580:7644640:-1 gene:DCAR_009442 transcript:KZN00688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSINNSSSTTQFQSTHPEELVTIDPLELKFPLELKKQTTCSFQLSNKSDNHVAFKVKTTNPKKYSVRPNNGIVLPRSQSNVTVTMQALRESPPDMQCNDKFLVQCVAAPPGATVKDITPDMFNKEAGNHVEESKLRVSYVHPRRPPSPVREESEEETSENMNVVSKGSSENQITSSKANDLISTLTKEKQSIIQENKRLQAELELLKRSPRKNSSGGLSIYYVLLIALLSILLGYYMRSS >KZN03071 pep chromosome:ASM162521v1:3:40893661:40907029:1 gene:DCAR_011827 transcript:KZN03071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPITMKEALTLPSIGINAQFITFTNVTMESEKYICVRETAPQNSVVIIDMSMPSQPLRRPITADSALMNPNSRILALKASLPGTTQDHLQIFNIELKAKIKSYQMPEQVVFWKWITPKLLGLVTQASVYHWSIEGESDPVKMFDRTANLSNNQIINYRCDPSEKWLVLIGIAPGSPDRPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVQGNENPSILISFATKSSNAGQITSKLHVIELGAQPGKVAFTKKQADLFFPPDFNDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSAGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLASLLSFTSPVERNIWYFIYICGFLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNSFESIELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQVEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQNIARAVEFAFRVEEDAVWSQVGKAQLRDGLVSDAIESFIRADDATQFLEVIHAAEDGDVYNDLVRYLLMVRQKTKEPRVDSELIYAYAKIDRLGDIEEFILMPNVANLHNVGDRLYDEALYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELVYLYIQYDEFDNAASTVMNHSPDAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGYLHLVKPYMVAVQSNDISAVNEALNEIYVEEEDYERLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEDLLVYFIEQGKKECFASCLFVCYDLIRADVALELAWMNNMIDFAFPYLLQFIREYTGKVDELIKDKIEAANEMKAKESEEKDVIKQQNMYAQLLPLALPAPPGMGGAGMSGYGQPPPPGMGGMGMPPMPPYGMPPMGY >KZN03227 pep chromosome:ASM162521v1:3:42700355:42702359:1 gene:DCAR_011983 transcript:KZN03227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDIHSTQDFLNALSQAGERLVIVEFYGTWCGSCRALFPKLCRTAQEHPEIMFLKVNFDENKPMCKNLNVRVLPFFHFYRGADGQLEAFSCSLAKFQKIKDAIQMHNTDRCSIGPPRGVGDLNLEAVSAPKDKQAGTS >KZN01696 pep chromosome:ASM162521v1:3:23226792:23229714:-1 gene:DCAR_010450 transcript:KZN01696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQAARLNLRMQKELKLLLTDPPPSASFPLLSHHSSDSLSSILAHIKGPEDTVYTNGVFKINIQIPERYPFQPPIVTFATPIYHPNIDTGGRICLDILNLPPKGAWQPSLNISTVLTSILLLLTEPNPDDGLMCEASKEYKYNRQAFDQKARSMTEKYARDESIENGSCSQNTESHLNPTLMDIEGELFTFEVDKSSGKHKNLSPIENKYSLESTSSNQRRVNDIILKEAINDDLVVNQIEGKSPNLQRAEKYNKFQDIGKLHSTSRKLSLESSSRKQKISFQCKENVLSYQCPPVVEPREAAMGSTEPVLVEKDVNQYEQQSHQVHTSGDGNLASPPNKGSENICMGQSRVSIGDNTLPQPLSVNPPSKRLLSPLRMPLNTTHYDKQPQKDSVDKTGSGFSGIKHKRLGLAGRKQSLGLATSLQSQERDNKENMISVSGALLSNTEGGESVHKKVFNSNSNVYPKKQLGIRRKLPLEPLDKSNWSNDGNIQLNSCDDYHNGYPSKLLRATEESRHNHIQKPMQENDANFSGQVKHHSEDLPIPDSAIVLDSEDSDDDKKVTARPKLSLTRKRLGRKLNARA >KZN00149 pep chromosome:ASM162521v1:3:2508512:2510188:-1 gene:DCAR_008903 transcript:KZN00149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCERGVVVVVKSMEVVAPFAPLRVHRLPMSNLDLLFPPFDVGVLFFYDAGSLKTEMMIRMMKKGLSQVLVSFYSLAGEVVLNNEGEPEILCNNRGVDFVQAIADRELRRLNLHKLDVSVYANFFPVKKRGVLSVQVTEMKCGGLVIGCSFDHRVADAHSITKFLVAWADMTRSNHSKDYKIASVSSPDYCRSLLEPRNPIHPDPALNNMYMLVEDASVDSPQAPPVFHLQSRIYKINACQLQHLQSLAGPNRTKFEAFSALLWKLLAKAATEDKKRCKLGIVVDGRDYLNKSMENYFGNVLSAPYSDASVVELKSMPLSEIADKVHACVECAANNEHFRGLVDWIECHRPRQPMYKIFSFLPSDTEEVAVVVSSGQHFPVHKMDFGWGHPSVMSVFFPWEGTTGYVMPLPSATEDGDWIVYMHLSEKHLDFVEKEAPHIFEPFAFTGPLKASKL >KZN03606 pep chromosome:ASM162521v1:3:46260957:46268949:-1 gene:DCAR_012362 transcript:KZN03606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVVVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVRLTRDNNITTGKIYQSVLDKERRGDYLGKTVQVVPHITDAIKNWIESVSVIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLYFSLGQDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAEPLLEGTKQKLSQFCHVSIGNILNIHDVPNIWHIPLLLQNQNAHDAILKQLDLINVATPPNLQEWNNRAQKFDDLKDSVRIAMVGKYVGLTDSYLSVVKALLHACISCSLKPAVDWIAASDLEDDSAKLTPELHAAAWKTLKSAACVLVPGGFGDRGVKGMILAANYARVNKVPYLGICLGMQISVIEFARSVLGLKRANSSEFDAQTPDPVIIFMPEGSRTHMGSTMRLGARRTLFQTSDCITAKLYHNQKFVDERHRHRYEVNPEVVGMLEEAGLKFVGKDESGQRMEIVELPDHPFYVGVQFHPEFKSRPGKPSAPFLGLILAATGQLGAYLSEQQNGSL >KZN03208 pep chromosome:ASM162521v1:3:42476966:42479581:1 gene:DCAR_011964 transcript:KZN03208 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MDSQLQQLHFVMVPFLGPGHIIPMIDMAILLAQRNVIVTIVTTPHISPFWLCPREGHREPVEKVLAEARPRPSCILSDKHVFWTAKTAEKFGIPWIIFDGMSCFTQVCTELLLASKVHESVCGSESFVVPGLPDPIEFTRAQLPRFVDAGSESPSVVGLLKKIREIEIGAYGIVINSFEELEKDYVKEFKKMKNDRVWCVGPLSSRNRDRLDMAQRGNNASIVQSRCLEWLDIQEPGSVIYACLGSLSSLAREQLIELALGLEASGHPFVWVVRAGSKQEEIEKWIVEDGLEERVQGRGLLVRGWAPQVLILSHSAIGGFLTHCGWNSTIEGICAGVPLITWPLFSEQFFNEKLVVQIVGTGVSVGSKTVVQLGEEEKAGVNVKREDVERAIKCIMCQGEEGETRRRKAKEFGEKATKAVEEGGSSYFNVTLLIEDIMKQANYQMF >KZN02440 pep chromosome:ASM162521v1:3:33521646:33524740:1 gene:DCAR_011194 transcript:KZN02440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLQASTSYTTSLSSAVPSVANYSPRTLHHRHVISAKGEPTEKSVEIMRKFSEQYARRSGTYFCVDKSVTSVVIKGLADHKDTLGAPLCPCRHYDDKAAEAVQGFWNCPCVPMRERKECHCMLFLTTDNDFAGQDQAISLEEIKETTAGM >KZN02508 pep chromosome:ASM162521v1:3:34104153:34108148:-1 gene:DCAR_011262 transcript:KZN02508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECTDSDQTRPHKFDLGTNAFPSLDSSYFKRKYSGLSTKSLLNVWATFINKGSAQDESNQKIWVATNPRGAERLPPGIVTSESDLYIRNSSALPTEETSDKAKYLLTFTVGYDQKDHIDRSVKKFSEEFTVLLFHYDNRVSEWDEFEWSKRAIHLSAPKQTKWWFAKRFLHPDIVAPYDYIFIWDEDLGVDNFDPDEYIRLVKKYGLDISQPGLSPDSKTTWLMTRKQDDSEVHKSTIERPGWCQGPHVPPCAAFIEIMAPVFSRDAWRCVWHLIQNDLVHGWGLDFALRKCVQPAHEKIGVVDSQWIVHASIPTLGNQGVEGDGRTRWEMVRERCRDEWATFQNRFIDAERYYYESKGIDVSNYTSSIGGGHIHTSKFDVDPATTE >KZN00465 pep chromosome:ASM162521v1:3:5527201:5528851:-1 gene:DCAR_009219 transcript:KZN00465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVPVLVAITLPLFLLFILKNCNARKSNRHAPGPPGLPLIRNMLQFDSLNTHIYLHHLSKKYGPLMSLKLGSVKILVISSVSAAKEVFKFHDLCISNRPSSVAIQKLSYNKLGFCFAPYDEYWRSMRKFSALHLFNAKSLRSFQPIRDEEIARMVRTIRDAAAADSSVVDLSKTFMTLTSSIIFRITFGKTYDNDMRTKFHWLLAETEANIVSFFLTDYFPLMGRFLDRLNGAWARLEKSFRETDAFYQQLIDEHLLASSLSTGDCSILDILLEMKKDSPGFTFDHVKAILMNIILAATDTSAAAIIWAMTLLIKNPASMEKVQQEVRDLGRQRGFVDEDDIEKLVYLKAVVKEVLRLWPPAPILRRETTEKCVVSGYDIEAKTWVYVNTYAIGRDPECWDNPDEFLPERFMNNSIDFRGQDFELIPFGAGRRICPGISMGATTTELVLANLLYSFNWELPPGKNREDIDMAAQPGLTVHKKNHLCLVPKIGDQVSHAYLKV >KZN02190 pep chromosome:ASM162521v1:3:30671598:30673766:-1 gene:DCAR_010944 transcript:KZN02190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVADQCKNTFLELQWKKVHRYVILMIAEKKNEVVVEKTGGPAESYDNFTSTLPENDCRYAVYDYDYMTSEKCQKSKILSWHEGKRTITMGYSVRRTEMYCVVFHAAISSHGSTAIKRLQETCFCAGDRQNCHTDGPGSGICICACTISDFIGIQQGIYKRNAPEKR >KZN02897 pep chromosome:ASM162521v1:3:38735205:38736798:1 gene:DCAR_011653 transcript:KZN02897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTVDEDRKLVNFMLTNGQYSCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGMLSEHEENVVIDLHSQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKRGIDPLTHRPLTPPIENFQEPENHNSSDVHQKRQTEKFIDPLTVLQDEMQRILEAPTLEVINNGFCADEVPLIEPHEILISNSSAPCCSSSSSTTTSNTNVNILLENSELLPTNSDWLNGDDSATNNVGFWDMDDGFSDLDFLFDDISCSRFLPLLEFGIE >KZN03387 pep chromosome:ASM162521v1:3:44227743:44228611:1 gene:DCAR_012143 transcript:KZN03387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQEVKLFGTPNSPYSRRVEIALNLKGVDYEFVAENLSNKSPELLEYNPVHKMIPVLLHNGKPVVESAVIIEYIDETWSSGSSILPADPLGKANARFWAKFIDDKAMPVIRSIRRFQGEEQVRVIGEAVELLKLLESELKGKKFFGGETIGLVDITANFIALWIGVYEEIMGIRVITKEKLPVLCQWIDDYLNSSIVKKSLPSRDQLYEYLDLQIKKRR >KZN00326 pep chromosome:ASM162521v1:3:4222317:4223217:1 gene:DCAR_009080 transcript:KZN00326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKFAAKYDEFEPLCNWQREEGRDTLVLHLPGERPLSAEKQSRFYKEVKVAKECNANDIRAKFVNGLLYVVMPKTIPVVQEQEEAPLGHEQVHANKQPNGGTGPDDHHPSAEEKILPSQQGCCIPSPSYSSMRTKLALVITVACAVGGYLFYRYNILSDGELGNAI >KZN02126 pep chromosome:ASM162521v1:3:29962717:29964752:-1 gene:DCAR_010880 transcript:KZN02126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRRGEAEIDRLPIDLLSHILVFITCFKDLAQASRVCKKWREGVKRSLGHREKLSFVGWKMDDDSATRILFHAYSLKELDISKSRWGCRITDKGLHQISLAKCLGNLSSISLWGMTEISDNGIRQLVSGATSLQHLNIGGTFITDESLFAIANSCPHLKSINLWCCRHVTEHGLLVLVNKCQKLESINVWGMRVPMECFVCLVNISPALHLQPEGLLPLAERASLLPVF >KZN02815 pep chromosome:ASM162521v1:3:37615859:37619534:-1 gene:DCAR_011571 transcript:KZN02815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTRVLFQLQLILCFLLYLHARPAICFAILTNCKIDKIYQLGDSLSDTGNRIVEDPLDACGSLPYGQNFPRGPTGRCSDGMLMIDHFALAAGIPFLNPYLKGNANFTHGVNFAVGGSTALSEYALAEKDIMLLGTSSTLGIQLDWMSTFFASQCNTHINRLCPGNFKHALFMVGETGGNDYNFALAQGKSIEEAKTLVPEVVEIIRDAVRRVIDLGASRIIVPGNFPIGCFPMTLIMFKSDSKSAYDEHRCLKDFNDLAAFHNQYLQQAIITLQEENPGTTIVYGDYYNAFNWLLYNAPYLGIDAKSALEPCCGNGGIDSESSPTSGGCGSLNAQVCLYPDRYISWDGIHLTRKAYSVLSKWLLADITPKLKCALQVVDH >KZN02193 pep chromosome:ASM162521v1:3:30693370:30695985:1 gene:DCAR_010947 transcript:KZN02193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGNFLAMKTDHVSQDIINSDMNELKMAATNLIADATRLGGLGFGTSFLKWVATFAAIYLLILDRTHWRTNMLTGLLVPYIFFSFPWGLFNFFRGEVGKWIAFIAVVLRLFFPRHFPDWLEMPGSLILLLVVAPGFFAYTVKDSWVGVAICLVIGCYLLQEHIRASGGFRNSFTERHGISNTLGIILLLVYPVWSLVLHIV >KZN03654 pep chromosome:ASM162521v1:3:46682496:46682804:-1 gene:DCAR_012410 transcript:KZN03654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVMSLGAETPVVIFSKTSCCMSHSIIKLIQTFGANPRVHELDEHPNGQQLERELKALGRKKCTPAVFIGKELIGGANEVMTLHVEGKLVPLLLNANAIWL >KZN00277 pep chromosome:ASM162521v1:3:3614786:3619057:-1 gene:DCAR_009031 transcript:KZN00277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLLLAAKLPHHPSQLSPHSLKFQALTHQKFKNVAFCGGQKQLMGTNRRSVLSVQSRRRLEPICALESDIPKVEAVELEKNEKFEEWDSWTAKFAGASNLPFLLLQLPQIILNARNLIAGNPSALLAIPWLGMLTGLLGNMSLLSYFVKKKETEVIVVQTLGVVSIYAVITQLAIAEAMPFPYFVVISAVVASCLLVNALKYFNFLNDGVWRLWEDFITIGGLSALPQVMWSTFIPYVPNTILPGSVAFVLAAIAVVMARTGKLSEKATKFVGSISGWTATLLFMWMPVAQTWTNFLNPDNIKGLSAVSMLLAMIGNGLMIPRALFIRDLMWFTGSSWASIFYGWGNLICLYCFNSISKEFFLAATLGLISWIGFALWSDTRVYGYKSPLRSLKELVFGP >KZN02977 pep chromosome:ASM162521v1:3:39783482:39785588:1 gene:DCAR_011733 transcript:KZN02977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDHSSSSTKAPVTRKSRWSLAGMTALVTGGTRGIGHAIVEELAELGACVYTCSRNEQELNERLEEWRAKGFDVTGSVCDVSSGTEREQLFQRISSCFGGKLHILINNVGTNIRRATENYTAEQYSIVMATNLEAPYHACQLAYPLLKASGSGCIVFNSSVAGLVHLGTPGSVYGAAKGAINQLTKNLACEWAKDNIRTNCVAPGYIKTPPVEKLFERKNFLERLVSRTPLRRPGETEEVSSLVAYLCMPAASYITGQIIAIDGGLTVNCFERVV >KZN03108 pep chromosome:ASM162521v1:3:41340400:41341350:1 gene:DCAR_011864 transcript:KZN03108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTADSLLADPLLSCSNLNIDGIHHEEGSTAEAENPQKTEYNRRRREEELGQKYIEEMHMRRLNQQRKEHIQQAWRYLTTKKEKEATRSYAAENVLQEAWRYLTKKKEKEPTRSYAAENVLETHVYEDRQGMEYIREVGDARSQMVYVPETVDGYKQGIEYIREAGDARSVSTVYVPETGDGYKQGIEYIREVEYLRSHDGYQNQQSFGHIQEKPESPPPSKGHITEETESPPPSKELQTYENENGQGIQNQQSIGHIQEKTESHSPSEVLQTYENEKEQGIHRIRAKLRSFRNYIKNVIQRMHPKRYMKGVGRKH >KZN03342 pep chromosome:ASM162521v1:3:43854888:43855133:1 gene:DCAR_012098 transcript:KZN03342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNFSTKSIEDLEGDKSKQNRNGTRVKNNSNRVMDNKTRVKNNRNRVMDDGTRAMNNRIRVMDDVTQVKNNGNRVMDNGT >KZN00395 pep chromosome:ASM162521v1:3:4972067:4974403:1 gene:DCAR_009149 transcript:KZN00395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKAFGGYGALNVFARPRIPVPYPLLDGDFSLVIGNWHTSGHKARNKPHMVMHLLCRRKSGDQLNEALTTNASRSADCRDLIIDRVLGIS >KZN00741 pep chromosome:ASM162521v1:3:8038098:8048241:1 gene:DCAR_009495 transcript:KZN00741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIPEALEAAHKFGIKIIPGVEISTIFYPRGECGTEEPVHVLAYYSSCGPARSAELEKFLANIRDGRYLRAKNMVAKLNKLKLPLKLEHVAKIAGSGVAPGRVHVARAMVEAGHAENLKQAFARYLYDGGPAYSTGSEPDVEETVQLIRETGGVAVLAHPWALKNPVAIIRRLKEAGLHGLEVYRRDGKLAAYSDLADAYDLLKVGGSDFHGRGGQSESNLGSVGLPVVAVHEFLKVARPIWCRAIQDNLEDFVRDPSGSNLEALTRLGKIKFPKGTNCSKYELIQHCLSSWLTNEERLNDEFEALKLKVTQVLIEQGWASGPFIMGDLELPPKIDTDVNAVSKINQPESISSQKEIVSLVGLFAAADTKDYILMFFGSVGSCVHGAALPVFFILFGRMIDLLGHMSSDPHRLSLEVSKHALYLVYLGLVVLVSAWIGVACWTQTGERQTARLRFKYLRSVLRKDITFFDTEARDKNLVFRISSDAILVQDAIGDKIGHSIRYLSQFMLGFILGFISVWKLTLVTLAVVPLIAVAGGGYTVIMSTLSEKSEAAYAEAGKIAEEFISQVRTVYSFVGEDQAVEAYSRSLKNALKLGKKGGVAKGIGVGFTYGLLFCSWALLLWYASILVRHRETNGGNAFTTIINVIFSGFALGQAAPNLAAIAKGRAAASNMISMIEEDLDPSRRSEKGMVLPKVDGQIEFSEVCFTYPSRAGMVFENLSFSLTAGKTFAFVGPSGSGKSTIISMIQRFYDPCSGKILLDRHDLKDIQLKWLREQMGLVSQEPTLFATTIALNILYGKEGADMDNIIEAAKAANAHSFIQGLPDGYQTQAGEGGTQLSGGQKQRIAIARAMLRNPKILLLDEATSALDAESELVVQQALYKVMSGRTAIIVAHRLSTIRSVDRILVLKNGQIIEQGNHSELVSKGGEYAALVRLQVADNLKDSVTTDEVEISAVSGLKDLTDNHSNKHGTSLMKLKEIQPAEDKLTSPASASTSSVWELVKLNAPEWPYAVLGSVGAILAAILSNEVGWFDSDENSTGSLTSKLAANATLVRTSLADRLSTVVQNVALMATAFVIAFTLSWRISSVVIATFPLLVGASIAEQLFLKGFGGDYNRSYSRATAVACEAIANIRTVAAFGAEDQMALQYSSELKEPSKQALLRGHISGFGYGVTQLFAFCSYALGLWYASVLMKDKNSNFGDLIKCFMILIITALSVAETLALAPDIVKGSQALGSVFEILYRKSAINSNSPDSMLLTSIKGDIEFKNVSFKYPARPDITIFDGLNLQVYSGKSLAIVGQSGSGKSTVIQLLMRFYDPSSGTVSIDGVDIKTFNLKSLRLKIGLVQQEPALFSTTIYDNIKYGNKNASEIEIMKAAKAANAHGFISRMPEGYQTQVGEKGVQLSGGQKQRVAIARAILKNPTILLLDEATSALDTASESQVQEALDKLMVGRTTVLVAHRLSTIRDADSIAVLQNGKVVETGTHEQLICSPQSFYTQLVNLQQG >KZN00021 pep chromosome:ASM162521v1:3:1150951:1152066:-1 gene:DCAR_008775 transcript:KZN00021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDQPLIITGTAPTQFFCEGSYVTSTIFTLQNSCSYTVWPGTLSGNGAAVLGEGGFSLAPGASINFPAPPGWSGRFWGRTGCTFSSEGILTCLTGDCPGGLKCTGGGTPPVTLVEFTLSGPEQTGKDFYDVSLVDGYNVGMGVKPLAGSGDCQYAGCVTDLNANCPPELSVINGGIVVACKSACEAFNAPEFCCTGDHATPATCTTTQYSVMFKTACPTAYSYAYDDLSSTCTCSGTDYLITFCPTV >KZN03036 pep chromosome:ASM162521v1:3:40413997:40415454:1 gene:DCAR_011792 transcript:KZN03036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSISLNSLTHLSKSTVFPDQKTSPVLMKLSVSDLPMLSCHYIQKGCLFAPPHVPIPERIALLKQGLSKTLSHFPALAGRLITDQEGYVYISCNDAGAEFIHATSTHVSIRDILSPVHVPDSVKQFFAFDGVVSYEGHFRPILAVQVTELHDGIFIGCSVNHAVVDGTSLWNFFNTFAEVCNRGARKMSKQPDFSRDSVIISSAILKVPEEGLKVTFDVHAPLKERIFSFSRESILKLKEKVNNNKKWSLFGNGGIDAVELMAKQSNDPMNEKITSMIVNWLGINGVTKTEMNDPTVEISSFQSLCALLWRAVTRARKFPANKTTTFRMAVNCRHRLEPKLNPYYFGNAIQSIPTYAPAGEVLSHDLRWCAEQLNKNVKAHDDQMVRSFVKNWEKDPRCFPLGNFDGAMITMGSSPRFPMYENDFGWGKPIAVRSGKANKFDGKISAFPGREGGGSVDLEVVLAPETMAGLEADSEFMQFVSGC >KZN03452 pep chromosome:ASM162521v1:3:44737773:44739010:1 gene:DCAR_012208 transcript:KZN03452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQIMIALLFIFALHFNVGLSTSQVLKGSVSCLDCRKDYDLSGIKIALKCKQAKKLTTTYTNTNGSFETALPSDTSASTISSNCLATVLGGPEQLYTKQKNIFTTLVKIHGYESSYTISNPLSFYTSCPQSHGKCGATNSGTVESSKTFELPPPGDWGLPPAANYIPFFPIIGIP >KZN01424 pep chromosome:ASM162521v1:3:16058056:16063373:-1 gene:DCAR_010178 transcript:KZN01424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQPRPYGSAEGRKSTHDFLSLYSPGQQESRSSQGGFLKTHDFLQPLERVETDQGISKEGNKGEPPMENSRPPALPATNSVEHILPGGIGTYSISHISYLNQRVPKPEGVDTPPAQASSSNRNDDNSNCSSYTGSGFTLWDESVVMNKGQTGKENNFAAKERHAVREVGVHVGGQWATSLERPSQSSSSHSFLNKAFSSLSSSQQSFTKKQSFMDMIKSAKNGQEDEEEEDDEFVIKKELSPHNKGNISIKVDGKGTDQKPNTPRSKHSATEQRRRSKINDRFQMLRGLIPHSDQKRDKASFLLEVVEYIQYLQEKVQKYEDPYHRWSQEPPKMMPWNNSHRTVETFDDQSGGPNCGSGPPLMFATKFDEANTNISSTMPRGGQGLSEYEISTADTVREMGHHRVLANGGMTLHVPPQQTMYSHKGSSSAAVTLPPRVASNTEIRTSHPHPQLWQSKPSTTESTTVREKIKDQEMTIESGTISISSVYSKELLNSLTQSLQSSGVDLSQASISVQIDIANQAKRNLNPSTPVVQSDDPPNLTMLQSRLPSGRGESEQAFKRLKTGRS >KZN03460 pep chromosome:ASM162521v1:3:44828157:44829146:1 gene:DCAR_012216 transcript:KZN03460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPTITGIDPDVLESHILSKIDGPSLACTASTCHLLHTLCNKDNLWKDMCNATWNSVKHPLVQETISKFPGGYRSFFCHAFPLVRPIPWRTRRVLPQDCAGKILSAVDIYYGRKGITSKVIVTDTGHNAFLDFSFWLNLLDSQETVKIPLKFEGDENKCMLKLKDNLGLSWILIDPIRKHAVNISSLTPISVRPHWNGNDVQVMYATILSGFGSSEFVECRIEATIRCKYGKDVKISEACLYLEDMHSMRLNGDRSLRIFLEAMENGERKKGNEQEEREIYMKYRELKRKRIEGDYRRETRLRIGCRVACLAYLIAFVFFCNFLNVF >KZN03561 pep chromosome:ASM162521v1:3:45820506:45820984:-1 gene:DCAR_012317 transcript:KZN03561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSCCSGRSCCPSARAVRPVATPKKEPVVMVKTPKAEDVKPEMIKNAAVKVEKKETGNVTAVKKEEAVKVNVGCGGATSYPLLIVFEFCLSHTTAVSSLFIFANCFAVKTAVA >KZN00593 pep chromosome:ASM162521v1:3:6704435:6705106:1 gene:DCAR_009347 transcript:KZN00593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYSWLSETALDPLHTYKYGRLFTNNQLRAEDVIRFDHDFLQSLGISVAKHRLEILKLRDKKVEKDSRKLSIALAIRKTKSLLGKYWTRPRMALLEQLKPWTSQWRKKDARVYDNKRRIMKSGPMERTNGDTCVLKNKSGSKSGPVDWKVQERLMSSSWNSPSPVCVPSPVRDTKTFNESGVGGKWSMSPRFESDQYKNKDTVSHNELHSIWSLMFEDIKPT >KZN00934 pep chromosome:ASM162521v1:3:10025382:10025684:1 gene:DCAR_009688 transcript:KZN00934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFKSGAVVALILFVVFKFLSNLFEGKAVAKLPFVPFKIVQKMSHRGLEGEDFTDCAMAFLYFLCSISIRTNLQKFLGFSPPRGAAAAGLFAMPDVKNE >KZN02864 pep chromosome:ASM162521v1:3:38179292:38180437:-1 gene:DCAR_011620 transcript:KZN02864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRDEAERAKQIAEKKFLAKDVAGAKKFASKAWSLYPCIDGISQLLATIDVFVYADNKINGEIDWYGVLGVNPLDDEDVIRKKYRKLVLMLHPDKNKANGANEAFLLVSQAWDLLSDKTRRSAYDSLTSPHRFQHNVQPQCNGSATPAAEQNGFHSSAEWFSDVKVPNWNSGPVHSDFHKKKTASHDSPKPPNFHSSGEWFSDVKVPNWNSGSVHSDFHKKKTASHDPPKPPNVPPASKKEKSAVPPSSHKQKPANASDPPAREYKPKTVPPSFHKHNRRTAPPASSYKEKTSTFPPSPKHKSSKMPGSYHEQKPQKVPVAPQKPNHGPHPPQERKNTASCLSTCHGCKNQYYCPRIYINQDLLCRDCFNEVFHGRKPC >KZN01708 pep chromosome:ASM162521v1:3:23592151:23593779:-1 gene:DCAR_010462 transcript:KZN01708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEAEKLVEVSEQEICIDFSLGCKCRATVHLRSLSSTASTAFKIQTSSPHKFLVNPPSGLIAPLSHTTFQVILKPQSQLPSPFPRCSPCDKFLVRTALAPPQLGDVNSWFNSLPDSFVTRDVRLKVSFVGPFLLRHAVITGDYESARNVLRRQKTGLASREAESLVRVAAELENSEAMVELLTESTTHNISLINDRELSWTELHVAAANNRTDEISSLISKKEGGPLDCKDAEGRTALYLAAKEGFEGCVTVLARAGANVDARTHDGRTALYKAFTNGDRRMMELLLQMGADPTSDHRGRSPLQLARERSLKEVVELLERGEAVLNASRSGHVKLVEQLLETDAKINYCDQYGLSPLHAAAIKGHKDVAMLLVEYGSELINSRDADGHTPLHLAVQSGDQAMVEVLLNRGAEVNALTNKGATPLYISQLLRCDDITRLLLASGADSTLLPLSCSSDSWAFTTYAS >KZN02564 pep chromosome:ASM162521v1:3:34651854:34656424:1 gene:DCAR_011318 transcript:KZN02564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFDEALMIDPNKHNVLWCMGNANTSYAFLTPNTDEAKPYFEKAVDYFSQAVDADGTNELYRKSLEVAFKAPELHKQVHKEGGLAERAVLGEGPSTSTSAKSENPKSSGDLKYDILGWVILAVAIVAWMGHAKSIMPPPPPR >KZN01187 pep chromosome:ASM162521v1:3:12787404:12791094:1 gene:DCAR_009941 transcript:KZN01187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSQTTSSSTVVKTNSSTSSISVHTESSSEISVPEVESPRTKAKTKAKKRWGTVLRWIPTSTDHIIAAEKRLLSLVKTPYTQEKVSIGCGPQGSKVKWFRSVSNEPRFINTVTFESKEDAPILVMVHGYGASQGFFFRNFEFLSKYFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFIESLEEWRKSSNLSSFILLGHSFGGYVAAKYALKYPKHIQHLILVGTAGFSSETEHRSEQFTKFRSTWKGAIINHLWESNLTPSKVIRGLGPWGPDLVRKYTTNRFGTYSAGDVLNEEESKLLTGIPLYPSGLKNIPTAEANMIFFAEDYVYHTLASKASGELCLKYIFSFGAFARSPLLNSASEWKVPTSFIYGVDDWMNYEGAVEARKKMNVPCDIIRVPKGGHFVFVDNPVGFHSAVLYVCRRFFSPHPCNIIPEGLASV >KZN01575 pep chromosome:ASM162521v1:3:20877563:20878611:-1 gene:DCAR_010329 transcript:KZN01575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYGTLYKMSLSGIVAARTLVLEVDGIKNQRMHDDSDYLSPVKEEQIDEAHDDEVIDLTADDEEEQHSSTEIHFKSLSKLKTEVVKKMYLKMVGSLNNLEALFAQECILILASGMDEDPKFDEKRRSTLYVVPGKKYKKKNDEMHFRCCSSGHILWFNVDGAIFFSSFAIKDRIEEVEDVVESAEEAALVADLNQLVEELKEREQKTSEERRAEK >KZN02167 pep chromosome:ASM162521v1:3:30396065:30396544:1 gene:DCAR_010921 transcript:KZN02167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLHENTDRLLVRAKPIFLKRIMDNLSEAQRQWVLETGFEKNVLDLPKGELLFENSHNTEYTDVWRSQFKDYMYDHKIFAEVICDALKSSELINLEFKLNFLIVLANVPIRVP >KZN02122 pep chromosome:ASM162521v1:3:29919397:29926440:-1 gene:DCAR_010876 transcript:KZN02122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIGGGAVFGVPRAAVCPASRRFIFRCHSSSSSVALPSEDSKVADHLSFIKDVAAAKPPENLQHLLRMLQVRGEPIISPTAKQGLMPLTIPLSRNTSGFVTALLRWPTAPSGMEMPVVEVRKHGVWLLAKNVDQYIHRILVEEDANTSQGSNSEMFEASADAGINLYKKGDLGRSQYSSVDVYLLRKVGLFPDLLERKVMNDFEKGDLVSAMVTGEFYTKKEHFPGFGRPYVFNAEILLKVGRNMEAKDAARGALKSPWWTLGCTYQEVASIAQWDDEQIEFIKEKVSEEGKRDDLKKGKEPAQVALDEAAFLLDLASIDGTWDEVVERIAECYKEGGLQDMANFVLYRD >KZN00104 pep chromosome:ASM162521v1:3:1976333:1977181:-1 gene:DCAR_008858 transcript:KZN00104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTIDSNKTAQILARYRPIAPKPPDHETNISTNSSPNSDSSCMPLNIRDSAYLRTVWPQLQSRSTRGRKRGRTSVPPPLPCKRSKPAHLPSPIPNQIPNQMIIPVEKNLVKHLELHQSPNLFTPKPIRLVSSSILIQPNSTLLSPALTNPGHSTADEIEKGIESQTLPVLISDSKNQVRLANSAYKRLIGQPECNWLESITACNRICGEVTLKIPESVVSELASSSKGVNFCLVVIEWGNSEYKSSITTSGEAVRLRCESRDYVFAWRFHTQGALVPAANF >KZN02212 pep chromosome:ASM162521v1:3:30922979:30928177:-1 gene:DCAR_010966 transcript:KZN02212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYHHHRHHYFAIIYALSTVLLLSFPCLIKAQQPYIRKAITPCEVSDNSTTNLGYIYNGITPTCQAYLTFRSQPPLFNSVSTISSLLSVNPSQLAQLNSVSEDETFVQDKMVLVPVNCSRSASGQYYQASISYVVRPIDGFFVIANNTLEGLSTCQAIEAQNNVSSTELDVGMRIEVPLRCACPTQSQSDGGVKYLLSYLVTFGQTVDIISRGFGVETSRILEANGLSEGDTVYPFTTLLIPLQNPPTTSQVTGPDTSPPPPPSPPLSPPGKSSSKAWIYAVAGVLGGLALLSVIGVTIFCLFFRKSKKQLNSGIVSDSYKAREKTTDKKLEVEDSDFFDSVSSIAESLKVYSFAELQAATQNFSPSCWIKGSVYRGSFNGDLAAIKRISGDVSKEISILNKINHFNLIRLSGVCFNDGDWYLVYEYAVNGHLTEWIFNSNNQKLLTWTQRIQIALDVATGLNYLHSYAFPPYVHKDIKSDTVLLDGDYRAKIFNFDLARSANGEGGAYAQQNYSGPLVWDCNNTDEAGPSPAFLYTCNGERKPCRAFLIFRPQPPYASVANISMLTSSDPSELARVNNVSIFAVFKPNIEVIVPVTCACSGQYYQANTSYTVAPFDTYYTVSTYNYQSLTTCDSVTRQNPYNALELKIGTKLLIPLRCACPTKEQKVDGTNFLLTYGVIGDETVSQISDSFKVKSTSVTYANGLSEEASVLYGATTILIPLQKEPAGPLTRINSSSSKSKKGVFIGIAGGISFAAFCLVLFLCYTRKHEVDDYKKQRWELPRDLLAGVAHHVDQNCLKVFDFDELEEATENFSPENKLGTSVYHGVLRGKMLAVKRMSRDISREVHILKRINHFNLIALYGACEHGNMLYLVYELMENGCLKEWLRKKSSPKIHSWNCRISIALDVANGLLYLHNFTSPAYVHKDINSSNILLNRDLRAKIANFSLARSADIGENGNSSIKFSMETKGYKAPEYLEANKVSPKVDIYAFGVVLLELITGREVVLKQDGEEVLLSEKVLAIMNEKDNETKLQELVDPCLQMKHPLGYIIDQTDLTLRMINLSVACLQVEPSRRLTASEVVSTLLKIQMDALRPEFLSM >KZN01112 pep chromosome:ASM162521v1:3:11873978:11879177:1 gene:DCAR_009866 transcript:KZN01112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSSQTPVAPVSSSTVHRCSPLKPTLPLPPLFSTRRPPNFSITTRKSPLFLVNSEATSNNNNAPLPKTADSAPPITLVGQDSVPLEGVIQFEKSSFSARLDKWGRVALLAGGDVLALLLFSAIGRFSHGFSVVDVETLRTADPFIAGWVLSAYFLGGYGEDGRGVNGLSQAVIAAAKSWIVGIPLGIIIRGLAAGHVPPTSFILVTMGSTSVLLIGWRTLLYSIFPNDQRKKNDIYKRGSPFELFEVLHYNLCIRKIILTTIQSKT >KZM99940 pep chromosome:ASM162521v1:3:271509:273612:1 gene:DCAR_008695 transcript:KZM99940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTISPATSQICSGKNGVFAPLSQSAVVKSTKSVGKDRPVGMKVRCMASSIPADRVPDMDKRNTMNLLLLGALSLPGTAMLVPYATFFAPPGSGGGGGGTNAKDALGNDVVAAEWLKTHGPGDRTLTQGLKGDPTYLVVESDKTLATYGINAVCTHLGCVVPWNKAENKFMCPCHGSQYNNQGKVVRGPAPLSLALAHADIDDGKVVFIPWVETDFRTGENPWWS >KZN02197 pep chromosome:ASM162521v1:3:30715978:30719980:-1 gene:DCAR_010951 transcript:KZN02197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLDGSIIGADQTSADYYFAYSHFGDLGKNMIDNGVAKVDPNREDQLTCDQPNHDEIDFELLGNVYGQPYILQTNIFADGFDNREERIYLWFDPTKDFQTYSILWNLYQIVFMVDFVPIRTCRNYADKGVEYPMWQPMSIKVSL >KZN01859 pep chromosome:ASM162521v1:3:26206285:26206611:-1 gene:DCAR_010613 transcript:KZN01859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELCMCRLLVVERTAWTENNAGRRFVSCVKGRNGCNIFRWTDPPVCARGRAVICGLLRRIERNEEELEKLMLLQEEKSNCRVQKFRCLNVKMFVFIVVVIWFVWTRF >KZN01767 pep chromosome:ASM162521v1:3:24824092:24829696:-1 gene:DCAR_010521 transcript:KZN01767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEPPPFQEASRCDVCKCSFNTFRRRALPQFGLQSLVRVCSDCYNDASRKKDDTQASLSTVNNVSDSISRLDIRAVAEPESKLPIKQLDVLECTCGMPLCICEAPAPAPVPIPARDVSGVTSSSTFQSNTKPKKSDSKPRSRGSASNSKAQHVIDKLDNSSEHDTGFNYYDLDLFSPRKHECGCGLGPASNSSSNSLESNKLYEVNGEGLREAIKNGDTVAVRKLLSEGVDANYRDKQGLSLLHLAAVFNQSDIAFVLMDHGASITCKNSQGKILTSFFIEVFAWMTGCWVGP >KZN00242 pep chromosome:ASM162521v1:3:3384032:3394769:1 gene:DCAR_008996 transcript:KZN00242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETSEENQEPLMMRDFQGDYLDVASIPFLAKILDKQGDKMIFFADKVLKFTSTGKMKKRILLVTDSAVYMVDPDMGTIKRRISLAAVEKVSLSELSDNFCAIIIPTEYDILLASTRKTEIVNMLTEATKTTSNFELEVYISNSFEYNAAADVVKEIIFEEVEAELKWQIEEEAPTIEDLQVRQCSQLPTKHIEEGHMKHVDINCEVDGDLVYALPEELLSGDNGALKFSQEELKEEVDPKCSERCVYYQTDEGTECTGGQFLSGQSSILHTDQDEIHADSILEVTKESSDIEQHLRQESKLEHETQLKHSSWCSSDILPSEISPEEDGKYFKSSRYKEIRSGDASLVHESDCESTELDVERVLSKQDTHDLYCPNCKSCITRRVIIRKRKRRLRYSGEDSKRNKLEEGLGSDPISVSVATTINPFHGTVDTCLDGIAAPTSINYVQDQEPEIFRCLSCFSIFSPTGNGFKLLRMFGDRRDEDNLQDHPQTPTVKKNWFSTLFKIDRNDTEVGTGGMADVEPHDTEVLIPSNSTRETGIISVLHNSSSHAQTDLGREYVEPGAPGSTEDGTNALPHQEVSLGTSGGQENLDYQVFMNMDKQNGDSLGGEAPPPAVYDDREIVQSEITGPEEDEITVETCPVEPLAAQRMQNLTDPAESGNLQYPEIRMQINEQRHTSTEGIQGMEIIKSIIYGGLVELITSLAVVSSAAGSYVTTLNVFALGMANLIGGLFIIGHNLSELKYNQKTEASDQSAEPIDQYHELLGQRRNFLLHAVFAILSYLIFGSLPPVVYGFSFQKSDDRDLKLVAVAVVAVLGIIILAAGKAYVQRAPRSYVKTVLYYVVTALMASGVSYAAGNLINMFLKKFGAFQPNLVVTLPETTEPAAWASF >KZN03731 pep chromosome:ASM162521v1:3:47509948:47512655:1 gene:DCAR_012487 transcript:KZN03731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRIQNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSSAEIDSLMTIVANPRQFKIPDWFLNRKKDYKDGKFSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KZN02952 pep chromosome:ASM162521v1:3:39497200:39498366:1 gene:DCAR_011708 transcript:KZN02952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGRMSVQPVANTEAEKNESIVRRVPHQKPPFTIADIKKAIPPHCFNRSLIRSSSYLVFDIAVCFFLYYIATNYIPLLPAFLSYVAWTAYVYVQGCFMFAIWVVAHECGHHGFSDYHLLNDALGFILHSLLLVPYFSWKISHRRHHANTNSLDRDENHVPRFKNTIRSYYHHFNNPLGRVFIITFTLTLGWPLYLIVNIAGRSYDRFASHFDPYSPIYSERERLQIMLSDAGFVAACYGLYRIALVKGFAWVFLVYGAPLHVVNGFLVMITLLHHTHLSLPHYDSSEWDWLRGALATVDRDYGILNKVFHHIADTHVLHHLISSIPHYHAQEATEAIKPVLGEYYHYDGTPFYKAMWREAKECLYVEEEDEGDNKTKGVYWYKNKL >KZN03862 pep chromosome:ASM162521v1:3:49437363:49438197:-1 gene:DCAR_012618 transcript:KZN03862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSMASAACAFVVAPSVTSANTSTKMMFNPPCGSRRFGSGRMVVRASEEPAAAAPAPPADTKEEAPAPVAKPKPPPIGPKRGTTVKILRKESYWYKGTGSVVAVDQDPKTRYPVVVRFKKVNYAGVSTNNYALDEIEEVC >KZN03000 pep chromosome:ASM162521v1:3:40036397:40036862:-1 gene:DCAR_011756 transcript:KZN03000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQMKYICILGFIVVLGIAVGFNGVEARYECGKNTTPDMEAFKLAPCASAAQDTDSTPSSSCCAQVKKMGQNPPCLCAVMLSNTAKSSGVKPEVAIMIPKRCNLADRPIGYKCGAYTLP >KZN00849 pep chromosome:ASM162521v1:3:9235528:9237733:-1 gene:DCAR_009603 transcript:KZN00849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAERSPKSSSDSKLFPPGFRFHPTDEELVVYYLKRKICRRRLKPDMIAETDVYKWDPDELPGLSKLKTGDRQWFFLSPRDRKYPNGGRSSRATTCGYWKATGKDRTISCNSRSVGLKKTLVYYKGRAPAGERTDWVMHEYTLDEEELKRCPSAQDYYVLYKVFKKSGPGPKNGEQYGAPFKEEDWADDDCVSFNGFLEQENIVKDVDVSPINNNIASSMPQDQDDIDEFLKKIADDPVFTDEAGFVQPLAIDYCYTFDEVIAEKENGHILGNHCSGEVGLPDQSMVPHHNEHLNVQASFVQPQPFKSQLPVEAPEWAASATKTCEQGLGGILFEDFLEMDDLLGPEPNDQNSETLVFNGMDGLAEANLYNDAAMLLGDVDDLGPGGLRPVPPPYLPNNLDIGISNAVSRSYLNVVENEAANDHVHQPSHSEAKQMSFQHWAPEAVSEPVPGTVITVYSSC >KZN03370 pep chromosome:ASM162521v1:3:44079754:44084793:-1 gene:DCAR_012126 transcript:KZN03370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSLRQLLQTICYSSPWKYVLFWKFQQHNQMLLTLEEGYCQTPQERNSAEGISDNIRYNESHRFSSPKDKYKCNNEDMGTDPVELALAYMSSAQHTLGDGIVGEVAHNGIYYWTSADCMSSGALSFKHETVLLVPVLPHGVLQLGSLEKVAEDQAVVANIKNMFFAFQELLGNFNLVSENMQLPFNSAPSIMCPMAKNVMSDRTMNGIKCEKPNEIFSISQPYHMFSMDQITQSNRAENSGGIYLDDVLKVSTSSIENDAKVLSIHSAEEHEGLVPLCQRFNSNMIGNENSFFNFPHTGEQFQSVNDNMAALGSFTTNIVSCSDWVVGTKSPVYKDFDNLDTFFSFPEDCELHKALGSTTMGSHSKFSRNSPPLAEEEYNFSNLISSGNFNGYTEPAVLETRGPIMKDDNEHLLEFLCAGADFSLEKDVSDSFSNIKSSTTTLQSAFPKQQCQSERRPLVVDDTEQQSCVTSSVMDRVRKASGISSPSASSFQSMTSALIGEENQKKGRPHTHPRKGSKLSNDSKRRSKAVDNQKARPRDRQLIQDRLKELRDLVPDGVKCSIDGLLDKTIQHMLFLRSVTDQADKLRQVVHREADQEKNWKSANRNDSQKGTSWAYDLGSEQQLCPIVVEDLGCPGTMLIEMVCGDHGNFLDIAEVIRRLELTILKGGMENHSGSTWARFIVEVPRGFHRLDIFWPLMQLLQ >KZN01000 pep chromosome:ASM162521v1:3:10638408:10647710:-1 gene:DCAR_009754 transcript:KZN01000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPNNASGSGAADASLKAPKDLKKKDDKKDEDLSEEDLALKQQLELYVERVLESDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAHYETMETSDVKNFYQLNGELKDSKPLSFHQMNLAGEIAQEYTKRQSEEATIDDLMDLVKQIVAFHMKHNAEPEAVDLLMEVEDLDLLMDHVDKTNYKRTCLYLTSSAKYLPGPDDVLVLDIGYMIYMKFEEYPRALQIALFLDNMQYVKQVFTSCSDMLRKKQFCYILARHGITFELDEEMCADDEEREALQEIVNNTKLTEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASASATVDSARQNLAATFVNAFVNAGFGQDKLMTVPSESASGGSSGNWLFKNKEHGKASAAASLGMILLWDVDSGLAQIDKYFHSNDSHVIAGALLGVGIVNCGIKNDCDPALALLADYIDKEESSIRIGAIMGLGLAYAGSQNEQIRSKLTPILGDSRAPLDVIAFTAISLGLVYVGSCNEDIAQAIIFALMDRSESELGEPLTRFLPLGLGLLYLGKQESVEATAEVSKTFNDKIRKYCDMTLLSCAYAGTGNVLKVQHFLGQCAQHLEKGETYQGPAVLGIAMVSMAEELGVEMAIRSLEHLLQYGEQNIRRSVPLALGLLCISNPKVNVMDTLSRLSHDADTEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKEASLLFCVRIAQGLVHMGKGLLTLSPYHSERFLLSPTALAGVVTLLHACLDMKAIILGKYHYVLYFVVLAMQPRMLLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGFVILKENPDYKEDN >KZN03156 pep chromosome:ASM162521v1:3:41812772:41813718:-1 gene:DCAR_011912 transcript:KZN03156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFTRIITTLFFGPWKSLDPPTFMCGICMDHKPLKKSFAIKGCTHSYCSDCVCEYVTSKIQDKITQIPCPVSGCNGSLDPEHCGPILAPKVFEKWGDELCEALILAPNRFYCPFKNCSALLIKGRDFKKIVESECPICHKLFCAKCMVPWHSGIKCSKFQKLQKERERNDILLEQLAKTKRWRKCSKCKLYVERTEGCLFMRCRCGYTFCYNCGAHLTEHYCKNCKH >KZN03313 pep chromosome:ASM162521v1:3:43493094:43499187:-1 gene:DCAR_012069 transcript:KZN03313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILIEKGKPQEAHSIFRGLTEEGHRPTLVTYTTLLAVLTVQKRFKSIPSLLSKVEESGLKPDSIFFNAMINAFSESGNVKEAMKIFRKMKDSGCKPTTSTFNTLIKGLGIIGKPEESLKLLELMSQEYSVKPNDRTYNIIIRAWCNKNNITEAWDVFYRMVSSGVKPDVVTFNTLARAYAQNGYTSRAEEMILQMYDNSVAPNQRTCGIIINGYCKEGNMEDALRFVYRMKDISVHPNLVIFNTLIKGFLDITDSDGVDETLSLMEEFGVKPDVVTFSTIMNAWGSAGLMDKCQEIFNDMGKAGIDPDIHAFSILAKGYVRAGEPDKAEEVIKLMEKSSVLPNVVIFTTVISGWCSAGKMDYAMRVYEMMCKMDVSPNLKTFETLIWGFGEAKQPQKAEDLLEIMEAKGVRPQKSTIQLVANAWRSIGFLSEAKRVQDDVDDDQTVIPDTEYDKTSEVMPKRSFQTENHTLSPSLLQAPGSVVASPKGSGSVKTVSQMVPNRAQYSSGGLSTKINTMQLPQAWHVFLQYPYLQVASEVDELMKLQCNGYKDSLGDVKVGPDLVDSGGGGGGGGGDGGGDGGDEEEKKGLLPEWVDFTSDDAKTVLAALVVSFAFRAFVAEPRYIPSLSMYPTFDVGDRLVAEKVSYYFRKPCPNDIVIFKAPPVLQEAGYTDEDVFIKRIVAKAGDVVEVHEGKVIVNGVARKEDFILEAPKYEMTPVHVPENSVFVMGDNRNNSYDSHVWGPLPSKNILGRSVFKYWPPARIGGTVLPESCVVDKQESNLASQQ >KZN02113 pep chromosome:ASM162521v1:3:29751456:29756390:1 gene:DCAR_010867 transcript:KZN02113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQHSPLSELHPSRYDWSIRVRAQSIWESRSKEKNEFRGFNVIFIDDSNVRIHAFIASNISHNYKDSLQEGALYRVYNFSVKRYNGDETYRAVRNSKHIYFNNDTKLIKDNGTGLRIHPQSFDFFALEDVQKMKKDNRFLIDVVGVIDVLPKKSLYQKDNAEKFNVKCTITDGRSYVNVTFFGQIGESLLDAMNTKSDEPVIMIIASAKIHEWKDEVSLTNFPATRVYLNSSHHSVRSIRKSLQDGTFYKTEMEIEDGAGLPKFTVKEILSLKEDYIDKKVQCKLTVKKVDQKVKWFAEYCIACDVDLELSGNKFKCPNCGKMKPYPDKRYQLITLCSDESGTILVMWSDDEVTRLTGKTVYDFLADDVQDGESAYFPLPLKAFEKISCTFTLILKKENVVEGSNVYNAESVELINDKADMVLETTLCEDKSEEKRIETSATHSPVKNTTLSVKATELTIESNIHDKSPPTGKSSNKTRSRKTTETIDYDLEHAIPLGKRKSIKNEKYKNVRMHAFVPVFLMDKMQKMLHLGRMYCISNFQVKPLTAEDKWRSINIDRQILFTNQTKAKEIEEKDYFIAKNSFDFYDLADMKELSKQTTLLADVVGVVTKRDALRKINNRHGKEQLQVKMMISDGKSTLNVVLWDKMAEDFTRDIHKNKYEEPFILIIASGKVGMFREELDICNFSPTAYYINYNHHSVVQLRKIANDILKKSNNDSSIEKKSLRLMTVEDIKKVREDYIQQEVVCQVEIKHVEVCDAWYYLVCTSCYKQIDEEGGRLICKNCNNRFVPHPEKRFGICVLGKDNTGEINLLLMDRAIRSLFHKDVFELEEEYNGKFPSVFKKMEGGNYTVKLEVASFNIQDTDEMYIANDIHEGFDFQEPMEAEEYLQQPTEFSTGETSITTGSNIHLDNISTIGEGTS >KZN00846 pep chromosome:ASM162521v1:3:9195952:9222339:1 gene:DCAR_009600 transcript:KZN00846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLAVEAKEAAMREVAKLLPTQEILASIASIKADYITRQQIRALGIDILLWIGLCQECQTLIENHDQIKLLSNARNNLNTTLKDIGGMMSISVEAAAASDSLKNDKELINTYERLTALDGKRRFALAAASSHKEEVGRLSEYFEDVDRTWESFDKILWGHISNFFTLAKESPQTLVRALSVVEMQEILDQQLAEEAVEAEGDGAMASLASTRGASKRFTSSMTSSRNLTKQKQKVGKCYKDRCYDEIRKSVEARFNILLTELGFEDLKAAIEEAKAIGEELGDVYDYVAPCFPPRYEIFQLLVNLYTERFIQWLRLLSDRPDDLTNIEILKITGWIVEYQESLIELGVDETLAQVCSESGSMDPLMDAYVERMQATMKKWYLNILEADKVQPPRATDEGRLYTPAAVDLFRILGEQVQIVLDDFQAAQRKRLEEPASEIGLEPLCAMINNNLRCYDLSMELSTSTIEALPENYAEQVNFEDSCKGFLEVTKEGVHQTVLVIFEDPGVQELLVKLYHKEWFEGQVTEYLIATFGDYFSDIKMFIEERSFRRFVESCLEETVIIYVDHLLTQKNYIKEETIERMGLDEELLSEFFREYITASKVENRLRTLTELRDLASSESPDSFTLVYTNILETQPDCPPDVVEKIVGLREGIPRKDAKEAGKNKKPFGSINYHLQRLNRGKK >KZM99975 pep chromosome:ASM162521v1:3:701857:705051:-1 gene:DCAR_008730 transcript:KZM99975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHLSLESSILLLIVAFLFFYAFPASAASVEATSLLEWKATLQTRNNSALSTWTLSSAKTSPCSAWYGVSCNSFGSVTRLNLTVSNVHGTLYRFPFSSLPNLTHMDFAVNKFYGNIPSQIGGLSKLIYLDLSINQFSGKIPQEIGKLTNLEVLHLVENQLNGSIPQEMGNLSSLVELALYTNNLEGPIPASLGRLTNLKNLYLYENQLSGSIPPEFSSLLNLVELYMDTNHLTGPIPSFFGDFTNLTYLHLFNNQISGSVPAELGNLKFLESLSLYSNNLTGSIPASLGDLHSLSLLHLYDNRLSGPIPKELGSLQSIIDLELSENRLIGSIPASFGNLSNLEYFYVRENQLSGPIPQELGNLNLIVFEMDTNQLSGSLPEKICHGGKLKNLTVNDNMFNGSIPRSLKDCSSLVRLRLDNNQLDGNISEAFGVYPDLDFINLNNNKLYGEISENWSKCKKLTTLLISGNSITGSIPPEISDITHLQKLDLSTNHLVGKIPSDFGKLSNLLDLRLSNNQLSGNLPPELGLIPTLEYLDLSRNRLNGSIPGNLGSSQQLHYLNLSINSLSQGIPTGIVKLSHLSTLDLSYNTLTGKIPSQINSMESLELLNISHNELSGFLPKAFENMPGLLEIDISFNKLQGPIPISKAFMNASIVSVQGNDGLCGNITGLQPCTKPSFVNRNTSKKGLRTILLIILPCLGASLLLWTALWLLVIYIRKKRSAEIKLNDQQIEELFTVSSFNGKELYNEIIISTKEFDDFYCIGKGGFGSVYKAILQSADTVAVKKLHPSSEVADHSGFINEVKTLTEIRHRNIVKLLGYCSQPPHSFLVYEYLENGSLEAMLRNEVQAKELNWQMRVNIIKGVAHALSYMHHDCSPPIVHRDISSKNILLNSEYEACISDFGTAKLLKTDSSNWSAVAGTYGYVAPEYAYTMKVTEKCDVYSFGVLTLEVIKGKHPGASASPFTPSRQTIELKDYVDDRIPPPSEDMVEVLLQMIKVANACLHSNPKARPTMYIVSQLFEAKTNIINKPFKSETQVNS >KZN02326 pep chromosome:ASM162521v1:3:32071193:32076002:-1 gene:DCAR_011080 transcript:KZN02326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVIQVAGNGSGDYVTIQEAIDSVPLGNTCRTVIRVAPGIYKQPIYVPKTKNHITLAALAPEITVISWDNTATKIDHHQASRIIGTGTFGCGTTIVEGEDFIAENITFQNSAPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSSGYITAQSRKSSQETTGYVFLRCVITGNGGAPYAYLGRPWGPFGRVVFAYTYMDACIRDHGWNNWGKPENERSACFYEYRCFGPGSCASKRVTWARELVDEEAEEFLRHTFIDPNPERPWLAQRMALKIPYAA >KZN00788 pep chromosome:ASM162521v1:3:8622207:8627566:-1 gene:DCAR_009542 transcript:KZN00788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRDEIVDYQGEEEDETVSFYPPAKKGDVVVVGYALTSKKIKSFLQPKFQDLARKKGIVFVAIDQSMPLSEQGPFDIVLHKLSGKEWRKVLEDYRQMHPEVTVLDPPDAIQHVYNRQSMLQDVADLDLSDTYGTVGVPRQLVIKKDPSSIPDAVSKAGLKLPLVAKPLVAKSHELSLAYDCYSLEMLEPPLVLQEFVNHGGVLFKVYVVGDAIKVVRRFSLPDVSKRELSKSAGVYRFPRVSCAAASADDADLEPCVAELPPRALLERLSRELRRRLGLHLFNMDIIREYGTLDRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSNKYKKHC >KZN02623 pep chromosome:ASM162521v1:3:35273585:35274283:-1 gene:DCAR_011377 transcript:KZN02623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIISDVLSLLIVPLIPSLILLIFSTSAAVHTVACIYTTKEEVTYKKVMKAVPKVWKRLLITFILNFVIFFAISVGAVLVTVLWGVTLTPTPTAIMVAVVLLIYLSGLVYLSFVCYLANVVSVLEDLYGFEAMLKSKYLIKGNTGLCAAIFVIQNLCFLGIHLGFKVTAMGEVSLWGMISGVLLWSMLMSLLILCGLVVQTIIYFICKSYHRENIYVELESLDDQLLQSTV >KZN00976 pep chromosome:ASM162521v1:3:10435279:10436202:1 gene:DCAR_009730 transcript:KZN00976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSASIKRYADSPAGPMVDMEAGAGAGNGELDQFFEDVEKVKEDMNAVGIIYKRLQDSNEESKTVHNAKTMKQLRSKMDADVANVLKRVKVIKGKLEALDRSNVESRKIAGCGPGSSVDRTRTSVVSGLGKKLKTMMDEFQSLRTRMNEEYKETVGRRYFTITGQKPDDDMIEDLISSGEGENFLQKAIQEQGRGQIMDTISEIQERHDAVKDIEKNLIELHQIFLDMAALVEAQGQQLNDIESHVAHASSFVKRGTENLVEAREYQKSSRKWTCYAILLVIVLIIVLLYPVWAPHLINAITKK >KZN00501 pep chromosome:ASM162521v1:3:5834681:5837573:-1 gene:DCAR_009255 transcript:KZN00501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPIETETKKTISHRSPHPPLNERILSSMTRRSVAAHPWHDLEIGPDAPVIFNAVIEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFVPRTLCEDNDPIDVLVIMQEPILPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYKDYNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVDDFLPATKAYEAVQHSMNLYADYIVESLRR >KZN02513 pep chromosome:ASM162521v1:3:34132881:34135903:-1 gene:DCAR_011267 transcript:KZN02513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEQQPEEIKDKAKLSSEKRKNKQKKRFLQEVEKAEKRGVCYLSHIPPKMDHVKLRQLLSQYGEIQRIYLTPENPDARLNRKKAGGFRGQKFSEGWVEFTDKRVAKDVAETLNGEQMGGRKRSPFYYDTWNIKYLSKFKWDDLTEEIAYKSATREQKLALNMSAAKRERDFYLSKVDQSHAQKHIAERLQKKQKVNPEQEEPSQVPYNQVIRQYPQTQPLADKSGKKKQLLSKDVLAGDHDNAELEILQPQPAGGGSSGGANQGPIYTFKVRKAFHIQVEVFLVLSGREEASSD >KZN03170 pep chromosome:ASM162521v1:3:42006650:42007243:1 gene:DCAR_011926 transcript:KZN03170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTPITLSLLLLLSLSTTLLLRTAAKSPHSDVPSSDLIHASCIHANYPQICLRTLSTYAPPATTQNDVAQAAVKISLTRSQKASEFLSNLKNKSTKREKDAVSDCVSQMSDSVDELTKTLSELKHLRRGSEFKWQMSNVETWVSAALTNEDTCLDGFKEIDGKVRSDVRRKITNVARVTSNALYLINLMDSKAHK >KZN02571 pep chromosome:ASM162521v1:3:34685054:34691577:-1 gene:DCAR_011325 transcript:KZN02571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDMWSIGCIFAELLNGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKARRYLSSMRKKQPVPFTQKFPNVDASALRLLERLIAFDPKDRPSAEEALADPYFQGLANVDREPSTRPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLKEYLRGGEQTNFMYPSGVDRFKRQFAHLEDNHGKGDGKTPLLRQHASLPRERVSAPKDENNTENNDQSGDSNTQNKSHQSDHSLLKSASISGSKCIGVQQRTDTAEEAIAEQSEDADGLSQKVAQVVL >KZN01003 pep chromosome:ASM162521v1:3:10673067:10674603:1 gene:DCAR_009757 transcript:KZN01003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVYDQVVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDPKNDHLKELEGAKERLVLYKADLLDYKSLCEAINGCDGVFHTASPVSEEEHMVEPAVTGSKNVIVAAAEAKVRRLVFTSSIGAVYMDPNRCPDQLIDETYWSDLDFCKNTKNWYCYGKVLAERLAWEESKLRGLDMVSISPVLVIGPLLQPTINASTGHILKYLTGAVKTYTNSVQAYVDVRDVAAAHVLLFETPAATGRHICSESSLHRGQVVETLAKYFPEYPVPTKCADEIKPRSRPLLFSNQKLKDMGLDFLPVRQCLYETVKSLQEKGHLPVSNKFFIGCSQAI >KZM99953 pep chromosome:ASM162521v1:3:405858:406701:-1 gene:DCAR_008708 transcript:KZM99953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFWLIACILCAWNLLGAVECGVRLKSPSSQPSPRHSPTPAPHSGNSGSDCGAMVYQMMDCAPYLANGGKQTKPEPMCCSGFKKIMKKNETCLCAALKSTAGLGISLNVTRAKNLPSACGVSSKLPKCQIPDDSHHQPSPAPANPTPKHHIQAPSKSKSPKPAPEAPAPGPSKASSSLSTSIAVLSTLISCVGFVLYESL >KZN02920 pep chromosome:ASM162521v1:3:39032834:39043202:-1 gene:DCAR_011676 transcript:KZN02920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIGGKKGMERQLRKLMKDSRELSNIVLVHYREVKGNRTHYNRTRGTEGVIPNSLEEEILPDTEVDSSLSSKFQQYGYNVPSQTTDTTSLNSTQTSEYADAESAYSYRSGSGLQSFHKLQQSDAEKMDDALSVPYYSALSNDYQGSIQATSHIQPIHPSRESALNIVTGWGNENSAQDCGDAFGKIEEFGNHLRGQGGWQSFEGESLHLPKWSMEQNLQQYPNYNQNAMLYEGNIDSFDLFNYTESQHGEQNGQFAAAEVGSFMKTEVENSATVDAKANHLALKQPLDGLKNLDSFGRWMSKELGDVNEAQIQSSSGAYWEAVGSEVAVVDSNISSQLEFETYTMSPSLSQDQLFSIIDFSPNCAYSGTEVKVVITGRFLKSHQDVVNCKWACMFGEAEVPAEVVADGVLHCHTPHHDSGRVPFYVTCANRLACSEIREFEFKVYNLRDVDAADLSSDSSSEALLHMRFTNLLSIRCAYHNSVPGIMDDNLNFSSNLNSLIKDENEWQQIFMLTSTDEFSSETLANQFPEKLLKEKLHAWLREKVAEGGKGPSVVDEGGQGVLHFAAALGYDWAITPTVAAGVNINFRDVNGWTALHWAAYFGREHTVPFLISQGAAPGALTDPSPKYPRGRDPAELASANGHKGIAGYIAECALSSHLSSLNLKDANNCDAGGVPSLNDVQAVAERTPTPISDGDFQQGLSLKDSLAAVCHATQAAARIHQVYRIQSFQKKQLKEYDDSQFGMSDEHALSLLAVKSSRAGQHDEPVHAAATRIQNKFRSYKGRKDFLIFRQRVVKIQAHVRGHQVRKNYKKIIWSVGILDKVILRWKRKGRGLSSFKTETRLEGPSTQGTSSKEDDYDFLKEGRKQTEERLQKALARVKSMVQYPEARDQYRRLLNVVSEMKETKATDDMAMNNSDEAAVTADYFDDDLLDLEALLGDDTFMFAEA >KZN03732 pep chromosome:ASM162521v1:3:47514527:47515120:-1 gene:DCAR_012488 transcript:KZN03732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTLGRNLQMCFPKIIYPPPPQKSIKKLNPQEKTNPNPSTLSSILIKNFNSLYQSEDSDTTPPDFAAVYASQRFFFSSPGHSNSIIDSATSSLSLSPSSSSSSTNTCSSTRADNVVVDGCVATPTLSPDPYVDFRRSMQEMVEARELGDVRANWEDLHELLTCYLSLNPRSMHKFIVGAFADLLVSLLNPAGPGRD >KZN00650 pep chromosome:ASM162521v1:3:7243732:7252039:1 gene:DCAR_009404 transcript:KZN00650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGSKRVFQRLGPSSSNSNTNSNNSSFNKNKSQQVCYHWRAGKCNRYPCPFLHRELPMPPQQQQSRMGNGGAGSLSSKRPYGFADDQANMPRRNSNFNNTWGRSQGGGVARKMEKICNYWMQGNCSYGDRCKFLHCWCTNAFTLLTQLQGHQKVVSGIALPSGSDKLYTGSKDETVRVWDCQSGQCGAVINLAGEVGCMLNEGPWIFVGLPNIVKAWNAQTATDLNLDGPVGQVYSLVVGNDLLLAGTQDGTILAWRYNAVANSFEPAAQLKGHNLAVITLVVGANKLYSGSMDKSIRVWAATDSGNLEVTYTHTEEHGVLTLCGMHDAEAKPVLLCSCNDNTIRVYDLPSFSERGKLYSKEEVRCMQLGPGGLFFTGDGTGEVRVWKWLAEPTATP >KZN01039 pep chromosome:ASM162521v1:3:11088684:11089724:1 gene:DCAR_009793 transcript:KZN01039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGGRFSKTLYGSATIRGTVIVAFVVVVFLVLTLLFREDDGKGSSLTTVEKWNKFDNVVRFQPTMETINGTVLIWQIPDEPKAVLFLAHGCNGKAENFWDRTSSCLGCVGLPEERLIVLHALFRKFAVLTVSSFGRCWSFGSEKLVVAGTVKWWIEEQKLEKLPLVALGASSGGYFVSALATDLRFSSITLMIAEGLFSRMDIPKDYPPTLFVHMPKDEDRKLRVDENLKVLKKMGVDAAEIKCMEFPLSPAFLADRIPNLDRKISVELFKLFQEKGFIDKNGFMKNDGRATRWKAAANERKILSRNKDIFNHIQEELNLAFAYHEMTSLQSEQIFSWFESHLK >KZN03752 pep chromosome:ASM162521v1:3:47684442:47687893:1 gene:DCAR_012508 transcript:KZN03752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFTGFRQIFDRHQLLTGKRLYTTKRLPPPPPPPTRDGISPETEKPEKSLKETERPSPRTMASPSPDRYKSPELRSPAPVQTPPRSSLPHPIFELNEATKYSWKFAKETPRLSLDSRATFDSTKGSLRTNTNKSTEDNSRRSTSVIARLMGLESTPPEAVTKPAELTRSASESRVSRELNNNFQPNHVIRSNVIREKVPDPIRKPQHTRTESRAFKSPQQRRSFFDSADIFPEPKQTTVSIYGEIERRMKLKGLDEQSQDLETLKQILEAMQLKGLLHSKKAQTPVKNKNVVYERTHSFPCDDNEHQSFSSVVSVNRRGGSNSPTSSHRSKSEYRRSDSIPAVSPRRERVSVDRSIKSPTRGRNASSPTRSELSARNSNVRRNQLISERRAVESPEHRRISPINSPRIIPRRNSPDVANRSPRNKKSTPQICTKEKISTTFVLEDESSYSSTISETDTERCKSEDYKEGKSLLERCDKLLHSIAEMNSTESQPSPISVLDSSFYKDESLSPSPVMKRTISFTDSSVELTDEELGSPGISSVNSKFEDEIDDNDYIYISRILRASSYLTEDDDIFLFVEKQHYFKGKDYSKEARLHRKLIFDVAKEIIDQNKQLPPWKTFSPKNSNISKPLPRQIWSELQRIQEPTDQSPDLFGIVCGVLKKDLAADGWGDLSVKMSDAVLDIERLIFKDLIGESIRDLAEFAGNSRFLAPRRKLVF >KZN02034 pep chromosome:ASM162521v1:3:28631998:28635340:1 gene:DCAR_010788 transcript:KZN02034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATNYDSVQALNTGSYDYKIRVRVIRLWRGATRTGEEFKNFNVILLDSKSNRIHAFIPTSRAEELAEVLKLDKNYKIRNFTVQFYKASDKFRCLRNDRQIVFTKDTSVQEIAEDEVNIPLDYFDFYDHSQLEELSKQTTYLADVIGIVKKHDKFRDLENKHGQKQKQSKLVITDGSSNVNITFWDAFGTKFENAMKEAVETPVILIISCCRVGKFNGAVDIGNVPATRVYINYKHHVVGQLRKMLQNPEFVEKVFAEKQKKEIALVKVAEIKKFGKEYIENKVLTHVTIKSVDETTSWCYSACTGCRKEIKKDNSVYVSQPCNRLVPYPEISYRISVLAEDNTDEVHIILGDREVRTLIRKRARNLLEEWEEKNNHPADQQNATSTQVFYKEKIHTNMAALPYQMISNLRPQTTLAWKLKIRVTRLWQAIDRHGDTVGLHCIFVDELEGRIHAWIPAQNMNQIQNLLTEGETYNVHNFIVRQYGAMQTDRCFDNDVFIQLYHLTEISVAQGVDYIQRHVFNFTELSAVMETTRENKFLIDVVGILQQRQPITSYRNKYNQLKHSI >KZN03252 pep chromosome:ASM162521v1:3:42900927:42904603:-1 gene:DCAR_012008 transcript:KZN03252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLSRKWTNKSSDDYTEEDDNIDDDDKYTLPTRDDFQPLDTNEQEELVRSLEKTLAHQSFLWKCVFASFIGCYMAFLIFSIYQQALFPWELRYHAYFMKEIDSWIVISADWAAVLVCSIAVAGLLLNSRDQRHWLWYSCFAGILIAVFWLYQMLKLSKFRWEVIWLPFGPLSAAGVSLYVDHLLNESSEEVRKLRGYMYSYKAS >KZN02160 pep chromosome:ASM162521v1:3:30353917:30355514:1 gene:DCAR_010914 transcript:KZN02160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTGFLVLTLLISFATTLECANKPLTTQTTQGRTVTVLSIDGGGIRGIIPGTILAFLESKLQELDGPNARIAQYFDLISGTSTGGLVTAILTAPDEDNQPLFAAKDINKFYFEHGPKIFALDNASAMGPKYDGKYLRLIIRELLGNITMNQTLTDVIIPTFDIKLLQPIMFSTSDAKARSSKNALLADVCVATTAAPTGFPAHYFETKYEDGKTRNFNLIDGGVAATNPTQVAITHIFNKILKGKFTKLLVVSLGAGKAKFQEKYNASVVSQWSPINWIFDKGATPLIDVYSAASTDMVDIEVSSLFEALGAEKNYLRIQDDNLIGNTSSTDLATTANMEALANIGNKLLEKSVARVNIETGAFEPVAGQGTNSDALIRFSKLLSDEKKIRLAN >KZN02949 pep chromosome:ASM162521v1:3:39450327:39451589:1 gene:DCAR_011705 transcript:KZN02949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPLELNTNILTRLPVKTLGQLKCVSKPFKTLITTHHFSKTHLTKTPFKPQLLLSTSTLHTLNIFHQNHQSPPQPLPLQIPSYPFNLCGEAIQLVGSCNGLVCLYCPPNTYCLLNPTTREFRVLPDPGRFPGDARIRLSGFGYVEKCDDYMVVSIGTDNTGVGNSVIRVYPGRGDSWKTVVARVPFRVFPWVKPVYVNGVVHWLGFGGKFPPSNKIMGFDFAKEEFRVLPLSGDMNERGVKALGVLGGCVCVLLRDRALVLEMWMMMEYGDRESWIRTLSIGGSYSTDPITYIFPICYVEEKVLLLVDNETLVLYDPVVRVTECLAVKPRGRENTMDVIVYEESIVSPYGYICQDHLDRRNVAVKQKERSDEIVMIYL >KZN03704 pep chromosome:ASM162521v1:3:47100644:47101405:1 gene:DCAR_012460 transcript:KZN03704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASPSVPEKTVYEFTVKDIKNQDVDLSIYKGKVLLIVNVASKCGFTNSNYKELTELYNRYRKEFEILAFPCNQFLYQEPGSGEDAHQFACQRFKAEYPVFQKVRYMM >KZN00573 pep chromosome:ASM162521v1:3:6521619:6537031:-1 gene:DCAR_009327 transcript:KZN00573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDAISWHKKTTSSDHDQLDDQRLQLITANMNRKIKKMKIESRNDADSKPYKLVRFHELPDYMKDNEYILNYYRANWPLKQALFSIFRWHNETLNIWTHLIGFVLFLGLTFTKLMNLSSVFDLIHIFSRSPSSRSDDTYKLWPFLVFLSGSMFCLLSSSICHLFCCHSRPLNTLLLRIDYIGIVVMIITSFFPPIYYIFQCSPHYQLIYLSTITIIGIFTVVTVLSPAMSTNKYRPFRALLFGAMGGFGLVPAVHAVVVFWNEPFRNETLAYEMAMGFSYVIGTVVYVTRVPERWRPGWFDLAGQSHQIFHILVIIAALAHYAAALVFLDYRSSRRVDYTHSIHAGATGTMQNDGGSKAPQSLIPSGDQTVWTDVSSLLHSACTDLWDGELIHGENFNLFSAMSALEIMDPKMDSGIVCKYYSVDEAIENGAAPIPVSSDKTDDVQCVIDIMDHLLACEEEDIFTMAYGLPLKGDGDEKCVSMLHAVDETISRQLRACKAPLSKKRVLEGLDIYADIEPLQINPDLEEGYCTAVVCRLRFRKGRGFELARKHIASCLSELECILKSAVFIRSNCACGSCEGEIDNKTTASGRQPIGFDPTLNSRLCAPTPPRAIKILSWKKAIEYFQKLLHDLDIICSFPLDPLFEGVLNFVTEFQKLQPDLVARAHLQLLLVQDGKLYGREPVFAVICKSAALPEVAKNHDIQKNEFISQLGQLVLTSLKILCTNAAWQRRKLGKIIQDWRIMYLQLELAFRKDFGELPSTSNDENVSVKISKHILVWVEEQTYWIALRFLLLGFELELYSPNDYCMVYWYIYVVSIKLAEKTHLKLTSNNDNAKRKGKKKKDHLKDAAKVYQFPPVVLLLQCQIYLAEGLTMSQLLQMLAALRNHEKAIKVLGPFNTEQERFFQHFELLQKACIPDQMSYLSFSESTNHARFSTLHMYNYFKDAQKIAKELKSSFSGNPDRLAELRRIEQVAEHNAIALNLVCRLGTLDPSLKVYFEYSHHSHFATAVVKRS >KZN02464 pep chromosome:ASM162521v1:3:33679449:33681245:-1 gene:DCAR_011218 transcript:KZN02464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSGTFDPSIICNVQSLAESLTVISLSDNHLEGENLDHISKCKFIKKLSLRGNRFSGSLPGSFSRLRHLKVFEISDNLFYGSLPDLARITGLVEFLVQGNHLSGPLPPFNFTKLVKFNVSMNDFSGPIPDGGSQFPATSYIYNSKLCGNPLPNTCPSARVVSKLEELVSEEPKEKKKSKKGLSTEEILMFVGYFLVGLIVIILVVYKFCRKGKEKEYDTMIELGQKKVADIDDSNIKLKIVSDECESVLIKSNILVASAESAGKGSPGAVVSTSPDVNGLKFEELLKAPAELLGRGKHGSVYKVMCESLGKHLTVKRIKDSAMSADAFKQRMKRLDRIRHPNVLSPVAFYTSKEEKLVVYEYQSNGSLFTLIHGNFHSNFARKYWDQMKQAFDWSSRIGAAANIAKALAFMQEELKYDPIGHGNLKSSNILMNQSMEPCIIEYGLINQELAIIPNVNNVLATQEIDDQAAFKSDIYAFGVILLEMLTGKEAVVQNNGMNLAKWVLSVVREEWTVEVFDRILIKECASEERMVNLLQIAIKCVNDSPKSRPSIHQVALMINTLKEEDERSMDISDSFNL >KZN02530 pep chromosome:ASM162521v1:3:34242237:34245323:-1 gene:DCAR_011284 transcript:KZN02530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVACVMHPFSYTSGTSDEPHQKCVMQMNPLHALGESVSFGRFMTDSSLSWEKWSAFTQNRHVEEAERYAQPGSVAEKKAFFEAHYKRIAAAKKAAALLEQENAAKNADETELGSGGCIDAPSDAEKSGLELQEQILIKIKSGKENLMVSAEEDESNSNANYAIPDELGLGMEMDTEAKVLGEIAMNIGSEDPPENFEDKNKVSRLESNLLKDAVQMDRKKRPVLSTLKSSVFRKVTSTPAKSMPPLAPRKENSYTPATIRSTMESTDKKRTTPKSLRRFINFTPTRRPEKLPTPAARKTESSAPDTNFYKANKDCATPSKTPASVSGMLKHPLVTPYTESKRDKELLDPLAPGSKTSGPKWHLLSSVCSKSLSACRKKLQSSTLSTPFTLRTEERAARRKQKLEDRFNEKEAQNVQRQAKLKEKAETEVGKFGPSLCFRARPLPEFYKERETSKKQTKKAPEMHPQTSKQAGKKASSNSIQGTNPFAKSSNNSLRNFLKKNTQE >KZN00921 pep chromosome:ASM162521v1:3:9895379:9898163:-1 gene:DCAR_009675 transcript:KZN00921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLIRAQCRGKPLFNGEATLIGFEPKSKIRFVSTTTTKLLSSASSSVSSSPDDYKFREDVSNVDDSGFCNVGYDLFFDDPEFGRDELSCFRGLVLDISYRPVNVVCWKRAICLEFMDKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRIRSTLSRKNVLARDNFTCQYCSSSENLTIDHVVPTARGGEWTWENLVTACSRCNNRKGQKILEEANMKLKKIPKAPKDYDIIAIPLTNSAIQMLKMGKQTPEEWHEYLA >KZN03554 pep chromosome:ASM162521v1:3:45707983:45708993:-1 gene:DCAR_012310 transcript:KZN03554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSVEQMSLADHPVKGFMVVGVPQLLFLGGLPFLEKQASHIAHLIAGRSGKHLF >KZN01947 pep chromosome:ASM162521v1:3:27336890:27337081:-1 gene:DCAR_010701 transcript:KZN01947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILDTKQQLGRQDRRKHKLGKPKQVKHKQNPKMAKQITANNAGWHKPSKIRPQTRFNKKHHK >KZN01465 pep chromosome:ASM162521v1:3:16579923:16582092:1 gene:DCAR_010219 transcript:KZN01465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSSSVDEDSEVTMYQNVKRNSTYQVVALRVLDKETPEGILSRTLLNSSPLKAAEKLKITATELTKLRISDGIIPRGAGSVRNATISIILLGQSAIDRIVGLISRPTPRSPLQNL >KZN00510 pep chromosome:ASM162521v1:3:5937867:5944002:-1 gene:DCAR_009264 transcript:KZN00510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSNPASSTSAFGSPLSFGQTTNSNVPSAIPFGSMQGTPSSPVIWGSSSASMGSSANGFGSPVGFGQSINPNVSSTGSSGSQYTFGRSSNIFGTAPSNGGILAGVSGGSSSGQSSNLFGSAPYNGGTSTGVFGGSVFDQSGNLFGSNNGGTSTGLFGQTASSSSSFGQSSKFFGSVPNNGGTSTAVFGLTASSSPFGQKNNIFGSAPNNGGSSTSVFGQTASSSSFGQRSNIFGSVPDNGGTSTGVLGQTAPSSSIGQRSNIFGSVINNGGTSTGLFATTPSSSPFGFHLTFGSIPASVSSASRATSSSPILWSSSTGSTTSSQVPASIASSSSRFGSGCMTSSGQTTVPWDTSLSSGTYTFSFGTQSSLSGEPLSNSSQSEDQVRAPIIGPLKTDNSSFWEKRGSRVTSYSKTTIKDDKGAQKLVSICAMPAYQNKSYDELRWEDHHLNAEGGGAFPAVIQSNDLRNPIARKDSTFLSPIPPAPFNPFSKTSNSFFNPPTPPVPFSPFDTRFKSPSIAHNSFLNPAPSSVPSNSFETTLKPPPIVQTSFLSPATPSVPSNPLDTAVKPPSTVLNSFLNPAPPSVPSSPFDSHTTLKPPPIIQNSFLNPAPPSVPSNPFDTPLNPPSIVPNSFLNPAPPSVSSSSFDTTLTPPTIGQYSFLNHVPPSVPSNPWFTTVTTTSYVAPFSPLISPNPSYNGSCSAPTISVTQPVSISEPNKSSFISSLPTPTSPQYPSASSSSAASMLTEGTRTAPPLRYGISCMPVCDKPAPARSPSLLSIRHLTYHRKRSLPIQKYKPRSDGPKVAFFDDAQETPTSARKEAPLLPRSNPKVFISGLYEDMKLRVNFNNTSFGNNSSSDTYKNGEVESSTPAPDQDGSVNQDKDGISSETDAAENIHNINSVHGNHPIRKKISSNLNGLMPKLKNSDYYFEPRVEELATKESYEPGFISHVKGFVVGRQGYGSIKFLEETDVRELDLESYIEFRNREVIVYMDEGKKPPVGQGLNKAAEITLCNIKVVDRKTGKQYVNGPNVDKFKEKLMQKTAQQGAEFVSYNPVQGEWKFRVPHF >KZN02255 pep chromosome:ASM162521v1:3:31380955:31382610:-1 gene:DCAR_011009 transcript:KZN02255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEQINTCDLNSDFCIQIANHALLEEADKASNFVISPVSFQIILSLIATGATGRTLDQLLSFLGSKSIDDLNFLSSKVVEITTRQVGDDNNLAASPLVTMVSGAWIDKSFGLKPSFKGTLTDVYKAEARAVDFATRATEVTEEVNKWAQDATKGLIKELLRSGCLGNDTALVFANALYFKGSWDRKFDSERSMNKDFKLLNGQIVQVPSMTTKKRERNFYREINGYKILKIPYQNGEDTRNLPFKIFYFREEDLGDRIWIPKFKFSFDFEASRIIKELGLVLPFMDAAEFTELVQSLQGEKVCVSSIFHKAYIEVNEEGTEAAASTAVRFRRCCGRVKPPGFVADHPFMFMIREETSRIVFFTGAVLNPLLAT >KZN00107 pep chromosome:ASM162521v1:3:1996994:1998313:-1 gene:DCAR_008861 transcript:KZN00107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMHQMGNGGGGQVMDLETAVKDGILGGGLVVNGGGAGEKLDLGKMIEELDSIETPPVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSVTPNSTLYQLSYSWFSQKYVAMKKRSEDVQGRVLEILEKLKKVKGQARVHSLRELKQVVITHDSAKKTVVENGGGSIDTKMNCTKLIEMLIEGKDSKAEVVSSLSLLVGLLRLVKDKRYPNGVLSGLRLLKKICSYEGLRSSVVSIGVVPQLVEMLPTLNAESLELALHILEVLSSFPKGRLALKECPNTIPIVVKLLMKISKNCTHLALSILWAIFELAPEECAALAVDAGLAAKLLLVIQSGCNPLLKQRSAELLKLCSLNCTDTIFNSKCKLTIAIR >KZN01794 pep chromosome:ASM162521v1:3:25227886:25229078:1 gene:DCAR_010548 transcript:KZN01794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHTKGIAIVIMGVSGAGKSTIGKMLAEAINCSFLDADDYHSQSNKEKMKKGIPLSEEDRIPWLETLRDCLRTSLVGGKSVVLGCSALQKHYRDILRSADPNYRPSCYACAVKFILLNVQADVLAARLEKRAAEGKHFMPLKLLQSQLDLLQIDSSEGIYEVDATQSPETIVKYALALIF >KZM99988 pep chromosome:ASM162521v1:3:893575:893820:-1 gene:DCAR_008743 transcript:KZM99988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFTITITILSLILMSTTTSVESNCPPCYADEPCDACCTYGDNGLCVAHKCWCVNVALEGAPLSELRHRVWDSPNIMPAP >KZN02444 pep chromosome:ASM162521v1:3:33535309:33538375:-1 gene:DCAR_011198 transcript:KZN02444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSTFSTLPFVPRTTPSISPSNSNTLSFKYPQVVNFNNFRGCKVSRLPRLSKIRASVVEEQETLIPDEQAAEASQPSVSVSVSPSDVLTMFFQAEGTMPETAISSVSQALEETEGISNLKVQVLEGIATVELTKQTTVQATGVASSLVEIIQGSGFKLQTLNLSFEDEEDIE >KZN02357 pep chromosome:ASM162521v1:3:32410227:32416168:1 gene:DCAR_011111 transcript:KZN02357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKTDKPTGVGKPDLTPQTTTTLSSILSLRTFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTEKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAALIYWVLRFLSFAVHIREVCVLTAPFFASNTTLVAYFFGKELWDSGAGLVAAALIAVCPGYISRSVAGSYDNEAVAIFALLTTFYLFVKAVKLGSLAWGLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNSMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHQLNDIKLFQAFLRITVTCAVGVGAVALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTQLLRVKNRVASSGPGKGSTGTKASSKGLGDQSLPFQKNAATALLVGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGQRVIFDDYREAYFWLRQNTPQDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELTTEYGKPPGYDRARGVEIGNKDIKLEHLEEAFTTSNWIVRIYKVKPPNNRW >KZN02928 pep chromosome:ASM162521v1:3:39274260:39274517:-1 gene:DCAR_011684 transcript:KZN02928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIQEFQSFLFQNHPTDSFQSIQLLDINTRLAPVITRTNQRPGTNTGDSMFGFLNGAIICSRIYVPNKDKIHKRLRRDLVDINIE >KZN02575 pep chromosome:ASM162521v1:3:34724225:34728092:1 gene:DCAR_011329 transcript:KZN02575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASPSLINPIFSTKLKSQTRPSLPISLKSRPFTVCNSIAVAAPAAATAAKGSTVKSVKARQIIDSRGNPTVEVDLVTDELYRSAVPSGASTGIYEALELRDGDQSVYGGKGVLNAVKNINDILGPKLVGVDVRNQADFDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGIPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGASSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLLLLVDAIEKAGYTGKIKIGMDVAASEFLTKDAKYDLNFKKQPNDGAHVLAAQSLCDLYIDFVKEFPIVSIEDPFDQDDWSSWSSLQSSVDIQIVGDDLLVTNPKKIAEAIQKKACNGLLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >KZN01679 pep chromosome:ASM162521v1:3:22968623:22971146:1 gene:DCAR_010433 transcript:KZN01679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNVELKKSLSQHANCDQIPCQSGTNKDDELVKHMSNLPAYLQQMERGETVQEKPLNFGVLDWNRLEKWKYNEKPVRARATKKVSSNNSPSVISGTAKKQTNAGQRRQVSPQNWRPNSAFEEGQGVGARRSVTKPRGHETSSRSSLDMIQKLQPCEKFPGTKYSEVSLEARKLIESVCNAVSERVAQSSSSGEDQLAGFLIDEDTEINSVKEEFDLATQASGNANIVLILPKSVSSRTFSEDMKLSKSRNFYDGNLAVAVGRRFSSSSSPNKVHSGETYSEVPHSCPLLTSDATKVDSDAEQKDFVKPQGIEQYDESHTHQRLTKITIPKSDIEPLITVTPKRSVQSLAAGPAAKGRQSSPRQRFSFSSIGRMTKSFSFKEGLAVPQLNSTYTTVKSGPVGSLAFADPDKFNQNNGSTGNRSRSSPLRRLLDPLIKPKGVHSAEFVKPAKIDLDPLKANSMDTTELLHCQTGKTSCVQALLELTLKNGLPLFKLVVNNSSDIFAAAVKKLPTPEEDVPSLIYALYSVHEIKKKSGNWMSQGSKGISSHLSYNVIGQINVARSRLPGIGCQNTNDKCTVRESILYGVDIEQENTETPKFIPGKELAAVVIDNTNDTSDGEQSEKSSHCQSNNFDGEVRGYSNSTTVILPGGVHGLPNRGAPSLLMNRWRSGGSCDCGGWDVGCKLHILTSQNQTSKNLSTLPSSVVEGEGLNLFVQDGHKDNKLIFSLAPFTGGVYSVDFDSSISLLQAFFIAVAFLSSQKLSNLFAVEMEKENLAEPTNVMIKIPTPIQQEAPTRYVINPPPSPAGRV >KZN02826 pep chromosome:ASM162521v1:3:37785059:37789448:1 gene:DCAR_011582 transcript:KZN02826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSCCCSSLQTPPLLLQPLNPRASTTFHTCFLPTNLPLPFPIRSPIYSLSPSLDQFQYNHQDEYPDEPEHVIGDCLVFEEGIFEDPALQNPSTFIDKPPTKISTKPQIQPQNLIPDDWKQAQEAYNITKQERRKIAQQLQFGRKLEKRKELLKPIKTSEELENEYVVYKNAKLAQLRPVVLDNPTFPDDRNVGDTSDHEVDDEKFSTEREDRLVVTAGGRAKPRNPRMAVYRGSLDDVSEFLNSRDYIPDSPKSLEGPRKLFTREEKVLLNRRVPDLASATSGKWQPLHTLAASGEFYLLTELLKHSIDINIPDKTGFTAIHRAILGKKQAIFNILLRESANPFVRDEEGATLMHYAVRTASSQMIKILLLYNVDINLQDNYGWTPLHLAVQSRRTDIVRLLLIKGADKTLKNREGLTPLELCLYSGQEARTYELIKLIKLLPRRRSYLIKSLTHQCDGLSTLFKTDSQTLNTSIS >KZN03827 pep chromosome:ASM162521v1:3:48699594:48700433:-1 gene:DCAR_012583 transcript:KZN03827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSYRLPNVSQQQQLEGNGKEEQQQPEGQPEGKGKEAASTEKNPYEDDYDVNAFLYPLLD >KZN03086 pep chromosome:ASM162521v1:3:41111050:41111208:-1 gene:DCAR_011842 transcript:KZN03086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLSQNHAAWCSLLRRPYLCILAAWFLRQSLYTGSGICASFTCKPYYYGKR >KZN00276 pep chromosome:ASM162521v1:3:3611295:3613496:-1 gene:DCAR_009030 transcript:KZN00276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSLPSDHSYESEITRRKKPITDKDSVDEKSVNLGKKVDRMRKMILGIGFWVQGMRCFPWLGVSFFLKDGLKLDPSTLQILQVSANLPMVAKPFYGILSDSFYIFGQHRLPYIAIGAFLQALSWLAVAFVPTSSSSFFLITVYLLLGNLGASIVEVANDAIVAETGKQSSSSLKKPQASSGELQSFVWMASSIGGMLGNLLAGVAIDSISPQTMFLLFGVLLSIQFVISVSIHESSIDLPKTSSNTGIKRQLSELLVALKNPEISYSIAWFAASYAIIPALTGTMFFYQTQYLKIENSVLGISKVIGQVTMLLWGVIYNKRLKSVPPRKIIAAIQVTMAVSMVSDVLFVKGIYHNMGIPDSVYVAIFSGFIEVLCFFKILPFMILTAQLCPKGCEGSIMAFLMSAIALAFIVSGYLGVVLSSYVGVTADDFSGLPQGLLIQAAFTLLPLYWSSCIPDDANIKSKRKEN >KZN01345 pep chromosome:ASM162521v1:3:14704225:14709438:-1 gene:DCAR_010099 transcript:KZN01345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYHQDQHEICRNDKIASVPENQHEGEHAKGSENTDGGAVWDIFRREDIPKLEAYLREHCKELGYIGCSPVDKVIHPIHDENFYLNLEHKSRLKHEYGIEPWNFVQKLGDAVLIPAGCPYQVRDVKSCIKVSVGFVSPESISECIRLVDEIRFLPQNHRAKEDMLQVKKLIIHAIERAVNDLEKLNTEPTLYVEDSLPSSKLSNSSSEEVSHGRQSLGSDANSMRYTSDEALEDCGQGPSAMEEEAPNEMGGKEDHPSDNTITSKIAADNQLEIGRLQSRNEVIDSEITKFTAEADALRIASAAHSHKIANLDGVGAVDESTLQRSMDDLHKLENEWRKRVDDLNF >KZN02117 pep chromosome:ASM162521v1:3:29831366:29831830:1 gene:DCAR_010871 transcript:KZN02117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGVGMRKRKNPYKGIRMRKWGKWVAEIRQPNKRSRIWLGSYSSAKAAARAYDTAVYYLRGPSARLNFPELVASEDADQLLLAGAQLSADAIRAKAIEVGSRVDALETTSANNLNNNSTHDEDDDDDELDLNKMPKPEPADDSDQHHLQHQW >KZN02805 pep chromosome:ASM162521v1:3:37523117:37530010:1 gene:DCAR_011561 transcript:KZN02805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHHHGGGGGYGSSRVPLLHGGGGGKRNYEAQNGQATDLEHGDAVPAANVSFLRILSLAKPEAKNLVIGTVALLIASTTGLLIPKFGGKIIDIVSGDIQTPEQQTEAWNEVKSTIFEIFLVVIVGIWILGSRNAWVTRNPAYPRGRFLYTRIPLCDTGDTALRAWLFSSSSERVVARLRKNLFGHLVSQEIAFYDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLSTAAIGLAFMFSTSWKLTLLALLVVPVISVGVRQFGRYLRELSHKTQAAAAVAASIAEETFGAIRTVRSFAQESYETSRYSEKVNETLKLGLQQAKVVGCFSGGMNAASTLSVIVVVIYGAKLTISGSMTSGSLTSFILYSLTVGSAVSGLSGLYTVVMKAAGASRRVFQLLDRVSSMPKSGNKCPLGDEDAEVDLDDVWFAYPSRPNDMVLKGITLKLQPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVPLVDISHEHLHRKISIVSQEPVLFNCSIHDNIAYGFDGKASSVDVENAAKMANAHEFVSKFPEGYQTFVGERGVRLSGGQKQRVAIARALLMNPRILLLDEATSALDAESEYLVQDAMDSLMNGRTVLVIAHRLSTVKTANTVAVISDGQIVERGTHDELLDKNGIYTSLVKRQLQGPKTEV >KZN01197 pep chromosome:ASM162521v1:3:12974114:12975187:1 gene:DCAR_009951 transcript:KZN01197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLFSLGGKDQDQHQETNFYFFKNEEIYNKGFELWQQYYHLHQQQKSQLQDVDLSLVAASASNYSSTKRTITTTDGDQALLYRSSSYGRNMMSGSSSSSSINCLDCGNQAKKDCVHMRCRTCCKSRGFPCQTHVKSTWVPAAKRREKQQQLHLSSEQQQQQQQQQQQQQQQQQQQQQQQQQQQEHDQNQLSLMRASDSVKRPREDPLNCTLTSHNSGLEVGQFPEEVSSTAVFRCVNVRAMDDAEKQYAYQTAVQIGGHVFKGLLYDQGPEHRFGPGDTSTGGAQQPLNLTTATTNSPGVTWLDSSTTYPTPLNAFMASTQFFPPPRP >KZN00694 pep chromosome:ASM162521v1:3:7664022:7664594:1 gene:DCAR_009448 transcript:KZN00694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSHPRILKLGKSKLDVNVRQTRSTEHHPPCPCPSHRSSPPLHITFYTHSKHRFLDLRHVSLSSSSSNSSALIAEHKKVVGETTICQDGSLFFDLQEKVNSRVAVKTALRSLKVKKSRHGRIIKDVMAFGRRYNKVSREKKVLEGLAVTITVEQSFIGDREAVHELMEKSWEESKSQAREDGDILLVN >KZN00942 pep chromosome:ASM162521v1:3:10129384:10142822:-1 gene:DCAR_009696 transcript:KZN00942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGVACVQLQPIMNQFSASESLGFRGGKDAKFDSKLVKFDEMETNMKTGDTGCFGQGNNNIGGGKEVENGEIDDEVEEGEFRWSEGEEVENREFVAENTREFDGGMQKGESLFVDCSRKRDVEKGESLSWKGDCGEFSLKNCENGELKKNESGPWRERKDELENGECVPENWHNSVMTKEENIYSRTHGYASGNKVWKGDLERTPPLAKYTGVEDFGSRSSQLRKSSSRLEAKQDRKLRISKIEGEEDFSKNDHHISKSHDREYSSGNRLKRHGTDSDSEYDESTMSKSRRLSDNGTRSAYSGHHQSSSVERPYRCSTSSRDMPSDRYSSRQYEYSLSSKVSYDRHDNSPHRHEWSPREQACLDHGDGSPPARHERSDYVRSHYQENRTRSPSYSDWSPHQTGRTPINREHSPPIQARSTKYKKPNKKSGSREKRQCQRSKKLQEKVQSVIKPDERYSEFPAKESENKSNLDSGYKSQEKITNLQYHREEISSNPCLNKNDFPQVNGTTEELLSMEEDMDICNTPPHDPVVADLKPAKWFYLDHHGVNQGPSRLCDLRMLVDDGILVSDHLIKHIDSDRWVTVENAVSPLATYNFSSSLSYTLSQPVTPPEAPSKELNVGGVMWYGSQISDKKVAASSEPTISNISASVAAPNFHLDERVGALLEGCAIIPGRELETLGKVLQMNFSKMEWENLGNCEGRESSISAAPLVESDYLSSFGDWFSGRWSCKGGDWKMNVEAFHGKSYRKKYVLNGGYPLCLMPRLGHEDPRCHQKDELYNPSSGRLYLPLWAFSLPDEFNHFTSIIRLSQSKSIAVRGVRGTMLPVIRINTCVVKDHHSFVSEPCAKAKVKDKYFISSSRHHSLMTDVTRPSEEGGSNMKNVHDQNPEGSQKSLLSIDVPKDRLCTVDDLQLHMGDWYYLNGTGHEKGPLLSSEIQVLAEQGIIQKLASVFRKVDNIWVPVISVARASQAAEKIKRETSCMTSDNSRAYNSESKCNALYETLPFNSFDSLHPHYIGYTRGKLHELVMKSYKSREFAAAINEVLDPWISAQQPRKEMENYTNNTPVNCRFLKSDQDRVVKRARLLADGSEENYELDADITAVQNDECLFEDLCKDVTFSEEDGAGSEVEIECWGLLNSLVLARVFHSLRADLKSLVHAASACKHWRSVSKMYKNICVQADLSSVGPYCTDSMIYSILEGYNKKRITSLVLRGCTDITSGTLEGVLQLLPSISSVDIRGCSQFEDLTLRFPNIIWVGGHVLHSRTRTFEHIYERTSVFKISNGSSQNEDSSGLRDYLENLSVRNTANNMFRRSLYKRSKLFDARRSSSILSRSAHLRRPAVKKSENEYKKMEEFLTSSLKIIMKENTCDFFEPKVAEITRRMVNGYYASHGLSSIKEDIRRMCRDAMKRKKRGDTRSMNHIITLFIRLATSLDGGSKSFRERDELMKTWRDDSPPGFCFASSKYKKTNKLSDRRHVMRGNGSSFINGVPDNEEYASDEEIKRHLSKLNKRSMDSGSETSEGSSERTQTDDNTSSESELDFESECRGKESRWDDYSNADDRFDDVADDREWGARMTKASLVPPVTRKYEVLDHYVIVADQEEVERKMQVSLPDEYKEKLDAQRSEESDMEIPEVKDYKPRKQLGDEVLEQEVYGIDPYTHNLLLDSMPDESDWPLSDKHIFIEDVLLRTLNKQARSFTGTEHTPMKYSLEPVIADILKTSEEGHDTRTVRVCQYILNSIRSRPEDSYVAYRKGLGVVCNKEGGFVKDDFVVEFMGEIYPAWKWFEKQDGIRSLQKNSEDPAPEFYNIYLERPKGDADGYDLVVIDAMHKANYASRICHSCRPNCEAKVTAVEGKYQIGIYTSKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKESHGILDRHQLLLEACEVNSVSEDDYIDLGKAGLGSCLLGGLPDWLIAYSARLVRFINFERVKLPDEILRHNMEEKKKYFAEICLDVEKTDAEVQAEGVYNQRLQNLALTLDKVRYVLRCVFGDPLKAPCPLVRLSPVEAVSHIWKGEGSLVDELLHCMAPYMDDKELNILKSSIRAHDPSGSDDIQGALRESLLWLRDEVRNLQCSYKCRHDAAADLIHLYAYTKCFFRKQASHVLLEYKSVTSPPVYITPLDLGPKYTDKLGSDRHEYCKTYGEHYCLGQLMFWHNQNAEPDCSLAEASRGCLSLPDISSFYAKINKPSRQRIYGPRTLKHMLARMEKHPQRPWPNEQIWSFENSVKVVGSPMLDAVLHRAPLDKELLHWLKHRPSIFHARWDR >KZN01599 pep chromosome:ASM162521v1:3:21361097:21361648:-1 gene:DCAR_010353 transcript:KZN01599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESNMISGVSQSGISSANGTMMPTPGMGQQGQYLNFFTVLVLASEYLSSSLLASIARTNSKTLEGTKTSVRPCWYNVGSFRREQTDLLRNFCPVKAVNASRNVDTENCMVN >KZN01672 pep chromosome:ASM162521v1:3:22846152:22846328:-1 gene:DCAR_010426 transcript:KZN01672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDTAKSSGVNPKVAMSIPKRCNLAGRPVGYKCDLNSITLNIFALESTRLVSYVACF >KZN03262 pep chromosome:ASM162521v1:3:43005425:43007748:1 gene:DCAR_012018 transcript:KZN03262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILIEQPRLEEGKNQVESNELVGFNAPDINAFGQSFRAYDAESERQKSVEEFYRVNHINQTYDFVKKMREEYMKLDKMEMSIWECCELLNNVVDDSDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTALIHDLGKVLLLPGFGELPQWAVVGDIFPLGCAFDESIVHYKHFKDNPDYNNPLYNTKNGVYSEGCGLENVMVSWGHDDYMYMVAKKNGTTLPPAGLFVIRFHSFYALHHGGAYRHLMNEEDVENLKWLEIFSKYDLYSKSKVRVDVEKVKPYYMSLIEKYFPAKLKW >KZN03947 pep chromosome:ASM162521v1:3:50117697:50121681:-1 gene:DCAR_012703 transcript:KZN03947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHISSPSPSFQSHNFPHRLPTSSPSSLSPGSLRSRTPIPRIKKPLGFSCRSSNEDYYIYAPEDIGDGFSFSGGKYADGDGDSPADEWFKQGKYVKAHPVSGTGEKAKDPIFGLAMGGSSQASVDLFRWFCVESGSPDNPPIILIHGFPSQAYSYRKVLPVLAKNYFVIAFDWIGFGFSDKPLAKNGFDYTLDEYVSSLESLIDQLGISKVSLVVQGYFAPVVVKYASSHQEKINDLILLNPPDPLRASDKALKSAGPYKMKEADAMVYRRPYLISGSSGFALNAISRSMKKELKNYIEEMKTTLMDDTWKVQTTVCWGQRDRWLSYDGVVDFCNDSKHRLVELPRAGHHVQEDSGEEVGQLIAGIIRKRSFGIA >KZN00980 pep chromosome:ASM162521v1:3:10458644:10461148:1 gene:DCAR_009734 transcript:KZN00980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSPRESVTNGASMFNEEDTREIYGLRVCEDHVDVTCGCTSHQYGDAVGTLRVFPNGDLEINCECTPGCDEDKLTPAAFEKHSGRETARKWKNNVWVISNGEKVPLAKTVLLKYYNQTTKYGNGRPQISRGHRDEFVTCTQCGKMRRFRLRNKEECRVYHDASRDVNWKCSNSPDKSIFLSDANEFRKHSVLPDFTRQTLVVFLVEFNFGCHVETRRNELVEEFTLDVPVLKHAEAVHPAYVLDVKLVVFQIVAARHVSWTESF >KZN01678 pep chromosome:ASM162521v1:3:22958237:22958668:-1 gene:DCAR_010432 transcript:KZN01678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTNSVTMHHNISAREPPPFLNGSNAGHVHHHTLAHTAFYWGKNAVFLFSGWPGKSSGMYALALVVVFMLAVLVEFFTHVKLVPASSNRVAKGFFQTGIYGLRVGLAYMVMLSVISYNVGVFIAAMIGHAAGFAIFGFAFKN >KZN02533 pep chromosome:ASM162521v1:3:34259058:34262803:-1 gene:DCAR_011287 transcript:KZN02533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAVKLYSVFFKFLLKHRLQSRFQALIDETSNPDGFGVSTRPDESIAAANPSFFDGVATKDIHIDPFTSLSIRIFIPDTCLASPQQSKSKARGLIRSESGFGSFEDPIRRTSYDPSSVTSKREEGVGSRRNSYACVGSDDSSVKLKLEKGESYQGYLPSGRENCRKLPVMLQFHGGGFVSGSSDSVANDLFCRRIAKLCDVIIVAVGYRLAPENRFPDAFEDGMKVLHWLAKQANLAECSKSMGNVRGGAELRKGEVQGHIADAFGASMVEPWLAAHGDPASVYQMIRCVLLGVSCGANIADYVARKSVEAGRLLDPVKVVAQVLMYPFFIGSVPTHSEIKLANSYFYDKAMCILAWKLFLPEAEFSLDHPAANPLIPDRGPPLKRMPPTLTVVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISLRGHEFSY >KZN00092 pep chromosome:ASM162521v1:3:1852841:1855595:1 gene:DCAR_008846 transcript:KZN00092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQGFFSALKQEVVRGLSPGRTRRKSISGGSVSGSVTRKRVTQVAMQEFLAEKSGGLRPVEALAPLREGPDTEEGMDGRDWKWGQWMRAPLSRAPSDLRMLMGVLGAPLAPVHVSWNEPFPHLSIKDTPIESSSAQYILQQYIAASGGQKFHNCIHNAYATGKVRMLAFDSETAGKAVKSRNSSRTTESGGFVLWQMNPDMWYVEVALGGSKIHAGCNGKLVWRHTPWLGSHAAKGPVRPLRRALQGLDPRTTANMFTNARCIGEKKIDGEDCFILKLRADPQTLKARSEGPAEIIRHVLFGYFSQKTGLLLHLEDSHLTRVQTIGGDAVYWETTINSFLDDYRSVEGIMIAHSGRSVVTLFRFGETAMSHTKTRMEEAWTIEEVAFNVPGLSLECFIPPAEIGHSSSSETCEIPQNTRAKNTVAAAAHRTKFATKCAESGNSFNYYLGVPFSTEDTSDAWYETVRCLLLPR >KZN03918 pep chromosome:ASM162521v1:3:49875412:49876711:-1 gene:DCAR_012674 transcript:KZN03918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIEDHNHFFIASETIHAAGILVLIYKLNTKKNCSGLSLKTQELTAIFTAVRLGCSFFMEGDIHTLLDSITLVFTMWVIYMMRFKLQSTYMAELDNFPHAYVLLPCVVLSILVHPYTNHYLFSRILWAFWVYLESISVLPQLLMMQKIRVLEPFTTHYVFALGIAQFMGSAHWMISVYDTAGKYLYLLGSGYFWLPMVLLTEIVQTFILADFCYYYVKSVWNGHLLVSLPRPRV >KZN03625 pep chromosome:ASM162521v1:3:46437409:46438981:1 gene:DCAR_012381 transcript:KZN03625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNARKFKLLLEKYLRSSSELTPGAIRALEDCHLLADLNMDFLTSSLQSVSTRDLSSSKAEEVETLLSSILTNTQTCLDGLQETASTWNQKNGIATPLANDNKLFSVSLSLFKKGWGHKITEGPSSPSEKHRAGFKNSRLPLNMSSKNKAIFEKIGRRKLLQTDDGAEVVPIRDLVIVSKDGSGNFTTINQAVAMAPNNSLASDGYFLIYVVAGVYEEYVTIPKNKKYLMMIGDGINQTVSTGNHNGVDGWTTFNSPTFAVVGQGFVGVNITFRNTAGGIKHQAVAVRNGADQSTFYSCSFEGYQDTLYVHSQRQYYTKCDIYGTVDFIFGNAAAVFQNCNIYPRLPLANQFNAITAQGRIDPNQNTGISIQNCNIKAAADLASSDGTTQTYLGRPWKQYSRTIYIQSFMDSLINPAGWREWSGDFALSTLYYAELGNTGPGSVTNERVTWPGFHIITAKEAANFTVSNFLVGDDWLPQTGVPYSGGL >KZN00114 pep chromosome:ASM162521v1:3:2044524:2049595:-1 gene:DCAR_008868 transcript:KZN00114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEQSAVPVDHLRPLHLAKTVVEEPRIAPVTAIGKQIEEGLYVTPPRSGSPDSIPVYYQHAPVSDAAYVGVGGYGNSVAVSDSGYVGFGYGTAGVPGVAGWVQRIPSPGAPGTSAGVGCFAHGIGNRFGGNGSDQASDEGGDDSNSGKKVKFLCSFGGKILPRPSDGALRYVGGQTRIISVRRDVSFEELVQKLADAYGQTVAIKYQLPEEDLDALVSVSSPDDLEHLMDEYEKLLERCLDGSPKLRMFLSSTSELDSPDGVQMGEFEDNGQRYVEAVNGAVERTGPGIVRKESILSVTSTQNSDMSGNEAVETTCQAHADVTRAPSTGELSPRSNSAASQESVPRLVCFDPNPAIYLETSATPSGIQMVRSHTPATSVQPVTIVQPQPQPPQQMNFEMQQQKQMGCSGPAVPLQTYMDPQQEAVNHTDYVQYSSQMGFPTRLMGNVGPLYAQQQYRDNAVNVSPHQYITTTTPSSYANIKPTTVQPQHVRYLDENTYGQRVVQFSGDQGYNTYHTQVSPQMTSGYGWQQAPQLEPVTMSETWVTHPQMVYPEKLPRYHDCYMCQKALPHAHSDTVAHSRNDSPTSSTNELNSYLSLQSEDSTRYRPINKGIVNGPIGVDRQYGNDIVLQREVSNDIPQTSIPQGVSVMAGGVQSPYGVITGIVPQYSQENAVQKPVVQAHYQIKQEALINKAVVVDDTSTGDTSSQNSDFHESPQSCYGISPGYIPRESTVESSLVYDQIRQSDGRTEKFIVRSPEQFVKNELGVPAVDVSRKKDIVEHRTQLITTTDPYGDKAYSKAKLVFETNRCKQNETLSCPSNEVPYSHNFQPTNSPREVTQSVLVNAGHPQSRLVVNQLSPDGAACGNTSTVPIVESPQQSERTALIGEWNESPSRMKSNLACINVEPVHTENNTPSSSLSPSSRSGDTEDSSNSLFSSQDPWYLRHDVQLRAPKPNRFLTKKEAYLGDQQYGSTGELSTRKIGSSTLKPDDRVIHRSVKSDTENSAWSNRGSTEEHSKQEGNGSAAPVLRPSLSSNNNLSSQGSGSASGSNHSSGAQYSGVEVHHTDTDEGNKSKLPERANLGFPMSDGMGRLQIIKNSDLEELRELGSGTFGTVYHGNWRGSEVAIKRISNRCFAGKPSEQQRMRDDFWNEAINLADLHHPNVVAFYGVVLDGPGDSVATVTEYMVNGSLRTALQKNEKSLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGVVLWELLTGDEPYADLHYGAIIGGIVSNTLRPPIPDYCDPEWKSLMERCWSTEPLERPKFSEVANQLRSLAAKVPPKVHLHR >KZM99926 pep chromosome:ASM162521v1:3:144316:146022:1 gene:DCAR_008681 transcript:KZM99926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVWFSLKKSMHCKSEASDVHDPKTKNHLSTILTKKAGRSGCSRSIANLKDVIHGSKRHLEKPVSCSPRSIGSSEFLNPITHEVILSNSTCELKITGFGGFQEGLSGGTGGIKSTYVGTLRPGTPGPGGQPAMQYFNPSYRSSATPPRKAAPLAERKGKSEIPSSSTLGGENSMTHYKPRVSSEAETNAVTCHKCGEQFGKWQVLEAHHLSKHAVTELVEGDSSRKIVEIICRSSWLKSESHCGRIEKVLKVHNMQKTLARFEEYREIVKIKASKLPKKHPRCIADGNELLRFFGTTIACSIGMNGNNSLCISDRCCVCRIIRIGFSTKKELKDGVGVFTTSTSGRAFESIEVYEDDLTLRKALIVCRVVAGRVHRPLENIQEIAGQSGFDSLAGKVGLYSNIEELYLLNHRALLPCFVVICKT >KZN02315 pep chromosome:ASM162521v1:3:31941458:31943378:1 gene:DCAR_011069 transcript:KZN02315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFGGMLSPATVLTCLVLVVMLPEVWSKRYTVGGNQGWTSNVNYTVWASNYTFYTEDWLFFVYDRNQMDVLEVNKTNYETCNAEHPLHNYTTGAGRDVVALNVTRNYYFISSKGFCYSGMKLAVHVVKTPSPPKPKPEKSVSSSRIYNLKSQIVFPTVLAIAAVWDSLLRI >KZN02521 pep chromosome:ASM162521v1:3:34192457:34195155:-1 gene:DCAR_011275 transcript:KZN02521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTIESHQESHSLEAAQAVSVLDINAQIEVPSRSRVTVVGSGNWGSVAAKLIASNTLKLESFHDEVRMWVFEEVLPCGQKLSEVINQTNENVKYLPGIKLGNNVIAVPDLENAVRDANMLVFVTPHQFMEGICKRLVGKICQNAQAISLIKGMEVKKEGPCMISTLIQEQLGINCCVLMGANIANEIAVEKFSEATVGYRDNKEIAEKWVQLFNTNYFMVSAVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLREMKAFSKLLFSSVKDSTFFESCGVADLITTCLGGRNRKCADAFARNGGKRSFDELEAEMLQGQKLQGVSTAKEVYEVLSHRGWLELFPLFTTVHEICSGRLPPSAIVEYSEHAPKLSLLGGSAQIY >KZN03337 pep chromosome:ASM162521v1:3:43766422:43769617:1 gene:DCAR_012093 transcript:KZN03337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMFQLLEQRRTGKSAKPIEASGPLPPYEEAEEDMLIPNNYASSSNVASHTPVTLESFGNVAMPTLQQGGVTSQSGDVNVAMPTAEHCDLTYFLGAGNLKLKNVVESSSAYNDIMQNLNNEANQTSHYNQITVVPPQDNYLANTTLQLGDFQLDPSIGNFFGGPSEFGNMAALVQFGGGAKFADGGWVDDNQTELNHIPPAADNPMLDINPNLNQNQASGFGMTVKSEDHEGAPGE >KZN01013 pep chromosome:ASM162521v1:3:10806464:10807087:-1 gene:DCAR_009767 transcript:KZN01013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDDDDHRYNVSGKIMVTAIISLSFVVLLVTLLHIYVRCVLRRQARQRASLWQIGLFATAPEPPKAGLDETIIGSLPSFVFRHDHKSRTIECAVCLSNLEDGELIRILPNCDHNFHVACIDTWLSTNSTCPVCRSAVEPPQIIEQGNEPETEEPHPTAPPLDHEIAEGTAEASGKVIGSSSRLGSFRKMLSRDRSSQRIHDDLEKQ >KZN01914 pep chromosome:ASM162521v1:3:26823370:26826339:-1 gene:DCAR_010668 transcript:KZN01914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGRGGAGGFRGGRGDGGGRGRGRGGGGRGASDRGRGRGRGGRGGRGGGMKGGSKVVVEPHRHEGVFIAKGKEDALCTKNLVPGEAVYNEKRVSVQNEDGTKVEYRVWNPFRSKLAAAILGGVDNIWIEPGAKVLYLGAASGTTVSHVSDLVGPARILALNATHFLKVGGHFVISIKANCIDSTVPAEAVFAQEVKKLQADQFKPSEQVTLEPFERDHACVVGAYRVPKKQKATAA >KZN01469 pep chromosome:ASM162521v1:3:16622164:16624803:-1 gene:DCAR_010223 transcript:KZN01469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKCDNNVWKQSNKNSSSSSTCSKPSWLLLSVADLDNRMKMVSSNANKEEAKGDSFAEQAATYYEKRPQLLALLHDLHNGYLTLTDRYCQTLAKHKHRHSSSASSIPSIQLNSDEEIFCGSEVDNEYGESSLSFQAPILTPCKSHQVVMDADLIIADLVNKNVEYEVTVNELDGEENKWKEFSRKIELQKSLLEVLESERLVLLNENARLGYRVGTLVEENKGLSAESLFMKRKAGELARCVLKMRDDYRVCMLSRKIENLQAQIYSLEKRNKEYYEQLVKQEEEKKTKITMKKIMKHNAGEATLEDFFQVEEEEEEEEEADGDPKPRWQRSGGNVRGSGRRVSKIWDRMKNIDMLLCGPHYNASSC >KZN02959 pep chromosome:ASM162521v1:3:39563724:39566720:1 gene:DCAR_011715 transcript:KZN02959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAPDFFYREAQRLGYVARSAFKLLQMQKQYKLITPGCSVLDLGCAPGAWLQVACQSLGPLENGGSVVGIDLKKVKVPTLCDSRVQTISADVMNLPKDQIRKLSPLQKGFSVILSDMCPIVSGISTRDAALSAELGMRALDLAVGGAALAPTRNTEIQSDSSSCSLEDDSVLKPGGNLIIKLLESEDNKARSANRFLESHRGCGLKLQDHLLEKYILYVRVCVDLELRLMHKTNTSHGSPVGAMAYTCTGKLMFLK >KZN03864 pep chromosome:ASM162521v1:3:49447991:49451482:-1 gene:DCAR_012620 transcript:KZN03864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPCCHSFLSFLLKFLHFLQTFAGISLILYSIYLLNQWNHHNSGPIAPAPGNSDGVRVKFGSLPGLDRVEPVRLFGESLAGVDGGKLNSVELPAPWFIYATMGLGIVLCSISCIGHIAAEYTILATLLILLEGALVAFIAIDRHWEKDIPYDPTGDVDNFRNFIEDNADICKWVGIAVLIVQALSLLLSLVLRSMVSTRPVEDDIEGDYDVRVRTREPLLNSHVGQASGSVKGDSDIWSSRMREKYGLNGSDPK >KZN01196 pep chromosome:ASM162521v1:3:12958444:12964121:1 gene:DCAR_009950 transcript:KZN01196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHNKRPWILASLHSGVIQLWDYRVGTLIDRFDEHDGPVRGVHFHNSQPLFVSGGHNHYVMCALFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVHLAIIVYNLIADTKAWEVDTLRGHMNNVSCVLFHARQDIIVSNSEDKSIRVWDSTKRTGLQTFRREHDRFWILVCHPEMNLLAAGHDSGVIVFKLERERPAFSVSGDCLYYVKDRFLRFYEYSTQKETQVIPIRRPGSTSLNQGPKTLSYSPTENAVLISSDLEGGSYELYIIPKDSFGRGDSVQEAKRGLGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSALPVPTDAIFYAGTGNLLCRAEDRVVIFDLQQRIILGDLQTSFVRYVVWSNDMENVALLSKHSVVIADKKLVHRCTLHETIRVKSGAWDDNGVFIYTTLTHIKYCLPNGDSGIIKTLDVPVYITKIVGNTIFCLDRDGKNRPIIIDSTEYVFKLCLMRERLEQVMTMIRKSDLCGQAMIAYLQQKGFPEVALHLVKDERTRFNLALESGNIQIAVASAKEINEKDHWYKLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLDKLAKMLKIAEVNNDVMGQFHNALYLGNVQERVKILESAGHLPLAYITASVHGLHDVAERLAAELGDKVPPLPKKRPASLLMPQPPIVCGGDWPLLMVTKGILEAGLDNIGKSSQEEYMDASEADWGEDLDLVDVENIQNGDISLVLDDEEIHEENDGGWDLEDLELPPDLDTPKTSNARSSVFIAPAAGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLTRQLGIRNFAPLKSLFIDLHTGSHTYLRAFSSSPVISLAIEKGWSEAASPNVRSPPSLVFSFTQLEEKLKAGYKATTAGKFTEALRLFQGILHTIPLIVVDTRREVDEVKELIIIVKEYVLGLQIELKRRETKDNPVRQQELAAYFTHCNLQLPHLRLALLNAMTVCYKAKNLNTAANFARRLLETNPSAENQAKTARQVLQAAERNMNDASQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCSSRFVPSQEGQICTVCDLAIVGSDASGLLCCPSQTR >KZN03255 pep chromosome:ASM162521v1:3:42917553:42920804:-1 gene:DCAR_012011 transcript:KZN03255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISYKHPFAVTGVVGFIGSGDPPFVALRADMDALAMQEEVEWEHKSKIPGKMHSCGHDAHVAMLLGAAKMLQEHRNDIKGTIVLVFQPAEEGGGGAKKMLDDGALENVEAIFGLHISSNYPLGKIASRPGPILAASGYFEAVISGKGGHAAIPQHTIDPILAASNVVVSLQHLVSREADPLDSQVVTIGKFQGGGAFNVIPDSVTIGGTFRAFSKESFEKLKGRITEVITGQAAVQRCKASVSFGKNFYPVTVNNEDLHEHFQTVVKDVLGAENLKEMQPLMGAEDFSFFAEAIPGYFYFVGMHNETQGKPESGHSPYYTVNEGALPYGAALHASLATRYLLENQPKSISQDKRIHEEL >KZN00239 pep chromosome:ASM162521v1:3:3353655:3358310:1 gene:DCAR_008993 transcript:KZN00239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQALTRSISRNSARFLYFSPAIINQPPLQQRIFNASLAPNLRSYAALLSSSPFSSSSARSFRSGRDLNYDIEPPMNWGLRIVPEKRAYVIERFGKYVKTLTPGIHLLIPFVDRIAYAHSLKEEAIQIPDQTAITKDNVSISIDGVLYVKIVDPKLASYGVENPLYAVIQLAQTTMRSEIGKITLDKSFEERDTLNVKIVMAINDAAKDWGLKCLRYEIKDISPPRGVKAAMEMQAEAERKKRALVLESEGERQAHINIADGKKSSVILASEAAKMDQVNRAQGEAEAILAVAQATNQRIALVSQALKNHGGVEAATLGIAEQYIDAFANLAKKGNTLLLPTNASSPASMIAEALGIYKNLTSNSSGPDLAEIPEDKNITDRLLSEDSVKENKKSGKRSIPIDAAQPVFSLQSPKRHD >KZN03677 pep chromosome:ASM162521v1:3:46820404:46820676:1 gene:DCAR_012433 transcript:KZN03677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPFPLNIFFTKKSRSGEISDEEIRTTVSESGSDCTRRDKRVDQSHVKETRSVRDTGESSRRRSRSPVIRNDNTGSKQNLGQMNICLRG >KZN01096 pep chromosome:ASM162521v1:3:11621616:11630364:-1 gene:DCAR_009850 transcript:KZN01096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAKDSSHSSPYSSFKCAPQEGQSPRNHHLLNSSPRPSSQSQYKPSSAELVKEITNLEGEIIYLERYILSLYRAAFEQHIPSSPGGSKTSSQNKSDTESRNSSDKCCLGLEPKLCKDSPDYYNQSLPFTRNVANLDDQKYAATPKSSCKKGWATANQGHRSLAEHLVTSRMDVNLSKPDRLSEDIIRCMSSIYCRLADSADSTPRNTGLSASSNSSLSSSSTLSPRNLSENWSPHFNEETGGSQIQGLKEDSSNLAAKMLQNFKLLVKNLEKVEPLKMTREEKLAFWINIHNALVMHAHLAYGTSNYVKSNAILKAAYTIGGQYINADIIQSSILGIRSHYTAPWLDTLLSPGKKSKAVNSKHVYSIDYPEPLVHFALCSGAFSDPSVRVYTADNIFKDLRLAKEEFIQANVYVHKETKVCLPKMLEDFAKDMSLNMTGLLDIVSACLSEAEKKAIARCIKVINTVAQRGRANEIIQLVTDTYRIEPADRRYTYTHTYSGRQQPVAFGLPGSKMIHFVLLISRQGKVRLTKWYSPYAQKERTKVVRELSGMILSRGPKLCNFVEWRGFKVVYKRYASLYFCMCVNQDDNELEILEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKAVARLIAAQDALVEAAKEQANSVSYMISQATK >KZN01861 pep chromosome:ASM162521v1:3:26231395:26232797:1 gene:DCAR_010615 transcript:KZN01861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAARGKSIVVFLDYDGTLSPIVSDPDRAFMSNPMRSAVQEVSRHFPTAIISGRSRNKVYEFVKLKEVLYAGSHGMDIMGPPQQANTSYGGKYETRTLDENDQEFVTFQPAQEFLPQIEKMLKKLEKKTGEIDGVMIEDNRFCISVHYRHVKEQDYQKLEKLVERILKEYPKFHMTRGKKVLEIRPSIKWNKGHALEYLLETLGFTSSSDNDDAVPLYIGDDKTDEDAFNVVLKSRGAGFPIIVTSKPRQTAASYSLRDPSEVLSFLIRMARWKSAHYC >KZN02282 pep chromosome:ASM162521v1:3:31601773:31606638:1 gene:DCAR_011036 transcript:KZN02282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKLLRSLTDEKADMQKQIGCMNGIFQLFGRANSHNHKRLPPGTCRSSEPEIEQNYTMQKSLKSVPTQFRKEKKLMTNVELSRTSCSSSCSSSTFSSVDYNKTSQSEPSSSCHSNLPEMTSVVSPPKQPNSSLQLSRQSVDLRDIVKESMYKQSPGLSVKTNTTEEKAYEAIKQKDSPRPQQQHKHVQAEVDRRDVSLQVLSKLRDGPWNANKERFSALPLVPRDSPRYSYDETESRRKLKSTTKLKELPRLSLDSRERSPRISSSECRKNQMPRKDEESRVHGQIITENQEPGSNKKPSAIVARLMGFEPIPDTAPTEGQAIEVKSCLLEESETISPSLKVLARSRQSTGSGSPKVHCNTSVSPRLKNDSVYMDSISGSMFSVKKAPRTQIDSNQGSRRQSMNCQETSTKLPLASSSVYSEIKKKLGELEFRNDGKDLRALKQIIEAMERKKESLDSKKNEEQNPNSESCTSQSSSNNSSNQAPAQRRRCNSPVSPVKGSTSPKNRGTQIVKARSAKLIENSVIPDDDKSCLQKIWSGENAENIKNFAERRTAREFSLRNNCRRDSSGPLPYIEEKKIDEPVNSLQHLKASQQTGRKNLANFRKSSPNLNPRMPQKKHGMEKLSGFATQSSDLKISRIQSSKLPTEPSSPTKRQCQNSAIQHKRDDQIRRSPTGPRNMNKGDSRGSMQTQNAFRLDVQIDRKSKSKSTYCTTEIISPYKPKDPNKGDAIAKYAGERLITELPAPLEQPSPISVLDITFYEDDNPSPVKKISTVFQDNDNVNGDESEWISGHIDCLQSSRASKFDQRTLNDVSHLVQELGLLNTSQDKAATDHHKSLTNPDHRYVAEILTSTGLLRDLGSSTTMTGIQINQAGLLVNPELYHVLEKDTEVALEQVDKKSVRSTGREKVQRRLVFDAVSEILLEGSFDLRTVQTKMGERRTSGEKLLEELCTKLDQQQATPDDSLNDIDDELKSILRADIMNKRKNWTGYSSQLAGLVLDIERMIFKDLIGEIVREG >KZN03673 pep chromosome:ASM162521v1:3:46785297:46786922:1 gene:DCAR_012429 transcript:KZN03673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKEAVIIVGAGPSGLATAACLKTHSIPYIILEREDCFASLWKQKAYDRLHLHLAKQFCQLPHMPFPKSCPTFVPRKQFLQYLDDYVTRFDIKPLYKRLVVSAFYDESSMKWTVEVTNGVSGEAEKYYGRFLVVATGETCDPYVPEFEGLSKFKGDAIHSTQYKCGEAYENKHVLVVGCGNSGMEIALDLANYGAKVSIVVRRPAHVLSRGMVYWGLILLKYFPYYMVDSLMLLLSKIKYGDLSKFGIHRPEKGPFALKVKDGKYPIIDVGTCKKIKSGEIQVLPGLRSIAESEIFFEDGRSDPFDSIIFATGFTRSTKMWLKGDDFLLNEEGFPKPGFPNHWKGEKGLYCVGLARRGLYGAAMDAENIANEIKKLF >KZN00794 pep chromosome:ASM162521v1:3:8773549:8774550:1 gene:DCAR_009548 transcript:KZN00794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFDPTTKSTLTQIPLLSTKAGPRDGAAWTQRLKEEYKSLIAYTSMNKSRDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPQLDGKTHKMYRGGKICLTVHFKPLWAKNCNLELTSLRLGD >KZN02338 pep chromosome:ASM162521v1:3:32211441:32214063:-1 gene:DCAR_011092 transcript:KZN02338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGSCWFIVENILLPNGSLRNGIILISSLNRYASSTATDTDDVLASEEVGYEFPEISHSQIKVFRCDRSESHVDEHQKTVFEVLDDYKVSSVSVSDHVLEEEPNYEEDPILVSSSSCTSISNDNITTSASWMDSDVEFPLLCASNSPVTKQELVISQQQAEEEVDLFYENYADKMRWFDVLSHDRTCGISAILNQQHVAHGSSIDDSFVPINFSEFENNDPYNDDNAVKQRLLRSLEDDLEMVYVAQTCLSWEALHHQYRKVKALSASSTSGFFRNNIAERFQKFQILIERFLENERGGKGKRYRTYVQRRSSIKSLLQVPSVTGYVEEKDEIMGEVMEARIVLKAIENSIVAFSLFVKTEELDPQKPWWRIRSVKLSFQPLEDPRDFNLLCDITNSIQKRKAWIKELEGMKSWYKLRGRRMVKECDDQKKEIMFMTIEMKLVTRVLKMSTVSTSQLKWCKQKLDNIDFMHRKLVRTSLLSCPLFPSS >KZN02124 pep chromosome:ASM162521v1:3:29932999:29946952:1 gene:DCAR_010878 transcript:KZN02124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNTIDLHIPSESMPPAMSPSDSSSGTRSDSPPPVSSLLSPVFIVALAYSQGLQERGLAASKVSLVINSVKKRLFAQRCVHVKMRMEENKQKMADLTNNLLTSAQERGYLRGDESNANIDLLSKRQKYAVDMHNGIEKNNGDDDNNTSQDDGYGSVILLGSSIAVKNAVRPIKLTEVKKLPTYTTWVFLDRNQRMTEDQSVFGRRRIYYDQSGGEALIASDSDEEVVDEFEEKKEFKDSEDYILRETINEVGLSDAVLDSLAQCFSKQPSEVKARYEALVKRETLATSADSNILLSEEIPSSYLDKDLDAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPAEKQLPWTCPETESKPCGPHCYRVALESERKATVNSPVRVVGKDLGLPCTDVSGSQLSRGLSAGVSLRRRSKSVQSESATSNGINVSESSDSESKRRRDVTATRTSLSPSNTKLVEKREVHKRNSSRLAEHVINMRKKQKKMVTTSDSDSLASASPGSGDTNTHSILRKENEDASSCSQKFISSNTRRSTRKGSPALNGDRLLQHKVIDSLSTGMNSGQPLPSSDNTLKKGEFVDESSCKEVIDVTSWKTVEKALFAKGVEIFGRNSCLIARNAMNGLKTCSEVFHYMNHSENKLSSEEGNGLNPLAEGSSKGDGNENMGTQLRRRSKYLHRRGRVRRLKYTWKSSGSHSYRKRISDAKDQPCRQYNPCGCQSACGKDCSCYLNGTCCEKYCGSYYCNLSDVQGLANTDSEAVTVPKVNVEVDSVHVLLPVGNVILMFAEIAGSVVEMVLLASPGNEVLLGRSDVSGWGAFLKKSVVKHDYLGEYTGELISHREADKRGKIYDRVNHSFLFNLNDQYVLDAYRKGDKLKFANHSPEPNCYAKVMMVAGDHRVGIFAKEKICAGEELFYDYRYEADRAPEWAKKPESSGTKKDDHTPSNGRAKKIA >KZN02259 pep chromosome:ASM162521v1:3:31397652:31398587:1 gene:DCAR_011013 transcript:KZN02259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLSADFLSSLPKHKWCRDDIIYQFDGFWFRLPYLQGTLKLLKDFKPLPTDVILASFPKTGTTWLKSLLFAITQRSSKALLNSTNPHDLVPTLELQVYSANPTQADMLTSCDANPRIFSTHVPYQILSKSAIHSSECRIVYVTRNPKDTLISLWHFVGKSQMSQIKPWPIEEAVDRFCDGVVPYGPYYDHVSQFRKESLERPQRVYFVSYEELKIDTKNQVKSLAEFLGCPFEKEEEAEEIVKMCDIQTLKQHEINKSSDLPDWFELPYNSFFRQGEVGDYKNHLSSGMIQRIDDMTKDKFHGAGLMFGV >KZN02105 pep chromosome:ASM162521v1:3:29645626:29647185:-1 gene:DCAR_010859 transcript:KZN02105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVFNKELLSWYLLTLKLKETVEAGVSTQQASALYRSEQTYLLEHHEESSSIREIDAFGSGPRPAISEWVININAKLEQARQEDLAGSWGRVSIYRVPQYLKGGDNKAYIPQIVSLGPYHHGKKRHRNMDRHKWRSFYQILKRTNQDLKLYLDSMKELEERTRACYEGKINLSSNEFVEMMVLDGCFILDLFRGASEGFQQIGYSRNDPVFAMRGSMHSIQRDMIMLENQIPLFVLDRLLGLQLGHPDQKGLVARLALSFFDPLMPTDEPLTKSNRNKMEHSVGYTNTFDPLSDQGLHCLDVFRQSLLRRGPSPLPQVWKKQWSHVCRVADKRRQQLTHCVTELRESGVKFKKKKTDRFWDITFSNGLLQIPRLLIHDGTKSLFLNLIAFEQCHLDCGNEITSYVIFMNNMINSTEDVGYLHYCGIIEHWLGSDHEVAELFNKLCQEVVFDINDSYHSRLSAEINKYHDHRWNAWQATLKHNYFNNPWAIISFVAAVVLLILTFAQTIYGVFGYYHPS >KZN03811 pep chromosome:ASM162521v1:3:48396239:48400402:1 gene:DCAR_012567 transcript:KZN03811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKNQIKEQGQGKTRITKRLKAFMKCLQSGEQLKSDEMISSSESLATRDYSTSVHSARDIDAEQEPDTSNIEEAELSLRENGSLNYEEARALLGRFEYQKGNIEAALHVFEGIDIAAVTPKMKATLTAKVAKVETRKRRSHNYASPPMSIHAVSLLLEAVLLKTKSLQILGRYKEAAQSCTVILDIVESSLPTGFPENFGADSKLQETLNNAVELLPELWKLADSPREAILSYRRALLFHWNLKAGTVAKIEKEFATFLLYSGEEASPPTLRSQMDSSFVPKNNMEEAILLLVILLKKISLKIIEWDPSILYHLQYALSLCGGGMALANKLEELLPGVIDRKEMYYTLALCYHGEGDDISALNLLRKLLHSSEDPNHVPSLLIASKISSKSDSYEDGAKFAFRAIENLKGGCDQMVGVANSLLGISLAAQSSLSLTDSERTMKQSEALHSLETAVSMTNLSNPSVVYNLSLEYAQQRKLVPALHYAKSLLKLEGGSNISGWLLVAKILSAQRRLDDAETIVNAALEQTQKWDQAELLRLKAKVQIEQGKLKNAIETYTQLLAVLQIHSKSYEHEKKLHEDAANRSRSLELETWHDLAFVYIGLSKWRDAEICLSKSKAIKTYSASRWHATGALYEAKGLHEEALKAFAIALDIDSSHVPSLVSMAVVLRQSGERSLPNVRSLLTEAVRLDRMNYSAWYNLGLFYKDQGAAFALEAAECFEAATLLEDTAPVEPFR >KZN01125 pep chromosome:ASM162521v1:3:12002885:12003886:1 gene:DCAR_009879 transcript:KZN01125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTWETPDPGYKKINVFCEISEDPLLHEMLVSAGALARDSGGVQLWGALGLLPNLTEEQAIMSAIQSALIHAQKKGWELIHIETSNLEVYDTIRHQEHVFLNDEQLEIYSSFNTIYANHYNGNKMKRVITSVPQRMNSSAAYLANYGLTKKVAFGEISGTVGDLDYYLARDMGMTLPFPSIDIQTNLGDGEVINGPPPPKKRKFDEQSSGDMPKRAYRDKGKEKVLEHFSFNENGVFAQKAVRIMDEGKLSRFSPAFGGSVVNMNAAVGKEIYARDILHHAILGTLKAVIPNLYVSNPSLLQNDVDSLMSVDQVLELMGFSGDIATSSKNPV >KZN01944 pep chromosome:ASM162521v1:3:27292794:27304130:-1 gene:DCAR_010698 transcript:KZN01944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKAEKPDDYFDSDALEAAELVLYQVSECYVYLIPPRKTAASYRADEWDINKWAWEGVLKVVSKGEECIIKLEDKTTGELYARAFLRDGEPHPVEPVIDSSRYFVLRIEENIDGRLRHAFLGIGFRERPQAYDFQAALHDHMKYLNKKKTAEEMEEQYQNSTVDYSLKDGETIVLQLKNKGGGNVRSKFFDQGLNKISIEEKGNGKEPIICLKPPPPPLAPLSPSVSSTNSPSLSPKSFSLEEDSKDKSSVSLEIQSKESTTQDNESSQVLDDDFGDFQAAG >KZN00950 pep chromosome:ASM162521v1:3:10220698:10221939:1 gene:DCAR_009704 transcript:KZN00950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCELCKSSARVYCESDEARLCWSCDAKVHSANFLVARHVRNLLCHSCQAPTAWNGSGEKLGRTISLCERCVVAGCVKFAEKVEREGERDGSSESGTDFDSSEEDDDENILDKKVLDSCNQVVPLASSTSLLPPVSSSSSEDRSRIDMNVSLKRSPDFAVDLNSKDDVDSSCIAGEENSHSSSLRPFKFRKLEVNETGRPQGTSTGLRISERARRIRRLGDVTESIRGVGAYECVEFDLNECPFDQHE >KZN01532 pep chromosome:ASM162521v1:3:19138218:19138805:-1 gene:DCAR_010286 transcript:KZN01532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGQASQHQTRVGDGRKRPLSLSSSTSSVVLRRRGEESGLEAFLGARCINTQGEKGYAGISRHQNATSTSAAQQTPGYNLLASRNGAYHGLNKNCDTNKG >KZN03666 pep chromosome:ASM162521v1:3:46723303:46725513:1 gene:DCAR_012422 transcript:KZN03666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAEISNSSKNFEEKPSLCDFCGDLRAVLYCRADSARLCLMCDHKVHSTNQLFTKHTRSVLCDACNSSPSSIFCSTHGSVLCQNCDWESHRVSQSTVHDRRPIEGFIGCPSVAELLATFGFDELGKKKKNVLRSDGIEDSENFEFSDYLIWETPSVVSLDDLISSDGSDHNFQATGIPPLPKNRNTVCGQRKEEILCQLREMVKLEPGCDQDKQDVEPSSGYQSLVPDRACRQLYTSFESKKEPTFFPYEENTFQWYNDGHEDADESLPNTSYQSYFNTDCLVPDKDPEVDPSLHCEENKHVTPSQNPVVAEASQIFPKVAPHEFSSQHRDSAITRYKEKRKSRRYEKHIRYESRKVRAESRARIKGRFAKVDR >KZN00405 pep chromosome:ASM162521v1:3:5084605:5086434:1 gene:DCAR_009159 transcript:KZN00405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVNDQSDHEVESALRIEEQEQPRINSFPSLGRQSSIYSLTLDEFQNTLTSDGKSFGSMNMDEFLRSIWTAEEIEAQTQNANTMVPAAEAKTGVTNMNPQYHYGTTPNESSNIAGQRNLTRQGSLSVPAPLCLRTVEEVWSEISKTQQSTNNIPRAGSKQREPTYGEMTLEDFLVKAGVVREEGAAAQVPQHRPHPHPAPPQLHPHPAPPQLQPHPAPPQLQPQPQPAPPQLQPQPAPPQLQPQPQPYGMYLNCDSTAASNYMVIGCGGTGSVGVPAPFKPQPQTGGRTVTTCLPGGKSSAIGHQPAVSYGGGVANGACATESFGEMSPVSSDGIGTNKPGRKRIIDGPVERVIERRQKRMIKNRESAARSRARKHAYTIELEAELNQLKHENAYLRQRLTETESRANQQQLEATRNKIHKKAKETLAPLKRSYSCPY >KZN00541 pep chromosome:ASM162521v1:3:6228606:6229136:1 gene:DCAR_009295 transcript:KZN00541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSRKPVIIGLGIVMLMGISVYLRLWTIDYRISSEDTDLIRRQFDLANREAMDESAEWRLKYDQEFEKANNCANQLNQYIAK >KZN00059 pep chromosome:ASM162521v1:3:1541320:1547309:-1 gene:DCAR_008813 transcript:KZN00059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSDSEDDKPLIFKRSNTSVKQNQAKPDVKKSSVQKPDGKSGRPISDVRSTNGHDSNVQKGKTVPSTKGSPVVSPLASPKASVSSSKASQVKPPVGSTKASTSGADQSRQNSQQNRTAIVKAERPSGIPKEEPDSGSEDSDDDKPLNSRLPVGGSKGSISEVNRRPSSSGPAALAKDLGIKEEDSEDDIPLSHKFKQKSNAGESSNAYDSDEGKPFASKPRQNGTNVKDNVKNPSTAINKRPSAEVKCSGQSPVKKAKHTAASTPVNGKKVTVKAEPKKEADDSDDDNATISQRVKKPATPISKASDKKKSAVSVSSSLNKVNKNSKKITKSTQFSKSLKVPPGSGEGQKWTTLVHNGVIFPPPYKPHGVKMLYKGKPVDLTPEQEEVATMIAVMQDTEYMTKPVFRENVMNDWKKILGRNHIIKNLDDCDFTPIYEWHQTEKEKKKQMSAEEKKAVKEEKLKQEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRISPSDITINIGKDAPIPECPIPGESWKEVRHDNTVTWLAYWNDPINGKEFKYVFLAASSSLKGQSDKEKYEKARKLKGYIEGIRTAYTKDFSSKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVEPSPPNILKLDFLGKDSIRYQNEVEVEIPVFKAIQQFRSGKKGSDNLFDKLDTSKLNAHLKELMPGLSAKVFRTYNASITLDEMLNKETKGGDVSQKVAVYQHANKEVAIICNHQRSVSKSHSTQMMRLDEKINELKNLIEELKTDLSRAKKGKPPLKGSDGKTKRNMNPEAIEKKIAQTNVKIEKMERDKETKEDLKTVALGTSKINYLDPRITVAWCKRYEVPIEKMFNKSLLAKFAWAMDVEPSFRF >KZN00145 pep chromosome:ASM162521v1:3:2399166:2405106:-1 gene:DCAR_008899 transcript:KZN00145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHLYLSALSVGVGVGLGYASGQRWSGASNAPIAGVNGDQIEMELLRLVQDGKDSTVTFEDFPYYLSERTRMLLTSAAYVHLKHLDMQSKYGSSKKEAPLKRSISDVALEQMSSLFGSISRLSPTAGSLSRQSSGLETRLKSMEGSQAPPKHRRNASISSDLSSLSSQSSANPGASKRISTLSFDEKVFLQALYKVLVSVSNNRAVVLYIRDVEKLLLQSPRLYNLFDKMLKKLSGPVLLLGSRMLESDDDSSEIDERLTYLFPYTIEIKPPEDEGRLRSWKTQLEEDLKTIQAQDNKNHIAEVLAANDLECDDLNTICQADAIVLGNYIEEIVVAAISYHLMNNKVPEYRNGRLIISSKSLSHGLSFFQEGKNNDKDNFKLETNAEASKALPAEEIVATKPESKSETVTTETKTEVDKSNPAPKKESENPAPPKPEVPDNEFEKRIRPEVIPAHEIGVTFEDIGSLEETKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMMAKAIAREAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLSKANERILVLAATNRPFDLDEAIIRRFERRIMVGLPTADSREKILRTLLAKEKVDELDFKELAMMTEGYSGSDLKIFCTTAAYRPVRELIKQEREKDLEKKRRAEQEKNSEDASEEKEEAERVITLRPLNMEDMRQAKNQVAASFASEGSIMNELKQWNDLYGEGGSRKKEQLTYFL >KZN02872 pep chromosome:ASM162521v1:3:38291847:38297413:-1 gene:DCAR_011628 transcript:KZN02872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEWIKDGMQVEVTSNDDGFRGAWYVAKIIQAPMEKYVEVEYNELISEDDDSKKLSERVHVSFVRPLPPVGKGYNEVFEVNDAVDAFYNDGWWSGVVDQVVENAKKIMVRFDDPGETMAFKRSEVRLRFDWIDGNWEKPVKKQDSGRHYMLSNQSGKSRRQKRRANRQQPGKGCASTEKKDNTDDGTAQKKCTAEEVDMSVKESEDAVHTKSCETPPKKDQKNQRRDLKRHTVDSTHVSTNIDNGLKRKRGRPKSLFKDKIGEGSRIAKESAPKKVKRDSCVVDEAELIAKKDSLMLTTVDKPNEDHFQPLSNKPNANEKHTSGDSRHNVLCSETAIIPLLKDQEDETVLVKGLEQGKNSLPREHNEMSKIVDSGSQYQECDNASRGDSSLPEVYNSKEADMLIDGISAELNEDQVSNSRVQQAKLSPADSDNLRSLPVQRQCANANSMEDDFRTPIAKKDGMEPSPPQKTDGSRDLPVKTLSHADSTDNGGEIRTISVCAENEENSQSLPFPKCSPLWKYIESMEIFKKTTKKPHFSPLVKCEEEIREGLAIGHVVNFSNLVENMSKIQFRHPITVIERKLGILADLKAHGFDVETVEARLAQLLSKKQREGELQKEYQDIEQKILNSAEEMSKADEEIAKLKKEIRELQAKLDDTISRKEIKENVIPTLQSKRNVVRENLGRLQTDFENILGAPL >KZN01762 pep chromosome:ASM162521v1:3:24678341:24678499:1 gene:DCAR_010516 transcript:KZN01762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFFKKNKALFKPRSNTLPRDRQSVLFGHNLPFQSLNSSRRIKSPVRSLIS >KZN02334 pep chromosome:ASM162521v1:3:32180716:32182077:1 gene:DCAR_011088 transcript:KZN02334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKITGIVVLGFLSIVSSVQGYNRGWINAHATFYGGGDASGTMGGACGYGNLYGQGYGTNTAALSTALFNNGLSCGACFQIVCVNDPKWCLRGAIIVTATNFCPPGGWCDPPNHHFDLSQPVFLRIAQYRAGIVPVAYRRVPCRRRGGIRFTINGHSYFNLVLVTNVEGAGDVHAVYIKGSRTRWQPMSRNWGQNWQSNNYLNGQTLSFKVVTSDGRSVVSYNVAPSGWSFGQTYTGRQFR >KZN02207 pep chromosome:ASM162521v1:3:30889491:30895203:-1 gene:DCAR_010961 transcript:KZN02207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLREKKRFEAIELQKLRKTATRRCRNCLTPYREQNPGGGKFMCSYCGHISKRPVLDLPVPPGLGISNSGILKDLVGKSGRMLNEKVWSDSGGWMCGHDWLDNGNWVGGSFPGKGRRKHGGRIFFGDDHCLAEKSYSHALIFACRALTAFFLSIMWVWRKIFRVGTSGDDASVDAERRKMANRGENGSNFQESRVEKARRKAEEKRQAKLTKEQWDEEDRKQREEVARLIEERRRSRDEKTDAEKDCRKGATPSKEKVVKKETEKKRHERKTERDRGSSKSNSDAEELERRAGRDSEKIRKCENDRRNHQRSMVHTAKSFNNDINKGALTNNYSRELAGTKNLDNLKSAFLYPSRAAGGNSYGKGTINSVAAYKSNVYGDRYQTSVTKRETSQPERSLPKLNVGSEDKNVSHPVPVEPQPYEAPKNPWLIRSATVPAPSDSSVISKPNGKSQSEITSPSFLNHIGTTQQYDNPINFGLPSPFPLSTNSCGSISSSIGIEMSAESNLPLFGEALPEYLPEESDIFEDPCYVPDPVSLLGPVSESLDDFQLDLGFVTDMELEKPHPIKSTPISSEAIWPSPIESPLSRLRLHDERHAAPPFPTTPKAQDVQNTHINGSCNGNENGTWQMWNTSPLGQDGGLLGGRASWLLSPDSIRSNKENVASPFHQKTMASLFKSDEPIRSGAPQNLLPGSYHNGRTYGNSISGCTDDPWLPETLFGPALGREDNFSMNPKEVIIQNEPICKSPNGSATNDPFELSLADRWREIPVIKSLLTKFWFLGLSGACSSPVRSLCEALVDPG >KZN00467 pep chromosome:ASM162521v1:3:5542124:5549231:-1 gene:DCAR_009221 transcript:KZN00467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETQSRKRTTNASSSSSFGKKSAGGFNLRPKTKTFHKGSSFELRDGKGFKTKFDSRDEIDLIDDRGDKGFERKSFENKGSERKTKTFHKGKTFEPRNGRGFKSKFDSRDEIGLIDDRGEKGFERKSFENKGFERKSKTFHKGNTFEPRNGKGFKNKFDSRDESDLIDDSKGKGFENKGFERKTNLKNKSSAHKFDGPGKFGRSKFEDKNRTADRGNSFEFRSNDGKTIKGYKNNSKAEIEVDGGGEQGMENKGFERKNSFKNQFDDNQHSPVKFGRNRKNKTPVDDDSEDRPKKKKKRGMRLDRYDLSKKRMDDAAPPATDAIQKKEPDENKDVEISKNAQFRAIQPSPSILSFVEENLLGRRREIEIRRAGYNTEIPCPLDNIPSSADSERARIEETVFRNKLTFFAAAKVSSSFPLPEVPEIAFAGRSNVGKSSILNALTRQWGVVRTSDKPGLTQTINFFQLGPKVALVDLPGYGFAYAKEEVKEAWEDLVKEYVSTRVGLKQVCLLIDTKWGMKPRDHELIDIMERSETKYQVVLTKTDLVFPIDVARRAMQIEEKLKANKSVVQPVMMVSSKSGAGIRCLRTALANIAKFAKL >KZN01863 pep chromosome:ASM162521v1:3:26268294:26277214:-1 gene:DCAR_010617 transcript:KZN01863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLQASLLSTPYPLHYSPLPPLKLRQFSPSPLRSVPSASVSVKSRFFRHRFILCSTFRPDNVGSDDAEFGDSPAVAEEVKSEADVAVVEKPKSEEGEEVAEIQESLRKLPVVVFMIGVFERLRTGFEKLVLSKWLSWWPFWRHEKRLERLIAEADANPKDALLQSVLLAELNKHNPEAVIKRFEERDHAVDSRGVAEYIKALVATNAIAEYLPDEQSGKPSSLPTLLQELKERSSGNMDELFLNPGISDKQPLHVVMVDPKASNKSSRFAQELISTILFTVAVGLIWVLGAAALQKYIGGLGGMGTSGVGSSTSYAPKELNKEIVPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQDILELYLQDKPLADDVDIKAIARGTPGFNGADLANLVNVAAIKAAVEGAEKLNSEQLEFAKDRIMMGTERKTMFVTEESKKLTAYHESGHAIVAYNTDGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELIFGQDHVTTGASSDLETATKLAQYMVSTCGMSDAIGPVHIIERPGSEMQSRVDAEVIKLLREAYDRVKTLLKKHEKALHALANALLEYETLNAEDIKRILIPSREVPLPDLEQQQQEEELVLA >KZN02752 pep chromosome:ASM162521v1:3:36901328:36905099:-1 gene:DCAR_011507 transcript:KZN02752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSPLKSNPTAEEETSSRRIQRLSLHLNPPPSENSAMEMATCAARAKLNVNTTQLSLLMRGKHRDIQEKVYEFFRSRPDLQTPIEISTEKHRELCMKQLLALVREAGIKAFKYVVEDPFVYFAIAEAAGSVDVSFGIKLGVQYSLWGGSVINLGTKKHRDKYFDAIDNVEYPGCFAMTELHHGSNVQGLQTLATFDPLTDEFVIDTPNDGAIKWWIGNAAVHGKFATVFAKLMLPTHDANGFSDMGVHAFIVPIRDMETHETLPGVEIQDCGHKVGLNGVDNGALKFRSVRIPRDNLLNRFGDVARDGTYTSSLPTINKRFAATLGELVGGRVGLAYSSVGILKIAVTIATRYSLFRQQFGPPKQAEISILDYQSQQHKLMPMLASTYAFHFATLLLVEKYSEMKKTHDEELVADVHALSAGLKAYVTSYTSKSLSICREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAADLLKQYKEKFKGGTLTVTWNYLRESMNAYLSQPNPLTSRWEGEDHLRDPKFQLDAFRYRTSRLLQSAAVRLQKHSKNLGGFGAWNRCLNHLLTLAESHIESVILETFINAVKNCPDASSRAALKLVCDLYAMDRIWNDIGTYRNVDYMAPNKAKAIHKLTEYLSFQVRNITRELVDAFDMPDHVIRAPIGKQTPVEAYSEYIQQVGFQ >KZN03612 pep chromosome:ASM162521v1:3:46317122:46319837:-1 gene:DCAR_012368 transcript:KZN03612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPPSFLSSVEKKHWWLSNKKIVDKYIKDARFLIATQEQSEVTTALSLLDSALTLSPGNEAAIELKARSLLYLRRFKEVADMLQDYIPSVMTSFDDSSSSSSSSSSDHFSREGVKLLSAGGSPSRGEPRCKCFSVSDLKKKVMAGLGKKGESEDQWRYLVLGQACCHLGLMEDALVLLQTAKRLASAASRRESICWSDDSFSFSTFPVSGEIIVYNHPPSPPKTEFETISHLLCHIKFLRGRKTSAIAALNAGLHAEAIRHFTKIVESRRGAPQGFLAECYTYRASAYRCAGRIAESLADCNRALALDPSCIDALSTRAALFETIRCLTDSLHDLEHLKLLYNTILRDRKLPGSAWKRQYVHYREIPGKLVSLATKIKALKLRVASGETGNVDYYALIGLMKGCSRSELERAHLLLTLRHKPEKSNAFIERCEFADDGDVESVRERAKMSEGASVALQAAAAMQQVQQAQQEQVKCKREPNNAASMNRGNARASNCDRIQNKPVKPAGATAASMFQGVFGRDIAAVGNLLSHTGLNLPIAVKYEVALSC >KZN01307 pep chromosome:ASM162521v1:3:14275929:14279279:-1 gene:DCAR_010061 transcript:KZN01307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATTLLVATLLLTLSLQSSSHSLYSFSPSPPQHSEWRPARATYYAAADPRDIVGGACGYGDLEKSGYGKATAGLSSALFEKGQICGACFEVRCVEDLKYCIPGTSIIVTATNFCAPNYGFEAETGGKCNLPNAHFVLPIEAFDKIAIWKASNMPIQYRRIKCRKEGGIRYTIDGAAIFLSVLISNVAGAGDIVAVKIKGSRTGWLPMNRNWGQNWHINADLKNQPLSFELTSSDGVTLISYYVAPQNWDFGQSFEGKQYET >KZN01597 pep chromosome:ASM162521v1:3:21244942:21245397:-1 gene:DCAR_010351 transcript:KZN01597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSIPSQCMFSTAPRCQKSSALVKSPPSIGYVKSVSKSFGLKSGNGFKASAMAAYKIKLVTPEGVEHEFEAEDDCYILDAAEEAGVELPYSCRAGACSTCAGKIIEGSVDQADGSFLDDKQMGEGYLLTCVSYPKSDCVIHTHKGIFIK >KZN01340 pep chromosome:ASM162521v1:3:14645517:14648926:1 gene:DCAR_010094 transcript:KZN01340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILTSTAATTSVQSWNIGGVKAFAASTSPSFLRFPPQLAFSNRPLTSTSRPPFSTLVKAKKQSFSTFDEMLATSEKPVLVDFYATWCGPCQMMVPILNEVSASLEDKIMIVKIDTEKYPGIADKYSIQALPTMIIFKDGKPCDRVEGALTASQLIQRIETTLKVKQ >KZN01261 pep chromosome:ASM162521v1:3:13801848:13803377:1 gene:DCAR_010015 transcript:KZN01261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENTNWLYGLLDDIAVPDANFSVPTSSAFNWPVQALNNPLSVEIDGSKGNSSVVMEAGSKKRVRSESCSGSSSKACREKMRRDKLNDKFMELGAILDPGRPPRTDKAAILIDATRMVTQLRTESQKLKDTNSDLQDKIKELKNEKNELREEKQRLKAEKDKLENQLSTMNAQPSFMPPPSAIPAAYPQATYAAQAPVAGNKYVPIISYPGVAMWQFMPPASVDTSQDHVLRPPVA >KZN02469 pep chromosome:ASM162521v1:3:33729406:33729894:-1 gene:DCAR_011223 transcript:KZN02469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIATLHMQVSDAQNTHTVGDSTGWTIPPHPNTYKSWAASQTFAVGDNLVFNFPTGMHTAAEVTKDAYDACNTEKPIAVWANGPATVPLKSSGPHYYLCTLPGHCSAGQKLAITI >KZN00964 pep chromosome:ASM162521v1:3:10348503:10348769:1 gene:DCAR_009718 transcript:KZN00964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSKAWLVAASVGAVEALKDQGFCRWNYTMRSLHHHVKNNLKSSTSSFAHQTKKQLSSNIKDQSDKAKQSEESLRKVMYLSCWGPN >KZN00123 pep chromosome:ASM162521v1:3:2110438:2124372:1 gene:DCAR_008877 transcript:KZN00123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVNFLTHLAVSFVLFRICFFLCGTFKMISDTSKRLVSYNHLKIDNFPSLCNDSERKDKRRMLNEKGDKENNHTMMNLDDGCAVLLNTPGSSCVTAKTPLSNQSIPSNGSPEYKPANIQSSRGFLQNCMLQSPGNYTATTRSPLSNISNSANASKANEVSNLTVAYKPANIQSSRGFLQNGMFNSPVNYSATTRSPLSTISNSANASTGVFINPGSSFFTNTTRPLSTVSLNPLSPLSKNQGSSAFANTPVAASSITQCSPLTTSTTAGQKRPAPNRGAPSTPVRTKNKKSKTVDTETLTQSTTCLFGEDEGPGTMIFNKYDKVQNLRVETTEWTLRVRAQTVWKSINRKTNEFKGLNVIFMDDTNSRLHAFVSAKISSLFDETLKEGKVYSLSNFHVRKYGEDDNHRAVRYEKHIYFANHTELNELDADLTAIAPYAFDLFDLPDIRNFINDDRFLIDVVGEITNKHVSCVYSKEDKCNTHIRFQITDGRYIVNVTFFNELAEQFEKALKQLEDSYIYVIISSAKVNEHEGLPCLNNYPATRFYLNVDHYSVKQLKSRMSEIPKTEAVEEVPVEQIKPMLSVADIKKLTSDYAKRKVCCQITVKKVDVKTNWYDNVCTTCAAEVQIVQGRFKCENCVLTFPPSLKAFEKKQFVVTLLLTEQNVNKTCNIYTATELNDPAEMLGNHSPSRIQSIASEPIRETMELERSKDTFSSPPTGKSTNKVKTRSTDENVSVAIMETMEIERNTETLISPPTGKSTTKVRTRSTDEDATNSMEENVPLAKFKIVKTEKAARSYFVNKKRVQESTHIRKDYNMSTKKYDSFKDLRKGKYDWKVPARALNSWKGYTRTGEAFKGYNLLLLDHKRARIHAFVPARLEEEVDKLVDLGKIYLIQNFTVKDYKADDKFRCLRKNIQIQFGEETIITQLQEEDISIEKTWFDFYDLAELKPLSTQTTYLTDVMGVMEKHDPIGKLTNRHGKVQSQLKFGITDGSTTAHVTLWDDFAELFSSMLKMDQEEYKILILGGARITKWGDEAVITHVHATTFYINYDIPCVHQLRKRIPHPSFKKDNIFKGRNRSPAVYKVKDIKELGEQYDETELICQVKIMEFEQVKNWFKPFCTSCYGECTVIGNLKTCSICNRTVPYAENRFELHTLASDETGQMKIILEDREVRRLIGKTALDLIEEGNKVDIFPAILDNLKNKFYSIKILIKEANTKYKVDAFLATDIIEGSYTEIKNETEREYPQPIQSFETHLSESSYHLDSAN >KZN00777 pep chromosome:ASM162521v1:3:8472679:8493555:-1 gene:DCAR_009531 transcript:KZN00777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSRAQLNKPHKTRFASKATRNVHRTSLKDKKISKPDRNVNKGARAARLQRNKMVREQKRAAVLKEKRAGSGSTSPPRVVVLFGLSASANLDSLAEDFVHLLSNGKTGTDLTVSSSEYKLRTTVLRAPHGDLLACMEMAKVADLIAFVASAHSSPEVESSSYYIDSFGAQCLSVFRALGLPSTVVLIRGLPTELKKRNELKKICASTLASEFPEDCKFYPADTKDDLHKVDCIATDSDAEKCTLLLTGYLRARSLSVNQLVISSLVPDPMKQEPLLVENLPDPLAGEQTWPTEAEMAEADKIHMERKLKKRTLPRGTSEYQAAWIIDDSDVDNSDVDNSNSDVDCIATDSDAEKCTLLLTGYLRARSLSVNQLVHISGAGDYQLCKVDFLQDPCPLNLRKEADIMDSYELHSVISSLVPDPMKQEPLLVENLPDPLAGEQTWPTEAEMAEADKIHMERKLKKRTLPRGTSEYQAAWIIDDSDVDNSDVDNSNSDVDADDDMVLDEGNKSIHGQDMLETFDDDDNKTFVSLNDRESDQESEVDSVMMEGEMTKEQIEEEIRRIKEAHAEDEEYPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFTFDNFTRTQKHVLAKALEKEDGDKDECIPASSYVRLHIKEVPFSVASKLCDRSKVSPVIACGLLQHESKISVLHFSIKKHETYSAPIKAKEELIFHVGFRQFVTRPIFSSDSINSDKSKMERFLHAGRFSIASIYAPISFPPLPLVVLKGGDVAAPAVAAVGSLRSIDPDRIILKKIILTGYPQRVSKKKASVRYMFHNPEDVRWFKPVEVWTKCGHRGRIKEPVGTHGSFVGYKATIEESVQAKIVNALSLGDRSTASSLLKDVSCKDGVLRADDFLEILNYCARSPDPLFVMETWKTMQLKEVSISGKCYFLITRALCKGGYIEEVFSLINNVGENSDLYPVLPMYNNLLQVCVETHSVNHVIKCLDLMEKQMVGKNEATYMQLLKRKLSAVHDIWKECNKYYNPSMIVLNRFICSFASLGDLNAAYAVLQHMLTLLLQGGSIVKTNAKGSPHTQRLDIPIPSYTVLNLENVSIDNQTLVPSVVEHCNNVGTQASEEMHLSTFNSKKREFGDVGMDVLSRCVSVPALRVLRASFNSIIYACAQAKNSLLAEHIFLQMQNLGVEPSSSTYNGLLRAVVKDKGSEAGIELLKSLQKRNVQPYDPIFAAISIKCSKELKVDLAESYLDQMSCRSVVYPYNSCLEACKILDQPERAIRILAKMRHKEVRPNIRTYELMFSLFGHVNAPFESGNMQSHMDAAKRISAIEADMLRNGIQHSSLSITNLLRALGAERMIKELMLYLRVAERNFSFYKKESVTLIYNAVLHSLVEAEETQLAAETFRTMIKYGYQPDLATYTIMIDCCSIVGGFKYACALVSLMIRNGFCPKGATYTALTKLSEAVVPSVFREAATFKILHSHSILF >KZN00951 pep chromosome:ASM162521v1:3:10239433:10244956:-1 gene:DCAR_009705 transcript:KZN00951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASSTKLIALRRLLLTRTLKHISPVYSSSSSIPRSLLSPLRFLEPSNYSIPPLSRTFSSSGPSGIDPGASPVAVDYRHESFSEDEFHNLADSAIHHLLEKIEDYGDSVDIDGFDIDYGNQVLTVKFGNLGTYVLNKQTPNRQIWMSSPVSQPKFGSFAYSVTPVAFYVKLGIKATSGPSRFDWDQSAQAWVYRRTKEYLFNILESELAQLCGHAITLS >KZN02319 pep chromosome:ASM162521v1:3:32014221:32015989:1 gene:DCAR_011073 transcript:KZN02319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRNIQYSRLATDDYDDIDGSVLRHDPRFDYSLKQLDVIPWKSIALALFLLLLGSILLCLSILIFTGHMGGEESQAYGLLGLGIVSFLPGFYETRIAYYSWRGAQGYRFASIPAY >KZN02953 pep chromosome:ASM162521v1:3:39502343:39503509:-1 gene:DCAR_011709 transcript:KZN02953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGRMSVQPVANTEAEKNESIVRRVPHQKPPFTIADIKKAIPPHCFNRSLIRSSSYLVFDIAVCFFLYYIATNYISLLPAPLSYVAWTAYVYVQGCFMFAIWVVAHECGHHGFSDYHLLNDTLGFILHSLLLVPYFSWKISHRRHHANTNSLDRDENHVPRFKNTIRSYYHHFNNPLGRVFIITFTLTLGWPLYLIVNIAGRSYDRVASHFDPYSPIYSERERLQIMLSDLGFVAACYGLYRIALVKGFAWVFLVYGAPLHVVNGFLVMITLLHHTHLSLPHYDSSEWDWLRGALATVDRDYGILNKVFHHIADTHVLHHLISSIPHYHAQEATEAIKPVLGEYYHYDGTPFYKAMWREAKECLYVEEEDEGDNKTKGVYWYKNKL >KZN03308 pep chromosome:ASM162521v1:3:43452406:43453494:1 gene:DCAR_012064 transcript:KZN03308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGASIPDGTLAYFDEYDQLQEVSVHSLAAGKKVVLFGVPGAFTPTCSVKHVPGFIEKAEEFKAKGVSEILLISVNDPFVMKAWAKTYPDTKFVKFLADGSGKYTHALGLELDLTEKGLGVRSRRFAAVVDDLKVQTINIEAGGEFTVSGAEDLLKTL >KZN03828 pep chromosome:ASM162521v1:3:48783402:48784533:-1 gene:DCAR_012584 transcript:KZN03828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDTVLASRNQAEAKASSDPCAAPTNHVKFAEAHASSDLCAAPTPVGKASSDPCAAPTNHVKFAEANASSNPCAAPTPIAKASSDPCAAPTNHVKFAEASASSDPCAAPTPVVTPTKDGAATPEVKTQPRSDSDDEDWAHHCFFNIGKKLGDLAFTVIYSVCE >KZN01966 pep chromosome:ASM162521v1:3:27467634:27473234:1 gene:DCAR_010720 transcript:KZN01966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIRLPPEDSDVSTARPPTNTDLISDDDRSVAADSWSIKSDYGSTLDDDQRHADASEVLSAVPYRSASDYSSDKEEPDAEPVSSMLGFQSYWDAAYADELANFREHGHTGEVWFGADVMEMVASWTKGLCNDIAQGQMLNHVADDTSVTPEQGEKDLTDWSVLDVGTGNGLLLQEFAKQGFTDLTGTDYSEGAIDLARSLADRDGFSGLKFLVDDVLDTKIERKFQLVIDKGTLDAIGLHPDGPIKRIMYWDSISKLVATGGLLVITSCNSTKDELVQEVDNYNHRRVAASQESETSVSQEAGSNSPLFSYLDHIRSYPTFMFGGSVGSRVATVAFVRK >KZN02393 pep chromosome:ASM162521v1:3:33005989:33011206:-1 gene:DCAR_011147 transcript:KZN02393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKTVLFGKKSSKSNAAKDVTSGRKISSVARAPSSDLVENPSAVLETAMQTTNPNGGLPERATEVTRAPTSEVTLLSGVQGADDQGTMPLSSADTDELNRQHQAATKAQAAFRGYLARRAFWALKGIIRLQALVRGHLVRRQAVATLQCMHAIVKFQALVRGRAVRLSDVGREVQYKFGEPVGKPLDMLRANTFPGTLKESSNPFVRKLLSSSPTAMPLSLQYDLGEPNSAWKWLERWSSSSFWVPLARPNKIIDAKPKRKQAGMQSDEVEAVRPKRSVRKISGMNGDSSKLHSSEYEKPKRNPRKSLGHQPESVQEPQNELEKVKRNLRKISATALVPTDTPETVIEKPINPKKTHGSPKHDASEQVADLSSEKPSDIKVSDSSVALPTQPVAEAPSESLALDKPVDVVCDNLSAVELQPLENNEKVENSLKVDEDQTYKEDQSSKESQKRRRRKSNPVKAEYPESVSQNSPTLPSYMQATESAKAKLRAQEAQRFGDEEAENGFTRRHSLPASTNVKLSTPSPRMQKPLQANGKTASKSNRSLTSSRDGYVAIPNCYEVVSL >KZN00161 pep chromosome:ASM162521v1:3:2576840:2578268:1 gene:DCAR_008915 transcript:KZN00161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQTTTVTHSCRQLLGKNWSLLIGRPLSLRVWYDRDGNNLPIEERTVYVFKAKGIRRMTVYDPVPPALEALSGSNIEIIVGVPNLQLQSLQTAAGARTWVRDNIQNYMPGVRFRYIAVGNEVDPNNPDTSEYVGLVLPAMKNVHDAIVAAGLQNQIKVSTATYLAVTSGSPPSQGSFKENAKEFMEPIIRFLAQNNLPLLANIYPYLRHLGTPQGDPRYSQLTERDVVLKDPVDDRLYKNLFEALLDTLYSAVERSGGRNIEIVVSESGWPSSGDLDASPQNAETHLRNLMKQDRTKGTPKRPGKPVETYLFAMLRENLHGGSETERHLDLYLAKKEKERKLGIKTPIKNPIFNSFFLL >KZN03792 pep chromosome:ASM162521v1:3:48191013:48192471:1 gene:DCAR_012548 transcript:KZN03792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCQKMGLKKGPWTCDEDRILINHVTLHGHENWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFSEEEEETIINLHEVLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRIKKIQESNAAEIKKEDDINAEPSRSRKEEEIYQPNSPQQQSSVTTTTTTSVDDNNEEAMDADALVDFLESDDAFWSEVFSTENSGSTSDYFLADNSGTATSEFNGDEFHLEFPEFDGSDSDINRMMNMKSDVVDSFWYDMLTNSSGQLPEL >KZN01451 pep chromosome:ASM162521v1:3:16368652:16369009:1 gene:DCAR_010205 transcript:KZN01451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPKKFWFADEPKEKEVDEHEEEELEEEKGAEAEEEEEEEEGHTREELVAIFENYIKELQELDEEEDEEEKKKM >KZN00865 pep chromosome:ASM162521v1:3:9377130:9387260:1 gene:DCAR_009619 transcript:KZN00865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAVVAAGGAAATAAGGEARPQEQQGFGKSIQGIIRIAIFWYFASKFFSPKTPPPTGFEPAVQISNLFPKAEPLDMWFYLSENETFKDFASEGALVWHETNIPYAVWGPESTRSLSLKYYPSEALKHNGSLYAHIFFARSGYPADPMDPEYQPLTAFGRTYPVVTYLPKSKADKKRSLLADSEDKGELATEVVEDAQADQKDDGPVEWISYWKPNITINLVDDFTKYTYKAVPPNIAPWGYYPTIFFNEFWLLKDKLIPINETVTELPFHLEVGPISMTKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLMITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFFSQLIVFLYLLDNDTSWMILASSGVGCCIEFWKIGKAMHIEVDRSGSIPRLRFRDRDSYSGNKTKEYDDLAMKYLSYVLFLLVACSSAYSLKYERHKSWSLVNFKSLPSSFPFSSIIVSLRTKHLLPAAVGSSCRWLMQMQVGDLREHGFIMMCPQLFINYKLQSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPLLHRLSVFRDDVIFLIYLYQRWVYPVDRKRVNEFGFAGEDVDQASGSTDLTTVNEEEKKTK >KZN01655 pep chromosome:ASM162521v1:3:22640829:22642281:-1 gene:DCAR_010409 transcript:KZN01655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENTEPRLAYSFEDLIREEDERVTVGEDVCLPEDSIMPHSLGDVGKRCHPNHVKNTDLLSRVCDYDLSMMTPKMGVKHPLSPGKHVNFNQKGGSNNKKNQKRVRFSPSTPDISSVIDCDPIIEDTKQVKKRVILGELSSNDIFLKQNMRAANTDSSQITQTTPEIHHKQNGDTNRVKKRVVLGDLSSNDSFLKRKVRGGNSASSQIPCSPTTPDIHHKENGFVRFTPLTSESTVRTQSRDKGKKPMYNSEEDVPITPKNLNFSTEQVQDSDTEENDNYFRYQEDGTYLFSSDEDGDDDIDFGAYEFQKAAENGTFCLYDSFST >KZN00625 pep chromosome:ASM162521v1:3:7012574:7016002:1 gene:DCAR_009379 transcript:KZN00625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAMLSTQICRSSYVKTPTLCTLSTSSYSISTTTNINFSWIHQLGPTSFSQWSCLRPLGTSISQLRFVNAEKRRKFKRKGVYASLFGVGAPEALVIGVVALLVFGPKGLAEAARTLGKTVRAFQPTIKELQDVSREFKSTLEAEIGLDDIRNPIQSTTNVKTNPLEKITAEDSQMKVDPMTDGSPSTKKAYSSEDLLKITEEQLKAAATVEQKQIIAPGEDQSEAQTRPQGLATYYF >KZN03247 pep chromosome:ASM162521v1:3:42873514:42877010:1 gene:DCAR_012003 transcript:KZN03247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENETKEVLSPNKARDETIEVKNFVTMVQYILESSVYPNEPEPLKELRAVTASHPRALMGTAPDAGQMMSMLLQLVNAKKTIEIGVFTGYSLLRTALTIPDDGKIIAGDLNLDTYEIGLPIIKKAGVEHKINFIQSEAFPVLNKLLETSNNEGSFDFAYVDADKINYHNYHERLLKLLKVGGLVVYDNTLWGGSVAMPEDHVAEKWRTNRHWIIEFNKLLASDSRIQICLAPLAKSPNLDFTTMMQYILESSVLPNEPELLEELRAVTASHPRAYMGTAPDAGQMVSMILQLVDAKRTIEIGVFTGKDIYNLFNC >KZN00931 pep chromosome:ASM162521v1:3:9974476:9976146:1 gene:DCAR_009685 transcript:KZN00931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILILNPNTDGIHTSGASNINILNSEIGTGDDCISIGGGSQNINITGVTCGPGHGISIGSIGKNTDDSSVTGVYVNGCTMSNTQNGVRIKTYTSDCMATVSDVTFQDITVDQSKNPIIIDQNYCGGHQECIGNSHVQVSDVKFIGVQGTSISPIAVKLQCSPEKPCEGIELDTISISLNDGGETTSSCSNVNVTYNGPQNPPTCSNSLHLNHM >KZN01091 pep chromosome:ASM162521v1:3:11582195:11582584:-1 gene:DCAR_009845 transcript:KZN01091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKAYNSRRMGRSGLMRKLVWCGKSSVSRRSSKAEAVPEGHIRVVVGEVETELVFLEMEANYLNHPLLEKLLSLTGEEYGYSYEGALRIACDIRLFQYLLHLLSTGNPSAHYMDLSDLISNFNFQCSN >KZN00585 pep chromosome:ASM162521v1:3:6665171:6665743:1 gene:DCAR_009339 transcript:KZN00585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLHIVESLEPIPGQPLLQFSPDQAYKQKFLLLNYWKDCHDAWLHTGGCLVDILKLLHGGLPGGLPGGGGLPLDDPPAADAPSAPAPAGGSSAATDTATTVLDGDDDAGAGGAGGLPGIPGIGGGIPGIGGGIPGIGGGIPGIGGGLPGFQGPFSQVCCDALAELQKSCPGLAINPFYGPVVTKHCAAH >KZN02681 pep chromosome:ASM162521v1:3:35917577:35917789:-1 gene:DCAR_011436 transcript:KZN02681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEHIYPLVQCLENEHAGKVTGMLLEMDQTEVLHLIESPDALKKKVAEAMDVLRVANTAAASDVAEISS >KZN00714 pep chromosome:ASM162521v1:3:7851089:7860842:1 gene:DCAR_009468 transcript:KZN00714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFAAAGRGQGDHNIDYVPAPRVTEADKTNDKKSLQRALDRRLYLLIYGPTYGAAEDEAVWHFPEKIYESEGTLRKCAESALKSVIGDLSNTYFVGNAPMGHMIMPPKENAKELCTLKRFIFKSQVVATNKYDIKKGKDFVWVTKAELLEYFPEQAAYLDKMIICLVLVNFSASWCGPCGNVLPLFCSLAKKYTSMMFLVVDVDELYEFSTSWDIKATPTFFFLKHGREVDKLVGANKEELQRRTEIMAKPLFP >KZN03092 pep chromosome:ASM162521v1:3:41208622:41213994:1 gene:DCAR_011848 transcript:KZN03092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFNHGQPSCLGCEGCGGPSHCGMGCSALVSNNLGGYHVCTSVGNTSSMTIDLIDKRTPHAGVIVKMSSGLPYCSLSVSVICDSNEVQGPLTLNRSGKCDYATQFKHPAGCATIVSAHGKGWGWFVTLLTIILCLFGAYLVVGAVYRYFFLHIRGIDIIPNLEFWASLPHTVQGLFMSLVRRFRGPSEGYRSSYSPVNF >KZN03329 pep chromosome:ASM162521v1:3:43643638:43646273:1 gene:DCAR_012085 transcript:KZN03329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSFSPFLHVPNLILASGVRSISSSVQSTPEKNGLSDDASRSPDPLQESLNLGQEKDLLPNLLEKEKQNSALSVCKMPDQVPKASKKHWKNQEVKKPSFAPNGQASRKQSRKAKNPSRFPEATEKCPALIPTSLICQNPACRATLSDDAFCKRCSCSICHSFDDNKDPSLWLECMSESGEGDSCGLSCHVECALQSRKAGVADIGQVVKLDGSYCCASCGKISGILGCWRKQLLIAKDARRVDVLYFRISMSYRLLDGTSRFKELHEIVASIKVKLDTELGPAKGISTKMARYIVSRLSVASDVQALCSTAIEKADEWLAAASKADPSRREGSLPAACKVLFKEIESTSIVVLLIELPTSSLEDIKGYKLWYCKTREESHSEEPTCRILKSQKRVRISNLLPCTEYSFRVVSYTETGDMGHSEAKCFTKSVEVIGKTPSSVGVNNIKESLQGVADTNVGTKHKTGAEVESNSGFQVRDLGKVLQIASSQEQGCLNELSGERIENCPGVKEPNPQPVHDPLPRVSCELDLNVASVPDLNEELTPLVESKDEDNVIALGRVEPVGDEVSRDNQRNDVEKSHGSGDSQNWSHRPNGDVSAVDSKGKMNKKRAATANEELHDCDSTLVNGSPCGLHAASGSLGENFEYCVRIIRWLECQGHIKPEFRLKLLTWYSLRSSEQERRVVDTFVQTLVDDPSSLAGQLLDSFSDVVSSKKRRNGFCSKLWH >KZN00554 pep chromosome:ASM162521v1:3:6329497:6335686:-1 gene:DCAR_009308 transcript:KZN00554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDNNEIDGLCCPICMEPWTSEGVHQVCCLPCGHIYGLSCIQKWIRQRQSSRKCPQCKRSCSLKDVRLLYASRIVVVDEELQKKVQKLEAKCASLDTKHAECVKREDEGKKREAELYLQRARYLEGMLEHSERTAGSVTASSDFPRSSCTGQFRKTGCSNSFELQKEFNIEGARVFDVDASGQVLLIARRLTGMGGLFGLTKISLVAPHDRLDMQLPSNTKAVRDLRFSPRDRLLLVASLGKKLSIISSQSNTTVLTYDLPAAAWSCSWDISCSNYAYAGLQNGMLLVFDMRQTREPVKCLAGITCNPIHSLYSVSPESPSPSGVRTILTASSIGACEWNFGGVDERPFLIPGSDNEGVCVSLACSSSNSNIVASYRPKVNMLNKESASQAVPTPSTNAMGNSVVGSHVSYKRTSSQCFQKLGSTCANVNDIRLPKSAIVDIRNNDAPLFVSGDEARGEITLQLLPSLLTVQHLTPQKFPVRDVKFTHGSNSSILGCLCDDILKLYSLKLS >KZN01723 pep chromosome:ASM162521v1:3:23859579:23860485:-1 gene:DCAR_010477 transcript:KZN01723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNYNGEKELAASSRKGKNKKSGSEKPKQPQRGLGVAQLEKIRLHAQMEMGGDFIPAPNTFRPFTNPIQMGLGDLGRPNMGHGDSQPTTNNTASRWTAENTILNPHYYQQPSITRNLIDLELEAEESVEKKKNGQIGDSMGSGSQRSGSSGSQELDLELRLSL >KZN00792 pep chromosome:ASM162521v1:3:8751568:8754800:-1 gene:DCAR_009546 transcript:KZN00792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYVFSLIVLLLTCSSSGLKGASSDDQTQFFSLMKKSLSGNLLSAWDVNGSQPVCKYRGIECNNQGFVVKIDISWWALTGSFPEDVCTYLPELRILRLGNNHLRGKFPYSITNCSFLEELNITTSNLTGTLPDLSPLISLKILDLSSNYFTGEFPLSVTNLTNLEILNFNENSGFNPWQLPDSFTKLTKLKYMVISTCKVQGRIPAFIGNMTSLVDLELDGNFFTGKIPPELGLLKNLQSLELYYNELVGEVPEELGNLTELIDFDISVNFLTGEIPKSLCSLPKLEVLQLYNNSLTGRIPEVIANSTTLRILSLYDNSLTGQVPKNLGKFSPMIAFDLSENQLSGELPADTCKGGKLLYFCALDNMFSGTLPESYGSCLSLLRLRVSQNRLEGSIPEGILGLPRVSIIDLGYNNLSGSLAKTIGNAKNLSELLIPRNMISGILPPEISHATTLVKIDLSNNLLSGPIPSEISNLKWLNSLILQKNKLSSSIPETLSSLKSLNVLDLSSNVLEGNIPESLSNLLPNSINFSNNLLSGPIPKPFIEGGQLESFSSNPSLCVPNDLSSKDTNFPTCPQTYNRKKLNYTWVIGVSVGIIIFGGLLFLKRWFSKERAVTKHEDSFSSSNFSYDVKSFHRISFDHYEIMEAMVDKNIIDRGGSGTVYKIELSNGEIIAVKRLWRQATKDPSSDDQVVINKELKTEVETLGSIRHKNIVKLYCYFSSVESNLLVYEYMPNGNLWDSLHRGKIQLDWPTRHQIALGVAQGLAYLHHDLMPPIIHRDIKSTNILLDVNNHAKVADFGIAKVLRAQGGKDSTTTVIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELITGKKPVEAEFGDNKNIIYWVSTKVETKEGVMEVLDKQLSGSFKDEIIKVLRIAVRCTSKSPALRPTMDEVVQLLIEADPCKISSFKSSNKVKDMEDVTKPPKNQYES >KZN02677 pep chromosome:ASM162521v1:3:35761055:35782355:-1 gene:DCAR_011431 transcript:KZN02677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFFKPSKPKTPQELAKAIRDSLNALDTKTIVEVNALKKALEEVEKNFAIMRVMLSGDGEVEPNPDQITQLTLEICKEDGLTLLMDKLPLLKWEARKDLVHCWTILMRQQVGSTFCCVQYIENHLEFLDFLVVGYDNKDIALTCGSMLRECIRVPTLAKYVLESPSFEIFFKYVELPNFDVASDAFSTFKDLLTKHETVVAEFLTTHYDEFFERYETLLTSRNYVTRRQSLKLLSEFLLELPNPHVMKRYIAEVRHLKVMMTLLKDSSKNIQISAFHVFKVFVANPNKPQEIKLILAKNHEKLLELLHNLSAGKGSDDDQFEEEKELIIKEIERISRLLNLET >KZN02324 pep chromosome:ASM162521v1:3:32063332:32065164:1 gene:DCAR_011078 transcript:KZN02324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVFENYERQYCELSANLTKKCRAATLVDGEQKKQRLSEAKVGLDEAETLIRKMDTEARSLQPNVRAVLLAKLREYKSDLNNLKSEVKRIASTNLNQAARDELLESGMADAKMWVYDPGIS >KZN02525 pep chromosome:ASM162521v1:3:34230813:34232834:1 gene:DCAR_011279 transcript:KZN02525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHEPVRDYSRTSFSPAWALEGQEVEGVDTKGDLNSLDRQTDESVGLNGAHVNSVEGNDIANNNDDKLQDHSIKGKEDFGKERSKRIDVRALGLDLQLAKTPDDVEEVLKDMNEVPLQVYSSLIRAFGRENNVDSALALFNWLKRKKKDSSSVGPNLFIYNSLLGTLKQTGKYKEGEKLMSDMAAEGIQPNIVTYNTLMGIYLAEGRAVDALNLFEEIKMKGLCASPASYSTALAAYRRLEDGFGALKFFLDIREKYKNNELGNDLNEDWDYEFAKLENFIVRICYQVTRQWLVKTDNLSTNVLKLFADMDKAGLQTGKAEYERLVWACTREEHYAVAKELYNRIRDMDSEISLSVCNHIIWLMGKAKKWWAALEVYEDLLDKGPKPNNMSYELVVSHFNTLLTAAKKRGIWRWGVRLLNKMEEKGIKPGSREWNAVLIACSKASETSAAVQIFKRMIENGEKPTVISYGALLSALEKGKLYDEALQVWKHMLKMNVEPNLYAYTIMCSIYAGQGKFGIVDSIIQEMITSKIEPTVVTFNAIISACARNNFGGSAYEWFERMKVHNVEPNEVSYEMLIEALTNDGKPRLAYDMYVRAYISGLNLSLKAYDAVIESAETYNSTIDVGSMGPRPMERKKKVQIRKNMSEFSKLAGIPRRGKPFDRRELYAPETML >KZN00002 pep chromosome:ASM162521v1:3:975704:977909:1 gene:DCAR_008756 transcript:KZN00002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSYETLSNGKSRDPSLQIIRHPSYQSSNKLLLPWLDIKVFYVRISNFLVDESTPESLNVNHIPLSPDTILEVNGARCKIHSEGISCVLRRDRVDKKAEEATFVSTANVRLTGSVKFEVLDGDNLVLSGILEMSNKNGFSGESKNNVRTWSMNCEPVMSACTGFLKGKQIKGSESLSPTIEVYVAGSFSGTPVILTKTIQLALRKKNIRNGMLGTIPEYEAAESQEHTTSELDQQIVEYRNYKMATEEDYSNNASSLYWRHGEEYIEGEDGELSWFNAGVRVGVGLGLGICLGVGIGVGLLVRTYQTTSRTFKRRLL >KZN03079 pep chromosome:ASM162521v1:3:40988983:40993532:1 gene:DCAR_011835 transcript:KZN03079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNDYNSLFSLLISDIKSYSGRDPLVPWLRGIRKLKDTLPPQLLREKLPRFLQKCAETFVSDRRYANDLRYLRVWLQLMDFVDDPGAVLGTMKANHIGLKMSLFYQAYALYYEKLKRFEEAEKMYHLGAKNLAEPMEELQKSFEQFIQRMKRHKNKRMERQAWKTKTRPLPAGLVSLSSKENIENNENKNVTAGHKKSLPETQAKFGHTEKESKYPGSTSNLNHVELRTIPSLKKKSSLKHHDGSENHIGEDTVVVKFVGTAIVGKSEAEDACHHGLVEPTINTKEALNAINSMFQEPLEPAHGSRRSQKNQTKAAQISANGFEVFVDENLDNGVGTSNHKLEMISSNPNHRIEESHQPVQEPFQIYIDDDDSNDTTKRGQENNFKHKEAQGLKDAAPFPGSKGSMFLKAPLENSKASNVEKPPRTLVREDTAVYRFVGSTISEEPQVENVCHHGLVEPTINLKEAMNDINNMFGKPIEFMRKNRPRKQQDKAKEKKIDCGEFLILPDDELDNKPAKIKASSCSRSESDLFEQTMCTKEAMAEINEFFKMPLDF >KZN01374 pep chromosome:ASM162521v1:3:15050707:15051804:-1 gene:DCAR_010128 transcript:KZN01374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFFIGREIQSGNVTYRIIARIGCWGPDFEYPVYTAECTVNTIGVPNKAIKIAAGGQPIWENVNHDINEMMDCLFHPGIATTLLLFDEHFFINFDDVQYICIVFALQQHISLRSLMLVDQRFTHGFTIPQIRRAASNMVRAIMDLHHFDLNHTQLTAGHIVCSPEYIKVAATCTAFEVDWHAAFFANHFLPINRISDRGRAPEFVDEEAQLPHEVEAALADQPPQELEEAAAAEDIEDQPNFWYQYHAKRRDVWSIGLVALELGYGRISVLNRNQFLRVVNYIEQLGILPETWEQLLQNAEAEMPIHGLAGRFTNEYVHFVRTCLRISPYARPDTLGLLNDDFLGPVGMLSEEDFRLLVFPQNH >KZN03854 pep chromosome:ASM162521v1:3:49317392:49322454:1 gene:DCAR_012610 transcript:KZN03854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLKPSHLLLFLFFLSLVQSILPTRPEGEALVKWKNSLAPSSFLDSWSLTNLDNLCNWTGITCNSAGSVSKINLYEKQLDGMLSEFGFTSLPNLNSLTLAYNFFSGPIPPAIENLTQLQYLDLRNNYLDGPIPFQVSHLQSLLILNLSQNALQAPNWSHFSPMPFLRILNLSYNPLASKFPYFISNSHSLTHLSLNYNEFTGDLVRESVFANLHNLEVLSLVGNSFEGPIPPDIFKLSKLKRLFLSSNKFSGSIPNDIRREGEALVKWKNSLAPSSSLDSWSLTHLDNLCNWTGITCNSAGSVSDINLFNKQLTGKLFEFGFTSFPNLNNLTLADNFFSGPIPSAIGNLTQLQYLDLSNNYLNGSIPYQVLFRMK >KZN01137 pep chromosome:ASM162521v1:3:12103843:12105754:-1 gene:DCAR_009891 transcript:KZN01137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWAIVVHGGAGVDPNLPAERQEEAKQLLTHCLNLGISALRSSLPAIDVVELVVRELETNPFFNSGRGSALTEKGTVEMEASIMDGNGRRCGAVSGVTSVKNPISLARLVMDKSPHSYLAFSGAEAFAKKHDMEMVDNEYFITEDNKGMLKQAKEANTILFDYRVPLDSCGAGVESPIVMNGLPISVYAPETVGCAVVDSQGRCAAATSTGGLMNKMMGRIGDSPLIGAGTYACDLCGVSCTGEGEAIIRGTLARDVAAVMEYKGLGLQEAVDFVVKERLDEGKAGLIAVSKTGEVAYGFNCVGMFRGCATEDGFMEVGIWE >KZN02382 pep chromosome:ASM162521v1:3:32874949:32877233:1 gene:DCAR_011136 transcript:KZN02382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEDPVSRRNQIDVAAKKSNKGSLPSKKNILKAPKRVHKAERERSKRDHMNTLFFELGKSLEPDHHDKGKASTLNHCIRLLHDLLAQVDCLRKENSALLSESHYVSIEKDELVEDNSALKAQIGKLQTEIDEKIQPPSLWGFNQPQSQIEGNLEQQLEGPHIVVPLADHATPTAPIVSPIFVMPLQDDSQVHPETDTVKATLRHPPHISRPHARYPSPSDSWPSQILSEKPKGTEHITQHSSC >KZN00451 pep chromosome:ASM162521v1:3:5386500:5387558:1 gene:DCAR_009205 transcript:KZN00451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKERRDRSVSFDRSRASPFPCGSSRSLLSLTKNPSDNEENVKEWEVTQCPVCMEHPHNAILLICSSHDKGCRPFMCDTSYRHSNCFDQFRKSSAERSETVQLEGAPVSVEQPSSNVAVPEAAVTSSQAEARREGSPGMHDNVENQKGKLVCPLCRGDVNGWMVDEPARIFMNSKSRSCASETCDFSGTYAELRKHARVVHPEVRPTEADPERQRDWRRLEQQRDFGDLISTLQSSIGEEMESTEDGTFTFNESRWLTVYFLIRVFRPRRSSRSSSWSGSSRARAPVRRPTTRLWGESYDSESRDRDRESRDRDTETRDPDTETRDGGTGLRWSEQIRRQPTQRQQTPDDES >KZN03339 pep chromosome:ASM162521v1:3:43794389:43798351:1 gene:DCAR_012095 transcript:KZN03339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEERGSIAFFTTYRPPVALDIFCTSFPQFKNEVKMTDEVSYNYNGHSIPPAALKTLLKRPLFVHHGINEADVDSGRVSGTIFVSERESLETFHVALDFKDGKRPRVFSLADVYGRADGVRMEDSACIAGQDGEYLVFVSTKEPAKRRRQPWTVVYKMNLVTGRTERLTPSLQADLSPSVSPCGKKIAVASFQKKAGWNGEIQDLQTDIFVMNIEKPYKRHLVVDNGGWPTWGSDNIIFFHRKAAGFWGVFRADIGNGLTQKCHRVTPDNVDAMTPVAIDATTVAVAINRPYSSFSIEIPHEKEIYRHIEIHDSTKTKAPVLLTQYYRPTIDHFNPFVIIDGAKKHIGYHRCIRELLKIEEHNMEKRFHKVLSPQPDVGLFRLSGAFPTFSKDGSKLAYVGNDFKAVWIVDFEGTGEPREVFEVPDNLDTIFAPIWNQNPKKDILYVCMGSSFNDQGALHIYHIANVSKERRHHMQLTTSGNNAFPSTNPEGTKLVYRSTRDCKNNEGYKNLYIMEDLALGDSVEENITRLTEGNWVDTHCQWSPSGNWIVFSSSRHKSPTAAKKDHMLDAGYFAIYLVDPKHKDVVVRVLGSADDLAGHVNHPFFSPDGKSIVITADLAAVSVDPISLPLIEHSARPYGDIFSVDIDPNDIKKNENVKKFNRLTHTRYENSTGTWTTFSTHDTNAVWNRLIEGDRAWGMSGHPCLPKRCP >KZN01050 pep chromosome:ASM162521v1:3:11162348:11163070:1 gene:DCAR_009804 transcript:KZN01050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVYLQLLSMGRRKFGTDFQLMFRIVKVLLFFGFVTVMTILFLVCGLTITDIFAALLAFAPTGWAFLLIGQACRPALKGIGFWNSLMELARAYECMMSLVIFMPIVILSWFPFVSEFQIRLLFNQAFSRGLQISMILAGKKDKKSNT >KZN03802 pep chromosome:ASM162521v1:3:48318225:48322282:1 gene:DCAR_012558 transcript:KZN03802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARGWLHKFQPRERIRSSAKNKRSGVPEDDENKEETPLTEEEASEITKKRVAAAKQYIENHYKEQMKNLQDRKERRNLLEQKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKIGADDFEFLTMIGKGAFGEVRICRKKETGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDSDYLYLIMEYLPGGDMMTLLMRKDILTEEEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKNGHLRLSDFGLCKPLDCSTIEEKDLSSGDSNDKSKSEVRSPPPTRTQKEQLQHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYSLECDWWSLGAIMFEMLIGYPPFYSDDPMTTCRKIVNWKTHLKFPEEAQLTLEAKDLISKLLCNVNQRLGSKGADEIKAHPWFQGVEWENLYEMEAAFIPEVKDELDTQNFEKFDEAEHEAHASGRSGPWRKMLSSNDVNFVGYTYKNFEIVNDYQVPGMAELKKKNNKPKRPSIKTLFDEESETSDTSEEPKPQGGSFLNLLPPELEVTEKQSSKDSA >KZN01747 pep chromosome:ASM162521v1:3:24164345:24165895:-1 gene:DCAR_010501 transcript:KZN01747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEGDAPPSFWFQPPPTTQRRRSSSPTLNPVALIIIVPILALILIAFILIPLLSNVSQFLKAPTSIKKGWDSLNILLVLLAIFCGVLLRQQNNDDASVLDPVTQNVSDQEVMYHNFPEGSGAGKLMRSSSSYPDLRSTENNRAYRFYDDFDLNIYSQDHNLHTCESTYPAITRPESLPEPQGGAAAAPQQPYDEQAPPPQQLQPKEDEARQLKEDEPAPPQQEEDEKPPKEDEAPMKRRRSVRKESMKRRAESNHLDKTDTRSLPAEKTEMLQRKKSGATTEIATAIASLYNRAKKNKKKLKIRNTCDDATMIRSGFEASSITPSTPPPPPPPPPPPPSVFHNMFKKGNKSKKIHSVSPSVPPPPPPPNSIFSNIFKNTTGISKRFNSTTIPTPPPPPPPPRSSILNNFSKPAKKIEQTSSPPPLPLKPQSRRQKPPLPPKTSNYYQTTGAKSPVVPVPPPPFQMQQASTSETQTPRAGDVFCPSPDVNTKADNFIARLRDEWRMEKMNSLRAKQ >KZN02690 pep chromosome:ASM162521v1:3:36060164:36070977:-1 gene:DCAR_011445 transcript:KZN02690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSSLSTSLLKPDLLFRGSSNSRKLRFVKDSNRAYKSSLRVKIRAVKSEEAVIEGVGLNGNGSYKYNGNGNGNGSLVKYVNGNGGVGSNGEVPKQKKKKSVEEIGQEDAWFKENNPSQPQVSVTPGGRWSRFKTYSTIQRTLEIWGFVLTFVFRVWLDNQKFSYRGGMTEPKKVQRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAISILEEELGGPVDDIFDHFSYEPIAAASLGQVHRAKLKGREVVCKVQRPGLKDLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECANVLYQEIDYTKEAANAELFASNFKDMDYVKVPTIYWEYTTPQVLTMEYVPGIKINRIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIREGLLEVFYGVYEKDPNKVLDAMVQMGVLVPTGDMTAVRRTAQFFLVSFEERLAAQRKERELATAELGFKKPLSKEEKIEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEDFKKKWDRQSQAFYNLFRQADRVEKLAQTIERLEQGDLKLRVRALESERAFQRVATVQKTIGSAVAAGSLINLATILHINLIRGPATVAYFFCAYFGLQVLIGLLKVKKFDQREMLITGTA >KZN02612 pep chromosome:ASM162521v1:3:35058678:35067511:-1 gene:DCAR_011366 transcript:KZN02612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALGDLLHVAVNVQSAQGRPLNIPFSDSISIANSMFLRAENVAIRVELTNGSVGWGEAPTLRPITAEDQSLALAKVTEVCHMLATVTTEMPLSSLLGEVGQILQGHKLASGENVAIRVKLSNGCVGWGEAPTFRPIAAEGQQLVLAKVTEIPIVSSAKAYQLASDYQTKGFKTLKLKVGKNLNSDIEMLRAVRRAHPDCSLILDANEGYSSSEAIQVLQTLHEMKLLPVLFEQPVHSSDWEGLGQVTKIAKEKYGVSVAADESCRGLDDAKMIIERNLADVINIKMAKLGVLGAIEIIELAKASGLELMIGGMAESRLAVGFSGHLAAGLGCFKYIDLDSPFHLSEDPVLDGYEVSGPVYEFTNKAVLSAM >KZN01819 pep chromosome:ASM162521v1:3:25723695:25724321:-1 gene:DCAR_010573 transcript:KZN01819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKSPQHCAKKGFHIRKRYKKLLYTLCTILLSVISIAFIIYFILRPSKPEFSLKEIDINQLNLSSSPQLVNSSVELTLLSKNPNQRVGIYYDELNIYASYKNQQITVPTSLPPFYQGHGDNNLLTASLVGTGLPVAPSFGYEVKRDQTVGKLVLYLKGNGHLRWKIVSWVSGKYRFNVNCAAVLPFGPSIPSGPISSKQGTQCSTTV >KZN01706 pep chromosome:ASM162521v1:3:23574123:23576754:1 gene:DCAR_010460 transcript:KZN01706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKVHAEVVKGINGLDKFVLREARGSSAEIYLYGAHVTSWKNACGEELLLLGSKAIFEPPKPIRGGIPICFPQFSNHGPLDSHGFARNRVWSIDSNPPPFPTSSASKTFVDLILKPSEDDLKIWPNRFEFRLRIALGPGGELTMTSRIRNTNTDGKPFTFTFAYHTYYSVSNISEVRVEGLKTLNYLDNLQNETRITEQRNAITFQSEVDRIYLSTPTNISVLDNGKNRTYVIHKDGLPDTVLWNPWAKAVADLGVDDYKHMVCVEAAAVEKPITLNPGEDWRGSQEISTVPSSPCSGLPLPRKVLGN >KZN00978 pep chromosome:ASM162521v1:3:10447037:10448058:1 gene:DCAR_009732 transcript:KZN00978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCCKLYISESRNKEALDSIERAAKLQPETVIVKKFEDGAYNRVRYTMVSYVAHDSTGCPIYSPLHQTVVAMAEAAFAAINLELHTGAHPRLGVVDDIIFHPLGRASLDEAAWLAKTVAADFGNRFQVPVFLYDAAHPTGKELAIIRREQGYFRPNVTGTHWSGWIKPANYPERPDEGPSTISGARGVTVIGASPWIAAYNVPILSTDSSVTRRIAQKVSGRGGGLPTVQTIGLVHGEDTTEIACLLLDFNKVGADRVQNRVEMLASQEGLGVEQGYFTDLSPEMVTEIYVKSISANSN >KZN01871 pep chromosome:ASM162521v1:3:26376247:26378029:-1 gene:DCAR_010625 transcript:KZN01871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVTSSPDFLQGSEFVDYATSPDNFFSILEALDGELMNTIEMAGQEEGGVRVEVENATAPRLVSQNSAPCLISNDIDVKAPAEARNDLSSPKSNKRKLSSPAEEGQPKISHITVERNRRKQINDNLNVLRSLMPSFYVKRGDQASIVGGVADYISELQQVLRSLEAKKQRKVYSDVVMSPRLISSPRTKATTMSSLPISPRKPPLSPRLISSLPASPRTPQSINNNNCSAFNNHLHRLLVQQLHPSVNNFLLSPTNTMGSSSASLLLEPSPSSSNCSTSSIIDNDQLVANSKSRVADVEVKFSGPNVILSTVSPRIPGQALKIISALEHLSLEILSVTLNTTDQNTMIYSFTIKIGIECKLSAEELAQQIQQTFY >KZN00047 pep chromosome:ASM162521v1:3:1442323:1444647:1 gene:DCAR_008801 transcript:KZN00047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQSSAIGSLTESLSFDQGSTPSEQGIDQQIYWNNVRNSVPDQLSEYVPPSNTGSLYANSVVSDRRNLRSRRMDEPGPSVVRNQISHNEQKAEHFWVSTGHGLENRHHESAIGLSLNNVNLSGSQIANGPLFMQSSSSNFVSQDLNINAGSEGQGVDDCQIVERPLVFRSGSESLPISNRSSSCPSDLKSSEHVEGSDIGSSSLMEGRRLFRKRKATEENVGESSGSGSSNYFQHAESSVWRAIPAPRNTSNGLTISDPSENTAGVNQSEQVNPRLGLGVGGALSESFPAINVAGNTEISRRNLRLRINSSQQQDFLPSNALTVGTVAGSSSTSASRQAPGLLPGGNSLEPEEDSNSQFQSLLDHVPAMRRNLQSSRWTRSSSSRAGSSSTSAIEGNGASPEESSTGIVLRNRLEHPILRAPSRWRGHPSRWRGSVQSPIDLNVSGGSNGISGNDASSSRGGSAAGSHQGSRHNWFPDGNSPHYPRSLSGLVHRSLLSSSGPDSGGQNVNISPPHSHTSDSDQEMPSEGQERHLSHSRSAMLLDRHVDGASGLSRSLRTLAAASEGRSRIITEARIPFIANK >KZN03904 pep chromosome:ASM162521v1:3:49792480:49794300:1 gene:DCAR_012660 transcript:KZN03904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFACFNDLKSAFSLCKDSKSVAKIHALLVLSGFFGHGTCGSQLIAAYSRIDDIKSAVKVFDELPHRGIDSWNAIIVAFSRRGCPDEVMNLYGKMGLEGVRGDSSTFTVALKACASLLDFEMGENVRRRAVECGYECDVFVGSSVLNLYAKCGKMDDAMVVFEGMRRRDVVCWTTMITGFAQCGRMGEAIGVYRRMRDDRLEGDKVVMLGLIQACANIGDTRLGFSVHGYIIRRCFFMDVVVETSLVDMYAKKGRLELASRMFRRMRSRNAVTWSAMVSGYAQNGFSVNALEMLTGMQSFGFRPDVVSIVSALLACSQVGFLRLGKSIHGYILRILDIDQVLSTALIDMYSKCGLLSRARTLFDVINLRDLILWNTMIANYGMHGRGHEALSLFLEMIETGLKPDDTTFASLLSALSHSGLVDEGQYWFNIMASKYNIQPSAKHYAVMVDLLARAGHVKEAYDLINSMPDESGIAIWVSLLSGCLNYRKMSIGELAAKKILELYPDNPGSYALVSNFFAASRRWNDVAGVRAVMKQTGTRKVPGCSVVEMDGILHTFVMEDKSHPQLKQIIEILGKLELEMLATESIPESEICLYYFEEENASVLT >KZM99942 pep chromosome:ASM162521v1:3:287309:288198:-1 gene:DCAR_008697 transcript:KZM99942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSKHPTSENFSTEVKVARYEGPVVVELFSSLGDANSPEAEMLFSRLGRGDFKLEMPVILLAYHVDYWNHMGWKDPFGSSLWTARQKAYAEALQIDDLYTPQAVFQGKAQCMASVEDKLLSRITSAPRYPGLEFQATFQRPTENSLQVTLTGHLNSDVDSHGVDINVALYENGLVTDVENGPNIGRVLTTDYVVRKLAKLSSVKNISADTPISETLKFALWDGFDSSKCGIAVFLQNDSHHIFGSQDIKLPKDLQVKEEEE >KZN02462 pep chromosome:ASM162521v1:3:33670038:33675184:1 gene:DCAR_011216 transcript:KZN02462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQKESWRTVLTLAYQSLGVVYGDLSISPLYVFKSTFAEDIHHTESNEEIFGALSFIFWTLTIIPLLKYVFIVLRADDNGEGGTFALYTLLCRHARVSTLPNGQLADEELSEYRKDEMPADNKGFGMKLKSTLEKNRVLQKVLLILTLIGTCMVIGDGVLTPAINVFSAVSGLELFMSKHHHQYVEVPVACIILVILFFLQHYGTHRIGFLFAPIVILWLLCISTIGVYNIFYWNPHVFQALSPHYMYTFLKKTQTQGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSKHHNIATDYNIGFYVSVPEKLRWPVLAIAILAAVVGSQAIITGTFSIIKQCSVLGCFPRVKIIHTSSKMHGQIYIPEINWTLMLLCLAVTIGFRDTKHISNASALGYAAGLAVITVMLVTSCLMSLVIVLCWHKNIVLALCFIFFFGSIEALYFSASLVKFLEGAWVPIVLSFIFMVIMYTWHYGTQLKYEFDVQNKVSINWLLSLGPNLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVRPEERFLVGRIGPKEYRFYRCIARYGYRDIHLDDVEFERDLVCSIMEFIRSERQESITGSEDSGDDEKMTVVGTSSSYFMNTRMCEEDVDFSAMTGTSDFTEIESPEIPMKKVRFLVPHSPEIDRNVREELQELMEARESGIAFILGHCYVRAKRGSSFMKKAVINVGYDFLRRNSRGPTYALSFPRASTLEVGMIYHV >KZN00491 pep chromosome:ASM162521v1:3:5776791:5777192:-1 gene:DCAR_009245 transcript:KZN00491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLFQPGSILQRVSASPRWPLILYAATWTTLLTVTVAVASFSPELAFVSVISPTTSTRHNSLSRGPHCRNDESVRVPMDIPTEIFCIPVEMFRRSKMDLIVPPVFAAVIVACSAYVVRALALWEDDTIVEPY >KZN03910 pep chromosome:ASM162521v1:3:49819849:49820820:-1 gene:DCAR_012666 transcript:KZN03910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLYSCTEDEGGSGGSGRGVQGASSEHVIPIQKIEVKLSVCSCVHLLPISSFSLETLSSLYQADSSTISIT >KZN02344 pep chromosome:ASM162521v1:3:32265628:32267553:1 gene:DCAR_011098 transcript:KZN02344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLINFPSVSRSRASVNPIRGFCDVEEKAGGLLFYAKKYVPLIAQSKDNNGNCAGPQNLSAVVRLQEILEHQMFWKFIPSQGLKSCLLLRSFGDDKHIELPMKKNSDICTILYTTGTIGDPKGVLIFNNIIAYIVAAANRFLQGVPEHFTVNDVYLPYLPAAHIFDQIIEECFICHGAQIGFWLGNVKLLVEDIGVLKPTVLCAVPRVLDRIHSGMIIN >KZN02350 pep chromosome:ASM162521v1:3:32365356:32368847:1 gene:DCAR_011104 transcript:KZN02350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKGTSMIYTLRDLYNELDDSFRNYLDNSEDSRCLLDLLKFPAADIMPSLVSYFLEFYNPEENVFRINDQVLCISLEDVLFLTGLPIDGEPVIDHGNRDALGFHRVFGLRNQKFHSTAEMKKVVIEGKDNEVRKIVVLLLIVRCFIVPSANGGRVSTTYLRFIENVNRVDTYAWGAALLAFLYHGIAEWKRPESKKKTVEGNSWIILGFFLLRIPKLREELSNYLKLPITLNVSELTVPFLPPIIDQVGKMTHNHRADFVGFRNQLDNIFLNLAHEDVNWTPYEHSIHPQERRTFKRPLSAPSTSRRSPPPSDNNGDGGNEEERQRDLSAPSNPRRSPLPSDNNGDGGNEEERQRGLSAPLNLRRSPLPSDNNGDGGNEEERQRELKLKEDERMRDLSLLDCLKPRKNLDELVIYYYVNYLKRTMPSNACLLLSTFTYKKYEGEFRKPSSQIGKKKLKVWKGEFDEYSYILFPMCFSGHFSLDIVCFIDKEEGAGEILILHLDSLQYHQSTRIFEIITWILRLKWEEKSTLDFPQIVTKPVEVPQQPNKHDCGLFVIHMMELFLQKAPTRFTNDNLKMFGKNWFDPLTACALRERIKPIIEAEIEIESKRDELKAGNTLGKKRRRVIGEKKIVPIEPLEHVQKKVTRAKARKIVTRDQTRRHMKLRRNIKTVDGPVMKRKQIKYSEDFEDLTPVNQNVRNLRSTIKTVLGHKRKREHVDYAHLSNKPRKGHKLF >KZN02836 pep chromosome:ASM162521v1:3:37927310:37936944:-1 gene:DCAR_011592 transcript:KZN02836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYNRLPGSGHNSPSSPPSSPSSLRSPRLRHGRSKALNRFTQPPVTLPQRLSFLILSLLLKRQGLFLFAPLLYISAMLFFMGTVSFDVVPVIKHRSPLGSIYRSPQLYARLKAEIHADNSSMDAISTIWKHPKGGEWRPCTKKSAGGLPESNGYIYVEANGGLNQQRTSVCNAVAVAGYLNATLLIPNFHFHSIWRDPSKFKEIYDESFFISMLKNDVRVVDTIPGYLMERFDRNMSNVLNFKVKAWAPIQYYKDVVLPRLLEEKVIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSPILSLGESLVAKMKERSANNSGKYISVHLRFEEDMVAFSCCVYGGGAKEXKEVGRESLQNQVGLMLRGMGFDKSTSIYLASGKIYDSERHMAPLLEMFPLLQTKEMLASEEELAPFQNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHSKTIKPDKRKLALLLDNPNIGSVHVFDSDGADGGGDGGGEGGDGGTVIVGGLGGLK >KZN01084 pep chromosome:ASM162521v1:3:11425685:11426212:1 gene:DCAR_009838 transcript:KZN01084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKDFKGDSQVSGGPSQPAPKKERYTKVEGRGSRIRMPTLCATRVFQLTKELGLATDGATIQWLLEQAEPAIIRATGTGTVPAIATVTADGSLRVPETKEGAAEDVSKTSGLAAVGPSPVSVPGYGMAAENGMKMNQNQASVSCEARVSSAAEDEYDEVVLMGKKIRFRKRGL >KZN01327 pep chromosome:ASM162521v1:3:14516096:14528210:-1 gene:DCAR_010081 transcript:KZN01327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNLDSDLGNAALSGPLVPLGQLKNLQYLELYSNNISGPIPSDLGNLTNLVSLDLYMNSFSGPIPDTLGKLTKLRFLRLNNNSLSGVIPLSLTNIKTLQVLDLSNNHLSGPVPDNGSFSLFTPISFTNNLNLCGPVTGKPCRGSPPFSPPPPLIPTFAVPSPGENGPTGAIAGGVAAGAALLFAAPALAFAWWKRRKPHERFFDVPAEENPEVHLGQLKRYSLRELQVATDGFSNKNILGRGGFGKVYKGRLIDGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCITPTERLLIYPYMANGSVASCLRDRLPSESPLDWPTRKRIALGSARALSYLHDNCDPKIIHRDVKAANILLDEEFEPVVGDFGLAKIMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITRQSAFDLARLANDDDVMLLDWVKSLLTEKKLEMLVDPDLQNSYIESEVEQLIQVALLCTQGFPMDRPNMSEVVKMLEGDGLAEKWEEWQKVEVANQEIELAPHRISEWIPDSTDKLHEVELSDLFLFVDSGVGVGGGDGGGRHGGGGGMEDASRGGGGGGRCRDEAGGRGGGGVAVDIIIEIDNLCCANY >KZN03358 pep chromosome:ASM162521v1:3:43934344:43937377:-1 gene:DCAR_012114 transcript:KZN03358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVVDASPTSPASASSSGVDVEHKSPPACTLLGVGQAFSGTQNVSSPQKDEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFFTNKWKATSEVDIQHWTKFPSFSSLLDQVEVDGGKSLNLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSVNGFYYDPNSSPFQKLELQSAKYEDRTGFSFPSYELQ >KZN01926 pep chromosome:ASM162521v1:3:27061156:27062634:1 gene:DCAR_010680 transcript:KZN01926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGWIPLFDIFMNSGSPETQASLWLQHSYNPNPSASSFSTSSFLSLLTKPTNTNRFMWIQTLPNALQARVLSYLAYDHTRFSAVDLSTLAKHLLSYDDDQHLDFWVKTAAHHLLDLVSHSTYDWVSGFSLDSEGENREDEFQVLPHWLKQAADGDCDIVFPWLPVLPEELNTRMTVDVSGIFDDESLNEVEGIQDEDLAQVAAGVDFVRMSNDCVNPEIEKRAAILKSKIVNSECTSKAVALADEIQILCDGRHVNSLAVLSLIEPWQTDDETASILISHLSDGSNKEPSWPSHVLCSIVLPKLLVLEEPASRVLVTSTIEYCKMHQRSSVYALLYPLILRKDGINNPISDVVARVVKECLHPAHVSSFCQKLLCDEDDARKFICPPCHQHLLSDKLIRLLG >KZN00418 pep chromosome:ASM162521v1:3:5194012:5199179:1 gene:DCAR_009172 transcript:KZN00418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSLHSCLPSSSIHTLKPNSQKALIFISPTHVSFLKNNSKLRLVTSCSAKDDSASFPAGDNIPSNFCIIEGPETVQDFVQMQTQEIQDNIRSRRNKIFLLMEEVRRLRVQQRTKRLKAFDSDSMEENEMPDITSTIPFLSRMTPTTLKQLYLTSFAFVSGIIVFGGLLAPVLELKLGIGGTLYEDFIRNMHLPMQLSQVDPIVASFSGGAVGVISTLMLIEASNVEQQEKKRCKYCHGTGYLACARCSASGVCLNIEPISESMSSDQPLRAPTTKRCTNCSGAGKVMCPTCLCTGMLMASEHDPRFYPFD >KZN03174 pep chromosome:ASM162521v1:3:42054605:42060819:1 gene:DCAR_011930 transcript:KZN03174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGERKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDGIPSYPNLPSKLVCMLHNVTLQADAETDEVYAQMTLQPVNKYDQDALLISDMGLKQSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQEIVARDLHDQTWTFRHIFRGQPKRHLLTTGWSVFVSSKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPAEFVIPLAKYNKAMYAQVSLGMRFRMMFETEESGVRRYMGTITGISDLDAARWKSSQWRNLQVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRPKFPRQAGLPDDESDMENAFKRGMPWLDDYGMKDASNSILPGLSLVQWMSMQQNQRFPGTQPASFSPVVSSTALQSGLGTDDPSKLLNFQAPALSIPNLQFNKANQQNQPGGQMQQSSLAWHQQQQMQQLLQTSANQQQQQQQQQQQQQSHQHSQQQQQQQQQQQSNQPQQQHQQKQSIQQSQPLQLPQQQQQPLVQVQQPIQQVQQPRPQQLHQQQQILQSSLVNSGGVSSNQIPIQSSMLPINYSQLQQQQLLAGNTQLQQTNPINSRNSIQMTSLPQDLQFQQSMEQMSRPQQQQHPQLQQAQMQLLQQSLSQRPQMQHTLQQSLSEQQLQLLQKLQQQQQQQLPTPVSPRLEPQLPLQQQAFQQNRQSQQMPMSQQQLSGNSFSTSMLLPSAQFPMNQLLSQHKPLGINRAHSGITEGDAPSCSTSPSTNNCQVAPSSFLNKNQQGSGGFLDETVVGPATNSIQELQSRPDIRMKHEIMNPKGPDQPKYKGIASDQLEASSSATSYCLDVGGLQNFSLPGFTLDSDVQSHVKSNLPFAVNMDGLTPDALLSRGFDSQNVLSNYGGTPRDIETELSTAIDSQSFGVPNMSFKPGCSNDVDIAMNETGVVSSGLWGNQTQRMRTYTKVQKRGSVGRTIDVTRYKGYDDLRHDLARMFGIEGQLQDPQRNEWKLVYVDNENDILLVGDDPWEEFLGCVQSIKILSSAEVQQMSLDGDLGNVAVLNQTCSGSDSGNAWKGQYDDNSAASFNR >KZN00388 pep chromosome:ASM162521v1:3:4882139:4899638:-1 gene:DCAR_009142 transcript:KZN00388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACHEHRKSASSHRSSLSGSFREMWQPQPYVFSQSSRRMDDEEELRWAAIERLPTLERLTKGVMKQVLDDGKVVSREIDVTRIEYSTRKQLMNSMLKVVEVDNEKFLMRLRQRMDRVGMEIPKIEVQFDNLSVEGEVHIGSRALPTLLNATLNSMESVLGLIGLAATKKQIINILRDVSGNVKPSRTEMPSGTLADGGKYFGALFFSLVIVMFNGMVELAMTVMRLPVFFKQRDFLFYPAWAFALPIWILTIPISLMESTIWIILTYYTIGFAPGASRLFMQFLAFFGIHQMALSLFRFIAVVGRTEIVANTLGSFALFLVFVLGGFVVSKNDLKPWMKWGYYASPMMYGQNAIVMNEFLDKRWSAPNIYPKINEPTVGKVLLKSRGFFTEEYWFWICIGALFGFSLLFNVLFIAALTFLNPFSGDSKAVVEVEHGKKMCSTEKSGGLRLYLCILIRKYNKGNLRDHCQSSTVNFLTKNSRRGMILPYKPLSIAFSHVNYYVDMPAEMKTQGVNDERLQLLQEISGSFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYVEGSIYISGYPKNQETFTRVCAYCEQNDIHSPNVTVYESLSYSAWLRLSSDVTTETRKAFIEEIMDLVELDPIRHAIVGLPGVGGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPGIDIFESFDELLLMKTGGQVIYAGPLGVQSQNLIEYIEAVSGVPKIEKGYNPATWMLEVTADSVEAQLDIDFAEIYTNSSLYQACFWKQHWSYWRNPQYNTIRLFLTVFIGLAFGVIFWDLGTKIEKLQDLLNIMGGMFAAVCFLGATNASAVQAVVAIERTVFYREKAAGMYSALPYAFAQQIPVWWRWYYWASPVSWTNYGLVVSQVGDKDSALEVPGATNVTVKTYLKENLGYDYGFLPVVVVAHIGWALLFSFVFAYGIKFLNYQRR >KZN02307 pep chromosome:ASM162521v1:3:31879242:31883555:1 gene:DCAR_011061 transcript:KZN02307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCIPVPRLTGSKNKKIQDIGMVEAPECNQIGTPDFHPTHSNPSHHSTWKSLSSSPLIPTDHPNENLSNRNKRTERRVFNPRRPNIKRLNQCLVLSRVISLAIDPLFLIALSITGGAKPCIYLDGTMLVFATVLRTCLDLIHLLHIWLKFRTAYLSKESLVIGSGMLVWDTRSIIRHYVRSLKGFWFDLFVVIPAPQILYWLLLPKLLREEKPEDVMILAQFIFLFQFLPKLYHCFHLMHGVSKVAGYLFGTAWWGFILNLIVYFLASHVSGGFWYILSIQRVAECLRQQCYKSNQCDALALTCPRKICYSSFTHSCMDNSNMEADFSTCMDQNGDFPYGLYAFVLPLIIKNSNIVKILYASLWGLEAFSTMGNNLTPWTQPYEVIFTITMVLAGLALFTLLIGNIQVFLHSVTSRRRKMQIKYRDMEWWMRRRRIPYHLRRRVLDFEHQRWEIMRGQNEMEFTKDFPDGLRRDIKRFLCLDLVRKVPLFDHLDDLILDHICDRVKPMIYSKDEKIFKEGEPVERMMFIVSGCVRRIQNITQDMVTTSLIEPGGFFGDELISWCLRRPFIERFPASSATFTCVEAVEAYGLNADHLKYITKHFRYTFLRDELKYKTRYYSSNWRSWAAVNIQFAWRRHLRRVRDDHDIRGTGSGSGSDGATSSSDQRLRHYAAMFMSLRPHDYLE >KZN03607 pep chromosome:ASM162521v1:3:46272843:46274093:1 gene:DCAR_012363 transcript:KZN03607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLQISNNADPPLESHRLSEDLDSSCSTPYVSAPSSPGRNPSGYFFSAPASPMHYVLSSSKSSATSFRDDNTTVLSSESSFEFEFEISSRFSREDADKSAENIGSMTSADELFLNGQIRPMKLSSHLQRPQHLAPLIDLDGDDEDGGDVGTVEITRGRDLRSRNRSMHRKARSLSPFRAHEYSREIIKLKEDKYVTEEAEADGVEAPSTETTPSASASSSRSSSSGRNSKKWIFLKDLLYRSKSEGRGNGKDKEKFWSNLSFSQSAREKKLVSISPSLTPSSSRDEKVKKKLASPSLTPSSSRDENDKAKKKLVSPSLTPSSSRDDKDKQKNSKGKKQPAAKKSGGKPANGVSKRRVALSAHELHYTANRAQAEEMKKKTSLPYRQGLFGCLSFSSKSYGAFSVFTRTLNPVSSR >KZN01056 pep chromosome:ASM162521v1:3:11213614:11217928:-1 gene:DCAR_009810 transcript:KZN01056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPTTTNPISKMKKSKILCLHGALLKEELQIWPSNVLERMDLVFIDAPFRVEDEDFPAFSWIHAQDTTQMNIMFNESIAYIEEAMIKLGPFDGVLGMSMGACVAATLPGMQAQGVALTKVQDLQFVMVISGSKLDCLGPEVPKLAENAFSSLIQIPSLHSFGENDFTKLTAIELLDFFLDPFVIFHSGGHEVPELDEKGLKVMKSFLDKVQASFAAPKAIRSLITHSGCLTGNASPGCCSTKVQDLKCVVVICGGKFASVEIATPKLAENAFSSLIQTPSLHIFGENDFAKLGAIELLESFVDPFVILHPGGHEVPELDEKGVKVMNSFLDKVQASFAAPKVIRSLM >KZN02004 pep chromosome:ASM162521v1:3:28136074:28142213:-1 gene:DCAR_010758 transcript:KZN02004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIYWETRVLGFYILCISLILQQTRAQEGFVSLQCCAQTNFTDPNTNISWTTDDQWYPDISSCQEISPPTKVRVFSSTFGNKWCYNLKTRKDENYLLRGIFKAGEQQRSPTGTRFDVLIDITSIAIVKSSEDAVVEGVFKANDNYMNLCLSKEMGDPYLLKLELRPLMSEYVKEKATVALKVMDRVDVGSTGAEIRYPDDPFDRIWRPEPGDENVTVSSMTNIVITNASKTSPPIQVLETALTHPEKLQFLHNKLDTGFYQYELYLYFLELNESVQPGQRLFKIYINNMEVEEIDIMALGSRYRMLVYNFEANGALNLTMIKAANGSLLGPICNAYEILQIRPWIQGTNQADKDVIFNVRGELLAHNPNNKVLVSWMGDPCLPLPWEGVFCESRDKSTAISKLDLSFQNLQGPLPQSITQLQKLRELNMSNNHFSQAIPVFPSSSVLTSVDLSNNNFIGHIPDSLASLPNLVTLLFGCNHQLSRDVPANFYRSGLDTDKGMCGGSGSLHMATGIVIGTVAGGLLLIVGVVGISFWIYKRKATAKKREFDVKGYPLTKNMIYSISSMDDIILQSISIQSFSLQDIETATQQYKTLIGEGGFGPVYRGTLSDGQEVAVKVRSATSTQGTREFDNELTLLSAIQHENLVPLLGYCNENDQQILVYPFMSNGSLQDRLYGEAAKRKTLDWPTRLSIALGAARGKYHIFFDIIIQVLNGLTYLHTFSSRSVIHRDVKSSNILLDHTMCAKVADFGFSKYAPQEGDSAASLEVRGTAGYLDPEYYSTQNLSAKSDVFSFGVVLLEMISGREPLNIKRPRTEWSLVEWARPQIRNSRIEEIVDPNIKGWYHSEAMWRVVEVALSCTETYSAYRPSMVDIVRELEDALIIENNASEYMKSIDSFGGSNRFSIERPIAIPPTPVPTEPSPIPSQPVPPKPR >KZN03128 pep chromosome:ASM162521v1:3:41526388:41531721:1 gene:DCAR_011884 transcript:KZN03128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPPVDDYKSDDDEEENVDDTEEENREVEWRKNGSMISKSGGSGSEDTYKKALRRKNRRNTRIHESAYEKQNNDDKEQNLKDSQDREAKEKMVSDELYMTHPRKRTRYSSNRTPICTNPASMEIAVRYANILDQNYNYLTQVGYYEDMTKLMEWWIHAMVPEDYRTKKSPRIGLSRVPETQLLTDLAARYHSESRDSSWNTNTGLAKPSAIPDIVFVQVVCLVLQNAQANLAMYTRPPSYEQVTTLARTALEIADPNSQSTSTFSGDIVGEVIKLVGNILTDIYEKYEKYEARAQELQGSAGANKESEDDRTEMDEDTDDSGNATRMSPRSIYNGNGCEDSIATPWLLE >KZN01985 pep chromosome:ASM162521v1:3:27740630:27741984:-1 gene:DCAR_010739 transcript:KZN01985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKDQRPHDHAQDEKELAHYQQHISSWGMYEFNDGDKSSGGNTNASIITRSSSLSSPSSTNSSGALGVYRAMNNYQQQEEGHSVINFKPCYDNDNYLSQAGHIGSFLSFASDDYSSILDQNQVRGMSNVRLLENINSLQTATSTMEGNLNYGNHESFAWLNSTEATMPPSGIDQELSAEELSMNKRPHTGESMQSLKKQCTTTSKKGKPKPSSTPCASKDPQSIAAKNRRERISERLKTLQDLVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDELWPVQGGKAPELKQVKDVIDSILASQRDATDSSSK >KZN01745 pep chromosome:ASM162521v1:3:24151454:24151960:1 gene:DCAR_010499 transcript:KZN01745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREWDPPSTLTPPSPSPPPKTSLPMLYYGLVVVAAAALVLAVYNFIIVKWCANQRHRMNVPNSSIGSSVDSSSITNLGRSFKYKKGEGSVCLGYDNQECPVCLSVFEEEEHVKQLPVCKHSFHAPCIDMWLYSHLDCPLCRAPVEPQPQTHHRPSTSGASMTDPVLHA >KZN01343 pep chromosome:ASM162521v1:3:14680180:14684075:-1 gene:DCAR_010097 transcript:KZN01343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKENYLGFGLAVASSAFIGSSFIIKKKGLQRAAASGSHAGKSGAGGYGYLLEPLWWVGMITMIVGEFANFVAYIYAPAVLVTPLGALSIIVSAILAHFLLKEKLRKMGILGCALCVIGSTIIVLHAPGEHSISSVDEIWALATQPAFLIYTALAIAVVLVLVLYCESRYGQTNMMIYIGVCSINGSLTVMSIKAIGIAIKLTFEGSSQVAHFQTWIFVMVAVSCIITQLNYLNKVTKLFMPPLPPSK >KZN01462 pep chromosome:ASM162521v1:3:16525152:16526627:-1 gene:DCAR_010216 transcript:KZN01462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAINCYFHLSLPGFAFSVFGSFFKRGFAPTLPTFTVLIKGLALADRTLDAVNLFRKLIREKHCKPDKVMFSTVIGGLCKAGQTMRAVRLFKLMEQVNCKAHSIIYNTIIDSLCKDRLIDDAQDLFSEMIGKGIVPDVVTYNSLIRGLCSLRRWDELMKKIEEMGASGICPNLYTYTILVDAYCKEGRTEDAEFVFRSMIARGINPNVVAYTALIDGYCLQRKMDKAMKLYSDMNINNVFPNTVTYNSLINGYCSCKKLDQALQLLRLMPIKGVKPDVITYNSLMDLSYRLGKCALALELYNEMQARAIMPDMWTYTTLLDGLCKNHHIDEAMSLLHMLENKGLSDIKCYTIIIDGTSKSGDFDTARAIFDDLPSKGVKPSVHTYTVLINGLCLNKQFKEAKEYLVKMEEDGCLPNSVTYNVIAQGFFKGNKCVEAVTILKEMVEKGFRPDSSTFELLLHQLPAEGQDSTLMDMIQNFAPGDTIVSSSSS >KZN01854 pep chromosome:ASM162521v1:3:26130095:26133972:1 gene:DCAR_010608 transcript:KZN01854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVSRKFRLGFERSSERIVNLQRSFKSHSFSSLLAKGVGSSKTILDPQGPLLQKWNKIFVISCIIALSLDPLFFYIPVINDVKKCLMLDKKLETTACVLRSFTDIFYIVHIIFQFRTGFIAPSSRVFGRGVLVEDASAIAKRYVLSYFLIDILAVLPLPQVAVVIIIPHMRGSDPLHTKNLLKYIVLFQYIPRVLRVFPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHIYQSLTGDELSGAWSLLVLKLNREGNNMLASLGQNLKTSTYVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTKRLEEMRVKRRDAEQWMSHRLLPANLRERIRRYEQYKWQETRGVDEENLIRNLPKDLRRDIKRHLCLALLTRVPMFEKMDEQLLDALCDCLKPVLHTEDSYIVREGDPVDEMLFVMRGKLLTVTTNGGRTGFFNSDCLKAGDFCGEELLTWALDPHSSSNLPISTRTVRVLSEVEAFALLADDLKFVASQYRRLHSKQLRHTFRFYSQQWKTWAACFIQAAWRRHCRKKMEESLREEEDRLQTALAKGTGSSPSLGATIYASRFAANALRALRRNSTRKARIPDRVPPMLLQKPAEPDFTAEDK >KZN02915 pep chromosome:ASM162521v1:3:38987690:38989676:-1 gene:DCAR_011671 transcript:KZN02915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCFSFTSSRDWCYRHNFTYAGLKSSTTDLKDGTIIHCWIPKKHKPNKPTLVLIHGIGANAMWQWNTFIPSLVSKFNVYVPDLLFFGDSYTSRPERTEGFQAQCVMRALEGHGVKRMSVVGLSYGGFVGYSLAAQFPDVVERLVVGCAGVCLEDEDMEQGMFRVKSVEEAVDILLAQTPEKLRELVKLSFYKPLQPLPSCFLSDFIDVMCTENLQERRELIEALHKNRKLSDLPRITQPTLIIWGEEDQIFPVELAHRLKRHLGANAKLVLLKKTGHAINMEKPKELYKHLKSFLIEPLPGEQGSSHKVD >KZN00901 pep chromosome:ASM162521v1:3:9723347:9729208:1 gene:DCAR_009655 transcript:KZN00901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSLFRSTVSPAGCGGASRSELRPSGVPKVSSVSFCRGSLKSESSIFGASIPGNSSSLHKSSSRSIQTIQATATELPPTIQMSKSGGKTKIGINGFGRIGRLVLRIATFRDDIDVVAVNDPFVDAKYMAYMFKYDSTHGVYTESIKVLDDSTLEINGKKIKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTANVSVVDLTCRLEKSASYEDVKAAIKYASEGPLHGILGYTDEDVVSNDFVGDSRSSIFDAQAGMGLTSSFMKLVSWYDNEWGYSNRVLDLIEHMALVAATN >KZN01761 pep chromosome:ASM162521v1:3:24673941:24674180:-1 gene:DCAR_010515 transcript:KZN01761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAVILVLIVSIMRYDQVEKVEGGCCVSKPPNPERLLSSSGSQPALSPPTLSPWAPVQSSPRRLGGDDPPAHPAPAHV >KZN02810 pep chromosome:ASM162521v1:3:37578405:37581639:1 gene:DCAR_011566 transcript:KZN02810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIEEGTDFIETDILASKDGALICFHDVILDNTTDIAEHKKFATRKRTYDVQGVNKTGYFTVDFTLDELKSLRVKQRQWFRDQQYNGKFSIITFEEFISIALDAPRVVGIYPEIKSPVLINQHVKWPGGKKFEDKFVETLVKYGYRGSYLSKQWLKQPAFIQSFAPTSLIYISNQTDLPKIFLIDDVDVPCQDTNQTFAEITSDGYLDFIKEYVVGIGPGKDTLVSVSNNYLQTPNDLVARAHAHDLQVHPYTFRNENQFLHYNYSQDPYLEYDYWINEVGVDGLFTDFTGSLHRYQEWTSPSISDDDASTLLHKIASMVSKYHKT >KZN00870 pep chromosome:ASM162521v1:3:9428246:9433857:-1 gene:DCAR_009624 transcript:KZN00870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFIFEFMQDKSVLRSSQRLVAYAILYQAYSSQRPSSNPFISLLVDAASDNDAEKFERAFILQLLGSSGSTSIKEVLKQTASDYIKCFDIASHMVLNFKRVRGFANGSVTMLGLNYLSLGLPSYHFTCTGAFPPREQLQQQFCEKINPEQDHCLFKSISVKNVVPDPDVPRGCDVNSSEFDLQPGVQPKIGAGDRNETAAGLLENFSFQGLGPQWIRPRPPRLPVQYDELVWLNPDNHHELLWDNGMCADTSKGAVVRDLIAKALKGPLAPTQQERVLFELVSDPKIVYHSGLTPKKLPELVENNPLIAVEVLTKMIHSTEISEYFTVLVNMDMSLHSMEVVNRLTTAVDLPTEFVHMYITNCISSCENIKLIQFLSQDKYMQNRLVRLVCVFLQSLIRNKIINVHDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >KZN02821 pep chromosome:ASM162521v1:3:37735250:37736089:-1 gene:DCAR_011577 transcript:KZN02821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLADTSSETSKLRFGSHNHPVCPKPRRLGSAVPELLKPLKCSKHSQLNPDYRSGILGVIAEKTAEGREIICSGCSPTCYTGSPPSRTDNPLVHDVQFVHQMEPFLPLAAPRRNLSDKFGFASASPA >KZN00483 pep chromosome:ASM162521v1:3:5716298:5716621:-1 gene:DCAR_009237 transcript:KZN00483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGTKGEGLQLKRLLQAVYHPRNYYLLHLDIEASDSERLELAKYVKSVEVMGNVMVIGKPDLVTVKGPTMIACTLHGVAVLLKKAKDWDWFINLSASDYPLMGQDG >KZN02606 pep chromosome:ASM162521v1:3:35014965:35018692:-1 gene:DCAR_011360 transcript:KZN02606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRERSSSSSSGSREKLHNFTLPGFNWGATRQLRCMKANPNSRILMHPSLSAAPVTDQSREAETEVAHLEQKNSGGELAVSPEKNSGHMEDPVEDLQKGCEEMRVSEMEEKKKGKEKVAEDDGTENKSGGAWTWNLRTRKNACKGSRGNDGDDVMVDVPAEGVVGSGGGGGGGGGSSPGRRMDGRLRGGAGMGAGVERKVERPKFSFTLTKEEIEDDFLLMTGAKPPLKPKTRPKRLQKKLNDLVPGLWLREEITPATYRVRERQSKKAAEVLVYYEIAFADGQMDCFAVHLSPPEQPSRGNILTIP >KZN00070 pep chromosome:ASM162521v1:3:1654358:1655173:-1 gene:DCAR_008824 transcript:KZN00070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKGPWSPEEDERLVGLVEKYGPRNWSLISKSIVGRSGKSCRLRWCNQLSPEVEHRPFSKEEDETILKAHERFGNKWATIARMLSGRTDNAIKNHWNSTLKRKCASMSEEDFVGFDGGSGRVVSGGRELKRSASLGSGQEKVVFCSRVKPGSPSGSDLSDSGHSGLPPVPGSGSGFRPVTRPDPVVVVPDPPTSLSLSLPGSEEKEKGGDETATEKEFFKPEFLMVMQEMIKKEVKDYMSGIEQSGMCLENEAVIGNAIGKRMGIRGMD >KZN02092 pep chromosome:ASM162521v1:3:29459650:29461656:-1 gene:DCAR_010846 transcript:KZN02092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHSNISSPLGPPACEKDAKALQFIEDMTRNTDSVQANVLAEILSRNAHTEYLRMFHLDGATDRETYKSKVPVVGYDELSPYIKRIADGDRSQILSSQPISEFLTSSGTSAGERKLMPTIAEEWDRRQKLYSLLMPVMNLYVPNLNKGKGLYFYFIKAETKTKSGLVARPVLTSYYKSEQFRNRPYDPYNVNTSPDEAILCPDSFQSMYSQMLCGLMMREEVLRMGAVFASGLVRAVRFLQLNWQELAHDFETGTLNSNITDPSVRARVSEMLKPDPELAKFITGQCSDENWEGIITRIWPNTKYLDVIVTGAMAQYIPTLDYYSNGLPKYCTMYASSECYFGLNLKPVCDPSQVSYTIMPNMGYFEFLPHDSTSSGTLSRDSPPRLLDLAELELGKEYELVISTYAGLCRYRVGDILRVTGFHNSAPQFKFVRRKNVLLSIDSDKTDEAELQSGIDNASVLLREFNTSIVEYTSYADTKTIPGHYVIYWELLIKDSTNPPTDAVLNQCCLAMEESFNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVTFTPILELLDSRVVSSHLSPAAPQWTPDRRR >KZN01268 pep chromosome:ASM162521v1:3:13890809:13892536:-1 gene:DCAR_010022 transcript:KZN01268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEPPLIEVFKNLCKTSSENDHVAELINSSRSKSYELPLVDLGRLNSGQLMQQKECEKEIIEASKEWGFFQVVNHGICEEMLMELHEEQVKLFRQPFNKKSSQNPSNSPIGSYRWGNLAAKSPAQFSWSEAFHIPVSSVSDFAGLNHLSSTVEEYTKLISKLSQKIAEILADNIGICKSSYQKLLGDKVVPSSCYLRMNRYPPIPEFHSEVCGLVAHTDTSYLTILHQNKTGGLEMKKDGMWISVKPNPDALVINIGDLFQVLSNGFYKSVTHRVLTNSKTERFSVAYLSCPTTDTVIRSLSEHSIYRDFSFGEFKSQVQLDVKYTGNKIGLPGFLR >KZN02052 pep chromosome:ASM162521v1:3:28887857:28890813:1 gene:DCAR_010806 transcript:KZN02052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITYSYRPYFDPEFESLIERIYPPRVCIDNDTCQDCTLIKVDSANRQGVLLEMVQVMTDLELVISKSYISSDGGWFMDVFHVTDQLGNKITDESLINYIQQLILQAMSARSRKPKTEVQPRIGREARPHHILIDQTALEMTVKDRPGVMSEISAVLAELGCRVSAAVAWTHNDRAACIFYVEDKSNGSPITDPCRVAHIQTHLENVVEAHHYTGERRSLRLAVPTTSRTHTERRLHQLMANDKDYEEAYERCRTDSDSEGAEVTIDSCAEKGYSIVTVRCRDRPKLLFDTVCALTDMQYVVFHASISSHRSIAVQEYYVRQEDGCTLNTEEERLMGLRLDVSTQDRDGLLSDVTRVFRENGLSIVRAELGTCNEKAVGSFYVKDTSGYYVNSDTVEAVRREIGGANSVVNISTDCSIPQTSEMTSTSWTLNTSLHRPKESNVEDRSKFSLGDLLWSQIERLSSNFRPIK >KZN02159 pep chromosome:ASM162521v1:3:30351269:30352907:1 gene:DCAR_010913 transcript:KZN02159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKGFLELDGPDARIAQYFDVISGTSTGGLVTAMLTAPGEDNRSRFAAKDINKFYSENGPEIFPQDNASTQGPKYDGEYLRSIIRESLGSITMNQTLTEVIIPTFDIKLLQPTMFSTSDAKVKTSKNALLADACIGTSAAPTLLPAYYFETEFEDGKTRSFNLIDGGVVAVNPTQVAVTHIFNEIVKGNFEFVDVKPMDTRKILVISLGTGTAKFEEKYNASTASQWSPRDWINPTIDSYSASSADLPDIAVTSLFQSLGAEKNYLRIQEDNLVGNTTSGDLATTENMEALADIGNKLLEKSVARVNIDTGAYEPVEEEGTNSDALIRFAKLLSDERKIRTAN >KZN02037 pep chromosome:ASM162521v1:3:28667859:28669181:1 gene:DCAR_010791 transcript:KZN02037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSPEEDAKLKSYIQEQGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFSHEEDNIICTLYMSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEVQHSRRSSSCYLKVKQQYETAMKNLDDESQANINNIQPDQSNSSGDCIPKLHPDQATMYPTLYTTHDLPPHPIKKHDQETFYDFPFNISSSTFENYHLPPNDNLNHSLKFPVSSTLMDYYSTTTTTTTTTSNNSQLSNTTHAYSSDLASYLNTFPNINQMDETILYGFDEMSSLCGVDIVNAGTSNPAGSTTSWKGDMSSFVCAFEEPAYIGIHQHLS >KZN03799 pep chromosome:ASM162521v1:3:48260153:48262794:-1 gene:DCAR_012555 transcript:KZN03799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIGAALPPGFRFYPSDEELVCHYLHKKIAHEDVSKGTLVDIDLHTCEPWQLPEVAKLNSTEWYFFSFRDRKYATGYRTNRATTSGYWKATGKDRAVVDPGTNCVVGMRKTLVFYKNRAPNGIKTGWIMHEFRLENPLIPPKEDWVLCRVFYKSKTESNIELSQQNVYDAEARAGGDTSPNAAQIMLPSCNIYHNQTFSSESPAPHDHQNPSNHHTISPLNPNLLLLSHHQFHTDHLNEMIMINSKCEIIDNYGFLMDTSFEDMHPGDGTSNMEMKFEDNHSSIFLQ >KZM99924 pep chromosome:ASM162521v1:3:113067:125201:1 gene:DCAR_008679 transcript:KZM99924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVAVGCEAVVGSLTPSKKKDYRVTNRLQEGKRPLYAVVFNFLDSRYFNLFATVGGNRVTVYQCLEGGVIAVLQSYVDDDKDESFYTVTWACTLDGAPLLVAGGINGIIRVIDVGNEKIHKSFVGHGDSINEIRTQILKPSLVLSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKDFWTYVEKSFTWTDLPSKFPTKYVQFPVFIASIHTNYVDCNRWLGDFIMSKSVDNEIVLWEPIMKDQSPGEGSVDILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPALIARLSHGQSKSPIRQTAMSFDGSTILSCCEDGTIWRWDVVATS >KZN00127 pep chromosome:ASM162521v1:3:2184710:2191875:-1 gene:DCAR_008881 transcript:KZN00127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTNACAGVPQTPADFGPGTDSANIDPMVFFPHRHHRPRVLVIDTPHTRPFDRSTLQYNYTVALSKAPLFFNAQKSGKLSEDNHVAWRGDSGLNDGIDNPSFKGNNLVGGYYDSSDAIKFSFPKSFAMTILSWSVIEYNAKYEAVGKLNRVKDIIKWGTDYFLKTFDSSADTIDRILMQKHLLYIPLLIMIFAAFFLLSISSNTFSAIGSDAVSPHNYVIEVVNEFPHDPKAFTQVEKFFRE >KZN02816 pep chromosome:ASM162521v1:3:37633318:37633656:-1 gene:DCAR_011572 transcript:KZN02816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAGGKKANFREQLRGPMYNEFLRYTPIPSYVRWPPVISPEEEIPTSPVRQKEANIFEDEEDLEEDEDFKQGDQPSQQPRRSVRLQTKHQFKFKNTPDTALVLDVDNEDNV >KZN01192 pep chromosome:ASM162521v1:3:12875107:12875387:-1 gene:DCAR_009946 transcript:KZN01192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKLLKRKITAMEKENTDAKERDDNREVNLEFHNLADNETDRSPPQQTLSLLFQ >KZM99944 pep chromosome:ASM162521v1:3:301840:307046:1 gene:DCAR_008699 transcript:KZM99944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLNAYSTAALDEEDKDDDGKTTAIAPPPHPPPSKRPKPDYSFPTYKKPNQTKHVPCIAPVSHNYNNISKQTKSIPCITHVPQNYNYNNIYNHNYYNNHRPEAPPPMLPGRYVSKRERAAAAATTPPDPLTTTLITPSSSSAIGSISVMDIRNDILLSLRQQRKGHSLNGKPPENLSLSLTGHDKAVNALQWSSTHSHLLASAGMDHTICVWNPWSKNERKARVLSHHTAAVKDIKWSELGLSLLSCGYDCSSRLFDVEKGLEIHMFKEDQVVGVVKFHPNNSNLFLSGGSKGVLKLWDIRTQKAAHQYVRGLGPILDVEFINCASQFVSSSDVSKGNVTENSILVWDVSRQVPLSNQVYAEAYTCPSIKCHTSEQYFVAQSNGNYIAIFSAKAPFKLDRYRRYESHGVSGFPVRCDFSLDGEQLASGSSDGCIYFYNSRSTELIKKVKLYEQACIDVAFHPVMPNVIASCSWNGEISVLE >KZN01667 pep chromosome:ASM162521v1:3:22812989:22813389:1 gene:DCAR_010421 transcript:KZN01667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDNRAAELPQEPLPRSFDSLLGGNRGRKTWPEVVGMTGEEAKKQIEEESPGISVHIVPQNSFVTMDFRTNRVRVYVDSSGKVANAPKVG >KZN03311 pep chromosome:ASM162521v1:3:43482340:43485726:1 gene:DCAR_012067 transcript:KZN03311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPENLRSIAYRSFVTCDDPKGVVNCGIRRHKSSKKLEEKLEQPKMLKKVNSTLRYEEKKEVVSKGGMEESHRSSSFQLMEVSRGAQKLNQVIDSWSRRASFDGGSKDYAKDLLLGALDLQDSLAMLGELQEASQYRTNLKKKEKEKPCVSKVDEVGIARTSSDRYGNPKYQNPRPSGDGSSRDCYDELREVIRDSLARQNLLPTQSSRESARQNLSTPQSFRENAYFDRKKMNSYGDIPSTSSSSISSMTYSHDFASSVSTSSSKIPEGKPRTSNLVAKLMGLEDISSKPLQPALQKHLQRESVLSQTPIFDVDMNKERKPQFVGQKMDRSMTLEEIIDNMQFQGLLKHNQHQAYHQNTSFKERRVSYDASPIVLIKPTYPGVEVKKHSPHRFIPDEAALNSEIKQRFWKTEEEITSKIKEYPRRPSNSNESRRKLHSGDSVVKKLSPEKGAKTSRNVLAKPRDVEVISEKKVSSNKINASVPISPSPPKEIVVKKIDKIRKATSKKKLPEIEDVISKSVPESHGHDSVPTMKLRKSETGSSNLSKNSGTQRKGSGLNSPIKHTKSTSSCGDSVQKRTVKNSKPVKEPVTANRSIPKAEKLQKSMQVPSIVHNDDNNMAHIKEKLSSKMVDSEPDVQLVLEEEMDDSEIPKKENCDSNLDKFCEDSAHPTTLLCEDSAHPTLLENGTDSAHPTQLINGTIRHDEAPEQSKHDSKESDVFQPETTKATPETLQLVEEPSENFDASQQIVSSTLTGVYDSAPLDSKLLVDYASELLEIKNHKLLMNPFLNLANDSRLCMSNNNLLEEARIGMENLRSYGQPGLNSAPASDIVFSVLERDLRCKGVAADGPWNLGWREGFTNNQVEQIVSDLEKLVFRELIDEVLADSVV >KZN02271 pep chromosome:ASM162521v1:3:31509147:31510696:-1 gene:DCAR_011025 transcript:KZN02271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASPPLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKQIKVPYGFKYDLYNRNDINKLLGPKASCISFKDSACRCFGYMVAKNPSGQDINALEQHIKNLLCPSAPYFFNTLYDPYAEGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPSERNTRYVDAVMTIPKSTLFPMCGMNLAFDRDLIGPAMYFGLMGEGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQAAVLPKECTTVQACYIELSKQVREKLGKIDPYFTKLADAMVTWIEAWDELNPSK >KZN02306 pep chromosome:ASM162521v1:3:31873610:31877526:1 gene:DCAR_011060 transcript:KZN02306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSPDLRFSFSRWFKIFGRQKSEEDDAKDSTPVECYACTQVGLPVFHSTTCDQVHQPQWEASAGSSLVPIRARAPNRTTKIPRTSGPFGPVLDPRSKRVQRWNRAFLLARGMALAVDPLFFYSLSIGRGGSPCLYMDGGLAAVVTVIRTCVDAVHLFHVWLQFRLAYVSRETLVVGCGKLVWDARSIAAHYLRSLKGFWFDAFVILPVPQAVFWLVVPKLIREEKIKLIMTILLLIFLFQFLPKVYHSICLMRKMQEVTGYIFGTIWWGFALNLIAYFIASHVAGGCWYVLAIQRVASCLRQQCERKASCDLSLSCSEEVCYQLFMSAADTLDNPCGGNSSSVLRNPICLDVNGPFHYGIYKGALPVISSNSVAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVVFSITNVLSGLMLFTLLIGNIQVFLHAVMAKKRKMQIRIRDMEWWMKRRQLPSQLRNRVRHYERQKWSAMGGHDEMEVVKDLPEGLRRDIKRFLCLDLIRKVPLFQSLDDLILDNICDRVKPLVFSKNEKIIREGDPVPRLVFIVKGRVKSTQNLSRGIVATSILEPGGFLGDELLSWCLRRPFIDRLPSSSATFTCLEPTEVFELGANNLRYITDHFRYKFNNERLKRTARFYSSNWRTWAAVNIQLSWRRYIARTRPTVINPVTENGGDRKLLKYAAVFMSLRPHDHLE >KZN03280 pep chromosome:ASM162521v1:3:43139595:43143633:-1 gene:DCAR_012036 transcript:KZN03280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSIAATLITIASSLTYFFISSPQKSNYHSLYIASTFSDNVSVSQHLYSLTRRPHVAGTEANAEVARYVLSTLSSYDIRSHIRSYGVSLTYPVSRSLALTHPPSDTTTIFELRQETYEGDPYIDVADEVLPTFYAYARSGTVVGPVVYVNYGRVEDFMILKTKGLNVSGTVVLARMGQIYRGDIVANAYQAGAIGAVLFTDKKDYGGGDDGKGFPHDKWMPPSGVQVGTLYKGCGDPTTPGWPSTGGCERISDDEVDKGGDIPLIPSLPVSAVDGEAIIKSIGGEVADSDWQGCKDGPVYNIGPGPGILNLSYTGKQGINTIENVIGIIEGEEEPDRFVILGNHRDAWTFGAADPNSGTAALLEIGSTEWVEENREMLSSRVVAYLNVDVAVSGAGFQAAATPQLDQLLMQATKQVRDPENSSQSIFDSWISTSDHPKIGRLGGAGSDYAPFLQHVGIPAADMSFGEGSNTSFHALLSAKTNADELTNELMDKNIDLTPLFKSIEDLKIAATKIDNEIKALKRSKGWASMWGTKPRQVRELNDRLMMAERAFMDRDGLLGRQWYKHLIYAPSEHDDYGSVSFPGITDAIEKAKQENTKESWSSVQHEVWRVSRAVIHVSLVLNGVLT >KZN03228 pep chromosome:ASM162521v1:3:42710256:42712750:1 gene:DCAR_011984 transcript:KZN03228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYLWRRYADHVYSKWEKTLLWDMIDPYRRPKTFTPLVTIYISAFYTGVVGAAITEQLHKEKYWEEHPGEAVPIMKPKFYGGPWRIFRGDVPKGIYILSHIIASSCPVFLNPIFQNTRMPSYGTIPTSTLPQEHALFSSSTEQFVTQAKERIRSGLGSRRAWHQVLIPPTMPDSFNEVLSRISTNFSYFYINYAIIAVLVLFLSLLWHPGTLIVLVFMVSVWLFLYILRERPMVVYGQEIDDLVVLIGLCGVTILMLILTHATYNLAVGVGIGLLLVLIHSILRGTDDLYVYEEPAGSSSSSSAAAGSATVLRQTV >KZN03525 pep chromosome:ASM162521v1:3:45401447:45404660:1 gene:DCAR_012281 transcript:KZN03525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIVYLLLVIIAASPLISSANPHVSSGEQLKNDDKVVIALYYETLCPYCSNLIVNYLYKYFEDGLDSIADLKLIPYGNAKIGPNNTIVCQHGTMECVLNTVESCAIHTWPDVKDHFPFIYCVESLVYEGDYDQWETCFTKLNLNPKPVMDCYGSGYGKKLELQYAAETDALEPRHTYVPWLVVDGQPLYDDYTDFISYVCKAYKGSNVPKVCQSSLSSSTHKNANGINKVCYTEKATKTTLSKLVSAISSWVLQDNVAASI >KZN01666 pep chromosome:ASM162521v1:3:22794407:22795111:-1 gene:DCAR_010420 transcript:KZN01666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKKGESCVQNVPHVESSDSEQSYRDSESDTCESDEDGEEEINNDVQRVYPLLQKLKVEADSCC >KZN00106 pep chromosome:ASM162521v1:3:1984431:1986673:-1 gene:DCAR_008860 transcript:KZN00106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIHNSSPNSSAVCGVNPSTTTSIHDVAGRQKVIVARMLGNDARRHLSSVLTPDHHSGDKVIVHATEHELVAVEKTCAKAHADPLEPRVRSSGTRKLEGVAMWLVDGVAALFFASLDRCSCVRIGTCEDEDGEDDKPLISEKFYGGARSCGGRRRTGKGRK >KZN02927 pep chromosome:ASM162521v1:3:39254187:39264437:-1 gene:DCAR_011683 transcript:KZN02927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCTLPRTSTSKSEEEGRKSNFQSRKFVEKENINSSPKDSSELSAGWRTHDRRCAVYLLTPEPDGQCKLVALPLGYVYHVNQLGSTTQSTAVDCPQPKNGDTGNKFLVSKEETYKKRNSGKKKKKKGKRKKKLGREIGKTGSENVPEFGASRTVDRNDINDRVIPLASATKLADLSPEKSGNENCSQGTSARDYQNTSCTKETGVSKSLTPSLVPNCSRDHHISEFGNEIQTAGVQAMPCGVSSYPDEFSERPVLCSPSPCSNCQKPNSGSRSTLCDEKNDDIKLSTSPEHCLTPCEEKNDNIKLSPSPDCVVREECPGNSFNHTVANDIQDLKKNSCRQPCHSEIPEKRVKKIKRGTQNIGVCNVSSFRNLPRHGLRETVHSVWQKVQNREGCKHNRDLENVNVGCSQFYNESKKSSTHEKHLNAVCCVLKSDSALKKQTNSKLLAKTKRKNNLRSKLEFNNHYRNGCVEVGGNSDMCKSSNMQHDELFAIPKHMNGETRPDIESTSHSKVKFGELGSTSRRVGSTRMRRPESLQAGINEAEPLESCYASTSNLINHTHDSGTRYSLTSSSSLNIPERLENFSDIQLNTHVELRDIKADENSFAPSYHSKDFGSGPVWPKWEPTRNAGFEDLNVHGSLSMVKIDEASGETRNQKNIIQDDLVADLCSSLCAESSGSAYSQTDVKSPPLKNVVQVEDYGNRNVSIVKEYGKSANCFTPGSSNQQILTPDKTSSKLCSAVIDSYRAQVASEAILLATGIPIAEFEKFLHSASPVICTSLTTVNCQKCSHNIFHAFLCEHEVPNISLGKLWQWYEKHGNYGLEVKVDCENSCRLGIDSRTFRAYFVPYLSAIQLFTKSKDLRCGSGIPAASATEKAEMVKSSEISNISHLLSLLVPQPRESESLLAPEAQLCFKPSSGSFIEDVSVSPADSGRSDELEILFEYFESDQPQRRRPLYDMINELVRGNASSRGKVLGDGAILNSVSICDLHPESWIPEGNFRAAFLTYHSLGHLVQRQTVSDSACMDASVVSPVVGLQSYNTQFHSTVFFQHSTDIPVTRSDFSRSIPAHPGIPKNRGECWFQQRHSKDVVNSDPARILKERLRTLEQTASLMARAVIAKGNETTVNRQPDYEFFLSRQSC >KZN02869 pep chromosome:ASM162521v1:3:38269915:38272900:1 gene:DCAR_011625 transcript:KZN02869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIENAAAVAKIQESPAPNPVNIADAAPNDGATAALGASVVHAPPPPPLPVVQTPPPNSSEPVAVPVSVSVVVPVAVPGSVNQPESVVVPAPAAVPVSVFQPGSVVVPAPAAVPVSVFQPESVVVPAPAVVPVSVFQPESVVVHVPAQRPTAAELVSQPAAERNGVVARRKRGRPRKYTPVNDQAIVAVSPVPAAAVTPVPAAAVTPVPATAVTPVPPTAEQAQTGGGSSAADAQARSGKRGRGRPVGSRNKAPSASRNVNPAVSGSAGMGFTPHIIVVQPGMDVLARLVSFSQVRAQTVCVLSATGSIASVTLQQSSGSGMVTYEGRFEILSLVGSFLVTESGIHQGRTGGLSVSLAAPDGSVLGGRVAGLLIAASPVQVIVGTFNEANQQAMGPGSNGSAPPRHVSSVGGSPPSRATMGESSGGPVTPFNPSTGGYNNVNQQNVSNVGWN >KZN01799 pep chromosome:ASM162521v1:3:25297219:25301225:1 gene:DCAR_010553 transcript:KZN01799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEVCRMTELSKELQDSAASLISRSCNEEASLRQRALSLHTSISNLRSSISSSLKKGNLHPQHALKLEEELGRARYLLTQGDAAAFLPTKSHGRFLRMFIGPLNVRATRKDVQLKVKEEYNSFRDRTALLFLVFPLLLLGLRSWTWDGCLPALPVQLYQAWLLFLYTGLALRENILRVNGSDIRPWWIYHHYCAMAMALISMTWEIEKQPDCSQKQKGVQLFLYWAIMQGVAMLLQNRYQRQRLYTRIALGKAQRMDVVWGETSGVDGQLWLLCPILFILQGFEAYVGVLLLQTALVGVVSEWQVITCGILLIVMAAGNFVNTVQTLLTKSRFKAKMKKGKSKD >KZN03264 pep chromosome:ASM162521v1:3:43012801:43016325:-1 gene:DCAR_012020 transcript:KZN03264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASMLSSAVPSMNMHGKGTENSTSSVSKLKNGSVSFSAAGNMEPKIVKGEDGYVLEDVPHFTDYIPNLPVCSPPVFKYLLERTFTNPLQANSAYSIARKVCVDCDDTIAQKIVVHKDSARGTHFRRAGATQQVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYNMYGVKKVVGIDGGYKGFYSRNTVPLTPKVVNNIHKRGGTVLGTSRGGHDTKKIVDSIQDRGINQVYIIGGDGTQKGASFIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESMENGIGLVKLMGRHSGFIAMFATLASRDVDCCLIPESPFYLEGPGGLFHFIEKRLKENGHMVIVIAEGAGQELVAEESQATGQQDASGNHVLQDIGIWMSQKIKEYFSRTDETGEKLMAVTLKYIDPTYMIRAVPSNASDNVYCTLLAQCAVHGAMAGYTGFTVAPVNGRNAYIPFYRITEKRNKVVITDRMWARLLSSTNQPSFPVVKDSSKISKEEEEGDRRVDGSASGSK >KZN02763 pep chromosome:ASM162521v1:3:37005930:37008603:-1 gene:DCAR_011518 transcript:KZN02763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQSVQSPLLTPYKMGNFQLSHRLVLAPLTRQRSYGNVPQQHAILYYSQRTTKGGLLISEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNTGFQPNGQAPVSSTDKMIAPQIRSNGIDVARFSPPRRLSTEEIPRYINDFRLAARNALEAGFDGVEIHGAHGYLIDQFMKDQVNDRTDKYGGSLENRCRFALEVVEAVSKEIGPDRVGIRLSPFASYMESGDSNPEALGLYMAESLNKYGILYSHMVEPRMKKVGEKAETHHTLVPMRKAFHGTFIVAGGYDREDGNTAVAENCTDLVAYGRQFLANPDLPKRFELNAPLNKYDRNTFYISDPVVGYTDYPFLDQTISAQTVEA >KZN00389 pep chromosome:ASM162521v1:3:4914093:4919422:-1 gene:DCAR_009143 transcript:KZN00389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGPAKAFYMDEISSGLDSSTTYQIVKFLRQLVHIMDVTMVISLLQPAPETYNLFDDVILLSEGRILYQGPRENVLEFFEFMGFRCPERKGVADFLQEVTSRKDQEQYWFRKDQPFRYISSSEFAEAFYKFHIGEQLASDLSVPYIKSKNHPAALATKKYGISNMELFKACFAREWLLMKRNSFIQIFKITQITITALIAMTVFFRTEMPSGTLADGGKYFAALFFSLVIVMFNGMVELAMTVMRLPVFFKQRDFLFYPAWAFALPIWILTIPISLMESAIWIILTYYTIGFAPGASRLFMQFLAFFGIHQMALSLFRFIAVVGRTEIVANTLGSFALFLVFVLGGFIVSKNDLKPWMKWGYYASPMMYGQNAIVMNEFLDKRWSAEMKIQGVNDERLQLLQDISGSFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSIYISGYPKNQETFTRVCGYCEQNDIHSPNVTVYESLLYSAWLRLSSDVTTETRKAFVEEIMDLVELDPIRHAIVGLPGVGGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPGIDIFESFDELLQLLLMKTGGQVIYAGPLGVQSQNLIEYFEAVSGVPKIEKGYNPATWMLEVTADSVEAQLDIDFAEIYANSSLYQTNQKNIEELSKPPPGSQDLSFPTKYSQPFITQCRACFWKQHWSYWRNPQYNTIRLFLTVFIGLASGVVFWDLGTKIEKLQDLLNIRGAMFAAVCFVGGTNASAVQAVVAIERTVFYRERAAGMYSALPYAFAQVAVETIYVAIQTGTYTLILYSMIGFEWTATKFLLFYYFIFMSFLYFTMYGMMVVALTPSRQIAAVVMSFFLSFWNLFSGFLIPRPQIPVWWRWYYWASPVSWTNYGLVVSQVGDKDSALEVPGATNVTVRSYLKENLGYDYDFLPIVVIAHIGWALLFSFVFAYGIKFLNYQRR >KZN00558 pep chromosome:ASM162521v1:3:6362645:6365426:-1 gene:DCAR_009312 transcript:KZN00558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFEIDLMVFVIWYIKDFQVHDPQRVKNITVDLLGRVNDCRVLTYRQDIKARDIENYRLRTLPTRQWGYVVISTPNGLLDHEEAIRQQVGGQVIGFFY >KZN00746 pep chromosome:ASM162521v1:3:8108105:8114343:1 gene:DCAR_009500 transcript:KZN00746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVVVISELSEALVKLPPNVTVTALIAFGDSIVDQGMNNNINTIAKCNFPPYGSDLMGGVPTGRFCNARTPADLIAEELGIKELVPAYFDPNLQTTDLLTGVSFASGAAGYDPQTSQIPAVLSLPDQLDLFKEYMSKLKALVGEESANYIIANAIYFVVAGTDDLLNTYFILGARRLHYDVPSYADLMELYDLGARRIAVFGAPPIGCLPSQRTLAGGLNRMCVDRYNQAAQLYNAKLSSQLDTLGNTLPQSKVVYVDIYNPLLDLIQNPQNYGLEVVDKGCCGTGVLEVSVLCNKFSQTCPDHTKYLFWDSYHPTETGYKLLVDQILGNYINRFF >KZN00936 pep chromosome:ASM162521v1:3:10057106:10064980:-1 gene:DCAR_009690 transcript:KZN00936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEGSSSQPNGGFRGSGSMSHVYIQHPPLRCRVPGSRGLFYDDGNKLMISPTSDQVFSWKTSTTFPEVIPESDSITEGPVLLVRYALDMKLLAIQRSNHEVQFWIKETGYTFKQRCKSESETILGFFWTDCPTCDVVFVKTSYPLNVFSEVKFSCSGLDFFSYDSEMKSLHLVETKKLNVSWYIYTHESRLVLLASGLQCKSFTGYQLSSVGIIRLPKFEMAMATSEDSNKPVLAAEDVQVITVYGRIYCLQVDRVAMLLHSYRFYRDAVVQQGSLPVYTSKIAASVVDNVLLVHQVDAKVVILYDLFSDSRAPISAPLPLLFRGVYSPNMSSSHSSSNYTETSEEKDAIDTEAIIYGDDWTFLVPDLICDAISASSSEVPFVLEFLQRRKLEAKKAKELCVGIARNIILERRPLYMVTRSIDVLVTSYSYAIKTGSSLKDVKPEKPSSSGVTSVNSSNTVADESTSRGNASGKFLKHGPASEVGDDTVSNHSYSTLDSDDNSFSDRQKKNPANHVSSKVNIDTENLTKVEASNEEVHPSASQTQIIRHSNYSSNVNNSELHNSLVNSAAITSDEMYSFVFAPVEEEMAGDSFYLASVIVEFLRSSNIEKVRVHPSIYVLTIRLLAQTERFPELGLFVTNKIIEPSKEVAFQLLESGAQHCLTRKLGLDMLRQLSLHHDYVSLLLQNGYYLEALRYTRKNKVNTVRPSLFLEAAYASNDSQQLAAVLRFFSDFFPGFKNTPDHNSYCSVLAGMGSSVAA >KZN00565 pep chromosome:ASM162521v1:3:6390678:6400859:-1 gene:DCAR_009319 transcript:KZN00565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDAVHKKDRAKAVDILVKDLKVFSAFNEDLFKEITQLLTLENFSLNWQHQLCKNPKPNPDIKTLFIDHSCGQSQPNGARAPSPVTNALMGGLPKPAGFPPLGAHGPFQPAQGPLPTSLTGWMSNPAPVAHASASAGPIGFNSSNNAAALLKRPRTPPSNSAAIDYQTADSEHVNNLPVNILPVGYTSQNHGQSSFSTDDLPKAVVMTLSQGSAVKSMDFHPLQQILLLVGTATGDVILWELGTREKLAQRNFKVWELKSCSMPLQASLANDYTASVNRVIWSPDGTLFGVAYSKHIIHLYAYHGGDNLQDKLEIEAHVGSVNDIAFCFPNKQLCIVTCGEDRLIKVWDANTGAKQYAFEGHEAAVYSVCPHYKENIQFIFSTATDGKIKAWLYDNVGSRVDYDAPGHSSTALAYSADGTRLFSCGTNKEGDSYIVEWNESEGAVKRTYIGLGKRSVGVVQFDTTKNRFLAAGDENMVKFWDMDSVNLLTTTDAEGGLQASPCVRFNKEGILLAVSTNDNGIKILANTDGIRLLRTVESRSFDSPKIGAGAMVKPPSMGTFGASNATVAANLVDRVAPMASMVALNGDNRNLPDVKPRITDESIDKSRAWKLIEINEPSQCRSLRLPDNISAMRVSRLIYTNSGVAILALAANAVHKLWKWQRNERNTSGKATASIAPQLWQPTSGILMTNDISDRNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKVKTKLKGHHKRITGLAFSNVLNVLISSGADSQLCVWSTDGWEKQTSRQLQIPAGRAAAPLADTHGSVGVLTATSLRLRCRINPASYLPANPSLRVYPLVIAAHPSEPTQFALGLTDGGVYILEPLESEGKWGTLPPENNAGPSTASVAANLDQPQR >KZN03122 pep chromosome:ASM162521v1:3:41464972:41469267:-1 gene:DCAR_011878 transcript:KZN03122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTTPHVEARVSHDQHSTQTVSPTPPPPRPPPPPPPLPLRRKNYGKLWGPYHHPTTRLPQRQQHLRPPPERSQVVHLPKAPALAPLQNRIGAGRPSKYFLEERQREEYVNICVPLYNAALKGDWHVAERIIKACPEVIKMSITKRQETVLHIVSSTKHTEFAENLVNMMAVEDLGLQNKDEETALCVAVTSTIKMVDVLLKRNNGLIKIRKKGDLPFLCAVFSGEKHMVEHMYKKTNLEGERWNYSDKQRMLDSCLAFGHLDIALDIFNKSKKKGILTIDTRALRSLAYNPTAFEGKVRPVFRRIVNTLHLGSRTRPLESSKAARIVRIMWREIVKQENVLKALSGKSGTEKVEGLHFTAARLGNYKFLIELLKLCPDLTWDIDDKKHTIFHIAVTHRQENVYNLLYELGSKKLGTTDNYGNNILHLAAIKPAQDRLNIVSGAALQMQREILWFKEVQSRVNLVDRRKVNKQGKSPQELFTDEHTKLMEKGETWMRQTAAQCMVVAALIATIMFAAAFTLPGGNNDDNGHPILMKKSAFKVFVVTDAISLCTSCASLLMFLAILTARYAENDFLRSLPVKLIVGLVTLFISIANMMIAFGASFFLLYARSMKWVPVLVTVLAGLPVILFVGLQYRLLIDVISSTFNSRHLFRPKKRMLY >KZN00010 pep chromosome:ASM162521v1:3:1030936:1032018:1 gene:DCAR_008764 transcript:KZN00010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHYDSYYSVGLIDRNSYNVLEYYDDICCRDRNGCCLEVYGICDGLLCLSVHDDFLYLNYPVFLYNPFIRKGKKLTSPRLGYENDYGKRCVSLCFGYHDDDYKVIKVRAFPDFYEIYIYSLSTDTWKFIKVEPTFEGTSTESFNIWPYPKARLVNGVAYFIQRNEMILFDLGREKFQKKQLPEDMSSAAHVIMEEYEESIALIGSTSHSVRTVYNGVAIGCGVAMWVLRQSDKSYIWEKKFDVKREENDEMITYLPPVVYPSVQAMGGFVKKNEIVMRRWNTFKLGNFIDRDYFLYNIETGIEKQFQRPHERARGGFLQRINILTESLVLLTETTMPPFQSLEAPVALMGCNNNVRMHIS >KZN00199 pep chromosome:ASM162521v1:3:2971365:2973932:1 gene:DCAR_008953 transcript:KZN00199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYILAGTLLAISIADEDAKLGTVIGIDLGTTYSCVGVYKGDKVEIIANDQGNRITPSWVAFTDGERLIGEAAKNQAAVNAERTIFDVKRLIGRKYEDKEVQRDKKLVPYNIVNKDGKPYIQVKIKGGETKVFSPEEISAMVLTKMKETAEAFLGKKIKNAVVTVPAYFTDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKEGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGKDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKRQIDEIVLVGGSTRIPKVQELLRDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVTPLTLGIETVGGVMTRLIPRNTVIPTKKSQTFTTNQNQQTVVSIQVFEGERGLTKDCRSLGKFELTGIAPAPRGVPQIEVTFEVDANGILNVKAEDKASGKSEKITITNDKGRLSQEEIERMVHEAEEFQEEDNKLKEKIDSRNKLETYVYNMKNQINDKDKLADKLESAEKEKIEEALKEALEWLDDNQSGEKEDYDEKLREVEAVCNPIITTVYQRSGGTSGAGEADDDSDDHDEL >KZN01963 pep chromosome:ASM162521v1:3:27437529:27438573:1 gene:DCAR_010717 transcript:KZN01963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDIKKYCKSEQIETCLDVEGLSNNFSDMHLPKHDETVVSVEETELEHETKYLFEKNGLGIGLRGFPAAHNLHKQFDT >KZN03860 pep chromosome:ASM162521v1:3:49368195:49382372:1 gene:DCAR_012616 transcript:KZN03860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQSYSSVVLASLGIGSFVWVEDPDDAWIDGEVVGVNGEKIEVLCTSGKTVVVSSSNVYPKDAEAPPCGVDDMTKLAYLHEPGVLSNLKSRYDINEIYTYTGNILIAVNPFRRLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRVMINEGISQSILVSGESGAGKTESTKLLMHYLAYMGGRASTGGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQLSDPERNYHCFYMLCAAPQEELQRYKLGNPRTFHYLNQSNCYEIDGLDEYKEYEATKRAMDVVGISSEEQEAIFRVVAAILHLGNIEFAKGLEMDSSVPKDDKSWFHLRTAAELFMCDIKALEDSLCKRVIVTRDETITKWLDPDSAVTSRDALAKVVYSRLFDWLVDKINSSIGQDHDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHNRFSKPKLSRSDFTIGHYAGDVTYQTDLFLDKNKDYVVAEHQSLLNASSCSFVSSLFPPSEDSSKSSKFSSIGSRFKQQLQSLLETLSSTEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVMEAIRISCAGYPTRKPFYEFVDRFGILAPGVLTGSSDEIKACKSLLEKVGLEGYQIGKTKVFLRAGQMAELDARRIEVLGRSASIIQRKIRSYMAQKSFILLRQSVLQIQSVCRGELARHIYGGMRRESSSIIIQRNLRMHLARKAYQDLCCSAICIQTGIRGMAARSDLHFRRQTKAAIIIQSHCRKFLAHMHYQELMKAAITTQCAWRGKVARKELRALKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKTQENIKLQSALQDVQLQFKEAKDLLIKEREAAKNLAEQAPVIQEVPVIDQGLMDKLAAENENLKIMVSSLEVRIGETEKKYEETSKLSEERLKQALEAESKLVQLKTAMHRLEEKVSDMKAENQSLRQELSSSPVKRGIEYPSVPPTKNLENGNIVSEDSRFSEQPGTPAKIMGTESDSNLKRPPVDRQHENVDALIDCVMKDVGFSQGKPVAAFTIYKCLVHWKSLEAEKTSVFDRLIQMIGSAIEDQDNNEHMAYWLSNTSTLLFLLQRSLKPTGAPGGSSARKPPQPTSLFGRMTMGFRSSPSSVNLAAAAAAALEGVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKKELGLFLSLCIQAPRTSKGGALRSGRSFGKDSPTNHWQSIIDCLNTLLSTLKENFVPPIIVQKIFAQVFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELEQWCCQAKEEYAGSAWDELKHIRQSVGFLILSVQQLYRICTLYWDDNYNTRSVSTDVISSMRILMTEDSNDAASNSFLLDDNSSIPFSVEDLSSSLQVKDFLDVKPATDLLENLAFQFLHE >KZN02411 pep chromosome:ASM162521v1:3:33244929:33250720:-1 gene:DCAR_011165 transcript:KZN02411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNQINNRGRMFRRQAKIVNPIDSDCPRLNNNNNNLVVRRFRRFFKWCGRNVTVIPRVPVPRIRRIKKSKHKSRLPGFFHSQLRMMKGNTSSLVRKKSKKHGSLEKTKCLINYQVRNRLRIHDLPKELLAEILVRLPVKDILRCRSVKKSWNYLVISPVFISLQLNYQKQIATGNYNHHYPKYLLFHEDDSLRLTVRVDDLQCEEYCKLKFLPGLPNDDVNVWFALSYGLICMSTILFTSCDRMRNVYLWNPLIKKYKTLPKSPLPSTKTRHAWEALAFGFLPEVDDYVVIHIIKPGLPPHPHSVIIGVNLDKQRTLLCFDTKTDILCAISLPDWVAYLPLVPVIHQFGQSMAYFVWDEVNYFDMWVLKHDHINEFTWEKKMCVTPSIHVEEEVLGVRNNGDPILAKSNNLISYSLESHQANGFVNSWDRWTPNSPYNVGKRPPYVIRPFVESVPKLNQGILWLYQAISNKLAMTSNESTLHDVDGVILLFKAEAPVLVATWFVRKVTKCLAVGVGLVGYMRNKLDDKSIFNIVIVNLSIPFNRYDLLHNAHLNVEGLDELFKVAQTVLFPMSGINPKQKLKIGSKSNQEQESTINTTFTEPTPVKTEKESAVHKTMKEEQDHQRISHSKMEDTWRNSFTSDMSMVQEEDDGKEIKYRLDPK >KZN02448 pep chromosome:ASM162521v1:3:33568015:33569499:1 gene:DCAR_011202 transcript:KZN02448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKAFGVLWILLSAWICAGKISGRGRSCGFPAIYNFGDSNSDTGGKSAAMWEIVPPNGETFFGKPSGRFCNGRIVLDIIAERLGLPYLSPYLDSIGTNFRHGANFATGGSSILPGGYSPFDLDIQIGQFMQFKSRTSALYKRLSHNRTLLPLQNILPRPRDFSKALYTFDIGQNDLNYGFQYTNETQVLASIPGLITKFSQAVSQLYNEGARFFWVHNTGPLGCLPYNVIYDQSKLNDLDRHGCVANQNKAAQEFNRQLKDKVFRLKAQLPNSAFTYVDVYSAKYKLISDASNYGFEDPHKFCCGGYYGFQVNCGAKAVVNGTVYENLCTDPSRYISWDGIHYSQAANLFISKLILNGSLSTPPNSLAEACQNPQKL >KZN03291 pep chromosome:ASM162521v1:3:43247432:43248025:-1 gene:DCAR_012047 transcript:KZN03291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEECSSLVATKLSKTLSIVFYMLKKGLSKSKLRITDLHLMLKRQHATTSTRSSLMLHHHYAASSVCRSTDVATSYVSPRKEYEFSCSNTPLIRRRNKSYYQYRHKYTQQRVYSTTTNRSIYEGSVEASPAISLPGFGKSPGRQLRVSDSPFSIKDAEENTDQLDKAAEEFIRKFYKELEKQKSMAPPLSYYSRAY >KZN00140 pep chromosome:ASM162521v1:3:2331705:2335075:-1 gene:DCAR_008894 transcript:KZN00140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLVANIMSKVESEVKKPENMTSYVWIQTADGSIQEVEQEVAIVSPTIEYAMKSGLGSSKNNPISLVPKVRATSLSLVFDYCRFQVHRSEEEQKTFDENFLQIDARSLCELIILGNDLQLRPLIDCTCEALAQRISNNSTEEIWHMLNLHDDLPELENLDSRGKSVIDSRIRLLEKLYKKKKQTILNGIESLKNFMAGLEARQHEDTRKVEDLVSFINGGDGDPQTFKKKKNRKKRAQKKTSSSISSSSESPALKKFIENHDQDLKSFASTKVIGSTADTSKLLGTRDESFIVKKDVDDSDWDPALKEALHRFALLFCY >KZN02643 pep chromosome:ASM162521v1:3:35461984:35464572:1 gene:DCAR_011397 transcript:KZN02643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSYRIGKPYLTCRHTFLKVQLATAKTGTPVFEIYAIGSEGEYKEQAIYIKHKEACPYSSGSLQDMKVCIGMFPLTRPSSKTDQANGAAVDSLVEKAAVCSGFVVGDIVEKVPGTHNKKVVRVPATFERKESFLFCLTLEGDQDQDVYDDNDHEVYRTTHHDYFKFVEFIGIGTPMKNISPKEDKDRYISLLVSRARNQQPLLSRITNFIRIDIPTSSDPLNGLYIGSNGYLASEVIQLRNFYAPWHEVGGIENVSEPELCKYVEAVNLTGDFDMAAGQVMFRAKVGEKYKLRPEIDLEEMYGAVDLYKGKGRLPGFQNSEWVDVDVLIIGEEHCEDGIAIAVLYSAPEYYFLKFFKQLNLQSFQESH >KZN01475 pep chromosome:ASM162521v1:3:16832494:16832772:-1 gene:DCAR_010229 transcript:KZN01475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQQAEQYSYKTTEARGNEAAGEEEMQNRMENVWRALNTSNNSCNQIC >KZN02157 pep chromosome:ASM162521v1:3:30319578:30321585:1 gene:DCAR_010911 transcript:KZN02157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSFLILLLLLSLATTLDCAEKPCGKTKAKGKFVTVLSIDGGGIRGIIPGTVLAFLESKLQELDGPNARVADYFDVISGTSTGGLVTAMLTAPDKDNKPLFAAKDINRFYFEHGPKIFPQDSQTIVRTIIPVTQVAITHVSNEILKGNFKFIDIKPMDTEKLLVLSLGTGTAKQEQRYDINTASQWSALDWVFSKGATPLIDVYSASNTDMVDIQVLSLFQALGAEKNYLRIQDDSLVGNTSSVDMATPSNMAALANVGRKLLTKSVTRVNIDTGSFEPVVGEGTNSRALTRFAKLLSDERKVRLAK >KZN00370 pep chromosome:ASM162521v1:3:4570014:4572120:1 gene:DCAR_009124 transcript:KZN00370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDIQNFLRNSKQQQFEFQHFPTSYLRLAAHRVAQHYGLLTMVQDYSLDGQGMKIVVKRLANSSYPAVCLSDVPVQQSDNDKLEQKKFVIRPRPKSSNGSSELEKRSSTRTVEERKEEYDRARARIFSGPNSPESEDTVSQSPLNRKIENSVVDESEASDLDRNFSAKDGSVPSRVAIFRDREKDRSDPDYDRSYNRYVRNVPNTQNLNLAPFNMQSFHPPYLHYDSATPQLGQLPSSQASIGYSNTVMSPYCAIGLNQTSRDAVYMQWPSHAMMYPQPYDQFTHAVFQAPFCQQPLSFEYSHNY >KZN03249 pep chromosome:ASM162521v1:3:42882813:42885468:-1 gene:DCAR_012005 transcript:KZN03249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVREVVFKQSENLEGSCSKIEGYDFNQGVDYHQLLSSMLSTGFQASNLGEAIQVVNQMLDWRLANEPIAEDCTEEERDPAFRESVRSKLFLGFTSNLVSSGVRDTIRYLVQHHMVDVVVTTAGGVEEDLIKCLAPTYKGEFSLAGAALRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLEEQTAKGVLWTPSKLIARLGKEINHESSYLYWAYKVDGSLGDMLFFHSFRNPGLVVDLVQDIRAMNGEAVHASPRKTGMIILGGGLPKHHICNANMMRNGADFAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFATRKKNYPDAKP >KZN02556 pep chromosome:ASM162521v1:3:34410203:34410898:-1 gene:DCAR_011310 transcript:KZN02556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASASKKEFYIFLCDQPLVIDNGALSFSLDKPTAKKCFMIAASELQITWGDSPLYWSWKSDPESRFTKVIELMDVCWFEICGKIDTSLLSPDTAYTTFLVYKASGDLSGFKDQPIEISVGISGEDSMNRIVYLDHSVEVQRGTTTLPRRRGLFHRFRAKQQRPQAAVSQVAENRYPVIRKDGWLEVELAEYYNKDLENTELEISLREVKKLNWKRGLVIQGIEIRPKVVT >KZN01183 pep chromosome:ASM162521v1:3:12711029:12714673:-1 gene:DCAR_009937 transcript:KZN01183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYVVDLIYRIDGFRLVTSLPYQKSKASTDKRGWSFGKRSARHRVLSNTVISEIPSSDSKEIPEPACADYQIQTRAAIPEKSSDVERTEEMLQLSASINSKFPESVAVAEVDTKLDIQPDESVVTVIQTAIRKVLAERELSKQKNIVKLQAAVRGHLVRRHAVGSLRCVQAIIKMQVLVRARRTRLSAVESTDEEKLHEKLEKYNHTQKVDRNSGAKPDLAHTSIEKLLSNRFARQLLESSPRTKSMNIKCDPLRPDSAWKWLERWVSVSPLEIEQSPKSEPVTEIKEHEVMKLPERHVETTCPAEEGAESTDLRYQGTMAAVPCFNPDTTEAIVPSEIEPNLVNQNFEQPQPEFLDLIKSTENLHQLPDKDKASDSSPQVEPKSLSSKPDLEEQPKRSMKRVASEEPETEGRKFVYGSRKASNAAFIAVQSKFEDLTSASNSGKSVNISNQESELKTCEDTVASVDDSFKARDTSLADCAVSSVTKVVVGESECGTELSISSTLDSPDQSEIGAVDEQEVHIAQEAVENPKNTTNIVFEARVDEPIKLDTDLANPITTQLEKHITDEEVVTAESLKPDDRLEENTSDTQIKLEHEAVNQMCKSSPEASPRSRATVQESQTTPSSQVSTKSKKSRSEKYGSSQKRKSLSVGKRSPLPSNDSGVRSSLELLPKDQRSGKRRNSFGSAKADQVDQEPRDSSSSQSVPSYMQATESARAKAYANISPRSSPDVQDKELYIKKRHSLPGTNGRQGSPRIQRSMSQAQQSTKGNGSNPSQGIF >KZN03063 pep chromosome:ASM162521v1:3:40776178:40778106:1 gene:DCAR_011819 transcript:KZN03063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGFQEKKDGVLKHSSLLELAADNNLTAFVCEIEEKGLDVDDVSFWYGRKFGSKKMGFEERTPLMMAALYGSFEIVDYIVGTGRVDVNRASSSDSATALHCAAAGGAEGAARIVKFLIDASADVNCVDVNGNKPGDFMLKFSRKKELEMLLRGCESEENDVCIEQVVEKKEYPVDVALPDINVGLYGTDDFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPKKFTYSCVPCPEFRKGSCVKGDNCEYAHGVFESWLHPAQYRTRLCKDETGCARKVCFFAHRVEELRPLYASTGSAMPSPKSGMVGSMDMGSLSPRGIGGSTTPMSPSVACSSPMGGNLWQNKVNLTPPALQLPGSRLKTALNARDMDLELELLGLGSIRTQQQRQLIDDLSNLSSPSMWNNNNNRFGEMNPTNLEDVFGSPDSSYLSQLQGLSPRVRASTPQMQSPTGHQMRQNMNQLRASYPTNASSSPARKAPPTYGFDSSAAVAAAVMNSRSSAFAKQRSQSFIDRGAVSHNRPAGFSSAAANSASIMSPNHADWSSPGGKLDWGYQEDMNNKLRKSASFGFGSGNAGARNSGMNPSFVDEPDVSWVNSLVKDVSSTGAGLYSSDQKHNAANGVQLPAWAEQMYIEQEQMVA >KZN02243 pep chromosome:ASM162521v1:3:31251034:31251522:-1 gene:DCAR_010997 transcript:KZN02243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSEMAELHYLVPPDPITLLPSTFSCSQNNTPTFDQFTRFSNPLLYDLQTNPQLQSFNPQPTSFSSNSTSDEADEKQICLINERKQRRMISNRESARRSRMRKQKQLDELWSQVVWLRNENQHLIEKLNKFSEQHDQALQENAQLKDETSELRQMLSDMQL >KZN02240 pep chromosome:ASM162521v1:3:31238879:31241449:-1 gene:DCAR_010994 transcript:KZN02240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTRVNTNVDMRFNFKDAHWLSDRVKEGNIEDALGKLQGDILFLHMSKDPIRAGEIVVFNIDVCAIIVLIYFHECIVDS >KZN00221 pep chromosome:ASM162521v1:3:3195115:3196128:1 gene:DCAR_008975 transcript:KZN00221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAILGCMASPLLSSHSSSKTSPKSSVFFPKPSSFVHNVKLRPRKTRVQAAQDGGDGKESAVEVHRTSSPQNNNEVTSVQQRSRPLAPSISPFGLLDPLSPMRTMRQMMDTMDRMFEDAFSTSLMPGTMDVRSPWDIQQTENEIRMRFDMPGLSKEDVNVTIEDDDVLVIKGNYKKEESGDGDGSWRNQSYSSYNSRLQLPQDCEKDKIKAELNNGVLLITIPKAKVERKVVNVNIE >KZN03142 pep chromosome:ASM162521v1:3:41695819:41698052:1 gene:DCAR_011898 transcript:KZN03142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKKAAAALHEKLQLLRSITNSHALNETSIIVDASKYIADLKQKVDRLNQDISTGQSSSHNQNSWPVVAVETLEKGFLVNIHSDRTCPGLLVPILGAFEELGLIVSEARDEEIGESINAQVVEQAVSQAIRNWRELNDQD >KZN03922 pep chromosome:ASM162521v1:3:49916409:49921028:-1 gene:DCAR_012678 transcript:KZN03922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELNCSLLEKLDYLSLLSKVSTELETYFGVSDRVLAEFVTELGRGCSNVDVFDAKLKENGADLPYYLVRTLLTNIHAILPAQEVGLVDKDRFRGRDGGDERRRGREERGGEDDSAADRNRRDECDQHVDSRTCEPELYRVYKGRVKRVMDTGCFVQLNSEFRGKEGLVHVSQMATRRITNTKDFVKRDQEVYVKVISIANHRLSLSMKDVDQTTGEDLLPLKKSSLEEDDMATPSRLNNRRPTSRTGISGIKIIDDDDAPHPSCRPLKRMTSPERWEAQQMIASGVLSVKEHLMFNDETDGLLYEKEVAEKELEIELNEDEPPFLNGQTQYSVDMSPVKVFKNPAGSLSRAAALQSALVKERREVREQQQRAILDSIPKDLNRPWEDPMPESGERHLAQELRDLGLFAYKSPEAKKDACAAALTFGQRSKLSIQDQRKSLPIYKLKNELVQAVHDNQVLVVIGETGSGKTTQATQYLAEAGYTTSGKIGCTQPRRVAAKSVAERVAEEFGCRLGEEVGYAIRFEDHTGPDTVIKYMTDGMLLTEILIDENLSQYSIIMLDEAHERTLHTDILFGLLKRLVKRRPDLRLIITSATLDAEKFSGYFFSCNIFTIPGRTFPVEILYAKQPEIDYLDAALITVLQIHLTEPEGDILVFLTGKDEIDHACQSLTERMKGLGKNVPELITLPAHGSLPIEEQSRIFDPAPPGKRKVVVATNIAEASLTIDGIYYVIDSGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHNEMSPTSIPEIQRTNLGVTTLNMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPMDPPLSKMLLASVDLGCSDEILTIIAMIQTGDVFYRHREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKGYSRPWCSENFVLYKSLDMARDVQGQLLSLMDRYKLDIVSAGKNYSKIRKAIAAGFFFHAAKKDQQGGYRTLVENQSVYIHPSSALFQRQPDWVIYNELVLTTKVYMRGVTVVDPKWLVELAPRFFKVADPSKMSKRKREERIEPLYDRYHEPNSWRLSKRRA >KZN01650 pep chromosome:ASM162521v1:3:22562906:22565293:-1 gene:DCAR_010404 transcript:KZN01650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEAIKYFVGIRRLGSPVDGCSLSCVLKVCGVLFDQILGKQVHCHCVKSGFLEDVSVGTSLIDMYMKSENVAEGKQVFKEMPERNVVSWTSLLSGSSWNGLFDEAVDIFFQMQHAGINPNSFTFAAVLGALANNGLVEKGIRVHAMVIKSGFELATFVCNSLMNMYFKSGLINDAKAVFNGIRNRTVVSWNCMIAGFVTNGLDVEALELFNRMRVAGIDLTQSIFVTVIKLCSDLHELGYARQVHSLVIKSGFGLDPNIITSLMVNYTKCGEMNDAFEIFSTTPGVHNVVSWTAIINGYLKNGEVKQAAELFCQMNREAVRPNDFTYSTILTAHPTISLFQVHAPVIKSNYERTASVGTALLDAYVKIGHINDAARVFDLVEEKDIVAWSAMLGGYAQVGDSIGAIRLFCQLAKERINPNEYTFSSVINSCCNPMATVEQGKQLHARSIKSGHHNALCVSSALVTMYAKRGNIESANEIFKRQKERDLVSWNSMISGYSQHGYGTMALEIFEEMQTHNLQLDDVTFIGVLTACTHVGLVEKGQRYFDMMVKDHHIDPTMEHYSCMVDLYSRAGLLEKAMSIIEGMPFPAGATIWRTLLGACRVHRNLEIGKRAGEELMSLDPQHSAAYVLLSNLYAAAGKWQEKGKVRKLMDERKVKKEAGYSWIEVKRKTYSFLAGDRSHPLSDSIYLKLADLNVRLKDAGYQPDTNYVLQDIEEEHKEATLSEHSERLAIAFGLIATPPSSPLYVMKNLRVCGDCHTVIKLISSLEGRVIVVRDSNRYHHFKEGSCSCGEYW >KZM99960 pep chromosome:ASM162521v1:3:480499:484823:-1 gene:DCAR_008715 transcript:KZM99960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHISFTIIIICCTTLHIFTESTQPPYACDSSNPSTKQYPFCRTSLSFTQRATDLVSRLTLDEKVSQLVNSAPGIPRLGIPAYEWWSEALHGITNAGKGVEFTGRIVGATSFPQVILTAASFDALLWYRIGQAIGREARAVYNDGEATGMTFWAPNINIFRDPRWGRGQETPGEDPMMTGKYAVSFVRGIQGDSFQGGKLKDGDHLQASACCKHFTAYDLDRWHGVTRYAFDAIVTKQDLADTYQPPFQSCIQQAKASGIMCAYNRVNGVPNCADYNLLTNIARGQWGFNGYITSDCDAVSIIHDAQGYAKVPEDAVADVLKAGMDVNCGSYLQNHTKSAVMEKKVPVSQIDRALRNLFTVRMRLGLFNGNPKNLIYGNIGPNSVCTKEHLNLALEAARNGIVLLKNSAKILPLSKTRTQSLAVIGPNANSANTLIGNYAGPPCRSITVLAAIQSYIQNTVYNKGCNAVNCTSASISEAVDIAKKADHVILVMGLDQNEEREDFDRVDLVLPGLQQSLITSVAKAAKKPVILVLLCGGPVDVSFAKHNPKIGGILWAGYPGEAGGTALAEIIFGDHNPGGKLPLTWYPKDYVKVPMTDMRMRPQPSKGYPGRTYRFYTGKKVYPFGYGLSYSRYSYNIVSVTESQLHLNPLSVKQGLSNSSSAQYMMVSELGTESCEKAKFSATVEVQNHGEMAGKHPVLLYVKQAKHSNGMPMKQLVGFQSVALNGGEKAGVEFILNPCEHLSVADENGEMLINAGSQHLVVGDAEYPISIVLDQGV >KZN01154 pep chromosome:ASM162521v1:3:12274561:12274815:-1 gene:DCAR_009908 transcript:KZN01154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDIGLGPHDPDLIEAEMVEEELELLEVALAAPQGPMEVGLAADFLAGAAFQMAPNAPDHQEAEIQDFIFEDDVEVEEEGVVM >KZN03219 pep chromosome:ASM162521v1:3:42629204:42633977:-1 gene:DCAR_011975 transcript:KZN03219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIWKISTWVVSIVFILSCICSSVTATVTYDDKAIIINGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPTRGKFNFEGRYDLVRFIKVVQQAGLYVHLRIGPYVCAEWNFGGFPTWLKFVPGISFRTDNGPFKVEMQRFTERIVNMMKSNRLFEPQGGPIIMSQIENEYGPIEWEIGAPGKSYTKWFSSMAVGLNTGVPWIMCKQEDVPDPIIDTCNGFYCEGFFPKKWYKPKMWTEVWTGWYTEFGGPVPYRPAEDLAFSVVRFVQNRGSFFNYYMYHGGTNFGRESSGLFIATSYDYDAPLDEYGLQREPKYGHLRLMHRAIKQAEAALVSAYPTVWSLGKNSEAHEYRAKSGACAAFLANYDTRSWAKVDYFNKLYDLPPWSISILPDCKNEVFNTAKVGFHGSHMKMLPVSAAFHWQSFTEEAPTADDGDTFTKWGLYEQLNLTRDYSDYLWYLTDVNIASNEWFLKNGKDPILTIASAGHTLQVFVNGQPSGIAYGSLENPKLTFTGSVKLRAGINRISLLSSSMGLSNVGVHYETYSTGILGPVTLKGLNGGTRDLTKQKWSYKVGLKGQTMSLHTLGGSSSSNWLEGSLVGQKHPLSWYKNGEVIHSGFHWSEEHCDQKIEAAAAAAAALYYTEEYK >KZN03477 pep chromosome:ASM162521v1:3:44947879:44950166:-1 gene:DCAR_012233 transcript:KZN03477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKNPEHRPNATEILKHAYLQPYVEQYRQSPISPGKALTPTRDVRKNMAESQSSNSSCSDRDSLVSSEKIVRPTACSCDKKTSDTDLASVDEEVGSQPHVENDEHTSDRCTVRLDVQQVKKPLKDEHRSNIEVKQPKTIKNIMTALKEGKVRENSSPMRNRSQRTTKMDDLPRVAKLAAVTPSSKVTPNASPPAPAKTSSDSMKQMQGVNPLKHQLPASDSTPKTKPKFEVITPTGPPRLKTPPSLARQSSFMGRMRQLGVGAPVLATNNVKLGPSETTQNHETVTPDNVSSAHLSHVSGEIVCNPQTPVLEPSAGMKTESSNSISSSASIQGFDICDDATNPINNSTEVVRPNFEQVSQAESFGSFQPSVSAVSSLHSEMPGVNSRETNGLGDRSLLCSGSTSELHFDFESANYVPHNENLSTSSALQVQFPRSTDIHAQRDGVLTCKPSSTTDQVLQSQQTCKIDGDDKFTVKELVSSAADTTTVTAPSVTTIPNGHNGEKGIILRNPTIEKHTTSQFPPAFDDIIHVIRHSSYRVGSDQPVMETVDRSVDSGKQINIARDEAETRNPPTPLAKSCNSSEIMTPKSDLSDDLTFKEADSRITASNVQISDSSEPEKTNTPTTVARVETPGKEILDVNSSSQRAEALEGLLELSADLLQQNRLEELAIVLKPFGKVKADPRETAIWLARSLKGMMLQDLGGNP >KZN00575 pep chromosome:ASM162521v1:3:6571564:6577544:1 gene:DCAR_009329 transcript:KZN00575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKIDRIGSVHLNMNDAARATQNFSPSQKLGEGGFGTVYKARLQDGQIVAIKLAKKAHYEALRSEFESEVELLATINHRNLVKLLGFVDKGDDCLIITEYVANGTLREHLDGRRGDHLDFNQRLEVSIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTERMRAKLTDFGFARLGNLNSDDKHASAKVKGTMGYLDPEYMKNHHLTPKVDVYSFGVLLLEILTGRRPLEPKRPATEMVTVRWAFTKYSEGSIKEMVDPLMNEVVDTSVLEKMFDLAFQCVAPTGADRPDMNLVVEHLWGIRSDYIRSRTKD >KZN03351 pep chromosome:ASM162521v1:3:43899580:43900711:1 gene:DCAR_012107 transcript:KZN03351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSEILPSFPSSLLSSEDPSHNLLNNPEISSQITQLLRKPDSGAGDNSLCRWLYDTFQCAKPDLQLVVLKFLPHIAGVYLSRVPLRKPLAGFEAVLLALYSHETAFRNGQAVTVNIPDLCHSSVYHESKAGAKNNATELNLAVISPGLEPYGTVRSTRRARIVGVALELYYSKIGEMPVDSKIAFCEFCVVWAGEDGEVYRETDEGTDETNKVEEIKEKMKGLDADSEVRNDESSSGSSKGKGETKEGISTGRIPLPWELLQPVLRILGHCLLGPNKNKELYEAACVACRSLYARSLHDINSKAILATGSLLKLVKLNSKPMDKVDHTELTITDVITI >KZN01453 pep chromosome:ASM162521v1:3:16422247:16426556:1 gene:DCAR_010207 transcript:KZN01453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIATTITDSKEELHSTFASRYARTSLPKFVMPEQAISKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASLNKNYVDMDEYPVTTELQNRCVNMIAHLFHAPVQVDETAIGVGTVGSSEAIMLAGLAFKRKWQARRRSEGKPCDKPNIVTGANVQVCWEKFAKYFEVELKEVKLRKDYYIMDPVKAVEMVDENTICVATILGSTLTGEFEDVKLLNELLVKRNKETGWNTPIHVDAASGGFIAPFIYPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRSQDDLPEDLVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNIMETCMSNARVLKEGLEKMGRFEIISKDVGVPLVAFSLKNSSKHTVFEISESLRRYGWIVPAYTMPPDAEEVAVLRAVIREDFSRSLAERLTSDIEKVLKQIEALPPRISTRAAHLISTRDTHLIGSVSDTPVATEELERSVRESQSQVAMECRNAGRSQYW >KZN03101 pep chromosome:ASM162521v1:3:41303118:41304415:-1 gene:DCAR_011857 transcript:KZN03101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKLHQQCLQLNYYTSPGWFSEIIFLRATRNRLIRSGVQVLSTCVLCNTLDESSDVHTLVKIQKSDIDLSGFL >KZN01448 pep chromosome:ASM162521v1:3:16329965:16340380:-1 gene:DCAR_010202 transcript:KZN01448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLSDIPVVGKAVDKISVVIVEAGTRGLVHLFCYKGVVKDLKATKINELQIQEESVARKAAAAKNNGQILLKHVVDWQEKVVEIKDQVKVFVEEYENRPSWLCCFEALRIPDPVTRFRLGRSGAKMSKRVEELIESGKELVHEDIAQYPPFENVPISGGDRYYNFESRRTAYGKIWEALVKEDGFSVLGIYGMPGVGKTRMMEQTWKEIKEKGVFDKVARANFGNGKLDVINIQEQLSFHLECHLESKDNEEYRAFQLRNSIMNGGKILFILDDVWRYIPLNRIGISFDEGTPGGCKILFTSRAQDVCLKNNCKDPVRIDTLTAEEAWEMFSNVVGSSKIYSLLDKTLAMRVCNKCARLPLLIVAVGNSVAIMGECQWKDALDLLENHKIEKIHGIEGDIFACVELSFNQLHEDEKIFLLLCSLYREDAEIDKSLLFKLATDCELLEGKRDRVHSIVQNLKSSSLLLPGRNDKYIRLHDIIRDVARSIASRQEYAFLSITCNSWLPNQSAYSTRKVMYLNLEVDNVSSPDDLVCPDLHTLWLQSNFHSQQLSDSFFKMFPNLRFLRYPDMAPIQPRDSDSSTKYFVCCDTIVGGGKTLAERAENLFLTNVGTTNPFIDNNKGAFKDLRVLYMENCHYVENLAKIPGEESKHIQQLTAFSNLSVLNIVDCRGMTYLFSASVAQGLVQLQVLLVRNCPAMEVIIGSEGTDNKKVIKFAQLKSIVLKAMPKLKSFYGHRRYINSTTTESTDVSSVHPASLFDKMVVFPSLVELKIIMLKVASDVWGENDHENKLKNLEKLDIKFCSKLRNVMSPWIARDLVHLKVMRVRGCAMIKEIIAASGRSKQETDDEIVFPVLLSLELIHLPSLINFWCYESWEPKNEQRQPHKVILSLLVIVWGIRLAIFLLMRILQWGEDRRFDEMRDNLGKLAFFWMFQAVWVWAVSLPLTVVNASDKDPSLKAADIIGWIMWSIGIISEATADQQKLTFKNSPENRGKWCNIGLWKYSRHPNYFGELFLWWGIFIASTPILNGAEWLVILGPIFLTLLLLFVSGIPLLEVSADKKFGNVDAYRMYKETTSPLIPLPPVVYGKLPSWIKKVFLFEFPLYSKTLPQGELSWYRSNSGGSREGMKLG >KZN02059 pep chromosome:ASM162521v1:3:28973227:28975700:-1 gene:DCAR_010813 transcript:KZN02059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRMFDHIQDLEKSRTNWRIKARLTRFWPTFSPETSTIKGYNLILLDDDNTHVHGYVYPDNWRAIGKEVAEGKVYTFENFQVRDTIGKLRPVSTRLCIRLLSSTIIECVEEDAMIPNHKFEFMDMGDLLEECNRLTENQNPEFAYDVIGVIEHFKRVKRVPTKYGERDQTRFMFTDGRLKFSVTLWGDFASSVSQSYTDELQKPVIGILTSAKLSTFRQENQIGALPSTKIYFNLDIDPVTEFRERLLEEGYKSPPDTADSSSEPAPRAVIERTSFKELTENSLSFVLKRTVVVKFVITKVEEEDSWWFNSCVSCHAEVEKVDKKFKCAECKRNFGYCEKRFRIVVLADDTTLVTNVILFDRVVKRMGATTVANILNLMKKDTSVTAESAVFKTIVGKEVTAILQLTDANVSGDSNLYNVADLCGSSMFETAIIQSTPSQASTSFSMDGVSPIVGIELFQTPGSSESVAKKIKMEDSPP >KZN02471 pep chromosome:ASM162521v1:3:33744139:33746676:1 gene:DCAR_011225 transcript:KZN02471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQKYCYRDVLPFAAMVTMECLNVGLNTLYKAATMKGMSEHVFVVYSYAVAALCLLPSPFFTPRGRTLPPVNFSIVSKICILGLIGCTSQIMGYTGIGYSSPTLASAISNLVPAFTFILAIIFRRSYLIVVDRMESVALRSSSSQAKVLGAIVSISGAFLVTLYKGPRIIPTPSLSSLSVPQLLSSSNSNPNSNWVLGGLFLTTEYILVPMWYIVQAQIMKEYPSELTVVFFYNLIVSVLAGIVALITEPDSSAWKLKPDIALASIICSGIFGSFINNSVHTWVLRVKGPFFVAMFKPLSIAIAVTMGVIFLGDTLYLGSAIGAMIISIGFYTVMWGKAKEEMGVGRLDVESLGSPPSQKTPLLQQSSQNQTFVS >KZN02116 pep chromosome:ASM162521v1:3:29794802:29798018:1 gene:DCAR_010870 transcript:KZN02116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHRIFLLLLLVPLLTAQSPLSNGADAHFAFKTAADAHNKLNYTLRDSVNYCQWRGVKCAQGRVVRLVLNSFKLGGTFPPNTLSNLNQLRVLSLPNNSLTGPIPQLAQLVNLKTLFLHHNSFSGNFPVSLVSLHRLIFLDLSHNNLSGSLPVNLTLLDRLYSLRLNWNRFNGSIPPLNQSLLDVFDVSGNNLTGAIPVTPTLSRFSRSSFLFNPNLCGKILNKICRSTSSPFFDSGGEGGDNASPPSPFLQNEQGVILSPPSSKKHNKTGVILGFVIGVLILIAAILSALAYFKNQRRQQVECKSTSFEEVENENVNADSSGRTNAVQVIGSELQVVQKKVGVARREKSGNLIFCDGETPFCSLEQLMRASAELLGRGSIGTTYKAVMDNQLTVTVKRLDAGKTAVTSGEVFERHLEAVGGLRHPNLVPVRAYFQAKQERLIIYDYQPNGSLNNLIHGSRSSRAKPLHWTSCLKIAEDVALGLAYIHQASRLVHGNLKSSNILLGSDFEACLTDYCLSVLAISAPSEDLNSDSCKAPEIRKSSRQATTSSDVYSFGILLLELLTGKPPSQHPYLMPADMANWVRAMREDDGGEDKLLQMLVEVASICSLTSPEQRPTMRQVVKTIQEIKENALIEDTGTEGYIEYI >KZN03301 pep chromosome:ASM162521v1:3:43351485:43352846:-1 gene:DCAR_012057 transcript:KZN03301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNYCGEAERSGGSSSRKGKKNGSDKPKQPQRGLGVAQLEKIRLHSQMGRGAFVHPNSIQTPFPVTNYPIQEDVRLHTAYQSSSSSFSYPTPSSTSYGFHGAQNMMIGLGDMERANIRYGDSQTATNIARWNGANTVYDAQHYQQPSITRHLLNLESEEFRENKMKVRSSDSAGSGSQNSGSSGSQEIDLELRLSL >KZN03111 pep chromosome:ASM162521v1:3:41353490:41354075:-1 gene:DCAR_011867 transcript:KZN03111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNNSNNNRINKDKNMSRNSSPEIEERFPLAAVVNDCVRRWFLDTLKEAKAGDITMQVLVGQMYNSGYGVTMNARKGNAWIEKASRSRSSARKVGDKRPGYNASDSDSDKVNAEA >KZN00103 pep chromosome:ASM162521v1:3:1964321:1965238:-1 gene:DCAR_008857 transcript:KZN00103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPLICGSFQDQQDEDLELLRSCTSPKSRKPAKWHSFGGKSSKGNRNPYADRGLEKFSALLAELDSKRQKIYTQKGSEDISLLGFVYSKSDDYKPIVVRLKNKKQPNISSEKDKPKSTDNISTSEIEPANVAKVDQGRAMSQIVSNTKISPRKITSDFLKKMMLKHLRRPAYYVPMVTIFILIFLILFGRSFAILCTTLCWYFVPMIQSLDYKKTKTIKTKAMKKDYAKALSYSGNLATTSDHEISNLKKSKVMKKAMSYNNKFVKTGISDHGLSSPRSVLNGIKTPPTPASPPQQDHRRSFPR >KZN02861 pep chromosome:ASM162521v1:3:38142120:38158294:-1 gene:DCAR_011617 transcript:KZN02861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVTGDRYLESLVKFVDKLAGNLIDGTVTLKLNPVGLHYVQSRLEALSELESLLHGAPVDYLRAYISDLGDHRALEQLRRILRLLTSLKVVSVLPPNIRDPTRLSLLPFERLKVLELRGCDLTTSAARGLLELRHTLEKFVCHNSTDALRHVFASRIAEIKDSPQWNRLNSVSCACNGLLLMDESLQLLPVVETLDLSRNKFTRVDNLRKCINLKHLDLGFNHLRTIASLNELSCRVVKLVLRNNALTTLRGIENLLSLEGLDLSYNILSNFSELEILSGLTHLKSLWLEGNPLCCARWYRAQVFSFFPGPYEMQLDDKRMSREEFWKRQIIIARRHSRPASFGFYFKAKDNAGLEVPINTKPKKISRLANIESEEQSPSVCSDLESVECENEIPGKVDNSLDEKDEIVDLINRIELMKRERSSLWLEELKEWINHDPKSSIDGAQYSGNVVDPDQINCPESNSRYQQLGKSSKYVADSAHVSSIDSSVYALECDPGFSGRQYYDPISDVSTTLSMRHVDVEGIPFVEGSNSSPRHMLSPCTGGAQPVSCVVQENDGVTMKRTVRPLAAIDEIMESQSSTACLSSPPHYQEDILHRRQNLEEELFQISAESYSTASTDSNTSDSDDDSAEFCTPQVDASFIDEPLDKSVDEFDFTVSYSGEPSYKKRYDVPKPTHNGNHLLDSDARQISGITEDKEPYSSELLQDMFCNVQQEGDCRKNKNCKRISRRRVVSLVDRDAADDEGVPLPETLDASRVDVVDITKRLISLDSDIHTCENAGLTSHSEPSGNILSETKSGYLGSDDFIVNHFNSNVADSLVQETCRQYVRCSSFIGTNGDCKECELVVLRSSEQKLYMLLISNEYDGSETTLSLIGCHKIEDVKEVLVGLGLHIVRSLEKSRQLFCILDILDPYNTKDGLILRSLEQVQVDLISRNFLGGSNTSIFQFSMVLHWRCTFTEDSWLSRSLFVLERHLLLCIEDFLQFGSLTEISPCSSYFSFDSCCSIVDVQELVIESSESLCLTLAVKKVMSKLCLDKTTNEVGTIHSPAVPLTWKLRWFSEESLFKFLSLFKALHDSVTSAPLVVVHKM >KZN00287 pep chromosome:ASM162521v1:3:3868807:3870456:1 gene:DCAR_009041 transcript:KZN00287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYQVYLVYSLLLIISSITLRGIFKTRNTSSLPPSPPRIPIIGHLHLLAPIPHQALHKLSTRYGPLIHLFLGSKPCIVASSPEMATEILKTHEASWLDRPKTEAVDYLTYGSQDFAFATYGPYWKFVKKLCMSELLSGRTLDLLQPIRRHEIESMVNAMMKKARAGEAADVRVELIRLANNTFSSLFMGKRWSENEDEAEEVHKLIQEITELAGKFNFSDYIWFCKNLDLQGIRKRVVDVRGRFDNMMERIIEDRVDLRRTRKQNGIGGHLEKNLLDILLDISEDESREIKLSRENIKAFTLIPAKTRLFVNVWALGRDSDYWENAPEFIPERFFLSSEDKRNGKSQLDVRGQHFQVLPFGSGRRGCPGISLALRSVQTTLAVLIQCFEWEVRGEGQNGGSTVNMEEGTGLTISRARPLVCVPVARLDPFPSM >KZN01060 pep chromosome:ASM162521v1:3:11234595:11236644:-1 gene:DCAR_009814 transcript:KZN01060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAQKVLLFIVLIIVAVLVLPPLTKASDEAHAELDTFNNHSKSNKLSPQMVSDITVHGFLLWTSMGFLMPVGILVIRMMNTEQSGKRLKIMFYTHATLQASSKILSVLLVTAGAVLSIRMFENTFNNNHQRLGLALYGVVWLQTLVGFFRPKRGNRRRSLWFLVHWSLGTAVSLLGIINVYTGLLAYQKRSSKSINFWTTIFTVEVLLIAFLYLFQDKWNYIKKQGVVLGDAPVQPTNQEMNFAAKPISPHRGPSRKLMVYSSH >KZN03645 pep chromosome:ASM162521v1:3:46594245:46595842:1 gene:DCAR_012401 transcript:KZN03645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNMEDIVENSLESLSSGYSSDNNENSRDPETHPRVGDEYQAEIPELMMEDGLQDLGRQTDSLNCWSVGLPVSIMWVYDDGESTESEAMTSRRNRECLTDIYGLTAIERSHENEISSYDEDAKPKVDPHGGIVPSYLKETRQIMPQIQPEVGASRTDVNSCLPLKKETDLDYGKKGGRFLPEVGGLICIIVTLDYLSIVQSLYLLPDTLFVLALIFEDKE >KZN03201 pep chromosome:ASM162521v1:3:42407230:42407586:1 gene:DCAR_011957 transcript:KZN03201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKSKINAINKSFTLRKWNQRRSTCRSSKTGKMSQFYHKKRADYPQTTSCILPQKRVDYPQTTSCILPQKRADYPQTTSCILPQKRADYPQTTSCILPQKRADYPQTTSCNFIIKMS >KZN01830 pep chromosome:ASM162521v1:3:25830159:25832272:1 gene:DCAR_010584 transcript:KZN01830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYLTDVWQLGVSHAAGIINVWNGITFFLPIPFAFFADSFLGNFYTLLISCFADAIGLGVLTLSTPRFLGPCKENKPECIGGTQKTLFYFALALIAAGIASRNVSQDQFFKEQQGETTENNKKEEICDCGLGQKLGCFFMVIVIVVSTLVLPFIQSWSVKFGFPAICSLVALLVFLNGQFWHPYQHKGPQGSPLTTFFRVFVAAISKKSMKLPEEKNLYQSDDRAELTRSLRCLNKAAIILPSPSEEEQKLDKWHLCSVREVEDTKVCLRMAPLCITLIVCGFVLSLGNTYFLEQANHLNQTLGRLRVNSIIFFFFSFGASIISTRIYLFAKGWSAKEKKKYFPILGIGLALVTSILCCITAAKVETRRLKVISSKPDLLTDNPSKDTKISMSMFTLVPQYLLLGVFNGISYTCYEELFKTRYPSTMDKYIQYFTTGLTGIGIAASYLSVYIVGKVSENGGSKTNWFKHTLNQCRLDNYYWTLAVISSLNLFCYLIIVALILPEPKPKPKPATRLQKEIRTISDQLSIAGSPTSNEGGANSGCLILSQ >KZN02219 pep chromosome:ASM162521v1:3:31017488:31019662:-1 gene:DCAR_010973 transcript:KZN02219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPVVKFPIIAVIRLVGIAVSALLLTWTLHFRGGLALVSDNKDLIFNVHPVLMVISLVLLNGEAMLAYKTVSGTKSFKKSVHLTLQFLAFFLSLIGVWAAIKFHNDKGIDNFYSLHSWLGLACLLLFSIQWAAGFATFWYPGGSRNSRSSLLPWHVFFGVYIYALAVATCATGILEKATFLQTNNIMSRYSSEALLVNSLGVLLIALGGFVILAVVSPGNSKGEILRGSTE >KZN00504 pep chromosome:ASM162521v1:3:5856962:5861969:-1 gene:DCAR_009258 transcript:KZN00504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATVSAQLAYSARRNTSLSSLSPSSPTFAFKFNSLRLIHTTPTNPNPSFRISMSSSIQSPPETISQLSFLDRRESGSLHFVKYHGLGNDFILVDNRDCVEPKISPEQAAKLCDRNFGIGADGVIFVLPGFNDTDYTMRIYNSDGSEPEMCGNGVRCFAKFIADLENLQGKQSFNVHTGAGLIVPEIQEDGKVKVDMGVPILNASDVPTKLPTTNNQPVVSSQLDVDGVSWNVTCVSMGNPHCVTFSNEGSQNLLVDELNLADIGPKFEHHQMFPARINTEFVQVYSRSHVKMRVWERGAGATLACGTGACAVVVAAVLEGRTERCCTVDLPGGPLEIEWREEDNHVYMTGPAEVVFYGSVPL >KZN03205 pep chromosome:ASM162521v1:3:42446271:42455633:1 gene:DCAR_011961 transcript:KZN03205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYGILISSGFWFSSRSLRDWPLLMCCLSLGILPPAAFVVEKLVRQKFISEKVAVSLHIAITTITLLYPAFVILRTDSAVQSGVSLMFVACVVWLKLVSYAHTNYDMRAIANSAEKDETLYMDYSDNVSFKSLVYFMAAPTLCYQTSYPRSASVRKSWVLRQLIKLIVFTGLMGFIIEQYINPIVQNSKHPLKVDFLYGMERILKLSVPTLYVWLCMFYCFFHLWLNILAEILRFGDREFYKDWWNAKSVDEYWRMWNMPVHKWMVRHVYFPCLRNGIPKGVAFLIVFFISAVFHEVPLVLLTKYLQDKYTNSMVGNMLFWCFFSIVGQPMCILLYYHDLMNRINAS >KZN00361 pep chromosome:ASM162521v1:3:4487808:4490373:1 gene:DCAR_009115 transcript:KZN00361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFRMTAYFIKTKWPEKAPKSEEERKDFIASVHKRKTKLFMVLIEKKLFPLRPGVAKLIDQTFAKGVKVAVCTTSNEKASSPQRINIALTRARTPERVVACRLRWINYLRPDVKRDNFLLMRRMWSLIAGMVISKFNPLSERKEWTLVPIK >KZN01334 pep chromosome:ASM162521v1:3:14573506:14575002:1 gene:DCAR_010088 transcript:KZN01334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTAKWIEEVRTERGNDAIIVLVGNKTDLVEKRQVSIEDGDAKSRESDVMFMETSAKAGFNIKVPLFRKIAAALPGMEGLSAAKQDGMVDVSLKPSAKSTKPEQEGGGCAC >KZN01663 pep chromosome:ASM162521v1:3:22744130:22744911:-1 gene:DCAR_010417 transcript:KZN01663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQIDIFRNVMLKGSYRAISHHICCSRLNQQKQCLCQYVRNPAYQKYLKSPDAKKVSKACKVLFPKC >KZN01619 pep chromosome:ASM162521v1:3:21972556:21974301:1 gene:DCAR_010373 transcript:KZN01619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTSSRKQDKLVPIYAKLLNVSKQQQGDQLEKVKTQVHKLSKHLASLDLAVTNHQPLTKTEVKDLVLEIAEQPKLVEKEALLLTEELKKQTVENPDYQDALRATEGLESPAQGFIRPSKVQGGPTSLSAVILKQNNTIIQLLVKACEKLEDCNTEIKSLKEKARKAKEEDLEDSIQGLTKKLEQISLGSSSKEPVKKAKDKECQADRNMKQSLILIDEAMEELRDQTGSPVSKAHLDEISLMAQKLSWTLMSTTIYPASGKHSEAQYPFKSEINWRNKPEKQSKKQPKKPKRLWPGSSIF >KZN03915 pep chromosome:ASM162521v1:3:49854207:49857441:-1 gene:DCAR_012671 transcript:KZN03915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIRRRRLLGLCTGKSSFLAPVPKTFDGGQTPENGTQNPTPFCTTPVPSIDLDQVKENGISKVHPRSSNMSTSSSSKEQQPQQFSVPEVKRRKRHRRKHFENQEPCIMRGVYFKNMKWQAAIKVEKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEDKKELRKLNWEEFLSLTRSAIASKKQQRRTEFSSRRKLEPADNNNYWEAGQGGFSGSEE >KZN00681 pep chromosome:ASM162521v1:3:7580953:7582374:1 gene:DCAR_009435 transcript:KZN00681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDTWLVKVKKTISHSFDSVRASPPHAKPVTKKSSLGVMAFEIAGLMSKLLHLWQSLSDKNIMRLRNESICLEGVRKIVSNDEDFLLSLACAEIVENLRVISRALSRISSKCEDSSLRSFDQIFDQFANTGRDPHNWSLSWKDMEAKIKKMDGYVTVTATLYREIEELTLVENSLKKSLQSKDQDHVTIKQQKVIDQQQKLLWQRQEIKYLKERSLWCRSFDTVTILLARSVFTVLARIKLVFGMGQGYPHSLHRSLSATVYPSDQNHPTSLDMVLGPRAKSTKHQESKGGLSHGFFELNSKILKPPASTLGAAALSLHYSNLIIVLEKMTRSPHLIGFDARDDLYVMLPQSIRWSLRARLRGVGFSASDPVLAGEWRDALGKILGWLSPLAHNMIKWQSERSFEQQNMMPKTNVLLLQTLYFANQEKTEAAITELLVGLNYIWRFEREMNAKALFESADFNGGFINLQNSS >KZN03519 pep chromosome:ASM162521v1:3:45369100:45369875:1 gene:DCAR_012275 transcript:KZN03519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHIPPHPHHRPDPLHVAEIAGLQRLKIQDLLVDNQRLAATHVALKQEVALSHQERRHLSAALSSVKQDSDAHVRELLEKAMQLEVEVRGVREREAEWARVREDLRKMEEDRRELVANLSELEAKKRQARGEVMEVERVHEEKEVMRREILKGSGFWQRK >KZN00144 pep chromosome:ASM162521v1:3:2382506:2389076:1 gene:DCAR_008898 transcript:KZN00144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPPSTTENHHPIHHLSTLISTTITSLLPKPTNNPTHNALFRPVSSFFDPAHDDPLPSSSSSVKKKKSMDSGSGSGFPSTLRISGLNSNGKGGGPAFVGQVTPGWLKKMFAAVTKSERNGPVFRFFMDLGDAVSYVKQLNIPSGVVGACRLDLAYEHFKEKPHLFQFIPNEKQVKEANKLLKTMPQNGQKSKVEGVPVFSAENLDIAIATTDGIKWYTPYFFDKNMLDKILEESVDQHFHSLIKTRHSNRRRDIVDDSMASEVFEENAESIWEPPEIQEVMDEIGPVDIPLSVISKAAEIQLLYNVDKVLLGNRWMRKATGIQPKFPYLVDSFERRTEASFLRASESSTSIADAESELNDLEYLSTSKAGQEDNLQVSRRQQRDFRFPFGDWLTNPWLEPLTKEHHNPDERTQRSSPEREKKESQSNVLLPKITMVGISTGEAGQMNKAAMKKTMDDLTRELEESDQGSVSSSNDYKYDDRDPLFVANVGDYYSGMSKAGSAKWVRGMR >KZN02721 pep chromosome:ASM162521v1:3:36479387:36480702:1 gene:DCAR_011476 transcript:KZN02721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLTGPYAAVIGVQSIYAGMFMLSKVAFDLGMNTFVFVFYRQAAATLFLAPLAYFTEWKSAPPLTFKILIKIFVLSLFGITLSLNIYGVALVYTSATLAAAITNSLPVITFLIAALFRMEKVNLKTIPGVVKIAGIVLCAGGAATIAFYKGPFLKLLLHHHLFTHYSPRQHALVHASTHVWVKGVLLLLLGNTFWGLWIVFQVFFLFTHY >KZN01044 pep chromosome:ASM162521v1:3:11107934:11108674:1 gene:DCAR_009798 transcript:KZN01044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTADNTIVCKGAMKHNNTEPDKGTDCKSETEGEALRRPRGRPTGSKNKPKPPIILTRDSANALRAHAMEVSPGCDVTESLNTFARKKQRGISVLSATGFVTDVTLRQPGSSGAILTLHGRFEILSLLGSILPPPALPGLTIYLAGAQGQVVGGGVVGALIASGPVVIMAATFMNATFDRLPLEEHEVADSHQHYQNGRQAQQQQHHHIDISEIYGMPQNVLSNGTSLPPEIYTWTPGRQTLSKT >KZN00341 pep chromosome:ASM162521v1:3:4353934:4358039:1 gene:DCAR_009095 transcript:KZN00341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQETGKSKRLYQVWSGSNKFFCGGRLIFGPDVGSLFFTVLLIAVPALVFCVKVYVDKVRHHANHWYPILIIGAGLTVLDMIFLFITSSRDPGIVPRSSNPPESDDNYDTMNTPSMEWVNGRTPHLKLPRTKDVLVNGHAIKVKYCDTCMLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFYLFTSTSTILCIFVFTCSWVRLYEQHHGRLLKSIANDIISDILIVYCFVAVWFVGGLTIFHFYLICTNQTTYENFRYKYDKKENPYHQGMIQNLNEVFVHKIPASLNDFRAFVVEDEHMMMEPSTPNLVRSLTTSKEKIDIESGKFTEDNGFSLPEILQNLDYDELEDDLKRKESVEKNYSDPYYLPAEIRNYMQKTVGDGNDEEEKSDEFTRSFKSTRSLPEV >KZN02968 pep chromosome:ASM162521v1:3:39691667:39696049:-1 gene:DCAR_011724 transcript:KZN02968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLEISAAEFLQGSCRQKLLLPRYSPKQTNCLLWGSFKNKVKPLRVCKDRVFSVRAVVSGDVGSSVVDKLSEVSSSVGNVIHFFRVPLVQDSANDELLKSFQTKVSNQIVGLKTEQCFNIGISSPLSTEKLSVLRWLLQETFEPENLGTESFLDEVEEGLGSVMIEVGPRLSFTTAWSANAVSICQACGLTEVNRMERSRRYLLYVKGGRGTLSESQINEIAAMVHDRMTECVYTQRLRSFETSVLPEEVRYIPVMEKGRKALEEINVEMGLAFDEQDLQYYTKLFQDDIKRNPSNVELFDIAQSNSEHSRHWFFTGKIVIDGQPMDSTLMQIVKSTLKANPNNSVIGFKDNSSAIAGFQVSHLRPEQPGSTCPLSSISRVLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGSGSFVVASTSGYCVGNLYIEGSYAPWEDQSFTYPSNLAPPLQILIDASNGASDYGNKFGEPMIQGYLRTFGMRLSNGERREWLKPIMFSAGIGQIDHSHITKGEPDVGMLVVKLGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMSQKLYRVVRACIEMGGNNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRSLLQSICERERVSMAVIGTISGEGRVVLVDSVAVEKCRSSGLPPPPPAVDLELEKVLGDMPQKTFEFHRVSNALEPLDIAPGITIMDTLKRILRLPSVGSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDFTGGACSIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAIALSEAMIELGIAIDGGKDSLSMAAHVSGEVVKAPGNLVISAYVTCPDITKTVTPDLKLGDDGILLHIDLAKGKRRLGGSALAQVFDQVGDDCPDLDDVSYLKRAFEGVQDLLEDELISAGHDISDGGLIVCLLEMAFAGNCGVCLDLASHSNSLFETFFAEELGLVLEVSKHNLDKVVGKLAGFGVSADIIGHVTAEPVVELMVNGASHLSEKTSTLRDMWEETSFQLEKLQRLASCVDSEKDGLKNRQEPSWSLSFTPTATDEKYMTATSKPKVAVIREEGSNGDREMSAAFYAAGFEPWDVTMSDLLNGAISLGKFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPEVGGVHGTGGDPSQPRFVHNESGRFECRFTSVKIQDSPAIMLRGMEGSTLGVWAAHGEGRAYFPDNGVQESVLNSKLAPVRYCDDQGNTTEVYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWDVKKKGPSPWLQMFQNARAWCLQEGLVLYT >KZN03417 pep chromosome:ASM162521v1:3:44402525:44403598:-1 gene:DCAR_012173 transcript:KZN03417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAGVIVEKWVKILTNADMDSDEMRLPSKFFNKYVNRLRTNILLKFRNGYEIPTVFNHEKGTFCGFSTMYDDFLFEVGQMLVFEFDGSCDFNVYVIDTYLTVPPGSSPYAEWASSRCLCERGGLKFVYFVKEESPLYDEFEPPASFKRAFRVLPGYQNFVFRNGKKIDGVYNHKSGKFKGQSKFCSILGLENFRQFNMVLFTYEEHGMSSVAFFDDNFVEVLFPGTPLSIGIVLSTFDFLLVSLYIDIRS >KZN00049 pep chromosome:ASM162521v1:3:1454017:1457421:1 gene:DCAR_008803 transcript:KZN00049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFVTDLASGLVFKHVSLAAEELIQAWNLQENLVMLQERLEEIDALLSDAHSKRLNMSTVQSWFNKLQAVARVADAFMDQLAYEVTRRQVENRSTLRDFFSTKNSILYRFKVAYKIKSINSSFDKIFEWAIKLGLQPVAQLTTAVQPREMRNTPPFEDESLIVGRDDEISFLVETVCTNYAEDLPVIAVWGMGGQGKTTLARMVYNRDVVTDMFKKRMWVTVSDDFDFIKILNQMVSSLTSTASMLENPEGLIKKLQNSLRGVKFLLVLDDVWNERPEEWDNLKNSLLGVGGAGGSKILVTTRKQKVVDVMSCFITHRVEKLSKENSWELFKRRAFSRGVLETARYEAMGRRMVERCGGLPLAIKALGGLLHSKRSEQEWFRIQESATWDSNDDVLPSLRLSYDNLPHSSLKKCFAYCSILPKDSIIWKDEMVRLWTALGFLLPPKGSNKLMEDIGSEYFNILLWNCLLQDGGRDSNGDFCYKMHDLVHDLALDLSEHHSVTVKADHELNYISKAIYVRADEGISNIKPPILKRNFEKVQVLYANARIVRDLVPYPSHLIGLVLERSNELDRLPSSLSNLKYLKYLDISRCFTRNKLPDYISRLYNLQTLSVRSATQLPRKICNLINLRHILVAYEVELVSSDMFAKIERLTCLQTLPRFVVSRDHQCHVGQLGSLKNLRGTLQLYGLGDVENMEEARKASLHTKSNIEHLTLVWRKDEDVMEEKEYNDEDVMEGLEPHANLKALDVGNFMGKKFAAWITFMTNLEVITFKNCKRCEEFPQLGHLSKLRSIYISGMDNVKFISSHLCGGQGSIRSELDENGAEETVATMYPSLKNLYLWDMPKLEEWVDPSMDTSGEDPHNVLAFPKLEMLVIQRCSKLTRIPSSCFPLMKTLHITDLDSSKLLESLSGKACGLTCLDLDNIGGGVGCSSSSSLPCSSMNCIMGELLKNNSVSLETLSVRRLQGLTYLTLGEGLKSLSVSDLPDLNTINVVKGSDALKHLSISWCPNYEVLAQNVNSTIETLELGDFWEDLDEFPWPSSFSFPNVINLRVRGWEKLKWIVDQGRPDNYLTSIFPALRQLDIINFQGVKSLPISLAQLPFLERLSIWSCGNLESLPKFHDNFQFLDIFGCPVI >KZN01079 pep chromosome:ASM162521v1:3:11374728:11380126:-1 gene:DCAR_009833 transcript:KZN01079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPLVGIALLVPIFSFLFLKFSPFNLHLPLYPFSASQKLFTKQQLALYNGTDAALPILLAILGSVFDVTKGKSHYGLGGGYNHFAGRDASRAFVSGNFSGDGLTESLRGLSSTEVKGVVDWRDFYVRTYIPVGKLVGLYYDSKGNPTKYLKGVEAKAARGAQLMEKQKVEEAKVPSCNSRWSQEDGSEVWCEIGYPRLVQRPIEIALTGKMSKRCACFNKAELDQPGLEVYEGCEYFSNLCRL >KZN01491 pep chromosome:ASM162521v1:3:17272968:17274251:-1 gene:DCAR_010244 transcript:KZN01491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVGPEEHPVLLTEAPLNPKANREKMTQIMFETFDVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTNYLMKILTERGYMFTTTAEREIVRDVKEKLAYVAIDFEQELEIARSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEVAGVHETTYNSIMKSDVDIRRDLYGNIVLSGGSTMFPGIADRMRKEIAALAPSSMKIKIVAPPERKYSVWIGGSILASLSTFQQVIISILED >KZN03001 pep chromosome:ASM162521v1:3:40038138:40041462:-1 gene:DCAR_011757 transcript:KZN03001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVEEKSKKSYGFESSGDSVPENRESNSSSSRSSSESAVVDEHKNKECSPLGWPIRKAHLQKCAVPDDSGDEDKALLGDSKKKQDLSVTLAEMEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATAFGQLWRLEPLSSEKKAMWKREMECLLCVSDHIVELIPTWQTFPDGSKLEVMTCRPRADLFINLPALRKLDNMLIEILDSFSKTEFWYIDQGIITSDADGSTILRKSMQRQEEKWWLPVPRVPSGGLLEDTRKQLNYKRECANQILKASMSINGITLAEMKVPDSYLETLPKNGRACLGDVIYRYITSEYFSAECLLDCLDLSNEHAALEIANRVETSIYVWRRRLHSKPITNANRSTAKSSWEMVRDLMVDGDTKRELLAERAESLLLCLKQRFPARIDDLLYVDDLTRNAGKIPSVPTISVISHKRVNIPYSVPASGTPYKSAFGTPKFSPAKVVNSPAKGERTPFLGANIDKPPRRGFGVKRVLTNYLVGETKLKNIGNQLEGPPGSSIGSKIVEVVASGTQKENNEHQKEVSAHHKGSRLRQMDR >KZN00899 pep chromosome:ASM162521v1:3:9687394:9694259:-1 gene:DCAR_009653 transcript:KZN00899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIPPNTTSSQFTYSTDGSSSSAPYFPMPFHLQHQTTPQQPPQPYPTVQYPAPVYPPPAAPLNYALPQYQQAQQLFQRDAQTITPEALESVKAALASSEIEHKAETKKKAVPRKAAGQAWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFANPSDLAGAMKEMNGKYVGNRPIKLRKSNWRERTDIEALERQKNQSHRKSKLPKKSVLHK >KZN01070 pep chromosome:ASM162521v1:3:11311882:11313363:-1 gene:DCAR_009824 transcript:KZN01070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAELIFVPSPGVGHLLSTGEVAKLLARRDERISISILIMKLPYDSGIEALTQNLKREAPERISFVDIPDLDEATRTELMSLPRMSFFTSFIEHQRTPVKNIVKSILEGPDSGNMLNNEPDPIVTAEEIERGIRCLMDGDSEVRSKMKEMKDQCRKATEEGGSSYTSIGQFLEAVIDTIQEGAST >KZN01895 pep chromosome:ASM162521v1:3:26684629:26686398:1 gene:DCAR_010649 transcript:KZN01895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPQSPQKPPPKTYQITASSISYSKSTTTFSFRTCTPTPPNYILQDITLTAYPSQILAIVGPSGAGKSTLLDILAARTSPTNGTLLLNSSPLNHSSFRKLSAYVPQHDACLPLLTVAETFAFAASLLNPKTSEISVIVNSLLTELRLTHLSHTRLGEGLSGGERRRVSIGLSLLHDPAVLLLDEPTSGLDSTSAFNVMQTLRSIADSRHRTIILSIHQPSFKILSTIDQILLLSKGKVVHHGTLSSLEAFLLSNGFTVPPQLNSLEYAMEILNQLNVTKPITPSSESTPSILTCSETKTRGKIRYKSSRLHEIAILYNRFLKIIYRTKQLLLTNTLQALGVGLVLGTIYINIGFDKTGIEKRLGLFAFTLTFLLSSTTETLPIFINERPIILRETSSGVYRISSYLIANTLVFLPYLLIIAILYSASVYFLVGLCATWQAFAYFVLVIWVIVLMANSFVLFLSSVAPNYIAGTSLVTILLAGFFLFSGYFISQDNMPKYWVFMHYFSMYKYALDALLINEYSCLLSRCLIWFDEENKTCMFTGRDVMIKRGLHENQRWTNIYILIGFFIFYRVLCLLVLIRRVSRCKK >KZM99937 pep chromosome:ASM162521v1:3:249808:260452:1 gene:DCAR_008692 transcript:KZM99937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRSNLNRVRIPDPTHRVYKHECCITFDSPKSEGGLFVDMNSFLAFGKDCVEWNYEKTGNPVYLHIKQKKKPDPEDRPLKKPTLLAIGVDGGFDNNEVEYEEEYCIVILPDYANIPYPSVDLPEKVRLAVDAVVRAEGAERKEQVASWTADKKLISEHALTLQQINSGVIIPPSGWKCAKCDKTENLWLNLTDGMILCGRKNWDGSGGNNHAVEHYKETGYPLAVKLGTITSDLEGADVFCYPEDDSVLDPLLAQHLAFFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQEVEPLFGPGYTGLLNLGNSCYLASTMQIIFSTRFFCSRYFFDQNLKSAFNAAPADPTVDLNMQLTKLAHGLLSGKYSVPVQEKDGNADSAANSTKQEGIPPRMFKAVIASSHPEFSTMRQQDALEFFLHFIDQVERLNASNPQLDPSRCFKFGIEERLQCPSGKVAYNSRQDYILSLNIPLDRAINRKEVDDFHKLKTARGVEEKEFRPRSPDEIVRPRVRLEDCLQNFSSEEEVHEFYSTALKAKTTALKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIEVPDTLDISFMRSRGLQPGEELLPEDVGDHEVEVPKILPNEDIVSQLVAMGFNYLHCQKAAINTSNAGVEEAMNWLLSHMDDPDIDAPLSQGSQRDEVFIDQSKIDTLVTFGFTEVLARKALKATGGDIEKATDWIFSNNSTGASDMDATSSTTSVDASLPDGGGKYRLIGLVSHIGTSTHCGHYVAHIYKDGRWVIYNDAKVGASKDPPKDMGDMEAEIIRYIHHVAGTGMYRSGGGKDGKRWRDKEEMEG >KZN02532 pep chromosome:ASM162521v1:3:34254608:34255673:-1 gene:DCAR_011286 transcript:KZN02532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKRGPWTIEEDRKLMNFILENGIQCWRTIPKLAGLLRCGKSCRLRWINYLRPDLKRGMLSETEENQIIQLHAHFGNRWAKIASHFPGRTDNEIKNHWNTRIKKRLKLLGVDPVTHSPIEPKEKSEMITETTPDSTSPVPETRPETSDITDKKSEMNAGSDETMNLSSNYEGLLENLDVLLWMNQEANTSTSYSPSFSLEESLSNPLMGESSYIQEDTIQQWGQSVDSMLSWDDFNQLQEELYYFGYR >KZN03333 pep chromosome:ASM162521v1:3:43673008:43676798:1 gene:DCAR_012089 transcript:KZN03333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEKMDDERKGKATKGHLRASSWVAYSEVFRSEDMGKANFGYVDSGGEGEFGSIVDRVGGDGRILKILVEGIQTNGGSSGVGEMEKAKKLGRGVYEKGTKKQVFTAEYSLICKIFEYKKPYISFMDGITMGFGIGLSGHGRYRLITERTVLAMPENGIGLFPDVGFAYIAAQSPGEGSVGAYLGMTGRRVSTPADALYAGFGTHYIPSDKLGSVKETLLTANFSEDPHKDVEAVIGKYSCDPSSESQLKLLLPWITATFGANKSVGEIIQELEKHQGSTDSTEADWAKDALVGIRKGAPFSLCLTQKHFSNVASAYRKKESDLCNLIGVMKTEYRIALRSSLRSDFAEGVRAVLIDKDQNPKWNPQSIEEVDQSEVEGMFAQLSPDNEELSV >KZN03560 pep chromosome:ASM162521v1:3:45814403:45818275:-1 gene:DCAR_012316 transcript:KZN03560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKCKFWLPKKKRFCTNLPLGTSPFCGNHTARNNDTWVPCPIDPSHSVLQDNLEAHLKKCPFKKYAQSLSLLPYYSKGINGGGEGDELVDYVSSEMKRKAVLGMTAQEVSELIRKIKSVHALICNDIQESHKIPDACGIWTNHEIDRKLPFQEKHVLQQASILGNLEDVGVIKPSGAGGTQKNQSCANGNGSCVDDDIPAVVEFGAGRGYLTQMLADCYGIKKVFLVERKSYKLKADRSLRQIESLTLERLRIDIEDLNLNAVESLKGIPYLAIGKHLCGPATDMTIRCCLAKHGDQDNVAEGTVNTYLRGLALATCCHHLCQWKHYINKGYMSNLGIDRDYFHAITWFTSWAVDADHGTALSDVVDATSHPEIMEEGRGRDSDVSGEEGISRNMSAVERALLGFMCKDIIDMGRSIWMKEHGLDSRFLKYVPSNISPENHLLIAKHKNILF >KZN00189 pep chromosome:ASM162521v1:3:2873793:2874059:1 gene:DCAR_008943 transcript:KZN00189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRNLGCFSEVLIASVSALVSVMGNGVLATNVLQSIILGTLADLLPKLGLVSGNGAAEGLLGMEQAIEIMKHGKAGKKTGGIQLLGP >KZM99930 pep chromosome:ASM162521v1:3:169450:176875:1 gene:DCAR_008685 transcript:KZM99930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELLLVFFCYSFVLGNVKVVVAQDTIKTSQQLKDGETIVSAGGNFELGFFSPKSAVNRYVGIWYKKVGTGTVVWIASRNNPISNKSGVLTLTPQGNLNIIVNLTSHVIWSSNSSALGKKIDTVAQLLDTGNFVVKDVKDNNVLWQSFDYPTDTLLAGMKFGIDKVTGLDRYLTSWRSNDDPGTGDYTYRCHPQGFPQFLITDGSKKKIYRLGNWNGFIFSGFPREISNISLSFVYNDKEVYYMYNIVHSSIITRLVLTQNGVAVRQVWAEKSKNWADFLSGPTDLCDNYGKCGQFGSCNIANAVICECLDKFKPKSPKDWNAGDFSDGCVRETSLDCENGDGFLKYSNIKLPDTESCRSNESMNLKDCEMLCSKNCSCTAYTTLDISKGSGCLIWFDELIDIRQAPRGGGQDIYIRMAASELGGSKGKTKKVVIIVSLISIGALVLGLSLIIYMFKKKKNKRQEGIFHVWAEKSKNWADFLSGPTDLCDNYGKCGQFGSCNIANAVICECLDKFKPKSPKDWNAGDFSDGCVRETSLDCENGDGFLKYSNIKLPDTESCRSNESMNLKDCEMLCSKNCSCTAYTTLDISKGSGCLIWFDELIDIRQAPRGGGQDIYIRMAASELGGSKGKTKKVVIIVSLISIGALVLGLSLIIYMFKKKKNKRQEGNIKVLVAQDALKTSQLLRDGETIVSAGGNFELGFFSPESSVNRYVGIWYKKISTGTVVWVASRNNPINNKSGVLTLTAQGNLNIIVNLTSDVIWSSNSSTLDKKIDAVAQLLDTGNFVVKDVKDNNVLWQSFDYPTDTLLAGMRFGIDKVTGLDRYLTSWRSNDDPGTGDYTYRCDPQGFPQFLITDGSKKIYRLGNWNGIIFSGFPRPVSNISLSFVNTYKEVYYMYNIVNSSMFTRLVLNQNGVAVRQTWAEKSKNWVVFLSGPTDICDNYGKCGKFGSCNIANATICECLDKFKPRSPKDWNAGDFSDGCVREKSLDCRNGDGFLKYSNIKLPDTESCRSYESMNLKDCEILCSKNCSCTAYTTLDINKGSGCLIWFDELIDIRKAPQGGGQDIYIRMAASELGLSITIYMLKKKKSKRQEGFPHESNITTKNYDKFQGIMEDGREIAVKRLSKTSRQGTNEFKNEVVCIAKLQHRNLVKLLGCCIQEEEMILIYEYLPNKGLDFFIFGMSFVDHDAN >KZN02461 pep chromosome:ASM162521v1:3:33657174:33661376:-1 gene:DCAR_011215 transcript:KZN02461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRMSSLLSSRNVSSLASAFSRSSGKGSSYSRGIISRFSTAASAAYEEPITPPVRVSHTQLLINGQFVDAASGKTFPTLDPRTAEVIAHVAEAESEDVDRAVSAARKAFDEGPWPKMTAYERSRIMLRVADLVEKNTDELAALETWDNGKTYEQAIGEVEVLARLFRYYAGWADKIHGLTVPADGPYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNSVVLKTAEQTPLSALYVGNLFLEAGLPPGVLNVISGFGHTAGAALCSHMDVDKLAFTGSTATGKTVLSLAAASNLKPVTLELGGKSPFIVCEDADVDKAVEMAHFALFFNQGQCCCAGSRTFVHERVYDEFLEKAKACALERVVGDPFKKGVEQGPQIDNEQFAKIMKYIKSGVESGATLEAGGERFGSKGFYIQPTVFSGVQDNMLIAQDEIFGPVQSILKYK >KZN02739 pep chromosome:ASM162521v1:3:36776323:36777102:-1 gene:DCAR_011494 transcript:KZN02739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVQNAWLSQQSPRVVKGLPDVDTTADAACSKEDGSYDSEDGLPPLEKNLNHLNSEESEDEECYTSEDGLPPLEKNLNHINLDVSEDEGSCDSDGGLPPLEKNPNHITLEDSNTESS >KZN03099 pep chromosome:ASM162521v1:3:41288741:41291328:-1 gene:DCAR_011855 transcript:KZN03099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIQSHQSSISKLSNLVFKLAHFIVLLELLIFTIQPVSSQEWDGVIVTQADYQALQAFKQTLIDPKGFLKSWNDSGFGACSGGWEGIKCAQGQVIVIQLPWRELSGKITDKIAQFQALRKLSLHNNFIGGPIPVGLGFLPNLRGVQLFNNRFSGSIPASLGLCRSLQTLDLANNSLTGNIPASLANSSKLFMLNVSFNSLSGLIPTSLMQSSSLKFLALQNNNLSGPISDSWGEPSGINVSRIQLLTLDHNAFTGSIPASLVQLKEIQVLTLSHNKFSGEIPSEIGGLSKLKTLDFSFNSINGSLPISLSNLSSLTFLNLESNNLDNNITESIGELHNLTVLNLRNNHFVGEIPGSIGKIVSLGQLDVAHNNLTGGIPVSLGDLPNLKSFNVSYNDLSGPVPILLSQKFNSSAFVGNLQLCGYSDSTLCPVSPSPSEEKKKDHGNKLSTKDIILIAAGALLVVLLLLCCILLCCLFRRRTESKGKDSEAATRAVPPPSGDKQVPPTASEVEAGGSGGNGGKLVHFDGPIVFTAEDLLCATAEIMGKSTYGTVYKGTLEDGNQVAVKRLREKLTKNQKEFEKEVNVIGKIRHPNLLAMRAYYMGPKGEKLLVFDYMPKGSLTTFLHARSPDTPINWLTRMKIMQEIAKGLLHLHNNANMIHGNLTSSNVVLDEHTNAKVADFGLSRLMTAAANSNVIATAGALGYRAPELSKIKKANRKTDVYSLGVIILELLTGKSPGEGADLPQWVASIVKEEWTNEVFDLELMKDASAIGDELLNTLKLALHCVDPSPSSRPEVQEVLQQLEEIRSDTTPTSSDEAGAGTSTAV >KZN03449 pep chromosome:ASM162521v1:3:44720626:44722295:1 gene:DCAR_012205 transcript:KZN03449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQTMLQLCVCVLLFLSVVVADGTPKAKKVRCKDKNFPSCYKTQLLCPDSCLRTCELDCASCQPVCNASLPSSPPPPPPPKPQKAMKVRCKDKAYRPGCYNQQFYCPSSCPRSCEVDCGSCQPVCKIAPPVATPPPPPAVATPPPPPETETSPAKAYCKNKHYPQCYRQEQNCPSACPDQCEIDCVTCSPVCDCNKPGAVCQDPRFVGGDGITFYFHGKKDREFCLLSDSNLHINAHFIGKRNNNMQRDFTWVQSLGIIFDNHQLYIGAMKTAIWDSAVDRVELSFDGEPIFLPEGEGAQWKPKSAPEVSITRSRDTNAIVIEVEGNFKIKANVVPITSRDSLVHNYAITSDDCFAHLDLGFTFYSLSNDVSGVLGQTYAGNYTSRVKMGVTMPVLGGDKDFASSSLFSADCAVARFNGLLDSSTNIASSGINGRGIIFRK >KZN02686 pep chromosome:ASM162521v1:3:36010124:36016030:-1 gene:DCAR_011441 transcript:KZN02686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSSHFLSPLITLSLLIFTSQSLAADENELRSLLEFKKGVRLDPLGKMTNSWKSNSDPNATVCVSFFGIYCEADDNSVTAVVLDRLGLVGELKFSTLSGLKSLRNLSLSGNSLSGRLVPALGLMTSLQHLDLSHNSFYGPIPARIHELWDLRYLNLSTNDFVGGFPSGIDKLQQLKVLDLHSNGLWGDVSVLFSEFRNVEHVDLSFNQFFGSVLVDVVNISGLANTVQYVNLSHNNLSGGFFSADAVVLFRNLRVLDLGDNQLTGQLPSFGSLPNLHVLRLGNNQLYGSIPDELLENTIPVEELDLSHNGFSGSIPKINSTSLRTLNLSLNVLSGFLPPSVGSCQFVDLSRNSLSDDISVVQNWEETLEVLDLSSNKLGGSIPNLTSQLQRLFILNLRNNSLVGSLPSALGTYPRLSAIDLSGNELDGSIPRSFFTSMTLVNLNLSGNHLTGPIPLQGSHTSELLVLPSYPLIESLDLSNNTLSGPLQAEIGNLGRLKLLNLAKNKLSGHLPNELKKLVGLEYLDLSNNKFNGQIPDKLPLTLKGFNVSYNDLSGNVPVNLTNFPDSSFHPGNSLLIVPKGGHSFGGDPAPTESRGKNHRSKSNIRIAIIVASVVAAVMIAFILLAYYRVQLQDFRVRSGFSAQPASRDGKVGRFSRPSLFKFHSNAEPPPTSLSFSNDHLLTSNSRSLSGQKEFVTEIVEHVVPAGSAVTSGSTNLNLLDSYPATSARKSSSGSSIASSPRFVEATEQPITLDVYSPDRLAGELFFLDSSLAFTAEELSRAPAEVLGRSSHGTLYKATLGSGPILTVKWLRVGLVRHKKEFAKEVKKIGAIRHPNVVPLRAYYWGPREQERLVLADYVDGDSVALHLYESTPRRHSLLSLNQRFKVAVDVARSLVYLHEKGMPHGNLKPTNVVLSGSNYDARLTDYGLHRLMTPAGIAEQILTLGALGYRAPELATSSKPVPTFKADVYAYGVMLMELLTRRSAGDIISGQSGAVDLTDWVRLCDQEGRGMDCIDRDIAGGEEHSQAMDELLSISIRCILPVNERPNMRQVYEDICSISV >KZN02938 pep chromosome:ASM162521v1:3:39335784:39341263:-1 gene:DCAR_011694 transcript:KZN02938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGLTTIVLLILIVLVVLAIGLVIAIVFETNRRKFNHSHLEAHPVMEDPNSLNPVPCPYISDPAEKYLSLVVPAFNEELRLPGALEETMNYLQRRAAKDKSFTYEVIIVDDGSVDGTKRVAFDFVRRYTVDNIRVILLGRNFGKGEAIRKGMLHSRGELLLMLDADGATQVDDLEKLEKQISAFAKKENDSSPKFSDIPIVAIGSRAHLEKKALATRKWYRNFLMKGFHVVVLLAAGPGIRDTQCGFKMFTRAAARKLFSNIRLKRWCFDVELVYLCKWFQIPIIEISVNWSEIPGSKVNPLSIPNMLWEMALMSLGYRSGIWRIRT >KZN03814 pep chromosome:ASM162521v1:3:48412052:48414418:-1 gene:DCAR_012570 transcript:KZN03814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYGISTNLVLYLTKKLHEGTVKSSNNVTNWVGTVWLTPILGAYIADAHLGRYWTFIISAIIYLGGMCLLTLVVSLKSLRPPSCGGDIKDVNCNKHASPFQVGIFYCALYIIALGTGGTKPNISTMGADQFDEFEPKEKAQKMSFFNWWVFSVFFGTLFASTFLVYIQDHAGWGLGYGLPTIGLFLSILVFLVGSPYYRHKPASGSPITKMARVLVATVRKWNVAGPSDPKELHELNLDEYSKPGKYRIDHSASLRILDKAAVADGVSPPWMLCTVTEVEETKQMVKMVPILLCSFLPSTLLAQGQTLFIKQGATLVRSIGPHFDIPPASLIAFVTIFMLISVAIYDKFLVPALRRYTKNPRGITMLQRMGIGLVIQVIIMIIASISERKRLSVIKEHGITEKTQIVPLSIFILLPQYGLMGVAETFWEVGRLEFFYDQAPKSMKSFGTAYYTTSLSMGYFLSSFILTTVANFTKRHGHKGWILDNLNVSRLDYFYAFYAVLSFINLLFFLLAAKYFVYNKEDEYATLELENLKDSSDKNALLKDETM >KZN01290 pep chromosome:ASM162521v1:3:14129459:14131880:1 gene:DCAR_010044 transcript:KZN01290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAARVYLWGILMMMVVGVKGWTGEINGRVVCDVCGDASIGPEDHVLQGAEVAVLCITKSGEVLNYQAFTNSKGIYTVAETMPESDRWDACLARPISSFHEHCTQLGVATSGVKFSYSHPSGYSHSVRPFVYRPTSIPLYCT >KZN01820 pep chromosome:ASM162521v1:3:25727969:25732028:-1 gene:DCAR_010574 transcript:KZN01820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQEEESKNDGVVRWEKFLPKMVMRVLLVESDDSTRQLITALLRKCSYKVAAVADGLKAWELLKGRPQNVDLILTEVELPSISGFALLTLIAEHDVCKNIPVIMMSAHDSVNTVYKCMLRGAADFLVKPVRKNELKNLWQHVWRRQSQSVSEGPQATSDAQQKVEATAENDAASNHSSGYEACVQRNRECIEKGSDAQSSCTKPDLEAVEANLGHKQDLTQQKQKKSLISDIRMQKFGQCVKESAQILMHGGDAYGLPAVPNTTDMSTSSRDNVAADGQWKCAIVSSETLDKNNVRADCSREAIDLIGSFDKYPTRSNRSLGSTYGTNKVDSSSLLDLTLRRSHPSGSVNQDTDDGHKLKQSDASAFSRYVSNRTIQPPHSTSASTSNRQKGYETSSDKQRSNQAFDYNSDTRAPAIGPDFNNLSLITSQLGQGEIQSPSPQQRVVIPVTVPVRGVNLGSQSNVNRSAIPSVFFSQSTRSQLQSPNSTNCQNPAIQVNQSYPFDSQTKSSPQLLYVMDQNANVASPDQMDHKQGHKLDISGDRGHISSATDQSGSSSFCNSTLNRINSINNGNNSNANLYPNVKFGPMSGSEVTALIKDGSHHSTRREAALTKFRMKRKDRCFEKKVRYESRKKLAEQRPRVKGQFVRQLQGGDPSIETDNHNVDSSAS >KZN02082 pep chromosome:ASM162521v1:3:29320283:29337542:-1 gene:DCAR_010836 transcript:KZN02082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLELAKLCSSRDWSKAIRVLDSLLSKSCLIQDICNRAFCYSQLELHKHVIKDCDKALKLDPALLQAYILKGHAFSALGRNEEALLVWEEGHKYAVHNCTDLKQLLELEQLLTVSKQNNTLSSQNHAAESDISANESVITSKSNENHDTNDKLNGKLEPHSETSMTLEVGNNSVDRSYKQNVPNGKVTGRRRTNGQSNESFEGPKLSDGSEVCGGSNDLSKPVSPPFPVSSDGTGSGIKPSSNFNITSKGDVSEASTGSSDTSELSYQTSAATEKSMNKTDARNKPSDKIDMHLDLRDQNKRNKKFSVTRISKTKSINVDFRLSRGIAQVNEGKYNHAISIFDQILREDPTYPEALIGRGTAYAFQRELEAAIVDFTKAIQSNPSACEAWKRRGQARAALGESVEAIADLTKALEFEPNSPDVLHERGIVNFKFKDFKAAVEDLTTCVKIDRDNKSAYTYLGSALSSIGEHKRAEDAHKKSIELDKNFLEAWVHLTQLYQELANPDKALECLHQILQIDGRFAKAYHLRGLLLHGMGQHRNAIKDLSAGLSLDSTNIECLYLRASCYHAVGQYREAVKDYDAALDMELESMDKFVLQCLAFYQKEIALYTASKLSSEFCWFDIDGDINPLFKEFWCKRMHPKDVCEKVYRQPPLRDSLRKSKLKKQEFTFTKARTTLIDAADCIGKKIQYNCPGFLPNRRQHRMAGLAAIEIAQKVSKAWRVEWKSSNKSSSKNSKRARRKERLSPPSQNRGGAGCSTSSLADILTSSASLEDRSSSRSIMSWHELYSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLTLGQYKVVRYYPNCQRAINLAKAVIMEKKFVYSKEDNIIDLSREGRLENVKNARSCSDLHSAVGQDFWLATWCNSTASEGMRLEGTRITLLKMGESGYDFAIRTPCTPSRWNDFDTEMALAWEAVCNACCGETYGATDFDVLGNVRDAILRMTYYWYNFMPLSRGTAAVGFIVLLGVFLAANMEFTGTIPVGVQVDWEAILNFDPSSFMDFVKTWLYESLNISTSWKDYPDVASNFETTASVVAALSSYSEASANKLHVEGK >KZN00066 pep chromosome:ASM162521v1:3:1582810:1604443:-1 gene:DCAR_008820 transcript:KZN00066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDSNSEDHQDFDVKDPTLIAREYQTKLCQKALSENTIAYLGTGCGKTHIAVLLIHEMRHLIKKPQNNICVFLAPSVALVEQQAKVIKDSIDVKVGIYCGSSKHLKRHTYWEKELAQHEVLVMTPPVLLQNLSHCFIRIELIALLIFDECHHAQPESNHPYAEIMKVFYNTSATKLPRVFGMTASPILGKVSYCLAVIEPLTTMSFVKSSDPSVAYELDTRSPDELVFPKISTCGASIRGLESLLHAKVYSVEDKEELERFVTPPKVSVYYYDTAADGPSSPLVYSSKLQAIKSQCVSTLVENISDMDSLISSKKMLQKLHTNLCLCVEKLGVWGACQAAQILSKGDCLVQNELMEMEVQNSHVSICNSYLSQAAMIFLSDCKKDRTKEKLNSPEVLEEPFFSKKLLQLINILTNFRSQQNMKCIIFVDRIVTAISLSSILQSLQFLSAWKSDFLVGVNSGLKRVSRKSTNALLAKFQSGEINLLVATKVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMPQSEYAFLVDSGNEKEQNLIDSFTEAEDKMNEEIEFRTSTATFCDIEEKTYRVELTGATISSGSSISLLYRYCSKLPHDEFFKPKLEFSYFDEADGTVCQIIFPSNAPIYQLSSAPQSSKDAAKRDACLEACKQLHQLGALTHYLLPERDDENEDLESSSDSDCSDDEDTRRELHEMLVPAVLKEPWSEAEDSVHLRSYFVKFRPHPPDRDYRPFGLFVKASLPGDAERMKLDLHLARGRSVATELVPFRTLLFSRDQLALAEKFQEMFLKVIIDRSQFCSEFVPLGRINSDILAPRTYYLMLPVICYEFEEAMVVDWNLVMKCLSSPIFNMPEVAKANELPQPSEKLHLANGPKSVNDVVNSLIYVPSKKLFYFVSDVLSEKNAYSDYKASKSHVHHFSERFGVHLLHPKQALLKAKQLFSLENLLRKKGNLEPREKEEHFVELPPEICELKIIGFSKDVGSSLSLLPSVMHRLESLLVAVELKHLLSVSFPEGAEVSASRVLEALTTEKCNEHFSLERLEVLGDAFLKYAVGRRLFVLHDALDEGQLTRKRSSVVNNSNLLKLAIANRLQVYIRDQSFDPCQFFALGRPCSVVCKSETEKTIHSSQCSRAANLHMELRCTKSHHWLHKKTIADVVESLVGAFIVDSGFKGAAAFLNWMGIQVEFEGSKVSHICSASSIYLPLAAQIDIAALEDSIGYQFNNKGLLVQAFVHPSYTYHSGGCYQALGDIVESCIGAILLDTGFNLNHVWKTMLSFLDPVIHFSGLQLNPIRELQELSQSYNMELKFASSKKDNTYTVEIKVNGKDVCEHSSASNFSKKAAKRRAAKQLILILKEHGYKSKSKSLEEVLKLTHKMEAKLIGYNEAPTDVNDPYATIAYNEKTPTDVSAPFATIAYNEKTPTDVSAPFATIGYNEKTPTDVSAPFATIGNNETPTNVSTPYARGFDILKVQDSCTSGSSSSKVNPLGVQLHRSGGIKINPIMQKSSLCDTREYQTTDKKDSDNCSSDSQNPGRSVKKSAKSLLFEICAANGWKPPIFVCCKETGADHLKEFTFTVIVKPDSLEKCFIEAIGKPAGKKKEAAEHAAEGAVWLLRERYGVSKAKPGLSYFADAEGTVCQKVLPSDPPISQVLCDTQSSQEACKQLPEQDDATEDLKTSTDSNCLNDDNTRRELDKILVPTVLKEQWSNSEEVSSFRDARECQLIGKKDDSCCSGSVTPGHSMKKSATSILFEFCAANRWKPPLFVCCKETGASHLKEFTYKVIVKPDGLEKCLIEAIGKPAGKKKEAATHAAEGAVWLLREHFGVCMPNLELSSKVHPLGGEPYRSEGSKIKQTGQMSSFHETRECQQIVKQVDDCRSSDSQTAGCSMKKSSKSQLFEICAANRWKPPIFVCCKETGASHLKEFTYKVIVKPDSVEKCIIEAIGRPAGKKKEAAENAAKGAVWLLKDHLL >KZN02737 pep chromosome:ASM162521v1:3:36761503:36761688:1 gene:DCAR_011492 transcript:KZN02737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMGASYALLNVQRKRLQEKLHKEKNISEKDGAADKSVASAQKSEGKSKIHPSNVSDSTK >KZN00037 pep chromosome:ASM162521v1:3:1353277:1353567:-1 gene:DCAR_008791 transcript:KZN00037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKCVQSIQTRDGKREMTIDEFKRWLKRFYGDRDGRISRAELQEGVRANGGWFCRWKVQRGIRSADRNNDGFIDESEMQNLVEFAEAELGVKIIA >KZN00018 pep chromosome:ASM162521v1:3:1120101:1128856:1 gene:DCAR_008772 transcript:KZN00018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLNSIPFVGPLVAKISDKTIEALFRHVEYMFRYKGLVKDLKSENDKLLAEETKMSRKAEQESNNGRILEKYVVEWQKEVQEEQEKVTRCLQENEKLKSQQQNCPRYIRYIPLPHPISRYRLGKEAAKVAKSTTELTATGSHHLASQIAYLPLDMNVPVTAFQEFKSREKAYEKLEELVTDGSSSILGIYGIGGAGKTRLMERITTEAGKKGTFNKVVRANVGNEKLENKTIISIQNQIAGNLGCVFERQDDVGHRAGQLRSSLKQGGKILIILDDVWSRIPLGTIGIMSADGMSSKGGKILLTTRDHEVCQRNDCGDLVKVEPLTPAEAWDMFSETVGAKIIDSLQNISVAEDICKRCGGLPLVILAVGNALKFKPLDSWKDARNQLKFFKIQELPGISKDVYACLKWSFDNLVDDAKACLLLASIFPEDAHIYVSELVELARGSQLIKADDIRTRVYSMIYILKSASLVLQVRWGIIERIKLHDIIRDMARSIATKDYAFLFATSSSLPKHPADYSGLKVLHIDVEEETSLRFPSNVKCPELHTLSLYSSSWTSSWKTPRTLIQQDWINGKIFTNLIFLVLVGFSWPKKLSLKSLGELKTLWFDNCDLEFFGETDVKILPEGLENLCIWGSRMPKQLNVPELSHLRKLDIYSSSGGRLCMVPNTISRLSTLEELRLPSNFYINEECAEGGSLSVLDEISELPLTSLHIRSRVSKSSKLATMFSNLREFHLFVGEPPANNRSMNLSPVSVTKSIKLVNHDLVEGYQTLFQKAEEVILYETDFPGSSIGIRDTKEFINLRYMQIENCKAMEYLARISSPQGEIQESLQRSTPFSNLIKLEIKCCLSLKYLFCDSIARCLLLLEELHIRDCPLMEEVVREEGKSDGNIINMSKLRKMSLIKLPRLVHFYKDKIPYAQIQPLFDRMVAFPSLEMLDISGLEDITDIWGDNHDNASSFSQLKTLKVKFCNKLKNVIPPATLRSSLTSEVDTHGSHTDLVTGRAPEGLVKAVVSHNPYKKLQIFLKKTVRASRSVCRRTPTITEENLNDPSDISVQVPSQNTKVCPLVQMSLEWLPCLEKTGLNFEDQSGAVSLYPDLKKLNINICERLENVSIIPCTNGHLMNLEEMSVRQCITMREIIGAGPAGKHKMANGIVFHKLCSLQLSDLPSLTSFWGEASGEANSHKVEFPNLKKLQLRCGENTSLLEMIESGRDGSTFQLENLAISCGKEIQIPNRWLLQLDNLESLSLERCWSDELKSLRFQRLNKLTLGQLSCSSIFSFPDFERLQQLRELKISNCDSLEHIVEVVEGEEASGMDTKTVALLVLLEKVTLEGLPKLKSFIRTKSKNLIPCLEQVEGEPSILFTCSVFGNLQQLTWLEVKDCRLLEGIVEVARGNETSNRIIRFPKLWRIFFIDLPNLRNFSPATSYTFKMPKLYFFHLYRCPLVGNKPFLRIIAEQVDVYSDEHPEGIVVQNLNDYPRRINKLESVGESSKSNQDVEVEEEEEGVVVQEENEIQEET >KZN00387 pep chromosome:ASM162521v1:3:4866990:4875402:-1 gene:DCAR_009141 transcript:KZN00387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVLDDGTIVSKEIDVTCIGYSARKQLMNSFLKIVEEDNEKFLRRLRHRIDSVLGLIGLAATKKQKIDILQDVSGKVKPSSICIAGEMLVGPAKAFCMDEISTGLDSSTTYQIVKFLRQMVHIMDVTMVISLLQPAPETYNLFDDVILLSEGRIVYQGPRENVLEFFEFMGFRTEMPSGTLADGGKFFGALFFSLINVMFNGMAELAMTVLRLPVFFKQRDFLFYPSWAFALPISILTIPVSLMESAIWIILTYYTIGFAPGASRFFMQFLAFFGIHQMALSLFRFIAVVGRTPIVANTLGSFTLLLVFVLGGFVISKNDLKPWMKWGIYASPMMYGQNAIVMNEFLDKRWSSPNIDLRIDEPTVGKVLLRSRGFFTEEYWFWICVGALFGFSLLFNVLFIAALAFLNPFSGDSKAVGEVEHSKKMSSTKKSEGTSTDLMSTSSCQSSTVYILDKTSKKGMILPYKPLSLAFSHVNYYVDMPAEMKTRGVKEERLQLLQDISGSFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSIYISGYPKNQETFTRVCGYCEQNDIHSPNVTVYESLLYSAWLRLSSDVTTKIRKLLLMKKGGQVIYAGPLGVQSQTLIEYLEAVSGVPKIQKGYNPATWMLEVTADSVEAQLDIDFAEIYAKSSLYHTNQKLIEELSKPPPGSQDLSFPTKYSQPFITQCRACFWKQHWSYWRSPEYNTIRLFLTVFIGLAFGVIFWDTGNKIEKQQDLLNLLGAMYAAVLFLGATNASAVQAVVAIERTVFYRERAAGMYSEFPYAFAQVAIETVYVAIQTGIYSFLLYSMMGFEWKAANFFLFYYFIFMCFLYFTMYGMMVVALTPGVQIAAIVMSFFLSFWNLFSGFLIPRPLIPIWWRWYYWASPIAWTIYGLVVSQIGDKDSALEVPGATNVTLKAYLKENLGYDHNFIPVIIVAHIGWVLLFLFVFAYGIKFLNYQRR >KZN03325 pep chromosome:ASM162521v1:3:43613175:43615979:-1 gene:DCAR_012081 transcript:KZN03325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKSMKLFTIIIIVLFLQQHVALSSSSTPNHLSHSTQKLALYQFKTSLMINTSFTKYCFTPYPKTMNWSMSSDCCMWEGVTCNQVTRDVIGLDLSCSQLVGTILPNSTLFQLSHLQSLNLSRNDLCGVLPEDIFHLPNLQKFRLTFNSHLTVTLPKVKWGSSGSLQNLFLRYVSLNGGIPDSVGYLESLRSLSLRACNISGPIPISMGNLTQLHELDLGSNHIIGQIPMSMGNLTQLTDFDLRSNQITGQIPNSLANLQNLKVLSLGQNNLIGQFPSWVGNLRQLVNLFLSSNSFTGSLPSNVTALSFPRLATLDLSYNMLNGTIPSWLFDHSIEGLYIGSNGFTGKLNEFDSSRSALESFSCSQNLLSGTIPQSFYEPVNLSDLDFSSNNFSGVFDVEKLSHLEHLYGLALSNNSLSVRYTHSTTLSPDIGYLGLSSCKITKFPHFIKGSSFLGYLDLSNNQIDEEIPQWNASTSLESLGLYYLDLSNNQIYGEIPQWIESMLQTSLEYLNLSHNSLTGGFQYLSAENIHSLDLHSNMLNGSLPASICNSSFLHILNLSYNNFSGVLPTCPRSVNNILSVLDLRMNNITGSLPSALPNFRELRTLNLNSNKLEGTIPVSFAKFSYLEVLDIGSNQIHDTFPHCLESLPTLQVLVLKSNKFHGFISNDTKIEHPFPSLRIIDLSCNEFSGLLPEKYIKNFNAMMNGDVTKVQLNYMGDSSYSDSITVVIKGVEIELVRILTVFTTIDLSRNKFEGEIPEYIGNLKSLRYLNLSHNHLSGQIPHSIGELLLLESLDLSFNQLTGVIPPQLTCIYFLSRLNLSSNNLSGRIPQGPQFQTFENDSYAGNLGLCGSPLSKKCITETQVGKNEEEIEEDDDHFFCGFSWKSLVIGYCCGVVPGFVVGYMMFMAGKLKWFTRVIARGLGLKVRRLEIRRR >KZN01031 pep chromosome:ASM162521v1:3:10979495:10980608:-1 gene:DCAR_009785 transcript:KZN01031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNAQVKQVAFKSNNTTVNVRWGSKEDATLVKSLLKLVDDGGWRAENGQLKSGAYGKLEKIMGELLPGCGMKARPHIESRVRLLRKQFFAIEEMRGPNCSGFGWNELEKSITCEKSIFEEWLKSHPNAKGLRNKSFPFYDELAQVFGKDRANGEGVESPADAVEEIANDEESNLYQQAGQQKDNLEDEVSPRNVQPTDTGARGSKRIKTDSLEIVKELTFGLQKISNVMETEYENIAKLTSCFQHESDGANKRMMVNSELLKIEGLSPDQFIKAGRKIALDPLETDYFFSLPEDYRSTYVQALLLPDS >KZN03750 pep chromosome:ASM162521v1:3:47646543:47646872:-1 gene:DCAR_012506 transcript:KZN03750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTHKLCVYILGFIVHLFVIVNGESSTCLTVYNEGGAPAVFQSPKCPRWKLPEYGSSRRSRSPVATCQVALHQGRRKAQEDRLVCNLDVRIPFPGIIGTFARRSSSINE >KZN03846 pep chromosome:ASM162521v1:3:49105566:49106503:1 gene:DCAR_012602 transcript:KZN03846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSAKPSHLLLFVFFLSSLESILATTREGEALVKWKNSLAPSSFLDSWSLTNLENLCNWTGITCNSAGSVSEINLCEKQLDGMLSDFGFTSFPNLKNLTLAYNFFSGPIPPAIQNLTRLQCLDLSFNYLNGPIPFQVSHLQSLLILNLSQNALQTPNWSHFSPMPFLRILNLSYNPLASKFPDFISSSHSLTHLSLNYNEFTGDLVRESVFADLHNLEKLSLVGNSFEGPFPPDIFRLSKLKHLSMSDNKLSGSIPNDIRFAF >KZN00609 pep chromosome:ASM162521v1:3:6902597:6909903:-1 gene:DCAR_009363 transcript:KZN00609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKQQVISRFFAPNPKTPSNPTPSSPPPPPKISTTVNFSPAKRALNSQFISSTKPPKTPKLSPHTHNPIPSLHQRFVEKLLEPSQDLLEPSGESSLVGPSVKYTPLEQQVVELKRKYPDVLLMIEVGYKFRFFGQDAENAARVLGIYAHMDHNFLTASVPTFRLNVYVRRLVGAGYKVGVVKQTETAAIKAHGSNRLGPFCRGLSALYTKATLEAAEDVGGGEEGFGSCSNYLVCVVENVDIGGIESGCDSKIGIIAVEISTGDVIYGEFDDNFMRSALEALLLRLSPAELLLAEPLSKQTEKLLSSYAGPTSNVRVEHISRDSFNQGSALAEVMALSDNKSDSQLVKNLHKETEVETEAADCSTNEGIVDMPDMVVQALALTIRHLKQFGFEKILCSGASFRPLSGSMEMTLSANAMQQLEILRNNIDGSESGSLLQCMNNTLTISGSRILRHWVTHPLCDRNMIHSRLDAVSEIAESMGSYKASQSINCDEEDSNVTIVCPKLHQVLSSVLTFLGRSPDIQRGITRIFHRTATTSEFIAIIKAILVAGKQLHELHVEEEGRNTIVGKNIVHSVLLRKLVLTASSSRLIGIAAKLLSSLNKDAAEKQDLQNLFISTDGQFSEVGEARTKVQCAKEKLDLLINSYRKQLGKRNLEFTSVLGTTHLIELPADVKVPSNWVKVNSTKKTIRYHPPEVSTALDQLSLVTEELTHICRAAWDNFLKEFGRYYSEFQAAVEALASLDCLHSLAVLSRNKGYVRPVFVNDNDPVQISVRSGRHPVGSFVPACSASLHVLDGIYTRMGSSDSIQQGRSTFLEELSEASNILHSCSPRSLVIIDELGRGTSTHDGVAIAYATLQYLLEEKRCMTLFVTHYPKIVDIKTQFPRAVGAYHVSYLTSKKDPSTDRNNDQRVDTMNYEDVLYLYKLVPGVSKSSFGFKVAQLAQVRCTVCFYQLNQFVTLKICLQVELPASCISQAISMAVKLEAAVSNRRKNRLACNHSNESASPDNEAKHAPKRLTEHIEDTCAEETEDLEELCTVFREIFLNINLASSVDGDLEKCFQLLNHARGLALELINR >KZN02782 pep chromosome:ASM162521v1:3:37248676:37256631:-1 gene:DCAR_011538 transcript:KZN02782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHRQSGSDRDRVWSRNGGYDQVSIGIRAGNQQHKQSRLYRRGFRGRKISISALAVALTLALAFVVSVLAFVYLSADKGINDYTVPDDGVVNDTDFLTNVTRTDRLKVLEFGQGSVTHGRDSRYWDKDDRRRDEDYDEEAVEHSTVGVQDGSTGNVRKGMNSDKKSSFAELRKVTDHKGIGLYNEAGRNELKMYEAEYEASLKSVKGLKSEGENTNQLSNDAANGIHTEEVDADDEYDDGIDLHDSRSEDYEYNDLGQGENYKVPISRGVDPRGSSSVGDSEKKRQMIEKDVEESSSNVSEENSSLSSLNSDKLKVSSRHVSVIGNQYSKRSSSEKRSGSKKKTKRHKFSGSCEMKISNSTSQLVEPLQSKKFSRFSLQYRETEENISGEQWWEPRFAGHQSLKEREESYVAQDQKINCGFVRGPQGSPSTGFDLGEDDSKYLSSCHIAVSTLSRKNVCFVMFMDEITLHTLSSEGHRPDTTGFIGLWKIVMVKNLPYTDMRRVGKIPKFLSHRLFPSARYSIWLDSKLRLQLDPMLILEYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDEQFSFYQADGLKRFNASDPDKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYQKLRRTNPSKPFYLNMFKDCERRRIAKLFRHRSEESKYIAGHATE >KZN01991 pep chromosome:ASM162521v1:3:27816911:27819103:-1 gene:DCAR_010745 transcript:KZN01991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSTGNPLKVRKGAWGSDEDALLRKCIDKYGEGKWHLVPRRAGLNRCRKSCRLRWLNYLRPTIKRGDFAADEVDLMVRLHKWSLIAGRLPGRTANDVKNFWNTNFHKKLTTTSYHGQKQVVEEEELINRKQTSSATTSNASTHEVLKPLPRTLSKGASIPCCNLNTHCDMHSPLPGEIIYDKISGNDINNNDNNNSNIIINKIKSPATPLQDQDGIEWWKDIFAEIGTQGPEEGSLEGLLMASSGGLKTLDAESGLIWKKDESFDPATATTALPDEDVRSCWSDIWDLLNQDYS >KZN03851 pep chromosome:ASM162521v1:3:49227470:49227895:1 gene:DCAR_012607 transcript:KZN03851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELQSLDLSSNRLTGAIPPQLTALTFLEVLNLSKNHLSGEIPQKGQFSTFNNDSYLGNSALCGSPLTKKCANTASPPQEVGNGDEDDAGDELTWEAIVMGYGCGLICGLSSAYIVLKLGKPWWFVRYIEVLQLKLMKRYA >KZN03563 pep chromosome:ASM162521v1:3:45828161:45830826:1 gene:DCAR_012319 transcript:KZN03563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSVAPNAGVREPSGNNVAVDRLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRVLDHPNVVALKHCFFSTTEKEELYLNLVLEFVPETVHRVIRHYNKMNQRMPMIYVKLYSYQILRALAYIHGSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALDSLTHPFFDELRDPNTRLPNGRFLPPLFNFKSHELKKVAPEMLGKLIPEHARKQSAFFSS >KZN00715 pep chromosome:ASM162521v1:3:7861461:7862233:-1 gene:DCAR_009469 transcript:KZN00715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGRTGAATWFVCCFLVINLLMSCNICAADDGIIKQVGDPTSNINLTPFEQWRSAYECMLNTSTWCPEKYQLSGTGWVNVTQADTDEYCNSGCAEHTKAVLLCVSLVKRDYKFADKSTVHTINVTIANGCNRVGIMSDKAGFSGHVWTEPSSAKRFSVSKLAIFSMAALLFMMS >KZN03299 pep chromosome:ASM162521v1:3:43339122:43339499:1 gene:DCAR_012055 transcript:KZN03299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDIEKMITVGLVWGATNAFMKKGTLIWDQKLKSSHHPTTTLNNWLNLLLTWQYSVPFLLNLSASATFFASLSDTPISLAVPVTNATTFAATALVGMLLGEEMKGLMALFGTFLILVGVFVCVM >KZN02694 pep chromosome:ASM162521v1:3:36100609:36100845:-1 gene:DCAR_011449 transcript:KZN02694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLKLHRGYMLAILVLPLEAYILGVITAISHNSILQESKKRGGKLLNHFKAKRLLSIMLQELIKQSGRLSNHFSTMS >KZN02143 pep chromosome:ASM162521v1:3:30192063:30194933:1 gene:DCAR_010897 transcript:KZN02143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLRFGLGGSRELAHLELFSVDPIPEPLWESVNRGSTRIDKPRGRHHGLSQQKKQEIKEAFDLFDIDGSGTIDAKELTVAMRALGFEMTDEQIRQMIADVDKDGSGTIDFDEFVYMMTTKIGERDSREELMKAFQVIDQDKNGKISASDIQRIAKELGEGFTDRDIQEMVEEADRDGDGEVNFEEFMRMMRRTSYGY >KZN03006 pep chromosome:ASM162521v1:3:40106603:40107096:-1 gene:DCAR_011762 transcript:KZN03006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCICINLPSGILQGKLYQPIKLDDSIWSVEDQKCNSVLLFKQDKMQWWKYLVKGNPEVDTQKVEPERNKLSDLDGEIR >KZN02408 pep chromosome:ASM162521v1:3:33184490:33187742:1 gene:DCAR_011162 transcript:KZN02408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGPGLYSDIGKKARDLLNKDYQSDQKFTLSTTSATGVAITTSGTKKGELFLADLNTQLKNKNVTTDLKVDTKSNIFTTVTVDQPLPGLKTIVSFVLPDQRSGKLELQYLHDYAGITASIGLNANPVANFSGVLGNNMASIGADVSFDSKTGNFTKCNAGFGFAHADLTGSLTLNDKGDTLSASYYHTVNLLTNTAVGAEVTHKFSSNENTIAMGTQHALDPLTTVKARINNNGKTSALIQHEWRPKSLLTLSGEYDPKAVEKTPKFGLALALKP >KZN00011 pep chromosome:ASM162521v1:3:1037958:1038569:1 gene:DCAR_008765 transcript:KZN00011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSFNTQHCPSPSQKIYNSPVAFGSDDSFAWPITPPPKGGNSKPQRINNKNKSKVSSVSSGESGWFSSDEDGKESLVSSSENFDTSCDFGNVSISSKFGTSKKKNNDRNGGNESVVKKSSTSVFKRLMTSCAVNDESFAVVKNSQNPYEDFKSSMMEMIMEKQMYEAKDLEQLLQCFLSLNSRHHHGAIIQAFSEIWHLLFM >KZN01331 pep chromosome:ASM162521v1:3:14555476:14561983:1 gene:DCAR_010085 transcript:KZN01331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQRQQQQIQAAAASSSSSSSSLKTKAAHLVSDLTTVILNPISDNNNNTNNNKSSLVEHNSEPNRNQLEPPTEESPGDSTEGPDTSSFSAFLYSLLSPSDSASNPNFNGSSENAALDEVTRKESSGKKSLISRGKQSLGRAIYQATKFSGYRNQASSRGNNISVDEENSSKYFRDDGILMKTISESIPPDSLPEASEKSFLLSEKTRSAIYVALPSVAQGRKWVLLYSTWRHGISLSTLYRRSMLCPGPSLLVVGDRKGAIFGGYVEAPLRPSNKRRYQGTNSTFVFTNTSGLPVIFRPTGMNRYFTLCSTDYLALGGGSHFALYLDSDLLSGSSSASETYGNPCLAHSEDFEVKEIELWGFVYTSKYEEMVSLLRLEAPGICRW >KZN00840 pep chromosome:ASM162521v1:3:9161322:9163976:1 gene:DCAR_009594 transcript:KZN00840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHTPLFAYHHTTLFPPPPPPPPLFNAMYNTTSSSNSSPVENKATVYLSKRLLLNLSISTLLISSSSSILSNVIAAEEEQELVRYTDSLEGFTLLIPSSYIKVEKAGATALFEEANKGANNVGVVVTPVRLTNLGEFGNPQFVADKLIRAEKRKESTKEADVIAVSERSGRGGLQVYEFEYKVDSSRGGIKRIFSAAFVASRKLYLLNIAHTDKPESPLDTHTRQVLENVLHSFDTAPLT >KZN01528 pep chromosome:ASM162521v1:3:18852204:18852701:1 gene:DCAR_010261 transcript:KZN01528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWCKPPEGVWKINVSGHSDAQLGSSAIGCLMRTRSGHFSCGYYGIVEHAHPVYVDLLAIYNGFKMADEEDARYIEVEFESAAVVYLVNNPNQNVEYDDILLNIRRMKDMATPSCVLRYVERSSNLMAIRMSAYAFEKRASITRLNSCPSDLFQELAADWYFST >KZN02150 pep chromosome:ASM162521v1:3:30239447:30253418:1 gene:DCAR_010904 transcript:KZN02150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSTPVNWETLDALVIEFAKSENLIEDSSPVSSPSSSSASSSSLSSVSSSTYQSRLLIRQIRRLIVLGDIDSAIDLLRVHAPFILDDHRLLFLLHKQKFIELLRKGTTEDRAAAMSCLRTGLAPCALDAYPEAYEEFKHVLLAFIYDKNDKTSPVADEWSEKKRFDIAGLMSSVLRAQLHAYDPVFSMAVRYLISIHKGFCLRQGISSPISDLTERLLLEERDPAAKPQESLYEAPPFDEVDVQALAHAVELTRQGAVDSLRFAKGDLVQAFQNELCRMKLDVTVLDDLVHEYCIYRGIVDSSYAYAQEVQLLSGPPKSNLPEAGCLSGISSDIECGSIKQGAEISGDISQLAFSIEADADMICPQTIDTEDRYPYETTSNHEDCSTSGTNQTGMSKVLQRNRGKGIAKRNKRKRWRGRQEDIEITPEVISGGCKQDFSTVMAPSSPYTSKLKQEKSFALDSASNLESRYEIVLRLKELASKGMAAEVVEEINVIDPNFFAQNSLLLFQLKQLEFLKLVRTGDHSSALKIASTTLGPLGARDPTLLKPLKETLMTLLRPNEMISGDDSALYAIATSLQVAIGRRLGIEEPRLMKILRTTLHTHNEWFKLQMCKDVFEGLLRIDSLKDISSTSLLADSASKAIADTCARDSSQVTVSSSMTKEDSNSPAQVSSKDVLCEESAIIKVMEFLALPRADAIHLLVQYNGNAETVIQQIFS >KZN01140 pep chromosome:ASM162521v1:3:12157881:12159134:-1 gene:DCAR_009894 transcript:KZN01140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKRLRRRSKRLSTSNVDNKPLLSISDSETTSDKCSKLSEDLKSLSTSNVQTKPSVSISDSETTSVMWSNLPQDVLCFIADRIFEENDFTMFRSVCQAWRSAVPRSHWKRVPWLLAKRYETPRVVLKGLSSFENTSLDSLYHTELHWRYWGSFTGWILGQHYSDYKLKLINPLTKAVIDLPQLNCLIKKGLVYRTRRADCPYPSIQVMAISHMFSGVAILDHGYKEWKVLEDIRIHRYYETTMFNQAAKSEIVDAIWYKEQVTAIRADGSIVFFDEARVVVSLPPPIHVKLRFHDVLYMVESSGELLIVVDYDQAGYKVYKLNIDTGEWIHVKALGVHSLFIGQSYSTSRWMSDNEKGNTWKSGCIYDLTDHVSKLGNLYGDNVAKKRSELHRLGHVSDLNRCYDFIWYMPAVGSQ >KZN03015 pep chromosome:ASM162521v1:3:40171066:40175390:-1 gene:DCAR_011771 transcript:KZN03015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAAAFPVAQQDINASIPTKPRKNSWRSAIFIIFVEMAERFAFFGVTGNLVNYLNNVLGMTISSAAKSFNVWLGVSTAVFPVLGAFIADSYLGRFKTILYSSIIYLVGLVLLTVSVSAISLVHRKPVFFLALYILSVGQGGHKPCVQTFAADQFDESVPEEKLAKSSFFNWYYLGVSLGATLAIVFVIYAQENIGWAIGFGMPLIAIAGSLFIFLIGQKTYKRAVPVGSPFTKFMQVLVAAVRKRHVSEVHDGRGVCYQDVDRTAPALARTNQFKFLDKAMIIDEIDASRQTRNEWRLCSVNQVEEVKLIFRLFPVWISCFMIGVVIGQQSTYFTKQGSTMVRHFKIPPATLQVVSGFTILTAGAIYDCFFVPVARKWTKHPSGITMLQRMGIGIFICVISMVVAALVESKRVGIARKHGLMDTPKSVVPMAIWWLVPQYMLCGLADVFAVIGMQEFFYNQVPEVMRSMGAAFYLSAVGVGSFLSSALITVVQIISSKNGKVEGWLSGNNLNRAHLDYFYWILAGLSGLTLCFYIWVARGFEYKKIEWETVQNTLTLIKAANDRGEATKVDGRRVREAWSKKEDAVLCDLVSKFGPRNWNLIAKGIPPRSGKSCRLRWCNQLDPCLKRKPFTVFRVEVFSWSGLVSYIVNKAGPNTKLVRETDG >KZN01419 pep chromosome:ASM162521v1:3:15988097:15992622:1 gene:DCAR_010173 transcript:KZN01419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLILGIGRAFRRKRASSLDILSSKRGPRDYYKGKNCKPTGFHTRKGGYVVVQEKLPNYVVPDLTDFKLKPYVAQCAVEGQASGAANATK >KZN03706 pep chromosome:ASM162521v1:3:47116811:47117374:1 gene:DCAR_012462 transcript:KZN03706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRLAERERPIKVEFEPESYVVAAMDDIFNLNSVLTFAVFVGISQASPGSRSLENRDECNAGPKMAKMLIVYEVLAFSFFLFSSLFAKLVKLLLSLNGKKFQIIKGRDFNFLHYMWHLTAMTSVIGIVLLMLSVVNLVQIQIGLYSCGSSEARIAIWGLCTVVGTALITYVLSLSIGIYGMLIEKT >KZN02279 pep chromosome:ASM162521v1:3:31579290:31580711:1 gene:DCAR_011033 transcript:KZN02279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGRHFHNQENNETVGVLENQEDTIGVVICNQEDNTAGLVENQRKFSSLKMKNKGILKLVARKVYKAFSRGKESIAKKNADNSISTRLKPSNLESFGSARTLSTESSSSIPRSLSEETRIRQFSFLEIEDATSSFNADRILSHGHQGVVFRGRIDFAHTEVAITEVNLTSQKRGYEAFRNDILHTKISHFHVLPLIGYCREDIDDLYLVSKYMSHGSLDYHLRDNNDTPLTWNLRLRICIGAAKGLNHLHRGIVGQIMTHGRMKPDKILLDKNWIPKVSGYGLWKLGTSLMNLPTYSSQFDSWETWAYTSPEQKARALLTTKSDVYSMGVVLLNVLFDWRQIISSLASLNGAQESLYIWVKNNMRDASLVSFMDSNVAGTVTPECFTEIIDIALHCLMRNKDERPSMEDVVRRLEYAFGLQKDKTLNFPHNGHIRMSRRIINEDEAVRMSSWRWNTEMSVASFDFDSVEIVR >KZN00521 pep chromosome:ASM162521v1:3:6052011:6054136:-1 gene:DCAR_009275 transcript:KZN00521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGEAPAGNKDVGAKIFKTKCAQCHTVEQGQGHKQGYKKPGARKMGRQGHSQQSKNS >KZN02850 pep chromosome:ASM162521v1:3:38017293:38026709:-1 gene:DCAR_011606 transcript:KZN02850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDGGVDEEEKYLAAGIAGLQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDELRKLEMFFREETKRGCSTIELYELVQHAGNILPRLYLLCTVGSVYIRSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVSDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERSELRDLVGKNLHVLGQIEGVDLDLYKDIVLPRILEQVVNCKDELAQYYLMDCIIQVFPDDYHLQTLETILGACPQLQPSVDIKTILSRLMERLSNYAASSAEVLPEFFQVEAFAKLNSAIGKVIEAQVDMPIDGVVTLYSSLLTFTLHVHHDRLDYVDQILGACVGKLSVVGKLEDSKATKQIVALLSAPLEKYNDIDTALKLTNYPRVLEFLDQGTNKVMANVIIQTIMKNKTCISSADKVEALFELIKGLIKDLDGNLDEVDDEDFNEEQNAVARLIQMLYNDDPKEMLKVKDFFLDTIELSQYTILGNYKLLHQVVQMLVHIICTVKKHIMVGGAKRLPFTVPPLIFCSLKLVRRLQSQEENAGEEEEDASVTPKKIFQILNQMIEALSVIPVPELALRLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSKAQVTAIHLIIGSLQRLHVFGVENRDTLTHKATGYSAKLLKKPEQCRAVYACSHLFWVDDQDSIKDGERVMFCLKRALRIANAVQQMATATRGSSGSVMLFIEILNKYLYFFEKGNPQVTVASIQGLIELITTEMQSDNTTSDPAADAFFASTMRYIQFQKDKGGAVGEKYEPIKT >KZN01284 pep chromosome:ASM162521v1:3:14110791:14110955:-1 gene:DCAR_010038 transcript:KZN01284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQLKINGMVRWGIRRQVKFLDGQENSSISHPQSSTSFVKGSKEKLELKSEEDN >KZN03626 pep chromosome:ASM162521v1:3:46442060:46443632:1 gene:DCAR_012382 transcript:KZN03626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAHKFKLSIEKYLRSSSELTPGAIRALEDCHLLADLNMDFLTSSLQSVSTNDLSSSKAEEVQTLLSSILTNTQTCLDGLQETASTWNQKNGIATPLENDNKLFSVSLSLFNKGWGHKINEGPFSPSEKHRAGFKNSRLPLKMSRKNKAIFERIGRRKLLQTDDGAEVVPISDLVIVSKDGSGNFTTINQALAIAPNNSLASDGYFLIYVVAGVYEEYVTIPKNKKYLMMIGDGINQTVITGNHNVVDGWTTFNSPTFAVVGQGFVGVNITFRNTAGGIKHQAVAVRNGADQSTFYSCSFEGYQDTLYVHSQRQYYTECDIYGTVDFIFGNAAAVFQNCNIYPRLPLANQFNAITAQGRIDPNQNTGISIQNCNIKAADDLASSNGTTQTYLGRPWKQYSRTIYTQSFMDSLINPAGWREWSGDFALSTLYYAELGNTGPGSVTNKRVTWPGFHIITAKEAANFTVSNFLLGDDWLPQTGVPYAGGL >KZN02529 pep chromosome:ASM162521v1:3:34239986:34241225:1 gene:DCAR_011283 transcript:KZN02529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLRRVAATFRPPLPGFRAHASQSSNANLKTFQIYRWNPENLKKPEMKSYTVDLKECGPMVLDALFLIKSQLDATLTFRRSCREGICGSCAMNIDGCNGLACLTKIESGSSVTMITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKTATKDGKEVLQSKKDREKLEGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTKERLDAICDEFKLYRCHTILNCARACPKGLNPGKQINNIKKLEAKSV >KZN01299 pep chromosome:ASM162521v1:3:14206657:14207952:1 gene:DCAR_010053 transcript:KZN01299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMAIDPQQQQQYQHAPPPMNQQQWMMMQAQAQAQAQAQQHQSQAAMQPPQLWPQQSPQAVVPPPQYSASPASATDEIRSLWIGDLQPWMDEAYINTCFYNTGELVSVKVIRNKQTGQPEGYGFLEFRSRAAAEQVLQSYNGVPMPNGEQNFRLNWATLGAGERRTDDTPDYTIFVGDLAADVTDYLLQETFKPHYSSVKGAKVVTDRTTGRSKGYGFVRFGDETEQMRAMSEMNGALCSTRPMRIGPAATKKPIGGGQQYEKE >KZN01509 pep chromosome:ASM162521v1:3:17629334:17630717:1 gene:DCAR_010280 transcript:KZN01509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITAIPYKLGERCVRNVAVMSDYASLGTDPDPEEVRDCERYTRVHLGRHTDGEKRLNFRQSVRDVVVGSGEIIVDDMKYTVSHVVEPVERGFSKINEKFHQISENVKRLEERKKKTKDDSYVIPLNSWSKEFSDVHDNLVEGSCSDSNLPDVKRKYTHSKELASQFKHR >KZN03721 pep chromosome:ASM162521v1:3:47393172:47395365:-1 gene:DCAR_012477 transcript:KZN03721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDTDPRKCEKSLLEKSSSNNSDREQLADAIKILAQDNISTQSRARNEGGIPPIVELLEFPDLKVQRAVAGALRTLSFENHENKNQIVECNALPALTLLLCSEDVTIQKEAVSVLEHVINLSPRNIKMALEAGALQPVITLLRAKGGAGLYLGPPLVTGQNETCLSSDNVVNFISAGGVQKLEGGEFLN >KZN03187 pep chromosome:ASM162521v1:3:42215991:42218364:-1 gene:DCAR_011943 transcript:KZN03187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGVLLALVTIFLQSSISITGADASEQTYIVHMKHYQKPDHVETHHAWYSSNLQSLSSSATLLYTYTTTYHGFSASLSLQEAQQLRQYDSVIDIQEENSSNLCLAGSLDPDLVRGKVVVCDRAVNKRVEKGEAVKNAGGVGMILANTAENCEDLQTDSHVIPTVAVGQKMGDVIREYLRKVKNPTATLVFGGTVLGVRPSPLVAPFSSRGPNVVTPQILKPDVIGPGVSILAASSEAVGPSVLDSDTRRTKYRIMSGTSMSCPHISGVAALLKAGHPDWSTSAIKSALMTTAYTRDNTESPFRNAETGNKSNPWAYGSGYVDPYKAMSPGLVYDSSPEDYIAFLCSLDYSIAQIQSIAKHSNITCSKRLSDPGQLNYPSFSVIFGKSRVVHYTRELTNVGAAGSAYEVVVDAPSVIDMTVNPSKLVFKNVGDKHQYTITFRTKKVIIEAGKSAFGSISWNNAKHQVSSPVAFSWTRD >KZN00729 pep chromosome:ASM162521v1:3:7963108:7964798:1 gene:DCAR_009483 transcript:KZN00729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F3H-1 description:Flavanone 3-hydroxylase MAPSTLTALAQEKTLNSRFIRDEDERPKGEAVQDWREIVTYFSYPIRARDYSRWPDKPEGWRSTTGEYSEKLMGLGCKLLEVLSEAMGLDKEAITKACVDMDQKVVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDGGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNYSRLSIATFQNPAPNATVYPLKIREGEKAVMEEPITFAEMYRRKMSKDIEVANLKKLAKEKLLQDQEWDKAKLQMKPKSADEIFA >KZN01818 pep chromosome:ASM162521v1:3:25684635:25689703:-1 gene:DCAR_010572 transcript:KZN01818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKMISTGIPFAKLPESYIRPEFDRPNSSVVSDCENVPVIDLGCGDRELIIRQIGDACQEYGFFQVVNHGVEKEIVEKMQIVAREFFSLPVEEKMKLYSDDPSKTMRLSTSFNVNKETIHNWRDYLRLHCYPLDKYSPEWPSNPSSFREIVTNYCKEVRELGMRLEEVISESLGLEKEYIKRVLGEQGQHMAINYYPECPEPDLTYGLPGHTDPNALTILLQDLNVSGLQVLKQGRWLAVKPHPDAFVINIGDQFQALSNGKYQSVFHRAVVNADKPRLSVASFLCPYDCAVISAPKNLIQEGSASIYRSYTYAEYYKSFWSRNLDDDHCLEFFKN >KZN03848 pep chromosome:ASM162521v1:3:49147729:49148315:1 gene:DCAR_012604 transcript:KZN03848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFLKPSHLLLFVFFLSSPESILATTREGEALVKWKNSLAPSSFLDSWSLTHLDNLCNWTGITCNSAGSVSKINLFEKQLNGMLSEFGFTSFPNLSNLTLTYNFFSGPIPPAIENLTQLRYLDLSYNYLDGPIPFQPDSP >KZN02655 pep chromosome:ASM162521v1:3:35583659:35584287:1 gene:DCAR_011409 transcript:KZN02655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAFLIIFFLLYAFDFAAADLDPVYDPSHRKLQTGVEYYIHPFLGGSNGGGITVAATRNKTCPLDVAQDRSNFADGLGLTFHPVNIKKGMSGRVIRVSTDLVIKFSSVKGCARSGVWKVDKYDELRRRYFVTIGGAEGRGAGESFVCITAPCNPVCDNVGAYYEHGRTLLALTEEPLVVLFQEVFKG >KZN03159 pep chromosome:ASM162521v1:3:41841124:41842865:-1 gene:DCAR_011915 transcript:KZN03159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQANPAEIVEIPDDDHLPISVPADPAKKPAVGAHKDDAIPVESYYQSMENRDFALAIMSSIFPKSSRNVKKRVFIDLSREIDDGDDDDVMVLDSFPSRRRKRGVGITKNPNFEHGESSNRQSGVFVCEICVDEKNFSEKFAISGCDHSYCSDCVCKYIASKLGDNIPQITCPVSGCLGLLEPEDCRLILPPEVFDRWGKALCEAVILDSQKLYCPYKDCSAMLVNDGGMAIALSNCQYCERYFCAQCNVPWHLGMECREFQSLNKDEREAEDIMLMQLAKNNKWIRCPKCRFYVEKSYGCLFIRCRGPLRFCAHIAFKNTACRAM >KZN02439 pep chromosome:ASM162521v1:3:33515832:33518358:-1 gene:DCAR_011193 transcript:KZN02439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVDELGGRIHAWLPALQMQRLGSHIIEGETYDVQNFVVRNYTDIQNGRCFRKVFYIRLNHMTQVLHTGAVDYIPHNVFQFTKLSALMAVVGDDQFLIDVVGILVEIQPITSFTNNNMEQESCIQFTITDIEKSAQVIFYKEMAQSFNQAIHDAVQHPIIVIISSCKAQMVTGNGWRLKVRLTRMWNQMTRNAQRVAINLIFVDALGGRIHATIPAPYIGQLENYFTEGETYDVNNFIVRRYADMQHGRCFKNDIYIQLNNLIEVMVTGGVDYIQQHVFDFTDLDALYTAAQEQKYLIDVVGILEQAGPLTHFRNRLGQEEQYVEFRITDMFTSARAVFYNEMAEEFHQAIQQANQHPIVVIISSCKPQMFSRTLS >KZN03614 pep chromosome:ASM162521v1:3:46337893:46339949:-1 gene:DCAR_012370 transcript:KZN03614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSEYLRSLCHTLKEDEIIEADAICGVCQKSAVASSAYTIHDATETYYVHKTCSEFPVLSYIYLSRDVNDDCGSFYLHKSCAELLPQIHYHKHMMHPLSLLPRPADYSCDVCRRVVKVSYACVECEFDVCVFCALEQRVLHHPGHKEHALTLMKKEAYFECDACHEEAKDSSYVCTICEFWIHESCAFSPSIIPAPTYHHHPLTLVYSIPDIHRYFPRFCGVCHQYVHKSYWVYYCHKCTYFVHMKCSTSTISMVNEIEADDDVDDEPDLVQFPLPSQESIFDLIVTQCCKSQANFKGEGEISVAMSTIPNSPRLIEKHWSHKNHPLQQLQFTIGQNSNDENDDDIRALICDGCIQPITVSDPSYYACIQCGFFLHSFCATMLPQELPVGASSFHPNHSLLLQKGDKFYSIVECGVCCLATNGFYYQCQTCDIYVDIRCAFLPRRIKHKSHKHHCLVQRQSSESICSVTRFRIGKGMEYACETCSSFLIHIYSAIFPSRMEHKYETHPITLRSPPFFYEGVFYCEICEEQVDNQYTLYHCSESDHSLHYYCFGLLINIKLGGTIKVDIGGEPHTLALVYKTVTRKNLTYTCSNCAGSYDSSYFALECDGCGYLV >KZM99919 pep chromosome:ASM162521v1:3:53713:61576:-1 gene:DCAR_008674 transcript:KZM99919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLDTLIQVKLEGDINQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDCDNLDKAVKCYQLALSMKPNFSQSLDNLGVVFTVQGKMDAAASMIEKAIVANPTYAEAYNNLGVLYRDAGDITLAIEAYEQCLKIDPRLSDWGRRFMKLYQQYTSWENPKDPERPLVIGYVSPDYFTHSVSYFIEAPLIYHDYANYKADAKTNKFRDRVLRKGGIWRDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACKPAPVQVTWIGYPNTTGLPTIDYRISDPLADPPNTKQMHVEELVRLPDSFLCYTPSPEAGPLTQTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDNVRQRFLATLEQLGLESLRVDLLPLILFNHDHMQAYALMDISLDTFPYAGTTTTCESLYMGVPCVTMGGSVHANNVGVSFLKTVGLGHLVARSEDEYVALALQLASDVAALSNLRMGLRDLMANSPLCDGAKFCSGLESAYRNMWRRYCKGDVPSLKRMELLQQQPPEEQLVSEGSTVVASEATKVTISKGISPEPVRANGYNTGPSLRKPSASEQTAISLPS >KZN03462 pep chromosome:ASM162521v1:3:44860998:44864114:-1 gene:DCAR_012218 transcript:KZN03462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIVKTMSKILVFGGTGYLGQYVVKASILAGHQTYVYVRPTKSGDIRKLQLLEKFQAMGVTIFQGELDEHQKLVKVLRQVDTVIVTLGVPQYMEQLKIIEAMKEAGNIKRFVPSEFGNEVDRGSPLPPFEAIAEKKRKIRRAAENSGIPYTFVSSNSMGAYFVNFFLHPYDEKNNKVTVYGTGEAKFAFSFEKDVAEYTVEVATDPRTKNGLVICRLPKNVISQLDLISRWEKKTRRTMEKIYISEEEVIKLSQSPSEQDAVAYGILHSIFVKGEQTNFELKENDLDVVKLYPEYKYTTVDEVLDIFMVDPPKPFIASFA >KZN00606 pep chromosome:ASM162521v1:3:6857315:6860017:-1 gene:DCAR_009360 transcript:KZN00606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNLCHEKVAVEYATPLNLSSAIFSAKQLTDHEDEEPLAECVFKPNPESETDRIYQKSSDAKHRRVVNAAVRPSISQSYGLEMNCKYDEVSEQKFNIEYAAIESGQLEETVGGNENSVEQTNRGKRRCSKWDQGPKEDKKEVIEERKCKKGKTVWDANDFQTTVQEPLQLTELRSRVCEPLLDLEVQSLKKRFSEISSILDPLVDDKLEIGVYAFDKLIKEREKIFLELAKRNAIISKELYVPVKEYPKYNFIGLILGPKGNTQKRMELKSGCMIRLRGKDSSKSAQEVDSSEDEELNVYIEAVSQKSLDAAVCMVNKLLISVEDEVNDLKRAHLEEVSNLKEATLFSSCSVCKEPGHDQLACPLKKATLKASSDTCVSFSRPSFSCPVTHSNLKIKSSREIDAANLYVGYLPQTIDDSRLHDLFSPFGTITQLRVTLDKKTGYSMGYGFVRFDTPSAANLAIMHMNGYQIGGHRLRVRIAGAPPATGQPATSFLPVCSNPGPATVATSYSALPHYMMPKSEVSVLNDEGMGVSSSLHMESSNKISQTEAPTLPQKASGSNLFVGYLPPTVDDSGLWELFSSFGTIIHLKVPLDMVTGYSKGYGFVRYDTPSAASLAIMHMNGYEVDGRRLTVRIAGDPPATGQPTMSLLPVNPVPGPATVATSCPALPEGMVLNSEGLCYPSSQHIKYSTNLYVGYLPQTIDDSCLRELFSPFGAVTQSSVVLDMRTGYSKGYGFVSFDTPSAASLAMMHMNGYQIDGHRLAVRLRTVGAAPPTGPPATSRLPLYSIPQHTTVATSCPALPSYMMPNFSQNMESKNENDAPIISQEVSGSTGSNTQLSTTASVLRSSESGTASSSAGSLTALSSTGLAAASSKSRSVISSYSFSSLFCGDHDYRDSSL >KZN01276 pep chromosome:ASM162521v1:3:14030443:14034277:1 gene:DCAR_010030 transcript:KZN01276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPMLRAYKRRSTSTFRYLSTLILAEHEGGSLKAQTLNAIAAANYLGKESSISLLLAGSGPSLQEAAAHAASCHPSISKVLLAKSDKFTYPLAEPWAKLLQLVQKIGSYSHIISASGSFGKNILPRAAALLDVSPISDVIKISEPHLFVRPIYAGNALCTVRYVGSNPCMLSIRSTSFPMISMAADSKSNPAPIDEVDLSTIDEDGISKSRYIQQTTQESERPDLGNARVVITGGRALKSAENFKMVEQLAEKLGAAVGATRAAVDAGYVPNELQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGLVGDLFEVIPELLEKMPEKK >KZN03482 pep chromosome:ASM162521v1:3:44978117:44979862:-1 gene:DCAR_012238 transcript:KZN03482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATVLLIQATVSFVAFALFYRFWSSRTKNYGGSKKPQAPKPDGAWPILGHLPLFGGTDPACRILADMADKHGPVLRIDLGLQRVLVVSSKEAVKQIFTTNDLSFMARPKAFANTAFFALTPYGPFWQEMRKTAVSELLSNSRLEVLKPVRASEVTTCIRELYSVCCKNGIVGQVKFNISEWLQQVVINMIMQMMARKRYSSIGIGATEVESRIFKKAYEGFFATLDPFDSEMSNVIPFTEWMDLKGTRRALKRTAKELDLILSSWLDEHKQLMSEKAPLGRTDFIDVMLSMFAESDGSDAVFATLTWAVTFLLKNKEMLQRAQQELDIHIGKERWVEESDLKHLIYLQAIIKETLRLYPAAPLSVPREALQDCVIAGYSVPKGTALFVNLWKLHRDPATWTDPCEFEPERFLTSHAGVDARGQELGFIPFTAGRRSCPGMTAGMHIMQLILARLLQGFDLISPTNEH >KZN01960 pep chromosome:ASM162521v1:3:27401592:27401774:-1 gene:DCAR_010714 transcript:KZN01960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMIYDCLFFNVQGKAPAEDDGNNDKDGDFDEDWSTESEDGETRPPPNVRSKGGPVIKG >KZN03757 pep chromosome:ASM162521v1:3:47796240:47819691:-1 gene:DCAR_012513 transcript:KZN03757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKIYLSLNQFEKKVPIMVVMKAMGMESDQEVVQMLGRDPRYGALLLPSIEECALIHVYTQQEALEFLEGKEGRALVILRDVFVANIPVRENNFRLKCMYVAVMMRRMMEAIINKDAMDDKDYVGNKRLELSGQLLSLLFEDLFKTLNEEAVKEVDAVLNKPSRSRFDFSTYITKGRITVGLERALSTGNWDVKRFRMHRKGMTQVVARLSFIGTLGMMTRIQPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDQEEGPIISLCHCLGVEDLELLSAEELHAPNSYLIILNGLILGKHRRPKQFAQAMRKLRRAGKIGEFISIFVNEKQHCLYIASDGGRVCRPLVIADKGVSRIKEHHMKELKDGMRNFDSFLREGLIEYLDVNEENNALIALYEGEATIDTTHIEIEPFTILGVCAGLIPFPHHNQSPRNTYQLCRMDTLIYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKKLSAVHQKYANNMSDRIIRPQRDGRNAEKMQILDDDGLAAPGEIIRPHDVYIYKQSPSDTKNTVNEPVPDSFYKDSWQTYKGPEGETAVVDRVALSTDRNANLSITFMIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADKVETISETLVKHGFSYTGKDFIYSGITGMPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPKVMMTRQPTEGRSRNGGLRVGEMERDCLIAYGASMLIYERLMISSDPFEVQVLDKMHARGSGPKVMMTRQPTEGRSRNGGLRVGEMERDCLIAYGASMLIYERLMISSDPFEVQVCCNAELLSISYQYIHVPPGGVIPSTDREHKLYHCVVRRNLLPELNMLVRYCGEISKKLLCLCSIKKSCNCILFMVDSGCKVVICKKVSRRHISANNLLFFDGEPLEVNLRCRPPY >KZN00682 pep chromosome:ASM162521v1:3:7584131:7584556:-1 gene:DCAR_009436 transcript:KZN00682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDSTPTLCVKNCGFYGSPANRNLCSKCYLDSVNQAILRSESRGIDSITSSVEQVSLHDEKDHVVVDDSSVSKNKPSRCLCCKKKVGLLGFACRCGGKFCSMHRYPEEHKCPFDYKTSGRVALARENPLVACDRLRHRV >KZN03594 pep chromosome:ASM162521v1:3:46111046:46113164:-1 gene:DCAR_012350 transcript:KZN03594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVLEFFSNSGYTFVECTVKTEDNICHTCNKPAQGSDIYFCTRHNDLRSLYLHMTCSELPISVYLHEHSLSLQEDFIFAEDAACIICTKRVLGSPTYTCVSRHDHVNCQNFYLHKSCAEFPKQINHHKHTIHPLSLQPRPDGCTCDICRRDIKRVLHHQGHKEHALTLMSKEAFFECDACQEKANDSSYVCTTCEFWIHKTCAFSPFTIPSPSYHHHPLTLVYSVPDIHLFFHQYCGICREFVYPSCWVYYCHKCTYFVHMKCSTSTISMVNENEADDIDNEPDLVLFPLPSQESIFDLIVTQCCKSQFNFEGEGDISVTTSLTSNNPHIIEKHWSHQIHPLQLLQFRIFENDSDDSDDDRKELICNGCIQPITVSHPSYYACIQCGFFLHSFCATKLPQKLPVGALHFHPDHSLLLQMKDKFYDIVVCGVCDYPTNGFYYHCQDCDIYVDIRCAFLPTRIKYKSHKHHSLVQRPASNSTCSVTRYRNEVGVEYGCETCSSFQIHICGIIIPSRMEHRYDAHPLTLRYPPFFYEGAFYCEICEERVSNQDLLYHCSESEHSYHYSCGFGLNNVKLGGTIKVIIADKPHTLALVMKMPTTKKSIHTCSQCFSFFDYFCFLLECDGCGLLACLNCPLSGMLQETALI >KZN01670 pep chromosome:ASM162521v1:3:22830849:22831211:1 gene:DCAR_010424 transcript:KZN01670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSDTVQNFSSSSDSNLQKPAESPASRKLGKHSNELIKSYADAPHVSPSEEPTIKAQQVHSNKEIYMKKPLLHHRSGAKSVAGGGVILGSLATTFLIAILLYIRATRRNSANQTPGIP >KZN01925 pep chromosome:ASM162521v1:3:27056584:27058717:-1 gene:DCAR_010679 transcript:KZN01925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKTPDPMPGGDGSMPPGKATTVTQSVLDKGAQMMQTLNPIKQMSQHVCSFACYSHDMSRQIETHYYVTRLNNDFLQCAVYDSDQPNARLIGVEYIISGRILETLLPEEQKLWHSHAHEITSGLWANPRVPEMVNSGELQDLVNTYGKFWCTWQTDRGDRLPLGAPALMMSPQEAELGIIKIDLVKKRDDKYKISTDDLKKSRANLVLPESMNRMADYWKHAGKGFAIDVEETQMKTTAPFP >KZN02803 pep chromosome:ASM162521v1:3:37515779:37516920:1 gene:DCAR_011559 transcript:KZN02803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSNESDHASSPKVSEHETRTNGDLEESSPIAAANELNSVTSSKNLDTSSSSLSHSPLPDSPVEHPHNTTTPSQTEVEGNDNLDSNLDTGYEHEIPTAESPASTPDDEMLLFTLDSGDDKENHEIYNAYVALSTLSPELTDSTLELGSNAPTTSPPTQVMERPSGSKYRIPSSVFARSMSNTPDWSCASNESLFSIRMGNMSFRTDDFWKSGEIGLKSAELEMIKNGDSFMLRSEELGFSRELEMQSGDLGIANGGLGTGTSHLELIPGEPVTTTEPFMSSQMFSYSQTPNMATSNSGKTAELGVAIETMKEVIREAADHRSKNSISDGSVAGTTNNRSSTESFAFSS >KZN01999 pep chromosome:ASM162521v1:3:28067739:28068191:1 gene:DCAR_010753 transcript:KZN01999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSNQTVFCSNQAQFSSNQANFREVADIQSSSDTDELLPVPDDYSHQELT >KZN00340 pep chromosome:ASM162521v1:3:4346025:4349495:1 gene:DCAR_009094 transcript:KZN00340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGGALDPPSKTKFRRYQVWKGHNKFFCGGRLIFGPDASSVVLSATLIATPAVAFCIKMFNRISRTDPAYGYTVFIVGTLLLFLVLTFLFMTSARNPGIVPRNTNPLEVETPSVANSMEWINGSMSLKIPRTRDVVINGHIVRVKYCETCLLFRPPRASHCSICNNCVERFDHHCPWVGQCIGATTYENFRYRYDKRKNPYNRGIVSNLKEIFFAKIPPSLVNFREFVEYEDDESYMGSFSYRFGRIISTKGGGALDTKEIRKIPLILKDLDYSVINRSMKEKDDEKRKEHKRWNSVYGDNLSIPDEVKSGDKRHQQTSSTSRHL >KZN01020 pep chromosome:ASM162521v1:3:10873451:10879009:-1 gene:DCAR_009774 transcript:KZN01020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVQKLYNVCKTSLSTNGPVSEDAIQKVRTMLDKIKPSDVGLEQEAQLVRGLTGPVNQPRGLECSPPPIRYIHIYECDSFSLGIFCMPPSSVIPLHDHPGMTVLSKLLYGSLHVKSYDWIEIPGLSDPSQEKPAKLVKDCQMTAPCGTTVLYPTAGGNLHCFKALTPCAIFDIQSPPYSSDDGRDCTYYCRSPRGDLPGNLEVDGLSVVEVTWLEEFQPPDDFVVNKVQYKGRAIKP >KZN02787 pep chromosome:ASM162521v1:3:37305703:37310710:-1 gene:DCAR_011543 transcript:KZN02787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGINITSFIMIMMAVMVILVSPSHAKDQYLNFDITCFMKCASGCLQNPTLQCFTKCLTSCIAPSPSPSPSPSPMPPMPPKPPMPPQPPATPPTSPVSLPMASLAELKSCNFECAARECASTETEMETLNCMESDGYSEEEMLEFNSDWEELVKDKKFKVQFIFGEYLTGADRLAKDGWSCGCSTWDWVRAATMLMEGVGQQVMGGRVKLFICCGSFAGPLNLIGGF >KZN01464 pep chromosome:ASM162521v1:3:16560422:16561230:1 gene:DCAR_010218 transcript:KZN01464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPKQIPQNIHFNHRLCLPLHLGELHFRSRFVTLIVIILLFCVSSSRVSAQTRRPKNVQVALKAKWSGTPLLLETGLVVYQHLAEESLASFPLDDHVSYNSPDGHKKQTKKVDTLLSGVNLRSPGGKCCWVDTGGAVFFVVVELPQWLRKPSNS >KZN03286 pep chromosome:ASM162521v1:3:43200517:43200888:1 gene:DCAR_012042 transcript:KZN03286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNYVFDLDAALTMDNSDNDFVIVESETKTTESKVQDKVSDILIMMMPTDLSLPRVCTICMEALDSCTPASGEGGRRVRCGHVYHENCIAQWLSLHSSCPLCRTILSGDSKTSVVAPSIQLA >KZN03932 pep chromosome:ASM162521v1:3:50002421:50007981:-1 gene:DCAR_012688 transcript:KZN03932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTAPPTKQAEQLRQDGNSYFAKNKLSAAIDAYTEAITLCPNVPIYWTNRALCHRKRNDWIRVEEDCRRAVQLDHSSVKAHYMLGLALLQREQYADGVKELEKALDLGRGANPKSYIVEEVWQELAKARYLEWEHASTKRSWELQSLKEACQSALKEKHDRKYSEMEGFVDDINDDYSKQLDGLEQVFAIASEADTPTEVPDYLCCKITLDIFRDPVITPSGVTYERSVILDHLKKVGEFDPITRKPLHQHQLVPNLAVKEAVQAFLQKHGWAYKMG >KZN01795 pep chromosome:ASM162521v1:3:25229486:25234255:-1 gene:DCAR_010549 transcript:KZN01795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKAVVVCVAVGFLGLVAASLGFAAEAKRIKGSQVQFNSPDECVYPRSPALALGLIAALALMIAQVIINVATGCICCRSGPHQLNSNWTLSLICFVVSWFTFVIAFLLLLTGAALNDQHGEENMYFGNYYCYVVKPGVFAGAAVLSLASVVLGIIYYVTITSTKTGTDPWAGQAAPNQPGIAMGQPQFPQHVAQDPVFVHEDTYMRRQFT >KZN02438 pep chromosome:ASM162521v1:3:33508089:33511152:1 gene:DCAR_011192 transcript:KZN02438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRNATIPVMLFFLLCMFQGSVQGRHLLSSGPGIRPKPDDAAHFARWLVSQSFWGDLSTIESDLGGAPFGLEEVTEGKFYQLCPYFQAEVSFSDGTPDKGTGIPYFYLTLLDPTARNALKDQRSSFTLSEYSLGTCGKTDPENPTCAKITLTGKLKMVDGKSSEAKYALTALFSKHPEMKDWPKDHNFQIFKLDIEDIFLINWFGGRKPLTVDQYLHA >KZN01262 pep chromosome:ASM162521v1:3:13808219:13817883:1 gene:DCAR_010016 transcript:KZN01262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESILARALEYTLGYWLKSFTRDQFKLQGRTVQLSNLDINGDALHASLGLPPALSVITAKVGKLQITLPSMSYVQVEPIVVQIDRLDLVLEENDDPDASRSTSSSQASASPAKGTGYGFADKIADGMTIEVGTVNLLLETHGGARHKGRATWASPIASITMRNLSLYTTNESWQVVNLKEARDFSADKKFIYLFKKLEWEYLSIDLLPHPDMFMDAQFANSQEGFCGKDDDGAKRSFFGGERFIEGISGEAYITIQRTELNSALGLEVQLHISEAVCPALRLRALLRFLTGFYVCLNRGDIHTNVQQHSAEAAGRSLVSLVVDHIFLRIKDAEFQLELLMQSLSFSRASVSDGENAKYLTHVTIGGLFLRDTFSHPPCTLVQPPMQNTANDSLHIPDFAKNFCPPIYPLGDHGLKLNEGVPLINLCSLQFKPSPAPPSFASQTVIDCQPLMIYLQEESCLRICSFVADGIVTNPSTTLPDYSVNSLTLNVKELDVTVPLKMENQNHHTHGENNTFQNSFNGARLRIEALFFSESPSLKLELLKLEKDPACFCLWEDQPVDSSQKKWTAGASLLSLSLESCNNSVGVQCSNGASNLWSCVELKGACIEVAMATADGSPLIDIPPPGGIVRIGVSCQQYLSNTSIEQLFFVLDLYAYVGNVSDKMALVGKSNHLKVKRNESFSGNLFEKAPGDTAVSLVLKDLHLRFLESLSSDTIGMPLVQFVGENLSVRVGHRTLGGAIAISTNLIWETVEVECADIEKNTGYENGMVLPSVENGHMGDDGYHQLRAVFWVQNGDNPFLDVTMVHVIPYNAEDMECHSLNVSACIAGVRLGGGMNFTEALLHKFGILGPDGGPGEGLSRGLEHLSAGPLSKLFKASPLIGNEFQDGQNESPGGEDSTILHLGSPDDVDVSIEFKNWLFALEGAEEMAERWWFSDSKDSSRAQRCWHTTFRSLQVKAKSSQRHLLNSNAIPHEKKKHPIEYITIIAEGVKTLKPQPWKHVQQNGEPAKGLKQISESYGGINFEFDMVICEHDIDDDATAKWVVQNVKFSVKQPFEVVVTKDELQNLTLLCKSEVDSMGRISVGILRVLKLEGSVGQAAIAQLSNLGSEGFDNIFGAYNSNGGKTKGSAVVSPSSKVANGSWNPGLESAVASLEAAVLDSQATCAVLTTEMGDSETSMEHLDHIKQLSDRLESMQKLLAQLRP >KZN02635 pep chromosome:ASM162521v1:3:35388948:35392864:1 gene:DCAR_011389 transcript:KZN02635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTDDVMMEIEAHRPQGNGMVVGGLSPLSETLWKEKTNTEFIGDVSARLAWKDLTVMVTLGNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDSLASRLAANAFLSGDIYLNGRKQSLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWDEKRSLVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIAIEILMRPRLLFLDEPTSGLDSASAFFVTQTLRSLSRDGRTVIASIHQPSSEVFELFDRLYLLSSGKTIYFGQASEAYEASLFFAQAGFPCPALRNPSDHFLRCINSDFDKVKASLKGSMKLRFEGTDDPLDKTTTAEAIRTLTEFYRTSQFSYLAREKVEEMSKLKGTVLDSGGSHASFSMQAFTLTKRSFVNMSRDFGYYWLRLVIYVVVTICIGTIYLNVGTSYTAILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERMNGHYGVVAFVISNTVSAMPFLIMITFISGTICYYMVHLHSGFSHYIFFVLCLYASVTVVESLMMAIASIVPNFLMGIITGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLVFDNDTPDKPKIPGEYVLEYFFQINVHRSKWVDLSVIFSMIVIYRIIFFLMIKMNEDFTPWLRGYMARRTILQKKGNSITNVAPYGLTQSPSLRNFADNKSTGSGRRRQPQPPRRI >KZN01115 pep chromosome:ASM162521v1:3:11898420:11899013:1 gene:DCAR_009869 transcript:KZN01115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKSNQSKLMKIITLPARVLKKGKDMYVRSMMDVAQKPRYSSSSTKASVPGQQVVSGYLPKSFSTSGTTSRSTTYEENDDLRELIRANSTAHNKNSAGLDVEGYLKQLIEEQKMSKQKLYNDRFVEQISVGKVGKGVPRSQSVGLGRIDEGKACEFDEEEEGVEKEQESVRKLPEVMLRSRSHAVGVAGRNNSLVF >KZN01288 pep chromosome:ASM162521v1:3:14121499:14122065:-1 gene:DCAR_010042 transcript:KZN01288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPTHEHSNQITTYPSQGKNRSVVYIKKTKLPMANSHTKIPLRTTSLQAQTGTRGTFGSTPEKMMYKSSSNTSSVAERMAGKTPVQIGTRGTFGSLVTQEIEYFAQLEVKSQIMSQKPVERKLTDAGSTKPKLGSMITIAKKKKGSSRLLPSMCSMVDIVEKRNQPNMSSRFSYRSLKADTNTLHV >KZN01406 pep chromosome:ASM162521v1:3:15707505:15714488:-1 gene:DCAR_010160 transcript:KZN01406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDATLQRNSVSSEMVHSDTVVSANKKKRKKKRKRGENSSALVSVELASSEMAHSDAVISQQQGKRKKKKKKRKRGNNSVAVANVALIQQPIDGTGLVTNFRLEQRDVEVEDWDNIQKSSFGNSSVSNDRNVSGRVSSTIVTVPLQTQKTKRKKKKMSISTLVGRMIMPSTSVMGDSELMQHSAEQRGPEGIHLNECATKDKNCTGEDGSGIIDTKVDESSVSQGKFVGKIQGGKKRKRKIQGKNLNLEPKEGVISTNGLSEEQQVESATVDADQNASLGRMPTDGAHKKLLVLDLNGLLIDVVGDKSVTIQADGHVAGKKIFKRPFCEDFLQFCFEKFTVGIWSSRRKENVDKVLQVLMQVKDRSKLAFVWNQNHCTQTGFQTIENGKKPLFLKELSELWRWVNKNRKFSWKVRDYDKSNTLLLDDSPYKALKNPPHTAIFPTPYQYQDQNDNALGPGGDLRVYLEGLALAEDVQKYVEQNSFGQKSITDSDPSWSFYAQVIRSTSRKTRYKPNWKKSNPSLKNRVAPASKCVPV >KZN03026 pep chromosome:ASM162521v1:3:40275917:40277265:-1 gene:DCAR_011782 transcript:KZN03026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIQMLSSPCFHASYSQLNCQTLGELLTFLKAETTQELNKLSSYLIDVVLADGSSSGGPVLSLANGVWIDKSLSFKSPFKKVVVVDSVYKAASDAVDFKNKPEEVVVLLNSWVEKETHGLIKDVFPDRLIDNLTWLVLANAVYYKGEWLSPFEVSDTKDSDFHLLDGSSSQVPFITSPLKDQYISVFDTFKVLRLQYKQGDDKQRLFSMIIFLPKAKDGLPTLVEKLGSQSSFLDRYITSHKELVGIVQVPKFKISFGFEASEALKGLGLISPFSPGDFTEMVNESPDGQMLYVKNTFHQSLIEVNEEGTEAAAAFGVMMGGASPEMFMDFVADHPFLFLIREDVTGVVLFTGQVLNPLAT >KZN02359 pep chromosome:ASM162521v1:3:32494091:32494552:-1 gene:DCAR_011113 transcript:KZN02359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAVRASLQDWKFVFVRDVSVKNELPSTFSAYRFQEHCWSCGPVNLFKKMKKEIMLCSPVFWFRKSISQRHWPYTSQQPSPF >KZN01749 pep chromosome:ASM162521v1:3:24232202:24232587:1 gene:DCAR_010503 transcript:KZN01749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVNVHGIATQCVSKLGKNAIVTLRWIFLEIKKSNKMKKEFRFILSSCRTSQLLKKDGKSVKIIFNTPNKRWNKQVHSTSAPPGKAHNQDKEHTTK >KZN00477 pep chromosome:ASM162521v1:3:5655351:5658971:-1 gene:DCAR_009231 transcript:KZN00477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDSRVHLKHETRNCMVSILYAIRAKKKLPRKRLSAGRKQTAASEDDEVSADTGEAREHSDTGAEKCLISEKPTELAAGRQDKETKKNLETKSSITSRIKAMIYEERSKKGGSHRRSDSCPSWAQPKGTDFSTHSESTGFNFELDMEDEGKKSAGENHCGSSTSGPHLTDTTDKSANKNKMCELCAAKLSRSVWKQSEANQEVRHSIGSNSFLEDDIIDSEKIGEDASVQDLNELLDSLDVYGPKKEYFLKVLEDPGTPLLQYLHSREAIKSKVVLTKSASFPLPGSVGRRVLESTNNDSKQRSALDANERKKFQVCHSSQCSADAKLAGTMVERKEVGTSEPILGRNRSFNGLPPCTPRGLKIEHENRPVINRFKQLKRKISRVIRESRKEKQQPVMDSVSQIVPYDRTTSKVMQEETDFTRDSGSSSCEVDHSTSFLQKPSDKPRFRRATTFTDSMDRYNRLFECTLKRMARYQLAEKSTLRAENVPTPPGKSSSKMLERLSSLPNLRDHSTLLMDSYPSPEGEPHKNLEDHSGMTETEILDEQKPLDCSVDQESQKQLDTAEERAPVNDLEECSESPAQSENLNADEITSYCQDNLESTSVPPHSEPSPPDVSDTNSEKITASPPQLSMVEADSLASKDKEIIMVDNAVDIPEVESPLKQWCRKLLHVQVDTKHVCEFNYVKDILELSGFTADKFLGTWHSTGQPVDPSVIEKVEGFPLTELDCSEDEVRSCNRLLMFDLINEVLIEIHTRSSSYFPKPLTCRSHISPMPVQYHVLGEVWTNVKWYLSWRPESYRSLDDAVGRDLDRGDGWMNLQFDAECAGLEIEDSLFDDLIDEMLYDDLLED >KZN02499 pep chromosome:ASM162521v1:3:33984632:33988607:-1 gene:DCAR_011253 transcript:KZN02499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVAGRVKPRPIIRIGLFVISHSTLVSIVCCVAGVLALLLLPVLAKSTYFSENALMPVLLVDFTITSVFASMVQFLAVAISLGSAKSMLSGKNVVEANRFVKELSSLGLKPPSTGLEIPKLIATRMADLGGEVSYHNFHPQSKYFHPLHFFSTPDPGMVKANYSCSSXFXVSYHNFHPQSKYFHPLHFFSTPDPGMVKANYSCSSYGVNIVGIARAPRSDGKEAIVVVTPYNSTNISLGEAMSLGIAYSAFSLFTQVSWLAKDIIWVAADSRHGEYDSIVSWLRDYHAPSFGGLEKLNAKVCRESLVTGSEVSDSFLRGGTMAAALVIKVADGSELFDKDALSIHAEASNGQMPNLDLINVVNYLAVHGQGFYVKVDKLPSLLRSKWLKNMLKALRHLLVHCIIRLSSLPFSSFIKFLAFGLLEVRDITEVGTALGVPTGLHGAFRDYQVDAVTLNILPKSSSYHKARRSEFLLNGARLVEGITRSVNNLLEKFHQSFFLYLLTSPSKFVSVGVYMIAFLLLIAPLPVVAASLYSDAHKCNPELKNKEVTSMDFVDGRTFKSKSWRWLYAAKTVFVIHLWGFVVTVLPFFMSQIRGCSSTTSLLIWIASSGFSLILMHVLLGSPFVTTSIPQSKGKEWLLLKSVTITSAFIGLCLMSVINFATAEIGALLTVPMCLMARPLKQDLKVRSTKAFARAALNLFLLHIGFPVAAYFLVKGAFEGFDNISVGDFWYWVESLWVWNSATYIYICMAYTPCWVLCIHILLHPC >KZN03404 pep chromosome:ASM162521v1:3:44312464:44312718:1 gene:DCAR_012160 transcript:KZN03404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIPKRNVEGAPWNSSVNTVELHNTCIGPVKLARPQPVRKLGYKTTVGGVIVKSASFLKKKMVSLWLLASSRFDSGEGKAKKH >KZN00146 pep chromosome:ASM162521v1:3:2417365:2420171:1 gene:DCAR_008900 transcript:KZN00146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRHLSPEKKDSADPLPALISYVSTHELEGFDSVNRDTEMDTHTVRPSLDETKIDSAEIQNCDFLCHQRTQSISISMPPSPIEDHLEGKKRVLFRDDCELISSSGVSHSPLSTDIPKLNQPIFHSQPIPKGSVLNVALASGKSPDRPPKNPMGKTLADKRYNSFKTFSGKLERQLSSLRGRVNETGSDFPRTTEMENVPVHRYFDALEGPELDTLRPSEEILLPEDKQWPFLLRYPISSFGLCLGVSSQAIMWKNLATSTTMGFLHVSQKVNIVLWCLSIVLFTIVAFTYILKIVFYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPPSLTKDLHAALWYVLMTPILILELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGATMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWARIQGSFDFGARIAYFIAL >KZN02256 pep chromosome:ASM162521v1:3:31385278:31386937:-1 gene:DCAR_011010 transcript:KZN02256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEQINTCDLNSDFCIQIANHALLEEADKASNFVISPVSFQIILSLIATGATGRTLDQLLSFLGSKSIDDLNSLSSKVVEITTRQVGDDNNLAASPLVTMVNGAWIDKSFGLKPSFKGTLTDVYKAEARAVDFATRANEVTEEVNKWAQDATKGLIKELLRSGCLGTDTALVFANALYFKGAWDRKFDSERSMNRDFKLLNGQIVQVPSMTTKKRERNFYREINGYKILKIPYQNGEDTRKFSMYFFLPQEINGLKSMIQTFNSIPGFFNQDFYFREEDLGDRIWIPKFKFSFEFEASRIIKELGLVLPFMDGAEFTELVHSLEGEKVCVSSIFHKAYIEVNEEGTEAAASTAVRFRRCCGRVKPPGFVADHPFMFMIREETSRIVFFTGAVLNPLLAT >KZN03348 pep chromosome:ASM162521v1:3:43879348:43880871:-1 gene:DCAR_012104 transcript:KZN03348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKSYNKQVRRPKYDCLLFDLDDTLYPVTSGLATVCCNNIEDFMVHKLGVDRNLASELGYLLYKNYGTVLAGLKAIGYDIDTEEYFRVVHQRLPYAKLKPDPVLRSLLLSLPIRKVIFTNADKVHAVKVLSCLGLKDCFEDIICYETLNLINNRTVSDGEDDIQLSTTLTGGGKFFDIISHFSQPNASASLLPKTPVVCKPCQHAIEKALKIGNIDPQRTLFFEDSVRNIQSGKLVGLDTVLVGTSKRIEGADYVLRSIHNIRDALPELWLA >KZN03738 pep chromosome:ASM162521v1:3:47541174:47543312:1 gene:DCAR_012494 transcript:KZN03738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGTILVQARDAGKISEEMENALKEHRFSDAWDLNQQHMQIEGFPRKHVVNNLLEGFAESLDRQWIEKAYGLTEQAFEEHKQNLLQKDTLMYLSINLAKCGLHVPASTILRKLIDMEHFPPVTAWCATVAHMSQSSDGAYLAAELVLEIGYLFQDGRVDPRKKINGPLLAMKPNTTVFSVVLAGCLLFGTTRKAEQLLDMMPRIGLKPDITLLIIMAHIYERNGRREDLRKLKRHIDESHNLNDIQYRQFYNCLLSCQLKFGDLDCVSHMVLEMLQKAKKAQNSIGIAMLAFEAASKGCSKMNSSVQVIEEGLCNGKFDHPENPVLSENRNLSFEQFYRDHKFLKLETQTKELLDVVLVKLQKQVDLLTTEHGILRPTERTYVKLVKAFLEAGKTKELAEFLIKVEKEDSPVSVDDSAFVHVINSCISLGRLDQAHDLLDEMRLAGVRASSSLYASLLKAYCKENRAAEVTALLRDARKAGIQLDATCYKTLIESRVLQEDTQGALKLFKEMKESKIPRSGHPEFDMLIKGCAESGNAGLMAKLLQEIKDGQKVDCGVHDWNNVIHFFSRKRLMQDAEKALKKMLSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKVLAFSSGMKFDQELLDSVLYTFVRGGFFARANEVVEMMERGKMFIDKYKYRTLFLKYHKTLYKGKPQKFQTESQLRKRESALTFKKWVGLS >KZN01248 pep chromosome:ASM162521v1:3:13629042:13631737:-1 gene:DCAR_010002 transcript:KZN01248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEEFQIGSGSWSQRSRSSRCSSPSSTSTYAWPTQVGNVMKSPALLLNTNDSSNSVSANLFQDSHKQPLHQDNPNLHLMGLGLSTQPLDWNQHFRRGIEKSDENSSFRSMLQDDHDQLSSNAPNFQQAEQPWPPRTQKMMMYSSSSAGTDSEGAFPSLNPSQLMSTGHHHHHHLNPNTIDHHLDSSLSMQYHNSPSTTSMLPGLLGYEINHQPQQQQQQQQSAPFDTRSPMTNFNSFPTSYGMNSSSTSDHDPQQLIMQPNSWQAPNNKPQNQLHLSNNAPFWNASAAAPIINHDVRSNFFPSLQMQSASSTFDEKPKKSSSSETSNKRPRNETPSAMPAKARKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVSVLSNAYMKSGAPIQQQQQQKCDKSKDSEGQKQDLRSRGLCLVPVSSTFPVTHETTVDFWNPTFGGTFR >KZN02298 pep chromosome:ASM162521v1:3:31807721:31813317:1 gene:DCAR_011052 transcript:KZN02298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHLSPSMRSITISSSNGFVDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGTVNKCSSLDCLGRRLGPRLLGRGDDSGKLVRDFYKILNQVNAEAVPNDLKLPQSFSQLVSEMKSKKYNAKEFAMILKGMMEKAEKETRVSKFAELTNKHFAASAVPKGIHCLSLRLTDEYSSNAHARRQLPSPELLPILSDNSYHHFVLSTDNILAASVVVASAVKSSLKPDKIVFHVITDKKTYAGMHSWFALNPVFPAIVEVKGVHQFEWLTRENVPVLEAVENHFGIRNYYHGNHVAGANLSETTPRTFASKLQARSPKYISLLNHLRIYLPELFPNLEKVVFLDDDVVIQRDLSPLWEIDLGGKVNGAVETCKGEDEWVMSKRFKNYFNFSHPLISKNLNPDECAWAYGMNIFDLHAWRRTDIRDKYHTWLKENLKSNLTMWKLGTLPPALIAFRGHVHPIDSSWHMLGLGYQNKTNIDSVQKAAVIHYNGQAKPWLEIGFEHLRPFWTKYINYSNDFIRNCHILE >KZN03392 pep chromosome:ASM162521v1:3:44244196:44245122:1 gene:DCAR_012148 transcript:KZN03392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEVKVFGVWESPYSKRVEIALKMKGVEYEYVEEDLSTKSSELLKYNPVHKKVPVFLHNGKPIVESLVILEYIDETWKSGTPILPEDPHERATSRFWANFVDDKLLPAAVKYLKSKGGEKAVNEEISELLSVLENELKGKKFFGGDSIGLIDIASNIIALWLDVIQEVVGVEVFTKEKHPKLFKWSEEYLNCSIIKETLPPRADLLAFWQSRVQAPAA >KZN01346 pep chromosome:ASM162521v1:3:14713566:14715347:-1 gene:DCAR_010100 transcript:KZN01346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKSTNIKLIDMFNEAKAKIIKKKNEAVSNLPQLCASKRKMGPGVIETNNKKKKVSDCYNNTSTNNNENGTEVICNACHQCKRNDRGYVVRCLKCNRRRYCFPCISAWYPLMSEEDFEQACPVCRGNCNCINCLRKKGPSRALARLRSDVMPSTDDMFHNATYILKMILPFMRQIHEEQNKEKTREAKIQGISLLQLKVEAAKYQLDELVYW >KZN02783 pep chromosome:ASM162521v1:3:37257891:37259396:1 gene:DCAR_011539 transcript:KZN02783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKKVTETMDITPKFVKFLRESELVDNELETVDEVVVPLPFTSGSGKAIPPTMGYILNNGTRFLDTVMRHECKPASLFRLSKLYLREVQVLVFNYNGEHTFKINIIDLSMNKGLQSAETGEDGLTDFWGKNEIIRVFKGKRCWTLAIKKRDDYKRPTIHDGWIEIKDALKLEVEDVCVFSMKEKNIRQFIVQVIKRM >KZN02873 pep chromosome:ASM162521v1:3:38313579:38317468:1 gene:DCAR_011629 transcript:KZN02873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMLILVALLAVVLHLLVRIGYASLSFYWLTPRRIKKMMEKQGVRGPKARFLVGNILDMASFCSQSTSKDMDSISHDIVGRLLPHFVAWSRLYGKRFIYWNGTEPRMCLSETHLIKELLLKHSSISGKSWLQQQGTKHFIGRGLLMANGNDWYHQRHIVAPAFMGDKLKLGQAEFEIGEYMTRLTADIISRTEFDSSYEKGKQIFHLLTVLQNLCAQASRHLCFPGGRFLPSKYNREIKSLKMEVEKLLMEIIQSRKDCVEVGRSSSYGNDLLGMLLDEMQNKTRGGTEEFSLNLQLIMDECKTFFFAGHDTTALLLTWTVMLLASNPSWQDKVRAEIKEVCNGSSLSIEHLPKLTLLNMVINESLRLYPPASVLPRMAFEDYKLGDLHIPKGLSIWIPVLAIHHSEEIWGKDVNEFNPERFASKTFAPGRQYFMPFAAGPRNCVGQSFALMEAKIILGMLISKFSFNISQNYRHAPVIVLTLKPKYGVQICLKPLDS >KZN03146 pep chromosome:ASM162521v1:3:41721103:41722223:1 gene:DCAR_011902 transcript:KZN03146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAISKETRMGASVLRLFFHDCFVNGCDGSLLLDDTSSFTGEKRATPNLNSARGFEVIDDIKAAVEKVCPGVVSCADVLALAARDSVTILGGPNWNVKLGRRDSRTASQRAANNSIPPPTSSLKSLVSRFSKFGLSTKDLVALTGAHTIGQARCTNFRARIYNETKNLDSSLAQARTNNCPRRSGSGDNNLAPLDLQSPTAFDNNYFKNLVNKKGLLHSDQQLYNGGSTDSIVRTYNNNPSSFSSDFAAAMIKMGDIKPLTGSSGEIRKNCRKRN >KZN01404 pep chromosome:ASM162521v1:3:15674375:15678181:1 gene:DCAR_010158 transcript:KZN01404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCFCLYIVWREFVFGAIAGAFGEGALHPIDTIKTRIQSQAIFTGSKNQKSILQMVRTVWTSDGLRGFYRGIGPGVTGSLATGATYFGVIESTKKWIEVSHPSLEGHWAHFIAGAVGDTLGSFVYVPCEVIKQRMQIQGTRKYWSSIMLKNTNQLKPGFQMYGYYPGMFQAGCSIWKEQGLRGLYTGYWSTLARDVPFAGLMVTFYEALKDLSDFGKRNFFSHSNYNVNSSVEGLLLGGLAGGVYFSVVAIESFASFSGFAAYLTTPLDVIKTRLQVQGTATRYSGWLDAVYRIWLAEGAKGMFRGSIPRISWYIPASALTFMTVEFLRENFGQKLNDNSNFKEISSISIEKKEFQEAS >KZN00574 pep chromosome:ASM162521v1:3:6537994:6544808:-1 gene:DCAR_009328 transcript:KZN00574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDSGPGLEDAKSSLLLRERGRFSPTRYFVDNVIGFDETDLHRSWIRAQATRSPQERNTRLENMCWRIWNLARQKRLLEEKEAQRLSKRHMEREKGRREAVADMSEDLSEGEKGDAVGDISAHGESNRGRLPRISSVDTMEAFANQQRGKKMYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSSPDLDYSYAEPTEMLPPRDSEDFMGEMGESSGAYIVRIPFGPKDKYIAKELLWPHVHEFVDGALNHILQMSRVLGEQIGGGNPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKMEQLLRQGRLSRDEINSTYKIMRRIEAEELALDASEIVITSTRQEIEEQWRLYDGFDPVLERKLRARIRRNVSCYGRFMPRMAVIPPGMEFHHIVPHDGDIDGETEEGEDHQASPDPPIWAEIMRFFTNPRKPMILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDNVDEMSSTSASVLLSILKLVDKYDLYGQVAYPKHHKQADVPDIYRLAARTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDEQSIADALLKLVSEKHLWAKCRQNGLKNIHLFSWPAHCKTYLSKIAACKPRQPRWLKTDDDDDENSESESPSDSLRDIQDISLNLKFSLDGERNDGRGNDEHSLDPEDQKSKLESAVLTWSKGGMKGLQKSGSTEKGDQNTGSGKFPALRRRKYIFVIAVDSDAIADLFESVRMIFAAVEKERTEGSIGFILATSFTMSEVHSFLVSEGVSPTDFDAYICNSGADLYYSSPQSEENPFVVDLYYHSHIEYRWGGEGLRKTLARWAASIVDKEDPEKQVVTEDGKISTDYCYAFKVRKPELVPPVKEIRKLMRIHALRCHVIYCQNGRKINVIPVLGSRSQALRYLYLRWGMDLSNMAVFVGESGDTDIEGLIGGINKSVILKGVSCGSSNQLHANRSYPLADVVPVDSPNIVQANEACSSTDMRNILEELGVFKG >KZN03621 pep chromosome:ASM162521v1:3:46384837:46387611:-1 gene:DCAR_012377 transcript:KZN03621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSVFSTLFLLALFHFTLSSFLDNATVSAAQFHRQPHRRYASHNYKDALTKSILYFEGQRSGKLPPNQRVTWRKDSGLSDGSAMNVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKSELLNAKEAIRWATDYLLKATVHPDTIYVQVGDANKDHACWERPEDMDTLRTVVKIDKYNPGTEVAAETAAALAAASLVFRRTDRTYSKLLAARAISVFAFANKYRGVYSSTLRKWVCPFYCDFSGYEDELLWGAAWLHKATRNPMYLRYIQVNGQTLGANEGDNTFGWDNKHVGARILLSKAFLVQKVQSLQDYKGHADNFICSLIPQSQYTPGGLLFKMADTNMQYVTSTSFLLVTYAKYLTNAKKVVTCGGSIVTPKKLRTLAKQQVDYLLGDNPMKMSYMVGYGPRYPQRIHHRGSSLPSIASHPFKIQCTAGFSVMKSQSPNPNILIGAVVGGPDRRDRFLDQRSDYFQSEPATYINAPLVGSLAYLAHSFGQL >KZN03930 pep chromosome:ASM162521v1:3:49984012:49984914:1 gene:DCAR_012686 transcript:KZN03930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIGRRKKVTDPLDDKVKARICGSGRFYNPFYISSGSEHSSQHGDVEEDDAPCLSNLLCGFLDEHDVKQDQSSTESDSDSEDECDYQIYDENFEILSKAIFNEGTDKYRNVLLGQVSKAAEIFWFVKSDASLLRRNVMAFLRSVGYNAGICKTKWEKCGGLTAGTYEFIDVLRSEDDKIIRYFVDFNFASEFKIARQTSQYERLLSALPNVFIGKNDNLKQIVRVMSDGARRSLKSQGLHLPPWRKNRFMQCKWFGKYKRTSNLIPANTSNTYSPVKESAVKCRAVGFAPFMAATTRTR >KZN00769 pep chromosome:ASM162521v1:3:8379156:8381978:-1 gene:DCAR_009523 transcript:KZN00769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDPRSGFCKANSTFYSKRKPIALPCHQAIDVTTFISSQSHHGHVALIDAATGREITFPQLWAAVESVATALSNLGVRKGDVILLLSPNSIYFPIVCLSVMSLGAIITTTNPLNTTAEIAKQIADSKPKLAFTVPELLSKLADSKLPIVLIGDNSITNSKIIANFESMMRTEARQNRVKDRVTQDDTATLLYSSGTTGASKGVVSSHRNLIGMVQTITGRFQLEQGAQAFICTVPMFHIYGLAAFATGLLASGSTVVVLSKFEMHEMLSAIEKYKATYLPLVPPILVALVNNADQITKRYDLSSLQSVLSGGAPLSKEVIEGFVQKFPSVAILQGYGLTESTGIGASTDSLDESRRYGTAGMLSPSMEAKIVNPETGEPLGVNRTGELWLKGPTIMKGYFSNAEATANTLDSEGWLRTGDLCYIDDDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHPEIADAAVIPFPDEEVGQFPMAYVVRKTGSDISGSAVMNFVAKQVAPYKKIRRVAFVASVPKNPSGKILRKDLIKLATSKL >KZN00653 pep chromosome:ASM162521v1:3:7267800:7269146:-1 gene:DCAR_009407 transcript:KZN00653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFPVVLKSCAKCCGIGEGKQIHGVVLKMGFGCDLFVRNSMIHFYGSCGKCVDAGKLFDEMAVRDAVSWSGLISGCVRAELYNEAILRFKEMDLKPNVAAVISVVVACGFVGNVDMGKGVHGLILKRALEKDSAVSNALLNMYVKCECLGDARWVFRELDERDAVSWTSMISGLVMYKCSNEALDLFDDMLLLGVEPDKFILTSILSACANIGALSYGRWIHDYIDRKNIMWDVHIGTSMIDMYFKCGCIEMALRTFDKLSSRNVLTWNALLGGLAMHGYGSDVLKHFELMVRAGLRPNKVTFLSILTACCHSGMADEGLWHFYQMENYNISPGLEHYGCIVDLLCKADLLDEAQNIVRSMPMPPDAAIWGSLLSACNAKENVELSQDLVDKLHLFKEKDSGVYVLLCNKYAINKNWDDVTRARRLMRENSIEKSPGLSIIEVNGTT >KZN01143 pep chromosome:ASM162521v1:3:12166432:12169891:1 gene:DCAR_009897 transcript:KZN01143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSILFLSPLFFIFFFCLIFSAVVSFAYEARNPEVENLISIRSGLKDPHGALSNWDEDSVDPCSWSMITCSPDNTVTAFGAPSQGLSGNLSGRIANLTNLRQVLLQNNSITGEIPPGLGNLRNLQTLDLSNNKFFGSIPDSLGLLNDLQYLRLNNNSLSGAIPQTILTKLTFLDLSFNNLSGPVAKFPATAFNFAGNPLICGRLSPRNCFGSAPYPLSFSLQSSSGKSKSKRLAISLGLSSGFISLTFLALGILLWQRSKKRKNSILIDDMQEEILSSLGNLRSFTFKELYHATNSYSSKSIVGVGGFGNVYKGKLEDGTVVAVKRLKDVSGKTGELQFQTELKMISLAVHRNLLRLIGYCATPNERLLVYPYMSNGSVALRLRGKPTLDWNARKKIAVGTARGLLYLHEQCDPKIIHRDVKAANVLLDEFGEAVVGDFGLAKLLNHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLVELITGLRTLQLEKTVNQKGVMLDWIKKANEEKKVEVLVDKELGSNYDRIEVSEMLQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWAASHNNINCKSTFSHSKRTQSHLGTYSKHEGHDHDTSSSFRMIMMDDDHDTYAMELSGPR >KZN02446 pep chromosome:ASM162521v1:3:33555858:33556295:-1 gene:DCAR_011200 transcript:KZN02446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSQDESTSIQDIFDAALNLEDTHYKSGYDEGFSDGLLTGKDEARYVGLKSGFETGEELGFYRGCIDVWNSAIKIDPLCFSTRVQKSIKQMDELVSKYPFNDPENELATDVVEGLRLKFKAVCATLNVKLEYDGYPKSSDVGF >KZN02196 pep chromosome:ASM162521v1:3:30710064:30710534:1 gene:DCAR_010950 transcript:KZN02196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSCHTSKSKPTAKLIQQDGNLQEYPYPVKVSHVLQNNPSSFICNSDDMDFDDIVEPISDEDELVPGQLYFALPLTRLKRPIRREEMAALAVKASNALSKGGGDKCGCGGKSFTAVVEKPGKRQVADGDVRRRRSGGGGKRRSGTAVLSAIPE >KZN03032 pep chromosome:ASM162521v1:3:40349279:40352149:-1 gene:DCAR_011788 transcript:KZN03032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTPPPPPTTRSTTAFSDTVFECLIPYLTDPRDRDSVSTVCRSWYDLDALTRKHITIALCYTSTPHQLLKRFQHIESVKLKGKPRAAMFNLIPEDWGGYVKPWVDVFCHNFVCLKSLHFRRMIVKDEDLRVLAEARGGKIHVLKLDKCSGFSTDGLLHITRGCGNLRTLYLEESAIVEKDGEWLHQLSLHNKVLETLNFYMTDLTKVSFADLESIGRNCRSLVSLKISDCEILDLVGFLSAATSLEEFAGGSFNDQPEQYFRVPIPPKLCFLGLTYMGKHEMPILYSFASRLKKLDLLYALLDTEDHCRLLQKCPNLEILETRNVIGDRGLEVLGRCCKKLKRLRIERGADEQEMEDVDGIVSQRGLTALAEGCLELEYLAVYVSDITNASLVSMGSHLKNLCDFRLVLLDQEENITDLPLDYGVRALLQGCLKLRRFALYLRPGGLTDEGLSYIGQYSQNISWMLLGYVGESDAGLLAFSRGCPRLQKLEMRGCCFSESALAFAVLQLTSLRYLWVQGYRASPTNTDLLAMARPFWNIELIPARRDAGVGDHGEPLECEHPAHILAYYSLAGQRTDFPDTVIPLSP >KZN03858 pep chromosome:ASM162521v1:3:49346375:49350620:-1 gene:DCAR_012614 transcript:KZN03858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSTTLDGKGLIKKNEFIRLIIQCLYSLGYEESAACLESEANVSYKSAELKLLESQVLGADWDDCVDTLYGIKDLTDEVRASAVFLVFKQCLLEILSRGDDTMALALLRKQILSSNMGREKVHKLAFGMLARKEMVKVEDHIICELRKRLLTKLEIVLPPPIALPERRLEHLVEMAVSAQIDSCMYHSAFASVTICEDHHCGRDQIPTETYQILTDHTNEVWFVQFSNNGQYLASSSSDCSAILWEVMDDGELRLKHTLQSHQNPVSFVAWSPDDTMLLTCGNAEVLKMWDVETGTCKHTFGDQGFMVSSCAWFPDSKRLVCGSCDPEKGIYMWDTDGNEIKAWRGMRMPKVLDLAVTPNGESLITIFSEKEIRVLNLVTNDERVISEEHPITSLSVSGDSKFLLVNLNSQEIHMWDIAGTWAKPLKYKGHMQHKYVIRSCFGGFNSNFIASGSEDSNVYIWNRRSSDPLEILVGHSMTVNCVSWNPKRPNLLASASDDQTIRIWGPCSSDSRKLLESN >KZM99935 pep chromosome:ASM162521v1:3:237137:237883:-1 gene:DCAR_008690 transcript:KZM99935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHKNPNPNPNSSSITDSDLPLLASIKVKSSSPRFPPPTTPHATETPTANAQRKIGIAVDLSDESAFAVKWSVHHYLRPGDAVILLHVRPTSVLYGADWGSVDLTLTDETSQQKLEDDFDTFTTTKSADVAQPLVDAKIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGATKRGSDGRLGSVSDYCVRHCVCPVVVVRYPDEKDDAAAAQEPVVSVASAMDEDEDNADRDVEEAGKGTGSD >KZN01306 pep chromosome:ASM162521v1:3:14274647:14275378:1 gene:DCAR_010060 transcript:KZN01306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQHESPTCSLHVQQSRPPSTSSISTDTVENSIQKLIKNWNRRQKWNKLFFHTAPQQGTTDRSPWRVELANFLESTPVRILAISLLLTDLILTILELSSSLVSCKSSKGVEEAWYHWIGIAILSLLSLKSLCLVVALGGLFFRRAGYVVDAVVLVVALLLEVFLEKMGGGLVIVVSLWRVVRVVESAFELSDETIEAQIEGIVCEFQALKDENARLLGIIHDKDAVIHNLQEQLDQLYKAAY >KZN02386 pep chromosome:ASM162521v1:3:32902553:32903057:1 gene:DCAR_011140 transcript:KZN02386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPALPEPKTMPESDKKKTEQSLPFYQLFAFADKYDYLLMTTGSLGAIVHGSSMPVFFLLFGEMVNGFVRSVFCLPGLGGLLFIVCRN >KZN01446 pep chromosome:ASM162521v1:3:16325832:16326254:1 gene:DCAR_010200 transcript:KZN01446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLTRVFHRFDANGDGKISADELTAAMQALGSDTSPDEIRKTMEEIDTDHDGYINEEEFVKFCNTDFNNDGGMKELEEAFATYDINKNGLISAAELHQIMTKLGEDRTEEDCKKMIKSVDSDGDGFVNFEEFKIMMNQN >KZN02429 pep chromosome:ASM162521v1:3:33437601:33446262:1 gene:DCAR_011183 transcript:KZN02429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFRDDYVNSQFKRSFGSSRAEPYAQPHVAGGGSAGPASQRLTTNDALSYLKEVKDMFQDQREKYDTFLDVMKDFKAQRIDTTGVIARVKELFKGHNNLIFGFNTFLPKGYEITVIEEGEAPPKKTVEFEEAISFVNKIKKRFQNDDDHVYKSFLDILNMYRKEHKGIEEVYHEVAALFDDHPDLLDEFIRFLPDASAVASAHNASLGRQPLNRYDERSSAVLTQRGTPMDKQRFQRDRIIGPHTENDPNLEHPDLDEKTMIKLHKEQRRRSENNNRDRRMNRDQDFRDSEQDMHRSSEKRKSSRKVDGFGGDHFSGPYDDKDALKSIYKQEFIFCEKVKERLRNPADYQAFLKCLHIYSTDIITRNELQGLVADLIGKDTALMEGFKEFLELCEGTDGFLAGVMSKKHFWTEGFASKTLKVEDKEREHRRDVDLFKERDRVKEKYWGKSIQELDLVNCQRCTPSYRLLPDDYPIPLASQKSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVTATAKRAEELLNIMNKNSINSESTICVEDHFTALNFRCIERLYGDHGLDVLDILRKNTSLSLPVILIRLKQKQEEWSKCRLDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSLVTEVKELKEKRKQEDSVLLNISAGCRHPITPDLKFEYSDVEIHEDLYKLIKYSCDEICATKEQSKKAMRLWTTFLEPMLNVPSRPLEPEDSDAQSDGTDIKEKYGSPSAANPAAMDVEEAKTLPNGDVLPDPMQSSNACMLSGEVSVEGDGGGLQKALDRATVNGTSTCAELLGSSDATVRAGNDVAQRPGIHVNENGQGLVCEINNMHHQDVLRSVTSATVSGVPTDVPNVPNCKEDLVDVSMVEKEEGELSPNGDFEEDASVAERSAETTRHEARTGEDTSCQHVRENDADADDENSENVSEAGEDVSGSESAADEHSREEEDGDHDENDCKGESECEAEGLDDAVTEEDGLLSPPSDRFVLTSKPLAKRVASALRDTAKGSKVFYGNDAFYVLFRLHQILYERLLFAKTHSSSGEPKMRNVIDACPADLYSRFLSALYDLLDGSSDNAKFEDDCRAIIGNQAYVLFTLDKVIYKIVKQLQTVASDEMDSKLLQLNEYENFRKPDKYIDSVYYENAHVLLHDENIYRFECTSVRSHLSIQLMDDGNEKKEVVAVSMDPNFVAHLNNEFLPIPINKESDRIILQRNKRMCANHDEYSTIGIAMEDVKVVNGLECKMACSSSKNSYA >KZN03273 pep chromosome:ASM162521v1:3:43079228:43083042:1 gene:DCAR_012029 transcript:KZN03273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMKPLKQLKLSVPAQETPITNFLTASGTFQDGDLMLNQKGLRLISEENDAMTPSDKNVIDFQLEDLETIKVIGKGGGGVVQLVRHKWVGTLFALKVIQMNIQEEIRKQIVQELKINQASQCSHVVVCYHSFYHNGAISLVFEYMDRGSLADVIRQVKTILEPYLAVVCKQVLQGLVYLHNERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRNTFVGTYNYMSPERISGSTYDYKSDIWSLGLVILECAIGQQLGAPSFYELLEAIVRSPVPSAPADQFSPEFCSFVSSCIQKDPVDRSSAVDLLDHPFIKKFEDKDIDLAILVECLDAPVNFPK >KZN00515 pep chromosome:ASM162521v1:3:5977719:5978492:1 gene:DCAR_009269 transcript:KZN00515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSKLRLSIFIASSIFCFQISRSIGDAFLKKSEFNREPLSAKFRLPVPFKKPILSAEPSILIHKLSSKDQFLIFASDGLWEHLSNQEAVNIVYNHPRNGIARRLVKAALQIAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDPLMMSKIPSRGTTCSIKVGAGATGPTQS >KZN01710 pep chromosome:ASM162521v1:3:23605206:23625639:1 gene:DCAR_010464 transcript:KZN01710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTYGTGVSLPDHLSVVGVLPQPCSSGNRVLIAYANGFIILWDITEDQAVLVSGRKDILLKDAIVVNSSDDVRHEPSEGTSDNNGQLEKDISSLCWVSSDGSVLAVGYVDGDILLWNLTNSASTKDLQSHKSLNNVSKLKLSSAQKRLPVIVLRWHSNSADKGPKGQLFVYGGDEIGSEEVLTILTLDWPPGIETLICISRIDLTLGGSFADMGLVRSGGSGEHSDVSTLFVLTNPGQLHYYDEAFLSVLMSNPNKKHSAHAIQYRAVIPTTEPNMSVGKLSVLNEEAMCVTKLRGISTKSGTSTNWPLSGGVPYKLSSDESRCKRIYIGGYEDGSVRVWDATFPVLSLVSVIGCQVEGSELAGTGSSVSALDFSSSTSSLAIGEESGLVRLYCLMQRSEKSTLHIVTGTNHEVNNVPSGGQNQCLAVFSLVNSPVRSLQFVTSGSRLAIGFECGQVAMVDLSSSKLLYLKDCISRSSSSVISLTVKSFPDTLDNSLGLSKDKKSAEPADEIAFVLTRDAQITVIDSTRGDIITALPTQPKTQSTALSLYIVEGNNSISDVSESYLLNSSQDLEAKSKIEVTNECQSDIKEVNVNAHYNPITIGQRFNDSLILLCCDDGLHLYSLSSVVQGENRSIRSLELTTICCWTTIFETDEKCLSLILFYQTGLIEVRSLPDLEVVGDTSISLLLKWTFKTNMNKTMSSSGTGQITMVNGCEFALLSLLAFENDFRIPESLPNLHDRALAAAADSGVASSLNPKKKQITTTGILSGSTESKPKLRTAEEVRAKYRKTGDVSVAAAQAKDKLIERQEKLEKLGRNTEELQSGAEDFSSLAKELAKRMENRKWWQL >KZN01720 pep chromosome:ASM162521v1:3:23839898:23846602:1 gene:DCAR_010474 transcript:KZN01720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLNPYQPINRLDATTYDWKCRVRLQSLWKGLNRESKEFWGVNMILIDDSRISDPNNWFFRKCSGCDLELELEDGKFKCSRANGCGRIIPYPDKRFRLCTLCSDETGSIAIIFPDHEITKIIDKTVIDLHADCADEAEEDKFPNILNTFLRKKYMINLSINEENLQKGSTVYNAQEILDAQEKGDSFDPSAETVLEIRDFSIVNESTKDGNANETPNTAKSTNTKARARKAIEPVTFSPTDNSDSPPLKNIKVDKGQRIHAFVPTKCAEDFQYQLYLDRVFSIKNFDVQHYKPTDKYRFLRKDTQLVLSKDTEIQELPDDSVTIPKDGFDFYDLTQLEERSKQTTYLSDVVGIIKDYENIRDLKNRHGKDQRHAKFIITDGSSHVNVTFWDKFGENFDKQMKTALVQPVIIIISGCKVGKWNGQIDISNNNATRIYLNYNHHSVTHIRKLLKNPDFAKRAMGKAKAKPMAMTTVKELGNLGKEAVEGLFMVHVRIIKIDENVKWFYNACTSCDKETKIENLGPICELCNRFVPYPLKKFRVCVVAEDISGQMQVVLRDREVRTITGRRGSALPDEVFSVQGIPDCLLAIVGQEYSMVIRMDEINIAKTFKLYWATNICRGFVNLPANTTDGASSSQAQTSQATASNDNAQEISDVNLSSRATQFDNTSLKEQISNRHRVSTTDVETKTNQQSREAVMGGIRQKQNHQLCRALELYLKEKIQTNMAEIPYQMIANLRPQTTLAWKLKVRVTRLWPAINRQGDTVGIHCIFVDELGGRIQAWINAANMNQIQNLITEGGTYAVHNFVVRQYGTMQTQRCFQNDVFIQLYNMTEVFVAEGVDYIPRHVFHFTDFSALIDIARESNFLIDVLGILQQVQPITTYRNKYNEVKNSIEFTINDMSTSAQVIFYDEMAESFNQEVHNAGQHPIIVIISSSKARLIQGEPKLTNYPATRFFINLQHEAVQDLRDAFRLAVQDLM >KZN02176 pep chromosome:ASM162521v1:3:30548518:30548730:-1 gene:DCAR_010930 transcript:KZN02176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKRKLTNEQVNMLELNFVNEHKLDSERKDRIASELGLDPRQVAVWFQNRRARSRMSTLSLKMSMTTQL >KZN02791 pep chromosome:ASM162521v1:3:37329841:37333700:-1 gene:DCAR_011547 transcript:KZN02791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYWKLRPTFYGPSLPHWMNNGVSSFNQFIHELSVDSDMGSSVDNSSGEDYSDDGATPLTPRSESSQASSELSFSKHDMCQAKLALCFRYILAWILFPAKFLAGILTYFYIANTTLGNLQHLYSESKTKMLKDHSVQHAIDRRRGVIEDVHLLTEIFIEVVFDFIHKAACYFLSPLETSEMLISWFSSSSIKHIPDDASEVLVQTATLADTDPALKERTVVPLYSLNTDGRTCREVITELGYPYEAISVVTSDGYVLLLERIPRRDSQKVVYPQHGLFDSSIGWISNGVVGSPAFAAFDQGYDVFLGNLRGLVSREHINKYISSRKYWRYSMNEYGVEDIPAMIEKIHEVKTTELKYCELKAEEEQTSGDQPYKMCAICHSLGGAAGLMYAVVRRIEEKPHRLSRLILLSPAGFHDDSILTFKILGNIFRWSAPVLEPLVPGLYIPSWFLRMLLNKFARDLHNYPAVGGLVQTLMSFVAGGDSSNWVGILSLPHYNMYDMPGVSFNVALHLAQTLHSKKFQMFDYGSAAANMEVYGSSEPLDLAEYYELIDIPVDLVAGRKDQVIRSSMIKKHYTRMKNAGVNVSFQEFEYGHLDFTKAHSDEILAYVISRLLLVAPRPGQKALRSKKRKR >KZN00905 pep chromosome:ASM162521v1:3:9764350:9766962:-1 gene:DCAR_009659 transcript:KZN00905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIINTWNRRYVKSRSDPQLKSKSKENETNDCKPEATINGKAILPCGLIAWSLFNDTYSFSRSSQKLQVNKKDISWKSDREHKFGKDVFPKNFQNRTVKGGATLNASIPLSQQEDLIVWMRTAALPTFRKLYGKIEVELKKGDVIQVTLENNYNTYSFNGKKKLVLSTTKWIGGKNDFIGIAYLTVGGLCFLLAMSFTIIYYVKPRRLGDPTYLSWNRNPGGGANN >KZN03293 pep chromosome:ASM162521v1:3:43260183:43265048:1 gene:DCAR_012049 transcript:KZN03293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDDESSATILDLTSCQLRDLSSIELPITLTELDLTCNRLKTLDPRIGDLENLKKLSFRQNLLDDSGIEPISHWNHISGLQELVLRDNQLKKLPDVSIFKSLLVFDVSFNEITSLNGLSKFASTLKELYVSKNEVPKIEEIEHFHDLQLLELGSNRLRVMENLQNLTQLRELWLGRNRIKTINLCGLKCIKKLSLQSNRLTSMTGLEGCVALEELYLSHNGISQMEGLSTLLNLRVLDVSSNKLATINDIENLSLLEDLWLNDNQISSLEGIEGAVAGSREKLTTIYLEHNPCANSQEYINTVRKMFPNIQQIDSSMFA >KZN00973 pep chromosome:ASM162521v1:3:10422401:10426705:-1 gene:DCAR_009727 transcript:KZN00973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNKPFSKVSVILFLVIFCDKVCSYDTSRVKKHGDNAHEKFYDINSLLDSWQEACQSESGGIVSVPDGTFQLDPIEFEGPCKNQVTFQLDGTLQAPTGIINGDDWIKFHNIDGLIIQGSGTLDGQGASAWQDKCPSCPPLTTSLTLSSVTNAQVTGITSLNSKGYHIKVNKGGGTTIEHVTITAPEDSPNTDGIHTSEANNINILNSDIGTGDDCISIGEGTQNINITGINCGPGHGISIGSIGKNADDGSVSGVHVMSCTMTSTENGVRIKTWTSDCSATVSDVTFHDITIDQASNPIIIDQQYCGGSHECGSLLDSWQEACQSESGGIVSVPDGTFQLDPIEFEGPCKNQVTFQLDGTLQAPTGIINGDDWIKFHNIDGLIIQGSGTLDGQGASAWQDKCPSCPPLTTSLTLSSVTNAQVTGITSLNSKGYHIKVNKGGGTTIEHVTITAPEDSPNTDGIHTSEANNINILNSDIGTGDDCISIGEGTQNINITGINCGPGHGISIGSIGKNADDGSVSGVHVMSCTMTSTENGVRIKTWTSDCSATVSDVTFHDITIDQASNPIIIDQQYCGGSHECGFYNAMSRN >KZN03178 pep chromosome:ASM162521v1:3:42079519:42080274:1 gene:DCAR_011934 transcript:KZN03178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKAREYSSTTPSCGTSEAHKYNHLLAPVKSSEAEKNSATSSSSCKYRGVRKRNWGKWVSEIRMPNSRERIWLGSFDSAEKAARAFDAALYCLRGPNANFNFPNTPPEIPGGRSLTPAEIQAAATQFANSGEPSLNNCAPGLPEAESASPSPSPSPSQSQSQSPTYGATDLNMNMNMNMDGTETSVFDQYSTMGPGWTENGVPDFGIFPGFDDLSNEFYMPSQLNNGADYQDVEEQCEYTTYQESFLWNF >KZN02722 pep chromosome:ASM162521v1:3:36486681:36487571:1 gene:DCAR_011477 transcript:KZN02722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTKKDTSPTGFIYVKNNFASFMDTGVIDRDYHRMMNFIKCSKLSYAMLFTPTIVHEVVEEIWITAEFNIEDETISFTLKNNNHIVNVDIVSTCFKSPENAVECLPSDVQESRPRNINYARFLMMLANHVNDKHIIANPNAKVESWVHEKRIFSDLLRMIPHSTVDLNYLSVLKASNEGKIFGYSFNPSSNPSSSQTITMDAGMPHSILPK >KZN03503 pep chromosome:ASM162521v1:3:45153935:45155090:-1 gene:DCAR_012259 transcript:KZN03503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTKDRNLGSTLFYREYIGKYISSTIHTTLSVISMATTTTLSHSSLQFIHTLSPPISLPSPSTIHFSLLSQTPLEFPLISVASSTKLQLPPQLHLPILLFTPFDNSPLDTQTFLVTISVLVAISLSLFLGLKSYSFGC >KZN01193 pep chromosome:ASM162521v1:3:12899459:12902851:-1 gene:DCAR_009947 transcript:KZN01193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAIFFSLAAALLLCAATILAVDNNATEIDSLLDFKLNLHDPRGALDGWDSSTPRAPCDWRGVYCFDHRVYELRLPRLQLAGRLSDQLAKLRQLRRLSLHHNAFNSSIPLSLSTLSLLRAVYLQNNELSGELPPEISNLTNLEVLDLANNQLSGRISGNLPVQLRYLDLSANRFSGHIPNNFTASHNVQLINFSINRLSGEIPASVGYLTQLQYLYLDSNKLYGTIPSAISNCSLLVHFSAGDNLLRGLVPASIGALLKLQVVSLSGNQLSGMIPVSFLCNVSVNSSSIKILQLGFNEFTGISQPLNALCLSVLEVLELHENNIDDVFPSWLTSFSTLRILDISGNLFSWDLVDGIGKLSRLEEFRVANNSLGGGIPSGIRQCRLLRVLDLEGNSFSGSIPEFLGEFKGLKILSLGRNRFSGWIPRSLGTLGELELLNLSENKLTGKLPEEVMQLSNLTTLNLSNNKFSGEVPIYSGDLKGIMVLNMSGCGFSGKVPASIGGLLNLKTLDLSKQNISGKLPVELFGLPSLQVVALEENVLSGDVPEGFSSLSSLQFLNLSSNEFTGEIPAEYGFLPSLLVLSLSNNHISGLIPVELGNCSTLEVLELCRNSLTGNIPDEISDLPHLTDLDLGENRLTGEIPENISNCLPLSSLLLNGNMISGEIPDSLSRLLNLTELDLSSNNLTGDIPADLFLIPGLKYLNLSFNYLEGEIPWRLASQFNDPSVFEKNRKLCGKPLHKECKNERRKKRKRLILLILVIGMGAAILAFCCCGYIIGLVRWRKKLRAGLTGEKKKSPARGSSGTERGRGSGENGGPKLIMFNTKITYAEALEATRHFDEENVLSRGKYGLVYKATFLDGMVLAIRRLPDTSVTEGTFRKEAEALGKVKHRNLTVLRGYYIGAPNTRLLVYDYMPNGNLSTLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHSNSMVHGDVKPQNVLFDADFEAHLCDYGLEKLALAPNAEASTSSTPVGTLGYVSPEATLTGQASKEADVYSFGIVLLEILTGRKAVMFTEDEDIVKWVKKQLQRGQISELLEPGLLELDPESSEWEEFMLGLKVGLLCTTTDPIERPSMTDVVFMLEGCRIGPDIPSPADPTSTSPL >KZN02445 pep chromosome:ASM162521v1:3:33545156:33548049:-1 gene:DCAR_011199 transcript:KZN02445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSVVVKIDKPSNFSLVEINDTKDKQKAASTKQLSWVLLLKAQRLFSWLSMAAPAMFDSVKKRVTLSDVGEEEPKYRGRLYSFIRVFLAISVVALVIEMVAYFEEWDLKMTHHWEVEGFVQWCYKAWLAFRVDYVAPAIMSLSKFCIVLFLIQSVDRFALGIWCFWIKWNKLKPEIKGEAYDVEDSLSFPMVLVQIPMCNEKEVFATSISAACQLDWPKERLLIQVLDDSDDELVQLLIQDEVSSWREKGVNIVYRHRFVRTGYKAGNLKAAMSCDYVKDYEFVAIFDADFQPYPDYLKLTVPHFNGNSDLALVQARWSFVNKEENLLTRLQNINLCFHFEVEQQVNGFFLNFFGFNGTAGVWRIRALEQSGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVEVPCELPESYEAYKKQQYRWHSGPMQLFRLCFPAILSSKISKWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPIWVICYIPISMSILNILPAPKSFPFLIPYLLFENTMSVTKFNAMISGLFQLGSSYEWIVTKKTGRSSESDLLSLAERETKTLNNEKIQRGLSESGLEMLGKLKEQEEAPVSKKKNKLYRKELALAFLLLTAAARSLLSAHGIHFYYLLFQGLAFLVVGLDLVGEQVN >KZN00057 pep chromosome:ASM162521v1:3:1519918:1526595:-1 gene:DCAR_008811 transcript:KZN00057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQQPQIQVTGGNGVAAVVAVNGGGNQLSTTSLYVGDLDHSVTDGQLYDMFAQVASVASVRVCKDITTNLSLGYGYVNYNSPQDAARAMDVLNFTPVNGKSIRVMYSNRDPTIRKSGVGNIFIKNLDKSIDNKALHETFSSFGTILSSKIATDPSGQSKGYGFVQFDSKEAAQNAIDKLDGMLMNDKQVYVGHYIRKEDRDAALSRAKFNNIYVKNLSSATTEDDLKKLFGEFGTITSAVVMRDGEGKSRCFGFVNFDNPDAAAEAVEAINGKKFDDKEWYVGKAQKKSERLMELRSQFEQIAKEQSDKFKGLNLYVKNLDDTIDDDKLKNLFSEFGTITSCKVMRDPRGISRGSGFVAFSTPEEATRALAEMNGKMTVSKPLYVALAQRKEERRARLQAQFSQMRPVAMPPAVAPRMPIYPPGAAGFGQQLFYGQGPPAMFPSQAGFGYQQQMVPGMRPGGAPMPNFYMPMVPQGQRPAGRRGAGPIQQTQQPVPLMQPQMMPRGRMYRFPPGRNVTDVTMPGVGGGVLPIPYEIGGALPREAVTYPMPITALASALANAPADQQRTMLGENLYPLVDQLEHDHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLKNVSQAATPADQLANLSLNENLAS >KZN02516 pep chromosome:ASM162521v1:3:34157784:34161358:1 gene:DCAR_011270 transcript:KZN02516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATTATSSLIKSLALSIPTSCSSLSSPFKSSLLSLPSKPIAFTHLSSSWVSLKPKISGSVLVPFVAQTSDWAQESGPIDGVESGIDVITEDVGVIEEEEVVVEDVEGEVEEDSYEEPPEEAKVFVGNLPYDCDSEQLAALFGQAGVVDIAEVIYNRQTDQSRGFGFVTMHTVEEAEKAVEMFSQYDLNGRFLTVNKAAPRGSPPERPARMFESSNRIYVGNLPWDVDSGRLEQLFSEHGKVVDARVVSDRETGRSRGFGFVTMASETELNDAIAALDGKSIDGRAIRVNVAEERPRRF >KZN03622 pep chromosome:ASM162521v1:3:46418953:46421517:1 gene:DCAR_012378 transcript:KZN03622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKLLFLLPYFIIFTLSPLFFPSTAELLFPSSPICKAIPNHPFCRTSPIHYHHRRANIYENGRLSIVNSIAATHKLMTLIDKYLQHSSSLKPGVILALQDCHSLVSLNKDFLSTSLLAVNKTRTLSLVRADDVQTLLSAILTNTETCLDGLKELASSWFRLNNDISTLVAKDIKLYSLSLDLFIKGWIPEREIRPSEPPKNSSTPKGEILYWEKFANVTVSKDGSRNFTTINDAVAAAPEKSETTSGYFVIYVLAGIYEEYISIPKNKQYVMIVGDGINQTVITGSHSVGDGWSTFNSSTLAVAGQGFVGVNITIRNTAGAAKQQAVALRNSADLSTFYSCSFEGYQDTLYVHSLRQFYRDCDIYGTVDFIFGNSAAVLQNCNIYPRLPLAKQFNAITAQGRTDPNQNTGISIQNCKIRAADDLAASDGTTHTYLGRPWKEYSRTIYMHTFMDSLIHPDGWREWSGDFALHTSYYAEFNNTGPGAGIGGRVTWPGFHIIDSSDAANFTVNNFILGDQWIPKTGTPYIFQ >KZM99941 pep chromosome:ASM162521v1:3:275674:286651:1 gene:DCAR_008696 transcript:KZM99941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDGSRTDDFFKDYIIPDYILLPGSDITEVTYVPSCPVIVFINSRSGGQLGAELLVTYRSLLNENQVIDLGDKAPDLVLHQLYLNLEKHKQNSDPISSEIQMKLRLIVAGGDGTVGWLLGVLSDLKLAHPPPVATMPLGTGNNISFSFGWGKKNPGTDPYSVKAYLVEVNNAREMKIDSWHGLMRMKVPEQEPCDPTAPLELPHSLHAFHRGSQSDNLSTDGFRTFGGGFWNYFSIGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKHALTQGWYCASLFHPSSRNIAQLAKVRVMRKSGQWEDLPIPSSMRSIVCLNLPSFSGGFNPWGTPSGRKLYSTDLTPPYVDDGLIEVVGFRNAWHGLVLLAPKGHGTRLAQVNRIRFEFYKGAAEHTYMRMDGEPWKQPLPVNDDTVVVEISHFGQVTLLATPHCRSRSMRAPPLSYTSEADHNIYNEG >KZN00168 pep chromosome:ASM162521v1:3:2663202:2677127:1 gene:DCAR_008922 transcript:KZN00168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGREVYDLEAEAFIRPSNADDVERDEEELVWAALERLPSRKRTNYAVLTRDEETETVDVRKLNRSKRELVVRNALDTSEQDNFKLLSAIKERLDRVGIEVPKVDICFQDLTISAKVQVGSRALPTLINYTRDLVERLLTALRIYKPQRHKLTILNDISGEVKPGRTSAYISQTDNHIAELTVRETLDFAARCEGASEGFAGFMKDLTRLEKERNIRPSPEIDAFMKASSVGGRKHSISTDYILKVLGLDICADTVVGSDMNRGVSGGQRKRVTTGNIRTEEKSVLVLFVTSRKDQAQYWADSSRPYVYLPVSKIAEEFLNSRYGSSLKSSLSVPYDKSKGHPSALAKTRLPVFYKQRDNCFYPAWAWSLSSWILRVPYSVVEALVWSCIVYYSVGFAPGAGRFFRYMFLLFTVHQMALGLFRSVASIARDMIIANTFASAGLLVIFLLGGFIIPKDIIKPWWIWAFWISPLSYGQRAISVNEFTAMRWMEKPVAGNTTTGLSVLHLHSLPADDNWYWLGVGVLLLYTIFFNLIVTLALSYLHPIKKSQTIIHVDVEDNNSTNGNRTEYAMDPVFIESGPKRKGMILPFQPLTMTFHNVDYFVDMPKEMRTQGIKETKLQLLSKVSGVFSPGVLTALVGASGAGKTTLMDVLAGRKTGGYIEGDIRISGHPKDQQTFARVSGYVEQSDIHSPQVTVYESILFSSGLRLPTEVNKEQQHEFVEQVMQLVELDSLRNALVGLPGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTQRTVVCTIHQPSIEIFEAFDELLLMKRGGQVIYGGKLGEKSQTMINYFQGIPGISPFPNEYNPATWMLEISTPASEERIGRDFADIYRDSDQFREVEASIQQHSVPPEGSKPLRFPSTYSRNSISQFKICLWKQNLVYFRSPAYNSVRLFFTTGLVEIPYIVMQTLIYGVITYFMINFERTAVKFFLYLVFMFLTFTYFTFYGMMAIGLTPTQHLAAVISSAFYSLWNLMSGFLVPKPGIITSQLGDVETVIDGPGFHGTVKKYLEVSLGFKPGMVAGSRSYARERRTDYDLFGKGRPGDSDFRKALEKEMADDNTLWTGSEDESDDENNQGRLEREIRKVKQQAKENADLIDGDDSDELWSVWSGDEEKTLWTGDEGDDDDDIPTEPHPNEKSDAYLDKLFEFDEKPKYRTISELLKEEEEPEELSPGKQARKIAVENALKKLKKGPDGRYTNVWEVMSDLDILVGAFENIVSGPEYAELRKGGPKRLNMQFFKDIQARMRDPNYKFSPELKLKPKSKLVRRKKWQKTQSRRRKAQKR >KZN01151 pep chromosome:ASM162521v1:3:12265075:12267598:-1 gene:DCAR_009905 transcript:KZN01151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDYPSEKLSVYLSDDGGSDITFYVLTLASTFAKHWIPYCKKYKLETPCPAAYFSNSAPPTPASEDWASIRELYEEMKNRIQSVTELGGISKELRLEHNGFTGWESFSSPRDHDTILHILIGVSSSREVKGVDGCALPNLIYLAREKRPQHPHNFKAGAINSLIRVSEQMSNGPVILTLDCDMYSNSTRSLRDALCFFMDEEKGYEIAFVQFPQSFENVTRNDIYGSSLRTDMEVDFHGMDGFGGSMYIGTGCFHRRDTLCGRVFSKSQRDRGFWRKGNDNQYFIETAYDLEERLKDLASCTFEKDTQWGTEILLSKYNPASYGFRRIHPGLIMGYYVYILWAPSSLPTLYYCIIPSLHLLIGNSLFPQISSLWIIPYAYIIFSAYAYSLAEFLWSGGTFLAWWNDQRMWLYKRTSSYFFALVDTISRLVKYSSTTFTITSKVADQDAYQRYEQDIMDFETPSPMITILVTLAMLNLFCLAGLAKQLLVDFSRTLETMILQIIQNFFLVVINLPLYEALFERKDKGKVPSSVTVKSVFLALLACACFTFLH >KZN00355 pep chromosome:ASM162521v1:3:4450021:4451697:1 gene:DCAR_009109 transcript:KZN00355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPAVIKLNTFKYNSSSVAQPPIFFHNTTNTMEIPQLQFQSASVFSNPSTQITYLKPPSETKSSVTEVAVEDAEISIFDAQKYFNDTSDPKTRPSVSPSIISTPNRDDESTISGSNVINPSRLSCGSAGYNRVRSFHATPTASSEASWNSQTGLLSHPAGGLAVSLRSVPNSNSNSKIQERLKGGSVSNGTTKWFFRRKCPCSGKKSVQVKEPAANLYTKPPQPDHPHQKLVQQSQERISVSSTHSKNLNSPTSYDQFSSKPFQTHQQQRLTGSARPVVSETTTGGFSFPILNTLSTKHNSPEEPPRDSLEVFQPVLEELPPQRKAIGARGFGFPGSPISRVVALDDDIASDASSDLFEIESFSTQTTSYPMYRRRDSLDEAPNFTTRRVSASNIYSRRSVDEPMTPSVAPTECYEPSEASIDWSVTTAEGFDRSSVTNFSISASEFDELTMTRQGWVNNGNGNAARYKKGGGGGGGGGLLMSCRQEKAVSVGPQPVRCTVGEGQHGAMAPLMSTFMHVGGRVLQNNNNNNSNKNKQSQQPPLARSHSARLSRAFAA >KZN00163 pep chromosome:ASM162521v1:3:2615075:2618670:-1 gene:DCAR_008917 transcript:KZN00163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLVFPHYQSFALDIQPLDDFWHSHKPNVLSSTVLDYDLGAEGDLFEAPKPVFEEPMVALDPMIAAISMISTAEDVDIDLMQNEQLLSEVFCEFKKDLMANEATGTSLSEVLQIQIPVAAAEDPTREDKVLPQINIPKSASSECLNSTGWIHGNSLTENYLEYPGLDFGAIYAMRRSYSEGDIKTLGNGSVSIIQSPIGQPQKWGKISSEIRQEKLSRYRTKKAKRNFGRKIKYACRKALADSQPRVRGRFAKTDESDITKK >KZN00882 pep chromosome:ASM162521v1:3:9540908:9547196:-1 gene:DCAR_009636 transcript:KZN00882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVYSCRSAAETLEWIEAIKNFIKPYSFFYEAHVVNFFKDRLWEAVDKEWMDCLRNEPVENLLLIPSGVVQDHWPASLKEFITKLRSLALPREQADLEKVLPDLDMKYLDKVITQGMNRKKQHEVEVLGSVVCSIAKTVGVNSVIDVGAGQGYLAQVLSFQYQLSVIAIDACSHHGSITNARAERIKKHYAAKLYKSRSEDKGFNVPKTITCHVLSTGTLKDLSSSLIGSDDAEKSNTSQTIFEECSHGLPDGNVTSQSDTNGSTSLILAGLHACGDLSVTMLRTFLECKEVKAVISIGCCYNLLSEDRVQTDDECGFPMSKDVRASGLLLGKSARDLACQSAERWGGLGKDAGIQNFELHAFRAAFQMVLFQYYPKILATSPAIGRQGKALRRQQNRRTLESGLHLKGTSDHSLSLSHKDCKKNFTWMNKNAETEVTLSEKLKGPDCTDGMIQKVSSKISTGCEKTIYAEKYMLFKKFSLSALDRLGVHGLKEIDLAGLWKKNEPFAELIGPYWSLRAALGPVLETILLLDRLLFLQEQGNSLKVLMLPIFDPALSPRNVALISWKI >KZN03083 pep chromosome:ASM162521v1:3:41090183:41092943:-1 gene:DCAR_011839 transcript:KZN03083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILVDGVLKARKFPFIFSFFILLLFLLVSFLFLSNSQTQIPVSFDLAAPQSSIITESASLSPEAPLISSAPEVALPLPPPSFHKTDKGVPENATTKVADLKWSKCIGPVAVDYIPCLDNYKLMKTLKGKRKIERKERHCPQPSPRCLVPLPQGYKIPVLWPESRDRVWFNNVPHPKLVEFKKDQRWVQKDGDYLVFPGGGTQFKDGVSHYVDYIEKSLPAIGWGKLRRVVLDVGCGVASFGGYMLDRGVITMSFAPKDEHEAQIQFALERGIPATLAFIGTQRLTFPDNAFDLIHCSRCRVHWHGNGGKPLLELNRILRPGGYFLWSATPVYEGDEKHKNVWDAMVALTESICWKVVAKAFYESGIGVVIYQKPVTSSCYQRRKENKPPMCDQNRWSSISWYAPLDDCISPLPEPRQGDTYRWPVPWPERLNSKPVSLSSETDAEMYYDDTKRWSELVAGVYRQEFPINWSSVRNVMDMNAGYGGFAAALIDLPLWVMNVIPVHEPDTLPVIFDRGLIGIYHDWCESLSTYPRSYDLLHASSLFENLRLRCEITDVAIEMDRILRPGGFLLVKDTMAVTNKLTPILRSLHWSITLQREQFLIATKGFWRPQ >KZN03628 pep chromosome:ASM162521v1:3:46447042:46449538:-1 gene:DCAR_012384 transcript:KZN03628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLIAGGKSKRTKRTAPRSDDIYLKLIVKLYRFLVRRTGSKFNAVILKRLFMSKTNKPPMSLSRLIRFMTGKEDKIAVIVGTITDDVRVHEIPCMKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGKAPGVPHSHSKPYVRSKGRKFEKARGKRNSRGFRV >KZN00611 pep chromosome:ASM162521v1:3:6919712:6921107:-1 gene:DCAR_009365 transcript:KZN00611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEVEDSKKPEAETPQEVAPPAPAPVTETPEEKAIVPVTPPEEEKPEESKALAVVEKKPEPVEEKAAEGGSVNRDKVLERVATEKRLSLIRAWEESEKSKAENKAQKNLSSVGSWENSRKATIEADLKKMEEKLEKKKAVYIEQMKNKIAAIHKAAEEKRAMIEAKQGEDLLLAEEKAAKYRATGTGPKKLFGCF >KZN00758 pep chromosome:ASM162521v1:3:8199638:8200342:1 gene:DCAR_009512 transcript:KZN00758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAAPTKTKNKFLKLLQKAATAPLSPKKPNRSSQKPVSNAPIHTIIPADALRSKSRNWSFGAREPTSPQVSCMGQLKHKKKLCSMINNKSYVLPPLDHLNLMVHTPSKAVEADLEGDKKKKKPLAIKNMFSRIPSRRRKSEATTGITEHRSSASSSCSLSQMRRFSSSRTSLNNFDWTAAQIAPVDNEGVKDKIGTCVPKVMLECKEVSFEPRKDVSLWKRRPMAQPAPLQL >KZN00453 pep chromosome:ASM162521v1:3:5406532:5409547:-1 gene:DCAR_009207 transcript:KZN00453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFDFGKTHVVKPKGKHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTRPVTLFGGFPSTAWCDVTELSENAKDDLEGLDASAAHVASLLSTEPANIKLGIGGFSMGAGTSLYSATCYTQGKFGNGNPYTIDLSAVVGLSGWLPCSKNLSNKVEGDEAARRAASLPILLCHGKADEVVRFRFGEMSSQQLRSCGFQNCTFKAYSALGHYTIPEEMDDLCAWLTSKLGLEG >KZN01265 pep chromosome:ASM162521v1:3:13867081:13869347:1 gene:DCAR_010019 transcript:KZN01265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRVRVQSFWKGLNRESQEFWGVNMVLIDDSNDRIHAFANSKYCDDLVKDIKEGEVYVISNFKVKDYLGDEKYRAVRNKKHIYFTAHTQFKKCTNGGLQIENYAFDLFHLEDIGKLADDNRFLIDMVGKVKNVQELIKIKKNDVEKSLFKFEISNGSSSVPVTFFDEFGQLVEKQFGSLDAKNLYVIISCAKVGRYEGTPPLSNYPATRVFVNPKHYSVAELKMSWTEKKKEPVKPSVEREEVVVEIPRKILTVKKIKNLPANHGEGNVFCEVTVKRISDPKNWFFRKCSGCDLELEHEGGKFKCSRANGCDESGSIAIIFPDHEITKIIDKTVIDLHANCADEAEEDKFPEILNTFLKKKYTINLCINQDNIQKGSTVYDAHEIFLGPEEGDNFDPAGATVLEVADCSIVNDNSTDGNGNQTPQTGNSTNMKTKARKRIEPVAFSTSDNSMPPPLKNIKVEKIGK >KZN02559 pep chromosome:ASM162521v1:3:34445354:34447390:1 gene:DCAR_011313 transcript:KZN02559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKLKLRVLLLCSIFFLAFALENNFLNCDTNSTYASGYQCNGLNAQSQCQTYAMLRTNSYFSSLFSLSSYMGINRSVLADANNFSANAEFLEKDRPLLIPLNCRCKGGIFSAEFTHTTVKGESFYGISEALEGLTTCRAIKEKNPSVNPWNLGDNHTLVIPLRCACPSNVIGQSTNLLSYPVTRGETVSSLAFKFNISEEAIIAANNRSGADFTKLQSLEPVSTLLLPLHGKPEFGSLAEPSQPALGSAGKNIPCVNQHKRKSSKMWKIGAYIAVSGAAFVAFIAAAAGLLLLYWKKKQQIMAKDGDVELQQLSLSVRTISEKKVSFEGSQDTIDGQVIEPIPHKMMIETYTLEEMKKATADFSTSNLIEDSVFHGRLNGKNLAIKCTKTENVSKIEFGLFHDAVHHHPNIIRLFGTCETEGPDSFIVSEYARNGSLKDWLHGGLAMKSQFISSCYCFLTWNQRLRICLDVATALQYMHQIMNPSYLHRNIKSRNIFIDEEFNAKVGNFGMAECAENDTSDQNQVSCSSHSSSWTRGYLAPELLHQCLPSPSTDIFAFGVVLLEILSGQPPVTRGTEKGEGTILLSDKINFILQSENADELRGWMDNVLDENYSFDQAVTLANLARACVEEDSCMRPNAGEIVEKLSRLVEELPAGESFTICESSCKPLVKASGSNM >KZN00802 pep chromosome:ASM162521v1:3:8850384:8851043:1 gene:DCAR_009556 transcript:KZN00802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSERERRIKFIADILIQAVTAIIVLGIFVLLYKLPQYYISKLRSRNKSNVEARRHFVAGAQLLAKSRSSKDLSAAKLAVDEADKSIALDPYDAASHILKSMALDLLGFKTAAIEAIDVALSPLAVKSLETEERADALLKRAELRIGVSGKERLDDSVMQDLVESVKLKKENWKAFVLLGECYEKKEMKDEAVEAYESAIRVEPECKVAVKALDRLRD >KZN00896 pep chromosome:ASM162521v1:3:9655129:9655455:1 gene:DCAR_009650 transcript:KZN00896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPQQISNQNQKSDDGSTQIPAPPVFSYAAMPVLVTQSLRPPIYVPKIRNPQTPRHAEIFNNLQQKPGGGLSTLAPKPQQNEHQESSVPMKPKPEPEPMQEVDDEDL >KZN01742 pep chromosome:ASM162521v1:3:24079979:24080538:-1 gene:DCAR_010496 transcript:KZN01742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVTNDDEECVERANQGMDSAKPYLRTLMREGRLRKGLAKRRKDEPRGSLMGDRVARKRQQGYLFLMTRGWIKGGVTRARDRYNQKAGHQAILVIGIQIATTRKWYGTKYKRMLVTCTRYEGTYRIMQGIG >KZM99956 pep chromosome:ASM162521v1:3:450365:450562:-1 gene:DCAR_008711 transcript:KZM99956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTPTSIHKLTFYAYKSMMLGKRQRPPMKRTTSMMEFTFDLSFTAAAPPPLTPQTGVRNHLYV >KZN03634 pep chromosome:ASM162521v1:3:46512193:46520498:1 gene:DCAR_012390 transcript:KZN03634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESTTPPAPSSSPALIRPINKGVVHKICAGQVILDLSSAVKELVENSLDAGATSIEISLRDYGEESFQVLALRHHTSKLAEFHDLQSLTTFGFRGEALSSLCSLGNLTIETRTKNEAVATLLTFDRTGLLIKEEKTARKIGSTVMVKKLFANLPVRSKEFHRNIRKEYGKLVSLLNAYALIAKGVRIVCSNTTGKNTKSVVLKTQGSGSLKDNIITVFGMDTFSSLEPISLCISGGCKLDGFLSKPGYGSGRYMSDRQFFFVNGRPVDMPKVGKLVNELYKGANSRQFPIAILDFTLPSRACDVNVTPDKRKIFFSEESTIFDSLREALQNIYSPGHVSFSVNRCANLSKRDDCSQFSPKKFSMKCSDSIKEADNKEQLEIGGIDHTTIKEGKSRSLVAEVIDIDDPLEAGIRNRQPMHHVGSSKIAQHAPLLSGEAKTPVVDNINSSGRASIIQSSLSNFITVNKRKHESISAAFTETPLLRGGSVIHQSKKISPQISTLLSKSMVESNENEGANVVKNIGLGPSRKCGPERVGNETDEKSSGGTGNNRVYGQELVIQEEHLPLADSVLPVTTTNNVTNESMGTLATPVLVQSSGLAANSSVSSARNTGFTLNFSFKDLKLRRDQRLSRLKDISTSKERAQSETCYDAATLISSKLGNIDEKEAALAAATNELERHFNKKDFGRMKVIGQFNLGFIIGKLDKDLFIVDQHAADEKYNYERLSLSTILNQQPLLRPMSLELSPEEEVVVTMNMDILRKNGFALEEDFNAPLGQRFKLKAVPFSKNITFGVVDVKELISILTDSQGECSMIGSYRMDTADSVCPPRVRSMLASRACRSSVMIGASLSRNEMQKILKHLTDLKSPWNCPHGRPTMRHLVDLASLHREWNPEDGDL >KZN01827 pep chromosome:ASM162521v1:3:25797029:25798468:1 gene:DCAR_010581 transcript:KZN01827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLFLTSPVVIVGIYFLLRLVSKTSLLFIAKKWWRLLEDSCHVFQFYKIPKFNDHMQENQLYTKVVTYLNSLSSVEDSNYANLFTGNKSNDITIVLDSDQVVTDTFLSARVYWTNEKSKTGSDTLVLKIRRRDKRRILRPYIQHIHTVFDEFEQRRKEVKLYINAETQPERNGRWISVPFTHPSTIETTVIDADLKNKVKSDLEAFLNCGEERVMVFTMNSKDRVDPAVLRPGRIDVHIQFPLCDFSAFKNLANSHLGLKEHKLFPQVEEIFQSGVSLSPAEIGEIMISNRSSPTRALKSVITAMQSNMAARVVNKIPRSASARVGMEESGESAGLYSESVHTVREFRKLYGLFRSKSSRKESMDVDLQDKDYQRQSS >KZN02302 pep chromosome:ASM162521v1:3:31838394:31840075:-1 gene:DCAR_011056 transcript:KZN02302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKNAMQLSTEITKLDKTNPRRNSTGIVKRSSTAKCSSQNYLRASTGSCHDFCKYGREQTFAVQARNPKPKRITTSPAKIKHEESEVVVQKSKPSASKVKPFNTKVHSLKQNSENAVKGEIFKTSKVKLKPSTVDTAHSPEPPEIIKREIILPSKQLSVSPKQNSSDTKIKIDKKMKTTPSKCLSEVKSKPLKPSPSPTKSGGFNGTRNTSSKPEKVTGTSRTTTKKLPAAPSASLSTKTSKSRAVNLYARKHSSVNLESPLKDQKGTQKVKSKPDTEKVQEKTLLAVEEDTDDKVVEPVQGDSTLQISSSPSVQSLSLSLPDSQFSASHEDFVEESKYIDKDIQQSEFTDSEDSEESEYTESEVDGFISEDDDTLNINEVNSSKGNNNQRLKIARGIRSGNKDDAMTRSKFRKGRTVDLQIVHNVPRKLKFRRGRTLEGNDDKHAARRSFEKREVGDGSSGASPSSEKVVLRHQDTQGKKDAQGLYNNVIEETASKLVESRKSKVKALVGAFETVISLQESKPSITTVT >KZN02942 pep chromosome:ASM162521v1:3:39397220:39397907:-1 gene:DCAR_011698 transcript:KZN02942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSNVNDYPPSDTTVLTSDHNKGLPIAVKYVKFQNVRSLTIFIEDNQSGSEITKVKKIGLYGTTDRKELGVGNNMVNKE >KZN01052 pep chromosome:ASM162521v1:3:11175398:11179869:-1 gene:DCAR_009806 transcript:KZN01052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRTRSSLISRMKKSKILCLHGTHSSAAILKEGLEVWPSNVLERMDLVFIDAPFRVEDEDFPAFTWFNGQDVTKMNIMLNESIAYIEETMVKLGPFDGVLGMSMGACVAAALPGMQAQGVALTKVEDLKFVMVMSGSKLECIGGEAPKLAENAFSSIIQIPSLHCFGETDFTRLNAIELLDSFLDPFVIFHSGGHEIPKLELQMKLTSEMRKSKILCLHGGRSSGVLLKEELEIWPSSVLERMDLVCIDAPFAAYDVDFRAFTWYDDQDVTKMNIMFNESVAYIEETMVKLGPFDGVLGMSMGAIIAAALPGMQAQGVALTKVQDLKCVVVISGGKFASVEIATPKLAENAFSSLIQIPSLHIFGENDFAKLGAIELLESFVDPFVILHPGGHEVPELDEKGVKVMNSFLDKVQASFAAPKVIRSLM >KZN01511 pep chromosome:ASM162521v1:3:17636186:17639666:-1 gene:DCAR_010278 transcript:KZN01511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGKYSRVDGRKSTNYCSPTVIVVFVGFCLVGVWMLMPSSVDPVQLTDLSSRYSKTDLSSQDSRAGFSSQDSKEDIRVEAEDKFSSQSENSSGGQEEVATKVVSKPIKSEDASNSDVRESENWSEKESDNNVVETQEEKAVGESSGGIPTGEGDSKVDDGTSDNEEANSEGKESNISTETNDNAQTEPENENSKNESNPNEGIQRSQTEESVEKPKKMADEKARVEISTGDQSEILKETTTESGAWSTQVAESESEKKLEKHKSLKDASDYRWKVCNVTAGPDYIPCLDNLRAIRKLPQRDHYQHRERHCPDEAPTCLVPLPEGYKQSIKWPKSREQIWYYNVPHTKLAEVKGHQNWVKVDGDHLTFPGGGTQFIHGALHYIDFIQQALPDIGWGKRSRVILDVGCGVASLGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSKVFDIVHCARCRVPWHIDGGKLLLELNRVLRPGGYFVWSATPVYRKDTENAGIWKAMSELTKSMCWDLVKISRDKLNGVAAAIYRKPVTNACYEQRSLNEPPLCNESDEPDAVWNVELQACMHKVPENVAERGNQWPQQWPQRLESAPSWLSSSAIGVYGKAAPEDFAADYEHWKRVVSASYLTGLGIDWSLVRNVMDMKAVYGGFAAALKDVKVWVMNVVPITSSDTLPIIYERGLFGMYHDWCESFSTYPRTYDLVHADHLFSDLKKRCKLVGIIAEVDRILRPKGILIVRDNVETINEIENMAKSLQWKIQFTYSKDNQSLLCVQKSFWRPTEVETISSAIA >KZN03157 pep chromosome:ASM162521v1:3:41816321:41826373:-1 gene:DCAR_011913 transcript:KZN03157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVGNKFRLGKKIGRGYSGEIYSGTNMRTNEEVAIKLINRVEFVHSKSFLHRDIKPDNFLMGLQSRANQSRAEGMTWNPLDSFLCTSSEEGFQFDYVFDWTVKKYPQMQTTAKFGTLEPTAGSSIAIQSTNPNVDPQLGDKEVRQGFSSIDLCGRSSHGQDLYRRVLSKQKSPVVSDLLKGKDMLPSSSSLGRAGGLFRQAGVSSSWEPFTGGNELDPLHSLTAYDPSDGLVPSFFTCDICVEQKPFKESFPVKGCTHYYCSDCVHKYVELKLQFNITQIPCPDSGCNGLLELEHCQSILPPEVFNRWGDALCEALILVSPKFYCPFKDCSEPLIQDADSNGIVESECPSCRRLFCAKCKVPWHSGIKCVEFQKLHKNERESGDIMLMQLAKKNKWTRCPKCKFYVERSEGCLFMKCRNFVMAIRLNLPPTSITIIHREHVKQTNRHPLKYEAMVYKHLQEKSSAQPRSLTAEDRIPNMRWFGVDGDYNFLIDRVEFIHSKSFLHRNIEPSNFLMGLHRQANQVFIIDFRLSRKYRDSSTHQHIPYRENKNLTGTAEYASMNAHLGIEQSRRDDLESLGYVLMYFLKGSLPWQGLKDGQIEKINKKKLSTSIEALCRGYPNEFSSHFHYCRSLRFDDKPDYLYLKSMYRELFKREGYRSDHDAYDWTILKYQQLQMAAPPSNTLDPTAGTSSGIRPANLHVDLQSGDKHTGSSSYGQETKKRRLSKQKSSEVNDPEKRKDATFPGSSSLGWSGGSISSDKAFTTENKLDPLHFLASFVQRLSNICGLVISFT >KZN00272 pep chromosome:ASM162521v1:3:3571360:3574558:-1 gene:DCAR_009026 transcript:KZN00272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIASVQISGGLPLKSRDCSFARSNLHGSTVPLAFQRKLNQPKTKRSLAVCAEYGDQRGGSGGDFLAGFLIGGALFGTLGYVFAPQIRRSLLNEDEYGFRRAKRPIYYDEGLEKTRETLNSKISQLNSAIDNVSTRLRGGKTKPPVPMENEADEATM >KZN02774 pep chromosome:ASM162521v1:3:37174143:37174483:-1 gene:DCAR_011529 transcript:KZN02774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGPCSHWRYAVSESLTVGGCSMTNLKSRIGNNQHIRIRPGASQLGSEIGVMRRDETLAIEDDAKTLDIERSLLEVKVRQKRR >KZN03021 pep chromosome:ASM162521v1:3:40245883:40247805:-1 gene:DCAR_011777 transcript:KZN03021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRLVQGGLLKKVLESIKDLVNDANFDCSASGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNISMGMNLGNMAKMLRCAGNDDIVTMKADDDGDVITFMFESPTQDKISDFEMKLMDIDSEHLGIPESEYEAIVRMPSAEFARICKDLSTIGDTVVISVTKEGVKFSTRGDIGTANIVCRQNTSVDKPEDATIIEMQETVSLTFALRYMNSFTKATPLANQVTISLSSELPVVVEYKIAEMGYIRYYLAPKIEEEDEAANYAQPAQNSAAAATSNNGTKKNEGNNKVDSKKRVIKSEFIDDSEDATDAQPQAKAKTKTEAGADDDVEVMDTKPKTETDDGDEVMEIKPKTESNGEVEVMDIE >KZN03551 pep chromosome:ASM162521v1:3:45686041:45687204:-1 gene:DCAR_012307 transcript:KZN03551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCITTDLVMVFGEITTKAHVDYEKVVRETCLNVGFVSNEIGLDANTCEVLVKIEQQNPQIANAVHGNLKRCPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTDVRKNGTCAWMRPDGKTQVTVEYLNENGAMVPIRIHTVLISSQHDETVNNDKIATDLIEHVIRPVIPDKYLDQNTIFHLNPSGRFVQGGPCGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDPTKVDRSGAYIIRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILKIVKETFDFRPGMIIKNLDLKRGGNGRFLKTAAHGHFGRDDPDFTWEIVKPLN >KZN01445 pep chromosome:ASM162521v1:3:16324032:16324864:1 gene:DCAR_010199 transcript:KZN01445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSNASAKTSLGLRILALLLLFSSVIVMATCSIPDFIDGLNNRFTILFTYRYVFAIGIVGCFYSLVQVIFGIYHVYTDKRSIQNGFLPIFDLYGDMLISFLLATAMGAGFAVTYEINKYSDIVLELGASTKFLNQLFVSVGLLFTGSICLAVLAVLSSIFRDSDTSGKGSVMFG >KZN00868 pep chromosome:ASM162521v1:3:9407339:9408580:1 gene:DCAR_009622 transcript:KZN00868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTPNKKLHEDVGGHPSTLKYPHETKYSHEESASYPSAGSKITSSVMSEYHSLFDMGHDARAPKIPRTESRDADRSPLLPISRISSSSHVSRSDHPVTSENKFEKRESKDSSRDIKHDNRGVRTESREGYQTAKVDKDVRFESRGDDNKESKYEREIYPDYKSEIKTDKEAYNTGNSQLNWKDSKEQYRGKRYSDIPGGNVDTWHASRTGVHGPPEVGKEGSTTEERDRSEANEAVGENKVDLKADDKFKDKDRKRKEGKHRESGDRDKERSDSRSNLQLGNISNEGKESVREEKEADKFDRERKDLPKDKDKFKEREKDHTKRDTWNGADREIPQKEMSDVSRRVLDQDISSGDHKKQKDHHGWKQTESGKGLDKEAKGLKKEKDVDMEGDQAEKSSRCYDKDXGYYSSRP >KZN00995 pep chromosome:ASM162521v1:3:10594273:10597776:-1 gene:DCAR_009749 transcript:KZN00995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGNNLFYPIVGFASCVAFLYMSFGDLWADFGDHSEQIGSFVERNGTHFMVDGRVFYVNGWNSYWLMDDSVNEYSKYRVKAMLQAGAKMGLTVCRTWAFNDGDYNALQISPGQFDEKVFRALDHVIAEAKQQGVRLLLALVNNLQAYGGKTQYVKWAWEEGLGLTSSNDSFFYDPSIRRYFKHYLKTILTRKNSLTGIEYRDDPTIFGWELINEPRCVTDASGNTLQDWIQEMSTFLKAIDRKHLLTVGLEGFYGPKSPKRLTENPEWWASDLGTDFIRNSMLPTIDFASVHIYPDHWTHVQDLEYKLKFVSTWMQSHIEDGDKELMKPIMFTEFGLSSENKGFDPAQRDRFYKIVFDIIYKSAKEKGSGAGSFAWQFFVGGMEDHNDEFGIVPWQRPSTYKLITEQSCRLARIQGVIPLQTQYLKQLCSH >KZN01957 pep chromosome:ASM162521v1:3:27394112:27394519:1 gene:DCAR_010711 transcript:KZN01957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSSSSLSGSSKRYRRKSSPTGYNATFEARRKSMKTVTLGGSPKRSWRMKSVPKLKFKLILSSPMRLWRKLKNRYMNLMLNIGSSSSANGFGEKRVPKARQSSRVSSSNTEFDNRLVFEIYKSLVTSHELATN >KZN02498 pep chromosome:ASM162521v1:3:33975352:33976025:-1 gene:DCAR_011252 transcript:KZN02498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDEEIRAPISHSTPTALTQEAWEKSGLIPADFAYSEANATTMVRYSILDVVQNLRKFPSPQAIEFGLCLIANIASYKNLRDRVAGKEKFIEYVQKTLDSWHVGSITQAVR >KZN02709 pep chromosome:ASM162521v1:3:36324073:36324360:-1 gene:DCAR_011464 transcript:KZN02709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAYVVQISENQLKASAGKYIYRLASVVQHFGRAGGGHYTVYRRVTSNLENGDEGHQWFGISDSKVYIVSEEEVLAANASLLFYEKNSEAPTEL >KZN01541 pep chromosome:ASM162521v1:3:19659632:19663180:-1 gene:DCAR_010295 transcript:KZN01541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFFGRGKGASGFSYSSTAEDVTHAVDASALTAIVTGASSGIGTETARVLALRGAHVVMGVRNMAAAKEVKESIVKEIPSAKIDALELDLSSMASVKKFASDYNRSGRPLNILINNAGIMAIPFKLSTDNIELQFATNHIGHFLLTNLLLDNMKKTARKSKIEGRIVNVSSEAHRYSYREGIRFDNLNDQKGYSSYGAYGQSKLANVLHANELTRRFKEDGVNITANSLHPGIIATNLFRYQNSVAAGFIGSIGKFLMKNVQQGAATTCYVALHPQLKGVSGEYFKNSNLGKATAQATDTNLAKKLWDFSISLTK >KZN01754 pep chromosome:ASM162521v1:3:24575109:24583215:1 gene:DCAR_010508 transcript:KZN01754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTINQTKEEVAIKLENIKTKHPQLLYESKLYRILQGGTGIPNLRWFGVEGNYNILVIDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRIEFLHSKSFLHRDIKPDNFLMGLQRRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASINTHLGIEQSRRDDLESLGYLLMYFLRGSLPWQGLKAGNKKKKYERISEKKVSTSIEALCGGYPAEFSSYFHYCRSLRFDDKPDYAYLKGIFRDLFIREGFQFDYVFDWTILKYQQAEISVPPSRGLGRTAGPSSAIPPATPYINRQTGRILQQDFDTTVPVKQVGLSSTDVSDRICAQDKPIDSLTKQKAPVENHSIPNRDAILPGTTFSERLTRQSGIFTGEAFPGGNELDNPYSCITEASPGKLHKISGEQRGSFNPRHASSGKGHSNLMNYETTLRGIDSLHFGHLLPMIDIAKLLAQRHVIVTIVTTPRNSVRFGAVINRAIDSGLPIRLLEVQFPSVESGLPEGCESFDELPSYSLTINFFSAKSMLQEPVEKLLGEVRPPPSCILSDKHVFWTAKTAEKFLIPWIIFDGMSCFTQLCTEMLSTSKVHEKVSNSEPFLVPGLPDPIEFTKPQLPGLFNPGSASDSVNVIRKQIRETEVGAYGVVINSFEELEKNYVDEFKKLKRDKVWCVGPLSLCNKDNLDKAQRGNNVINDHHKCLNWLDLQKPASVIYVCLGSLSSLAVTRGQLIELALGLEASEHPFVWALRAGTKQEEIEKWIVEDGFEERLLVQVVETGVSVGSKMVVQLGEEEKTGVHVKREDVERAIKCIMNGGEEGEVRRRKAREYAEKAAKAVEEGGSSYYNITLLIEDIMKQTK >KZN02561 pep chromosome:ASM162521v1:3:34462900:34465507:1 gene:DCAR_011315 transcript:KZN02561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSSHKRKHSDIKLQKCISSQSDQIVFSPVKTNGDVQIGGLGLKSQADPSINFPDLEFTPSRGSTPLHSFSTAPQVNKALFEDSALGGAIKNQPYPPEKKISLSDLFNQSFRAKQDADFEQNTSGSSSDTNYSSSMPSSEQTANGDFKVEPEKSFISSQCCLPSLGRRVTLLKETR >KZN00266 pep chromosome:ASM162521v1:3:3534626:3535399:-1 gene:DCAR_009020 transcript:KZN00266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPVSGEVEVNVPASKAWKLYNSLDLIKITKKGLDHIVDKIEAEGDGSVGTTLHFTFHPGAFPFPSYKEKFTKIDDEKMEKVVEVVEGGFLEMGFKWYLVRMNVIAKDEKSCITRNTIEYELNEDADPKLASVVSIDPLMAMMNIAANHLVSGVEA >KZN01940 pep chromosome:ASM162521v1:3:27232877:27233335:-1 gene:DCAR_010694 transcript:KZN01940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYLCVLAFLHIISGLNEDEGFMWGVLTGCIQALQMGFERTYIELDHEDVFQNIRYQEHIVLPPELDEVFRRFNSLHAIHYNRGVTDRKVTSIPLVMNRTAEYLARYGMEHMSVFAEVPDSFADLQSFLDRDMGVGLPFQVFEAFGLGDVID >KZN03923 pep chromosome:ASM162521v1:3:49929409:49934179:-1 gene:DCAR_012679 transcript:KZN03923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVYIQHRMFLVLLKVQLKFRWLIHLCVHGLLFIRIVIEARHKENGVAKVVERWGDPDSSKCVLAASIDDREFDPLLAVARKCGSVELISPVNGDLRFSLSNGSRVDGGSEEDPVSGLHLFKKKTLQSSSRSCTLLSCSKKGHVVLRSVELPSGDATVSGTPLTWTVCGSGEVLCSKVHESEDYALFGGKRIEVNVWDLEKRTRIWTAKSPPKNSLGIFDPTCFTSATFLNKDDHRKLVMGTKSHQVRLYDISAQRRPVISFDFLEAPITAVTEDLNGRTVYVGNGSGDLASYDIRTGKLLGRFSGKCSGSIKSVARHPELPVIASCGLDRYLRFWDTESRQLLSAVFLKQHLTSVVLDSQFKDEEVVGSDAPVIPDASVELDETLDEDKEDTPPPVKRKKKSKEGSGSKKKKTKKVTE >KZN03034 pep chromosome:ASM162521v1:3:40368520:40377308:-1 gene:DCAR_011790 transcript:KZN03034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIVSQLITYPLQTVNTRQQTERKLKGAKNEPGTIEQMCQVVKQEGWGRLYAGLKPSLVGTATSQGVYYYFYQIFRDKAEATALERKRKGLGDGSVGIFSSLVVAALSGCVNVLLTNPIWVVVTRMQTHKKKSLRQHTKSIASDEEVLPVVISPRFGSSHAIQELYAEAGIWGFWKGVLPTLIMVSNPSIQFMLYEILLKKLRRQRALLSKDGAKGATAMEIFLLGAAAKLGATIVTYPLNVVKSRLQAKQVTDGDKKHQYKGTLDAVTKIIHYEGFSGFYRGMGTKVVQSVLAAAVLFMIKEELVNCAKWLLMKNAKSLRSKSS >KZN01764 pep chromosome:ASM162521v1:3:24774138:24774425:-1 gene:DCAR_010518 transcript:KZN01764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKWNEPSVLPLRLSFPNTGSCIHNHPSRLMTFRDDQGIKRFFRLKDHARNADIDILRAMQNKLNSKITEEAKFIEDLEREINKKLSRQKKRKQ >KZN02956 pep chromosome:ASM162521v1:3:39516128:39518297:-1 gene:DCAR_011712 transcript:KZN02956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIGVMEVTLLNARGLKCTELFSGGIDPYVVLQYRTQERKSSVARGQGTKPVWDEKFNFRVEYPGANDQYKLILNVMDKDTFSADDHLGQATIYLKDLLALGVENGSAQLHPQKYSVVDSNQNYSGEIQVGITFTPKVQEGAGGEEYGGWRQSEI >KZN03883 pep chromosome:ASM162521v1:3:49622809:49623623:-1 gene:DCAR_012639 transcript:KZN03883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDQEAFLTAMQIVNSSTVHGVLSALFELSVFDIVAQKAGYNGYLHPDEIAASLPTKNPEASEMLDRMLRLLASHDIVKCKLVKDSGNALLTRAYGLTPISRYFVQGVAGPCLVPYHQFIHHREMQNCWYKLKDSILDGGIPFNLSHDGANVFEYLEKDKHLASLLSEAMDKSIATSMDILLKMYKGFEGVKQVVDVGGAHGATLSCIVSLNPHVKGINFDLPHVVKDAPKLPGTFYACVRRPTSE >KZN03790 pep chromosome:ASM162521v1:3:48171537:48174788:1 gene:DCAR_012546 transcript:KZN03790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNALLCKRARLHEELRVIEKQAEEHAAARDAGGLMANGQGKPKRGRGPRDGRRSKQSSEPDFDYDDDPDVIL >KZM99972 pep chromosome:ASM162521v1:3:664237:688344:1 gene:DCAR_008727 transcript:KZM99972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEQGSCSTSQDARDEDDEDEYEEAEGGNRLLGFMFGNVDGAGDLDIDYLDELSVRSVQTSADAIEQGKCSLRGTILNYDEKAEDAVDYEDIEEQYEGPEVQAITEEDYLLPKKDYISSQASAPVKGTTSLFDDENYDEEESEKETEADENNAEVQTTNLSGDSDNHAVLSQVEDLRGDIVNGASETEEPTPSLEDFLKEEDDILEELVDNQNMTPLPILYTEDGAVILRFSEIFGIHKSSKKSEKRECRYSVPKDKYMSMGTADVLEDDDETFFKGLCQGFTWKCRTHVNDDILPIKDNESDLETLQYVQHPGIVASVVDEDRRDTCLSDEPMKKDIAIDPFLEKITPLSPELYLLEQQDWEDRIIWDNSPELSDRFAETLEISGHDSGASFVENLESNIEEQHNHQELRMETDETSNAIFQRSYPVSVEPFGSRKISDLVSSARQFHPQLLRLESRLENGLDNGKDSSTTEEVGHRDAIRSYNKVSLLNKDLLEGSWLDNVIWEPHQSMTKPKLILDLQDEQMLFEILNDKDGKHLKRHAGAMIITRSVKFNGDLVETNGHGTLLGESFNIANDKFYSNRKSSQQLKSHSKKRTAHGVKVLHSIPGLKLQTMKAKLSNKDIANFHRPKALWYPHDNEVVLKEQGKLPTQGSMKIILKSLGGKGSKLHVDAEETIASVKAKASKKLDFKPSEAVKIFYCGVELDDDKSLALQNVRPNSLLHLVRTKIHMLPRAQKVPGENKSLRPPGAFKRKADLSVKDGHVFLMEYCEERPLLIGNVGMGARLCTYYQKSSTGDQTGTSLRSGSSGLGNLLTLDPSDKSPFLGDIRASCSQSCIETNMYRAPIFQHKVSSTDFLLVRSPKGKLSIRRIDRIDVVGQQEPHIEVMSPSSKGVQMYTMNRLLVYLYREFRAAEKRGLRPSIRVDELSAQFPNMNEAFLRKRLKHCADFQKQSNGLFWVMRRNFRIPLEEQLRRMVTPEDVCSYESMQAGLYRLKRLGITRLTSPTGLSSAMNQLPDEAIALAAASHIERELQITPWNLSSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRTAPKAPISNAVVKKKAAVSRVSSTVTGTDADLRRLSMEAAREVQGLELQLQGLECQIKSLEFQVLLKFNIPEEQIAKLTRWHRIAMIRKLSSEQAAAGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQVESLAAVDGEENESDVEANSDLDSFAGDLENLLDAEEFEEGEERNYESKQNNADGVKGLKMRRHPSQAQAEEEFEDEAAEAAELCRMLMDDEEAERKKKKKIRMVGQQLRQAQGSHGFDSVERVKKTNAGFKQHTPAIQPRITPKDSFNMDMKQDERFPDRKNFSTKLKAKKKNEIEHLGFLKKVKILGEGIKTLKEKKSARDSFVCGACGQLGHMRTNKNCPKYGEDPETQPETRDTEKASGKLNSLDKTAVSQQRPLLKKNTPKSMAKILLAETPEEDKSSSKAKILKVKCSSTDKLLDKATPATSQISDMPLTSDTDTASRPTVKVNKIIFANKTRPEDTQVEQHKPSIVIKPPVETDREQPRKKLIIKRPKEHIDNDQISQEESTDLDSRKTKKIIELSSFEDYREQDSSVHFAEASRRRNRDNNRMWEEEQKMRDAERKREERIRRFQEEQAIKLEEQERVANIRRYEEVIRIEREEEELQKANKKKQKSKRTDLRDDYMDDFPPRRIDRRIPGRERTAKRQSVFESARYGAEHAPPTKRRRGGGGEVGLANILENIVEILKEKIEISYLFLKPVLRKEAPDYHRIVKRPMDLSTIKEKVRNLEYKSRRDFRHDMWQITYNAHLYNDRRNPGIPPLADQLLELCDYLLAENDASLTDAEAGIESG >KZN03474 pep chromosome:ASM162521v1:3:44923114:44924826:1 gene:DCAR_012230 transcript:KZN03474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLAVVPRIKLGSQGLEVSAQGLGCMGMTNAYGSAKPESEMIQLINHAVTTGVTFLDTSDLYGPHTNEILIGKALKEFGLRGKVELATKFGATVKDGNIDIRGDPGYVRAACEASLARLGVECIDLYYIHRIDIRVPIEVTMGELKKLVEEGKIKYIGLSEASANTVRRAHAVHPITALQMEWSLWCRDLEDDIVPCCRELGIGIVPYSPIARGFFASGPELIQNLAEDDWRKLSRMASRKGCTPSQLALAWVQNQGNDVSPIPGTTKIENFNQNVAALSVKLTKEEMAELESFAPADVVKGDRNANMKYTWLNSETPPLSSWKSE >KZN00639 pep chromosome:ASM162521v1:3:7130156:7130788:-1 gene:DCAR_009393 transcript:KZN00639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGKVSCSNRKVKKKQVKDELDRIRQAEKKKRRLEKALATSAAIRSELEKKLLKKKEEQKRLDEEGAAIAEAVALHVLLGEDSDDSCKIMLKKNEEFKPLDSAGKFDFFGGGNRRGLPHEELRIRSVEGADRFSNVCRSGCTLNGYNNNGWTVPTEPFIRDDNMTYIDKQGWEVKDISAGVIAAQAVSSLKITEDSRADNFLFNQMLRG >KZN03878 pep chromosome:ASM162521v1:3:49557008:49559859:-1 gene:DCAR_012634 transcript:KZN03878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQSLIYSFVARGTVILSEYTEFTGNFTSIASQCLQKLPSTNNKFTYNCDGHTFNYLVDNGFTYCVVAVESAGRQLPIAYLERIKEDFTKRYGGGKAATAVANSLNKEFGPKQKEQMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTGGQQLRRKMWLQNMKIKLIVLGIIIALILIIVLSVCGGFNCSN >KZN03104 pep chromosome:ASM162521v1:3:41310713:41311348:-1 gene:DCAR_011860 transcript:KZN03104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQTPLPISNPDSQTPLTTPALRAFVTRLSSSVRRAFTQRRPWYELIDRSSLSRPDSITDAASRVRKNFSYFRVNYLTVVAVILAFSLLSHPFSLIVLVSLLGAWLFLYLFRPADQPVVVLGRTFSDRETLGILIVSTIVVVFLTSVGSVIITAVLVGLAIVCAHGAFRVPEDLFLDDQEQVNSGFLSFLGGAASSAAAAAAPAVVTRV >KZN01939 pep chromosome:ASM162521v1:3:27216520:27216825:-1 gene:DCAR_010693 transcript:KZN01939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPQTPIPLPERVETMIEQICKEQKQPHPDADARKILASIGEEKSLHMLTIISQTQIKKTFSGFIKYLLKNYRPKPLSHAMSPKSTLSTPHKRTPSSVSG >KZN03411 pep chromosome:ASM162521v1:3:44364173:44376332:1 gene:DCAR_012167 transcript:KZN03411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTELPFRNTNPLISRTFLFSPAKTPSYSYIIIKPFSSLRSNPPKAPQKPHFSAKTPSNSAAPWLNKWPSSKPNSQLASKSVKEPEKRNLDTKEPENGRTSAIERIVLRLRNLGLGSDDEEDEEEGEGENVVGVSGDERLGELLKRDWVRPDTILDEDGEDESVLPWEREEAEVVEEGVGVKKRAVKAPTLAELTIEDEELRRLRRVGMTIRERVSVAKAGVTGAVLEKIHDQWRKSELVRLKFHESLARDMRSAHEIVERRTGGLVIWRSGSVMMVYRGSNYAGPSSRPQSTQREGDTLFVSDVSSSRSPTTNGADNVSIPANTKPLVGYVGSMSEEETAFNNLLDGLGPRFEDWWGTGILPVDADLLPQKIPGYKTPFRLLPTGMRPRLTNAEMTNLRKLAKSLPCHFALGRNRNHQGLAAAIIELWERSVVVKIAVKRGIQNTNNLLMAEEIKTLTGGILLLRNKYYIVIYRGKDFVPRSVATALAERQEATKEIQDNEERVRRGIVEAASISGSADDEEVAESKDDVKDNVQMEAKETTSIDKPGPPLAGTLAEFYEAQAQWGREMSAEEQEKIIKEVSRSKTARSVKRLEHKLFIAQAKNSKAAKELAKIRESWLPVGPPEDQETITDEERVMFRKVGLRMKPYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKDPAFVEETARLLEFESGGILIDIVRVPKGYAIIYYRGKNYRRPISLRPRNLPTKARALKRWMALQRYEALSEHIVELEKAMEQLKSESGDPDDEEGNETGESDDEQSQINNVLNSNLVPINEENEENLSPELRYSRPFPAVKSAKRVVLVRHGQSTWNAEGRIQGSSNFSVLTQKGEAQAETSRQMLVDDSFDICFASPLNRSKRTAEIIWGTRKEEILTDLDLREIDLYSFQGLLKHEGKEKFGEAYQEWQKDPANFCIDGNYPVRQLWERARNCWDKILLHDSRSVLVVAHNAVNQALIATAMGLGTEYFRVLLQSNCGVSVLDFTPRLDDLPPYVCLNRLNQTPGSPVAGGDSGGRKASKQIILVCHASSQSKFKASVPLVEDSPMDMLGIIQSQKTAELLLDLRVKTVVSSRNLASIATANTIAQVQEAAECLGANCVPRLVEKKQMQNLGVDNILQLSQKGVNDAPHSPGWLKILDDEVITALWDQSKNAWESLLDELSNQPENEEIIVAVADSSANVALLCHCLNVTKEWIGSFHLDAGSISVIDFPDGPAGRGVIRCINYTAHLGRWSIPITTSTR >KZN03715 pep chromosome:ASM162521v1:3:47265246:47275185:-1 gene:DCAR_012471 transcript:KZN03715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNYQLALEERSSWLQTENIWSSRQHQKSLWSPTTRESPNSELNSKPQLRQIYHKDWAAWRNEMQTISLDSPKKSCGTGFFLPRSAETDFQITNKSVFKSTSVLCRPRCAKTTQFSLKRTSSELSRKDSGLPKGLHCLKMNRTLSLCINDQLRGDYLRVKAASADSLNHELLVPNTDDSSVDDGENLMISYPKPPRYKNRYLNFVRMGTLFNNAAESFFKSEIRRRLFVTALLLVMSRVGYFIPLPGFDRKLMPEDYLRFVSGSVDELGDFAPELKLSFFQLGISPQIVASIVMQVLCHLVPSLVKLRKEGLDGQEKIKSYMCVLLFISSSESSHSFMEWWISLCFGTVEGLILACYSLPYSIYAETSSVGNKTNPGRGQGSSLIICVGILSGYKETLHKLLTQVSGSTLSWWPYALAVLVIFTVVTMYAVVVTEGCRKIKLQYYGFKLASSSRQGSPITEVEPYIPFNINPSGMQPILTTTYLLAVPSILAGLLGSPVWEYIKQMLNPETSTGAGPWVYYTIYSFFVFVFNIFDIANMPKEISDYLNKMGARIPKIKPGKATIEYLTKVQASTRFWGGLLLATLATTSSILDHYLRSINLGFSISFTSVLIIIPLSKVTPSSLPDTRRLRKDETQS >KZN03882 pep chromosome:ASM162521v1:3:49617476:49621502:1 gene:DCAR_012638 transcript:KZN03882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRSAANHQGQLLQGNSGNMSGSLQQMRPQMTNDMKTEVNLGNQKSLPSQASSIYGQAILQSKSGMPETAGLTSPANQLDDLEHFGDVGDVDSFLSNDGEDGRTLYGTIKQNLTEHKTESSKESTPEEHTNLISDVRFRPNSTQLLTTSFDNSVRLWDAANPSYCLHAYTGHPSQVMSLDFHPKKNDMFCFCDGNNEIRYWNINPFTCTRVSKQGGSSQVRFQPVSGRLLAAASDKVVSLFDVETDMQTHSFQGHSSVINYLCWDLNGDYLASVCEESVKVWSLTSGECIHDFSSNGNQFHSCAFHPSYSALLVIGGMTSLELWNMAENKRMTVPAHEHMIAALAQSPVTGMVASGSHDSSVKLWK >KZN01403 pep chromosome:ASM162521v1:3:15671714:15673188:1 gene:DCAR_010157 transcript:KZN01403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFNKAGSILRQAACSKNIGRDISASGSSIFQTIRCMSTKLFIGGLSYGTDEYSLREAFEKYGAVTEAKIISDRESGRSRGFGFVTYSTPEEANSAIQAFDNQNTNVGVTSTDFASGSATSGIDTDASSGVGGSAGGYNPGFPEDFKNDNDEPDDFASRKA >KZN02911 pep chromosome:ASM162521v1:3:38920666:38924597:1 gene:DCAR_011667 transcript:KZN02911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGSAEEAKIPLLDYASTKEIIQGGRRDEDEIKIQDKVWIESKKLWRVAGPAVFSRIASFSMFVITQAFAGHLGDLELAAISISTNVILGFDVGLMLGMASALETLCGQAFGAKDYRMLGIYLQRSFIVLFIVSLLLLPVFFLASPLLKLLGQPADVAELCGTVSMCLIPLHFSFVFQFPLQRFLQSQLKNIVIAWVSLGTLILHVLLTWLIIYKFQLGIIGTALSMNIPWVIIAIALFIYISCGGCPQTWNGFSIEAFSGLWEFLKLSASSGVMLCLENWYYRILIVMTGNLENAKIAVDALSICMSINGWELMIPLAFFAATGVRVSNELGAGNGKGAKFATIVAVATSTMIGLMFWLLIMIFHNELALIFTSSEEVLAAVNKLSILLAFTILLNSVQPILSGVAVGSGWQSYVAYINLGCYYLIGLPLGIAMGWIFDQGVMGIWAGMIFGGTFIQTLILAFITIRCDWEKEAEKAVVHVKQRSGR >KZN02244 pep chromosome:ASM162521v1:3:31254135:31254287:1 gene:DCAR_010998 transcript:KZN02244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIRQIGLEQARIKRQGNKCRKAQDASFNECVRLAWWLVSPQKQGYSPTQ >KZN03768 pep chromosome:ASM162521v1:3:47928867:47930408:1 gene:DCAR_012524 transcript:KZN03768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADLDFSTSLLYGLITFTTLYLIKNFTSIYKGLFPSKASKLPRSFPIFGSYFTILKNLHHFNNWSSEIVNSQPSNTVVFHRVLGQRQVVTSNPANVQHMLKTKFSVYQKGSFGAKILYDFLGHGIFNVDGESWKFQRQLASHEFNTKSLRKFVGTVVDTELTDRLVPILNQAVENQEVLEFQDILQRFAFDNICSIAFGYDPEYLLPSLPEAKFAVAFEKATLLTSKRFRKILPLVWKIQKVFNFGSEKELKECVEVVRDFAREVMREKKEELEQKSELQTVDLLSRFLASGHSDEVFVTDMVISFILAGRDTTSAALTWFFYLIARHPHVESQILAEINEKKFEKNSDTSVYDEVKDMIYTHAALCESMRLYPPVPTDGKQATEDDVLPDGTVVHKGDRVVYHPYAMGRSEKLWGKDWAVFRPERWLEKDGVTGKWSFVGRDQYTYPVFQAGPRVCLGKEMAFLQMKRVVAGVLPEFRVVPMIEKGKEPVFVSYLTAKMQGGFPVKIQQRT >KZN02289 pep chromosome:ASM162521v1:3:31686235:31686555:1 gene:DCAR_011043 transcript:KZN02289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKTSTDITTPTRRRTPPPCKNKPNGRRRSNNSNSRPLAALNEDSCDIVTRKLDALRSLIPAQSGDEADADQLFEETAHHILVLRTQVAILHKLVELYGGAAESA >KZN00805 pep chromosome:ASM162521v1:3:8864305:8867052:-1 gene:DCAR_009559 transcript:KZN00805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVEYVLSMINEEGDSFVMKADMFFRRRPELVNIIEDCLHGYRALARRYDKLSMVMQNANRTIASAFPEKMELTMDDDFDDFQQVTTSSHEPERPPKSVPPPPAISPKRSRNFSRNRSLKGPTKMMPKKGMLKLGSMNDAAAEAATKASCLSKSEAVVEIDRLQKKILALQTEKEFLKSSYENGLKKWSDIENEVTSMQAKVNSLQDEFSIEKVIEDDEARMLMAATALNSCQEKITTLQEEHEKSTQEAKGELKELDRVRDKFETMIEQLSTQDSEDNSVRENNKSEDSDQNRSIEEEANNLEIVNVSLKEQLQVDGDSSLTVTVLAEKIDELVDTVLNLESVVSSQGALVKRLRSESDKLQEKVQSLEVNKENSTDCSDYKTRIRDLENELKILQSFKESINNADKNFQNKPEQGNEKNRDYHNVPNDGSSGIHNSVESHEPQREVTGVVGMPSPHKNHVDPRAEEKVHEDGDSKVLEIEPNLQSKQGSIHLDDHDELKTKHNENKHDERSTMVDDSDAPEYGIVEGHQPNWRRILLNDLEDREKLLLEEYTSLLRNFKQVKQKLNDSEKKHRANLFKSTLQMKVLKSANASKDAEIQSLQKKLNLLESEHDVSNTDKSTDGDSHPASDTSSLKQREACDLGQVESSESTRPSTVAAETDDSRKYDNGELELESAISSIEEKIRMDLDELLEENIEFWIKYSTSFHQVQKFQTTFVDLRAELSELKESKMKEGSSLQHSDVRPIYMHLREIQTELTLWLEQNVVLEDDLQNRRSSIISIQEEISRSSNADSEDADETELTGHQAAKFTGEVMNMKQQNNKVADELLDGIESVKRLKGEIEKAVAKLDQEFRFSAAKNQQTNQSRSRVPLRSFLFGAKARKQKGSLLARIAPKQQAQQSDACEVQPTKPTNS >KZN02891 pep chromosome:ASM162521v1:3:38698850:38699254:-1 gene:DCAR_011647 transcript:KZN02891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPREARVMRTIEEAEQDVGIKIDAGGCQRMVHRQVRTTSSASATSRALAGSMTSVVPYHVYATLGREYDFLRGQNAEIRRLMDTLLQERRIPVEDAETRSRIGAIEHIARQRLAEFPSTSEWDVEARRVARLI >KZN03900 pep chromosome:ASM162521v1:3:49731333:49732725:1 gene:DCAR_012656 transcript:KZN03900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHFLEIFGFSLLVFASCVKGVPEDDVSFDQNYFSLWGLNHITRVDNDKGVQLLLDQYSGGGGFRSISDYGSGRFGIRMKLPEVNTSGIIISFYLTSAPDSYNPGSHDEIDFEFVAGGLQTNIFAGFMLIKFQLRVFKNYKDKGLNYISNPMHTEASVWLADWAGTVDWNQGPFITSYRRFGIDGCASQNTSMNQECLSPDLPWNSQKDLSPREQMMHQRFRETNVVYDYCLDKERQQNHPECQFPRK >KZN02741 pep chromosome:ASM162521v1:3:36804568:36806194:1 gene:DCAR_011496 transcript:KZN02741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTLLWDTTNFIDIMGMVKDVGSLETTSKGSKKLDVLLVDDKSKIYQEPWHSYLIMGAKGNSVYERLGSSSRRRGVCYHHRPPSKTIVSSRSLPPPPKKRFVSTEDNIIADTSIQTILDAQLPVDKKITVHVKDDTAKTTFTLFNKEAQRPIAVPIQTIIAEIGQVKVTIFNLDGRERYTVARLFEVTDQPPSTSDPPES >KZN00206 pep chromosome:ASM162521v1:3:3023335:3031458:-1 gene:DCAR_008960 transcript:KZN00206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYSHPLYSCRKCRTPVALAEDLLSKSFVAKSGKAFMFSQAMNTVLGKKYDKPLITGNFTIADLYCKTCGEELGWKYIKCHEKTQSYKVGRFIIEKAKIVKENIKFTFTPKPSPAQKIDTPRCTSRRPXXXALTGAGAGAGNTPYDVSASSLTTSPEIEELVKSLDNDRLYREVTLALRTGLKDARAEFSFLRLRALRTLLNFLRSVASSDSTIALFSQSQSIPELQVVPVLFQHSLKDWDDQKVANLDHIFDVEPLKIAGPSSDAEVALALRVLEGCCLLHRQSSVLAHEHKAIHVLMNILSTRGALERGVCLDALVSLMLDSSSNQMDFDECNGIEEVALLIRDQQVDENLRLKCGEFLLLLIGHVNGRDLSIHEDIKRLLGEKSASLIWAASQFGSTLDPEQRLTALQIQARRVLESVDLY >KZN00813 pep chromosome:ASM162521v1:3:8928262:8928577:-1 gene:DCAR_009567 transcript:KZN00813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTEHDDDEPGPESPPFDSTHKDECADGIINDDRDMMFTSSDTGNADGILLYWLLLCSAASALRSCVLYAHVSG >KZN01548 pep chromosome:ASM162521v1:3:19977325:19980475:1 gene:DCAR_010302 transcript:KZN01548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKLAVAVVGGLLGCIYVVSKRPPSKVCGSPGGPHITSPRVRLADGRHLAYKEVGVPKETAKELIEELQIYILSFDRAGYGESDPYPKRSVKSEAYDIQQLADNLQIGPKFYVIGISMGAYPVWSCLKYIPHRLSGASLVVPFVHYWWPCFPASLSKEALSLLLVQD >KZN01300 pep chromosome:ASM162521v1:3:14211002:14211277:-1 gene:DCAR_010054 transcript:KZN01300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFYHSSLHNSNINSNKLQNIHYPNTKTGHHQIHYPQPILKARKAVKLNKNTKKRQSTLLLAPSKGNSLSIQLQAKPVDISLQWNIRNKNM >KZN00958 pep chromosome:ASM162521v1:3:10307224:10308455:-1 gene:DCAR_009712 transcript:KZN00958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKNGLKKGPWTQEEDAKLVQYIQANGPGNWRNLPQNADLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHRVMGNKWSAIAAHLPGRTDNEIKNYWNTHIRKRLLRMGVDPVTHSPRIDFHDLSNILGSAQLNLSNLLGLQTAVSPELLGLANLLSSSQYQNPDLSFSLQKLQQLDNIIKNGDQVQSLYSNQYQNLTQVVSPSIVNQALLMEGNIGQYLSPTNSGFENLQGNQTSLTALQNMGYSACMADENLTESPDSQLISNDQSRHFDSGFSTPKSNSTSTPLNSSSTFVNSISNNENAGDRFCSNELTFEIPESFNFDEFIM >KZN03055 pep chromosome:ASM162521v1:3:40663869:40672077:1 gene:DCAR_011811 transcript:KZN03055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKQTFKVCFCFRRRFRLAAAEAPSEIRSLFDRYSENGVMTVQLLQRFLVEEQREANASLEDAQAVFDNLHELKILNIFHRKGLTLEAFFKYLFHDINPPMKPNCGIHQDMNAPISHYFIFTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDIWPNSTGDDVNVLHGRTLTTPVKLLKCLESIKEHAFTASEYPVVITLEDHLTPDLQAKVADMVTQTFGDALFTPDSDYLKEFPSPESLKKRFIISTKPPKEYLQAKKVDGDEKNGSQKEKDVTDEGAWGKELSSHRYGTNQDKDDLDEFDSPDEEEDDDDEKACQKTAPEYRRLIAIHAGKGKGGLDDWLKVDPDKVRRLSLSEPELEKAVITHGKAIVRFTQRNILRVYPKGIRFDSSNYNPLIAWTHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYVKKPDFLLKAGPHNEVFDPEIALPIKKTLKVTVYMGEGWYYDFKHTHFDAYSPPDFYARIGIAGVRADTVMKKTKTLEDNWIPNWNEKFEFPLTVPELALLRIEVHEYDMSEKDDFGGQSCLPVSELRSGIRAVPLYSRKGDKYNSVKVLMRFEFV >KZN01543 pep chromosome:ASM162521v1:3:19714246:19714965:-1 gene:DCAR_010297 transcript:KZN01543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVASPSSENNHKVAVPKTSTKNLYSLTLRHYIILAVIVLLSFSRMVRPQEFAFVVFTIIYMYFLSMVAFPVIPNVSYPPILTSKQLKFMGFYIIVTGIIGLVVPIAYVTEGFFFGHKESIKPAVPHLFLLLCQVFMEGVGFSDKFSLPIRVYVPVVYNSVRISTLIEWLRDEFSMEYGGGDFASSSSAIRIYAGRSIVVANMVLWCFNLFGFLLPVWLPKIFKLYYSSPAALHKVKT >KZN02356 pep chromosome:ASM162521v1:3:32393681:32396942:1 gene:DCAR_011110 transcript:KZN02356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKELKHNESNVQDAQPHDVQDAQPHVEKLATLVGRLISIKKIFQTHDSTIQSEADVLDAQHEEAVSLKRELFKDSQIYKLLGVKEGSGCSAALIQLFCDFFDQERGIFKIKEGIEISFCAHRVADSLSINHTGKPIREFEMQGKDKMMRLPPFVDSLKQLYVSKNEKKEKKDRNDKEKHKNRIKRELSCHSLIEILRRMPVETDEQKDQYKQLVRLFVVDQIFLPSSENAYIRSGNYKYCVDASTFESINWAQAILDRIYEAVKKNTRTFSACSTVFQALIYDKIPKLVLEDMKLKSALVPADKYPVIRRKKEIWKLKLDELNSEDINKCGLCSQLDHGLDHGHHTPLFQKACEGVMLAHVIGQARQVLEGSLEGDYLSEINDFGLVEDLKTQYLGIDDRDDWRLIEALSMKDACRYTEPEALLINLSSTPKTSNIVTVRPQIAEPSAEQPVNVLGPEQRGEMSVHQNLDKPKEPVESVMELEETAKQLKNTLGTEQPEGPVDKNEVETEGTAGKLKNTMGPEQPEGTKDEPMNTKEPPETVKHKHSVFAHYLRRSSRIQKQGTLLDESNKQDDAERGRRKREQNEILIEQKRKRSKSVDDSSYFKKTLILDINGILADIVPENVVTSSRTSKQYKILKNKVVFKRPHVDDFLKFCFERFNVGVWSSRLKYASTLPLRNLDPVIDYVFGNNYKSKLAFQWDQEHCTNTGLKVAGNNHKPLFLKEIKKLWEDPELRKVIGDLNETNTLLIDDSPYKAQKNPPNTSIFPHTYKYNSSDDHLLGKFVLPDILLIE >KZN03236 pep chromosome:ASM162521v1:3:42778819:42779186:-1 gene:DCAR_011992 transcript:KZN03236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHCLGKALWPELVGQKGNVAAAKIEKENPNVHAIVLPKGSPVTRDFRCDRVWVFINKHGLVVDPPQIT >KZN02109 pep chromosome:ASM162521v1:3:29682249:29682792:1 gene:DCAR_010863 transcript:KZN02109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSATLCDNVASVEEDDNLCANPTNNLGLKIEKDEILEVGSPRLGPNLSGESRIERAWAHWKKLGEPKLIVAPMVDNSELPFRLLCRKYGATAAYTPMLHSRIFTENEKYRLQEFTTCKQMLMLTLFGENGME >KZN03550 pep chromosome:ASM162521v1:3:45678612:45683107:-1 gene:DCAR_012306 transcript:KZN03550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSQLLLTYLYLLVYVTLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGAVAFFLIRVLKVVSPVKMTFEIYATCVIPISAFFASSLWFGNTAYLFISVAFIQMLKALMPVATFLMAVTCGTDTLRWDVFLNMLLVSVGVVVSSYGEIHFNVVGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYLLEKPEMEVSQIQFNFWIFFSNAFAALALNFSIFLVIGRTGAVTIRVAGVLKDWLLIALSTVIFPESTITRLNIIGYAIALCGVVMYNYLKVKDVSAAQLPLDSIAERAAKGLMEKKSSDPYVSDNGSNSSSLARSGSGPDTSADEEAPLISSRILHLGRSSHSSQKSSP >KZN01295 pep chromosome:ASM162521v1:3:14163567:14164374:-1 gene:DCAR_010049 transcript:KZN01295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPIRLGLARNFHVFYYEIMNSPERACQLAKQAFDEAIVELDTLSEESYKDSTLIMQLLRDNLILWTSDLPEDGGGALGGIC >KZN02798 pep chromosome:ASM162521v1:3:37395855:37397635:-1 gene:DCAR_011554 transcript:KZN02798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRGPRGFSSRPSAAVPRVYVKYTPSSEWKQEKESDILLIFLPDFLKQDIRVRTETGNILRVTGERALGGNKFSRFQQDFEIPNNCIIKAIRAKFEGGILTITFPRVPVTTATEKPKPSQPAPKLPPSQKQDKNEPTESAIPRTTALPAGADVPFAPVSKEPTPRTTEAAIPRKTALPAPGLKEPTPHATEGVLPRTPALPSPGIKELERSRFQTPSPPKPRNASNIDADSPKEPRKLIESSRPPLVVDDKTPFLPTLGTGKGTTREEVLNGKDGLKNEKMEKKKEDEMYEDGFTKRAGMEKVEDVQTQGATERYENVDEATNKIVMKSGEVDLKEAMKNVGRRMNEEKGLMVNMGAAVMVIVGLGAYVYHSLSSGKLAKR >KZM99921 pep chromosome:ASM162521v1:3:78131:94262:1 gene:DCAR_008676 transcript:KZM99921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVAMLHSNIQDCILLEEYRAIDCVCSPTGDIYVALLILITEATKLDDTDELYSEEDYERLDKELNIPWFSSMSRGCTSLYRKELARERKQKWVFKGTQTSRFHRLVDMCANKMGSDNTIQVFGKLGRETGVKEFNALMRLCIDKARKTEDDEIVLRQIFKAYKILENMKERGFPVKEVTYGPLLVYLIDMGLDEEFHFFCDLIRDENSDSLSRLSYYEMLLWVGVNNEDKIKELLDNIATKDGEDRSRLQENYLLALSESDRKDEVLLLLNSIDITNVSSMNHITNIFRTLGRHSLDSIAKSFLQALKVHGVEAENISNYIYNYTVSMPNLVIGDIVLNINNMHTELEVAPSSAPYEKLIRQCCDSHKYDGAYGMIRNLEKINLIPTAGMYNAILSGHFREKNFSGALSVLKEMESAQVLPDSQTFSYLISNCSREEDITKYYEELKISGVQVTKHIFMALINAYASCGQLEKAKQVVLDKGVPVKNLNEIKSVLVSALAINGKVDDALGIYEELKQAKCNLEPKAVLSLIEHLQNEGDLNRLIELLEELQETDYWVNGCFRVVSYCVRHKFSNTAVDLLKKLRDEFQDDEMASEVLFDEVFCQVAEAEPVDLQIGLALLQAIKKELGLCPSRKSLDFLLTACAKAKDLQSCYSVWQEYETAGLPYNVLSFLRMYQALLALGDCKSARKMLRQIPTDDPHVCCVIDASQTAYGRRAPSSKVPTAKNKAEETVTKKTRKKSKKMKKKKDKQKLLLKEKDTGD >KZN03556 pep chromosome:ASM162521v1:3:45760827:45761222:-1 gene:DCAR_012312 transcript:KZN03556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHKRSRKEDEGMEEWAYEQTKGELSIAAERASRKMKFVAEMRLLQYREERKGRHWVPTKDETDTEVMYGVGRALREYHFKKDRDTNTSFLRGQITEEQRVALLEESWAEYDAKVIDIVKSNFDEYAKHL >KZN03734 pep chromosome:ASM162521v1:3:47524358:47525692:-1 gene:DCAR_012490 transcript:KZN03734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQREEPIKYGDVFEVSDELGSLPIKPKDATAMQAAENLALGGTPKGGPASVMQSAADINQQHGVVGHDDVTNVARNEGVTVSVGHRDGHRIITELVGGEVVGQYEKPESGKKAPGGEAITIGEALESAALSAGFKSVDQSDAAAIQAAECRASGGVIKPGGVAAAAQSAADLNARTMNLEHKARLGDILSDATAKLEHDKIVTKEDAEGVAGAELRNNPAMVTHPGGVSDSLAAAAKLNRDT >KZN03740 pep chromosome:ASM162521v1:3:47549334:47549630:-1 gene:DCAR_012496 transcript:KZN03740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRTRVGTASTLAGDTDVINYVAATPQPWQYCVAPMPNAFSQLQIEPSSQASRIFTVEAPANVEVWRDGYDKIGSFLEHCFYCKRKFKADGAVFMYR >KZN02375 pep chromosome:ASM162521v1:3:32778939:32779440:-1 gene:DCAR_011129 transcript:KZN02375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSNPREESVNRLNPRGNGDNENFVNTRATIVTYLECRKNVAQGAGGHVVDGCQEFIASPGEEGAPSTLICGACGCNRSFHRKLVTVLSE >KZN01175 pep chromosome:ASM162521v1:3:12621489:12621773:1 gene:DCAR_009929 transcript:KZN01175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIVFLKDRGPVVQNRVNMQFIFLGEGLHKIPITRHPLQRWPMKLAQFYCSFGENSVMPWNEVILFISTIAWCHIITTVMLFWRNSPKLQADS >KZM99990 pep chromosome:ASM162521v1:3:902593:905116:-1 gene:DCAR_008745 transcript:KZM99990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRGKVFQLFSRHLHTRSVDGPSNILKKKISLIEKQRKKKNPKKNQLFVEVPESKSYLDTATLPMILTAVGGALFAKLLMMHDDSKSQEMIERKIKNAPAGQGTVRMLSREEWEDIKDVRPRTPFESKLARPKARLRTGEPIRMDDFKDWTIDVLTDAFTRVEENVRYR >KZN03442 pep chromosome:ASM162521v1:3:44685615:44686181:-1 gene:DCAR_012198 transcript:KZN03442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFSIVISLSALLTLFIACSLEADALSCSQSGQIKGKKAPPGKCNRENDSDCCVQGKLYPTYTCSPRVTDNTKATLTLNSFQKGGDGGGPSECDHHYHDDDTPVVALSTGWYNGGSRCLNKITISANGRSVEAMVVDECDSTMGCDGEHDYQPPCPNNIVDASKAVWKALGVPKDNWGELDITWSDA >KZN02074 pep chromosome:ASM162521v1:3:29229711:29231266:-1 gene:DCAR_010828 transcript:KZN02074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAEEEAVVTVVIREYEPEKDRKKVEEVERICEVGPSGKLSLFTDQLGDPVCRIRNSPTSLMLVAEIAVGNGNSKSEREIVGMIRGCIKTVTCGKKLSRNGRMDPPLPIPVPVLTKVAYILGLRVSPSHRRMKVGFKLVCKMEEWFRQNGAEYSYMATENDNVASIKLFVDKCSYTKFRTPSILVQPVFAHRVKLSSRFTIIKLSPFEAESLYRRQFSTTEFFPRDIDSILNNKLNLGTYMAIPGHEKWVGSDNFLAEPPESWAVMSVWNLKDVFKLEVRGASVLRKAFAKTTRLVDRALPFLRLPSVPAVFRPFGLHFMYGLGGEGPMAVKLTKALCGFAHNLAKEHGCGVVATEVSGREPLREGIPHWKRLSCDEDLWCIKRLGEDYSDGSVGDWTKSKPGLSIFVDPREI >KZN03748 pep chromosome:ASM162521v1:3:47628299:47630764:1 gene:DCAR_012504 transcript:KZN03748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEVSVSCMMAYDEGGAPAVFQSLECPQWDFPAKILQNQTDNCQFATIQGYRDYQEDRVTCNLDFKIPVLGKNGPKEIVVGVLAIFDGHGGKEASEMASKYLLDYFLLHVIFCTYKQSILLQNKHESVSQGRNNTENDILTLTSSQEVLPLTDDLPIYKILKEALLGAIRDIDMKFSLEALDNNYVSGSTATVVLLLDGTFLVANIGDSKALLCSFEGRVPVNLRALSCPYYHPVKLWANELTNDHHPSRDDEKARIEAAGGFVRVWGVPRVNGVLAVSRSIGDIYLKRYGVIATPEVRDWDSLKTRDMYLVVASDGIFETLTSQDVCELLEETEASDNLSLSSSSLSLADRIVYSALRKGSMDNLSAIVKPLWKSHKAEEYAVDL >KZN00929 pep chromosome:ASM162521v1:3:9956970:9966292:-1 gene:DCAR_009683 transcript:KZN00929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTESTHLQLAALLGPDFTHFDALLSHLMSADNDRRSQAESLFNLAKQTHPDSLSLRLAHVLSASSSVEFRALSAVLLRKILTQTDDDDSFLFYNLTSETQNNIKASLIVCLSRECEKSVIKKVSDTVSELASVILADDKWPELLPFVFQCVSSENSSLREVGFWIFGQLAQFVGETLGNYFEMLHSVFLRSLGSGVDLSVRNAALGASVSLIQCLEKESERDRFRDLVPLMMGTLNDGLGLGEEANAQEALEMLIELAGMEPRFFRRQIVDVVGSMLKIAGAGSLEEGTRHLAVEFVITLAEARERAPGMMRKLPQFIRGLFEILMQMLLDIEDDSAWHSAVTEHEDAGETTNYSVGQECLDRLAMALGGNTIVPVASEVFPVYLAAPEWQKHHAALIALAQIAEGCSKIMTKNLEPVVSMVLNSFHDPHPRVRWAAVNAIGQLSTDLGPDLQTKYHHLVLPALGAAMDDFQNPRVQAHSASAVLNFSESCTPEILAPYLDGIVGKLLVLLQHGKQMVQEGALTALASIADISQEHFQKYYDAVMPYLKAILMNATDKANRMLRARSMECISLIGMAVGKDKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMNVVMPPLLHSAQLKPDVTITSADSDAEIEESDDESIETITLGDKRIGIKTSVLEEKATACNMICCYVDELKEGFFPWIDQVAPTLVPLLKFYFHEDVRKAAVSAMPDLLRSAKLAVEKGQSQGRDESYVKQLLDYIIPALVEALHKEPETEICASILDSLNECAKICGLLLDENQVKLIVEEIKTVIAASSARKGARAERSKAEDFDEEERELLKEENEQEEDLFNEVGDLLGTLIKLFKVSFLPFFEDLSPHLNPMLGKDKTSEERRVAICIFDDIAEECREAAFKYYNHYLPFLLEACNDKSPDVRQAAVYGVGVCAEFGGSAFSNYVGEALSRLDVVIGHPNKLDSDNIMAYDNAVSALGKICRFQRDKLNAAQIVPSWLSCLPLRGDLIEAKLVHDQLCSMVERSDMELLGPNNQYVPKIVMVFAEGFGKGRGIKEAFKTMVGGHVYAVLCSGGDLASEQTYSRMITLLKQLNQTLPPDALASTWSSLQPQQQLTLQSILSS >KZN02882 pep chromosome:ASM162521v1:3:38519119:38520383:-1 gene:DCAR_011638 transcript:KZN02882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNEESASSNHRCISPINYPTLPDLQILNWVKQIMIKKVEATKLLTVLLEPCSSKNGDAGMLEENPIFDIVEVFKSNESSVEAWLLEHISGSRSIFMHQRKERGYKIKLKMSRDELLLLFVNYIKRRVRDVSLHF >KZN01941 pep chromosome:ASM162521v1:3:27236816:27245736:-1 gene:DCAR_010695 transcript:KZN01941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSTERVALRAQVLSRHLHPSSSSSSSPCLLEPRACLEYSPAELSESIDFDAKAMRKLMDDHNLEDRDWLYGLIIQSNLFNPRDRGGKVFVGPDYNQSKEQQRVMTMKRIEYLAECGVFKGYLTDFSPPSQLRRFALQEVSDSFDHSLSIKIGVHFFLWGGALQFFGTKRHHDKWLSDTENYRVKGCFAMTELGHGSNVRGIETITTYDSDTGEFIVNTPCESAQKYWIGGAANHATHTVVFSQLKINGTNQGVHAFIVPIRDADGNVCPNIRIADCGHKIGLNGVDNGRIWFDNVRIPRENLLNSVADVSADGQYLSAIKDPDQRFAAFMAPLTSGRVILASSATYSAKIGLSIAIRYALTRRAFSLTSNGPEVLLLDYPTHQRRLLPLLAKTYAMSFAANHLKKLYVKRTPESIKTIHVVSSAFKPTLTWHNMRTLQECREAVGGQGLKTENRVGHLKSEYDVQSTFEGDNNVLMQQVSKALLGEYVSAKKKNRPFNGLGLEHMNKPCPLIPSQLTSSILRSIQFQMNIFQLRERDLLNRFAAEVAQHQAQGTYSLAEDLGKAFADLSIMQIFIEAEEAVPAGHLKNVLGLVRSLYAIILLEEDAAFLRYGYLSTDNAAAVRKEVAKLCVELRPHALALVSSFGIPDAFLSPLAFNWIEANAWPSVEN >KZN03747 pep chromosome:ASM162521v1:3:47624878:47625686:-1 gene:DCAR_012503 transcript:KZN03747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNICSLKFGTGKVILEAVILTAVVVVSLTLYTFWAAKRGYDFNFLGPFLFGAIIVLMVFSFIQIFFPLGRISEMIYGCLASVIFCGYIVYDTDNLIKRYTYDDYIWASVSLYLDIINLFLSLLTVFRAADN >KZN00731 pep chromosome:ASM162521v1:3:7974875:7978994:1 gene:DCAR_009485 transcript:KZN00731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDAKKPLKKEKVEDDDDEELLGTLLKKKKKPASNAASPKGTQPKKQQQSKVKKEETSDDDDDFKQPIVKKALSKVKEETSAKKSSAKIDVKKKKNVKEENKSVGKSSEQNGTKKVKKVYDMPGQKRDPPEERDPLRIFYETLYKQLPNSEMAAFWMMEYGLLPKEVAKKVYEKKLNRTQQQKLGSPMKKVITVKKRDGSVTIIKKKVISSSTPKKQTPEAKGSSKPSKKRKIDEDSDTGSDDDFVLSHKKSKKPKKC >KZM99986 pep chromosome:ASM162521v1:3:872910:880756:-1 gene:DCAR_008741 transcript:KZM99986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPNGFPACLDGKCACVQSTTQVAATPLPTVLTPKSVESDKEVLNSKGVVVDVVRLAELEDPFGPEIRRRTEGMLTDAELLGFLEGLGGRWGSRRRKRKVVDASLFGDYLPKGWSLSISLKRKEKHVWLFCRRYISPSGRQFISCKEISSYLLSISGLQDGKQLDSSHGECKVDSQNPAGLAVLGDNTKDDSQSRNHSISEVAGATEPTLEHVQDNVGSSSVGELPNEDHYADKDINPEIFDTNGNKKLDSGIDNSKLEGSLRVEISSDKIGYDQQHEAFGNPDMQAGTIVLDRVETSDQQVKDCPSSSYKVDNVADAEKRSLGSNSCLGSEATLAINSNHGIPESSDRSAVLCVPDVTNTCSVEQENGLQICAPPRIDGQTSVHLPSDVLGDSAAADESVRKGVAGNPFYTENMSSASTANDLKLDSGKFATVESIFGSDDNHDEANKHCVTAVKPDFIVEGTAVFQSGNEHFGGIDNVKYGSLNFDLSRSEQKICSDSSVLAPSGDEEICIDNNVKNISTFSATPDNMVLNCESNERSSYACPRTDVVDVLQDESKYGNFSIPSLSRRNQAAVEVEPRQGVTFNSLLSLSGQEKASSAENLVDLLPTRHSDTAEFNEVETSRNAGVILSSGRTYNGVGASMSSNKERSLELSSLLSSGKGATFGSEDNVSGVDNRSVEECRQFSGNGLLVGSCFAQHPSNVYTVDQIYNRPVNELKFDNGLNSGNNDLALDFGDPHAGLFADTTDLENEKYATNCSAIPTRIEQNIGAQINVNRVNDNFVEEQGISSFSDLFSLSCNGKLWGTEPNFNQAYNRRWEVPDVNEVGTSKNKKYMVDFSAANAQPAENVMPGGGIWRAGEDAFQSSMADLSNPPAQSTTPFCTFDILSDKAEDGLYRLGDKYNRGSCFEELGSGRTEPVEFSFLTAPNSTSFQGVPDKNPYGTGMEQPYGSSYWIGKHDLVQNIPSRSQVTTLCVWCRNEFHHEPVHPGTQAAIGSMCPTCRSRISEQVNAL >KZN01681 pep chromosome:ASM162521v1:3:23020984:23022298:-1 gene:DCAR_010435 transcript:KZN01681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNKLPLILFLASLLLRTTLGEIICEELSKEVCAFSVSSSGKRCLLENSETKEGLDYQCTTSDVIVQRMAEHIETDACVNTCGLDRTMVGMSSDSLLEPQFTAKLCSDYCYHNCPNIIDLYFNLAAGEGVFLPDLCAEQKVNPHRAMQVLMNYVHIRAVGSNYDTEEDAPSPSSQSNGTPAPAPAPAPAPSVESAENEDGAPAPSSESAESEDDAPAPSSESEDDAPAPSSESAESEDDAPAPSSESEDDAPAPSSEDDALAPAPSSETAENEDDSPSPWSQSAKTDDYVPSLLSGEYRDVAYAPPPSSESAEGEEGAPSPSPSASTSMDEVEEDAAAPIYH >KZN01682 pep chromosome:ASM162521v1:3:23023201:23025116:1 gene:DCAR_010436 transcript:KZN01682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGTAAPLKLRCISSSHSLSSLTPFQQCNSQAKSLLSGSPTIKRKPSTGSAVRCMAVETTVTNTAPPKKKSSYQIETLTGWLLKQEIAGEIDAELTIVLSSISMACKQIASLVQRASISNLTGVQGAVNIQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYHPNDECLVNIDEDSTLDSETQKCIVSVCQPGTNLLAAGYCMYSSSVIFVLSIGTGVYSFTLDPMYGEFVLTQEKIQIPKSGKIYSFNEGNYQMWDEKLKKYMDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDAKSKNGKLRLLYECAPMSYLVEQAGGKGSDGHSRVLDIVPTEIHQRVPLYIGSTEEVEKLEKYLA >KZN03369 pep chromosome:ASM162521v1:3:44077186:44079219:1 gene:DCAR_012125 transcript:KZN03369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEHISSKVQRWSLAGKTALVTGGTRGIGCAIVEELAEFGAEIYTCSRNQTELNEKLQEWRGKGYKVSGSVCDLSSRQQRQELMESVSSTFDGKLNILINNAAALTMKRANDHEAEDISNTMATNFESPYHLCQLAHPLLKASGNGCIVFISSIAGVVALPALSVYAASKAAINQLTKNLACEWANDKIRVNTVAPYGVRTTMQPQEELDKSVTDTLVSLMSRIPLHMIAEPNEISSVATFLCLPAASYVTGQVIVVDGGYTAGGFKLG >KZN00177 pep chromosome:ASM162521v1:3:2759983:2761839:-1 gene:DCAR_008931 transcript:KZN00177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFCVDKVDLKDLDVQLEKHLNRVTCEETDVAVKLLDWGEDGNANSAETAAVMSSYLVKDQLHLTYEIGVGLESMTINSMRWDGTVNPRVEQNCLLPMAVASRDGVTRLEQDQAAVDLHKKASAAAAAAAALGRFKDEIVHVHTKIVDIKNGDEKPVTISVDDVIRANIDVADLGN >KZN01497 pep chromosome:ASM162521v1:3:17385279:17398742:-1 gene:DCAR_010238 transcript:KZN01497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSSNLSDSQAEKDESSSSNPYLSIYGPEAKADVVFKIPEADSSLNFQDMQGLVNWVLAEGFMPSWIFIKNKPLIPKVVMLYVPGLDAGLYLDNAESVNKPIQRLDQGTSNSGVNNLTFGDLPKKLLFPLSYYTLTEKELEDNGYCISQPDFVSTLSSPSGVPKHKMLALDCEMCITKEGFELTRVTMVDIKGQVLLDKLVKPSNPIMDYNTRYSGITHEMLDPVTITLKDIQEDFVQLVHKETILVGHSLENDLLALKISHHLVIDTAVLYKHSRGGTSTYKISLRVLTRKFLAREIQNSNCGHDSVEDARATMELALLKIRHGPDFGAPPSFMRKKLLTVLGECGKTSSVIDNISVVKRYASESSNAIPISSDDEALTKAKKEVNNEKVHFVWAQFSELYTILKKQAEDDDKLKEKLANMISLLTCKKIPTRKKCITYKITSEIKDVLHRLNARVKTLCSSLPANTMLIICTGHGDTAVVQRLRRMLTQQVEIPASREHLVKVLEELQAQAEVGLCFVGVKH >KZN01855 pep chromosome:ASM162521v1:3:26143684:26144913:-1 gene:DCAR_010609 transcript:KZN01855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINCGENPEHSVKRQRKNPYRGIRRRPWGKWAAEIRDPKKGARVWLGTFNTAEEAARAYDKKALKIRGAKAKLNFPNKVNEALKIRGAKAKVNFLNKVGDSHVQLNTKKPSGSNSPSEFSADYTSLNSYEACKKFCESPYFDEMVVGPASIEPENVVEDDMLNLWSFDFDIVN >KZN02524 pep chromosome:ASM162521v1:3:34227366:34229337:1 gene:DCAR_011278 transcript:KZN02524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCSLHFSPPPIFNLLLLSLSCISIITSTDSQVLPVPLKGLACSIVNCGEGTCRASKETILGIECDCKPGWRHIPLASFAFPSCVLPNCTLDLHCGSRAPPPPPPAPLLPAINASSPCNLVWCGNGECIVNGDSHYCKCNQGSSNYLDDSSFACLEPCYFGEDCKNLQLGPLPQLPPLHLPPSLPSVQLPPPLQLPPALPLPTPSSSTNSASPKAVRPEPEAETEESNGASSYMKNPLALIAVLIALYF >KZN01726 pep chromosome:ASM162521v1:3:23910624:23911766:1 gene:DCAR_010480 transcript:KZN01726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSNLSNVIEEKATHLKAIEREAYGLFKTVHANNYKEGNTDRKISCIPPQMNSTAEYMANYDKENLTAFSEIEDDFGDMRFILYRDMGMVLPAPIRATEIFLGAGEVIDGPPPSPPAKISLKCKRNPFGMDKSAFLPFFKSVNLRWNPNNPQSNPYVKGKAKMYPNLSFNKNGAPCDEAIKILDEGKLSGVLPFCSKQLVDLRQIWRSEMHLWGMECMGVTFCTLPLMAHLSSS >KZN02430 pep chromosome:ASM162521v1:3:33450588:33452950:1 gene:DCAR_011184 transcript:KZN02430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDLIAKDAVTGFSKDIGKKKRNNRLAKLKQSKLDVRREQWLSQVKNKELKADSNGRGISPTLFSLVSAEGNKLSENSELGTKEVDIEVSSIHEIDLDSLMSSPVGSSNLPDSSCSCASSRCCSVSVSENEEEDSCLDDWETIADALIATDTGHDQKIVSPPQSVKNNGSTDPKSVNQNSHVPLKEKESKKMVPGVRGKPQAWRLGDVSRPQSLPNLVKQNYQKMRSSRRATATWARQNVEPQPSSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKKIMEGDARCPGCRKQYEHVEGDLGFRAEVDLNKASYGNLRTWIF >KZN02136 pep chromosome:ASM162521v1:3:30069862:30072089:1 gene:DCAR_010890 transcript:KZN02136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNTTTKGKGKYFKVDINEASVLDIFSIYFSKKIVRGTRERNIISFHPNVNKKILERPGILSASVLVQLCFKLIEQLFSGVGSRFEDLINIMFNDNIFTQLLINKLQGKLPVLDKESESYVSAIGCLDKRVDLDINPDNSKYFPLLSALASKVVYENKNFVKAAVEDDHGSNTTQAMIFHDKHANPDRIIVAFRGTEPFDANAWCTDFDISWLSFPEFGKIHYGFMKALGLQEGDSWPQHIDNDDNPHCQSMIEKLSSLCCGKDEQPLPEIVQAKEGQNLYAYYTIRKVLRKMLNKNTKTKFIVTGHSLGGALAVLFPAVLAFHNEDSILEKLEAVYTFGQPRVGNSSFGRYMKKKFKEFGVTYNRYVYNNDIVPRVPLDNSVLMFRHFGNCFLYDSHYFYKEITGHLHEHYFMRLLFTYMKMSWDAFRKMAEGLRRPKRESLPLLVIRFAAIWFPWSAFVDHNPQDYINSVRFGRVRPGEEN >KZN02288 pep chromosome:ASM162521v1:3:31668023:31672236:1 gene:DCAR_011042 transcript:KZN02288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDIEEDEMSRQVQVRFVTKLKAPLKAPNTTIAIPSNLTRMGLSTLVNTLLQSAASGEEAAEWKHEAFDFLIQGELVRMSLGQFLLAKGITAEKVLEIEYIKAVIPRKEEEPSVHDDWVSAVDGSNPGFILTGCYDALGRVWKGAGICTHILEGHNDAITSVSIIKSKGARSSNDTLVATASKDKSIRLWKFDAEDTLDRPMTIRSFRTLRGHNASVQTIAGQASGDMMCSGSWDCTINLWQTKASEAGGDLVSVKKRKTGGENEESQSEGEAVSSFVGHTQCVSSVVWPEYGTIYSASWDHSIRRWDVETGKDTSNMFCGKVINSIDVGGEGSALIAAGGSDPVLRIWDPRKPGTLAPVFQFSSHSSWISTCKWHDKSWFHLLSASYDGKLMLWDLRTAWPLAVIDSHEDKVLCADWWKGDCVVSGGVDSKLRVSSEISVQ >KZN00822 pep chromosome:ASM162521v1:3:8992671:8994879:1 gene:DCAR_009576 transcript:KZN00822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMEVQTHASPPRETQPLSPSLKRTLLIINCIVLSIGNCGGPLIMRLYFIHGGKRIWLSSFLETAGWPFILIILLVAFYYQRITTGNSSPKLFNMKPRLFFASAVIGILTGLDDYLYAYGVSKLPVSTSAIVIASQLGFTAGFAYLLVKQKFTSFSINAVVLLTIGSGVLALHTSSDRPEGESKREYILGFVLTLGAAALYGFILPLIELTYLKAKQVIDYMLVMEIQMVMCLFATLFCTVGMLINNDFQAIAKEAKHFELGETKYFVVLVCSGMIWQCFFLGAIGVIFCASSLLSGIIIAVLLPVTEVLAVIFYQEKFQAEKGVALVLSLWGFVSYFYGEIKESQKMEKKRRAAELMELPLNQIVAS >KZN01109 pep chromosome:ASM162521v1:3:11785423:11790129:-1 gene:DCAR_009863 transcript:KZN01109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELCVWSLLLLLLAGSFSNGVGNNVNLSRPAVVNIGAVFTFDSTIGKASYYAIKQAVDDVNSNSSVLHGTKLHVEMRNSNCSGFHGIIGAVQFMEVNTVAVIGPQSSEVANAMTIVANELQVPLLSFGATDPTLASLQFPFFVRTTQSDLYQMTAVADIVEHYNWKQVIAIFIDDDYGRNGVAAMNDALAARRCKISYKAGIPPGPGISRGDIMDILVKLAVMDSRVIVLHVYPALGFTVFSVAQHLGMMDGGYVWIATDWLSSVLDSVQLSPEKMDSMQGVLVLRQHTPDSDRKRTFISRWNNLTDGSVGPNSYALHAYDSVWLVAHAIDSFLNQGHIISFSTNSKLRSGGGNLHLEAMSIFDGGKHLLRNILQSDLMGLTGRIKFNTDRSLFHPAYDVINIIGTGFRQIGYWSNYSGLSTLPPETFYSNLANFSIATQQLHSVVWPGNTVVKPRGWVFPNYGKLLRIGVPNRVGYREFVSKSLRTNTFKGFCIDVFTAAVNLLPYAVPYEFISYGDGKENPSYTGLINEVANGFFDGAVGDIAIARNRTKIVDFTQPFAASGLVVVAPFKKLNTGAWAFLRPFSPPLWGVIAAFFLVVGLVVWTLEHRINDEFRGTPKTQLITVIWFSLSTLFYSHRESTVSSLGRLVLILWLFVVLIINSSYTASLTSILTVQQMYSPIHGIETLKKIEEPIGYQVGSFAYHYLVEEIGIPESLLKPLGTPEIYSSQLELGPKNGGVAAVVDERPYVDLFLSSHCEFRVIGQEFTKSGWGFAFPRDSPLAVDLSTAILTLSENGDLQRIYDKWLSRSTCSSENAEIDSDRLHLQSFWGLFLVCGMTCFVALLIYFLQIMRKFCNSNPADPESESQRDSHSNRIQKLLSIIDEKEDPMKRRSKRRKTEGPEDEYASSELRNNDEPEMQRLDHTF >KZN03190 pep chromosome:ASM162521v1:3:42246510:42248184:-1 gene:DCAR_011946 transcript:KZN03190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITWQSLIPKCFIPNKVIPKQTSVSRISISDFGYSLVLPEELSDSLAGSNLYVFTLAELKVITNGFSSSNFLGQGGFGPVHKGFIDDRFRPGLKAQTVAVKDYTAKLSDFGLARQGPEGDATHVTTRVMGTHGYAAPDYMCTETAALKTAALAYQCLDQRPKSRPTMSTVIQTLEPLA >KZN03422 pep chromosome:ASM162521v1:3:44425657:44426017:1 gene:DCAR_012178 transcript:KZN03422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEAPFTPREKLFEKQKFYQSIHKHTYLKGPMDRVTSVAIPAALAATSLFMIARGIYNMSHGIGKKE >KZN00300 pep chromosome:ASM162521v1:3:3997851:4002934:-1 gene:DCAR_009054 transcript:KZN00300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPNASSWLPWIWALETLAYNHADSSLLIDLMKLIPDNVVDNGGNVAKESAFLRILEGFPGSRKGECCGDSLKLDSNAGGFGSLKLLRKECSGRNHELSESEMLRLDVDTGLPKCTLRKLKDMILKDSHLLPSYLKERSGLLKCSDGVTKRLPEGSNCDAAENPKVRDLSSGKRGINDLTTANEGMVSACRELIVYNAYPDVKAATKRKWDTFCTQQVIEGNDSASFHENRHVEDTSGGIVLYSGRDGSNLDSEPWAKSFHGDMPSENVDDNHRREILSSDDIDHDENDDSIAREDILLETQNSDSQDSLANVNGTKEQLCMMCKLGDQLLVCSSGSCQRVVHERCLGFAPTFDAKRRFYCPFCAYFRAVSEYSDSKKQYALTKNALLSFKNRLRNSDISGRGDRNHVRDSEVSDKCTEQNNLENVVSRVNQVQSMMRMREKSSVSCDLSSRVGERDVTCGGNMADKQNAETIGSSVYCQSTKEQVQKVPELDVQNCKAYNSLHGGSKQLAVVEKLQKVLQQPNGVILVTNNEEQKIALRAVKDSKVPDSDKYLKISHRNDPRTGADREQDVSNQPHVLPRELAKPLRSLGVQKQEQHIPYPSVPQYRRKKVPWSDAEEEALKEGVRRFASDNNRTMPWKRILEFGAQIFEKHRTAIDLKDKWRNMCKASPVV >KZN03708 pep chromosome:ASM162521v1:3:47123164:47135512:-1 gene:DCAR_012464 transcript:KZN03708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVSMSSVSLFGLWAGRNFGGGGGEEIVFGSLKWYVYAGVSCILVLFAGIMSGLTLGLMSLGVVELEILQRSGSDKEKRQAAAIFPVVQKQHQLLVTLLLCNAAAMEALPIFLDRIFHPVVAVGLSVTFVLIFGEIIPQAICSRYGLAVGANLVWLVRSLMMLCYPIAYPIGKVLDAALGHNHVLFRRPQLKALVSIHGQEAGKGGELTHDETTIISGALDLTLKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRIPIFSGNTNNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPANMPLYDILNEFQKGSSHMAAVVKAKTGNTVSDAVEEKGVGNKYPNGNHQLTVPLLSEIDEESDSFVVEVDNSSNTTVHKQTLITEDGVTVTDVADSIEDGEVIGIITLEDVFEELLQEEIVDETDVYIDVHRRIRVAAAAAASSIARAPSNRKLMPKVDSRILRAVAIENSKDADVAVGVVLSEIVPSLSVKSGKIATSPNHSPSLPNPYEDVEVPNTGGGTSGAGVNYGVSEKVIAAQQVSSRKPGESVSAEFDIPFVNFKSAGPSNVTNEVKDQVFVDAVDEFGENISLSGRRQVNSTEEDQIFPRRSSMLAERGNQPLNDTNGFVNSLSLHEEEQSSLDELVRKNHRSVTPVADVDPAAVQMISLNNSESNSQHVTEPISPVTEVEKPEADIASLAASGGDICSSEMVDYQNVNMVNITSDVSVEDLEKEEQSGVNSLFASDIGDFEDETSMEAIVTSRSGPMVSAELLEGIIENEKSEKIALRSAKDSLLSFINEVEMKEKSVEKAREEAAHGGLDTLARVEDLKKMLQRAKEANDMHAGEVYGEKAILSTEMRELKSRLHSLSDERVKALATLDEIHQALKLRLVSAEAEIKEAEREKLEKETSAFECLAREESRMQKVAEESKILEQQAEENSKLREFLIDRGQLVDMLQGEISVICQDVKSLKARFDQGVPLSKSLSSSRTTSISTSPSSSVLGASFEKLPEAEVVASPKSGKASSSNITDDELLYKNEIIGTGNEPVDDDWVFFDI >KZN01583 pep chromosome:ASM162521v1:3:20945705:20946310:1 gene:DCAR_010337 transcript:KZN01583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQILPDGDENFRLGVGFMPNMSFQRCMSTLARHERYLRIRLKQHMKGPDNQAKIMHTTSLTRKIAGHARRRRKLIMFTKRLQRAGNQHIVSPLHFWHLYWQPLARGPSPHHDPQLPQSTANGDCNEAGAVLTGSIGTTSTIGRSLDKLPVPESCHRQRDITAHYFGTPSNNNIALEYI >KZN00926 pep chromosome:ASM162521v1:3:9919382:9922460:1 gene:DCAR_009680 transcript:KZN00926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNVGPVLRRELDNLGKDADCRKSAMKALKSYVKNLDSETIPFFLAQVSERKETSSSAGEYSISLYEVLARVHGPRIVPYIDIIMTTIGNTLTLSVRSFALHQACSKVVSAIARYGIDPTTPENMKRQIIHSLCKPLSDSILVSQENLSFGSALCLKALVDSDNWRFASGDMVNEVCQRVAGALEKPMQTNSHMGLVMALAKSNSLVVEAYARLLIQSGLRILSAGVAEDNSQKRLLAIQMVNCLMKSLDPRSLFSELEGIMDEMQKCQDDKMSYVKGAAFDALQTAKNIASEKGSNLEEDTGSVSGSNFCSNNGYWRRNLSDAVDQIPIKTSPESQTVNSFAEYDFLNESPISHSYVSGDLDYDRRVNAQLWRKFENGGLDLSFKDRISTEATSKTLMEYTEENKCLENRECANEFAGFLQESSRGAGVRSTTPSPQRSRHMNVDDIKIFTTPRKLIYSLQDESSGNSNISEKQSRRYKSPCKSRYESSLSKCEETSFLNHPASMYDQYGFPRDVKYKTKREENVFLTGEDFYGSSKSVSSSEAAAVGKVQVWQKSDSACQKESPEVSVQKHYPMYDQYGFPSDVKYKTKSKENVSLTGEDSYGSSKSVSSSEAAAVGKVQVCQKSNSASQKESLVVSVQKHYPMSLRIIVWGTLIVVFIAFVCLPWIGSDQDEGYYLPPT >KZN00312 pep chromosome:ASM162521v1:3:4122604:4124752:-1 gene:DCAR_009066 transcript:KZN00312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEYMVTRKSSPPPFLVKTYMLVEDAATDAVVSWNSNGTGFVGFRKVATSRWEFCNDMFGKGKKDLLSKIRRRKSWTHKPQPLTQTLKEQSDQDQDHERSKTTSSTSSSSGYTSLVDENERLKKENGALSSEISTMKNKCKELLDMVALYSQKERVDEAKDEGPKLFGVKLQGEIRKRKRDQESIGDSNAPPFFMSQLCKLKLAS >KZN03843 pep chromosome:ASM162521v1:3:48992294:48992980:1 gene:DCAR_012599 transcript:KZN03843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSAKPSHLLLFVFFLSSLESILTTREGEALVKWKNSLAPSSFLDSWSLTNLDNLCNWTGITCNSAGSVSEINLCEKQLDGMLSEFGFTSFPNLKNLTLADNFFSGPIPPAIENLTQLQYLDLSMNSLNGPIPFQVSHLQSLLILNLSQNALQAPNWSHFSPMPVLRFLKLSRNPLVSKFPNFISNSHSLTYLNLSHNKFSGDLVRESVFIPICIILKSSVFHRRVV >KZN01512 pep chromosome:ASM162521v1:3:17750167:17752805:1 gene:DCAR_010277 transcript:KZN01512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDESESSLDHSFEKLMVVSACVKMGGSGVMTEWKDIPMELLLRIVSLVDDRSVIVASGVCSGWRDAICWGLTRLSLSWCKKNMNNLVLSLTPKFSKLQVLVLRQDKSQLQDNAVQTIANYCHDVKDLDLSKSFKLTDRSLHALAHGCPNLIKLNISGCSAFSDTALAYLSDFCRKLKTLNLCGCTKAASDSALKAIGYNCTQLQYLNLGWCENVSDIGVMSLAYGCPDLRILDLCGCVLITDASVVALANNCLHLQSLGLYYCQNITDRAIYALAHSRVKNKQSVWESVKNRYEEEGLKNLNISQCTALTPPAVQALCDSCPALHTCPGRHSLIISGCLNLTSVHCACAVQAHRATNNVQAH >KZN02112 pep chromosome:ASM162521v1:3:29748050:29749631:-1 gene:DCAR_010866 transcript:KZN02112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMECTGLVADKFAVLLAYEETLSNSLNIPTKFSSKYSNLLHKDMELKLRNGYTLPVEIDLVNSQMRGVKCFFKHLELRGGELILFEYFGRSKFNVYIIGSNGSEIQYPEIAGGLPAIVTIGDAGWRFVIGRSEVDPIIDEIDPPAAFIARCGFALPEGIIFVLCNGKRFVGAYNSDTGRLSGFSSMLQIIGKDDLNAVKSFLFTYDGTKFVSICAFDCENAEIVFPGTPVCMDADGSYPIIGKWFRITVESKHMFDDSFMVEISNEFSDLCLQWEAFQCINVYSGNGCWRLLIRKRENHYCYTIEDGWQRLRDDLRLNVGNVCIFRCPRQCYDQFQILVARANDEE >KZN00489 pep chromosome:ASM162521v1:3:5755562:5755888:-1 gene:DCAR_009243 transcript:KZN00489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFGKILTLNCIRICACIYVFIYRSVFVFYVLCNFCRMYV >KZN02983 pep chromosome:ASM162521v1:3:39843097:39846003:-1 gene:DCAR_011739 transcript:KZN02983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDRPDSAPNHQPGKLRWGELDEDDGEDLDFLLPPKQVIGPDENGIKKVIEYKFNDDGNKIKITTTTRVRKLAKARLSKRAVERRSWPKFGDAVREDVGARLTMVSTEEISLERPRPPGSKPDEGKVSGDSLAQLGKGAVLMLCRTCGKKGDHWTSRCPYKDLAPQTDAFVDKPPISDVPMPTGATKGTYVPPSLRAGAERPAVPDMRRRNEENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAIDQKTGVSRGFGFVNFVSKEDAERAIMKLNGYGYDNLILHVEWATPRVN >KZN00708 pep chromosome:ASM162521v1:3:7805476:7805943:-1 gene:DCAR_009462 transcript:KZN00708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHEIFCITSLVVLSFFVMSSSASSGGGGRKMGAPEKSGSGSGHGPNWEYNWGWGSTPNSGWGSGSGSGRSPHGFGKGFGYGSGSGSGSGSGYGYGTGGGGAHGGGYGSGSGSGGAYGGGYGSGSGSDHGARDGEYGYGGGYGFVSGSGHSPPHG >KZN00003 pep chromosome:ASM162521v1:3:978986:981155:-1 gene:DCAR_008757 transcript:KZN00003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRWSGSQPSQENKEPLPEVKINELRVALGPLSGRSLRYCTDACLRRFLEARNWNVEKAKKMLEETLIWRSTYKPEEIRWHEVAGEGETGKLFRADFHDRYGRTVLILKPGLQNTTSIDNQMRHLVYLIENAILNLPEGQEQMAWLIDFTGWSFSTSVPVKSAKDTISILQNYYPEILAVAFLYNPPRIFEAFWKVVKYFLDTKTFHKVKFVYPKNKDSVELMKTYFDMENLPTEFGGKTTLNYDHEEFSRLMAKDDVKSAKLWGFDGKQKPAYSGAEVVPEPETLPSAG >KZN01970 pep chromosome:ASM162521v1:3:27504523:27509287:1 gene:DCAR_010724 transcript:KZN01970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKKYGPLPKFDGGNLEEQLWLKIFLKSSIASVTRFRAVSKTWNAFLRHKNFTKLYNRAVFLDEGEDIIIVGGSVSGDNRGSLMSVRKTSFRSYDVRLTRFPVCAAKMLLVGSSAGLVCLSDCPPKMERMVVWNPSTAEEKFIRVPPTEERRLSTGIGHDANDHKIVRLIGLDNPRCHSLVQIYSLNDDLWKDSITIPFYADRPASSFNIGGILYWDGYDTKMKHAIALLDPASEFYEKIEYPPEAPVGSVYPVNLRNKVAALVACKNKMIEIYCFQESSHTWVKKITTGKLHIELDWVRILKCYRGSGDVLAFGWPDSKMTINDVAKDVVKDGFVAHLSMTIGSDIFKPNLSTGPFTHTGTSKVKIPGGKKVLESHPRPDGDFWITKRTKVNEVVVDVVEAFNGRSIGSYSEIALCSLAHLFRNNKEVSELALEELVHLSKQSDLAAKMVHMDMVGNTMEMLCLESVAFTKLLLKLLVNLTRLDDGVEVLLKIFNSMLSFINHEDSCQNSLPALKSDAFEDAGSILVNISKSDAGRKFLLEPERGLIKQILKEFVSASPVRRKGVSGTIHNCCFGLEVEQQLENLLMASDFLWTTLLLPIAGTKAYREEETSKMPPVLGSILLVEREDVNDPELQIEILEAVYLIALQERGRRALRSVNGKLILDVGAACEQNEEVLEAFARVGSLLLGSDIEDTSIQTQVSRPSCYNIK >KZN01922 pep chromosome:ASM162521v1:3:26987384:26989382:1 gene:DCAR_010676 transcript:KZN01922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKQSAGKKRGLDTILEDDSTGAKKRVGGAALNEFLHNRLNNMQAAKIKNNMERSPLGTLNTNIISVDFVMVERRPGQSEATGKSPKKGTGRRGRGLAFDNMFKHINTGFHSNVSNDKENAQINNSDDKTRPQRRRGHGLGVNSVINKLYPAPAPVQEGGSAAGFQIDMDSSPQTTCNVRSDVEKCQKRRGRGPGFNNLFNKVQSTPANVSTADTGTPSSTVTVDHSDRRKQDQDSLGLRTNGFNTSTSSFQTPFSSSTVTSHLEESDLR >KZN02777 pep chromosome:ASM162521v1:3:37197673:37198908:-1 gene:DCAR_011532 transcript:KZN02777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIIKPEDVVTVDVNVAKDLLASGSRYLDVRTAEEYNNGHVDNAVSVPYMFMTQQGRVKNPDFLPQVSLVCKREERLVVGCNIGGRAMKACVDLLNDGYQQVTRMGGGYTAWAESRQETNK >KZN00025 pep chromosome:ASM162521v1:3:1207854:1213242:1 gene:DCAR_008779 transcript:KZN00025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVSYVLSCSRHVRSTQLCRPVSNFSFHRPIVTFSYTQLQNMIPLVPDSLCGMRSFCNKAASIPLALQAQVERERQREREERIRMGLDTADIDAEDDEDYMGVGPLIDKLEKWKIKHPPNPELDMMYEEPTDSDSDEDDDRFSAEANKRRSEDFEKKFARHKELLKSFTDSKTLDESHEWMNRLDKFEQKHFKLRPEYIVIGELMNRLKEATGKDKFLLQHKLNRAMRLVDWKEANDPNNPDNYGAFQHADEAAVENEEFEKEQLTRRAQLNDDDDMEFDSMKERDDILLEKLEAIDKELEQKLGDLDHTFGKKGKVLEQEIRDLAEERNSLTEQKRRPLYRKGFDVKLIDVSRTCKVTKGGRIFKYTAMLACGNYNGVIGFAKAKGPAMPVAVQKAHEKCFQNLHYIERHEEHTIAHAVQTSYKKTKVYLWPGQTLTGMKAGRIVQTVLNLAGLKNVKSKVVGSRNPDNTVKALFKALNAIETPKDVQQKFGRTVVESYLL >KZN03840 pep chromosome:ASM162521v1:3:48955246:48956187:1 gene:DCAR_012596 transcript:KZN03840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNYFDGVLPQSFANCKQLKVLDIGNNKISGTFPSWLFTLAELELLVLRSNRLYGTVSLRSTTDPFPKLRIMDLSNNDFTGYLPIQYFKNMKPVDKGYDYHNFTAPFYYYYQASISLTVKGTEYQVSKILHIYTAVDLSCNKFQGEVPKVIGELKGLALLNLSHNSLTGPIPSQLGNMKALQSLDLSSNQFTGAIPYPLIGLTFLEVLNLSYNHLTGEIPQKGQLSTFSNDSYLEKCAHEESPPQEVGYDEDGADNKFQWKVVLMGYVIGLVCGLSSGYIFLTTGKPWWFLRYIEQLHSKS >KZN01184 pep chromosome:ASM162521v1:3:12739787:12741409:-1 gene:DCAR_009938 transcript:KZN01184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQLLLLLLATASLRHASAVTGGKWDLLLSSVGISAMHMQLLNNNRVIMFDRTDFGKSNISLPNNKCRIDANDTALPTDCTAHSVEYDVKKNVVRPLMVLTDVWCSSGAAMPDGRLVQTGGYNDGDHVVRIFRPCNNVTCDWEEIKSGLTQRRWYATNHILPDGRQIIIGGRRQFNYEFYPKTGATKAYSLPFLVQTNDPIIENNLYPFVFLNVDGNLFIFANNKSILFDYAKNVVVRTYPDIPGGDPRSYPSSGSAVLLPLKNLQGNKTEAEVLVCGGAPKGGYISAENGNFLQALDTCGKIKITDPKPQWVMEKMPMARVMGDMVLLPDANVLIINGGSKGTAGWEVGRDPVFTPVLYKPNNPVGSRFETQNPSNIPRMYHSTAILLSDGRVLVGGSNPHIYYNFTGVLFPTELSLESFCPAYSDPASANLRPTIISPASHSKIGYGQQIPIRFTVPGRVNRDLVTVTMVAPAFTTHSFSMNQRLLVIGSGNATIIGRSNYQIRVTTPRTGNLAPAGYYMIFVVHQGIPGEAIWVQIQ >KZN00775 pep chromosome:ASM162521v1:3:8453797:8458434:-1 gene:DCAR_009529 transcript:KZN00775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRYGATADGGKSRFVDNDDEEATGRDIWGSGLSKHFYGCSNASNHFAKAEEITEENRYVCIATSGGLNQQRTGITDAVVAARILNATLVVPMLDTRSFWKDSSNFSQIFDVDWFISYLANDVRIIKELPLKEGETWDPYHMRVPRKCTESCYVDRVLPQLLKRHAVLLTKFDYRLSNRLETDLQKLRCRVNYHALKYTDPIIKMGEKLVMRMRSMNTHYIALHLRFEPDMLAFSGCYYGGGERERNELGKIRRRWKTLHIRDPDKVRRLGRCPLTPEEVGLMLRALGYGKDVHVYVASGEVYRGEETLAPLKALFPNFHSKYTITSKEELEPFAPFSSRMAALDYIVCDESNVFVTNNNGNMAKILAGRRRYFGHKPTIRPNNRKLSMLFMNRENMTWDEFASAVRKCQKGFMGEPKELSPGKGEFHENPSACICEDFTGKEVAESNLRKFGMGKSSTWADLNATVDDESNDNETDLPDQEEVEDDTSGSQENSQLNKTQTDINASTVAEPELEHMLSD >KZN01665 pep chromosome:ASM162521v1:3:22787093:22788016:1 gene:DCAR_010419 transcript:KZN01665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSFRIVSNFYESYLSNLLLLGAELFTRPYLFFEPIGRAAELIDLDIGLGPHDPELLEAEMNEEDMLQLEAVMADGAGGPMEAGMAADFLMVAGFQLAPLDPGHQEEEIHDFVYEDDLDVEDGAVVMQA >KZN00314 pep chromosome:ASM162521v1:3:4137054:4137569:1 gene:DCAR_009068 transcript:KZN00314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTTSSGGFLGSNNIGGFGYGIGLSVGILLLITTITLASYFCSRVQTTPPDTGANRVILVEPPHPQHIALEVGLDKETLNSYPKLRYSEAKLVNNKDSTATCCSICLGDYKSSDMLRLLPDCGHLFHLKCVDPWLRSHPTCPVCRTSPLPTPLSTPLAEVVPLATRRDIG >KZN03745 pep chromosome:ASM162521v1:3:47599379:47603781:1 gene:DCAR_012501 transcript:KZN03745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYEILEQIGRGSFASALLVRHRHEKKKYVLKKIRLARQTIRTRRSALQEMELISKVQHPYIIEYKDSWVEKGCFVCIVIAYCEGGDMADAIKRTNGVYFTEEKLCKWLVQLLMALDYLHKNHILHRDVKCSNIFLKKNQDLRLGDFGLAKMLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHKPAFKAFDMQSLIKKINKSIVAPIPVMYSGAFRGLVKSMLRKNPELRPSAADLLRLPYLQPYVLNIHLNSSSPKRHTFPSQQFVTNYVKQTRFSEPEAVTMHSDRENRRSFGYDRALNPSVSGAEQDSLCYSEGSHDSRKFNRKFSIGSIGEDSVSDNTVVTKSISSVKSPIFTPAKVSGTIPRRAATPRIYTTISDHDLCKKIQTPSRKPLPSTRRASLPMSARTLSKTPYKASAELLHDVASPDVSVNTPIIDKMTEFPLASDEDPFFPVRKTSSTSAQCSSTSPSCDNNAITKDKCTVQSFDKSRRTVTRSYITNVDYVAPITRSECSENNPATCISSRPSSESGSQQHRFDTSSYQQRAEALEGLLEFSANLMQQNRFQELSVLLKPFGPEKVSSRETAIWLTKSFKDTGV >KZN03807 pep chromosome:ASM162521v1:3:48336750:48338111:-1 gene:DCAR_012563 transcript:KZN03807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSHPLFLLTLSLLSTLSTSQPSLSSLEQESLYQVLESINSDISWRTLFPDDLCYSSPHGVVCEYFTISGAGAGDESVHVTELSFGFVSDYSPNPPCNRHSKINVPALSAFKELKKLLFYKCFTGDEVPVPEFEGFSGVLEELVFIENPSLVGPFKGDIRSLSSLKRFVLIGSGVYGWVPPGLGELSSLEQLTFSRNRLSGDISGVNVSKLRNLKVLDLSYNGFFGNLPESFGGLESLLKLDLSYNGFHGEVPMSFKGLRKLEFLDLSYNRFFNCGVPLVLGEMTCLTEVYLSGNDLGGEIPEIWENLGGILGLGLSRNGLIGHIPGSMGVFLKNLCYLGLDNNKLQGEVPSEFGTLEMVSELNLENNSLSGEIPFDAKFVSKIGGKLKLKGNSQLCVDQELRVDDHLKHLKLCKKPEVPRLVLLHDSGSLVVLVSRVAIAISWGFSLFLIS >KZN01642 pep chromosome:ASM162521v1:3:22242729:22243337:-1 gene:DCAR_010396 transcript:KZN01642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMIFGKISVETPKYQVVKATTDYEIREYPPCVVAQVTYNPTQFKGNKDGGFMLLANYIGALGNPQNKKPETIAMTAPVITQKSEKIEMTAPVVTTNSGGDGGSEGVIVMQFILPDKYKKAEEAPEPVDERVVVREQGERKYAVVKFSGTATEEVVAEKVGKLREWLERDGVKVVGEFLLGRYNPPWTLPRFKTNEVMIPVN >KZN02716 pep chromosome:ASM162521v1:3:36429921:36434426:-1 gene:DCAR_011471 transcript:KZN02716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAKLPTTEANVLKGHEGGVLAARFNSDGNYCLSCGKDGTIRLWNPHRGIHIKTYKSHGRQVRDVHVTPDNSKLTSCGGDRQVFYWDVATGHVIRKFAGHHSEVNAVKFNHYASVVVSAGYDRSLRVWDCRSHSTQPIQIMDTFSDSVMSLCLTKTEIIAGSVDGTVRTFDIRIGREISDDLGQPVNCITLSNDGNCVLASCLDSTLRLMDRSTGELLQEYKGHTCKSFKMDCCLTNTDAHVVGGSEDGSIFFWDLVDASVVSSFRAHSSVVTSVSYHPKDNCMITASVDGTIRVWKS >KZN01429 pep chromosome:ASM162521v1:3:16158707:16161594:-1 gene:DCAR_010183 transcript:KZN01429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAETPNSNISMKQEPDEQPNSPNTQQKTVISQLLTTGKQKIKNETSCTNSSQSYVCDEGKSIKGYIHTCDNYQQMKDCALADELIVGVPAGDQAYHENIKTEHEDSYAEIQCNVCHNMSNQTLLLQCDLCDSSSHTYCVGLGDTVPEDDWICQNCTEHAEDEQDLKAVGLSGIDSHSGSKNRCHQNVSSTEANLSIHDIVRESGPYNVERSLPNQSRSPLTNAGDDRTVLISCRNRDSRTRALRENWDKIRQGSLSFSSFPIIKPGELSCGTSSATKSSTSDIIPDQATQDIKKAWKMMKAAKSVEKKKYTNTIPCPSNGSKHPLTNTETPKHFPSVRSMLPSSRHSGDKDKDSTNEFKEVARHATYSILAACGIGIPKPWVRPFSNPHCSHADKVDGARRSTLMPSSCRECFMAFVKDVVDTVLLEKTS >KZN00090 pep chromosome:ASM162521v1:3:1815886:1816390:-1 gene:DCAR_008844 transcript:KZN00090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKFVLASLLVLALVLGQSLQTTMAGSSFCDSKCAVRCSKAGVQDRCLKYCGICCEACHCVPSGTYGHKDECPCYRDMKNSKGKPKCP >KZN00657 pep chromosome:ASM162521v1:3:7365788:7367380:1 gene:DCAR_009411 transcript:KZN00657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTFLYHRHQQPFYPKSLVFDSSIIKNELNIPQEFIWPDHEKPSSHTPQLDVPLVDVGGFLSGDPVAAEKASKLVGQACREHGFFLVTNHGVDGNLISDAHKSMDLFFELPLMEKQKAQRKLGEHCGYASSFTGRFSSKLPWKETLSFEHSTKKTSSTLVEDYFASALGKDYAKLGKVYQDYCNAMSRLSFGIMELLAISLGIDRSHFKNFFEENNSIMRLNYYPTCRRPELTLGTGPHCDPTSLTILHQDDVGGLEVFVDNQWQSIPPNPSSFVVNLGDTFMALSNGKYKSCLHRAVVNKQNPRRSLAFFLCPQKDKVVRPPTELVDQDNPRLYPDFTWSALLEFTQKHYRADMNTLQAFSSWLTTFNNKEHLGEGRVNFIFK >KZN02336 pep chromosome:ASM162521v1:3:32195849:32196541:1 gene:DCAR_011090 transcript:KZN02336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFDSSNSIDRDMGMAKSVNSSDPSLKLKQSNQLRRLKKSPNSSNHLNIPGCDQSRSAVIDLIILIAVIFACGFLLYPYIKVLSSEVFDMVEIVYVVLKEEIANAPMIYGCLGLSILSALIAMLVITVCTSRKCGKPGCKGLKRAAEFDIQLETEECVKNSNTCSGKDGLKKGLFELPRDHHRELEAELKKMAPLNGRAVLVFRARCGCSVGRMEVPGPRKIRKVKKWC >KZN00608 pep chromosome:ASM162521v1:3:6890245:6894546:-1 gene:DCAR_009362 transcript:KZN00608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFDAAESKQIGILKENTLEVHGKEMEKGNQLHTSIYYPQSFGKYVDSDNEEPEMDSVDDPEEEPEEDPEEDLVEEPEEDPEEEVEEDLEMDGISCNEKVAVECACPFKLSNEIVSAEQLTEHEDEDSAAEFVFGPNPQGETAKVYQNMSDDKPRIVVNEAVTHFLSQKDGLGKRCKNDEPSKEEFEIKYAATGQHCVPGELEEAVVGTKNSGKQANSGKRRVSKWDQGPEDDKKAILEDRECKKGKTMWDANDSKSTLQDHLPLTDLRSSLCGHLLYLEIQSLRKRFLEISSILDPLVDDKLHPGVYAPEKLIKEREKIFIELAKRNATISKELYVPVKEYPMYNFIGLILGPKGNTQKRMELKTGARIRLRGKDLSKSAQEADPSEDEDLNVYIEAVSQKSLDDAVCMVEKLLIPVEDEMNDHKRAQLQELWNLKAESCISSCSVCKEPGHNKFACPLKKTTLKAACEACGSFSHSTSGCPVAPSNLKIKSSKEIDAANLYIEFLPQTVDDSRLRELFSPFGTITQTKVALNFITGYSMRYGFVRFDSPSAASLAILHMNGHHIDGHRLRVRIAGTPAGQPATSHLPIYSNSGPAAVATSYPSSPHYMMAKSQVSSLNIESTGLSSSLNMEYSRQISQTEAPIISQNISGSSESSSAYLSSGLASVSTGPSSAFSSTVATSSPALPHCMMQVSALNGEGLGSPLSINMDYLTNLYIGFLPQTVDGNRLWELFSPFGTITQLKVPLDQMGYSKGYGFVRFDTPSAASLAIMHMNGCEIDGHRLTVKIAAIPPIRGQLATNLLHVYPNPGPTAVPSSYPTSPYYMMREAQVSVLNGEGIGLPSLLESSNQLSQAEAPIVPQEVSGSTASTTAAGSMANITESIIAPLSTSLVSRSIESSTTYSPTGSVLAASTELGGAYPSTVATGYLALPDYTVSQAQLSVLDGEGLGYPSSLYMEYLSNLKIGFLPQTVDDSRLWELFSSFGTITQLKVALDRTGYSKGYGFVKFDNPSAASLAIMHMNGYEIDGHRLTVKIAGSLPVRGQPGTNLLHVYPNPGPAAVATSYPASPYYMMQKAQVSSLNGEIIGYPLSLNMESSYQFPQTEAPVIPQELSGSTTSISSTVPVSSLIESRTGLISGSTESPATSLTPVYSFPGPAVVASRSSAVPHHMIPKALQSEGVKWSTSSGSTGSSTALPSTAFLSSSTEFGTSV >KZN02162 pep chromosome:ASM162521v1:3:30366066:30368240:1 gene:DCAR_010916 transcript:KZN02162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRPIATALHCANKPTSHQTTKGKIVTVLSIDGGGIRGIIPGTILAFLESKLQTQVALTQIFNEILKGNFEFIDIKPMDTTKMLVLSLGTGAAKLEAKYNASMVAQWSPINWIFDKGATPLIDVYSASSADMVDIQVSSLFQSLGAEKNYLRIQDDNLIGNTTSADIATTTNMEALADIGNKLLEKSVARVNIDTGAFEPVVGEGTNSDALTRFAKLLSDERKIRIAN >KZN03054 pep chromosome:ASM162521v1:3:40654980:40660444:1 gene:DCAR_011810 transcript:KZN03054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSYNYYKMFGCFNRKFKMTAIGPPTDVTEAFNVYSDGGTQLTSDQLLRFLVEYQGEKDCTIMDAEELLQHVLNQRHHLVKYTRHSLDIEDFFFFLFHDEINAPIGAQVHHDMTAPLQHYFIYTGHNSYLTGNQLSSDCSDIPIINALERGVRGIELDLWPNSTKDDVQVLHGRTLTTPVRLDKCFQSIKQHAFIKSPYPVIVTLEDHLNPSLRAKVAEMAIRIFGELLYYPEPGCFHEFPSPEELKHHIILSTKPPKEYLESKNDKDSASSVKKDMAIEELCNKNDTQPETPESSYTLGEDNDFQDQTPSQLEEPEIKIMDAIRTDGRTGSDSDADDTCSQKNSQQGTPGIKGQCVIQTPDKQGQPNEFAEDFNVDSETSMQLDTPDIHDKDKLSEFSKHCHLGDANEHDKAGTSECNKSSQLGATDEPKEGGTTEGNKSSQLGEAAEHTEDCGTENQKSSNLGESDKDTIVDNQDSSQQGTTDKHSEDCKTEIYQSDQLGESVEHSEDNSITSKDLNQIETLEKENCSEAKDRDSNKNDDGPDSHLANARPRQLVLIGGKPLSSLLEGGELNNEDQATGDNDANKFQLTASAYKHLIAIHADKPKDGLRRTLIVGVDKVRRLSLSEQELERAAELYGADIVRFTQKNLVRVYPKGTRVTSSNFRPLTGWMVGAQMVAFNMQGYGRSLWLMHGMFRANGGCGYVKKPKFLMKKAPNEVFDPKAKLPVKTTLKVKVYMGDGWRLDFSHTHFDAYSPPDFYTKVRIVGVPADIANNRTRVIEDDWTPSWDEEFEFPLTVPELALLQIVVQEYDLSEKDDFGGQTCLPVTELRPGIRAVPLHDKKGIKYKSVKLLMRFQFV >KZN03193 pep chromosome:ASM162521v1:3:42279715:42287605:-1 gene:DCAR_011949 transcript:KZN03193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAHPVLTFEQFAKVHSFLLAASGIPPCLYQQLYEKLSSETFDGGDFFQVEPVDDGRQRRLILTSSECMEKEARVFLVDHAWTFRLSDALKQLQEVPGLTERMASLMCVDIDIESGPEEENGSREDVHAIIEREVCKAKDEGSDTISWLELDELAIDDNMLLSLDLPSKFPNLHALSLTDNKLENEEAVVQEITKLKDLRALWLNNNPVSQESNDRMMNTILRGCPRLEIYNSSFTPKFSEWALGFCGGIYSKDNPGFIEQTDGSLRNVVSLDLSNRCIHSLANKAFCPQELPSLSYLNLRGNPLDQTSVSDLLQLIKAFDSLHALEVDIPGPLGDSVTKILEYIPKLSLINGVDTLKILESGKSVMDSVLQPRLPDWSAEEPLSDRVINAMWFYLMNYRLADEEKIDETSVWYVMDELGSALRHSDEANFRVAPFLYMPEGTLNSALSFSILWPTKTIQSGEECTRDFLYGIGEEKQRSARLTAWFHTPKSYFVNEYENYREKLKSSSFSSQTLKPSSTRSLVSSGGTTLRVYTDIPQVEEFLTRPEFVITSDSKDADIIWTAMQVDEEMKKATGIHDQQYINQFPYEACLVMKHHLAETIQKATANSENHFGQAHGLTKWFQPTYNLEGQLTPMIGDYLVREEEGLDNLWILKPWNMARTIDTTVTGNLTAIIRLMETGPKICQKYIEHPALFKGKKFDLRYIVLLRSLNPLELFLADIFWVRLANNSYTLDKHSLYEYETHFTVMNYGRQLNHMNTPEFVKEFEQEHQVNWLDIHARIRGMIKSAFESAALVHPEMHNPMSRAMYGVDVMLDTNFQPKLLEVTYCPDCNRACKYDTKSVLRGETETVKGQDFYNYVFGCLFLNETAHVSQL >KZN03944 pep chromosome:ASM162521v1:3:50105733:50108319:-1 gene:DCAR_012700 transcript:KZN03944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLIEPSLKEEQEIDSDGFAVDSISPIKTIKRSDQCFITQCGMFRLGSRFYFLGGRAETSLYYVYSNTTPVSDELKLRVQVFDIDYPELGLRNVASLKAPKETPCVFSVKGLVYVLGSHLRGCNLTGGTSGIFERYDPFEDCWEVLPDPPKPFGEAGDATWCDSATVVRDKYVYVGNNNHDLYLVFDLDVQRWTSFPPSSPFSTRFRYGSLYVDGSLYYLTGIGTWKVGTEFDTKIIDYNAEDNEVRLVKTRPPPSVDDPFKLLKSISLKPENEQVMCTFMDLEHNTWFSGLDFGQWRDIFHLGGRFFCYVVTCQLIDVDNKKLDQPYCRGVWIKVFEEMVPDRNSATKQPHFRNLASFSYRIRTPFENSTSFIRCSAFGSVPDSWVKAPLKKKEVAAKDRGDLKTEQSHEHGGGIEGLTHDDLQKILAAREEEICRLKSELAMKAVLLKDYESFLAKSKGQSALVDW >KZN01281 pep chromosome:ASM162521v1:3:14096871:14098824:-1 gene:DCAR_010035 transcript:KZN01281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKYITWSVVTENVRQYSRFVWDRILVCSTGRPADYHRFNDLDYSCSSNEETPVSSASCRYDSDCDFITVKIIVLGDCRVGKTSFVAKYTDDEQLETRCLETKGLNLTDRSLLVKGARIAFTIWDVGGGKESADQVPLACKDAAAILFMFDLTSRCTLYSVVEWYGKARKWNQTALPIIVGTKFDDFIRLPPDLQWTIVIQAREYAKAMKATLFFSSAVHNINVSKIFKFLVAKLFNLPWSVERNLTPGEPVIDY >KZN00218 pep chromosome:ASM162521v1:3:3186132:3187436:-1 gene:DCAR_008972 transcript:KZN00218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMVVQIVTEENILPAASTPISSKEFKLSVLDQTQVKIYLPLTLFYHNNNTSNLSSVISDNSKLLKQSLSETLTRFYPFAGKVRDDFYIDCNDEGVHYIETRVSVSLLKFLSKSPGDEMIRSLIPAKARESPLGNYVLIIQVNIFSCGGIALCTCISHKIVDGTTYTLFMKDWTAAARGSFSEIVHPNFTDASLFPQIPSLLYKSPADFSRSDFPSQRFVFSGPKLAALKAQTKVLRSECEPSRFEVVAALLWKCVAKAASRLDEISIGKPFNLGVIINLRGKNCVPKNSVGNLVWPGLAQCKLSPQLELMELVHQIKKCKAEINDEFVEAIKGDDGTPTFVGIAEKMTSKETSFSLWITSMCNMGLYELDFGWGKPAWFYFCNLYSENFISLCDTAVGDGIEAIVSLSKENMVVFENDPEVLAFASVNPALI >KZN02080 pep chromosome:ASM162521v1:3:29299425:29306240:1 gene:DCAR_010834 transcript:KZN02080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSPFKPLSNHHIHDFSFSSSFSPFPYQTHFKNVNFINPSSSKFRTFAVVANPQEHPLNSPQRLLKELAERKKIVSPKKKLPPKRFILRPPLDDKRLAERFLNSPQLSLKSFPLLSSCLPSSRLNNADKTWIDEYLLEAKQALGYPLEPSDTYGDDNPAKQFDTLLYLAFQHPHCERTNARHIRSAHSRLSFLGEYVLELALAEFFLQRYPRESPGPMRERVYALIGKRYLPKWIKAASLQNLVFPFDNMDRLVRKDREPPVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPEAEDCQPKLRRQLEDVDHVSVEFEERKISWQDVATYKASSIIFVYCSLIHPPEDALFAHPRLFRACVPPGMHKFRGNIWDYDSRPHVMEILGYPLAMKDRIPEITEARNIELGLGLQLAFLHPSMHKFEHPRFCFERLEYVGQKIQDIVMAERLLMKHLDAPGRWLQEKHRRLVMNKFCGKYLREKQLHRFIIYSNEVQDQFEHNRRLRNPATTSVQQAIHGLSYTIYGKPDVRRLMFELFDFEQIQPKAVV >KZN00966 pep chromosome:ASM162521v1:3:10352937:10353203:1 gene:DCAR_009720 transcript:KZN00966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSKAWLVAASVGAVEALKDQGFCRWNYTMRSLHHHVKNNLKSSASSFAHQTKKQLSSDIRDQSDKAKQSEESLRKVMYLSCWGPN >KZN00030 pep chromosome:ASM162521v1:3:1269044:1277492:1 gene:DCAR_008784 transcript:KZN00030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSDSLINKIYNSFSADVHFAAPISSLSTNEVELVRGVLQILQGFSSSLFYWDKNKQSYRVKRGIFLSHLSRSSLNAILGQFVYSATCLRLVELLVDKVETSIQSPMPTLSAFTSCVTAWLKVCSFWLVFVYSQFHFSPFPTFSNIGAEYLLQIVRGAIPDVYFKLDPRVPAAEISVHVLNHLYKQLNAICLVEAGQEDAYKALLYLFVGSLLPCIQGLDSWLFEGILNDPFEEMFFYANKAIGIDEAEFWEKSYVLRSIKYQKLDDYATEVKADNQDVMGRKPTSVGAYAKGKEQVGRDFQACPLFIKDIGKEIISAGKSLQLIRHAPKTHSVNSGSNEAISQSKSQFTLSEVFCVSLAALIGQGDHISEDMWQDDTVVSLFQSSVETHKSEETGKSMSGVTCLKKLLVDTSPWKRENGFRNMHNIAGDSTDWEREDTSTSSIVDDLLLRRPYCHENPAVTVCHRSLHENKDYWNTLNLSRNFALPPLNDESLREAIFGEKKMPFSSSAGTNYAFGFHFGESEYLRKQEEQYILEQLFPFPTLLPSFKEDLHVSEVLPFQRNSTLTSRVLSWIQSAELKATPLPVVIMQECLVVYMKKQVDKIGSHILTKLMHDWRLMDELGLLRTIYLLGSGDLLQHFLTVIFNKLDKGESWDDDFELNMESIRNSADGMLLSAPDALVVSITKDNGANVEEKLSASALVSTPRKTKGNFDIDGLNSLKFTYKVPWPLELIANTEALKKYNQVMSFLLKVKRAKFVLDKARRWMWKDRSSTTLTRKRHWLVEQKLLHFVDAFHQYVMDRVYHSAWRQLCEGVSAAGSLDEVIEVHEAYLLSIQRQCFVVPDKLWALIASRINTILGLALDFYSIQQTLSSGGTISTIKARCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGQFPHLADLVTRINYNYFYMSDSGNLVTAPATSKLGKSFPVRTG >KZN03639 pep chromosome:ASM162521v1:3:46546322:46556952:-1 gene:DCAR_012395 transcript:KZN03639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKYQTQSIKPLFNPQAVVDYYNNTDGSGGTRKDMKELVLKAKQHLVAVGWSLRHLVRECGKREPRYWSPVGNKKYLSVRAACKACLDGQEVEELGGLKGEVVNNDVDVYVPGCSGVERGKREFWIDLFEDSGRRDVVSDLEDGSVDDTGREECELSEGGKKRKIGGEEMNDFDELKKSTGGDSYLSEGPKGEDCKAATRVLRRRTCVNSGKVTETLEDRNDDVCSVCRAGGDLMLCDQCPSAFHAPCLGLSEIPAGEFWYCRSCSCGICGQSVCRDDQFMRDKQCDQCEQHYHVKCIGGYRAGKLERNKWFCSKRCQNIHSGLKRLLGKPIVVDGNNLTWTLLKNNISVDDPKTKKKLKRALVVMHECFKPVAEPWRGRDVGEAVIFSRKSDLKQLDFKGFYTVILQKGNRVVTFATVRVFGDKVAEIPFIATRFNYRRQGMCKTLMNVLEEKLINLGVKKVPLLAKNYFKDQTTIFEILLSGFGKEARQLSLVTTFFRSIFAAIVINIVNEESPSWSGCYLVEYRLWLMVLSFAGQAFCLSEDSVSQLQQKYKAYRFSAGWKKLFHYMQRQNGTFVIPFTVLYSFVILPCCILAVLVIPSYYAMSRSSKVLYVGNLPGDVREREVEDIFYKYGPIAHVDLKIPPRPPGYAFVEFEEARDAADAMRGRDGYDFDGHRLRVELAHGGRGNGNLSSSDRHSNHGGGRGGGGRGGGVSRRSDYRVMVSGLPSSASWQDLKDHMRRAGDVCFAQVFREGGGTTGIVDYTNYDDMKYAIRKLDDSEFKNAFSRGVIRVKVYDSSRSPGPSRGRSYSRSRSRSRSKSRSRTNLQNLNLHAVQDLVQDLYLLALGRALDRSRVLCQDIGYLRHLWGLHVKFSMRLGSNAIFGCGDRSYLDQSFESLSVGPYSIDAVIFLVFLYNETHTWNWHQGYWISVKRNNWILNWVVFGTFADHGQGPGLQHHLGRNVLVKAPKRARVQVHVQVVAVAIVGPGTTLV >KZN02098 pep chromosome:ASM162521v1:3:29524799:29535103:1 gene:DCAR_010852 transcript:KZN02098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLALSSTSITTTLSYSRITTTLSTPTRRIKFNSLKSFHYQPLFLNLPPKSSLSLLNLSRSRRQFVVNSSDSHHHHHHHHHHDHDHHDDHHHHSHHHHGGGELTESQKAFVRFAKTIRWTDAANFLRENLELCCCSAVLFLAAAVSPYLVAKQHVKSLQLLFTSVAFPLVAVSASFDALIDIAGGKINIHVLMALAAFASVFMGNTLEGGLLLTMFNLSHIAEEYFTSRSKVDVRELKENYPDVALVLDLNNENTFNFSDLTYHETPVKNLEVGSYILVKAGESVPVDCEVFRGRSTITIEHLTGEVKPVERIVGDSIPGGARNLDGMLILKAKKTWKDSMLNKIVQLTEEAQLRKPQLQRWLDRFGEGYSKTVVFLSVAIALVGPFLFKWPFIGTTVCRGSVYRALGLMVAASPCALAVAPLAYATAISACAKKGLLLKGGHVLDALASCQTVAFDKTGTLTTGEFTCKAIEPVHGHVGGNQKNFVSCCVPSCEKEALAVAAAMEKGTTHPIGRAVVNHSAGQDLPFVSVESFVNLPGRGLSATLSRMEPAIEGGELVKASLGSVEYVASLFKSDDESRKIKEAVTTSAYGNDLVRAALSINNRKVTIFHFEDKPRHGAVDVITELKEKAKLHVMMLTGDHESSAWRVAKAVGINEVHCSLKPEDKLNHVTSISRDTGGGLIMVGDGINDAPALAAATVGIVLAQRASATAIAVADVLLLQDNISAVPFCIAKSYQTASLVKQNVALALFSIGIASLTSVMGFLPLWLTVLLHEGGTLLVCLNSIRALNDPTWSWKEDIMHHVQNLKYGFMGLGKQDNNQNNIQAVSL >KZN02980 pep chromosome:ASM162521v1:3:39800731:39800916:1 gene:DCAR_011736 transcript:KZN02980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVTSCNEIFGRLFLSFEPLGSAAELMDQDIGLGFHDRSYKEIQMNEIEMELFDQALDEG >KZN03103 pep chromosome:ASM162521v1:3:41309015:41309537:-1 gene:DCAR_011859 transcript:KZN03103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKEDQNGHKTNVFEEPQGKEYVREPRRPRRLASKAVQGEERGFEEQQGNEYIREPNRSRSGTNPVPQKEYEDRRGMECVREPKRSYYSLQEPRFEERQGNEYIREPDRSRRSVPQKEHKDRQGMEYV >KZN01117 pep chromosome:ASM162521v1:3:11904772:11911536:1 gene:DCAR_009871 transcript:KZN01117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIITESNASNLVNEEDEEPGEVIESAPPLKVGEERLLGSSGIRKRLLKRGLAFELPDIGDEVTIKFVGWLLDGTKFSESDGVTYALGSGKMVSGLDRGIVTMKKGERALFTLPPELAYGEGTNGVPPNSVINFQVELISWITVIDVSKDGGIIKRVLVKGEQTGKPSDLDEVLVKYAVMLADGTLLAKTPEEGVEFYLNDGHFCPALSKAIKTMNRGEKVNLAVQPNYAYQLGSMNSDQNSHAIPKNTVLSIDMELLSFKRVISVTTDSKVMKKILKEGQGALTADEGALVTVRYKAMLKDGSIFEKKGYDGEEKLQFITGEEQVITGLDRVAMTMRKGEQAMITIDPEYGFGSVEVRRDLAAVPSYSTLSYEVEMLNFVKARKDLQHCFQFNVISG >KZN03465 pep chromosome:ASM162521v1:3:44878999:44879418:1 gene:DCAR_012221 transcript:KZN03465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSSSSPLVLDATGDTIFDELAPLSSVQVPLSSSHNLATLESDYDALESVDPAILEMSRRTPAKVGSSTPTAGMSRDLIKLFRAKPAFNYRSIIEEDSAELLEKLENGCDLASGYEYHLPRGGDRLWHMPRGGDRLSS >KZN01981 pep chromosome:ASM162521v1:3:27678304:27692615:1 gene:DCAR_010735 transcript:KZN01981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHMLNLYGDNVEVDYRGYEVTTENFFRVLMGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSKKGENSYSHHLDSDVPVTNFFGSVMETIHTDSAYNVFPMKDSRANNIKILLEQSVDDLIRNVTSSDEKQQSAEPSTICSKVKTLRCGLSLHAAAVKAGMLLDVFVSNHVLNMYAKCGNIRCARRVFDEMPDRNIVTWGAMISGYEQAGKPLLAVELFSQLRLQPNEHVFASAISACAGLLSLRIGEQIHAQSVKCGCSSISYVSNSLVSMYMKCGQSSDALSVFETTSEPTSVSYNALIAGLMEGQQAEKGLEAFKLMCRQGFIPDRFTFVAVFGYCINAEDLITGIAFHCQTIKLKLDSSAFIGNVMMTMYSTFHLIDEAEKIFRDIQEKDAISWNTFITACCHCDAYAKGLSIFSEMLSGVEVSPDDFTYSSALTACAGRAGRLKEAEDYLEKYSHTSDPVVLGCLLSACRLHGDVQLGNRLGKRLLEAQPTTTSPYVLLSNLCASDAMWGSVAEVRKKLKVSGLKKEPGHSLIEVEGIWEKFTVGKFSHSRISEIVNVLNLLGPSVDEECFV >KZN02584 pep chromosome:ASM162521v1:3:34792462:34792890:1 gene:DCAR_011338 transcript:KZN02584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLEECDAILDLSCDCDQMSVVRTRWYGPNAGRRFRECRDEECGFHKWVDEPPSERTLEIIKELKERDSKHLDQARRRRDRLAAWYEARLAAKKEKHQNTLAGLLLLCDVVKEITLETQVPEDPAPLYNGDSEDSDVRSW >KZN03073 pep chromosome:ASM162521v1:3:40923149:40923874:1 gene:DCAR_011829 transcript:KZN03073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPNYHHTSRIDVGASVQNAAHLVSHPRIVGLRTKLKKLEPFVLFASGDNIAVDTSDKGSGNAEVSLSDNQPSAENLPSSGTSEDSQSLASSNLQVQSQTSKDSNGAVVTSEQKEENSPANLKSTPIRSSRLTAREKLRAARILSRKPEPKKPPKLQLGSQVLEVLRESDKGKRRPGLPEAPTNMLDDSKRGMPKKGLTFELPGGNEVFFIILSVVLISTVMFSTTYIVWKVGAIHFNEN >KZN03365 pep chromosome:ASM162521v1:3:44036974:44037729:-1 gene:DCAR_012121 transcript:KZN03365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYKFPYEFETLPDNYSLIIYTKISSSYLFRCDGCNQLKSGIYIATHDGNYKLDIVCATLPSKIKHEAFEQPLVQVVASTRTYMFCDACGLRIGNNEVFFNSRAPWFTLHAHCAFRPHKLNHPWDSHALTLITPEDIIEDHPQDFNCEHCSDDIDANYWFYHCSLCDLSCHMKCINKLYRYSNINFNASGTQIEQQKLHEHGLTLVLSKRKRCCASCSRQVFYAPVFHCTTCNFIICPSCVLDVDASLFE >KZN02166 pep chromosome:ASM162521v1:3:30385523:30387144:-1 gene:DCAR_010920 transcript:KZN02166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLWRRAAGDALKRVAHTSRSYHTIEAIPREFTGKRLAVKERAQGRIPAVVLALDDAVGNALSRKHLITTEKDQIQSVIDTVQLPFFCSTTFSLQIRAGSGSSTVLESGNVLPIKVHRDAETGKVLNLVFVWLDEGSKLKVDVPIVFNGEDSCIGVEKGGSLNKIRHSLKYLCPSEHIPSKIEVDVSSLDIGDSVSMHDAKVHPSLKLLSKNEAMPVCKVMEPLSEFYQTATEEV >KZN00911 pep chromosome:ASM162521v1:3:9806004:9806369:-1 gene:DCAR_009665 transcript:KZN00911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDLHFYLERDMGRTLPLPFLDLIPDLGDGEVEDALPPRPSKRQKLGGSSELHEVRFSSPVVVPSVAAAPVNHVGPSTSLSLARVDKGKAKMLDDSGTHVKGFLSSQAIRLLEDKSLATA >KZN03955 pep chromosome:ASM162521v1:3:50167190:50183335:1 gene:DCAR_012711 transcript:KZN03955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASADPCGDGSHNNNNGGNQGGGGAGGSNGNGNNSGIPVASGGYYNYVFGPTVAALKHDPGLSLNWSPEEIALIHQLSNRYDSESTITKYAKIAQQLNDKTIRDVILYHKKMNESKKENKKRRRDNDTSSRKDKDKKEEVVDPSAKSSSYLTNRSNGQPYAQTAVSMDSDDGISYKAIGGVTGRLLEQNAQAMDQISANFRSFKIHDNINLFCQARNNIISIIKDLNDVPEVMKQMPPLPVKLNEELSSKLLPPPSLHNWWNSWVNYVSQTRSAASVSHQQNSVPSTTLNRPCAIDNTDLIQHSGSEDAAIGFDLRDNLVEGTDYILLPKAIWNQLHEWYGGGPKLARKVISSGLSENELSVEVYPLRLHLHLNPKGDRCTIRISKKETVGELYRKACETFGLESEQAFIWDYYGQRKHALINDMDKSLEDINIEMDQDILVDITDNGGNMSLSVAGGLSMDKSFFKNVNPELSQYSQYLNQTTSREVEKIHGMPGFSTSDSSGGLTGLSNLGNTCYMNSAIQCLVHTPQFALYFLDDYHQEKTRHNRVGRRGKLAVAFGDLLKKLWAPPPGQVSVNPRHFKAKLGRFAPQFSDLHQHDSQISNIKSRDADGRPDEEVGDEYWSNHVARNNSIIVDVCQGQYKSTLVCPVCNKMSVIFDPFMYLSLPLQFTSIRTMTVTVFTCDGSALPAAFTINVPKLGRCRDLIQALGSSCSLKDNEIILLVKIQNHLINCFLEDPLVPLSSIKDDDHLAAYKIPKFVKKFKFLQLIHRREEENPGSSQRITEWKPFGTPLVSPILCDDTFTTGDIQSVVHTMLSPLRRTEILRHADVFDTSIAATASDLPRAVNCTVTSTDFIFGESKQESGNSKVTGLRKLPLLQVDENNSCFDLSVGEEKAVKIASSSRSILVSVDWSQNLLDYYETSYLENLPVVKNGAASKRTRKEPLSLYTCLEAFLREEPLVLEEMWYCPQCKEQRQASKKLDLWRLPDVLIIQLKRFEYSRSAKHKLKTFVHFPIHDFNLTDYIGSKRNSMQHLYELYAVINHYGDMRSGHYTAHIKLLDENRWYNFDDAHVSPIDEDAVRSSAAYVLFYRRVKSDCSSASNRPQSSARHKKRIQVNSQAAATDSCASNFDLKGQLTFDTSSLVCRSVWDAQGFILRYEESSPNLWSFVLSAPNTNSYIGMGFSPNGKMVGSSAIVGWIGADGGSMIKRYHLSGQTPTQVVPDQGNLQVVGNSSSLVSLSGRLYIAFQLNTSLPESRILYSVGPAGRLPVGPGFQLAEHDQKVSTLLNYASAINIRHGTNLYKSTKRLFSIVKSVTQVVPDKKNEKKRLKCENDKFGDAGESKTKGSSSSNLKKSHGILNMLGWGIIIPIGAMVARYMKPWDPVWFYSHSLLQSLGFLFGVSGIVCGFVLENRLGVDVDKHKSLGVFILTLGCLQVIAFLARADKESKVRKYWNWYHYGLGRALIILAVANVFYGIHLGDAGSGWNAGYAVVVCLLFLVAVILEVRLWMTD >KZN03870 pep chromosome:ASM162521v1:3:49505890:49509764:-1 gene:DCAR_012626 transcript:KZN03870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAAKKKCKVCEEADSKYKCPACLIPYCSLGCFKKHKEVQCVKEEAEPAEEKIEIPCVKEAVPAEKKFAPNPHVERPCFVDEPSIVLQQSQLESIASSSEVIDALKNEELQKLICKIENSADIESEFDKAMEVDAFRLFTDKILSTIGA >KZN00996 pep chromosome:ASM162521v1:3:10610834:10615724:1 gene:DCAR_009750 transcript:KZN00996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCGKPSSGEEGGGGRRSPKARQAVNKGASAVVSRGNSGKRVESFRAKDRKDNGDVRVGLVDNKRTNSSRRVRDEQSEKKKTQLVDPRSIVNAAEGELIAAGWPSWLAGVAGESIKGWVPRRADTFEKLDKIGQGTYSSVYKARDLIHKKVVALKRVRFDNLDRESVKFMAREILILRRLDHPNIIKLEGLVTSRTSSSLYLVFEYMEHDLTGLASLPGVKFTEPQVEQLHKIFKLCGSPSEDYWKKSKLHKTTVFKPLQPYRRRLAETFKDFPDAAVGLMETLLAIDPAHRGTAAFALESEASTSLQGAGLKGHNVDREMRGSNDSRAIPNPDANAELAMSLQQLSECFIFLTPQKRGRSNPKTRSEQFNRHKDEVASGFPIDPPRQSQALKEVRREQMEQPPNRASYSGPLVPGVGWTKAARKYDEVSVVSTRTNLSTLSGLVASRTLTSEDARDKYFPSHQVADQAGRVSESFDKLGSSRNQDMKVQTQGITSTHQMENLRGSTKESVMGFKGKGNKIHFSGPLLVPSNNVDQMLKDHDRQIQEAARRARIEKARVNNVQRQGQQITPNPMYVANHGAR >KZN01272 pep chromosome:ASM162521v1:3:14000109:14002382:1 gene:DCAR_010026 transcript:KZN01272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASTVICIATLLLWQSVLPFAQDDGSTENAEPVKPSKEEEECNGVFASYTLEQRERELPFVKNVSAQGWAFKSSAVVMNAGATELKAWQLFIGFQNDELLVSVDGAVVVDGDSFPIRVGKNGTHLAGFPQADLKTAIDTANDMSQMAAKISIKGTQFGGQKNAKPMPKTLKIVNDGFKCPAPKMTKGGSTMAVCCVKDPKFKTKDLKTKFFPRQKGDLTFTYDVLQAYKGSYLAQVTIKNHHPLGRLDRWNLTWEWMRNEFIYNMRGAFTHQKDPSECLYGPQGRYYQDLDFSQVLNCQKKPVISDMPPTLKDDEKLGKLPYCCRNGSILPAIMNVTESQSIFQLNVYKLPPDMNRTAINPPQNWQISGLVNPTYKCGQPIRVDPSEFPDPSGIDSVSTSVATWQVTCNITRPKPKQAKCCVSFSAYYARSVIPCNTCACGCEDTDKCNPNAKAMLLPPEALLVPFVNRTAKALAWAKLRKLPIPHPRPCGDNCGVSVNWHITGNTKTGWSARITLFNWGSLPYQDWFAAVQLKKASVGYQNVYSFNGTRLPNLNNTIFMKGFKGLNYLIAEVDGARPGAPRVPGKQQSVISFSKAHTPKIDIEAGDGFPSKFIFNGEECALPTRFPGNGAHRARAADFLPVLLITLLTTLLITDRWFH >KZN02999 pep chromosome:ASM162521v1:3:40030187:40031171:1 gene:DCAR_011755 transcript:KZN02999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFGPKDSSQSSSSTGVFGSVFGPPSMGLGRDSSISGPTRKQDYGNTKQGIQDYNSQRNYKSESGGKQSKDRTSNSTYNQNELSNPCYYSSSIYYGAQEVYSPNSPSKTTTSHHAIKKDGGADDSHGSNSNSASRGNWWEGNQAT >KZN03650 pep chromosome:ASM162521v1:3:46624181:46624471:-1 gene:DCAR_012406 transcript:KZN03650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRCYSIEMYQWNLLSDLHCNVGPSVTAADYHLNFCVFEDFVSYADFFKGTLIRVYLKIDCYYLGAPSLRLFYQWAPSFITPCTKFLLKYVSAVK >KZN01160 pep chromosome:ASM162521v1:3:12392953:12393372:1 gene:DCAR_009914 transcript:KZN01160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINLNLPSDNYELNPELLQDTSSSFYSWSRVETRVFPCNYCNRKFYSSQALGGHQNAHKLERTLAKQRRELMSSAVRPHAAWNQLSGASTHVQPPGWFTSSEMKYAESREEDGQHWGSGCQADSVHQEFGQLDLSLRL >KZN01298 pep chromosome:ASM162521v1:3:14198294:14198557:-1 gene:DCAR_010052 transcript:KZN01298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELPQLSGEAVTGHGYQWTGPHHAPIVAPVPCMPVATCTRIETLMKNFDISASR >KZN03507 pep chromosome:ASM162521v1:3:45195917:45198428:-1 gene:DCAR_012263 transcript:KZN03507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITIKISVHAVVLFLLACFYVTTRITAILQNGDQNVLYKDPRQPVEARVEDLLRRMTLREKIGQMMQLERKNLTAEIVREFGVGSILSGGGSWPCQNATAEEWIRMVNEFQKGAVSTRLGIPVFYGIDAVHGNNNVYNATIFPHNVGLGATRDPDLVKRIGAATALEVRATGMQYAFAPCIAVCRDPRWGRCYESFSEDHTVVQQMTEHISGLQGEIPADMPKGYPYIGGKTKVMGSAKHYVGDGGTVNGINENNTVVSWEELLSIHMPAYPDAIQKGIATVMVSYSSWNGLKMHANHDLVTNYLKGKLGFKGIVISDYEGIDRITTPPHANYTFSIQASILAGLDMIMLPYNYAEFSNVLTSLVKKKVIPMSRIDDAVSRILRVKFIAGLFESPMSDESFISYLGSKEHRELAREAARKSLVLLKNGKFGVTPMLPLPRKGGEILVAGSHADDLGNQCGGWTITWQGQAGNNMTRGTTILGGIRATIDPSTKIVYKKNPDANFIKSKKFDYAIVVVGESPTAETKGDNLNLTIPAEGLTTIKNVCDNVKCVVILISGRPLVIEPILPSVEAFVAAWLPGSEGQGVADVLYGDYGFSGKLPRTWFRRVDQLPMNFGDTEYDPLFPFGFGLQTNAVGVRDK >KZN00498 pep chromosome:ASM162521v1:3:5813395:5816940:1 gene:DCAR_009252 transcript:KZN00498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNELVDHFSCLLKKCITIHQIKQLHTRIFLLLSQSSSVAFLAAGLVSAYSSFGCLKDARKVFDTMPLSCISNNTLLWNSILRAHNKNEGFSDTLELFFRMRGFGVFADGFTLPLVIRACAALGGGNVCEMIHSHVFRLGFQNNLHVTNALLGMYGRLGRMDVATKVFDRMLVRTHVSWNTVVSGFSLNYDCDAAFRIFRRMKVEGYEPNPVTWTSLLSSHARCRRFEEALKLYGEMRTAGVDCTAEALALTISVCANSNALHTGEVIHGYVVTAGFEDYSFVKNSLMSMYGKHRAVRDAEYLFSEIQQKDIVTWNTLISCYAESGLCDEAYSIFLELKKLDVYPMVRPNVISWSAVIDGFASSGRFKESLELFREMQHSGIFSNSVSFCSILSACAELSALILGREIHGHVIKGSLDSNVLVGNALVCMYTKCGSVREGNFVFEKIIGFDYCYEVVLSILRSDVGIENGNGLRIEPKRDHQCLDDETEGMPCPKEQATEGLKDGKESEDSKEQPKKVSDEMCSEVSDMLRRELSGIIKDWGYLCGFLTCIYSKVLSAHACEMHAGSRVACW >KZN01275 pep chromosome:ASM162521v1:3:14020543:14029217:-1 gene:DCAR_010029 transcript:KZN01275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSKIKSVDFYRKIPRDLTEASLSGAGLSILAAFFMMFLFGMELNSYLTVNTSTSVIVDTSPDEDLLRIYFNISFPALSCEFASVDMNDVLGTNRLNVTKTVRKYSIDRNLNPTGPEFQSGPVPRVIKHDELVDEEYGEGSLSLNARNFDRISHMHPVLVVNFFAPWCSWSNRLRPSWEKAAKIMRERYDPEMDGRILLGKVDCTEENELCRRNHIQGYPSIRIFRKGSDVRDEHGHHEHEAYYGDRDTDSLVTRPSWEKAAKIMRERYDPEMDGRILLGKVDCTEENELCRRNHIQGYPSIRIFRKGSDVRDEHGHHEHEAYYGDRDTDSLVTVPGNLVFAARSGSHSFDASQMNMSHIITRLTFGKKITPKGMTDVSRVLPYIGQSHNKLYNQAYITHRADANITIEHYLQVVKTEVMTKAYKIIEDYEYTAHSSLIASPAVPAAKFHLELSPLQVLIEEKPKSFSHFLTNLCAIIGGVFTVAGILDSILHNTLRLIKKVELGKNF >KZN03303 pep chromosome:ASM162521v1:3:43394582:43396761:-1 gene:DCAR_012059 transcript:KZN03303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQLEGLLGSLEQFSHPKAENSFGDIGDKVVADFGCGCGTLGLAAALLGAEHVIGVDIDVESLAVASANAEDLEVDMEFVQCDVRNFGWRGQIIDTVVMNPPFGTRRKGADMDFLSVALKVIIDCSVCLMPVNGFAQCYLNHLFGRLLLKQFIHCTRPVREIKGNVDDMNGKWREIQIMHGFVE >KZN00237 pep chromosome:ASM162521v1:3:3339611:3339790:1 gene:DCAR_008991 transcript:KZN00237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTNSSRVRVLKFPLRKWRKKRRRSFRSKQKIAKQLSLKPELPEARAWITRARVLKLT >KZN03578 pep chromosome:ASM162521v1:3:45977907:45983149:-1 gene:DCAR_012334 transcript:KZN03578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQQTYNFDKSQAPQRLYVPPSSRSHDNFEDTDLDNIEYDEHDGVSEQEHTISHDDGAEIDPLDAFMEGIHEEMKAEPKAKAKVLEKYASDEEDDPMESFLKAKKDVGLALASEVMNAGYNSDEEVYAAAKAVDNGMVEYDDEDNPIMVDKKRIEPIAPLDHSCIDYEAFNKDFYEEKPSISGMTEQDVSEYRKSLAIRVSGFDVPRPVKTFEDCGFSAEIMKAISRQAYEKPTSIQCQAFPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFSKSNGIRVSAVYGGMSKLEQFKELKAGCEIVVATPGRLIDLLKMKALTMSRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILTDPIRVTVGKVGMANEDITQVVHVVPADGEKFPWLLEKLPGLIDNGDVLVFASKKATVDMLETQLAEKGFKVAALHGDKDQASRTETLQKFKSGVYHVLIATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGDLANSLVAAGQDVPMELMDLAMKEMLMGISVSSGICGVLHKSFPATEDGKFRSKRDARKGGGKRAKGRGGGGNRGVRGVDFGLGIGYNTESKSAPSQVVPGRSAAVNSLKTGMTSQFKSSFVAASSGSLNAGLSNSSGMQAGNRVLRGFVSGGSIGGGMHTPPTSSQVNPSPVQSGPRSSESRGNTSQKNSESSKERARERRRPSGWDR >KZN02967 pep chromosome:ASM162521v1:3:39685507:39687994:-1 gene:DCAR_011723 transcript:KZN02967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAVATVGTVNRAPLNLNGSGVGAALVPSSTFMGSSLKKVKSRSTSPKVSPGCFKIVAGDVDEKKQTDKDRWRGLVSDISDDQQDITRGKGMVDTLFQAPSGAGTHDAIMSSYEYLSTGLRTYMDNNMDGLYIAPAFMDKLVVHICKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREASDIITKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCNGIFRTDNVPKEDVIKLVDTFPGQSIDFFGALRSRVYDDEVRKWINGVGIEKIGKRLVNSKEGPPTFEQPKMSLEKLLEYGQMLVQEQENVKRVQLADQYLKDAALGDANRDAMARGTFYGKAAQQVNVPIPEGCTDRSAENFDPAARSDDGSCLYTF >KZN02186 pep chromosome:ASM162521v1:3:30637342:30646348:1 gene:DCAR_010940 transcript:KZN02186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPNRPARIRPYFVKVICKRFSKKLLIPRKYVSLHRETLGKECVLWPSHTRESFRVRTKNIDDELYFKKGWKVFARTHSLKFGDLLIFRHVRDSEFDVDVLDMNGTPREPVLSENDHILGEVARAPPSHIGRQKNITETAALTGADALISSSDAPFFKKIMKAAELKPGGYLYVPARYAKDYMKDGTNDVKIEYSDKVWIAKVLRRRAGDRRTFLDSGWSYLARESNIQEGDVCVFQLISTEDNTLKLTIFKNNNAGGPKTSTSQTGRSKMSTLQTGRSKKSILQTEAISSAEALAASSEYHSFIKIMKPAYVRSNGCLHVPEHFAKKYLRNSSRDVKIKFLDDVWILRRQPSEVRTFLGGWSDLARKNSLQVNDVCVFQLINTKDCTLKLTIFKQTSGENQGHKQCQAKLKSRSSYSVKSKKYSKRAFEEAKESEALPNKSFKCGEDQKHGHLAEVKLESSDLDLEITLVSKGPSPSDKALEDAKKFQSESKNTSFVAVMRPAYINYSYLHVPKTFVDEMDKKASGSQLKLQSSNCEMNAKLIFMKLPGMLGAKIRMLLFMLLSTLAAPLLDMARRPKSSTTTKRVFIKVLFSGFMKKLLIPRKYVMLHGETLGKECVLRPTHTSDSWRVRTKCIDDELYFKKGWKKFAKHHSLQFGDLLIFRHVQNSEFDVDVVDKSGIPRKTLAFRTDQVMKDSAPVPTSRKKKAILQTAALTAAEALIASSEYPSFYKIMKSAYVGSGGYLHVSFDFSKEHMKDYSGYVKIRLSESKAWMIKVLRQASEGRTLLSAGWSNLARENSLQVNDVCVFQLINKKDYTFQLTIFKHISGGNQKHKNCKVKLKSSDSEPIPKSKKNSDKAFEEAERFKSMSKHHSIITVMHPAYVKRAFLHVPSAFHNYMCKEEGENEVRLQCLGKERKVIMRNVREQCRISKGWGAFVKENSIEVDDVCVLELISKEDALIKMIPPKFVRLHRGTLPRKCLLKASHTQYSWPVRVKQMNNFLYFKKGWGKFAQFHSLKSRDLLVFRYDQDSVFSVDMFDESCCSKKLVTTSQNEKPRTKSSPAIESSKFKTFVNHKKPGGLIAAEELMASSEFPKFILVMQPIYVKSGGYMHVPQEFANMHLEESPRELKIEVGDKTWSIGIVKDRRSRRLTKGWCPFVRENGLKLGDVCVFQLTNAQDFTLKLSIFSSTT >KZN03281 pep chromosome:ASM162521v1:3:43148201:43151083:1 gene:DCAR_012037 transcript:KZN03281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTQYSEQQFLKWVKFVGTLKHSVFASANNKLFPSFTLTVDRNPATGDFTSIQEAIDSLPFINLVRVVIKVHAGVYTEKVNIPPLKSFITIQGAGADKTIVQWGDTAKTLGPRGQPLGTFASASFAVNSPYFIAKNITFKNTTPVPAQGSMGVQAVAFRISADTAAFVGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNGLSLFEGCHVHAVAPKLGALTAQGRGSLLEDTGFSFLKCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPAREMTVYYGQYKCSGPGANFAGRVSWSRELSDEEAKPFISLSFIDGSEWIRI >KZN00194 pep chromosome:ASM162521v1:3:2957675:2958485:-1 gene:DCAR_008948 transcript:KZN00194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLKLFRTWSSAYGLRIVWALKLKGIEFDTIFEDLADKSPLLLQYNPVHKKIPVLVHNGKPVCESLVILEYIDETWNEAYPLLPKDPFKKAEVRFWTKFNEEKLLPSIKKASLGHGREKEEARALTPEYLKHAEEQLEGKKFFGGEKIGYLDLVFGWMAYLINVLEEVDGTTLINRERYPLLTKWMEIFYEIPVFKESWPDRDMLITKFQNYVWT >KZN02308 pep chromosome:ASM162521v1:3:31887146:31888306:1 gene:DCAR_011062 transcript:KZN02308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTSLAKLFGNLQEKNPDDYKLCNLSCIACSFAMPLFIRVFQGWDPLQNPTHGLEVISLWKNLLQSDLTAPGSPYAQLIMEVVVPAVRKSGTNTWQAKEPEPMIRFLESWEELLPIPVRQTILENAVMPKLSAAIELWDPCRETMPIHLWLHPWLPWLSQKLEIFYNTIRIRLESVLPAWQPDDISAFCILSPWKTVFDSVSWEQLIDRYIIRKLLTVMHEFQVNPANQNLDEFYLVMTWATAIPISNMLYLMDVFFNKWQEVLYHWLCLRPNFEEVTSWYVGWKELIPHELLANEHIRYRLIVGLEMMNQAFEGIEVVKPSNINYYGQPKQRQFGAVQTASYNPQQASATPAGATQIGGVGGRNEMSFKRCYFVLCAEEWIII >KZN03109 pep chromosome:ASM162521v1:3:41342408:41345313:-1 gene:DCAR_011865 transcript:KZN03109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVLFFPGEFDQKCKSNLHLSSRGLLGSSVGLNRNFLGYALTRLLLQLALITILTQSLHLLLKRFNVPRITSEILAGILLGKTVLRRIIGETQEKLFPSNDAILDSFAKIGFILFMFLVGVKIDPGLVRNAGRKGWTIGLVSSVVPIMAATYMSNQFDILLPLYRRPTTKTIAGILTSTPFPVIVALLIDLKIMNSELGRLSLASALISDLTSTSYAVISTNLRIGFGVNPMLAVQSTSFDALLLCVIMITRPLFLLIIQSTPEGKPAKRIYISIICAWVLASAIISDNMGLPYQFAPFLIGLTVPDGPPLGATITDRLETFVLGLLAPLMLTYSAIHIDLFIVYDVSYLEFLWVVILILVALKFVVVFSVALVKRVPVKEALTLAFIMGAQGVVQAALYDLNFRNQTIDDVAFSAVILSLFIVATFTHISVQLLNDYTRKYTGYQRRSIIHTGINAELRVVTCIHRQDDALAAIKLLEASNPSRESPLSVYALHLVELVGRATPLFINHSLGQKHQSGAQPLIDLFESFGHQYTGSAVVQVFTAMSLPMFMDQDICSLAFDKLAALIVLPFHRKWNQHGKVVYDSSIVRTMNQKVVEMSPCSVGIYVDRRKIRHKTDNDQEVPINQQYQVAIIYLGGNDDREALAYGKRMMFSIAINLTIIRLVPVDEGSDTQWDKLLDAESLREMKFQSTHRSNVIYQEHKVKDGPETTAIIKNLMDSFDLILVGRRHKEDLQALSGLWEWTDLPELGPIGDYLASADISKPVSVLVIQQQI >KZN01388 pep chromosome:ASM162521v1:3:15345158:15346974:-1 gene:DCAR_010142 transcript:KZN01388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNAPPGFRPTKSAPCSPAKPPLGLSRTGSQSFHVAHKVPLGDSPYVRAKHVQLVDKDPEKAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRPRCSEQSQESLDNILLDLFKRCGRLDDQIGLLKHKLFLIQQGVAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYRRALVIAPDNNKMCNLGICLMKQGRISEAKETLRRVKPAVADARGIDSHLKAYERAHLESDMMNNSSKDQIQQRKLFDAFLSSSAIWQPQPCRESSSAKPQDDFADENVNSQNIVQKIGISTNSSQLQDRLKRTRSDNAANFDRENETGKSWKPPISKAMSSHSNKPKETGDETNDSSKEFEEAILAAVLGSSSEFGNVVKAMSNDGGIVVPRKVGKRLKVFQDITPSLSPRA >KZN02523 pep chromosome:ASM162521v1:3:34218246:34219022:-1 gene:DCAR_011277 transcript:KZN02523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRSKRFFRSNSSKLRGGDNGGSNSGKGGAASIPVGEIKWELRPGGMLVQKRESSCASSDSSGELKMILAVITSLEPKEQRILFKGKEREDEDHLHMVGVRDKDKVLLLEDPAIKEKKLLLGLTGRVRPIGPPPAFRTITV >KZN00615 pep chromosome:ASM162521v1:3:6952144:6955581:-1 gene:DCAR_009369 transcript:KZN00615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLTLTALLKQVAAKYPDRRAISLSGQFDITHARLDQLIERAASLLVSADVKPADVVALTFPNTVEFIIMFLAVIRVRATAAPLNAAYTSDEFEFYLSDSESKLLLTSKQGNAPAQAAASKLKIPHITATLPAPNSEILLYPTQSESNSLSQIINESSDIALFLHTSGTTSRPKGVPLSQLNLASSVQNIKSVYKLTESDSTVIVLPLFHVHGLIAGLLSSLVAGASVTLPAAGRFSASTFWGDMNKYNATWYTAVPTIHQIILDRHLNNPEPRYPNLRFIRSCSAALAPSIMERLEEAFKAPVLEAYAMTEACHLMASNPLPEDGPHIPGSVGKPVGQEMAILDENGVAQEAGAKGEVCIRGPNVTKGYKNNPEANRTAFQFGWFHTGDIGYLDSTGYLHLVGRIKELINRGGEKISPIEVDAVLLSHPEISQAVCFGIPDDKYGEEINCAVILKEGSEIDEAEVLNFCKKNLAAFKVPKKVFITDSVPKTATGKIQRRIVAEHFLSQISTAKVPKFGA >KZN02420 pep chromosome:ASM162521v1:3:33355361:33355519:1 gene:DCAR_011174 transcript:KZN02420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMSYILAQITIRAFNLLEKLDKIDGLLVSTVQTVLSYARASSGKFRNTTS >KZN01186 pep chromosome:ASM162521v1:3:12760878:12769263:1 gene:DCAR_009940 transcript:KZN01186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGKKVGSKSRVVETRAGVDSEVDEPIRDMVKMKSKRNQKKRKVGFNGEGIGDREIGLGEGIVLDLSEDLGGMGDTDTLASFKKKLKKPRKKTLSGMIGGGVPNMIGAEPSGQKSYTEGVKDVVLAMEKSKEVICEGSHEIIGASNECLTENIEDSLSAFMLKAQSGLKRKSRNSMGLKQLKKNQCTNGDFSPGPDHVLENHLPETMASQSPLELLTDVKGFDSGLNMVSGSSVDIKCDKNNSIKKSQDTGCMFSQASKLSSTPVIADYVLRSTADRHSGAEVKLDDSATISFSQQSDSYLKSCAISTNQDEVIGQICDIQEGTKLETLGLDHLIEENAKGSICLEVKEINCLSEEPTLGNGSLEDDIKISSIEKSCDLGHSVIETPLSVHALQQVHTINVFNVERPTEGFSHSLAQEGSKAVAKSEASSPDHNICPKLENDPNRSYLGKDSMNKPYEVTTDETTKLIPEELTGSTFLLDNTVNFNVKKFETPEPEKPNFASASSQEEASASYAVSPESQSGFHKQDIPFRENQSRDCKRNGDESDSLMDHELLDKYDTRDEVADRSSSPSTAPDCSRYCADDMEPVPYSNVKDEKLPSERAIRNTRKHRQWDMAYEGDADWDLLMHNENFIADNQDEDDNLVRKREKLKLSNMFLDAKIDRSVAVSYGLKARAASPLEKIRFKEVLKRKGGLQMYLECRNHILGRWNKNVNCILPLSGCGVSASPVVDESPEYSLIREIYAFLDHFAYINVGIASEKSLSESHSKLDLQVSDEKNLGGKPEAAFGDLDDGVSFIIGRNLDASYEHKNMAVHDNENQVTIDKQDRPPPDLQDMKSSTPTEPENCDASPEQGKVDDRDLIRIGSLDISSKVVDGKTAPVMHAEPKKNICHVISDTGGHQSVSPHMQIDSEVGKQIIVIGAGPAGLAAARHLQRQGFQVIILEARGRIGGRVFTDRASLSVPVDLGASIITGVEADISAERRPDPSSLICSQLGLELTVLNSDCPLYDTVTGDKVPADLDEDLESEFNSLLDDIELLVARKGERAMKMSLEDGLEFILQRRRLVQNKKIGVIGNEVLKDSDSKVEILSPLERRVMDWHFAHLEYGCAASLNDVLLPYWNQDDIYGGFGGAHCMIKGGYSTVVEALGQGLCIQLNHVVTSVTYHTEDVMTNGDKHDKVKVSTSNGRDFFGDAVLITVPLGCLKKESIKFAPPLPPWKQLSIQRLGFGVLNKVILEFPEVFWDDSVDYFGATSDATDKRGRCFMFWNVRKTVGAPVLIALLVGRAALDGQDMSSSDHVNHALVVLRKLFGVSVVPDPVASVVTDWGRDPYSYGAYSYVAIGASGEDYDILGSPVENCLFFAGEATCKEHPDTVGGAMMSGLREAVRIMNILTTGVDYTAEVNAMEAAQRSVDSERSEVRDIAKRLEAVQMSNALYEKSLDGSHAITRKDLLQDMFFKAKTTSGRLHLIKELLKFPVRVLKSFAGTREGLSILNSWILDSLGKDGTQLLRHCVRLLTLVSTDLLAVRVSGIGKTVKEKVCLHTSRDIRAVASQLVNVWIEVFRKQKASSGGLKLLRQSSAIESSKNKYHLGSGKPPLRTPHGAPPPNNKKGNINPDKMENRIETKSKAKLLSAGSTGRHEFKMEEQEYPMSEEEQAIFAAEEAARVAAIAAAKAYASSGTRCNTPLQFPKIPSFNKYARRESYAQVDETDNHILEIDSRNCKVREWSADFSAAHIDLESSKRSVDNLSQRSYSNEIACQMNFREHSADSAAVDSSIFTEAWVDSAGCEGIKDVSAIDRWQSQAAAADAEFYSRTMRIMDEEDSNVNLKPPVKNHDGHANGSSASQVTMSKELVENQPRGPEKIKQAVVDFVASLLMPIYKDKKVDKDGYKSIMKKTATKVMEQATYAEKSMAVVEFLDHKR >KZN00343 pep chromosome:ASM162521v1:3:4368717:4370038:-1 gene:DCAR_009097 transcript:KZN00343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGQPFSSQIYAFLDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KZN01660 pep chromosome:ASM162521v1:3:22705844:22706968:1 gene:DCAR_010414 transcript:KZN01660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWTPDFDRSGMLFMFVRRDDDRYMIYKFDTPLPVENSESRSFDILPLVVFEDGVLPHCECSFVFIYPYFYIVGAKKEDVFTIDLNVLIALKPSETERGAEFVTRMQPMIADKNPSLAFSFRHKLYVISVYTCPVSLKTENRHEFEVYSSSTNTWRDLGNTPLSRDLLPVYVDSHLVIGTMVYFTTSLDVVMSFDLNGETWTTVFDPYGVLAPTFRYVPPLPTFKSQTLIIGSLIFGLSKRRRNGYYDICASNTFNPGSDYFLRPVLVPDQQVLKVVVDAPMDLPSWSQFIFALGEKVLCVVSYGSDMMQDEHGLFCYAELSFFSCLPVNPETGYSGDMCCSDAKFCGRTRFFIRTDKQFTHGVPCSCIFVDQ >KZN01932 pep chromosome:ASM162521v1:3:27117835:27118296:-1 gene:DCAR_010686 transcript:KZN01932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLRVSFVMAVFALFSALLLLPAINAQAPAPAPAPSNNGAALDQGIAYVLMLLALAVTYIIH >KZN03615 pep chromosome:ASM162521v1:3:46341292:46342165:-1 gene:DCAR_012371 transcript:KZN03615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCCVVLGLSLLKYYYTLVKEFVFWESAGHLHIAEVFACADSSLDVYELNTDRSGWFLKFKVDLDQLSKDFPVMSDNKFTFVDEYAFSVLSLVRGREDFDEDPVLVLEGPGKVIVYNLVDQSWKEVCNFCQADKARDWPCGIFKAIDYNESLAPVSW >KZM99968 pep chromosome:ASM162521v1:3:614567:615999:1 gene:DCAR_008723 transcript:KZM99968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSANSGSMQSSSGGDEEYDSSSHPHDHNYLLNPQTNPLNHLTHTNPSTFLYSNDPYNNNNNILPTFSHSPSTSTLYNTTNIQDFWSSNPQNDQTTQPPYTPSQSLNLDHPLSNSLPQPDHNPSSHASKNPKKRTRASRRAPTTVLTTDTSNFRQMINGNGDSLNRFELQTKLGFHV >KZN01200 pep chromosome:ASM162521v1:3:12992708:12995489:-1 gene:DCAR_009954 transcript:KZN01200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDPRQYENTAINDDDIHNIVLSYLVHNCYKDTVESFITTTGVTKPVVFEDMEKRKRVFMLALEGDALKAIELTEQLAPGLLDKSKDLHFDLLTLHFVELVCSRKCTEAVEFARTKLTPFGDVQKYVEKLEDFMALLAYEEPEKSPMFHLLSSEYRQHVAESLNRAILAHANLPSYPAVERLMQQTVVVRQYLDQEQDKVALSIVFPPLL >KZN00255 pep chromosome:ASM162521v1:3:3465431:3472180:-1 gene:DCAR_009009 transcript:KZN00255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKAEKREAKGEMAGSSGVSSNTTPSLAPKILLAKPALVTSSAAKYNRSGADDDSTSSHSIRSRTPPIGSLNLISDSSWDFHADRFLPFLTDNTDFTVVGVIGPPGVGKSTILNELYGFDGTSPGMLPPFAIESEETKASARHCTVGIEPRISNERIILLDTQPVYSPSVLAEMIRPDGSSTISVLGGESLSAELAHELMGIQLGVFLTSICHIVVVVSDGLHDMNMWNLMSTVDLLKHGIPDPSSLTLQYPQSSNLGASDKESKDRIQDGGEEYVATPVFVHAKLLDQDLAPHTLVHLKKGLAQYFTSSSFVRSKNQNAVKDSNNSSENLISQIYDLESEVKLYLLPYQRKDGSSKAQYSSSGFYLVAANCSFLIIDTKRRPSLHKLV >KZN00212 pep chromosome:ASM162521v1:3:3128954:3129325:1 gene:DCAR_008966 transcript:KZN00212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGDYKNLLNFHLHIHHHHHHHHHKKDSKEIPKGCLAVIVGQGEEQQKVIIPVIYINHPLFMQLLKEAEEEYGFDHQGPINIPCHVEEFKNVQGLIDKDSSLHHGYGHGHQHHNHHVWCFKA >KZN02391 pep chromosome:ASM162521v1:3:32985149:32987924:-1 gene:DCAR_011145 transcript:KZN02391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSRIINTLILLIICLADAEDTRDSSSSLSVTTSESERKALLSTGWWGNQIPLYNSSRWQHCNWRGIHCSNAGRILSIDLNGILIGDDLGKIDFSSFPYLQRLDLSCCGLNGSIPFQIGMLSKLNYLSLYANYLTEVLDLSDNDISGSIPSGISSLEILYSVDLGANQLTGTIPFSLGSLTKLKHLNLEFNQFNGSLDFFQLANVTHLKNLEHLDLGHNKLTGFVPREFGNLSNLVYVNLQENNLTGIIPSELGNLRNLVSLYLGKNKLRGTIPSALGSLTKLNHLDLGSNRFYGFLDFHLANLTSLVNLVYLDIGHNKLSGFIHPGFKNLSKLVYLNLQENKFTGIIPLELGNLGNLVSLLLGQNKLTGTIPSTLGSLAKLNYLDLSFNQLNGSLVFLPGEISQLVKLDVSHNSLTGFLPVFRNCKTLLDLKFSNNLFSGHIPEELGDCTSLESVSLNNNNLTGSIPNKFSCFKKLNYLNLLQNNLSEPTPPIDFPYEQHKCYDSEGTPQKRKSVFPVLYIVLPLAIGVPLLILAFVFFCRQTPPVNQIKTSPGNGDMCSVWNFDGIIAYEDVIRATNNFDIKYCIGTGGYGSVYEAKLPSGNTVALKKLHRLEAEEPAFDQSFRNEVKVLTNVRHKNIVKLYGFCLHNRCMFLVYEYMDKGSLFCSLRDDAHAVQLDWNKRLNILKGIAAALSYLHHDCSPPIVHRDVSSNNILLNSKMESFLADFGAARLLDPDSSNQTMVAGTRGYIAPELAYTMAVTEKCDVYSFGVVSLEIMMGSHPGDFLSSFTTLQSYSHRMLNDILDKRLPLPTKPQENDIIHVIKQAFACLHLNPKFRPPMKDLLKEFSPKILDANSNHPTSKILATERIDILE >KZN00183 pep chromosome:ASM162521v1:3:2838214:2839566:1 gene:DCAR_008937 transcript:KZN00183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRADWDGLPGDILIVIAHMLTCCEDLYRISAVSKSWNSVVSGLSRDKKPLQLPPESPLLFLAEQVAEGSAFSCDFNDEYHEEGMVEINVEDEDEDEDQDEEHYCYHERYDYRKSSVSGTRGLHRLATGKTYSVELPEASGRLILGTNKGWLVTLGRDLQISLLHPLLRQYIPLPHMGTFLHQHYAKSPISPEDASEEFILRVAMSCKLHPSKNNGMYSSNPIVMAIYGARRYLAYARLTDKVWTEVFFPIMAPFIEDIACYKGKFYALNGRGDLFVCDIDDNSETQGRAKGTKIFSRPTDLDIGINYDNSRTYLVESEFGFWLVVREFKAKYFKAPHRARVKYRTCNFTLWKMELKYSDHPSELPSCTCIPENNLGDQALFIGRATCLSLPSSQYIRPNCIYFTDDTEVSFHKGGGHDMGIFNMETHTIEPFFQGKSIHPISPPLWYI >KZN01862 pep chromosome:ASM162521v1:3:26234309:26241448:-1 gene:DCAR_010616 transcript:KZN01862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHEGLSQPDVFYPNGLLPEEASSVTRVLDLERWSIAEERIAELIGNIQPNKPSEDKRNAVANYVQQLITKCFSCQVFTFGSVPLKTYLPDGDIDLTAFSKNLDLKDSWATEVRDMLQNEEKNDNAEFCVKEVQYIQAEVKLIKCLVENIVVDISFNQLGGLCTLCFLEEVDSLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVYNSNFTGPLEVLYRFLEFFSKFDWEHYCVSLWGPVPIVSLPEVIADPPRKDGGDLLLNKVFLRACSTVYSVFPGGQENNELPFIPKHFNVIDPLRANNNLGRSVSKGNFFRIRSAFAFGAEKLARMLDCPRENVIAEVNQFFLNTWDRHGKGHRPDALGSGLRSLRPLNLNQVNGSSDVTYPLNSKKIQSAGREVKLQKSSHLSNGILQQKGNQPFKTNSRTINNTAALQSQKTSANSTCSVVSNQNHHTPPEDDGKHNAHIDNGRNKLDQMGNKMNLRYQYERTNSTAERMGRENESLHRSRHNRTSETVREQAAASRLDYGRKNSSHESSTDNDARSNTAFSSNTAPNRLPSHSASNARQEDGNSAPETLQMHQEEQDLVNMMASSRVHSLEGHYQMPMKFASSHLPVPFSPSYLASMGYPNRIPSGMGPNGFNPYEMPWALHAHYSQGLVSMPQFTPNVRTAAHHQEEIVEPNEDYLTYRDIHNDDVDHGQWSEQNENSVQGCDPDDESLQVQQSENKKPLFSGGSTVVNAPHLPSRENYLVDGHGSIYEDRSSMENIVDNHRHHRRSEVYSSSQGSLPAARTTSSRSKLSSEGSCDGSSLKSSRSVREIRERVDRKSVQPVEHSQIYKNGLQHENDSVDFPSPRAADDSRDWIPLSTVDSEVVEDTGHRNVAPFLRLHQIPSYGTAQLSGPNSLGSVAPIPVVNESWQRTSDDHGSLPFAFYPAGPPVPFVTMLPFYGFPSETEASDGSANHFERDEDFDPSHINQSGQRTTESVDHIQNSGQLNKKWAPSEELSPEKSDFLNGDFISHWHNLQYGRSCQSPDQEPVLCPPPVVPPMYVQGHMPSDGPGRPLSANASLFTQLMGYGPGTVHVSPLQPGPNRHGGAYQASGDEIPRYRGGTGTYLPNMKLQLDRQSSKARNSKGNYGNDRKNHNADRDPNWGLDSKLQYAGRGQSRNQAEMSNTRIDRHVPNNSRPDKKLYTVNPYSMRSNHLQNGPISSSKSFVQASSNVPYGMYPVPVMNPNGAAPSGNNVPSVVMFYPYDQNMGGDSPAERQLKFGSIAPMHFSNLKDAAQLGRSSSNDDRQQRNFSVGSGHSSPDLPSSPMHHR >KZN02353 pep chromosome:ASM162521v1:3:32383296:32385618:1 gene:DCAR_011107 transcript:KZN02353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVWTDQRLAVLVTNFFNYVYSQDTRDSYVLLLQHLREPLLELTDEEANDYPGDAGIINKLSEIAESYKNKTQHYFGLKIRLGKPACKELRALVKHERFNEYLPPDAKPTRVTDVNLSGSASIMFCWSDARLRSLVYVYHSCLQDFACGQELKMKVEELEVEVAELKNIIKLLRIVIKNNESKIALLEKHKEERAALLHSALEMMMGCGEVRKERESK >KZN03155 pep chromosome:ASM162521v1:3:41800846:41801902:-1 gene:DCAR_011911 transcript:KZN03155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKSPVSSSFICDICADRKPSKKSFAIKGCNHSYCSDCIRQYVKSKIEDGVIRISCPVSGCYRSLKPEHCRSILPSKVYNKWQDKLREAAIPVRDRFYCPYKFCSALMAKGPDFKDILDMECHSCHKLICAKCNVPWHYGLDCQEFQNLHQDERENEAVMLMQLAKQKGWTRCPQCKFYVEKIEGCFHIRCRCNNHFCYSCGGQLGADHRHICPKARQ >KZN03610 pep chromosome:ASM162521v1:3:46297531:46300856:1 gene:DCAR_012366 transcript:KZN03610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLCLKTGLMTAQIIVSDVRTTPAKIPAAVGRSPANGSASKWGFSMKYPFKSLWPVGGRRFEAVSQNDVVSVEEDDEEESEGGFGGRRDGNWVLKILQLRALREREERDEKSDDFDARSDEFGEESDEFDEKRGGFDEESECYGEECGLCGEGEEGNVVVDKGLFSKMLRRVNLGEARLYAQLSYLGSLAYSIPRIEPGNLLKYHGFHFVTSSVEKQEQALKAEREKVLKDKGSADYQNKEKSSPDSQKKENVSAEVLEKENDIGEYSEEKQATGAEETEENPKDMAETDEEKERENQLSAYVAYRIAASAASYLLYHTTNLLPFRSSNAEVREDLSKDSVGTVNMLNNVDMMNGDVASLIATTDSVTSVVAAKEEVKQAVADDLNSTSSTPCEWFVCDDDKTTTRFFVIQGSESLASWQANLLFEPVKFEGLDVLVHRGIYEAAKGIYEQMLPEVRSHVKNHGDQAMFRFTGHSLGGSLSMLVNLMLLIRGDVPPPLLLPIVTFGAPAIMCGGDRLLQKLGLPKSHVQSICMHRDIVPRAFSCNYPTHVTELLKAVNGKFRGHPCLEDNGLLYFPMGEFLILQPDENFSPHHELLPSGSGLYLLTCPASDDKEAEKHLRAAQVAFLNTPHPLEILSDRSSYGSEGAILRDHDMTSYLKTIQNFIRQELNQVRKTRREHRRKFMWPLIARSNLNEDIAVGSPVASSTSSNNEQFNFAGVLHTGRESFRRLTKLLTSRHMHVVLVFLFPARILILGAYNMINFH >KZN00457 pep chromosome:ASM162521v1:3:5429450:5432055:1 gene:DCAR_009211 transcript:KZN00457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTCCCFFTSNAYLRPSTLTTSCLSPVSSLSPVVFSGGLKSKRGSSVVTRAVPGPNTYIFAFVFPLSLLVATVFTTIRISDKLDEDFLRELAVNKAILEASEDDDEVDGTSIMKEPERPRTRNRPKREAEVSSK >KZN00320 pep chromosome:ASM162521v1:3:4176120:4177409:-1 gene:DCAR_009074 transcript:KZN00320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHIYGATRDLSLLVLSLLFLSAYALPATSSQINSNSVLVALLDSRFTELSELIEKALLLQTLEQAVTRHNITIFAPQNEALQQNIDAEFKRFLLEPRNLKSLQSLLLFHIIPKRIESYHWLHAKHATLSGPHLNLKYNHLKSEHFVGEAKVTRPDDITRPDGVIHGIERLLIPKSVEEEFNARRSLRSISAVLPEGAPEVDPRTNRLKKPVPVPVGAPPVLPVYDALAPGPSIAPAPAPGPGGPKHHFDGESQVKDFIQTLLHYGGYNELADILVNLTSLASEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHLIPEYQTEESMYGSVRRFGKVNYDTLRLPHKVVAEEADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDGVLFPAEEETKPVKKIVPAASKVAAKSRRGLFQ >KZN02582 pep chromosome:ASM162521v1:3:34775601:34784705:1 gene:DCAR_011336 transcript:KZN02582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFSKVELKSRGSIAEQSQNSLESAGALQAPLCRPWDRGDLQRRLATFKSMTWFAKPQVVSAVNCALRGWINVDSDIIAFEKAANVFSLKLDNGHKIFCPWVDNACDEALAQFPPTTSTMLVDDYKKRYAALFQLSALPVISLSAIDLMKSPQLDDFLKESSTIKFINMSADASSLECLVNESQNVSSPYYQALRLVSLCGWEFRLVPYFVDYEIRENSSVKDVNLSKPSSVVSIEENPSVEGISEPNEDLSFANDSVASNKQYDPSSVVLGCQLCGASVGLWAFSTTPRPVEYVRLVGEVNGEIGDAYHKRDTVIDNDLVTNQTLATANASDISKTGTRSLVEIPSSLNLTIAGGPSPAKQNFVPTISLPVIGRNLRARFSSEFESRPGDGQSNGTDNECLGPETNEQCYTLQSENDDDMLVEAPVTDEQIIVETDRLESMIKNQPDNSLTINQATGELLMLEDAAVIKSSSCEEVLRPSELRDSGVSTSGGNISSADVETSMSDSLMMVACAQRAPSSEMVCSKHGYSQEGHGNKATMQEVNSMTNKGLDMKQSPLPKKMEFDPIRQHRHFCPWITSTGSSLPGWKQTLCALQRQKEFSSPSSARSLSSSLIEVDDPVASIKKLFMSPSSKRAKLADN >KZN03502 pep chromosome:ASM162521v1:3:45149876:45150950:1 gene:DCAR_012258 transcript:KZN03502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSAAAISPYEVEEVRGVLHIYSDGSIVRSAKPSFTVPVDDDGSVIFKDAMFDAANNLQLRLYKPAASSSSCKLPIFYYIHGGGFCIGSRTWPNCQNYCFKLASQLQAVIISPDYRLAPENRLPAAIEDGFMAVKWLQEQAVSEEPDAWLNDVADFSRVFISGDSAGGNIAHNLAVRLGAGSAEVAPVRVRGYVYLAPFFGGTVLSKFEAEGPQEAFLNWELIERFWRLSIPVGETRDHPLVNPFGPVSPSLEDMALDPILVVVGGSDLLKDRAEDYAKKLKEWGKKIEYVEFEGQQHGFFTINPDSPASKELMLVIKKFITENSS >KZN01357 pep chromosome:ASM162521v1:3:14846028:14847713:-1 gene:DCAR_010111 transcript:KZN01357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLLRCAAVISGFLISVIGELEAPAICHCSTQALFCRIRSGYSSSTAWWAICRFGIEQEYTLLKKEVNFPIGWPTEGYPGLQGPCYCGIGADKAFGRDIVDAH >KZN00766 pep chromosome:ASM162521v1:3:8268722:8273441:-1 gene:DCAR_009520 transcript:KZN00766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERLFKDEATEEKGDRARMSSFVGAMAIADLVKTTLGPKGMDKILQSTGRGNSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLIATKIHPMTVISGYRMAAECARNALLEKVMDNKDDTDKFRTDLMKVAMTTLSSKILSQDKEHFANLAVDAVMRLKGSTNLESIQIIKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMARVAEIEGAEKQKMKEKVQKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLGLVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASFHVLDEAERSLHDALCVLSQTVNDSRVLFGGGWPEMVMAKAVDELARKTPGKKSHAIDAYSRALLAIPTTIADNAGLDSAELIAQLRAEHHKEESNAGIDVITGSVGDMSVLGICEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >KZN01473 pep chromosome:ASM162521v1:3:16684346:16686005:-1 gene:DCAR_010227 transcript:KZN01473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVQDVIAHDVINPDKIEVEFDSIGGLENIKQSLQELVILPLRRPELFSHGKLPGPQKGVLIHGPPGTGKTMLAKAIAKESGAAFINVRLANIMSKWYGDAEKLVAAIFSLAHKLQPAIIFIDEVDSFLRKRSSRDHEVSAKMKTEFMALWDGFTTDQNARVMVLAATNRPSALDEAILRRLPQTFEVGLPDHRGRVGILKVILKGEKVDSSIDIDHIAGLCDGYSGSDLLELCKQAAYSPIRDLLNAEKKGLKLSEPRLLSQRDLEKVIAAKRKITKGAASEYHFSMYI >KZN00420 pep chromosome:ASM162521v1:3:5207375:5207895:1 gene:DCAR_009174 transcript:KZN00420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSQLPRLPAFHNFFKVRIGKKVTMSRKNGNGQKLDLKLNLSPPTRNTRAATPSRSTVSPATPPISCVSSEANQEDVGDPRDSSSPEASSSSMVLAGCPRCLMYIMLSEEDPRCPKCGSTVLLDVLQDHNSSATTKNAGN >KZN00709 pep chromosome:ASM162521v1:3:7810969:7822952:1 gene:DCAR_009463 transcript:KZN00709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDYDSSSSSPLDSDSPETNVSGFCIIEGPETVQDFAKMEIQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKNAELGISNEEPETELPNFPSFIPFLPPLTSANLKQYYATCFSLIAGIIIFGGLLAPTLELKLGIGGTSYADFIQSMHLPMQLRWYNSKISITYSEVDPIVASFSGGAVGVISALMVVEINNVKQQEQKRCKYCLGTGYLACARCSSSGGLVLIEPVATASAGDQPLSPPKTERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >KZN01208 pep chromosome:ASM162521v1:3:13090783:13093275:1 gene:DCAR_009962 transcript:KZN01208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYYPNSSNQESHVLRAPYVPIQKLDSYNELSRLPDNMFYLNQTSADDSYPELKSGSSLSPKSCDGVPYVGGTDRGVFVPFMSDCVSPLLPQQVNMAERVQNNRLSKQHILSRTSRECEDLHEQRLSLSLGAELTSSRQLPSIQYQFGNSDPLQLINFLTKDSGKVGSQNEESNTGEFLSFGLNGNAEDVTNFRDFNNSDSSRQMQYAYSFEGSQYSFENSNYLKGAQQLLDEVVNVYDALKKPKFKCIGQVDKCEGSNSYIKSDPGLRLDSGKFSGLEESAMNSSVALPNAERLDQESKLTKLLSLLKEVDTRYKQYYHQMRIVETSFEKIAGCGAAKRYTSLALQTISCQFRCLRDAINRQIQVCRQSLGEQDDEPNSSLLPRLSYVDKHIRQQRTLQQLGVMRHSWRPQKGLPESSVSVLRAWLFEHFLNPYPKDSEKSMLARKAGLTRSQVANWFINARVRLWKPMVEDMYKEEFGDLESDKKEEVKESVMSGATYDDHLRQFSGPGADPRYDLNMKESTRPDYKNLSQGDGAIDGEVISLHGDNWSGVDEHYICPQKFITSNQTDGSLNAIASPYDLSSFGDFTINSQVSNFVLQC >KZN03123 pep chromosome:ASM162521v1:3:41471430:41474705:-1 gene:DCAR_011879 transcript:KZN03123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSTKSSTADVEAEMSHQHSIEIVAASPPPPPPRLLPPPAPPLPPRTRNHRNLWGIIGDRSLSNPDSSEKRSHHSIKMPKTPPQAPPLPPKSGPRKPSIIYLSEDQRENYIDICVPVYNAAIKGDWHAAEKIIRGCPEVINTSITKRQDTVLHIISSTKHTHFAEKLVNLMKPEDLELQNGLGETALCLAVASNVKMVRILLKKNNGLLRIRKNGDLPFMLAVRYGDKHMVEYIYSETNMDDEKWNYLDQKRILESCLSLGLFDIALKIFKHCKDDHKIETDILGFLANSPSAFDGTLGPALRRLLHPSKFSTGNCVIYHGPSVQEVKSRVSPTDRRQVNNDNKTPQELFTEQHADLMEKGEKWMKETAAQCMIVAALIATIMFAAAFTLPGGNNSEDGHPIFLKRSAFIVFAVTDAISLCTSSASILVFLAILTARYTENDFLASLPLKLMVGLVTLFISIATMMVAYGASFFLLYPRSMKWIPILVTVLAGLPVISFAGLQFGLLFDVINSTFNSRHLFRPKKHMLY >KZN02475 pep chromosome:ASM162521v1:3:33756275:33757058:-1 gene:DCAR_011229 transcript:KZN02475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHEASTSGTKNKIELTQFPFWQQKNDHEASTSGTKNMYYENYSDSDEDFDRYSYVYEIDENVEGQVISSSPSNVQVPTPPVNIPPPSAHIISPPNHYSFLVLYSDLLLNDHLAISLYVDCAHSEYIYKVHCYSITPC >KZN00262 pep chromosome:ASM162521v1:3:3515219:3515885:-1 gene:DCAR_009016 transcript:KZN00262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNPNGEEINDVASNLPGPAAPPRPGMPSIRPLPPPANLAAVNIPRPLILGAGVLAPAPPRPPLQVCAPPPPLQINYGQQPFMVNRPLPLPPSISVNPPSAKVNYC >KZN03821 pep chromosome:ASM162521v1:3:48572872:48574807:-1 gene:DCAR_012577 transcript:KZN03821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAAYFLLLFCAFAFLSSPVFTTETCEYPALFNFGDSNSDTGSISAAFASWSPPNGDAFFGRPSGRVSNGRLVIDFLAESLGKPFLHSYLDSMAANFSHGASFSTSGSTITEPKYLFPAYFVPRGWSPFPLYVQFTQFTQFKDRSEMIYNEEPNFKYTVPKKEHFSKALYIIDVGQNDIGMGLFTNDSIEAVKASVPDMMEGFKAHVKGIYSLGARTFWIHNTGPIGCLPYILTNFPVSDKDTDSAGCSIPHNEISKHFNYKLKEAVYQLRRELPSSAITLVDIYSVKYSIYKDAKSLGFKEPLKACCGYGGKYNYGDNFTCFSSETSKTINGKKITLKSCENPRERISWDGIHYSEAADKIVFDRISTGAFSDPPNTPPSMACSSSQY >KZN00473 pep chromosome:ASM162521v1:3:5612786:5613844:1 gene:DCAR_009227 transcript:KZN00473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERQRWRAEEDTLLLAYVRQYGPREWHLVSQRMNTPLDRDAKSCLERWKNYLKPGIKKGSLSEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVYKEKQQREQKETNKIIDPAEDNKYDQILETFAEKLVKERPPQSVFMTTSNGGFLHSDSSAAPQNSLPSWLSNTSAPCNVRPASPSVTLTLSPSTAPLPSSVSWLQPERGHENMPMMFGNLPSQGVPPPPRGDNRLVSDLLECCRELDEGHRAWAAHKKEAAWRLKRVELQLESEKTCRRKEKMDEIEAKVKALREEQKVTLDRIEAEYKEQLAGLRRDAEAKEQKLADQWAAKHSRLTKFLEQMGGHRSC >KZN03451 pep chromosome:ASM162521v1:3:44724510:44725136:-1 gene:DCAR_012207 transcript:KZN03451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSQKNVTLFILAAFAYALMVHASDPDILSDFIVPDNINVIDGKFFAYTGLRGAFDFENSTTYKGVPASLKEFPALNGQSVSMAVLQFPPNAINPPHTRPHSTGLLLLIDGSLEVGFVDTSNKLYTQSLEAGDIFIFPKSLVHYQYNASPTAPATAIAAFGSASSGSVAVPSTIFATGVDDTILAKSFKTDVATIEKIKKGLASKA >KZN02547 pep chromosome:ASM162521v1:3:34359936:34361147:-1 gene:DCAR_011301 transcript:KZN02547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPTILYYADDLRSSSSKCEQLKRSMSKVLARFYPFAGRYMKDSFKVDCSDQGAEFVEAMVDVRLDDLVGRGKNLKTELLNYLIPRPIGAGDEATDPLLAVQVNSFVCGGWAIGLMTSHRIADMSTTSAFVNEWAIEAKRLVEGFDGDCFPDKSPVWSSASLFPGRKMSGFPFGLSREKENVEDHKIVTKVFSFDGRAISRIREKARLLDNSGERLPTRVQSVFGMIGKSIIDMNAARFKEFWAIQAVNMRGRTIPPISKNQCGNLYLTTSTQIVAGEAGVELHSTVDLLMQTVKREVEKCKMILSVEGQMSISNGFYEMTKILAKPNIGVLGFSDWCKFPVYEADFGWGKPVWVSCVNVPVANNIYLFSDKFGKGIEAWVNLNIDDMLKFEQDSSIMEYST >KZN00550 pep chromosome:ASM162521v1:3:6293493:6295386:1 gene:DCAR_009304 transcript:KZN00550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSVGSPENSTEKDQQSYSSVDTSTMPFPQSNQSTKHLEFDLPVDSEHKAKTIKIFSFANPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLNLVKSDIGNAAIASVSGSIFSRLVMGAVCDLIGPRYGCAFLIMLSAPTVFCMPFVSSAQGYVAVRFMIGFSLATFVSCQYWMSSMFNGETIGLVNGLAAGWGNMGGGATQLIMPLLYEMIRKAGATPFTAWRIAFFVPGCLHVVMGLLVLGLGQDFPDGNLGSLQKKGDVAKDKFSKVLWCAVTNYRTWIFFLLYGMSLGVELTTDNVIAEYFFDRFSLKLHTAGIIAAAFGMANVVSRPAGGLLSDMATRHFGMRGRLWNLWILQTLGGIFCICLGRADSLFPSILSMMLFSIGVQAACGATFGIIPFISRRSLGIVSGLTGAGGNFGSGLTQLLFFTSSGHSTESGLSSMGFMIVGLTLAVGLVHFPQWGSMFLAATTDEKKSKEEYYYGLEWNEEEKDRGLHFGSLKFAENSRSERGRKKLVSEPLPLPDSSSV >KZN03842 pep chromosome:ASM162521v1:3:48986388:48987168:1 gene:DCAR_012598 transcript:KZN03842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSAKPSHLLLFVFFLSSLESILATTREGEALVKWKNSLAPSSFLDSWSLTNLENLCNWTGITCNSAGSVSEINLFEKQLNGMLSEFGFTSFPNLNNLTLAYNFFSGPIPPAIENLTQLQYLDLSMNSLNGPIPFQVQFPTT >KZN01647 pep chromosome:ASM162521v1:3:22447560:22457813:1 gene:DCAR_010401 transcript:KZN01647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSSESVSVRSASFREAADDEEALQWAALERLPTYNRVRKGVFKNIVGQLVEIDVQKLQVEERKVVLNRLVESVEDDWERFFHRIRHRFDKVDLQFPKIEVRFQNLNVYTYVHVGSRALPTITNFMFNMTEALLRQLRIYRPKRRKLSILDNMSGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGQDLQMSGNITYNGHSLKEFVPQRTSAYVSQQDWHVPEMTVRETLDFSARCQGVGCKYDMLEELARREKRASIKPDEDLDIFMKASALGGQETSLIVEYILKILGLDLCADTLVGDEMLKGISGGQKKRLTTGELLAGPSRVLFMDEISNGLDSSTTYQIIKYLRHSSHALDGTTVISLLQPAPETYELFDDIILLSEGQIVYQGPRDSALGFFASMGFQCPERKNAADFLQEVISKKDQEQYWVVHDRPYHYISVLKFAEAFRSYQLGKNLGEELDIPFDRRYNHPAALSTSQYGISRIELLKTSFDWQLLLMKRNSFIYVFKFIQLFFVALITMSVFFRTTLHHNTIDDAGLYLGELYFSMVIILFNGFTEVPMLVAKLPVLYKHRDLHFYPAWIYTLPSWVLSIPISLIESGFWVMITYYVVGFDPNIVSFFRQFLLYFFLHQMSISLFRLMGALGRNMIVANTFGSFAMLIVMALGGYVISRASIPKWWIWGFWISPLMYGQAASSVNEFLGHSWDKKIGSNSTMRLGDQLLKARSLFRQSYWYWIGVAALLGYTLLFNILFTFSLTYLNPLVKQQAVVSEEELQERDNRKKGVSETKVVNIQLREYLEHSGSLTGKSFDQRGMVLPFQPLSMSFSNISYYVDVPLELKQQGVSEERLQLLVDITGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGTIKISGYPKKQETFARISGYCEQNDIHSPCLTVHESLLFSAWLRLPSEVDIVTQKAFVEEVMELVELTPLKGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELVFMKRGGELIYAGPLGPRSYKLVDYLEAIQGVPKIRPDQNPATWMLEVTSSMEENRLGVDFAEIYRRSNLYQRNKGLVERLNRLNINAKELNFPTKYSQSKMDQFLACLWKQNLCYWRNPQYSAVRFFYTVIISLMLGTICWRFGSKRDNQQDIFNAMGSMYVAVLFIGVTNGGAVQPVVSVERFVSYRERAAGMYSALPFALAQVAIEFPYVLGQALIYSTVFYAMASFEWTFYKFAWYICFMYFTMLYFTFYGMMTTAVTPNHNVASIIAAPFYMLWNLFSGFMIPHKNIPIWWRWYYWANPVAWTLYGLVVSQYGDSDVPIKLSDGVTVLPAKLLIKHVFGFRHDFLGIAGIMVVGFCVLFALIFAYAIKTFNFQKR >KZN00260 pep chromosome:ASM162521v1:3:3506239:3507642:-1 gene:DCAR_009014 transcript:KZN00260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLSEIGHLFSSAAQNVTILTTPHNASSLLKKTTDSPNFRVQTFPFPAKQVGLPDGLENFLSAKDIPTASKLYAAMTLLQADLESFMISNPPDVIVSDMFFPWTADFAAKISVPRIVFQGVCMFAQTLKHEVRKSDSPHHSVESDYELFVIPNLPHKITMTRSQLPDYIRTPNGYTQLMEQWREAELKSYGIIVNNFSELDSVYTDYYKDATGGKIKIFHVGPTSLLNSNSNNKMERGHETVVTDNDRLNWLNEKNFNSVIYVCFGSACVFPDLQLMEIACGLESCGKDFIWVVFGKDEEKDDDMIKWTPSGFYQNVIKTKRGMIVRGWAPQVLILNHPSVGGFVSHCGWNSVIEAVSCGVPMVTWPLYAEHFYNEKLLTQVYGIGVEVGAEEWNLWVDSGKKIVRREKIEEAVRKLMDGEDETVKEMRRKIEELGDVAKKAVQEGGSSHKNLMVLIEELKKLRDQ >KZN03076 pep chromosome:ASM162521v1:3:40952980:40953480:-1 gene:DCAR_011832 transcript:KZN03076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQEPRSTSSCSACKLLKRRCVPNCLFAPYFPSDEPKKFVKVHKVFGASNVSKILNEVVKEQREDAVNTLVFEAEARLKDPVYGCIGEIASLQGKMAQLQHDLAVAKARLAHCAVVKASTSLLPVDDQLEMLPFNPVYDGFFDGQVFDIDQTHVVDGFSEAPFLL >KZN00671 pep chromosome:ASM162521v1:3:7477064:7477507:-1 gene:DCAR_009425 transcript:KZN00671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNLSNDQVSSMKEAFTLFDTDNDGKIAPSELGILMRSLGGNPTQAQLKAIIAEEKLTSPFDFNRFTDLMSKHLKPEPFDQKLREAFKVIDKDGTGFVAVKDLKHILTSIGEKLEASEFDEWIREVDVGSDGKIKYDDFVNKMVAK >KZN01607 pep chromosome:ASM162521v1:3:21729382:21732534:-1 gene:DCAR_010361 transcript:KZN01607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRINEALLDFPLVSPLLIRFLILPSTSFYQKTNPQQSPPSSEKLVDSWSPLNVDPNIDAFLIHSLVKATSGFDFKGLLCPRMVLTNKLVELGLGRPIYPWLVSLVNRYGVAPIQIGPNSWRLAIDKPTQTKLEGDILARAKAVKDLLVERKNLNKLVTSKSLLEYGFYNQGFGQIPLITFDKKRKPKKALECLKRSLATLSGGKAMRFRPCVHEAGSMSKIYYRRTLMEKSLKGEDPKISESEEEEKDSSSS >KZN00816 pep chromosome:ASM162521v1:3:8953092:8955806:1 gene:DCAR_009570 transcript:KZN00816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFNFFQSLNYSPKALIFSNGRRGIFPRRFFVSATVDKFHQPISSQSKLDILLDSVKWDEKGLAVAIAQHVDTGAVLMQGFANRDALASTISSKKATFYSRSRSKLWTKGETSMNYINICDIFLDCDRDSILYLGVPDGPSCHTGSETCYYTSVFDSLDGSQAAENKLAMTTLQSLEATIVQRKTEIAGSSIAKPSWTRRLLLDNKLLCSKIREEADELCRTLEDSEEVPRTASEMADVLYHAMVLLSVRGVTMEEVLTVLRQRFSRSGIEEKNSRKKDEH >KZN03642 pep chromosome:ASM162521v1:3:46568288:46575268:-1 gene:DCAR_012398 transcript:KZN03642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWDDHQSRCIGELTFRSEVRGVRLRRDSIVVVLEKKVLVYNFADLKLLHQIETFENPKGLCEVSQGSGSFVMVCFGLRKGEVRVEHYLTKRTKFVMAHDSRIACLKLSQDGTLLATASTKGTLVRIFNTYDGTLLQEVRRGVDRAEIYSLAFSSTAQWLAVSSDKGTVHVFSLKTNSVNEGTDNLDNNINPKLAVTSPGSPLSFIKGVLPKYFNSEWSVAQFRLIEGSQYIVAFGHQKNTVVILGLDGSFYRCKFDPATNGEMTQLEYHNFLKPEEAF >KZN03441 pep chromosome:ASM162521v1:3:44684026:44684469:1 gene:DCAR_012197 transcript:KZN03441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTLPTQCISSAPQCHRSSAFVKSPSSIGFVKNISKSFGLKATNGFKASAYKIKLVTPEGVEHEFEAADDCYILDAAEEAGVELPYSCRAGACSTCAGKIVEGSVDQADGSFLDDNQMGEGYLLTCVSYPKADCVIHTHKEGDLY >KZN00891 pep chromosome:ASM162521v1:3:9626309:9626518:1 gene:DCAR_009645 transcript:KZN00891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGIHPQLQWISYVTQTGRLVPVMMTKIHQVGKVYHIRARRQMAESVGQIARFKRRYGKVEEEKKPSK >KZN02174 pep chromosome:ASM162521v1:3:30500057:30502892:-1 gene:DCAR_010928 transcript:KZN02174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIADAALGIVVDELLKLVVKVAKQTINFKSNFKVLENTLNSVTPIFLEIEKLNKVLDRPKEETALFIDQLNRAKELVAKCSKIQWWEAYKLYVHSKKLEELDRSIYRFFNIEVQGFVAVTSLKAVAGIKEVNDKLELILDRSNISLSGISSWGSVPGIRDLVIGFDEPLKDVKEMLLKDNKTVTVISAPGGCGKTTLAKMICHDPEIKGIFKDNILFVTVSKSANISVIVQKMFNIKLPEFQNDDEAINYMEFLLKQKGPSPILLVLDDVWIGWESVIDNISFTLPYYKILVTSRFSFPRFSPVYNLKILNDQDAMSLFSHSALPKDRNSRIPHDLVEKTVSGCKGFPLALTVVGRSLYGHPEVTWRRELKKWSDGKSIFDTNNDLLGRLQISVDALDEMGGSSLKDCCLDLGSFPQGQRIPATTLMDMWAEVYKMDYEDLDTYSNLVELAFRNLVNIVCTRHLIILFIECIAMIWSIISVKVHKISIVKYTPHIQVTMSVCLALSVAYWPGSRQHLDND >KZN01159 pep chromosome:ASM162521v1:3:12353083:12376529:-1 gene:DCAR_009913 transcript:KZN01159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLFSTLSTATRRRVYLKSLFSSSRFISTTSFALEYPLSHPIYTVWGSNTAVGKTLVSAGIAASFLTPTSTSPFKFIYLKPVQTGFPSDSDSRFVYRKFGEIFGHRKCNRTVFASDETVRVSAPAGELVLGSRGSVETQNFTKCESGFWELGSCDGRKLEGEGGEEVRVSELICKTMYAWRDPVSPHLAAERENAVVADAELLEMLKKCLCIGSDDGMREDEVGVFTLIETAGGVASPGPSGSLQCDLYRPFRLPALLVGDGRLGGISSTISAYESLKLRGYDVVAVVLEDQGLVNDVPLLSYLRNRVPVLVLPPIPADMSDNLMAWFDESYSVFGSLKEVMLSSYSERMQRLHDMPKKAVEIFWWPFTQHKLVPEENVTVIDSRCGENFSVHKGKYQDVMIQQFDACASWWTQGPDATLQIELARDMGYTAARFGHVMFPTNVYEPVLKCAELLLEGVGKGWASRSYFSDNGSTAIEIALKMAFRKFLFDHEEHVASWGSDMKGTHVELKVLALKGSYHGDTLGAMEAQSPSPYTGFLQQPWYSGRGFFLDPPLVHICDGTWRLSLPGKLRSYEEKVADMLIQGAGGMQMIDPLFQRTLVNECQYRKIPVIFDEVFTGFWRLGAESATELLLCKPDIACFAKLMTGGIIPLAATLATDAVFDAFVGDSKLKALLHGHSYSAHALGCAAASKSINWFKDHRTNHNLKTEGSMLRELWDVELVHQISLHPVVHRVVSLGTVFALELKAEGCNAGYASKYANNLLEKLYEDGVYMRPLGNVIYLMCGPCTSPATCERLLEILYKRLQEFGKEQSMNTRYMERTNSMRGKRSLDNDGNDDDDKDKQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARLNGSGRSSPKRLEGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGATIHMVLVDANTDHVVTCGPESTAKLDIVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVSSGFCEGIRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLSKSGIFTVEDFLRHVVRDPQKLRTILGSGMSNKMWEALLEHAKTCVVSGKLYVYYPDETRNVGVAFNNIYELTGLITGEQYQHIDSLSDSEKVYVDTLVKKAYDNWNQVVEYDGKSLLSFKQLNNAPQNELPMRPIEYPNVLGQMTPQRFSVPVPSQPSTIDPSLLMPDAGFNDSLTTRYPSQSQFENPNSRAQYGSTSFVSQDQQANHSHQMQSNNYDNRVGLALGPPQSSSSFQTLNTSVQQSSLNPFDDWSQNQNKGVDDFLSEEEIRARSHEMLENEDMQHLLRLFSMQGHTSVNGPDDGFSFPSFAPSPLPNFSFDEDQNRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAKIVQLDDD >KZN03069 pep chromosome:ASM162521v1:3:40873099:40873642:-1 gene:DCAR_011825 transcript:KZN03069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGQEKRSELDARAKQGETVVPGGTGGKSLEAQEHLAEGRSKGGHTRKEQLGTEGYQEIGTKGGETRREQMGKEGYEQMGRMGGLATKDKSGAERAEEEGIDIDQSKFRTKS >KZN01872 pep chromosome:ASM162521v1:3:26407115:26408832:-1 gene:DCAR_010626 transcript:KZN01872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEATPTPQTKSFIGRGPNCIPKAPTNPDDRTMIHIRPFPHDKTKDKLSKDRSNAIRLAGDAHPGQGVEYMHEYNPWWCSADVWSQMCDQWRDPIWLKRRKTAAENRAAGVPDGEKAKGTYKGGSISQLAHLVARV >KZN02104 pep chromosome:ASM162521v1:3:29638243:29639805:-1 gene:DCAR_010858 transcript:KZN02104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVFNKELLSWYLLTLKLKETVESGVSTPQASALYRSEQAYLLEHHVETSATREAVVFEPGPRPEVSEWVINIGEKLEQARQEDLGSSWGKVSIYKVPQYLKGGDNKAYIPQIVSLGPYHHGRRRHRNMDRHKWRSLYQVLKRTNQDVKFYLDSMKELEERTRACYEGKINLSSNEFVEMMVLDGCFILDLFRGAAEGFQQIGYSPNDPVFAMRGSIHSIQRDMIMLENQIPLFVLDRLLGLQLGYPDQVGLVARLALGFFDPLMPTDEPLTKSSRDKLESSRGSTNTLDSLSGQGLHCLDVFRHSLLRKGASPKPRVWKKQWSHVCRVADKRRQQLTHCVTDLRESGVMFKKRKTDRFWDITFSNGLLEIPRLLIHDGTKSLFLNLIAYEQSHLDCGNEITSYVIFMNNMINTTADVGYLHYCGIIEHWLGSDHEVAQLFNKLCQEVVFDINHSYHSRLSAEINKFHDHRWNTWQATLKHTYFNNPWAIISFIAAVVLLILTFAQTIYGVFGFYNPSN >KZN01189 pep chromosome:ASM162521v1:3:12816328:12817711:1 gene:DCAR_009943 transcript:KZN01189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KZN00584 pep chromosome:ASM162521v1:3:6646475:6650031:-1 gene:DCAR_009338 transcript:KZN00584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKMSVCLWIYVLGYSVLALQYAEGNESVVEGRVDINGKSVIGLTDRDFICATLDWWPPEKCDYGTCSWDHASLLNLDLNNEIFLNAVKAFSPLKIRLGGTLQDKVIYDTEDNKQPCTSFVKNTSELFDFSQGCLPLKRWDALNNFFNKSGAFVIFGLNALNGRTVMPDGSRVGAWDTTNAKSFIEYTVQKNYKIYGWELGNELCGSGVGTRVVADQYSNDTTALRNIVQQIYKGENPKIISPGGFFDEDWFKQFLDKTPKIDAVTHHIYNLGAGVDEHLVEKILDPSYLDGEADTFSKLQNIIKTSGTSAGAWVGEAGGAYNSGHNLVSNSFVYSFWYLDQLGMSATYDTKTYCRQTLIGGNYGLLNTTTFVPNPDYYSALLWHRLMGRRVLSTSFSGTRKIRAYTHCAKDSNGVTLLLINLDNSTTVKVHPTFNNSSWQQDVHHSHHHHHHSHQHRTKTIRLPPKVHLKKIATREEYHLTAQDGNLHSRTMLLNGNPLTLDPSGKIPSLIPININSSEPITVAPYSIVFVHLPVVLPACR >KZN01934 pep chromosome:ASM162521v1:3:27128468:27129926:-1 gene:DCAR_010688 transcript:KZN01934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGLGSAQLSSSFYSTSCPSALSTINSAVTSAVNQENRMGASLLRLHFHDCFGCDASILLADNGSFVGEQNAFSNSGSLRGFNIVDNIKTQLESSCPGVVSCADILAVAARDSVVALGGDSWTVQLGRRDSTNASLAAADNNLPLGNASLSRLQSLFSNKGFTTAEMVTLSGAHTIGQARCAAFRNRIYNDANINATYATSLQANCPTSGGSSNLAPLDRSTPTSFDNSYYTNLLTQEGLLHSDQELFNGGSTDSQVTSYTTDQATFLSDFASAMVKMGNLSPLTGSSGQIRTDCTRTN >KZN02077 pep chromosome:ASM162521v1:3:29289323:29290022:1 gene:DCAR_010831 transcript:KZN02077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVLNPYDKECMKMAMLRQEEMFKEQVFELHRLYQIQKMLMKNVSSQSKRNEEKVDQYMNFSHDMRQPAVQVLDLEQPAEEKIGAPEVGVESEIELTLGPSNYYPRRKAAETPRTTSDSGLSFSSSSTGSSDIKRTDSKIQRMTDRRTEELTAQKWGLVEVSNSNPSFLSGRRNSSDMEQDRLQQPPWMFPVLSLKMT >KZN01562 pep chromosome:ASM162521v1:3:20587518:20591867:1 gene:DCAR_010316 transcript:KZN01562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSRAPNQPPEQILVKPSFGDYYRFSSVGHLTPEPDVLVVKSPPLKRSNEGADFEGGFGGRSSNSSFPPQTPVSGKSVKARKVPRMAKSNQTGSETPAANVGSPLGNNFTPAGPCRYDSSLGLLTKKFVNLLKNAEDGIIDLNNAADTLEVQKRRIYDITNVLEGIGLIEKTVKNRIQWKGLDSARPGDVDESTGTLQEDIETFSMEEIRLDEKIREMQERLRDLSEDENNQRWLFVTEEDIKSLPCFQNETLIAIKAPHGSTLEVPDPDEAVDYPQRRYRVVLRSTMGPIDVYLVSQFEEKFEEINGVEAPASIPPELPVTECQATVVVPEESRENATDMQGQETRTICPNENESHDIVNGIMKIVPDVDNDADYWLSTDAEVSITDMWRIESGVEWNELEPLHDDFLMASVSTPPISRPDELPSPPNTTGR >KZN01311 pep chromosome:ASM162521v1:3:14310689:14311100:1 gene:DCAR_010065 transcript:KZN01311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSESARKRATQGPHSPSSTNKGAKRRAPVHDSGVGNNENSNPNIISAPSIDSGAFCYAVAGLCVWYIMLVSYSKHIFLF >KZN03780 pep chromosome:ASM162521v1:3:48077563:48079326:-1 gene:DCAR_012536 transcript:KZN03780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSIYQDDLKYLSKWWKDTEWGEILGFARERLIMECFYWSVGYNSDPEFSYGRKVLTAITAFITTIDDIYDVYATMEELEHELARGDNPKVV >KZN03464 pep chromosome:ASM162521v1:3:44875268:44875922:-1 gene:DCAR_012220 transcript:KZN03464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVEKKSKILIFGGTGYYGTYQVKACVAAGHPTYVYVRPLKPSCNPSKLDIRREFKSLRVTIFEGELDEHEVIVMLGMPPIMMKQLKIITAMKEAGNVKRSSPSEFENEYDRTSPLPRYVSSMLGREEDNQKGD >KZN00674 pep chromosome:ASM162521v1:3:7493811:7494141:-1 gene:DCAR_009428 transcript:KZN00674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGNVSPANSPHPRKPASRSRVSDLGISDGGSSGEENILRSVESYDMKGVTTPLASAAVMPSPILLWRLKVSIT >KZN03084 pep chromosome:ASM162521v1:3:41098450:41101998:1 gene:DCAR_011840 transcript:KZN03084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGRLEEILSAAASRLSVGRPSTVQHVSPGVSPVNDSGHGVGFSRSGRNNLLRRTSSLQDFSTDSQVDPEEGTMNIGTEGRSTQEQSLLAQRVSGGASFSKKKASTGSPCMRKKWVQGSPEFYVILDCGSTGTRVYVYKASANHNRYVGGLPILLSSLPEGLISTKDSQIGRAYNRIETDPGFDKLVHNVSGLTGAIKPLLQWAEKQIPINAHKSTSLFLYATAGLRRLPSSDSDWLLNNAWLIMKNSSFLCQREWIKIISGMDEAFYGWIALNYHLSVLGTMPKKETYGALDLGGSSLQVTFENNKNIHNDTSLQLNIGPVNHHLSAYSLSGYGLNDAFDKSVFYIFKRLPHITKADMASGNIVIEHPCLHSGYKEQYACSHCTSLYEDDGSPLNGEGKMGKGGRPGISVQLIGAPNWGQCNLLAHAAVNISEWSGNTPAINCKMNPCALPDNVPHPNGHFYGMSGFYVVYKFFQLTSDSTLDDVLEKGQEFCAKTWNVAKNSVAPQPFIEQYCFRAPYIVFLLREGLHITDSNVTIGSGSITWTLGVALLEAGKAYTTKLEHRTYNIYWLKISPTVLLVILSASLVLLVCALLYVGNCTSKLLHRQYLPLSRHNSTSNTSVLNIPSPFRFKRWSPMQSGEGRVKLPLSPAVTSWHKPFGSVDFNSGVELNDSSMHPSSSSVVHSYSAGSLGQMQQNDSSSIGSSWSSQRSQMHLQSRRSQSREDLSASVAELHLTKT >KZN03138 pep chromosome:ASM162521v1:3:41653611:41655114:1 gene:DCAR_011894 transcript:KZN03138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKRSAPGRYQQSSFLNRHKHMNRHVALKAMVVTEALAHACLESSNLIVGIDFTKSNEWTASTHDQEVFSFYSDEKYCDGFEEVLTRYRELVPQLRLAGPTSFAPVIEMAITIVEQSRGQYHVLLIVAKGQVTRSVDTEHGQLSHQERKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDIIVLMQVK >KZN02588 pep chromosome:ASM162521v1:3:34827694:34828125:-1 gene:DCAR_011342 transcript:KZN02588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTAPQHRRGGAAATPDNRLAIVVRGSVTSLWSLLTKHAARTSRKFVSGNSSPRKLMSTISNKAINLRRKKRVSGEEEVDGGVWRRTILMGDKCQPLDFSGVIYYDNEGNRVSELPSRSPRASPLPSFAYKSESDDKGRLGW >KZN02200 pep chromosome:ASM162521v1:3:30727484:30732263:-1 gene:DCAR_010954 transcript:KZN02200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGHGGTGTSSNNMFDMGVVSMHKRSQSFPDKSDLLGNKSDYVHETSDCSKMDMKHLQSSTSLKPKPLPNAEVRNLLRQEILQLEKRLQNQVAIRGVLEQALGYKSISNDIGNEVSIPKPATELIKEISVLELEVGHLEQYLLSLYRQAFDQQVTSTSPSMKDGGPKSPKTSAKEKSEVRSGVSTMSNMEKPSPRSTCINPQKDLNDLGGEDRLVESGVYRCRSFLAQHSTLSSQNSPLAESLGRDVRACYSQPLSMMEYGQNTASNIISLAEHLGTRISDHVPETPNKLAEDMIQCISDIYCKLADPPVLDHGLSSPTSSSSSMSAFSPKDHTGMWSPGLKKYSSLDERLDNPFHVQGLKEFSGPYSTMVEVQYIYRNDKKLAEVEHMLQNFRFLISRLEEIDPKKMTHSEKLAFWINVHNALMMHAYLAYGIPQNNVKRLFILLKFNQAAYNIGGHIVSANLIQSSILGCRMSRPGQWLRLLLSSKSKFKSGDERQAYSIEHPEPLLHFALCSGSHSDPAVRVYTPKSIAKELEAAKDEYIRATFGVSKDHKILLPKIVESFAKDSDLCTTGIVEMIQKSLPQSVRKSIKKCQMSKSKKIIQWVPYNYNFRYLISKDLEK >KZN00514 pep chromosome:ASM162521v1:3:5968345:5968539:-1 gene:DCAR_009268 transcript:KZN00514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATKQTRSQLKLAPDPSYNFTIFSAIFFRKLSSCQWINLQAVYKADIDDVGISKTSTVVFSLQ >KZN00724 pep chromosome:ASM162521v1:3:7916582:7917609:-1 gene:DCAR_009478 transcript:KZN00724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLSGRISGRSLAAAITGNKYHGLRALFSSRVVGGHMLHDDNDDGGKSKNKEDRRNSPGGEAYPVTYPYSVEGNSAKTDTSDSDHNDEGEGTSAKIDTPSNSAEAEPLGETWGESSGGFGDCGGACGGACGGA >KZN02520 pep chromosome:ASM162521v1:3:34188694:34191666:1 gene:DCAR_011274 transcript:KZN02520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMTSSMAAKFAFFPPNPPSYKLVTDNLTQLLLISPFPHRENVEILKLPTRRGTEIVGMYVRHPMATSTLLYSHGNAADLGQMYELFIQLSIHLRVNLFGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEESFGTKQEDIILYGQSVGSGPTLDLATRLPKLRAVILHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVHCPILVIHGTADDVVDFSHGKQLWEMCNEKYEPLWLKGGNHCDLELFPEYTRHLKKFVSVVEKSPPQGHSSRRSTDHFEQSRKSTDVYETSRKSTDRREKPRHSTDRHEKLKIPSGNNVDKLEKIRMSFDQMERSRRSVDCFDKSRKNMDHQLDRGRKSVDQLERLRTG >KZN03318 pep chromosome:ASM162521v1:3:43525622:43526404:1 gene:DCAR_012074 transcript:KZN03318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKFKLKISRMFRSTLDSCRTTSLSDDVASSEQPFFFPENTHHNHHLVELFSPKPPPPRSFPSLCRPKSHENQTTLFNDFNSKPKHKKQRSKSRKNRKRNNRKFDEFFCSVAANYYGLYSSDEDEKENVMDDYETTFFSSKSMSSGSLKSSAAAYNNVVALEKMREEEYFNDDDDDVELMKISSPLNGKVKDSVAVIKSSSDPYNDFRTSMVEMIVEKQIFGPKDLEKLLQCFLSLNSGAHHRVIVEVFTEILETLFSD >KZN00638 pep chromosome:ASM162521v1:3:7123454:7127886:1 gene:DCAR_009392 transcript:KZN00638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVKLHIYDVTNSDSDKTNNTIVQINKIFKDRIGLGGIFHSAIQVYGDEEWSFGFCEEGSGVFSCPSGRNPMYTYRECVVLGKSDLSISKVNQVLRELSREWPGECYDLLSKNCNHFCDDFCEKLGVPKLPGWVNRFANAGDTAVEIAGNTAFRFRQAKTEIVSASKVAYRFLLGANSNAAATSESPGISNRGPPRFQATWFKNLVSSGPKPAESSEVEVRAGDSLLQQRQK >KZN02165 pep chromosome:ASM162521v1:3:30380858:30381835:1 gene:DCAR_010919 transcript:KZN02165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYQYRAGESLDPCTNVLEIKMAELLATKNELKQAKDDATQSWLDSRPLIDKLEELHTELSDRKSQATKVKNDISGLKSQLQNTIISIRTKREDEVKLTELINQISQSLDHQREEMEKIKSETDEEDRERFRLKQVLLLKKQTLQALELTLRAAQTESEAFSASSANAIQHISRSHADNSVINLTQAEYEALRKEAHEQTSMADWRVSVAMEERMLAEKSRKLTQKRFKAQKRDIMLTKTHENTNVNEIVAQEPNDSKVKVGSQVKSRPNNFPLARAKLMAKNQKNSKQFDRSTGSHKRTVRRKKPSLFARIRDFLVRKITLWF >KZN01368 pep chromosome:ASM162521v1:3:14937397:14943938:1 gene:DCAR_010122 transcript:KZN01368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITSLLFFSCSKLSRNPIPSLREIGGPICKWNKYSPTHCVSLTAQAAAVMGEDEDDDYDLHSLLQILPRDIHENIRLGSNQAQLLEVVLDLGRLPQAHYLGVSGRQYLRNSEVSLQELEHAQDAVGEFGGDNRAGITGTLHRISAIRSRNGSLVGLTCRVGRAVRGHIAMVQDLLQYGESILFVGRPGVGKTTVMREIARVLSDEHHKRVVIVDTSNEIGGDGDIPHPAIGGARRVQVPTPLMQHKVMIEAVENHMPEVIIVDEIGTEAEVQACRSIAERGVMLIGTAHGEQLENIIKNPTLSSLIGGVQTVTLGDLEARSRNSKKSILERKAPPTFPFVIEMRERHYWITHRTEKSVDFILRSKKPLVEVRRRDEQFNVVIERWKQVD >KZN03276 pep chromosome:ASM162521v1:3:43110162:43110401:1 gene:DCAR_012032 transcript:KZN03276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTGKSSSNPKATGKSGHEARKDRQSGTGVTGSPKKGGHGGKFTWSGDNANLGNDEFIVAVDRNDPNFEDPDVAVAEN >KZN00132 pep chromosome:ASM162521v1:3:2240478:2246800:-1 gene:DCAR_008886 transcript:KZN00132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPFVGKFVDKVSEYTVDAVFRGLKYMFCYKTLVDQLNSETRKLKNEEGNMSREVEKEKKNGKIIKDYVSEWQVNAQEIQKSAAEELSPSCSCIKRLPIPNPISRFRIGRNAVKKAKAVTQLTDSVKEHLTGGIAYLPEVIILPNSGTTFEEFQSRKDTYQKLWDSLVNEDGPLIHGIYGMAGVGKTRMMEQLWEEAIKKKIINKAVRVNVGSENMDKTKLQEQIAGLLDCKLESEVMERRASQLENSLRNGDKVLLILDDVWRDIHLDDIIGTPFGNGTSSSGGPKILFTSRTKEVCSVNKCQHIVEIKTLSPDEALYMFKKIVGPADLHNSLPDESLVKEVCDKCGELPLLIHAVGKALKGKPHYWWKDAQDQLKKGKFEEIADVDPQVYTCIKLSIDYLQNVDAKSCLFLCSMFPEDAGIDMKMLIQLATGSQLIPSGESRVLAIVDYLKKSSLLLDSGDNAETKVHDIIRDVARSIAFTDSKYAFLQVTCNSRYLPFKANYSTRRFLRLDVETDDVDFGEHRVCPDLHTLWLQSNFHPLRGQSNNHPQQFSGGFYSMFVNLSCLMLQNVDISSEHFSLQPLGNLGTLSLLECDISSTDARLFSKSLESLCLYRCNLPEPLDVANLEYLRKLEIRQQRAVLVRENVISSLSSLEELHVPRGFIYSYDEYHMELIVKEISRLTRLTSLHFEFYQDNTFQGTDISFNIDRYNIFVVERSHDYFHCYEDREVLIKRSIELADNHWKPWEDLIVSAEQVTLSRSNVNVSSICNDHKRAFKDLKILDIDECDNMGHLASISRDGIQDSVQSATWFAKLTILNIRRCSNLKYLFCNNIAKTLIQLQELYVDSCDSMEAIVMNAGTSDGEIINFAKLKLLEIEDMPKLRSFCAENSNYPSAQYLALLDRMVAFPSLEHIRVIRCGSLRSMFASSVARDLKQLKGMIVKECKEMRRITRVDEQAISGGILLFPELTYLELVDLPNLMSFWSYQNLKPDACKLKSVTIFLTTVSSASLDKFPQVWTDFLKSVTIFLTTVSSASLDRFPQLYRHSSKIARLILVEIC >KZN02718 pep chromosome:ASM162521v1:3:36460150:36460513:-1 gene:DCAR_011473 transcript:KZN02718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTFFGSTDLGNMDVNGLIWTRFIIGSAPNQTFVLVARYLKALDMVLLIVDGKSTTSKLAFPPRLKNHKLLTESDLPGTRGHPK >KZN00116 pep chromosome:ASM162521v1:3:2053754:2056571:1 gene:DCAR_008870 transcript:KZN00116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSYTPAYYSSLHDSITSICKNILPFSFRKKRLPAIAAAEQKLSEHQSENLKWQQNSFHQILKLIGLCKEGIVPDEEVSAFRTHLLDTLISSPLDHEHELILKDKLIFLQELLYAQCISEEEYHSSKRPLLQRLAVQGAVIEARLVIAGKPKEKSEEEWSSIDLKDANCLIGKEKMNLESKSKHNSAIKQIKEAASVLSFVSPHKSAKFKEDKGVYDLDKENFKSSDHIFSSSNSEKNEMRISQENPFWNCQMREKESETRSILMASESLPEDFPKSGGSKVKKKPFRTLFQREGQEEKEPKSGKKQWGFEGFKKWKKSDPSEDETAPLSLNERSDGEAYLGKLVASPIGEGPDTKQIKKKLHSDGSPSDFFVDKVLGDKIRKELLRIQKELGESNPNAQFTNDQIDVISTRLPVDKADLKKFFPKSWCDRYGDVVLNVVRKEFKDHVGEMKASGREKKQSPKRWETFDEDDDQNCHPNLFASCHVPIKQANSTPLNSDRLAYSSRPYW >KZN01173 pep chromosome:ASM162521v1:3:12598496:12600317:-1 gene:DCAR_009927 transcript:KZN01173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTSTPETKKQKPSSKRRKHQSSWNQIKNLLTCKQIQTSTSVHDPSKTNMNTITGAYLKLGHCKSMCSFKDVVHGNTRVVHRPDHSPENSSVGQETGLLGHKNHGVSLSSSSRSLASNASVRSNGGSFSASSRGMQLRKLSGCYECHMIVDPTRYSIPRTTICGCSECGEIFPNTESLELHQAVKHAVSELGPEDSGRNIVEIIFKSSWLKKDSPYCNIERILKVRNTKRTIQRFEDCRDAVKLRATSHTRKNPRCAADGNELLRFHCTSLTCTLGARGGSTLCGALPGCGVCTIIRHGFRGTCFGEGQSGVHTTASSGRAHDCLGGSEMRRAMLVCRVIAGKVKTAAEDDGVAAASYDSFVGKAGVYSSTEELYVCNPKAILPCFVVIYKALI >KZN01973 pep chromosome:ASM162521v1:3:27597820:27598503:-1 gene:DCAR_010727 transcript:KZN01973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLQDLGDLELVAKSSCSSQSLMRKPEALTFTKVFNTFQLIAKSNKYCLYLRSGALIVLSACLPVPGLVSCNLKSRDLRLTKPLVTDPCSVDTGSIESLLMLEGRDELLNS >KZN01864 pep chromosome:ASM162521v1:3:26283739:26292137:-1 gene:DCAR_010618 transcript:KZN01864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSQPQFRYTQPPSKVLHLRNLPWECTEDELIELGKPFGKVVNTKCNVGANRNQAFIEFAELNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGNDARLVSIEVLHVVFSAFGFVHKITTFEKTAGFQALVQFTDSETASSAKDALDGRSIPSYLIPELAPCSLRITYSAHTDLSVKFQSHRSRDYTNPNLPVNPSAIDATGQISKGLDGKKLEPESNVLLASIENMPYEVTLEVLHMVFSAFGTVLKIAMFDKNGGVQALIQYPDIQTAVVAKEALEGHCIYDGGYCKLHISYSRHTDLSIKVNNDRSRDYTIPMSSVLSTQPSILGQLPPAMVSSGVPQYNGSHYASAHQGHPVHPPSSGWSAGPPAVPQPMPGQMHNPQYMPPASMPSEYGHQMMHSPNGFQNAGTYPRYPPQ >KZN01643 pep chromosome:ASM162521v1:3:22333643:22334668:-1 gene:DCAR_010397 transcript:KZN01643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPLRYFILFSVLFLCFRSLAGESKSSFYFNNFSKDSNFKSQVDLYGDSKVVDGGLCLEISGSSVLGTGRIIYKDPFKLLEVKSESLVSFSTNFSFSLSQSYGNGLALFLVPVGSVGLLAERKVELFSVGFEMSMDVKDGRANGIDFGSFLSVKVSNVSSVKLVLNRGEKVQAWIDYEASSKRLEVRLSKLGQMKPVGPVLSYSIDMSLMWKEREVLVGLGLINGNSSQLCNVYSWGFSSRRVPHLMHSQPLDPEVHVIEKKAPVVHKRSDCVTKIFAALIFGTACGALGAFFALFVWTIFGGNGRAIVPEDYAVQPVKLEYKKFEVVVDKQMEGNKQ >KZN03833 pep chromosome:ASM162521v1:3:48823148:48825544:1 gene:DCAR_012589 transcript:KZN03833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRMKRLGSKCCYWKKVIHFLHSHPQSFSLPAPLPQWPQGEGFGTGKINLGEIEVCEITNFEFIWGCNLTQDSKRGISFYKPYGVPNGYFILGYYCQSNRKPIRGFVLVAREVGTSEKEDSGSNNPDYAPALIKPLDYSLVWCIDERSKDGVNGCAYFWFPQAPDGYKALGFLVTNTPEKPETQEIRCVRADLTDNCEAYRQILKINSRYLQLTLGVWSTRPCDRGMHGKGVTVGTFFCSSHWSCGELNIACLKNLNSNLHAMPNLSQLHALINHYGPTVFFHPAEVYLPSSVSWFFENGALLYRKNVSQGESINSEGSNLPAGGTNDGEYWIDLPNDARRETVKHGNIASAKLYLHVKPAFGGTYTDIVMWVFCPFNGPGILKLEFMNVSLGKIGQHVGDWEHFTLRISNFTGELWGIYLSQHSGGHWVEVTDLEFIEGNKACIYSSKYGHASFAHPGTYLQGSSKIGIGIRNDAAKSNNFVESSSQYEIIAAEYLGDGVVTEPCWLQYMREWGPKITYDSRTELDKIIRRLPPMFRNSVKNVFAKFPNELSGEEGPTGPKEKNNWFGDERW >KZN00861 pep chromosome:ASM162521v1:3:9350296:9360616:-1 gene:DCAR_009615 transcript:KZN00861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDGGESSNSKPLDEIWAKLVPLDLGYSDVELKLDEMVLSSVVKGSSSEKQEWCQITRNSDLCSAMIKNKSSNIILVDGTVVETEESCLIKCGSEIVPGHVEDGYLRYIFRVMATEQFSTKKLKIMLDVEHAKCSICLNIWHDVVTGAPCLHNFCNGCFSEWLRRSKEKHSSVLCPQCRAVVQFVGRNHFLHNIEEDILQSDSSLKRPDEELAILDSYAVIKSPLVINVERKTKRKRDLLAPGIESNAAEHPCPQCDTQYSGFQCDSNTIHLQCHACGGMMPCRSNSSVPQHCTGCDRAFCGAYWHSVGVNGNDSHPVCSQETLKPISEHTATRIPTLAHDRNRHEQDITERCIQQTGGTLQSIIREWITKLNNREIDRTRMPLKHAEMITSGTHVCNDCYDKLVSFLLYWFRISIPKYA >KZN01353 pep chromosome:ASM162521v1:3:14749472:14749639:1 gene:DCAR_010107 transcript:KZN01353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGAHHTSVVTYSFQLHLVATEVANEVATEVAKVATAVATSFATFATYSFQLN >KZN02227 pep chromosome:ASM162521v1:3:31120639:31122353:-1 gene:DCAR_010981 transcript:KZN02227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLSISQAEHHPSLLTLFGRCNFDKIFQFGDSISDTGNFLVENPLDRSGRLPYGVSNSNTPTGRYCDGLLMIDYVASAAGLPLLNPYEDTNADFTYGINFAVVGATALSVETLARKNIFGARTNSTLDVQLSWMSRYLSSYCKSEADCREKLKNSLFFMGEIGGNEFNYAFFGGMSIEEAKNLVPEVVQTIMNATREIIKLGARNIVIPGNFPIGCLPSYLTTFRANSSFDLNHCLRDYNQLSVYYNLQLRVAIVKLQLENPSVAIVYGDLYFAFQRLFSPTIHPACCGTGGDYNFGFTMFCGNAGVPVCSNPDRYVNWDGLHLTQQAYKYIAEWLILNISPMLHCPAS >KZN03285 pep chromosome:ASM162521v1:3:43193007:43197239:-1 gene:DCAR_012041 transcript:KZN03285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKYGLKRPPLSPLSPSSIQRGQRRRIPANESSDGYGSRVCKENQHPNLTAQKSTPGDSVLTVENEYNFVREGCLSHGKVMHVRAVTHRTPLARLIEMQSSKQTRTGRFQLPASNILPRSVHDPKASAQHLRNHVHQSIRNDASRTISLIHNHSIDSTSKGKAHNGVDSRSQSGKDDDVTVIVPEPGQLGVKTPEWFQSDSQRGVRNLMESFNSACTTEAGTSATRGAPPMTGNNSSQTEEGGTSARLDENFDGAEGDEAVQDEGPGPTTFPVYLKLQNQTSTEFPEEIRAITGKELRLKLLISEDNVKVNSRLFFAVDAVDADAPVSAICSVSGTSSTTSSITNSSAVKHLEETETPSTSISSTKRVKVVGFFLMPNIHSFITCMYLCLKPNRIPTLCKIAGTVSSTAANKRWIHLLRPTLCMRSSPLDPPISLLLSSFYYYSLLNGYC >KZN00335 pep chromosome:ASM162521v1:3:4318404:4319725:1 gene:DCAR_009089 transcript:KZN00335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKVDIKKGPWTPEEDIVLVTYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIVHLQSLLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKIRKLQTASSDDHDPHMLALSDHSAQYQRRKLSDMATSPLASTDLTFHNNSSNANTYASSTENISRLLQGWMRSSTKTTVEPSTVDASSSGGSHGNIVRDSLATIPLRGYRPKGEKPEGEDIISDEVFDSILSFDNLNTKISNWDQKNSSSDKGSDDEDDHDDAKDNRKARPADDHKSSPPPLSFLENWLLDEASAGQVGEMMELPFIC >KZN03600 pep chromosome:ASM162521v1:3:46185213:46186310:-1 gene:DCAR_012356 transcript:KZN03600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKILFDPIGTTLPPQLPPSPAPAPSPSEPYYQQSNDFYGSVYNYFLPPGLPEDSAYEMSTISIVICLLILSICSLLFIFHLRLRSRWSHHLQKFSSAWSLRVLLVMYVALWASNEILRLPFFRRRYIYPYLPPLNLAQEVNLCKAYVVLSLGLYEPAILATLLFLLRVSVKKRCPSQLSAIAKVGSMCLPVFLGQILVVYFSPLQAQLPEIFYRGSVISKDLHGNTNVYCTYPWSSTIVFACFGMSYTLGFSLSCWEVLSVVINKSIIYRINFLAMTINSTIPLQIVFLGLSAFSSTGKVASEAMLIAMFFCAALCVIVGLGTLVIKPTADALASVVDFHRSNARVHIRDLEFDKKQEENEQKQ >KZN00398 pep chromosome:ASM162521v1:3:4995366:4998073:-1 gene:DCAR_009152 transcript:KZN00398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLKFLPCYFFILIHLLISADGINSELSSKQNIPISRHDSRPKKLFVFGDSYADTGNIPRSQSPSWKQPYGVTFPGRPSGRFSDGRILTDYIAKFLGIQSPTPYQWRKLARQRLHNGMNFAYGGTGVFDTDVQLPNMTNQIDLLQKLMLPDSLYSKTDLQSSLVLVTLCGNDYSDLSTTGGSIPDIMAYITRVVNQLELNLKHIKTLGARKIVVTGLQPLGCLPRETEESSYKQCNETENTLAVFHNFLLQQAVAKLNNESHSSKPVFTVLDLYASFNQVLQNKGGVMFRTPLKPCCMGIRGDSRCGSVSDKGVKLYNVCRNPESAFFWDTSHPTQAGWSAVFSSYLKATLEQCF >KZN03373 pep chromosome:ASM162521v1:3:44111459:44114025:-1 gene:DCAR_012129 transcript:KZN03373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTESSKNAKRKSSFDDLPLETVFDILLKLPVKSIIRSTLVNKTWHSIITNPNFISAHIQRSRSCCDESAMLLVPFTGSLENYCSLVSTDTSSLIQKYEIPFTRRSLEPFYASLHGLVLFSSRSELYLWNPSLRTHRALVTSNPFDKRSYRRSTRILLAGLGFHKPTNDYRVVMAFSGVDEKGKVYEEVAPRAGIYSLREHAWKELKNSKVPRLIREDETYVDGRFYWLGTMLLPETYDGWYLNSLPYRPDPEQLRILSFNFDTEEFGELLKLPDEVSSCVGQATEFRLMEFEGSLCVCVSDIKYDSGGQLFYIWSMRSENGVISWSLRFRFLLKVRAARPLNITKSGSLIIESFGDYSNWSTRILISRNLKSMHDRDIGIFKFEEYAENSSISTVGTHFMESLVMYEGDQKSLLKSAEYVQKVCNAIDGTAEGTDILGRIAAGFNAFLLWVGFSEDHATLFLTSNPSITKVDGHGRGMYWNNNSFSYS >KZN01493 pep chromosome:ASM162521v1:3:17310686:17312455:1 gene:DCAR_010242 transcript:KZN01493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGYWNTPHDLGGSVKRPRPLPHSDLSPAVLPLAHEMRNYLTRDNDRIGPYAVNDTESIGSAYDHYLRNGQYSPLTSADGNIYSKVGLDSTHGSGRPAHMLDGPVSVSQPRDVLRDLPSFKHPVDTTPRPGHEILPLPRDASNTLYIEGLPANSTRREVAHIFRPFVGYKEVRLVSKPSKHHGRNPLILCFVDFENPVCAATALSAIQGYKVDEHDPDSAYLRLQFSRHPGPRSGGSGRYGR >KZM99989 pep chromosome:ASM162521v1:3:896174:900676:-1 gene:DCAR_008744 transcript:KZM99989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFFKRRPQKVPFWQRREITDFLAVFKQNRTYGILALAVSIIVPLITTLILGKKKRKQRGVEAAVAGESGYAVRNARQAQLLEVPWEGADTMTALFEQSCLKHSKLRCLGTREQLSKEYITDGSGRKHEKLHLGEYKWETYGEIFDRACNFESGIIMLGHTVGTRAAIFSESRPEWFIALQGCLRQNITVVTIYASLGEDALVHSLNETEVSTLICDSKQLKKLPAVSSRLKTIKNVIYFEDSDSTDDPKTYKDMSNWTMSSFSEVENLGKNNTPPYQKPNKSDIAVIMYTSGSTGLPKGVMMTHSNLVASAAAVLTVIPKIASSDVFLAYLPLAHVLELVAEIVMFSAGMSIGYGSPLTITDVSNKIKKGTEGDASALKPTLLPSVPAILDRVRDGVLKKVGDTGGITKVLFNFAYKRRVAAIEGSWFGAWGLEKLLWDLLVFKKIRSVLGGDIRAMLCGGAPLSGDTQRFVNICMGAPIVQGYGLTETCCGGAFSEFDDTSVGRVGPPLPCCFVKLVSWDEGGYLTSDKPMPRGEAVIGGGCVTAGYFNNDAKTNEVYKVDERGMRWFYTGDVGRFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALMSSNYVENIMTYADPSQKYCVALVVPSRHVLEKWAKDVGAEFKDYPELCNKKEAVDEVQKSLAKEAKSAKLDKFELPAKIKLMPEPWTPESGLVTAALKLKREQLKAKFKNELEELYK >KZN00342 pep chromosome:ASM162521v1:3:4360153:4362267:-1 gene:DCAR_009096 transcript:KZN00342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANNMLAYGLYLPGGSTDRDSIGSGTKNSSTSSGSRSRRCKEFLRRFVDSYIWSINLEEWLEPGCDAPAFEVPFELIDLQKFDYALEGVSFQQLIRMPSAVYASSSGALEAANFLAIEDFLHASVKGLWEAFWSQDEPMPFYVTSVYDNLKFHQAEKAIANKKLGDLCASGILLKNPRHPHGKWDDILQLAVVKPSIGNLQEKSDHKPSLSVIGEALFYAVRILLSRSLSSSNTSLSVNSVFVLLVDSQYGGVLKVEGDLTKLEFGGSNVYECAVEWLKNYSQIAVSPIDRIWNDLGNANWGDIGALQLLFATFHSLIQIAGLPNNSIEDLAADHSSRLQLRRAERQLGDTRINGNGLFKLQQRSISPEIVEVQEESRNVESEKPMKLEVGSALWLEESDRQKGYQINEILSDEETPYYVVSPVEDQGKTLFLYVGSHPSHLESAWEDMKLWYQVQRQTKILNIMKQKGLSSKYLPHLSASGRMVHPGECQRPTSGGNCSHPWCGTLILVTSPVGKTVADMVRDGQFGPDEAIRCCHDCLSALSTAAAAGIRHGDIRPENVIYVTAGLRQPHFVLLGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYLLYFSFGGDLPELDSIEGALQWREASWSKRLIQQKLGDISAVVKAFADYVDSLCGTPYPMDYEIWLKRLKRHIHEEDHGKDIGTSG >KZN01834 pep chromosome:ASM162521v1:3:25874011:25877155:1 gene:DCAR_010588 transcript:KZN01834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLPPDMLSEIFKRLPVNHVLRCMCVKKSWYRVIKTCIFVSLHLNYRQSVSSKRYLLFHSNYSGFSVHSDDKKCRETYKWRPDDVGQTSYGTSNGLICLSDLELEYDSHIYLWNPAIRRSKLLPPSSLFLDIFKLEFKGKVFKMSLAFGYSSSRDDYKVVKVMIYCLEKGAKWLSLVDVYSLRSNSWKEIGQEVSCCKLGRPVFVKGVVYWIAKKCDGKRLILCFDTEEEVFREILLPGYDCAYKLDHFVQKFGELLCVLVFHPPSEAVDMWVMEEAEVWRKITSIGLADKYGLPMGFRNNGEMVLRMLNYEFGFVSYDLKRNKPTGIMKSKHLKPFGWDFSDDDEKEAVDNLDQKVYFVNPFMESLVLLDDNSEGVVLVVMDSPAVKEILSRLMNFNSPQKRPVPPLPPGILPEIFKRLPVRYVLRCRLSYNWYPNVSLTSYGTSGGLICLSDLCLDYSSHIYLWNPAIRMLKALPPSSFFSNIFVLDFKGYVYKLSLAFGYSPHVDDYKVVKVMIYCMEEYAKWLTVVDVYSLRSNSWKRVGGDLSCCKLSRPVFINGAAYWVAKKSHGKKIIVCFDTENEIFREILLPQYDCLYKLNYFVQEFCESLCVFVNHRPLGVVDVWVMEEADVWKKKTSINLGGIYGLPMGFRSNGQMVLRMLNEFGYVSYNLEKDKAEQVLKSQRLRQFGYINTTSKDVADSLDQKVYFVNPFMESLLLLDDKLGF >KZN03078 pep chromosome:ASM162521v1:3:40979751:40985078:-1 gene:DCAR_011834 transcript:KZN03078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLFHRISNDTVPLRVHDVLIKGNIKTKEALIEAEIQSLREASSVQELLKAASIANARLQRLDIFESVNITLDSGPAELPGTTNVIVEVVEYKNPLSGDIGVFSRPEVARTWSLEGALKLKNLFGYGDLWDGSLVYGWEQMSEVSAGVYLPRFRGFPTPVTIRASLSSQDWLKFSSFKEKLAGVSLGLLSTKNHDLAYNLSWRTLTDPSQRASRPVRRQLGHNLNSALKYTYKIDKRNSPLRPTKGYAFVSTTQIGGLSPDSRCLRFLRQELDLRCAFPLGFYNAALNFGVGVGVLFPWGSGFRSKPSSLLDRFYLGGNFSPVCTLGGPTSVLGFKTRGLGPTELRRKVNDDSESSDSHPGTDFLGGDLAVTAFADLSFDLPLRVLREAGIHGHIFASAGSLNKLSENEYRNLSYQKFRESLRSSIGCGVIIPTKLFRMEVNYCHIVSQQATDQGKTGVQFSFSPPST >KZN00997 pep chromosome:ASM162521v1:3:10616332:10618169:-1 gene:DCAR_009751 transcript:KZN00997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGEDLLEPVSPTGQYLNSSVLSLSILSVLESETPIHDDHFRYLSILRDDFLPVNSRFSSIMVAEKNGIQKWKKVEVNLDDHVIVPALPRGMSPEFYDEYLLNYLSKLGMDPLPETRPLWEVHLFKYPTRNAAGSIIAKFHHSLGDGYSLLGALLSCLRRLDNPSIPLSFPSLQTSNSSKRGDYSLITRSVRRIPQVSSWIANTVVDFGASLLKSSFVKDVESPIRSLHDGVEYLPMAFTTIEFSMDAIKIIKNKLKMTINDVITGIILLGSRLYMEGEMMNSGKSNSNALVLMNTRNLGGYKSVGEMVNPKANTSLWGNHFAFLHVPLPKLSTASLSNPVNFVYEAHKIIKRKRNNAAVLLTGALLEKLRELRGPETAAKYIHNTLRNSSITITNLIGPVEKMSVDDLPIKGLYFFVTGSPQSSQVTVISYAGKLRIGIGVEKDFIDPHKFKSCIADAFNLISQAAVVP >KZN01806 pep chromosome:ASM162521v1:3:25409249:25419798:-1 gene:DCAR_010560 transcript:KZN01806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIHPVFCNSSSSSIASASPFWSSITFGGRLRRKSNFVVQLNFFTPSAFLNKSASSSSVPVENSISYSDQPAVVHNSLRLLQWDKLSHSVASFAGTSLGRQATEAQLWSLDKTYEESLRLLEETKAAVEMHNYGCSMDFTAIHVQSHAVFISALRLVAESGSSKTLIQIIVTVKSAMQHARRGLHVGGNEAIAIVGLLEFAETLQLNVKAAIKDDADWLKRFMPLAEMILGMFTSGSLIKFIQQLIDEDGIVKDSASSTLKRSREQVRSLERKLYQLMEALIRTETVETSSLEVRSIDGRWCIKSETDLQRNIEGLLLSSGSGAESIMEPLSAVPLNDELQQAKESVLKAEAEVLLRIKEKIQLDLDDIENLFKDIIQLDVINARATYSLAFGGTCPDLFYPYDKSVKELSGDEIAKASHPTQMKWNLYLPKAFHPLLLQQHRQNLQKATKDVNDAKAEIRRRKQQAGNLYQKEADISLSSLQSHREMVEQSRPVAVDIFVSRTTRVVEILSHSTNRSLVLLDEVGAGTNPLEGAALGMSLLESFAASGSLLTIATTHHGELKTLKYSNDAFENACMEFDDVNLKPTYKILWGVPGRSNAINIAERLGLPDKILDDARGRYGPASVEINEAIIDMEKFKQNYHEHVQEARHYLMLARDLHEKLLVTRRKVVEHGITERHRQMQEISQAAAAARSTLHKKLRQYRATLSQSPRISNTDINQKTSSSSNGQGVKAEIGTSITTNAVSYDNSKEAPSGKIQELPKIGDMVHVYSLKKKARVLKVDPSKGELLVQSGIMKLKLKLDNIVN >KZN01829 pep chromosome:ASM162521v1:3:25824647:25827188:-1 gene:DCAR_010583 transcript:KZN01829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMELVSHAPPPKLPSPPAIESKRLNFIPPKLRRFPTQSLSSKSSIISQVDNSLDSATYANTLDSCYCARFGKQVHAQALKNGFRGHVFVETKLLQMYVKCGCLDDAVTLFDEMTERNLYSWTAIIGAYVDHGFFEDAFLMFLGMQVEDLELEFFVFPLLLKICIGLCSVELGRQFHGIVIKSEVDMNVYVGNALMDMYGKCGSLDDAVRVWGNMSGRDFVSWNTMIAACAANGKVDEALQFMQKMSFEDNLSPNIVSWSAVISGCSQNGLDEEAIEILGRMQAAGVEPNARTLASVLPSCARLNKLRLGREVHGFIVRRGLMGNQIVVNGLLDVYRRCKDVSSAVRIFSKFSVQNAVCYNTMIVAYCEAGEISKARRLFDQMELNRLERNIISWNLIISGYVDNSLFREALIMFIDLLRHDCVATNSFTLGSVLTACTDIGLTRLGKXTCHSRTSFDEVSERDLATWNALISGYSRCNQTEHILVSLQEMKVDGFKPNVYTWNGIIAGLVENGCHELALEMFSKMHSSNLHPDIYTIGSILTSCSRLATLERGKQVHAHSIRFGYESDFYIGAALVDMYAKCGNIKHSHLAYKRISTRNLVTENSMLTAYAMHGHGEEGIAFFRKMLIDGFIPDTVTFLSVLSSCVHAGAVEAGKEFFKLLRLCNVNPTLKHFTCMVDLLSRAGELSEAYRLINEMPLQPDSVIWGAFLGGCVLAGNVDLGEVAAKRLIELEPDNTANYVVLANLYAYAGRWKELAKTRQIIKEKHMNKNPGCSWIETSDQIHVFVSGDKSHKNRDDIYNTLYNLRNHMSLVQELPE >KZN03214 pep chromosome:ASM162521v1:3:42542499:42542918:1 gene:DCAR_011970 transcript:KZN03214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTTAANTASSCAACKYLRRRCDQSCFLAHYFPASKSQDYLSCHRVFGTYNLVKMIKSVQEHERHEAVATLVLEARMRVSNPVHGCLMMQRVLQAQIDEHLEELDAVKKKVFLFESLHSQIELLDDQLINTSLAVVPE >KZN00315 pep chromosome:ASM162521v1:3:4137908:4139995:-1 gene:DCAR_009069 transcript:KZN00315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNSGRAAELSGLRMENRKRKYSPTVWDRDTNVCKLSSNTGISSRNLLTNTFLSTSSFRVSTGFRESGPPAKSVVDVVSGGCVEDGVLGQYGGRKKSEHEGCAEVHSVRSGRWVDDVEDGEISDSPAHKGMKHITAEMGVSRELTSPLFVEHEREGSEGKSSGLSDKRSDHSTLTDECDMEIDGQQNYGDSTEGHLSSRPEDERGFWETPETGAPLQRSANMLQACRNVDNFEKIRKIGEGTYGVVYKARNKITGEIVALKKVKINNDSEEGFPLTALREINILLSSDHPSIIDLKEVVEGSTSDCCFLVMEYMDHDLKAVMDRKKQPFCQSEVKCLMLQLLQGVKYLHDNWVLHRDLKTSNLLLNSRGELKICDLGMARQYGSPAKPYTQLVVTLWYRAPELLLGAKRYSAAVDMWSVGCIMAELLLNRPLFNGDTEINQIDKIFRMLGTPNETSWPGFSELPKAKVRFVKQPNNLLHKKFPAASFTGTPPLSNAGFDLLNSMLTCDPEKRITADAALNHQWFREFPLPMSKDSFSRVGSVSRHDSKKKAIPELTWAKIITKHLEL >KZN03095 pep chromosome:ASM162521v1:3:41242314:41242928:-1 gene:DCAR_011851 transcript:KZN03095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLQLQRIYNTTTEYKFQKKSSNNNLCTIVPPPLGLPQGIEPYHTHMISSNQSCSSVVQKVSAPISTVWSIIRTFDTPQIYKHFIKSCHVIHGDGSVGSLREVHVISGLPAVSSIERLDILDDECHIMSFSVVGGDHRLNNYRSVTTLHATESGEGTVVVESYVVDVPEGNTKEETCGFANTIVTCNLHSLAKIAENLTHKS >KZN00629 pep chromosome:ASM162521v1:3:7030547:7032301:1 gene:DCAR_009383 transcript:KZN00629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRYPDFYNSGGLNGRSVMNINNSQGPYRPYLAGITPDQASLLQRRSDLIGKRSLADFQQQFQHQQQQQLGVYLRDVKQRVNYQQHASPISTLSPPVDFSGNSLSPELSAISSSSIYGLPVLQQLRRPAGVPIISDVVVKTEENKMMSRLQELENELLTDEEDQNGVVSILSNSEWSETIQNLIGSGHKPYISPSPTSSASSCSSSSISPPVCAKQSLIEAASAISDAKNDVALDTLTRLIKLGNTKGTSEQRLVAYLAQALMSRVQSTQSPTDLYSKDHMLSTQMLYEMSPCFKLGFMAANLAILEEIGSDANAVKLHVVDFDIGQGRQYVHLLHTLAAKIKNENKLISLKITALVDHSDLSSQLTIVRDNLNAVASRAGVNFTFNAMSSNAIKLTSDAIGVQSDDVLVVNLAFKLFKLPDESVTTDNLRDGLLHSVKALSPKVVTVVEQDMNCNTASFLPRVNEVLKYYGALFDSLDATLSRDNVDRMRIEEGLSRKMCNSVACEGRDRVERCEVFGKWRARMGMAGFEAKKMSQKLIDSLRVKVNSGTRGNPGFTVNEEAGGVGFGWMGRSLTVASAWR >KZN03894 pep chromosome:ASM162521v1:3:49685936:49686785:1 gene:DCAR_012650 transcript:KZN03894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIMMIPRDLIYEILCRVPVNKASIECGGIIIRAFNKLYLADYESLYDGNAAESDGRLESFLTVDESQLFDSVNGLVCCTKSNQNIVLIFNPSTRKSIMLPVVPFDWQISFDYCFDKHLCGFGYDHVNDEYKIVKIALLYRSSILHDIMVIMYSFKTNSWTRIENVRLSKGVQFKERRGRFASGALYWPATDMKEDNSCSVIVRFDLGLEQFGEFEASFEGKDIDLERLVAFEGSIHVSEYNSCGVDLSEIND >KZN01387 pep chromosome:ASM162521v1:3:15339892:15344036:1 gene:DCAR_010141 transcript:KZN01387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITQAQGEELRKTIAAPSYIECSSKTQENVKGVFDAAIKVVLQPPKTKKKKGKAQKACSIL >KZN02327 pep chromosome:ASM162521v1:3:32078013:32079633:1 gene:DCAR_011081 transcript:KZN02327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFSKGHNIDDEADDFDEYDPTPYGGGYDIRITYGHPLPPSDEICHPPSQNYSDEPADDFSYADVSGYDNDDAINNEYSSYTRRPGYGSDVKNQDEEEEVVEDEYEEPEKEEEQEETQGYGRSEEDEYGGRKNYGGDNYGGEEDEEKEHYRRTYYDD >KZN02696 pep chromosome:ASM162521v1:3:36117448:36117908:1 gene:DCAR_011451 transcript:KZN02696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLTQNLKTRFSGLVESVKSWRTARGIEDYEDLESQTTEHARAVSGSKVPPRPNVLKGLPARIH >KZM99998 pep chromosome:ASM162521v1:3:956635:959225:1 gene:DCAR_008753 transcript:KZM99998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDFHRRRLTTILILLPLVLISVIACKFSFSSPLASGKKSSVSSFFDSGKYQSEKPLNLVEGVDDFHAQNPASSPNSDDQNGKEVILQSNSSSIPVNGSIDSNHETQTIRIYSRLEKIEARLVKVRQAIKEAVPNRNMTSLHEDPDYVPRGPMYRNANAFHRSYLEMEKLFKIYVYKEGDRPLFHNGICKSIYSTEGRLISELEKGTLYKTEDPDEALVYFLPFSVVMLVEYLHIPGAREIHAIGNTVADYIKVISQKHRFWNRSQGADHFMASCHDWAPRTTSYVPNLFNNAIRVLCNANTSEGFNPSKDVSLPEINLLTGEITGLVGGPSPSKRPILAFFAGRLHGHIRYLLLEQWKEKDSDVQVYESLPKGVSYQTMLRRSRFCLCPSGYEVASPRVVEAIYSECIPVLISDGYIPPLSDVLNWKTFSVQVDVKDIANIKTILMSISQRQYLRMHRRIKQVQRHFVMNPSPKRFDFFHMMIHSIWLRRLNVRIED >KZN00223 pep chromosome:ASM162521v1:3:3203514:3203912:1 gene:DCAR_008977 transcript:KZN00223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKILLLLALSIAFTFLISSQVAARDLAETTLKTETASLDGSSYYAGGVGGSRSHPAASAYSRGGGSHHNGDGETYKAIPIGGGCYNRYECN >KZN00391 pep chromosome:ASM162521v1:3:4938030:4939337:-1 gene:DCAR_009145 transcript:KZN00391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRTNRVKVPEGFELIEPTLLELQAKMREAENDTHDGKRKCETLWPIFKIAHQKSRYVYDLYHRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCSRCMQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCNGCASGD >KZN00299 pep chromosome:ASM162521v1:3:3995498:3996490:-1 gene:DCAR_009053 transcript:KZN00299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNRKVSLKPWKKGPTRGKGGPQNATCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAVAYDEAARRLYGPDAYLNLPHLRTNFNPLNKSHKFKWFPSNNNITLFPASGLLNLNAQPSVHVIHQRLQELKKSGVLSQSSSSSSSSSDPKNDAAFINSQRPCLIRYYAEPLSKITSGDHPEKPQLDLNEFLQQLGIIKRDDHVDTGEASSSLTELETSLIDDNGVAEFANTSFNWDMLGELQDIEDRRGAESNSWQAYDISEELSFTTSIWNF >KZN01092 pep chromosome:ASM162521v1:3:11587604:11593536:-1 gene:DCAR_009846 transcript:KZN01092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNCYVTSNISVRCKGSFSYSYIDDYSCDNRRYVNHSLVANSCCCCACCGASSLYRVPVSNAFLYGQRQSSLIQFAPSRRLVLGGLNVGFSRLPVCDLSGNGGFRESCGLNGGFSRLPVCDEGRNGGYGKRYVFEERGFVGRRGKLGKGRYGCSVDDGEVILDLLTEKVDEECLGVRKRNVGKVRLKEIQKREKESSEFLVKRRTKDIKSDVRKGSLKRDKEFLNLKSREEDPREKEDRRQEKRKSFARGENHSSLRSESDTRQKEDRRQGSREACSRGENRSRFTSESDTSKKEDWRQESCETFSRGENHSRLRSESSGGTSYYSVSDSGEFVDDRKAQAKHVSFVGESSSRHGKDHRESKYDREVTEDVYRNKGYAQEEKVFSGKKYDSNSLYSEAGSVDYNLRKKSEKKLEAELIERTESRNKTRLSDSRESGYAKSFNVLKQYDDKKETSTSVENIDATRQQYRHIGQQVGGLPESRVKYKQFSEIPDIYDPTVETASGSQIQYSGRIEGLHGSSNLVENTAREQLITDSLITREQQYGRNYQIHNKSSEINREALFSHRQTETRMKNLEDDANLVPRFDDNSIKPHGQTGQQETIQIGSSGEIQRRAGISNAQLINIQNTFISQKKSDSGVRIESLESAGTSYPEATRRRRSMKQPQFISSQTNAEVASSSSRRTGSQETYSSVVKVDDKSQYNLIDESIVRGEAPRESQRPTKMTSSSSSFELGSQIDLDLSKTTGSSATARARRHDEPTGWHGIHDSRSENFGSGSSTIYMPALDDSPDMQHEVHGGARSGTHNNMVKSLSHDDVIGSAARMQRSSMEFVGDFVDEIRHEVSTSEVEERTNQIKLAHKRESNEQRGPGLHSSGDYEQEDHDPMHMSPGSGAKGPSDEMWDVAGPSIRESSKTEDVAEVTTTTEISGFKRTGRSMWNVISDIVLLRWASNSETNKTRSGGRSSSHQSTSTDAWFSGHEADENNDENNKQGKETVLQESSSVDLEKHGEFHAQNQGEPFGSKRSKDKIKLVESDFPFSSVNESVSSQGNLSFTVEETVGRRSEGTSGIMKPESAPGSKSMEMSPPTVHEISEPKASLPLSARSMRRYPPIVQEVLEPESSLPLPAVRMRRSTPIVQEISEAGEADASGGGTVALSRQRGPINVTESSISEDRSGEMKLKKFQRTKQVLRDRSTPIVQETSEAGEDNASGSGTIVLSGQPGSGDVTEILISEGKTGEMKQRKFQRTKQVLNDRFDEWEEAYIFETEQRKNDEAFMREALIEAKKAADLWEVPVGAVLVQHGKIIARGYNLVEELRDSTAHAEMICIREASNVLRSWRLAETTLYVTLEPCPMCAGAILQARIDTIVWGAPNKLLGADGSWIRLFPSGDEGHSGSETADKAAGPVHPFHPNIKLRRGVLASECSDVMQQFFQLRRKKKDKKPDKKQDPPTETPPSCLPISTRPSKILAKMHDAFHIMFCL >KZN01611 pep chromosome:ASM162521v1:3:21789705:21791744:-1 gene:DCAR_010365 transcript:KZN01611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEDEDDVAVVDPPPRKLWVARSRRTYSKTDLDIVRLETLDYISNRLISLDSHKLDG >KZN03278 pep chromosome:ASM162521v1:3:43125408:43125638:1 gene:DCAR_012034 transcript:KZN03278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQKLTVTAEDNGKGAKCLRVKSKGLHSVYSKTIRCNVQHAEIKGVPNAINSKKNNIVLIIKSKPNVSDKKKIRT >KZN00191 pep chromosome:ASM162521v1:3:2890666:2891882:1 gene:DCAR_008945 transcript:KZN00191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGTNQSKTKDEESVMDSEDHWHDIKNVVRATIVENQPSLVRKGRSQSPSQRPQQPGNFSSNENMSKKDHVVQEKRTVSPHRFPLLRTGSLSSRPTAPNSRATTPTPLSRSTTQDLSRSATPNLSRSTTPNSRQGYPSEPRKSASMRLPSDRGSPKENNPIPTKGKRLLKALLSRRKSKKDETLYTYLDEY >KZN00399 pep chromosome:ASM162521v1:3:4999749:5000069:-1 gene:DCAR_009153 transcript:KZN00399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSSTELAEKVTWYCAVFLGTILVLNFCESTTNTTSGERVEEINVISSMKRACDEIYVVREGDTLQSISEKCNDPYIVEFNPHIHDPDDVFPGLLIKITPMFTNM >KZN00935 pep chromosome:ASM162521v1:3:10035440:10051967:-1 gene:DCAR_009689 transcript:KZN00935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPGLRSQKLSSRASSSTTSSSKQFPEASADDLSSPASSTARSKAKHYSAETVSVNARKLKENVTVTVRFRPLSPREISQGEEIAWYADGESIVRGEHNPSIAYAYDKVFGPTTTTCHVYDVAAQHVICGAMEGINGTIFAYGVTSSGKTHTMQGDQRSPGIIPLAIKDTFSIIQETPSREYLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGVKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLHSSRSHTIFTLTIESSPCGENDEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGKASHVPYRDSKLTRLLQSSLSGHGRVSLICTVTPSTNNSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQHEIRTLKEELEQMQRGVAAIPQLKHSDGDDLVTLKQELEDGQIKLQSKLEQEEDAKAALLSRIQRLTKLILVSTKSSQSIFPHRPGQRRRHSFGEEELAYLPFRRRDLIVDDEQIDTCTTLDQNSETADETLKEGKTTRKNGLLNWLKPRKRDSSGTFTSTSDRSSGTKSVSTPSTPKAESGNLLAESRLSHSTPTENTPSADLLLSIKHERETPEESSQQVCS >KZN01230 pep chromosome:ASM162521v1:3:13337957:13339761:-1 gene:DCAR_009984 transcript:KZN01230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNKKKNKNDSTSMDVTTSTQPKAVNGPQSMDTSENIVSDQPRTASIRKVKGVQMKRTKNVRKMKAIAKAVSRTEKSATKVLKNESKTLRTQSAKKLYD >KZN00756 pep chromosome:ASM162521v1:3:8182209:8188736:1 gene:DCAR_009510 transcript:KZN00756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFTKAFWCSESHEIPISSSFDYSPPHQHYPIPVSFEPFTYPAQTSPRIGPILRKPCVDISTLYVLDKELGRGQFGITYLCTEKATSLKFACKAISLRKLVSQKDKDDVRREILILQHMTGQPNIVEFRGAYEDDKNLYLVMELCSGGELFDRIIARGSYSEKEAATIARQIVNVIHACHFMGVIHRDLKPENFLLVSRAEDSPLKATDFGLSVFIEEGKFYRDVVGSAYYVAPEVLRRKYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFSAILQGHVDFESSPWPSISSGAKDLIKKMLTMDPKLRISAAQALEHPWLKEDGEASSKPIDSAVLIRLKQFRAMNKLKKLALMVIAENLSEEEIKGLKQMFNNMDTDGSGTITYEELKTGLSKLGSKLSEAEIQQLMEAADVDNNGTIDYIEFVTATMHRHRLDKDENLHKAFQYFDKDNSGFITRDELRHAMTEYGMGDDATIDEVLNDVDTDKDGRINYEEFETMMRKGTLDHNGAEEPVERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >KZN00982 pep chromosome:ASM162521v1:3:10467967:10475003:-1 gene:DCAR_009736 transcript:KZN00982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQRSHMSNLNLVYIFLELILIFEAGTSYGFTNPVDVAAINSLYIAVGSPILPRWTSVGGDPCGDAWQGVECDGSNISSIKYNVANMNGDLGDNLGSFASIKIIDLSNNQIGGTIPTNLPVSLQQLYLADNKFTGSIPDSLSSMNQLSAMSLNGNQLTGDIPDSFQGLTVLVNLDLSSNKLTGQLPPSLEGLSSLTTFRLQNNQLSGTLDVLQDLPLRDLNVENNSFSGPIPEKLLSIAIFKSDGNPFNTSVAPSAPPTSPTGSPTLPTARPPAPPFFRVPASGQTPEKAPGNETPSRPADGPSATTDANSKKTNQMLLIPRCCKERGDRVPRRYEIAPYIGNRENLREYGSLEQPFHQTEKGPKVDLVKPQDNYLKGPIVSSLAPMPREELNVDKMSAVPKVEDHEIDMTRFDFDSMLPPPPPPPPPPSPPPQLAPPAPPPMPLKSVTVNPILPAQTIPVNSSSGPLPPTTVRSYSIASLQQYTNSFSQENLIGGGMLGNVYTAQLPNGKLVAVKKLDRRVSSQLKDDKFLDLVNSIDKIRHANVVEILGYCAEHGQRLLVYEYCSNGTLQDALLADDESKPSWNLRIRMALGAARALQYLHEFCEPPVVHRNFKSSNVLLDDELTVHVSDCGLAPLISSGSVSQLSGNLLSTYGYGAPEFESGIYTSMSDVYSFGVVMLELLTGRKSHDSTRSRTEQFLARWAIPQLHDIDALSRMVDPSLQGKYPVKSLSRFADIIFRCVQPEPEFRPLMSEVVQDLLQLIRRDFD >KZN02822 pep chromosome:ASM162521v1:3:37737637:37740120:-1 gene:DCAR_011578 transcript:KZN02822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFANPRLLIMQFQPPKASPIEFNLNSISPSNDDSDDFNFAWYGNIQYLINISAIGTITCILIFVLLKLRSDHRRLPGPTAIASKLLAVWHATGRQISLHCGADAAQFLLIEGGSCALLVSLAFLDVSVLLPLNIYAGNAPMADQFSKTTITHITKGSSLLWVHFVFVVIVVVIVHFAINLIERKLKVTRFRDGNGNPSDPGVNNSSTAIFTVMVLGVPKNLGFDKTPFVEYFQHKYPGKVYRVIVPMDLCALDDLVTELVKVREDISKLVRKMELRDLGEDYYESEYGLHGSWRRVKDLWGRFVDELGLSNEEKLRKLQERRADLEMDMAAYKEGRAKGAGVAFVVFKDVYTTNKAVQDFRNEKKRRVGKFFSVMELQLQKNHWKVDRAPLATDLYWNHLGSTKLSLKLRRVFVNTCLLLLLLFFSSPLAVITAIKSAGRIINAEAMDNAQIWFAWIQSSSWLATLFFQFLPNVLIFVSMYIVIPSALYYLSKFERHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESAILSMGRCYLDGEDCKRIEEYMSASFLSRSCLSSVAFLIISTFLGISFDLLAPIPWIKRKIQKFQKNDMLQLVPENSEDYALESSDTESLQRPLMHDGMFNTAVGNGGSVNGGSGSSEIDIPGQDLSEYPISRTSPVPKQTFDFAQYYAFNLTIFALTLIYSSFAPLVVPVGAIYFGYRYVVDKYNFLFVYRVQGFPAGNDGRLMDTVLSIMRFCVDLFLLSMLLFFSVKGDSTKLQAIFTLGLLVMYKILPSDNDGFQPALLQGMQTVDNIVEGPIDYEVFSQPKFEWDTYNL >KZN01622 pep chromosome:ASM162521v1:3:21993963:21994851:1 gene:DCAR_010376 transcript:KZN01622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQNSQMVRLISYNSSPMAEDKEEDISALSAFRTMEEEIKKKKMEVSMKVQAQLGRVEEETKRLAEIREELEGLADPMRKEVAFVRKRIDLVNRELRPLGQSCLKKEKEYKEALEAFTEKNKEKAQLVTKLMEMVSESERVRMKKLEELSKNIDSLH >KZN02413 pep chromosome:ASM162521v1:3:33259320:33284498:-1 gene:DCAR_011167 transcript:KZN02413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVKCGSEVFSGPMGQILDRLQAFGEFEIIHFGDKVILEEPIERWPICDCLIAFYSSGYPLQKAEAYAALRKPFLVNELDPQYLLHDRRKVYERLELYGIPVPRYALVNRDVPYEDLDFFVEEEDFVEVHGNRFWKPFVEKPVDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYLYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNHDGKEVRYPVLLTPNEKQMAREVCIAFRQSVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSTIPPILPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVITIMRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRAETKLKSAVQLQDLLDATRILVPRSRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKIAKANGEGEDERPAEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASIEMEEAKARLNEIITSGAKTTQSNGSPAKPWMVDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDEDENLAEKSSYDVILPYDQARALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNVEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKSNQEQESTINTTFTEPPPVKTEKEATVNKTTKEEQDHQRISHIRMEDTRRNSFTSDMSMDQEEDDDKEIKYRLDPKYANVRTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSMFCDNALERLFKTKELDYMSYIVLRMFENTELPLEDPKRFRIEMTFSRGADLSPLEKNDSEATSLHQEHTLPIMGPERLQEAGSYLTLEKMEAMARPFAMPAEDFPPPSTPQGFSGYFSKSAAVLERLVNLWPFHKHGNTNGK >KZM99945 pep chromosome:ASM162521v1:3:325184:339657:-1 gene:DCAR_008700 transcript:KZM99945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRPAGTTRSSLEEMLESLKERDEAEHPKDLPPALPSRPNSRARRPSVAKRSLPNLISVPDSSNKVKKKREYKRSSGGSFGGKKPKEPRPDESPYASVTISDVNFEENLENGDKDSADFAVKSSSFPKFKEPELNENLGYFIKKKLRVWCQLKDGQWELGHIQSTSAETASVLLGNGSLVIASTGELLPANPDILEGVDDLIQLSYLNEPSVLHNLQFRFTHDKFYSKAGSVLIAINPFKKLKLYGNDYITAYRQKLMDSPHVYAITDTAYSEMMRDESRVVQLAQGERSYHIFYQICAGASSVLKEKLNLKAASEYKYLNQSSRLVVDNVNDAEKFAQLMEALNTIHLSEEDQQHAFEMLAAVLWMGNISFQVAENENYVEVLSDEACKMVASLLGCSLEDLILALSTHKIRAGKETVAKKLTLQQAIDTRDALAKFVYASLFEWLVEKINRSLVKGRWQSRRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLQQEEYESDGIDWTKVEFEDNQECLDLFEKKPIGLISLLDEESNFPNGSDLTFANKLKQHLEDHPSFKGERGGAFSVRHYAGEVLYNTTGFLEKNRDPLQPDTIQLLSSCSRQLPQLFASNMQSQTPKPPSNGPGASELHRKSVGTKFKGQLFKLMQQLNNCTPHFIRCIKPNSKLLPGLYEKPLVLEQLRCCGVLEVVRISRSGYPTRVTHQEFAERYGFLLSEFGVTQDPLSISASVLQQFGIQPEMYQVGYTKLYFRVGQIGALEDTRKRVLQGTLEVQKHFRRHLAYRYFKELKRGTITLQSFVRADIVKRKYSVMINLRQQVAKRLNDQLSAAVQLQSVIRGLLVRKHINHLQNSSGTQDLMNSTVKSKLNWPSAVAVLQKRVLEAEAAVSKLEEEKTALRVLVEQHENRLLEYQAKVKSIEEMWEMKIASLQRSLAAARKGDSDNASGQPGGSPLTKHSNWSRSRNAISHLSKEFESQSQNFDNEALAIVEVNSESTNFDEEFRVLKQKFDSWERDYKARLRDARAKLQKQQEAERHQQHHHHRWLWILRTLKFK >KZN03107 pep chromosome:ASM162521v1:3:41336131:41337789:1 gene:DCAR_011863 transcript:KZN03107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSREIPMPTEYIQPRERSKFVQANEFENQEIEHVRPRLSSISETVRTNVYEDQQWEHIRPKHSSISEHVQTNEYEYQQGIEHVRPRLSSVSERVQASENWIQREIEYDPARLSSVSKYVQPSEYEDKQGIEYIQSRTRTIYKIEQTDEYEMQQGIEHIRPRPSSVSEFVQANENENKQGIEYIQHRMRSVSELVEENVYENKQGIEYVQQRMRSVSELVEENVYENKQGIEYVQQRMRSVSELVEENVYENKQGIEYIQQRMRSVSDLEEENVYENQRIDHIQPRLMQANEVHGNQQGINYIRPRLSSISELAQANEYEDQLGIEHIQPRLRSISKFVQENEYENQQGIEYIQSRLSSISELAQANEYEDHLGIEHIQPRLRSTSKFVQESEYGNQQGIEYIQSRLSSISEFGEGNNYDDQEATEYAPKPRSSTISESEQANEYENEKELEYNSTRYSEMLQAQENENFQQVDYIRQKLRSTVVTDDASSENADQDENRRGLRHVTLVESSTKETTELEIQQEMHDKVLDMFPEHKFTSRVLKFDEHLH >KZN02802 pep chromosome:ASM162521v1:3:37501050:37513650:1 gene:DCAR_011558 transcript:KZN02802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSGRRRVGLVYDERMCKHFNPYYHPENPSRIRSIWDKLQSAGIHNRCVIVDAKEADDKYLALVHSEKHIDLIKNISSKKLASQRNRIATRFNSIYFNEGSSEAAYLAAGSVIEVAEKVVKGELNSAFAIVRPPGHHAEEHQPMGFCLYNNVGITTRFLLDTKPELGIKKILIVDWDVHHGNGTQKMFWKDPRVLFFSVHRHEFGNFYPAGDDGSYIMTGEGPGAGFNINVPWENARVLSVENEKVVEDVIEPLLKLKVNEQVLDNLSSAPPPWRSKLSQVDVWYATFGSNMSISRFLCYIEGGQTEGMRKKCSGSMDTRHPKETVWKTVPHRLFFGHDCTSTWGPGGVAFLHPRSTEGDETHMCLYKITLEQFNDVLLQENTSSIDTTSPLFDISDLHTITDNQFFPVEALKTGWYHNVVYLGKEKDIPILTMTCPVSDIELFKTGKLPLCEPCEEYANTLVKGLTEMSPTTNVSKRRRIDKQNAGFEDPHTVEARKASAELNKASTSYVDVTSFHTDEASEMLLSSAAKLVARTKGLQEKNSQDAAESSAKITKLTRDLEEAQKKLKLEMINCERAERLVEQARQEKYMQRLKSSREKACLKRKILELETELQHQEDRFNNALLDAEVNGYNKCVNKAKEKGLRYKKLLLDPMHDPTDNQQENMLLPPPAKNCRDLDNVPDSTNTIPTVLSKEKALFDPAGPMFYSSIIEVLAKKNLEGQVGSMVSKKGDTGTQKPPSSSLNISENHKQLKNGNTELERIKDAAFILGYNKCAESIRKSKVYDPSKHSIKDFVASEVVRLEISTSSS >KZN03619 pep chromosome:ASM162521v1:3:46375088:46376737:-1 gene:DCAR_012375 transcript:KZN03619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVKLQKRLASSVLKCGQGKVWLDPNESNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKSKGRHAGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYREAKKIDCHMYHDMYMKVKGNVYKNKRVLMESIHKSKAEKAREKTIADQLEAKRAKNKASRERKIARRDERLAQGPGEKAPQAPAAAAAPSQAQPSKKLKK >KZN01877 pep chromosome:ASM162521v1:3:26489099:26493420:-1 gene:DCAR_010631 transcript:KZN01877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGTIYVLANFKVKDYVGDETSRPVRNNKHIYFTTHTKCEKDIGDGLRIERHAFDLFYFGEMQKLAKDNRQTVDVTFFDAFGVEFEQKLRLAKQQEVVVLICAAKIGLYEGAPNLTNYPATRIHFNPPHYCTKALEKRLQEPPTERDVSPPPEDINYPTMTLTQITALPKESNERKIKCKVKVTKVEEGASWFYAVCTKCPNEILKEQGIYKCTNCNRIIPYPDKRFRICTLCSDNTGSVAIIFHDDEVSRILGKTVFDIEAQAIQAKQDDSFPPLLKSFENKVFNITLEINCNNIKKGSRVYDAFEIGDKIESGGNFDPEEELPSDLPSASTVNLKDDGGNTPNTGVSSTKSRPRSNVEAVTFDAAGEMPLKQQRHIREKLLLHLDKGFTNPSINAFQAKMAHRYATLSTLKADTTECRLKIKVRITRLWRGISKTGEEFTCFNILLQDDKNSQIHAFIPAVCAHDLERKIIVGGVYIISVFTVQAYLSTDKYSELKPFANQTTYMIDVVGIIRDHKILLNDITNRLGQRQVQAKFAITDGSSNINVTFWDKFAEQFVNAVWEQKDNPVIIIIAACRVQLWNNEPKLGNVSGTKFYLNCNHHSVNHLRIMLTDPEFLKKVLNSNKARKAELYTVEAIKTFDKEFVEEQVLAHLHIVQVDTTQKWFLRVCTSCDSETEPLEGMDSCPLCQRIVPYPELRFRIVVLAKDATGSIQIILRDREIRSLIGKRARDVVPQDQASAYFPKCFTDLAGRPFTFKLEIATANIGNHSALYWATNVCNGFKLEATRPELQQTTTQDTQATTSTHQQPSDLNQESSTITNSKELSHNKA >KZN02719 pep chromosome:ASM162521v1:3:36465314:36468111:-1 gene:DCAR_011474 transcript:KZN02719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSAEEEHPVKAFGWAAKDTSGTLSPFNFSRRENGDDDVTIKILYCGVCHSDLHAIKNEWGFSYYPVLPGHEIVGVVTKAGSNVEKFKVGDRVGVGVIVNSCKSCENCKQDLENYCPKMVFTYNSMNYDGTKTYGGYSDLVVVDYRYVLRFPDNLPLDSGAPLLCAGITVYSPMKYYGMTEPGKHLGVAGLGGLGHVAVKLGKAFGLKVTVISSSPKKESEAISKLGADAFLVSSDPEKVKAAVGTMDFIIDTIAAVHPLAPLLGLLKMNGKLITVGLPDKPLELPIFPLVLGRKLVGGSDIGGIKETQEMLDFCAKHNITSEIELIRMDYINTAMDRLAKSDVRYRFVIDVSNSLTK >KZN00113 pep chromosome:ASM162521v1:3:2041407:2044100:1 gene:DCAR_008867 transcript:KZN00113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHEDHISQAMELHHYTDNVSNFQSMLPDDHHLSDHSSPPSEISGKPVPTWLNNAILRQQNHHYTANGSNFLHLQTTNSGSSSNQWLSREVHPSSEAMIVMNNQEKKLESHENQESGEGEWRNAKYKADILGHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLEQSQQVVAKYSMLQQSSSQPLDGKDLDQFMVRCKQISRTQYIPLRTGSGNILFLKLDQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDDEDHYDTETNLFDGSLDGPDSMGFGPLVPTESERSLMERVRQELKHELKQGYKSKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTSTKSKRKRSLLRAVDSDTVLISGRTNLDLLCFHSISP >KZN03051 pep chromosome:ASM162521v1:3:40596147:40609043:-1 gene:DCAR_011807 transcript:KZN03051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYSFDIPSSDDPKTLTPTDPQIQWVPLQNHPLFSTTTSTAVPENLLAWDGASRVYYWDPAKHCLNRISIRLGEPDPTSVLAASPAKALQADVPLNFVVNRISINRNGSAILLAGSDGLRVMYLYGRSSAQVNATICRTVSIGSDIYFNQNNVIRTLQISWHPYSDTHIGILSSDSVFRLFDLSSSPGQPEQEYYLQPVESGRSRNAASIRPVDFFFGGDHLWDKFSVFILFSDGAVYILCPVVPFGGVYRWDSLLEIYTDAQTFGQRSSNSKSVSNSSCAISWLEATFPELAHEALEVGNLSAVKAQPYALFDASISLQGPLQKVCHGGEETHEYQAAECEGRAVSFLYNSVSKDSILITAWSGGQLQVDALADEIQPLWALDCPPRLRVDSYDRIVGVAMICESIRQNSIVQLDQSPDPTPWLGHPPPLLRLAIVDLALPKTKESGSRILMFIDPLVPERIYSIHDGGVDSIVLHFLPFTSQASGKHEIMRTPSVHSVLNTCQGDSSTQSPLSGFIALSDSFGSSWIVGLTSSNECVVLEMETWNLLLPIDIDQGKKASNIEEPTESDTSTIISKELLAGPNVVLLPPSTPFLRSANADSIEGRSTLHQYIKLFNENYVEYAHKVCFELERHGPQLKKIIDDQHARLREAHQRLSKVEEKQGKLDERTDHVVQRHNLLEERLHSLRKLPGVHKKPLSKAEREFKSELDMFREVELEALHSSVEALNARLKRYTHSLRNKASEQHRLSGRKNYAQNDQISRLRSAVEKLSLVNSENTEKVKMVESALKSRDSTDY >KZM99976 pep chromosome:ASM162521v1:3:732450:734153:1 gene:DCAR_008731 transcript:KZM99976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVIIGLFLLYVYKTRWQKKTVEVKLQVRQDLDLPLFGFTQIENATDNFSDDNKIGSGGFGTVYKVWRSYNECNLWEVVDSVIFESDNQHEVFRVIQIGLLCVQEYPEDRPDMSSAFRMLTSNDALPVPKQPGFFSERRSQPETDSSSRASTLNTISVSFLSPR >KZN03753 pep chromosome:ASM162521v1:3:47694715:47697329:-1 gene:DCAR_012509 transcript:KZN03753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARLVQHVASPTLPRMHQRNMQKHRYAPRIVAVMTRPTENLERLATTKTEYNDNWFDRIAINHLSRSVQETIGFKSSKEGYEGLVEATVVARQHFSPDQQLELVTQALEKAFPKPILSLIRTVLPPSKLQREFFAVFTTIFFSWLVGPCEVRESKFNGKEEKNVVHIKKCRFLEESNCVNMCTNLCKMPSQAFIKDSFGVPFNMVPNFDDMSCEMIFGQEPPVLSEDPAFAQPCYKLCNAKQKHSRSCTS >KZN03220 pep chromosome:ASM162521v1:3:42653735:42655024:1 gene:DCAR_011976 transcript:KZN03220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTYLNLLASPPSTSADHGDLLQQTSIFGSNNYQDASSCSYITTHNFFDLETTKNQSDEICRQDIQQSQFRQDLADNLASSHGGSFDIENETSDTGLKFSIWKQEEKYANQESTYNYNNEIKLMSPKMRWMHKMKNDVDSPPLKTTTTLIKLGDQKPPPSSPIGADNTSNTSSNSMISHPIRTCSDCNTTKTPLWRSGPQGPKSLCNACGIRQRKARRAMAAAANDTAFEKETTPPAVIKTKKYNKLKTKTKQEKDKTCVSVAKFKKRSCNLMIEGEDEAGKKVCLEDFLLNLTNNLAYHQVLPQDEKDAAILLMAMSLHHTHRQ >KZN03018 pep chromosome:ASM162521v1:3:40214088:40222937:1 gene:DCAR_011774 transcript:KZN03018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGPQDSGTGDRNDNDSSTNYVSIEIPDTAHQISQDSWLQVGFILTTGINSAYVLGYSGAVMVPLGWIGGVVGLIAATAISLYANALIAKLHEYGGKRHIRYRDLAGFVYGKKAYSLTWALQYVNLFMINVGYIILAGQALKAVYVLYTHDHIMKLSYFIAITGFVCGLFAISIPNLSALRFSLGLSTVFSLIYIVVAIVLSVRDGIRAPPRDYSIPGTDTSKIFTTIGAMASLVFAFNTGMLPEIQATVKQPAVKNMMKGLYFQFSIGVLPLYAVAFTGYWAYGSSASSYLLNNVGGPVWVKTVANLAAFLQTVIALHIFASPMYEYLDTKYGIKGSALAARNLSFRIGVRGGYIALTTLISAILPFIGDFMSLTGAISTFPLTFILANHMYLVAKRKKLSSVSKVWHWVNVILFSCLAVAAAVSAVRLIVVDSKAYHVHADKSAYVERIRAPPRDYSIPGTDTSKIFTTIGAMASLVFAFNTGMLPEIQATVKQPAVKNMMKGLYFQFSIGVLPLYAVAFTGYWAYGSSASSYLLNNVGGPVWVKTVANLAAFLQTVIALHIFASPMYEYLDTKYGIKGSALAARNLSFRIGVRGGYIALTTLISAILPFIGDFMSLTGAISTFPLTFILANHMYLVAKRKKLSSVSKVWHWVNVILFSCLAVAAAVSAVRLIVVDSKAYHVFADL >KZN02012 pep chromosome:ASM162521v1:3:28275810:28286268:1 gene:DCAR_010766 transcript:KZN02012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPEEPTIKPYTLQHTLNAHKRAISAVKFSDDGALLGTSSADKTVKTWSPHTGALIQDFSGHDLGISDLAFSPDNRFLATCSDDKTVRLWDLNAATPIKTLTGHTNFVFCVNFNPQSNLLVSGSFDETVRVWDLKSGKCLKVLPAHSDPVTAVHFDKEGALIVSSSYDGLCRIWDVSTGHCQKTLIDDENPPVSFAKFSPNGKFILVGTLDNTLGIEDPNFFLTSNIVNPIYNDTDFVSPYLESYTNYETSLNQQSVEGEVLEINTADDKLSRSNQVINTNIPASSTDRNLISFRYPSSTPLEEHHHQYDDGFVHKAAVQPQQLFDFSGNESEASLHKYSQEYLCGDQAMKIDKSITNKSHLQVHEHVVAERLRRQRLNKLFIGLSATIPGLKKLDKASILKDAIEYIKRLEEQSKALEEEAGKQLGKPKHPNRIDTSDYHSSALISDIQARFCEGEILIKIHCKQPKQVTHKLLGELEKLHLTIINCSVIPFDESHDITIIAQVLKSNAFDIQIAFVWCI >KZN02663 pep chromosome:ASM162521v1:3:35614499:35615071:1 gene:DCAR_011417 transcript:KZN02663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVAFFMVSCNAAPDIVRDMNGDILREGANYYIYMPVDHGKAGAVTLWSRNGSCPLDVVQSKYGTNKSYLNIFSFTPVNLKKGVVREWTDLNIEAAGGSFCGEPMVWKLDSYGGEFVVSTRGVKGNPGAKTIVNWFKIEKYLNHYKFVYCPSVCKTCKAMCKDIGISRKGNSGRLVLNDKPFMVSFKKF >KZN02134 pep chromosome:ASM162521v1:3:30051917:30053687:1 gene:DCAR_010888 transcript:KZN02134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSCSKEFASNYMLLNSENLGFIDLFYIVLSKDLKNRKFIDCSEGAREESSSRRWIIFASIVAQKLLFFLAKPLARFGSKVEYWLNLISSNGGFVGLVQNFLRGRVVEPNKESANFFSITEYTDKRVDLDKSIKPGDWRYLSALSIMAAKASYENKAYLETIVRDHWKMELLGSFNFWNDYQEKANTQAFLCRETRGDEELIVVSFRGTEVFDAEAWSSDVDLSWYELHCGVGKVHGGFLKALGLQKNLGFPKEIEQVDDRPVAYYFIRNMLRELLAANKNAKFIVTGHSLGGALAILFPAILAFHKEDLLLERLQGIYTFGQPRVGDSKFGEFMEEQLKNNSIRYFRTVYCNDIVPRLPYDDNNFMFKHFGECLYVNSFYKGQIVAEEPNKNYFSLLDAIPQMANAVWELARSFVIPYTRGADYVEEWKRGRYYCN >KZN02687 pep chromosome:ASM162521v1:3:36023146:36023559:1 gene:DCAR_011442 transcript:KZN02687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSYYDNVKEHENTLLTKFFGVYKIEWKAGRKIRFVVMGNMSCTELRIHRRYDLKGSCQGRLTNKVDIRKKTTFKDLDLPSVFHMDKLLRESLPE >KZN00919 pep chromosome:ASM162521v1:3:9865575:9866051:-1 gene:DCAR_009673 transcript:KZN00919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRNFEVTINSARDLEDVRKVFKMEVHARVSIGNHPESEKRTPVGHGGTNPSWNFTINFIISEAMLTSYTSMLVIKLYCSRILGDRYIGEMNLSMKELYEYACPFGGSARVTHPVQKGSVDSQGLLELAYKFGERAVAHNFLMTETIGYGVPCSDTC >KZN01338 pep chromosome:ASM162521v1:3:14623668:14625504:1 gene:DCAR_010092 transcript:KZN01338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPKFAGKPLPPPFSTRPADIDKMPETPLRASHHRRAQSETFFRFPDDTLLDDAVADFDLSSLDLPCISSENNHHPISAADSDSSGDVLKQHPTTSSSTTSHFRSLSVDADFFEGLSIADDVNFSINAKKALAPDKLADLSLIDPKRAKRILANRQSAARSKERKIRYTNELERKVQTLQTEATTLSAQVTMLQRDTSGLTIENKELKLRLQAMEQQAHLRDALNETLREEVQRLKIATGQAPAANGSYSNRALQSQFSSHPQAYHFGNRQAPQSLQQQLHMPPRPALLSTQTRTGQTQPSFADFN >KZN03964 pep chromosome:ASM162521v1:3:50258264:50264725:1 gene:DCAR_012720 transcript:KZN03964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDDKMSTHLRSPLKAFHHQRGFSDVTTFQFPCSIIHRPTIKLGGGDSCPDIVQLDQEINTTHINNNEFMSSSIKDNDLDYDAFFSKFIDVDNNDQEEKCSVINNLCGDDASQVKQIDVNFNYNQRDGINWVNTPDGDGDGDEDKEEEQQLDRRKRFESPDGPAPSPQHYPNLSVAAGSNIQTSVEAKRAVSAAKLAELWIVDPKRARRIMANRQSAARSKERKARYISELERKVKSLQTEAKALATQLNIYKEDAVHLAAENKELKLRLQTMEHQAHLQDDITEAMMGEVERLRFTTGEIVPSSSLKLGLVTLPDWFLKTKSFPLKIKGSDGARGRTIRENLFSDNISMSRGSKSRFTATAVPTILRI >KZN02991 pep chromosome:ASM162521v1:3:39932071:39939986:-1 gene:DCAR_011747 transcript:KZN02991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDPAMLLAASAGDTVKLFDVSAESGDRCSLSYSPSPGFQVNSVKWNHTNLVVASAGDDKKISLWRRNGQSMGTIPLAGNEGSDNIEESISSISFSNKGSRYICSGGSGQVVRIWDLQRKRCIKWLRGHTDTITGAMYNCKDEHLASISLGGDVIIHNLASAARATELKDPNGQVLRVLDYSRISRHLLVTAGDDGSIHLWDSTVRSPKVSWLKQHSAPTAGVSFSPTNDKVIASIGLDKKLYTLDSGSRRPVSCIPYEAPFSSLAFRDDGNILAAGTSGGRVAVTSMCWQRSKPVIVNEHNSTADTALLGVSVDDSVLMPDPLPSMSSSNHLLSSTLSGSRILGRPGPSPESSSSVGSFGSMSSTLNFPSTDESPLRSSLWAGGALGRLKATRSYNLKDEMDLFSPLQEVKPITPTLDKLWDDNLGTRKNYDRKSSLAFPSSIRFPLPEEGNSDLHPISEWKSGSGLNSKQDDDHSSFSQLISTPTSSMSGDSSSITPPEAWGGERLSDKFNHARQSVTAFPSRFATLSSTSMSSGSMFSGLQDLSLSSSQMSTSSLVDAQLGLANLRTKEITSNQDANVGLSEHVPFNFTSLSQTAKGIPGQANLESLPPRRFSSYAERISTTPSFSEGASMSVGSPKIKKTGTETREELVSSMLYRSDVSFAAEAGNIPSLNGGITQTQKPIPQSESQQGSSFTLQLFQRTLEETLASFQKSIHEDMRNLHIEIIRQFHMQEMETSSVMNSILENQAELMKEVQSLRKETQQLRNLL >KZN02337 pep chromosome:ASM162521v1:3:32203954:32209493:1 gene:DCAR_011091 transcript:KZN02337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVEASTNYCIVCIIHSHLPDIKAVTSEKEDYRRNDESQKNEYLKMALAELLNSVESQLHPRISIIDELFKLMTRLDLTVDYSEFSRFYNFVFFICRENGQKSITVSRAVTAWRLVLAGRFRLLNEWCNFVEKHQRHNISEDTWRQVLSFSRCVHENLGGYDPEGAWPVLIDEFVEHMYRLKGSNDVPNSNCSCGDVEAQPSLKSFPGLKRKTDYINQQEMDIDDNFASYDICKRRNIGIVNKLWTPADNVQLDSIDEYLENNIKQSTSPLRGSKSPCAVEGCLAKGFAGLFSSQSRVPFGRERRVS >KZN02364 pep chromosome:ASM162521v1:3:32589392:32599536:1 gene:DCAR_011118 transcript:KZN02364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNKDDEYVEQWAEINRLPTFQRLRLSLIDDYNNEGDRCDAKGKRIIDVSTVGALERHMFIEKLIKHVEDDNLHLLHRIRKRINKVGLKFPTVEVRYNNLHVDAESEVVSGKSLPTLWNSLQRMIFAISVGGQRASLQTDYILKILGLDICADILVGDAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTAYQIISFLQQLVHITEATVIVSLLQPAPEAFDMFDDIVLMAEGQIVYHGPRSHVLEYFERCGFKCPERKGVADFLQELSLIASITMTVFLRTRMEVVAAHGNQYLGSLFYSLIILLVDGFPELTMTVARLSVFYKQRDLCFYPAWAYAIPAAILKIPLSILESLVWVCLTYYVVGYSPEPERFFRQLFLFFFVHWTSISMFRFLASVCRTAGASATAGSVSLVLVLLFGGFIVPRPLMPSWLKWGFWVSPITYGQIGLAVNEFLSPRWQKEMSEHGCSQKMLQLLCDITGAFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGTINGEIKIGGYPKVQETFARISGYCEQSDIHSPQVTVEESVLFSAWLRLHPQIDSRTKSDFVRDVLETVELDGIQDSLVGKPGVSGLSNEQRRRLTIAVELVSNPSIVFMDEPTTGLDARAAAIVMRVMKNVADTGRTIVCTIHQPSVDIFEAFDELILLKSGGRVIYSGPLGPNSSRITDYFEVIIEIPYVFAQSLAYVVITYPMIGYSWTSYKVLWYFYSMFCTLLYFTYMGMMIVSFTPTYPAAVILQSTFNTIFNLFSGFIIPQPQIPKWWLWLYYLTPSSWTLNSMLSSQYGDVEKEIIVFGEKKTVAAFVKDYFGFHHDRLPIVGGECGESIVSGSLVQLTSDGTCCKISAPKVTELTSKVRPSLSRKRCLMNPS >KZN00544 pep chromosome:ASM162521v1:3:6248017:6250877:-1 gene:DCAR_009298 transcript:KZN00544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGYSKSVRFQDDIETAMVYPSKSGSLFNMFSIICGKKMHKKDALTKGKALKERRLSRVFSEDYDIVTKRILDPRGDDLSRWNRCFLVACLVSLFVDPLFFYLPEVKSKMCMGASVPLEQALTVIRSAVDVLYFIQIIVRFHTAYVAPSSRVFGRGELVIDSSKIAQRYLCREFWFDLLAALPLPQLLIWIALPRLTGSRRISAKIILRFIIIFQFLLRLCLTIPLSSQIVKATGVVMETAWAGAVYNLMLFMLASHVIGSCWYLLAIERQEQCWKKVCDLHADCEYHFFDCATLKTLGRGRDDWFISSNISSLCVPGSQFFQFGIYVDAITFDITSAEFFSKYFYCLWWGLRNLSSIGQDLATSTYIGEINFSIIIAIVGLVLFALIIGNMQTYLQSTTARLEEWRIKRTDTEQWMHHRQLPSELKESIRRYDLYKWITTHGVDEESILKGLPLDLRRDIKRHLCVDLVRRVPLFDQMDESTLDAICERLKPVLCTTNTCLVREGDPVNEMLFIIRGHLDSYTTGGGRTGFLNSCRIGPGDFCGEELLTWALDPRPSIILPSSTRTVTALTEVEAFALVSEDVKFVASQYRKLHSKQLRHTFRFHSLQWRSWAACFIQAAWFRYKRRKEAAELKAMECNESPVRESASEQTSNSSTPVASGQAIYAAALTASRRGGSLRAGSELELLSALQKPVEPDFSVE >KZN02913 pep chromosome:ASM162521v1:3:38947191:38949352:1 gene:DCAR_011669 transcript:KZN02913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKLGLVYCSLIFNVLFVYKYLHGSHDLVDQKWSKSAAGEAEAVAAVSCSGHGRAYVDGIISGDGKPVCECNACFTGLDCSQSVPGCILDADSGNPIYLEPYWMQHAASTAIVLSGWHRMGYEYDDGSLISKELHSEIFKLHDIVKNVNTTGRYLIFGAGSTQLLGAAVHSLSLESSQPAKVVASVPYYPVYKSQTELLDSTMYKFMGDTSLQNKSDSSTNFIEFVTSPNNPDGQLKKAVLEGSNKIHDLAYYWPHYSPIVAPVDEDIAIFTLSKLTGHAGSRFGWALIKDKAVYERMVKYMDLNTYGVARETQLRALKLLQHVVQGRGNDLFEFGYGTMKRRWELLSKTLEASQRFSVQHLSSQYCTFLDSYRTPSPAFAWIKCEQEDDEECSKVVLGEAKVIGRAGSLFGAGNGYVRISLTDSQDHFNNLLNRMKKLVSQERTQSGTRLHFDTTANKTWDVEYQNMGVDQEMQGSLDGKTSVAVHLQAAITFAAT >KZN00776 pep chromosome:ASM162521v1:3:8460641:8461279:-1 gene:DCAR_009530 transcript:KZN00776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEKKILPDATTCSYVFRAYVARRRYSTALESLQVLCMRMILEDSSSPEDMRSVYEQQYILAEDMEADSRIIELFKDHKDNLAFGLLNLRWCALVGHAISWSPDQSLWAKRLSKTYGSGKRGSLVDENLSDTYVSMQRGTLKLHKHTYLLRKCHGSRQWGSLKHGGKLSQLHSSRKLRKKLAQLVGSKKRSGSGKHGKKPSRLKLYKQPSDT >KZN01360 pep chromosome:ASM162521v1:3:14870655:14871067:1 gene:DCAR_010114 transcript:KZN01360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANTGGQFGDTTYTKVFVGGLAWETQKETMKMYFEQFGDILEAVVITDKTTGRSKGYGFVTFREAEASMRACVDAAPVID >KZN00046 pep chromosome:ASM162521v1:3:1434025:1437921:1 gene:DCAR_008800 transcript:KZN00046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELGFQHHSNSASSGLSGARDVSPDSVIFSAESSFFSSASCSVERCSFASESSQQHLAVHECGESSSSGPDLHPNESTVNKNSLKSREVDKSKVERKDTKVETDDENQVLDSARSSFSQALKDCQVRRSKFEALLKKSDRRTPTSLDLNNTLTNVTSSSPRIGVIKKSPAVTRPTGTLPSPGAPNFSQTSTVMQKGWSSERVALPTSTNRRHVNNVLLPYNNVRTLPSKWEDAERWIFSPVSGDSSSRPSFTQPHRRPKAKSGPLGPPRTAYYSMYSPAVHMFDGGIVENFMVASPFTPGVIAADSLSVQRDIGYRGNETFYDRMEPCIGRSVSLHGCSGTLCQSPLRSSDDRKHDTAEDEGKNISGDVSKRDMATQMSPEKSPHSSLKERHSFASSTSGVLPIVEWQDSHFPKHVRDVQVDERVTMSQWCKNSKFHTTGEGSGHCDDWKKKALEIQSTNWEVSDTASNNSISKREEARIAAWENLQKAKAEAAIRKLEMKLEKKRSSSMEKIMKKFKAAEKKARKMRSSDVVSSAQQASTTSHKLISFRRTRQISSLSGCFTCHAL >KZN02287 pep chromosome:ASM162521v1:3:31660208:31662461:-1 gene:DCAR_011041 transcript:KZN02287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIIESKGGAIACMILALLFLGTWPAILTVLERRGRLPQHTYLDYSITNFLVVITIALTLGQIGDSRQNMPDFLTQLSQDNWPCVLMAMAGGIFLSLGNLSTQYAWAFVGLSVTEVITSSIAVVIGTTLNYFLDDRINKAGILFPGVGCFLIAIFLGSAVHSSNSADNAAKLERLSYGHPEGAGAVQMSSHKNTHSLKDGVKDLENGIGEGGKTKAGTADFLIELENTRSIKVYGKSIMIGLVITLFAGCCFSLFSPAFNLATNDQWNTMKSGVPHLVVYTAYFYFSLSSFTVAMVLNIIFLYRPILKSPKSSMAKYLNDWNGRYWAFFAGILCGLGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILFFGEYRRSTKRTYVLLVSMLVMFIVAVSVLIASSGHRKT >KZN03771 pep chromosome:ASM162521v1:3:47940586:47943580:-1 gene:DCAR_012527 transcript:KZN03771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLTLIPGLPNDLAGLILSFVPYAYHARLKPTSKSWRIFFNSKTLVLLRKKSRFSHLLCVFPQDPSVSSPYLFDPKSLAWCPLPPMPCNPHVYGLCNFSSVGIDSCLYVLGGSLFDTRSFPMDRPSPSSSAWRYDFLRSQWEALSPMLSPRGSFACVANKDKIVVAGGGSRHTLFGAAGSRMSSVEMYDIRKDEWVRLDGLPRFRAGCVGFLVGSGEEKEFWVMGGYGESRTVLGVFPVDEYYRDAVVMEMKNGGGTWRELGDMWEEGERRRLGRIAVLDGPGWDAPGIFMLDMGDIFRYDMSSNRWWKETSVPRKASDEFSIGFVALDGELHIIGLQSGFDSTESRRPRHNKRSSTLLVQIYDPKKNSWRSLQTKPPFGHPLDLKTAVLCTQLE >KZN03573 pep chromosome:ASM162521v1:3:45952672:45954024:-1 gene:DCAR_012329 transcript:KZN03573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAFEAGSIIRALVLLILYPFLSLLSHEISLKVMVMVSFFGIKKTGFRVGSSVLPKYFLEDVGDEVFEVLKRAKTKVAVTNLPQVMVESFLRDYLEIEFVNGRELKVVCGYYVGLMEDRSTNILDDLFKDENVSDKVIGLDSLNTSVGHRGFSHCKEVFMVNEAEKLKWKSLSKEKYPKPLIFHDGRLAFRPTALAMLVMFLWFPLGSILAIIRIIIAISLPYSISTPLLTFSGIKLKVFEHVQRSDNAQSKTKGSLYVCNHRTLLDPLILSFGLVKPLAAVTYSLSRMSEILSPIRTVRLTRNRDKDREMMDKLLNQGDLVVCPEGTTCREPYLLRLSPLFTELTDDIVPVGVDTSVSMFHGTTASGLKFLDPIFFLMNPTPSYTLQVLDKICGVSSNDDKSSRFDVANQVQSELGKALGFECTMLTRKDKYMILAGNEGVVSAGNKQ >KZN03434 pep chromosome:ASM162521v1:3:44518327:44520762:-1 gene:DCAR_012190 transcript:KZN03434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSLRPRPSENQHTEKRRGPGATSERTSDAKTLRRLAQNREAAKKSRLRKKAYVQQLESSRIRLSQIEQDLQQSQTQGLLLGGSSGFGNISQGAAIFDMEYARWLDDDHRHMAELRTALQAHLSDSDLRTIVDRHIAHYDEIFHLKGVAAKSDVFHLITGMWTSPAEHCFLWLGGFRPSDLIKMLISQLDLLTEQQLMDIYSLQHSSQQAEAALSQGLDQLQQSLIDTIAIGSVSDGMHHMAVALGKLANLEGFVRQADNLRQQTLHQLHRIFTVRQAAKCFLVIGEYYGRLRALSSLWASRPHPHQNLIGNDGSCQTTSTGLHMLQSSGHNHFNNF >KZN01791 pep chromosome:ASM162521v1:3:25130081:25141503:1 gene:DCAR_010545 transcript:KZN01791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLRDLSQPIDVPLLDATVSAFYGTGSKDERNAADLILRDLQSNPDTWLQVVHILSSTQNLNTKFFALQVLEGVIKYRWNALPVDQRDGMKNYISDVIVKLSSDENSFRRERLYVNKLNIILVQILKHEWPSRWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQLKIKELKQSLNSEFQLIHELCLYVLSASQRTELVRATLATLHAFLSWIPLGYIFESPLLETLLKFFPLPSYRNLTLQCLTEVAALNFGDFYNLQYVKMYSIFILQLKGILPISANIPEAYAQGSSEEQFHIRILETAPENITALLVGLEYLIDISYVDDTEVFKVCLDYWNSLVLELFEAHHNLDNPAATVNMMGLQMPLLHGLADGHGSQLMQRRQLYANPMSRLRLLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLNGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEVTKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCRRKFVILQVGESEPFVSELLTSLPTTIVDLEPHQIHTFYESVGTMIQAESDPQKRDEYLQRLMELPNQKWAEIIGQARQSVDFLKDPEVVRVVLNILQWLTYEFINVVPPECIVSLYQQALLKASPMLQGHLLSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYRGAMIEDIPRIFEAAFQCTLENYDAPLMYLLMFGMVLVLNPSSSTAESMITKNFEDYPEHRLKFFSLLRAIATHCFQALILLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVSVLQHLFCLVESGSLTEPLWDSSTVAYPYPNNAMFIREYTIKLLGSSFPNMTATEVANFVNGLYESRADLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMVDS >KZN01758 pep chromosome:ASM162521v1:3:24632199:24634432:1 gene:DCAR_010512 transcript:KZN01758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLEASDSHDVLSLLFSLTRDYLLRNSGDQVKIDGLKGKTVGLYFSASWCGPCHHFTPKLVDVYNEISMKGGFEVVFVSADKDVESFNEYFFKMPWVVVPFSDSDARDKLKALFKGRGIPHLVLLDGYGKVLSDARVLIISEYGAEVYPHTSQHLKELKEHEEEAERNQSLSSILASRSRDFVLSADGKKFAELDKMDKVKQEKDGVKVPVSEEDDIMNEEKSKEGRICDRDVFFKA >KZN03025 pep chromosome:ASM162521v1:3:40272559:40275035:1 gene:DCAR_011781 transcript:KZN03025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTQQKCKACSKTVYPMELVSADGIDFHKSCFKCTHCKGTLKLSNYSSMEGVLYCKPHFEQLYKESGNFNKNFLSPAKSADQSTPMLTKSPSKAAGMFSGTQDKCATCGKTAYPLEKVTVENESYHKTCFKCCHGGCYITPSNYAALEGNLYCKHHFSQLFKEKGSYTHLLKSASVRRPAASIPEA >KZN01915 pep chromosome:ASM162521v1:3:26878455:26878763:-1 gene:DCAR_010669 transcript:KZN01915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVWQLSNGAPWGLIERILDYVRLKVGYAPSHWVHPGEGDKWDKGYDVTAHFLDYCNSLCNGFVAELNEKMKDGYNAEFFVQLIGKTVDHLWSEYKAKYQP >KZN00643 pep chromosome:ASM162521v1:3:7156674:7158456:-1 gene:DCAR_009397 transcript:KZN00643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDYPKYDAPFREGDKFYYFNYTGLQHQQVLYMQIRDTSVIFRLLCFGGLKKTLNLNTKERKRFEVYACAMAERNHTLEIWRLLDPEAHLIDHKKLYISSYCIL >KZN03733 pep chromosome:ASM162521v1:3:47521746:47523497:1 gene:DCAR_012489 transcript:KZN03733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNRLQSYSPFTTAMKLPVETKFQLPAPIPTWPSGTSFASGVIDLGALHVSQITSLNKIWATYEGGPDNLGSTFYEPESIPKGFYMLGCYGQPNNQPLYGWVLVAKDVSLPVEPPGLALPTDYTLVYSTKPDHVVQSGVGYIWLPVAPYGYSAIGYIVTASPEKPSLDKVRVVRSELTEAVEKDMNNWIWGRDRFNIYGSRPVDRGTKALGVSMGTFILQEDKLSCLSNLNLSYPSMPNLNQVEALIEAYSPMVYFHPEEKYFPSRVSWFFQNGALLYRKGQESSPVRIAQNGWNLPQDGSDDGAYWIDLPRDEASSEGLKRGDLQDAYSYLHVKPALGGTFTDIQVWLFYPFNGPAKVKIGWITITLDQVGEHVSDWEHVTLRISNFNGELRSVYFSKHGKGDWVSSSGLKFESNNKPVVYSSRYAHASYPIDGTFTHRVAAEKIFAGAINETSGSDYKMDTGARYWIVGADYLGGFGIVQPPWLNFARQWGPKKDFKVEVVLKGLEKLVPSVLWKKLEQFLRSLAPELLGEEGPSGPKWKDSWSGDERA >KZN01816 pep chromosome:ASM162521v1:3:25608615:25609456:-1 gene:DCAR_010570 transcript:KZN01816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFESKVKGDLKKPLLVVDDIIDLNSPSYDDGVEEGTPLRPIFCLKNRNELKDFEDKEDCFILDFDPDESLSISKLSVSSNADDSPDVFLVAEKGQVACRDFPHSRHSCAKYPFSTTDHESYCQLCYCFVCDVAAPCENWDGSSGHCHAINNEAWKLQRKAMQTLQKAVN >KZN01781 pep chromosome:ASM162521v1:3:25039483:25040172:-1 gene:DCAR_010535 transcript:KZN01781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGRPVDMVFNPLGVPSRMNVGQIFECSLGLAGGLLDRHYRIAPFDERYEQEASRKLVFSELYQASKQTATPWVFEPEYPGKGRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAYILQEMLTYKSDYIRARQEVLGTTIIGGAIPNPEDAPESFRLLVRELRSLALELNHFFVSEKTFKIKRKEA >KZN03423 pep chromosome:ASM162521v1:3:44426752:44427561:-1 gene:DCAR_012179 transcript:KZN03423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVAAYLLAVLGGNSSPTAQDLKDILGSVGADANDEMLELLLSNMKGKDINELIASGREKMASALSGCGGGGGVGSGAVEVKIAEKVEGKKEEKVAEQKEEEESDDEPIMNLFGDD >KZN02840 pep chromosome:ASM162521v1:3:37961327:37962106:1 gene:DCAR_011596 transcript:KZN02840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSQDSSSKRHFHWTNKVSSEDEEAPPSTFKSCSSTSEDEPKLKDNSHVPAAESRIRLSVTRKKLQAVAVSRWRSVLTTFAKNRTELQQSLGPRVVGTLFGYRRGHVHFVFQREPNSTPAFLIELATPITGLVQEMASGLVRLALECNKEANDEKKTRRLLEEPMWRTFCNGKKSGFASRKECGPKEWKVLKALEPISMGAGVLPADKEEGEGDGDEIMYMRAKFERVVGSRDSEAFYMMNPDSNGAPELSLYLLRV >KZN03902 pep chromosome:ASM162521v1:3:49775269:49780558:1 gene:DCAR_012658 transcript:KZN03902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALILRFVLVLIALCLATSEEVNNKEEFTEELLLKPLSDRKVLSQFHFRHMLPDELGSHHSLFPKAIFQLVQKFRLRELELSFTQGRWNYERWGGYDPLSSSNAKPPGVELWAVFDVPQHEVDASWKNLTHTLSGLFCASINFLESSTAYSAPEWGSQQSSGKLRYGALPREAVCTENLTPWLKLLPCRDKAGLAALMDRPSIYRGYYHSQRLHLTSSKFGALGSTRGIVLDQTLSLVLQPNKETGTTFSSSSVYQPDWSMSTLYGREVGGKCVLAESSTVYVLLEGGLVSTLKGSWRKEVDEVDNLVIENSWSNPNFELSIPPNKVIEEETTLHKNPSILYVYSVEDSEAFRPFDLEFRWKIPVVWSSPKAPLTSSRFLTGSGNERGAIAISLQSSKRKGFVPTVDVNEERCGLRVDIFQVVPWYVKVYYHTTQLYVDEQPQSLGDFVEKMRVSPSEDKVSPGVMEMILRLPCAVKSAALTIDFDKGFLHIDEYPPDANQGFDIPSAIVSFPDFQTRLDFLVGNSSKKSPMLSKLQESRHIQSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNALRRRVAEEERRQREIAAKKTGSFPLLSKFKAKLRGQSWEPPPTESSTSSSIMNKKLIMKVILVAAIAAGWQYYYG >KZN02689 pep chromosome:ASM162521v1:3:36039491:36045246:1 gene:DCAR_011444 transcript:KZN02689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREDKGGAPERIRESLRRRFKDPAVVDEVIALDQECRLRQYELDNLRKDFNKINKEVAKLKIAGEDASQMIKSTEENKDSTAKKVEEVEVARKALYSKLETIGNLVHDTVPISNNEDDNAIIRSWGEKRSDQKLKSHVDLVELLGIAELKRGANVAGGRGYYLKGDGVRLNQALICFALDFLEKRAYTPLQPPFFMRKDIMAKCAQLAQFDEELYKVSGEGDDKYLIATAEQPLCAYHIDDWIHPSQLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCVTSPKGNDSWDMFDEMIKNSEEFYQTLKIPYHIVAIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRKLEIRFGQKKSNDQTKQYVHMLNSTLTATERTICCILENYQRDDGVEVPEVLRPYMGGKSFMPFLAKEAKGKKSKS >KZN02101 pep chromosome:ASM162521v1:3:29618935:29619651:1 gene:DCAR_010855 transcript:KZN02101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFFSSSTSSYSAPQHPYHSSSAHSQSENTGNGNASAEPIYISDEEVLLASSNPKKRGGRKKFQETRHPVYRGVRRRNHDKWVSEAREPNKKSRIWLGTFPTAEMAARAHDVAAIALRGRNACLNFADSAWRLPVPASSDPQDIQRAAAEAAETFRPSENEHAVQRTSPEQSLMISQQQSEVSYVDEEELFGMPEYINDMAQGMMLPPPQFGPSDVYYGTEDDMEGTTDLSLWNYSF >KZN02940 pep chromosome:ASM162521v1:3:39358705:39361705:-1 gene:DCAR_011696 transcript:KZN02940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAKKSLYTLSYFLLSLLLLHNIITAGSIGVNYGTLGDNLPPPAQVAQFLKDRTNIDRIKIFDVNHDIIKAFANTNIFVTVTVPNGDIPSLTDIRAARRWVSTNIKPFYPATRFLYIAMGNEILHWGPQNLIDNLVPAMRTLHKALIMSGIKDVKVTSPHSLGILESSNPPSSGKFRPGWDVGVLKPMLEFLQETKSPFMVNPYPYFGWAPQQEDFCLFKKNPGSGAKFCVAKPEASDAALQANIDYVCSNGADCKPIQAGGACFDPNNVRAHAQFIMNSYYQTNGRNAFNCDFSGTGVITTNDPSKLL >KZN00209 pep chromosome:ASM162521v1:3:3072867:3074666:1 gene:DCAR_008963 transcript:KZN00209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKQVHGFTKFFSISFLWGFFQWFYTGGTGCGFVNFPTFGLKAWRQTFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGLMWPLIGEQKGVWFPETLPESSMKSLNGYKVFISIALILGDGLYNFLKILYFTSKSICTSMNKKNNKITSDDGNHPIDELQRNEVFIRESIPLWIACSGYVLLSVVSIIVIPIMFPQLKWYFVLVAYVLAPSLSFCNAYGAGLTDMNMAYNYGKVALFILAALSGKDNGVVAGLVGCGLIKSIVSVSSDLMHDFKTGHLTLTSPRSMLVSQAIGTAIGCVVAPLVFFLFYKAFDVGNPDGDYKAPYAIIYRNMAILGVEGFSALPQHCLQLCYGFFSFAILANLLRDISPKKVSKWVPLPMAMAVPFLVGAYFAIDMCMGSLVVFVWHKLNSNKASLMVPAVASGLICGDGLWILPSSILALAKINPPICMNFLATKLS >KZN01055 pep chromosome:ASM162521v1:3:11211002:11212248:-1 gene:DCAR_009809 transcript:KZN01055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSKILCLHGGVDSSARLEEQLQKWPSDVLERMDLVFIDAPFRVDNEDFPAFTWFDGQDETTLNSTFNESIAYIEETMVKLGPFDGVLGMSMGACIAAALPGMQAQGVALTKVDDLKFVVVISGGQLGSSGLAAPKLAENAFSSLIQIPSLHCFGENDLAKLSAIELLASFLHPFVIFHSGGHEVPELDEKGLKVMNSFLDKIQASFPSPRVRSLM >KZN02067 pep chromosome:ASM162521v1:3:29136960:29140294:-1 gene:DCAR_010821 transcript:KZN02067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKNYNCCFLNCSFIDSSSPKLGVSSFNSFDCRLICSRGAARSGFLKPVKAMESSKTFHIEANKTHQDVSGGNLDKKFANGMAENVVWHKCSVQKIDRQELLQQKGCVIWITGLSGSGKSTLACALARGLHMRGKLTYILDGDNVRHGLNKDLTFKAEDRVENIRRIGEVAKLFADAGVISIASLISPYRKDRDACRALLQEGDFIEVFMDIPLQVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLKSEIVLQQMGEICPSPSDMADVVISYLERNGYLQA >KZN00068 pep chromosome:ASM162521v1:3:1629133:1630475:1 gene:DCAR_008822 transcript:KZN00068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQDLTTCFHLDSLYCEEPHFDEDIYQTVGEHEHGGVLSQEFDEENEDGLALLFLKEDKNVGFDGLVNDPFLAEARRGAVEWMLRVVGFYSFSALTGVLAVNYFDRFVGRFEFQREKMWMVQLVSVTCLSIAAKMEEVDVPLLLDFQVEEPAYVFEAKTIQRMEVLVLSTLEWKMNPVTPLSFLDCMTRKLGLKSYICCEFHKNCESLLLSIISDCRFMCYLPSVVATATMLLAISSVERCDGIDYQTELLGILGIDKGKLEDCRKLIQELVSEGYGSNINKRKFGSLPGSPRGVMDLCFSSDSSNDLWSVTTPALASVSSSPEPASKKRKAQDKDQHQPSFDNAAADNLSIPLQ >KZN01483 pep chromosome:ASM162521v1:3:17076490:17079096:-1 gene:DCAR_010252 transcript:KZN01483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSANISDMSEARQRAAGMVCYAPKMILSQGILEHENPLDYSVPLFVVQLLVVSCLSRVIHLVFKPFRQPKALSQILCGVILGPAILGRSSVILEALFPLRGVVVYDTMANIGLVFNLFLIGVEMDIFHSFRMERRSITIAFVGMLLPFAISCSISYILEVHLPKGLNHTNYIIFVALAASVSAFQLLSRQLVELKILNTEIGKTALQSSILNDCFSWIAVIVFLVVAKNDGVSSSPIWLLLCSVAFVLFCIFLVRPAIWLLIKNTPEGESFSELSICLIFSGVMMAGFISEAIGMHAIFGAFVFGLVIPSGPLVQVLIERLEDIVTGILVPLYYASFGIKAKTDEFGDGQHWALLLIIVFAFIAKAIGTFLTALFYRMPLRDAATLATLMNAKGLIELIILNQGLEHEIIDACSFTIMLFATLFTIGITLPLVSIIYKPSRKLVTYRGRAIDKAKSETELRLLVAVQSSRNVPTIINFLDASNTTTGLPLCVYVLHLAELTGRASAMLIAHGTQEKGMAPLNKAQAQSEQILQEFKKLEEQSRCISIQPLTAISPYATMHEDICNLAEDKKVAFIMIPFHKQQTVDGEMTTTNPEIQKVNQRVLENAVCSVGILIDRGLTGISPMAGTELAHHIAVLFFSGPDDREALSLGMRMAQHPNNSVTVIRFIPGKQAAMEGSEHRHIPRRNHRPTPMDTEKFLDDDYIREFRLLEARDESLYYAETVVNDSEETVAAVKSVDNIHDLFIVGRRQGTLSKLTDGLTEWSETPELGAIGDLLVSPDFPARVSVVVVQHYLQ >KZN02989 pep chromosome:ASM162521v1:3:39910437:39912134:1 gene:DCAR_011745 transcript:KZN02989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIPTILRRSLSLSRASVSAVRLIGGVSHFNCNCHSCTSIALKKSHLSQDFSVPSSSVRNFSASVAVTRPSPDESLIKVIDQEIACAVESNEDNEVQEAPEDFPFEIEDNPGQHTISLSAEHDGEAISVVVYMPNVTAEEENNDNDDDDDAENPSESSMSLVVRLSKSSGLVLEFGCTAYPDEISIDTLSVKDPENVEDPLAYEGPDFSDLDENLQKAFHKYLEIRGIKPSMTNHLYEYMLNKDSKEYLVWLKNLKEFVQA >KZN00836 pep chromosome:ASM162521v1:3:9107628:9108266:-1 gene:DCAR_009590 transcript:KZN00836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLTLSHWESWLLHVTETWTIKVQDSTVPMEKRLKKDAEFEKAKRELLKAHLERYARRTNTQIIVTALIATVAFTLGFTMPGGYHQSGEVNEGLVLLPKKTAFQAFVISDALALVLSISSLFLYFISSMYEDPYQVSKLNTASTGLNIVSIIAMMLTFVTGTYVVLSHSLALAITVCMIGCFFFLLVIILTIKMIFDHKVEKSKPERFIV >KZN03510 pep chromosome:ASM162521v1:3:45295948:45296406:-1 gene:DCAR_012266 transcript:KZN03510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLIRIVHLNGHVEEITRPVTAGEILSNNPNHVLSKPCSQGVVRRILILSPETELKRGSIYFLIPSSSLPEKKRNHKNPKTSSKKPKSINSIDVVVLPDPCQKIVTSEKKASRRDRRSCSKSGQWLPQLESIHED >KZN00502 pep chromosome:ASM162521v1:3:5843710:5846054:1 gene:DCAR_009256 transcript:KZN00502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILLVYDVTDEASFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFEASAKTNMNVEEVFFSIAKDIKQRLAETDSKTEPHTIKINQPDQGAGTSADAPKSACCGS >KZN02871 pep chromosome:ASM162521v1:3:38285368:38287026:-1 gene:DCAR_011627 transcript:KZN02871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLPRPPNSSPLTPLGFLDRAAVVYGDCPSLVYGDISYTWLETRDRCLKLASSISRLGLGRRDVVSVIAPNIPAMYELHFAIPMAGAVLHSINTRLDARTVSVILGHSESKLVFVDYQSISVISEAISLLPPNSKVPLLVLIGGEEKEESAASLDFHCTFEDMMIRDAKKGEVKEEEIIEFCRSKLAHYMVPKTVVFLEELPKTATGKIQKFVLREMAKKLGSADIRSRM >KZN03741 pep chromosome:ASM162521v1:3:47555189:47558105:-1 gene:DCAR_012497 transcript:KZN03741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGSSKKSKNSWPKALVKKWFNIKSKADDFHADETIANAGSDEEWSNSSSREACTMETSRTERLRRHSEFVSRSKIDLDAAQVTDVQNYRIFVATWNVAGKSPPSYLSLDDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPATKWLALIRKTLNNLPGTSGAYHAPSPIPDPIVELEADFEGSTRQKASTFLQRRSFHSSHSMRMMGNDMSMPQPQLDRRFSVCDRIMFGQRTCDYGQNVIWNGSYEEENGDSPSSNHYSPLSYNGSTFTEDRDRQQANSGYCLVASKQMVGIFLTVWVKSDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMCLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEILRKTRFPRVHGMAEDTSPETILEHDRIVWLGDLNYRIALSYRSAKALVEMHNWRALLENDQLRVEQSRGHVFEGWNEGSIYFPPTYKYSDNSDRYAGDDRHPREKRRTPAWCDRILWHGRGLHQVSYVRGESRFSDHRPVYSIFLAEVESVITSRIKKSMSCSNSRVEVEELLPYTHEYGEVHFF >KZN00607 pep chromosome:ASM162521v1:3:6865325:6865570:1 gene:DCAR_009361 transcript:KZN00607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITAITFLQLFSLKSPFLPLNFQSDCSIHIFTLLQPYQTTKTIFNTHFCPYKMDNRKYGDNQMLKKTPYFIISPKYSDIKK >KZN00954 pep chromosome:ASM162521v1:3:10280733:10282394:1 gene:DCAR_009708 transcript:KZN00954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRTGCLGCEFAVFDSSFHFAEELGTNEEIVEFACITFKAEYHVFDKVKVNGSDANPLFTSPHQSSDHIHIYTHSGRLGPLGATSPPDNSVDAIEDRTVTPEVTTTLPSRIIISLKDNCDGMRAISPSVLQQHSSNPDDIRSNDDNPQTPPRSSPQI >KZN03584 pep chromosome:ASM162521v1:3:46023445:46025172:1 gene:DCAR_012340 transcript:KZN03584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDFGLPSSPIIQTDYRPPEVLENEFYTRVEQIPTSTVNEPSEKNPVCSDLGYGIYKGKMTDTGLSVLEYDMENWNKADTSEHYAGAYCEQPSSLAPELDLPVQQKPDFSSATLFENLSKYERAGKKLKDEQSSNLSEIASVNQKLSTEEIIRAAAERYIQFPNKDPECITTFIHPHGSVLSSLSKEESSGVDLAHLLLAAADKFWDGEFDSARRLLTCCECKASKSGNPIERLTSYFSEALQERIRRETGTRKILMVSENDRAPNNGLSTGVDQTVLATHMKIPFSKALHFASTQTILEHVARETKIHVIDLHIRSGIHWSPLIQGLSERKVHPVQLLKITALDTEDKQKVEDIGKRLESLADSLNITFSFDVVTVDDMSHLKKELFDVQSGEAVVISAPTILRSMIPRPDKLENLMRVIRELSPLIMIISEVEANDNSPSFINRFVEALFFYSSWFDCLEDCLDRDNQYRMNLEKYYFGCGIMNSIATEGEERITRSVKIDVWRSYFSRFQMLEVQVPKSSFHLAEMVLEMEFSCARFCTLSNDGKCLMIRWKGTPMFSLSTWKFQQPLIYF >KZN02712 pep chromosome:ASM162521v1:3:36379045:36379308:-1 gene:DCAR_011467 transcript:KZN02712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMTIAASTITGAATAFYFGYRKNLEEVEIQKQLLLNLAQKLDRQLVEIDKLAVKVVYVGEGEGGLCWRVGQIACEGWSLESCLCN >KZN03399 pep chromosome:ASM162521v1:3:44276512:44277824:-1 gene:DCAR_012155 transcript:KZN03399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHNSSLRNYNSTDVIVDIEDFADEFRIPVEAVGRDCRICMMSLDSESAGSVFELGCSCKDDLAAVHEQCAETWFIKKGNNVCEICHSIAQNVAVPWVQHFSDQDDTSSIDEVSIPVFEVDTSATNEFLQPASSRFNAVLQPVLFSTNAILVPTYTILEPAFSTDEVSVPVYEADLSPPNAILVPVSSTNYTDAVPVSSTNAAGVAVSNSRTQYCIDCVDSFCEKLVCFLITLVIVMEILQRLYN >KZN03624 pep chromosome:ASM162521v1:3:46432683:46434249:1 gene:DCAR_012380 transcript:KZN03624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNARKFKLLIEKYQKPSSALTPGAIRALEDCHLLADLNMDFLTSSLQSVSTKDLSSSRIEEVQTLLSSILTNTQTCLDGLQETASTWSQKNGISTPLANDNKLFSDSLSLFNKGWGHNMNEGTSYPSKKRLSGFKNSQLPSKMSSKDRAVFERIGRKLLQTDDGADEIPISDLVIVNKDGSGNFTTINQALAIAPNNSLASDGYFLVYVVAGVYEEYITIPKNKKYLMMIGDGINQTVITGNHNVVDGWTTFNSPTFAVVGQGFVGVNITFRNTAGGIKHQAVALRNGADQSTFYSCSFEGYQDTLYVHSQRQYYTECDIYGTVDFIFGNAAAVFQNCNIYPRLPLANQFNAITAQGRIDPNQNTGISIQNCNIKAAADLASSDGTTQTYLGRPWKQYSRTIYIQSFMDSLINPAGWREWSGDFALSTLYYAELGNTGPGSVTKERVTWPGFHKISGKEAANFTVSKFLLGDDWLPQTGVPYSGGL >KZN00259 pep chromosome:ASM162521v1:3:3497204:3500053:1 gene:DCAR_009013 transcript:KZN00259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSWMSRSLIIVLGVLLIGSLFSCCVAKKESAKLEGVIGIDLGTTYSCVGVYKNDRVEIVANDQGNRITPSWVGFTDEERLIGEAAKNQAAANSERTIFDVKRLIGRQFDDKDVQRDRKLVPYSIVDKGGKPYIQVKVKDGESKVFSPEEISAMVLTKMKETAEAFLGETVKNAVITATKDAGTIAGLNVVRIINEPTAAAIAYGLDQKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLATSGDTHLGGEDFDQRIMEYFIKLIKKKYNKDISKDNRALGKLRKEAERAKRALSNQHQVRLEIESLFDGVDFSEPLTRARFEEINSDLFRKTMGPVKKAMQDAHLEKHQIDEIVLVGGSTRIPKVQQLLSDYFDGKELSKGVNPDEAVAFGATVQAGILSGEGGNKTKDLLVIDATPLTLGIETVGGVMTKLVPRNTAIPTKKSQTFTTYQDQQTTVSIKVYEGERSLTKNCRLLGTFDLSGIPPAPRGTPQIEVTFEVDENSILNIRAEDKASGKTEKITITNDKGRLTQEEIERMVQESEEFSEEDKKARDKIEARNHLETYIYNMKNQINDKDKLSDKLESDEKEKIEEAVREALEWLDDNQNVEKEDYDEKLKEVEAVCNPIITDVYQRSGATTATEDDDSHEEL >KZN02017 pep chromosome:ASM162521v1:3:28372831:28377048:1 gene:DCAR_010771 transcript:KZN02017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFMLLLLLLLFLHLTSPLSVAGKPLPEYQALLSLKTAISSDPHSSLSSWNFSTNPNHCTWSGITCDSRRHVISVDISSLNLTGSLSPDVSMLGFLENLSLAVNQLSGSLPETINSLSSLRSLNLSNNVFNGTLPSFNNLKSLEVLDLYNNNFDGELPGDIYNLTSLRHLHLGGNFFSGGIPAEYGRFAALEYLAVSGNELTGVIPPELGNISSLQHLYLGYFNNYSGNLPPEIGNLSKLVRLDAANCGLSGEIPRELEKLQNLDTLFLQVNEFSGAITAELGNLKNLRSIDLSNNLLVGEVPPSFANLKNLTLLNLFRNQLHGYIPDLIAELPELEVLQLWENNFTGNVPQGLGKNSKLSLVDISSNKLTGPLPPGLCSGNKLETLITLENFLSGPIPDSLGECTSLSRIRMGENNFNGSIPKGVMSLPQLTQLELQDNLLSGEFPETDYVSVKLGEVNLSSNHLTGSLPSTIGKFVGVQKLLLVDNNFSGRIPSEIGRLRQLSQIDLSQNTFSGEIPKEISKCQLLTFVDLSQNQLTGDIPTEITDMRILNYLNLSRNHLVGSIPAPIATMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPELCGPYLGPCKDASNGHHSHGLSASMKLLLVIGLLVCSIAFAVAAVFKARSLKKTSGARAWKLTAFQRLDFTCDDVLDCLKEDNIIGKGGAGIVYKGVMPNNVDVAVKRLPTMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEFMPNGSLGETLHGKKGGHLLWGTRYKIALDAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSDTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGKKPVGEFGDGVDIVQWAMERPTMREVVQILTELPRPPNSKPGDSVDTDTASESSSSATKEQHQQSPRSPTADLLSI >KZN00833 pep chromosome:ASM162521v1:3:9081379:9082854:1 gene:DCAR_009587 transcript:KZN00833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEELNEFERNKVWTLVPRPKNRSIVGTKWVFRNKTDSDGVITRNKARLVAKGYSQQEGIDYDETFAPVARLEAIRIFLAYAAHKKFKVFQMDVKSAFLNGELEEEVYVEQPPGFVDTKFPDHVYRLDKALYGLKQAPRAWYETLAQFLLDSGFNRGTIDKTLFYLNHGNDLLLVQVYVDDIIFGSTNSKLCERFSKLMQSRYQMSMMGEMSYFLGLQVKQTDEGIFINQSKYTRNLLKKFGMQDSSAATTPMATATKLDKDTGSPVEITNYRGMIGSLLYLTASRPDIMFATCLCARFQADPREPHLVAVKKIFKYLKGTVEMGLWYPRESDFTLIGYSDADFAGCKIDRKSTSGSCQFLGGRLVSWFSKKQKSISTSTAEAEYIAAGSCCAQILWMKNQLLDYGLTLTQIPIYCDNQSAIAMTGNPVQHSMTKHISIRYHFIREHVMEGTVELHFVPTDQQLADIFTKPLAEATFTRLVNELGMISGPL >KZN03185 pep chromosome:ASM162521v1:3:42210922:42212835:1 gene:DCAR_011941 transcript:KZN03185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRGAFNGVCASVLLVFLFYQKGIFNSPLQDQSLVVAKNWDFRGDLLHNETVIRRNLNEVGLNISDFEEDILSDLFAEEPDACAGLYDHEGFNSQCEFLTAYPECTSGGFFDYIRFFSCDCENVKILGFVALGVWLIALFYLLGNTASDYFCCSLEKLSDQWNLPPTVAGVTLLPLGNGAPDVFASIAAFVGSDEGGVGLNSVLGGAVFVTCVVVGTISLIVAEQRVQIDKKCFIRDVGFFLFALLSLLVILIVGKLSIGGAIAFVAIYVVYAISIVAYELWRRGSRSLKLHSVRQLLPVAGSMFSRENGDEESLYTGLLESRNEVPHLQTKLPHWMWASSVVIYANDSIDANLQETPKLLWGWNDEETTENSYLSCSRICSFLELPFVLPRRLTIPVIEDNRWSKGYAVASASLAPILLAFIWNTQDNLNFWNGEIAYIVGTVAGCALGFLAFKFTSSDQPPQSYLLPWILGGFFMSIIWFYIIANELVALLVSLGVIFGVNPSLLGLTVLAWGNSMGDLMSNVALAMNGGDGIQIAMSGCFAGPMFNTLAGLGISMLLGAWYKRPHLYIIPRDTSLYYTLGFLMLGLVWSLIVLPKSDMRPTKVLGIGLMTIYLIFLSLRVTMAMSDGSLIGSD >KZN00900 pep chromosome:ASM162521v1:3:9705692:9721824:1 gene:DCAR_009654 transcript:KZN00900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWRTLILRIGEKCPEYGATVDFRDHIENCFGVLRRELDHIEADILKFLLECAEQLPHKIPLYGTVVGLLNLENDGFVKKVVETIQINLQGALDDGNCSRIRILMRFLTVLMCCKVVQPSALLVVFETLLSSAATIVDEEKGNPSWQACADFYITCILSCLPWGGSELIEQVPEEVERVIVGIEAYLSIRRHGSSAGLSVFDDSDSTGKAHSEKDFLEDLWGRIQDLSNNGWKLDSVPRPHLQFEAQLVAGKSHDFGPVSCPELPDSPAALSGIAFGKQKHDAELKYPQRIRRLNIFPASKTEDLQPIDRFVVEEYLLDVLLFLNGCRKECASYMVGLPVPFRYEYLMAETIFSQLLLLPQPPFKPVYYTLVIIDLCKALPGAFPAVVAGAVRALFDKIADLDMECRTRLILWFSHHFLACNITYSYELRGPRLSSNFQFIWPWEEWAYVLNLPKWAPKRVFVQEVLEREVRLSYWDKVKQSIENAPALEELLPPKGGPNLKYSVEDADKTELAFSSEIKAMVKGRKMAREVIVWMDENVVPAHGSDVALKVIVHTLLDIGSKSFTHLITVLERYGQVIAKICPDQEKQILLIDEVNCFWKNSTQMTALTIDRMMGYRLISNLAIVRWVFSPTNVELFHTSDRLWEILRNAINKTYHRISDLRKEITSLKRNVVSAEESVSKAQAELDAAEAKLMLVDGEPVVGENPVRMKRLKASAEKAKEEEVSVRETLEAKEALFARALEEIEALFLSMYKSFSYVLTGRLHDAFGDKESHGSNHADGMTIDLEDSSAMDLDQENGGPKKSTSTGGRASSGYSVTEKEQWCLSTLGYVKAFSRQYASEIWPHIEKLQAEVSGEDAHPLFLKALYSGLGVPTEL >KZN01376 pep chromosome:ASM162521v1:3:15114003:15116264:1 gene:DCAR_010130 transcript:KZN01376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLGNFFKGCWIRPSDNYVIDVDGREDGFLWCRDMGHHLTGEFSMAVVQANNFLEDQSQIESGNLSLLGSGPYGTFVGVYDGHGGPETSRYINRHLFQNLKRITSEERSTSMSTDIIRRAYEATEEGFTSAVAAQWQRQPQLATVGSCCLTGVICNRTLYIANLGDSRAVLGRLVRETGEVLAVQLSAEHNASIESVRQELHSQHPDDPDIVVLRNNVWRVKGLIQVSRSIGDVYLKRAEFNREPLCARFRLPQPITRPILSSDPEISVHELEPHDLFLIFASDGLWEQLNNQEAVDIVRNNPHTGSARRLVKSAMQKAANKRELQYSDIKGVERGIRRHFHDDITAVVVFLDSGLLSRAGSLRGPTVSLRAANTTIARNALPPAAPVG >KZN02948 pep chromosome:ASM162521v1:3:39443926:39444993:-1 gene:DCAR_011704 transcript:KZN02948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPVEITTNIFLRLPARSLGQLQCVSKTLKTLISSPYFTKTHHAQTPPALRRLKLLFCTSSLHTLDIFNEQIQRNPRPHYLRLRSSHVRNYCGAVELVGSCNGLVCLLYASNRYSLVNPTTRAMRVLPDAGMLPARIRLSGFGYVARRDDYRVVCVGSDEVMRVFSAKDGSWRRVWALVPLPVNRGIKPVFVGGVVHWLGFDSGASPLHKVMGFDFVDEEVKMLPLCEQMSKRVITALGVLGGRLCVLSVADHDRDLDLWVMMEYGVRESWMRLCELPIVYPHSPFASVSPISYVGYEILFLADSRCLMLSDIGGGTHKTLEVELQGRGSTEEMQVAVYEETLVSPHDFICQE >KZN01123 pep chromosome:ASM162521v1:3:11996552:11998821:1 gene:DCAR_009877 transcript:KZN01123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINSNEDDPTLAKLESACSDLKNLLHASSSMETSLTKIDEKFFTMKETLIVASKRVAPLQTLSIATKALDSRINRAVSPALALLDSFKLFESLQSKLIELSAKLAENKVPEKRVKLLLEFVDCVDNLNRAINMISQEGEPAIQRLQEVVEFLSRTKATDQYRTHRLKETLITLKVLYETEVDAMKFDGLLDEALLNLQDEYEYCLQQLKHPNIGEVANGGSDDDSVVEVGEPSDLGSEEEIEVLKRISETLAANDCLDICIDIFVKVRYRRAAKALMRLSPDYLRTYDPQEIDEMEWGNLETSISLWIQHFELAVKTVFVSEKKLCDQVLGNIMDGVIWPECFVKIADKIMAVFFRFGEGVARSNKEPQKLFKLLDMFDSLEKLKPEFAEIFEGEAGADIFSRFRELEKLIVHASTKVFWEFGLQIESNQDGLPPPQDGTVSKVVRYAINYLKYLATDAYSSPMAQALRTEQVWKAGVLSKPETDESLLKNAIYNIVEALQRNIEAKRGRYKDKILPHIFAMNTYWYIYMRTRNTELGTLLGEQYMKKRYKMVAEESAYLYQKQAWGSLVRLLDNEENNRLNKEGLGPLVRGKMEAFLKGFNEITLRHRSTYNIPDEDLREQMKEATIKLVVPAYTDFLNTYSSVLQVESYLSPESMQGVLLKIFGGGKPGSSNRRRDRVMQGRSSVSISSDRNSEKMDFRRTKSSASEA >KZM99993 pep chromosome:ASM162521v1:3:927394:929106:1 gene:DCAR_008748 transcript:KZM99993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRSSLYRTGSFRVENVGQNVLALIGNLCFSLFVLGVLIFTLLATTYEPEDPMFNTSRKITKFLTSESNATFTKDNTNVRTGEDFMPSHETAYGNFINVSDVDLGEIRMDVNGEEVPEFDCKAEMGKPIDCRDPEVFHLMMTAAIERFKDIHFYKFGKAVRGMNDSSCHMAWRYRPNEGKATGFYKDYREFVVHRSDDCKLSVVGIGGYHSGWNARKRKKNQKGGFEKKRGEGDQQGSVVPIFGENVNDTLPVVESEESFSRGKYLFYSGGGDRCKSMDHYLWSFMCALGEAQYLNRTLVMDMSLCLSSIYTASGLDEEGRDFRFYFDFEHLKESASVLDQGQFWEDWNKWHKRNRLGLHLVEDYRITPKKLAGVKDSLVMRKFGSVEPDNYWYRVCEGDTESVVQRPWHLIWKSKRLMDIVTTITTKLNWDFDSVHVVRGEKARDRDRWPNLASDTSPEALVSTLQDKIDYGRNLYIATDEPDTTFFDPLKDRFKSHFLDDYRDLWDENSEWYSETTKLNHGVPVEFDGYMKASVDTEIFLRGKRQIETFNDLTKDCKDGVNTCSSAS >KZN03033 pep chromosome:ASM162521v1:3:40360857:40364925:-1 gene:DCAR_011789 transcript:KZN03033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTTTTTKSTTPDKTKKANLLDHHSIKHILDESVSEIVTGRGYTEDVRLSNIRLLIGVIIIVIALFAQFYNKKFPDNKDFLIGCIGLYVVFNAILQLIIYTKEKNAILFTYPPVGSFNSTGLIVSSKLPRFSDMYTLNIASADPQSISARPTVELTKSVTQWFTKEGVLVEGLFWKDVEGLINDYAKEPKKGK >KZN03484 pep chromosome:ASM162521v1:3:44997194:44998836:-1 gene:DCAR_012240 transcript:KZN03484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTLLMQATVGFVASVLLLYTLWSSRTNNHLDEKKTQAPKVNGAWPILGHLPLLAFAGTDGYRTLGNVAEKYGPVFRIQLGLQNVLVVSSKEAVMQTSNDMSFMGRPEVLHSKEGFYGGFYALSSYGPYWQEMRKISNRELLSNTRVELLKPVRASEVTTCIKELYAFCCNDGIGGSANVDIGKWCQQVLFNMLAQVVARKRYSSTGKNDSDEELRCLKRAYRDFFDMLDNFKGIPFTGWMNFKGNWAKKKTDKEFNIILNSWIDDHMQQRGKQNHFNEDRDTIDVMISLFEESDGSFHGYNTNDVLKATIAGVIIAGTDTNYATITWALALVLKHKEVLKKAQEELDIHVGKQRWVEESDIKQLTYLQAILKETFRLYPAGPLSIPREALKDTTVSGHYVPKGTILLVNIWKLQRNPGTWTDPDEFQPERFLTSHAGFDIKSQQYDLIPFSAGKRSCPGMTTSLQMLLLILARLLQGFKLATPTNEPINMSSGPGISMHKKYPLEVMLTPRLPDHLYN >KZN03791 pep chromosome:ASM162521v1:3:48178050:48182563:1 gene:DCAR_012547 transcript:KZN03791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKEEPVTPPSQVPVFWIETSDSQSRQYQFETDGQLSVKVLDDSRPVIHKMAESFLNQFFPSGYPYSVNEGYLRYTQFRAMQHFTSAALSVLSTQSLLYAAGLRPTPAQATAVSWILKDGMQHAGKLICSSLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPQLFLEMAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTVFNVLGLGAGIQLVSTVCSSMQGKMIVGPLLSLAHICCVCEEMRATPVNTLNPQRTAMIVEDFLKTGKISSPADLRYREDLLFPGRLIEDAGSVKVGRDLHRAIKPSKLRQIKELFPEEKFLLNRGTRWTDMVLEQNATGEDALRGWLVAAYAANIEKSAHENNINALEEAYEKMNSVITPLVSELQAKGWHTDRFLDGTGSRFAF >KZN02605 pep chromosome:ASM162521v1:3:35002408:35003154:1 gene:DCAR_011359 transcript:KZN02605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDMRSSDSSDLITSPRISFSYDLCQIEDCQLPVSDSTYRRDSTLVDSNHDHFNFSFSEIVDNQASSADELFFDGLLRPLQPGEKYSSRKTTSLPPLPPSTNECSSKEITTNVVESEQKHHSKSFWRGRRSNSVQCDNSHKKSSFWSLPLLLRSNSTGSVTHSQRHVSKDIQKQSSRKQPKHTSSSRYTYELSQKPPSKKNNRGSYSGGAYISPVLDMPPPYIGKGTAFNLFGFGYLFRNKKEKKNK >KZN03616 pep chromosome:ASM162521v1:3:46347968:46354059:1 gene:DCAR_012372 transcript:KZN03616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGSLCDGPGSMHTILNVNDSNTFMLPGKKNSAKKMKVSGYQKKKNRIPEPSKSQKRKLKKLQEDKEKELLLSKSMETLEKYKIGDVAQSLMLSSKNLGQVETFQEKRRREVQFCKAGLQLPANNRPIKRKTYDCTSEFESETKKLHLTDVCNVSTFTYSLIGDKESKHDDSTILGSLVDDGRQLKAPVPTKETYHENSVIPVPSNDQNALPSTVSSLPKVEKNIDRRNEYPTGHLGKISNLVNGNSAGVRAAPIVVHVSRPMEIENTRKDLPIVMMEQEIMEAINENISVIICGETGCGKTTQVPQFLYEAGFGSSRSLVNSGMIGVTQPRRVAVLATAKRVAFELGLRLGKEVGFQVRHDKRIGDNCSIKFMTDGILLRELQNDFLLRRYSVIILDEAHERSLNTDILIGMLSRIIRERQRIYEEQKEQDLLFGKKTKPENKVYPLKLVLMSATLRVEDFVSNKKIFSEPPPVIEVPARQYPVDIHFSKRTEIVDYVGQAYKKVLAIHKKLPPGGILVFVTGQREVEYLCQKLRKASREMVKSNSRRIENAAAMLEGNFVEDHDMNEISEAFEIEGDSTLQQTDRFSSYDDDYDDLSENESDASFDSDEDSDLEVSGKGGYLFNQKSLESDDVLGEDGNLASLKAAFDALAEKNTLASNTDGKLVATGKGSDQANLSSGENNGESDVPPASPMCVLPLYAMLPASAQLRVFNEVKDGERLVVVATNVAETSLTIPGIKYVVDTGREKVKMYNSANGMETYEVQWISKASASQRAGRAGRTGPGHCYRLYSSGAFSNKFFDFSDAEISKIPVDGVVLIMKSMGIDKVKNFPFPTPPEDDGIDKAEKCLKFLEALDGNSRLTSLGKAMAHYPMSPRHSRMLLTVIQILLKSKGYSRPNLVLSYAIAAAAALSLSNPFITQFEGNHDEKDETASSEKVLDKEEKLRKKRQKEASRASRAKFCNPTSDALSIAYALQCFELSDNPINFCTEYALHIKTMEEMSKLRKQLLKLVFQQSLCNSQQDVSWTHGKMIDVESSWRDFSNNQHLSSAEEELLGQAICAGWVDRVARKTKAYSDLSDGDKTFNSVRYEDCMREEIFFLHRRSSVSRSAPEYLVYNELVHTKRPYIYGATTVKPDWLVRYASFNCTFSAPHTDPRPYYEPLSDQVLCWKTPSFGPRMWQLPLHRFPIEDNMQRVTVFASSLIGGAVLPCLKSFQKFLAVTPATILRPESLGQKRVGNLLHKLQSRTKIIDSCAMLRELWSENPEDLYPEILDMFQEGFYNQFEELWVEMHREVLLDPRRRFAKKGKKERSGKKDTCRGKKSG >KZN03832 pep chromosome:ASM162521v1:3:48816180:48820969:1 gene:DCAR_012588 transcript:KZN03832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGYPAASQVGSYFVSQYYQILQQKPDCVHQYYTGSSTVIRVDGESTETASEILHIHTLMMSTNFTGIEIKTINSLDSWNGCILVVVSGSVKSKEFIGRKKFVQTFLLAPQEKGFFVLNDIFHLLDEEAAHQQSAPKLQENRVDYQQPTPGYLDNSVDYQHSAPVYSDNNVDYQHSTPPYSENIVDYQHHPGQTLHDDIDYQHQAPVYFDNGVDSQQTNHIPLSESPDVTNYEVEVSEHLNSVHFEDDGPVNEYSFHDQQQQEPVTDYVQAASVEESPTSHNVVHYQQGSSPAADEPIAEPQKFSYASILRASTGKPAPLVVVNTYPKSAPAPVSEWESPPHTNSQPSNSVSSFLPDSNAEVVEEAFSQVESKSVYVKNLPPTVSTSDIQQEFENFGRIKPDGVFIKNRKELGVCFAFVEFEDVESVQNAIKASPIHLVGRQVYIEERRPSSMMSMSRGGGRRGRGRGSYQTDGRFGGRSFGRGNSGRGSY >KZN01472 pep chromosome:ASM162521v1:3:16679145:16680062:1 gene:DCAR_010226 transcript:KZN01472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKILLKRSVQQFSPDPYLGTAINCMFWILYALPVVHRGSILVLIGNSIGLGLECVYLIMFLLYAKSNQQRIYIISIFIVELAVIGLVGGLVIGLVHTDEERSRIIGILCIIFNLAMSGSPLTIAKQVIQTKSVEYMPFFLSLTNTLSGVFWLTYATIRLDLNILIPNIIGAGLGVLQLILYALYYKRSPKDTSVVSVETV >KZN01917 pep chromosome:ASM162521v1:3:26926533:26926895:1 gene:DCAR_010671 transcript:KZN01917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGINGRDSDAIELFEEMIHSKLSPNLVTFTGLLTAFSHVGLVEEGYHCFNSMKNYGLVPSADHYSLMVDLLGRAGKLVEARDLIKSMPMQPHAGVWGSLLSACNLHNNVELGEIAAKH >KZN01946 pep chromosome:ASM162521v1:3:27312890:27314570:-1 gene:DCAR_010700 transcript:KZN01946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNTGKSMEDENKRVEVARDKYRSFLDDAAGTEWRHGGPPVYDQINLLFEHGRTKDWPKGSLEETVQNAIKSWEMELSHKTRIQDFKSVNPDKFRLIVNGREGLSGEETLRLGSYNALLKSPLPEGLQYYKAEEESFQSSHDAFRSAFPRGFAWEVVGVYSGPPMIAYKFRHWGFFEGPFKGHAPTGEMVQFYGMGLLKVDELLRAEDVEIYYDPADLFAGLLRGPKDPPFPESSSDKSAASATITSIKRCPLH >KZN01470 pep chromosome:ASM162521v1:3:16644360:16645630:1 gene:DCAR_010224 transcript:KZN01470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKSTYIFKVITSPARSFPKEVSIQLLWWRSIQRVDWMIEIVVYGYFIIEVVLGTLVKLDLATSSSVLLCKYASLPSCIPKTLRRGRRRCPLCRHDLSESDIFSAPTEKSNAEMASSGKSSKITALLKLLSEARDKDPTAKSVVFSQFRKMLMLLEEPLKTAGFNVLRLDGSMNAKKKAQVIKDFCVPALHGPTDLLASLRASCMGVDLAAAN >KZN00230 pep chromosome:ASM162521v1:3:3257738:3261921:1 gene:DCAR_008984 transcript:KZN00230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPPENPDSGPFNLGDYITRKELLTRRARMFRELANIYKEEYWALMEEIKDKYAEFCWEHGKATIDDSDEDVETDKNAERENVAVADGAEKKAGKAVVVTGSGNRCEGYGCERKAMELTRYCFDHILLDERQVLYKGCKYFLRGSPVGPILCNKPILSATVPSLCTAHKQRTEKHVAKALKKAGLSSHSASRSAPQFHVMVAEFVNQIQAKRRAAREVKKDPCEMNMEDDTTVHID >KZN02664 pep chromosome:ASM162521v1:3:35615663:35615920:1 gene:DCAR_011418 transcript:KZN02664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRVVSDDNLRTCTWAEVPDWYWLASLENHTEVPTIEVPAVPEGSEVPDYGGYE >KZN02443 pep chromosome:ASM162521v1:3:33529515:33530356:-1 gene:DCAR_011197 transcript:KZN02443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSFFHLLASLLLVSTMVLSESEQLLESGIITKVPPHAQSPLKSPIAPAPAPTPVAAPVKAPPPVAPTPVKIPPPPVQSKAPPPPQPPVPVKVPPPPRPSVKPPSPPISPPPPSPPRNTKECYPPCLVRCKLHSRKNVCLRACVTCCDRCKCVPPGQFGNKEKCGKCYANMTTHGGKSKCP >KZN00560 pep chromosome:ASM162521v1:3:6370763:6371635:1 gene:DCAR_009314 transcript:KZN00560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQGKTPKKNEIIFTAPTGEEISNKKQLERYLKSHPGGPRMSEFDWGTGETPRRSARISEKAIETPPPPESDPPKKRSRKSTAMDDEKESEVAAEEMVKEVVMEETEKVETDNVAAQTEDISKEKKDENKDEPLDDEKTGHEAKKDETQGTAKDVEKDSQNTDDKTVHTPAEHAEPKEDIKQLDDAGGIKTDSVADFEKVPDVTEISKTDAEQLQEGAQLPEAVEKTPMEAHTEAGTGNQEKHNPSMEGNIEHPDFYSKAAEGNDAMGKVAEGEVTENGSNGKAGEAQP >KZN01320 pep chromosome:ASM162521v1:3:14425496:14427329:1 gene:DCAR_010074 transcript:KZN01320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNIMLAKDTTWCFFALPVFLDPFVLLYLFVTLIAVSVFAWAFSSAGGAWRNGRNLLGSVPIPGLKGLPVVGSMLGLSQGLPHRSLASIASLGSGLHKQLMAFSLGSTPLVVTCDPVIAREILTSPHFADRPVKQSAKALLFTRTIGFSPNGAYWRGLRRIASTHLFAPRRIAAHEAGRQLDSAALLRGIAAEQATRGVVTLRRHLQDAALSNIMGSVFGTRLGRENNNKDMNELREMVAEGFELLGAFNWCDYLPWLSYVYDPHHIVQRCETLVPRVKEFVGKLIQQHKLNKSSDGADDNADFVDVLLSLDGEDKLNDDDLAAVSWEMIFRGTDTTALLTEWVMAELVLHPDIQLKLYSQIYDVVGNKDVTDADVAKLPYLQAVVKETLRIHPPGPLLSWARLSTSDVQLSNGMVIPANTTALVNMWAITHDSALWEAPLEFKPERFTGDGDVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVNMWVAKLVQKYKWVQEVTQNPVDLTEVLKLSCEMKTPLCAVAVSRNLVD >KZN03744 pep chromosome:ASM162521v1:3:47584482:47590929:1 gene:DCAR_012500 transcript:KZN03744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHTNSQKKYSWWWDSHISPKNSRWLKENLTDMDSKVKSMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAQQTMSEAFPNQIPMMLADDAPENYDSDADQRTPKMSTPARAFFNPDDLPKNSSGRPYSHAPKKNGQFAEALDSLKQLNGQFGSGENAKFTEGRARKGLNFQDNVESDQLIKSEKEILNLKEIIAKLEAEKEDGLNQYQQCLESLSKLKEDVSRAQENSRELNDKASKAETEAQHLKEALIKLEAEKEASLLQYHLCLDNISNLEDVLSRAKNDSENSEERAHKAETEFHSVKQDLARAEAEKDDVLNKYKQSLEVISDLENKILLAEESAQKQSERAEMAEGEVETLKQAILKLTAEKDAAALQYQQCLETIAGLERLVSSAHEETQWLNDEINKRGEKLKGAEERCVQSETLNQSLHSELETVLLKMSNQNQELTEKQKELGRLWTCIQEERLRFIEAETAFQTLQHLHSKAQDELRSLALELQNQTQTVREIETHNVNLQDEILKIKEANMGLNQLNQSSTISMESMQSEICSLREMNGKLGEEVELRVDQRNALQQEIYCLKQELKDLNDKQQALLNQVDAVGLTPECIETSVKELQDENSNLRGLYQKEKSEKVALIEKLEIFEKLLEKNALLENSLADMSVELEAVRGKIVVLEESCECLLQEKSALVDEKVTLMSQLQSTTDNLGKLSAASTILQNSLDDAHNELEEIKAKAMNLEDSCMLLVSQKSSLISEKDNLVSELEITHQRLKGLEEKIVELEEKKLVVEKEKLLALNVGQELKVSLEAEKHEHSCFAKMRATQLACLETQVHLLEEEKCSINKAWQKELDKALNSQMETFVLQACVQDLGEKHSSLLIECQKLSEASKMSEMLVSKLEKENVEQTVEVKSLSDQLSTSKNGMHHLLTALEIIADHKCEDKNGQDEVNVDHILRKLQDTKQSLCKSQDENQLQAVEILVLVTLFSEMRSEAAKIATEKNITDKELAIRSAQCVSVQSEARKLFEITEKLRLDVIDGCQKEKELVTQAENLSQRLLDKEMACENLQDEKTHLLVELLVLVTLFSELKSVAAKMATEKSITDQELAIRSAQCAAVQSEAHKLFEITEKLSLDVINGSQKEKELMTQIENLSQRFLDKGMAYEYLQDERMHVLDQNNILLEKVSQLEEKNDTIEEDSCMIFGEMLSLNVLSLILKNNVCERSLEMEEIQLVNTSLEKKLTIKEKMLEDLQTENLLLGETVQKSGDELQSVTCVVGQLSHEIESVKNLFHLKEIELLEAQQKITVKEDEKSGLAKIVEDLKSKEDVLQIIREDLEKHIGQLEEDKDHLSEKNRSLGEAKQNLEVELRLLHDKHETANYKEASLLSQMQERNEEIDMWETQATAFYGEFQTSAVAQAFFLEKVRELTEECTSLRDEITSKDVKMDMLDKRIGILEGQNKELEAQIAPYSQALTSLIDSISSLEKHTFLHAHLDKTINEEVKDAKLANEDPAASDQSDNKAISPDTILNMQHLQGRIKAIEDTIVEMERLAIEENSDLHAKLECALKKIDEMSSENRKYTENLKQPQSEISEENGLLPKDIMLDHISETSSYGISKRRYDGSDIQVFETWENTDQESSINLAVGKGKKSVNAPNKKEHSEAIKQDREFITSNSLVEKELRVDNFEISKRFMQPPQDGNKRKVLERLNSDVQKLTNLQITVQDLKRKVESVEKTRKGKGSTETATIKGKLEEAESSIQKLFDFNGKLMKNIEDKSSQAVQKSVTESEESGSARRKRISEQALRVSERIGRLQLEIQRIQFELLKLDDGKESKGKIKIVESKRRVLLRDYLYGGVRKIPKRKKAPFCACVEPKTKGD >KZN00035 pep chromosome:ASM162521v1:3:1328376:1333536:1 gene:DCAR_008789 transcript:KZN00035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARSDHHQTVPLSVLLKRELASEKVEKPEISHGQANQSKKGEDFTLVKTECQRVLGDDVTTYHVFGLFDGHNGSAAAIYSKENLLANVLGAIPPDLNRDEWIAALPRALVAGFVKTDKDLQERARTSGTTVTFVLIEGLFVTVASVGDSRCILESSEGEIYYLSADHRLETNEEERKRIIASGGEVGRLNTGGGTQIGPLRCWPGGLCLSRSIGDMDVGEYIVPVPHVKQVKLSSSGGRLVISSDGVWDALSAETAFECCRGMPPDAAASQIVKEAVGFKGLRDDTTCIVIDLQPPEKPSPPLPAPPRKQGMKVFKSMFKKKSSEPSSVSEKEYSEPDAVEELVEEESANLSERLDTKYPICNMFKLFICAICQVEMKPGEGVSIHSGTENTKKIRPWDGPFLCSSCQEKKEAMEGRRTFGDSTYSSGSE >KZN00553 pep chromosome:ASM162521v1:3:6326148:6327406:1 gene:DCAR_009307 transcript:KZN00553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVITLGRLLRDTGPALNSGSGFKSVRPFRSGYFGASIKLQPGYTAGVITAFYLSNSEAHPGYHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFNPTKRFHHYAILWSPKEIIFLVDDIPIRRYQRKSVATFPLRPMWVYGSIWDASSWATEDGKYKANYQYQPFVGKFTNFKASGCTAYSPRWCRPVSASPWQSGGLSSQQNMAMHWVQSHYLVYDYCRDNKRDHSKTPECWG >KZN03207 pep chromosome:ASM162521v1:3:42469432:42476192:1 gene:DCAR_011963 transcript:KZN03207 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MKRLSENIESIFSTASPEFDYFSDAKIVAGDGRVVPVHRCILSARSAFFKTVLSDKVSDSGVKLELKELAKEHEVSFEALVTVLAYIYSGKVRPLPKGVCICADEECDHVACRPVIDFVVEVLYASFVFQITELFALCQSHLLDILDKAAADDILVVLYVTNMCRNACEKLFTRCMQIVVNSNVDIVTLDKVLPEHLKKQIMDSRIELGLIVAMDIAQVEGTSEFPSAGMISKVMSDAQRTTVDLNDAPFKLKEEHLNRLRALSKTVELGRRFFPRCSQVLDKIMDTDDLSQLAYLRNDSPDERETKKQRYTEIQELLNEAFSEDKVEFQRSNNLSSNLSSSASSTSLGLKSNGLLCTWQVNYSYKAQQFPSATEQFYSLSNLMPAEMDSQSQQLHFVMIPLLCPGHIIPLIDMAILLAQRDVIVTIFTTPHNAVRFGSAINRAIESGLQIRLLQVRFPSVEVGLPEGCESLDELPSYKDMSVNFFSAVQMLQEQVEKLLAEVRPSPSCILSDKHVFWTAKTAEKFRIPWIIFDGMSCFTQLCTELLHTSKVHESVSDSDPFIVPGLPDRIEFTKLQLPGLFNTGSDPTSMTNLHKKIRETEVGAYGVVINSFEELEKNYVSEFKNLRYDKVWCIGPLSLCNKNNLDMAQRGNKATIDQTKCLKWLDIQEPGSVIYACLGSLSSLAREQLIELALGLEASGHPFVWVVRAGNKQEEIEQWIVEDGFEERVRGRGLLLRGWAPQLLILSHSAIGGFLTHCGWNSMIEGICAGVPLITWPLFAEQFFNEKLVVQVVETGVSVGSKSVVQLGEEEKAEVNVKREDVERAVKCVMSQGEEGENRRRKAKEFGEKASKAVGEGGSSHFDVTLLIQDIMNHRLQAQLYETRK >KZN00548 pep chromosome:ASM162521v1:3:6281125:6284391:-1 gene:DCAR_009302 transcript:KZN00548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQFEAFRQHLEESGSLRDRFRAVATQIEATNRNINSFLLLIHQSRPMPEVLEKVNSEIDVLKRLFNQLAEIARECPGQYYRYHGNWKSETQAVVSLLAFLHWLETGTLLGHAEAEQKLGLDKSEFRIDVEDYLIGICFMANDLPRYVVNRVTAGDYDCPRKVMKFLTDLHAAFRMLNLRNDFLRKKFDGMKYDQRKVEEVYYDVKIRGLAVDGDTMVEGTEGQQ >KZN03924 pep chromosome:ASM162521v1:3:49938364:49942897:1 gene:DCAR_012680 transcript:KZN03924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAPNYEFQEWWNNQRDSHLGSGYDTKSLNSNHTHLTLEVSNPTHDRILEKERTRSARQLSWLYILKFQQIAHSFAYITNAFISILGTAKRRLSSNHSGDVGPESRRLYRVIKFFLVIVVLLLVFELVAYFKGWHFSPPLVKSEVEDLVEHVYAKWLEIRAVYLAPMLQSLINVCIVLFMVQSVDRMVMVFGCFWIKFRGIKPVAEIEYLDEGDNVIVENYPMVLVQIPMCNEREVYHQSIGAVCVQDWPRERMLIQVLDDSDDLDVQSLIKAEVHKWQQRGVRILYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPSPDFLKKTIPYFKGKEDLGLVQTRWSFVNKDENLLTRLQYINLAFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFLDIIRSKISLAKKTNLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAELPAWVVCYVPGVMSLLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSSYEWIVTKKLGRSSEADLVAFAEKEAEIPGESPNMHKSSSESGLAELTKLEMSKSMKKKRRNRLYRKELALAIILLLAAVRSLLSAQGIHFYFLLFQGVTFLVVGLDLIGEQVS >KZN00692 pep chromosome:ASM162521v1:3:7659797:7660930:1 gene:DCAR_009446 transcript:KZN00692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDVENPIGDAQKGSAATSTSPVIEFQATWYSSFLQHVSVYGIAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVFILGSLKILEHDKLDLMTMWRFLPAAFIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGESLYLHQPWPSMKTWFSLATIFGGSVLYVMTDYQFTVMAYSWALAYLISMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLVMGELKKIKHEISDESDWHSFQVVLPVGLSCLFGLAISFFGFSCRKAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTIGLLICMLGGVMYQQFSSTKPKAAKNVEVQEREEEQQKLLELQNSSENRDSEKQVTDLAEEN >KZN01869 pep chromosome:ASM162521v1:3:26366156:26366365:1 gene:DCAR_010623 transcript:KZN01869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPEDKDITRFRSRCFCSFNGMNSYARNITALASDTFSSTLSHLVSLMIYKQQISSSEEKPSIHFFHI >KZN01260 pep chromosome:ASM162521v1:3:13783118:13783336:1 gene:DCAR_010014 transcript:KZN01260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTNKPQFQEIISSTKIFTEEAEALLKEAIQEQMERFILQEQV >KZN02656 pep chromosome:ASM162521v1:3:35588722:35588958:-1 gene:DCAR_011410 transcript:KZN02656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQSQIYNTTKKKFLHRIYRIIWFRNYSSLKSMQLPTCPVKHYLLPTIIDLYLQVVDMFMCSAKLWASGPKEMGRR >KZN00089 pep chromosome:ASM162521v1:3:1811575:1814594:1 gene:DCAR_008843 transcript:KZN00089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMIAGKKFFGEDTLKSEEAKRFKEIIAESSVLVGASNVGEFMPIIRWLLFNKMEKKLKILHKKRDGLVQKWIEEFRDKISDGTGESEEKSMIEILLSLQHKEPEYYTDETIKSLMLDLNIIDITHEYVPTMPSYGSKKGLGEILTLVCDQRKGFKTTNSLLTIQVHSGTHTDAPSHAFIEYFNQQTDATTLDLQTLNGPAIVVDVPRDQNITADVMKLLNIPRGVKRVLFRTLNTDKKLMSFTEFDSSYVGFTQDGAQYLVDNTDIKLVGIDYLSIASAFDVLSPHLVLMKNKDIIPLEGLKLDGVEVNVPYTIHCLPLKLHADASPVRCILIK >KZN02593 pep chromosome:ASM162521v1:3:34898902:34900362:1 gene:DCAR_011347 transcript:KZN02593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENASTVWLSLFTLSLLFSPISSSRSLGKTTVFDVSASSRLAKHALTQNPQSLEQQTQTTAAVASGEVYSVSLHSRTSLRKHTHKTYDSLTLSRLARDSARVSFINSKLDFQLSNLTRSDLKPVQTDIRPEDLQSPVTSGISQGSGEYFARLGVGTPAKQYYMVLDTGSDINWIQCQPCEDCYQQSDPIFNPTDSNSYSKLSCTSTQCSALQVSTCSRAGDSCLYQVSYGDGSYTVGNFATETVSFGRSGSVPHVAIGCGHDNEGLFVGAAGLLGLGAGELSLPSQVKATSFSYCLPDRDSASSSTIDFNSAMPADSVTSPLLRNPKVDTFFYVGMTGLSVGGELLSLPPSIFEINESGRGGVIADSGTAVTRLPSQAYTSLRDSFKQGTQHLPLSTGFALFDTCYDLSSMTRISVPTVAFHFAGGKTLQLPAKNYLIPVEAGGKYCLAFAPTAGSLTIIGNVQQQGTRVSYDIANSKVGFSAGKC >KZN02886 pep chromosome:ASM162521v1:3:38608658:38608837:1 gene:DCAR_011642 transcript:KZN02886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRVLGTSGYVAPEYAARGYQVSFHIVSCQKGSTYSICSFAVSTTSGPTMVAYPCIER >KZN00054 pep chromosome:ASM162521v1:3:1499483:1504894:1 gene:DCAR_008808 transcript:KZN00054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQHAHGSPNESDWKRGKHPYPSKTYKVEISYAAKIPMQAIAKTLSVQDLEKSPEALRVLDIILRQHLVKKYIIVPYLLYGLSFMIDINTESCSCRGCLLVRGFHSRFCTTQGGLSLNIDVSTTSVIRTRPVVEFLIAHQNAKDPFSLDWEKAKRALTNFKVRTSPTNTEYKITGLSEKICKELMFFSKQKGKKDENGKPQMIEITVYDYFVDIRRIPLSYSGDFPCIDVGKPNRPTYIPLEALGINNYAAEPLLRACGVSISNNFTPVKGRILAAPRLKAGCGDDIFPCNGRWNFSNKKLVEPTTINDWAVVNFSAQCEIDSLINELIKCGEEKGINIARPVNVFEESSEHRRSPPLVRVEMMCKLLFSKIEQKPQFLLCLLPERKNSPLYGHWKRKNLIEYGVVTQCIAPAKVNGRYLTNVLLKINAKLGGLNSKLSIEHSPSNPLESKIPTLILGMSVFNGSPGKSGVPSIAAVVSSRCWPLFSKYRACVRTQSPKVATIESLFQKVSDKKDDGIIRELLMDFYTSSGSKKPDQIIIFRDGVSESQFNQVLNVELNQIIEACKFWDANWSPQFVVIIAQKNHHTRFFRPESTEHVHPGTVIDNTICRGQNSDFYLCSHAGITGITRPTHYHVLLDQVGFSPDDLQEFVHSLSYVYQSSTTAISVVAPISYAHSAATQMAKFMPGISSSHGVINTAGSVPIPQLPRLHEDGLDVIKYAQTTSKPSATIAFQQTFVGTKPAPAVAAYTTRGPAASNLSILKPDIMAPGTLVLASWIPNAPASSISPNIQLSSNFNAVSGTSMACPHASGLAASTLS >KZN03804 pep chromosome:ASM162521v1:3:48327550:48328032:1 gene:DCAR_012560 transcript:KZN03804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPPRRTTPRSAPRGKSAAASTSKAPRSLSGSPLRPGYTYRAVSGSTSRTPRLTGAYTSRAYAGASSSRSAGGPSSGLIRQAVPVPHEEHEVEVVYTGLPCTCKNCGITFGSYHDYYCHLVYAAIHQAPPLDLTLKLATTCQLPKVDLELRLRPADQSQ >KZN03891 pep chromosome:ASM162521v1:3:49671978:49676099:-1 gene:DCAR_012647 transcript:KZN03891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLTASSPAKSLLSPPFFSHHSPLYFSRFNVLASPPRRLTLLPHLRWVYFWLLMAAGFGLFISEEALNIWVGISLARRLTLDGTWLSFAESFTRNASYIASTVLWVYWGVCISDMVPFYIGKFFRTSGTTNDVCSKLGIGKEKAVSITRNVQKYGNLIGFVERFSLGVRNPTAFLAGALGISPEFFFAGVCCVGDWILIARASSFCCCNRGDRSGYMDFSPICCSGFNSIVLISPTALLQLIFLHDLVHSSRTRNCIKSILPNTQKLHKIDPAKHLTHIQG >KZN01222 pep chromosome:ASM162521v1:3:13246835:13252657:-1 gene:DCAR_009976 transcript:KZN01222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINTITPQSFHPLSKPKFKPSPSFSKSFKPHQKTPFRASISVKSLPQEFDVIDANTHDCKDPIDGILSGLEREKEGEDVMNEVVGVFGNDGRLSFEGATGFSSVGLSGSEKEEQDLNKLIDRGINATLVLAASTFAVSKLLTIDHDYWHGWTLFEILRYAPQHNWTAYEEALKTNPVLAKMMISGVVYSLGDWIAQCVEGKPLFEFDRTRMFRSGLVGFTLHGSLSHYYYQFCETLFPFQDWWVVPAKVAFDQTVWAAVWNSIYYVFLGLLRLESPISIFSELKASFWPMLTAGWKLWPFAHIITYGVIPLEQRLLYVDCVELIWVTILSTISNEKSEARISEAPDEEISNTLTLPPEATWRWPEIEPSQARSSGWRHQCPPHHLFVTLAPFQFVPECCFATILLD >KZN01513 pep chromosome:ASM162521v1:3:17754124:17755781:-1 gene:DCAR_010276 transcript:KZN01513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLLMAKTLAFVFSYCLDVIPNTLDEIVTWYCEDCQEDLTPSTKEAHGSGSMNIRDTNSTDTEDHMFEDGSSHGVHSAHNDNHSRNSVENEQESRLLPYGYDCVQEHVIRSSSRNGAFEEARGSGFTNTEEQIFEDDPSHGVPSGHNHNHSSISMECEEESCLAPYGHDCMQDPVIHSSSRDGAFEEARGSGSTNIRDTDSTDTEEQIFEDGTYHGIHSGHNHHHHSSNSMDNKDESLLVSYGHNCVQDNLVHSSVSNGAFERLQMSSEYDVNPRLICNTAHNSDVSEISYLGNPTPLVQDHHIPAQPVKDPIWRGAFVIINKKRGTYDGVVAHISNKACSKVCEKARSLENFLHFEKHPKSDVWPKSFQNSLPSDESIALYFLPADRSGEEVFENLVDYMIGDEIALKATIEDAELLVFSSTELPLSYWRFQGKYYLWGVFRGKRAA >KZN01227 pep chromosome:ASM162521v1:3:13324656:13327023:1 gene:DCAR_009981 transcript:KZN01227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESPDGSVRRTSRRTVVSKNYNEDQMDELIGDDVLGVSKKRTRTKEDLEKETETEAMIALSLGFPIDALLPDEIAARVVSELGGKEQNDYIVVRNHILAKWRSNVRIWLSKGQIRETVSNEYEHLISAAYDFLLFNGYINFGVSQSFTSQIPEEATEGSVIIVGAGLAGLSAARQLLSFGFKVVVLEGRTRPGGRVYSQKMNNGDSEATVDLGGSVITGIHANPLGVLARQLSIPLHKIRDNCPLYKPDGAAVNQEIDSKVELIFNKLLDKVTELRQMIGGFAHDISLGSFLETLRNFYAVARNTEERQLLDWHYANLEYANAGCLSELSAAYWDQDDPYEMGGDHCFLAGGNWRLIIALCEGVPIYYGKTVQTIKYGNDGVEVVAGDQVFQADIVLCTVPLGVLKKRAIRFEPDLPQRKVEAIDRLGFGLLNKVAMLFTHVFWGEDLDTFGSLSENSNERGKYFLFYSYHTVSGGPVLVALVAGEAAQSFENENPSTLLHCALTVLRGIYGPKGIHVPDPIQSICTRWGSDPLSYGSYSHVRVQSSGSDYDILAESVGNNLFFAGEATNRQHPATMHGAYLSGLREASRILQASKSQQSDPKKYIQKYVGPGTDVLVTLFKWPDMAFGKFLFVFDPSTDDPKSMAVMRVTFEKSADEHCYQKEIVNNFQHTLNQPVHLYAILSRQQALELQSVSCDHESKLSDFLKNHGLKLMGGDALRSIGSSLMVNIISARRGRGRYRISSKKHSASN >KZN03349 pep chromosome:ASM162521v1:3:43884593:43886197:-1 gene:DCAR_012105 transcript:KZN03349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENCYRQVERPKYDCLLFDLDDTLYPYSSGLATACSNNIKDYMVEKLGIDRSVIFEMSNLLYKNYGTTMAGLRAIGYDFDYDEYHSYVHGRLPYENLKPDPVLRSLLLSLPIRKVIFTNADKVHAVKALNILGLEDCFEGIICFETLNPTHKNIVSDDEDDIQFTGSRSFSSTTNDNTEIFDIIAHFSQPNASISSLPKTPIVCKPSTHAIEKALEIGKINPQRTLFFEDSVRNIQSGKLVGLDTVLVGTSQRTKGADHALESIHNLRDALPELWVAVNKSSSEVGYSGKVAVEMPVTA >KZN02876 pep chromosome:ASM162521v1:3:38449119:38451900:1 gene:DCAR_011632 transcript:KZN02876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTDLINLDLSDSTDKFIAEYIWIDAVGGLRSKARTLSGPNNDPTQLPKWNYDGSSTGQAPGKDSEVILYPQAIFKDPFRRGSHILVMCDTYTPAGEPIPTNKRCNAAKIFSHPDVAAEVPWFGIEQEYTLLQKEVKWPLGWPTGGFPGPQGPYYCSIGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITEIAGVVVSLDPKPIPGDWNGAGAHTNYSTESMRNEGGFELIKKAIGKLEIKHTQHIAAYGEGNERRLTGRHETASMHKFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTLL >KZN03254 pep chromosome:ASM162521v1:3:42911558:42912357:-1 gene:DCAR_012010 transcript:KZN03254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGACVLANEFTFSAVLPVCGQVGVVFNGEQVHSLICKHGVAMCLFVGSALVNMYGKCGDMGLAKKVFDEMPKRNLVSWDSMISRFLGNKMYVDAVGVFKEVLGGEFVPGEVTFSSVLSACGNMGSLDFGRQVHGVVVKYGLVSLAYVKNSLMDMYIKCGLHEDAYGLFQIIEERDAVTWNVIVMGFVQKGNFEEAWNLFGAMRRKGISPDDVMFSTVLHAAASIAAFDQGSLVHNQLDSC >KZN02852 pep chromosome:ASM162521v1:3:38054563:38055252:1 gene:DCAR_011608 transcript:KZN02852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRQDEVFSGEEHDFVAPAEQFGKSKYSRLQTHEPEDMKKMVTFGACQKADKIIEKRTRQAEGTMKSNMDAAIDEGSQRGSGHKVIAKKGIKLSIGGVGNFAILRYPFKRTEGGQPEGSAEQAMNLLCT >KZN00556 pep chromosome:ASM162521v1:3:6348111:6349715:1 gene:DCAR_009310 transcript:KZN00556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLRIFLSFLLAAVALFRAHSQPMVPALNIFGDSVVDAGNNNNRLSLVKANFPPYGRDFIDHKASGRFCNGMLAIDYTAEYLGFTSYPPAYLSDDAKGRNILTGANFASAACGYYNMTSLAYLAISLPQQIEYYRAWQNKVVGMVGRARANEIFSGAVQLLSAGSSDFIQNYYINLPLRGAYSIDRFSDMLLKSFTNFVEKLYGLGARRIGVTTLPPMGCLPAAISLFGRGSNQCVPRLNADSVMFNNKLNTTAEKLLNQYQGLKLVVFDIYTPLLELVMKPSDNGNVPKFRSMGFAESRRACCGTGTIETSLLCNSMSVGTCSNASQYVFWDSFHPTQQANEYLAQSMLVKGFDLIS >KZN00280 pep chromosome:ASM162521v1:3:3662316:3675079:-1 gene:DCAR_009034 transcript:KZN00280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFHVRGKVVDTVDLLRKRNLLWRFDVWPFAIIYAVWIVVVVPSLDLVDAFIILGGLVAVHVLVFLFTVWSVDFKCFIQYSKVSDIQQADGCKITPAKFSGAKEIVPLKCRKLSVSSSTAVQDEVYFDFRKQCFIYSKENQTFNKLPYPSKEQFGYYLRSTGYGTESKIVAATQKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKARLKTLSELRRVRVDGQTLMVYRCGKWVKISGTDLLPGDVVSIGRSTDQNGEDKAVPADMLILSGSAIVNEAILTGESTPQWKVSIIGRGAEENLSARRDKSHVLFGGTKILQHTSDKTCHLRTPDGGCLAVVLRTGFETTQGKLMRTILFSTERVTANSWESGLFILFLVIFALIAAGYVLVKGLEDPTRSRYKLILSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVGGLTESSDLETEMTNVPTRTLEILASCHALVFVENKLVGDPLEKAAVKGIEWTYKSDEKAMPKKGSGNAVQIVQRHHFASHLKRMAVVVRIEEQFFAFVKGAPETIQGRLTNVPVSFVNTYKKYTRQGSRVLALAFKSLPEMTVSEARNLDRDLVESELNFAGFAVFNCPIRGDSATVLSELRGSSHDLVMITGDQALTACHVAGQVNIITKPPLILTPGNNGGTYDWVSPDEAQTIAYSDEEVETLSEVHDLCIGGDCIEMLQQTSAVQSVIPYVKVFARVAPEQKELIMTTFKMVGRMTLMCGDGTNDVGALKQAHVGVALLNAIPPQSDKSQSEASSKNDSGKSGKGKKAKATTEAGKSLAINGDSSSKSRAAARSDSTSQSAGNRHLTAAEMQRKKLKKLMDELNEEGDGHGAPVVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHAKPLPTLSSERPHPNIFCYYVFLSLLGQFSLHILFLISSVKEAEKYMPEECIEPDSSFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFFYALLAAVGFFTAITSDLFRDLNDWLKLVPMPRGLRDKILIWAFLMFLCCYVWERFLRWAFPGKMPVLKKRQQPAGNDQERKKLL >KZM99996 pep chromosome:ASM162521v1:3:936003:938995:-1 gene:DCAR_008751 transcript:KZM99996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCQSDAACLCLSCDRTVHSANPLSRRHPRTLICERCNLQPAFVRCVEESSSLCQNCDWVAHVNASGHKREAVKCYSGCPSANELSNIWSFLLDLPSALASTCEQGLSSMSIADDSLTNANAPARSNKDKGLSISVTDLQNVNSSKDWKSPSTPQLDEKFVHQQSVFTKPTSSKSGADELELYEDDFHEDFNMDELDSNIERCEELFGVGNNDPQQFFDNSGIDGLFEMKGMTGANTISPSAYVAEGSLIGLENVMKPTGSNASCADFMMSCKTNPSGCFGRQLSNISFSGVAGENINASDDQIADASAMLLMGEPPPWCSQGSESSLTSDTRNSAVQRYKEKKKTRKFDKRVRYETRKARADVRKRVKGRFIKAGDAFDYDPMTKT >KZN00686 pep chromosome:ASM162521v1:3:7624394:7628362:1 gene:DCAR_009440 transcript:KZN00686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFNGWELWACILLSLFLQIFLTIAGTFRRLASRRWFVKILWLAYLLADFVAVLGLGLLVSRQSLLYNNCLNEKHCYTDEALMYWATFFLVHLGGPDNITAFSTVDNELWLRDLFSISSKCITVAYAIYESVRTSSKVRLVSDMNKVDLSKTEVLQYALVYSTAFKGLVVDLSVSISQRNQSREFFLQRSIEQAFRLVELELDYLYDVLFTKILVLHHKVGLSCRILSFIAVVSSFVLFYFDFVASPLPPSDIATPEFHKIDIVVTCILFIGAILMEVIAFFMLLFCDWTVVKLSPLSDANSNRQSWKDKLLACILSYKHTISAFFHHCLYLVGIENQREIRITERWWANSFSAFNLIYHCLHGGRQNIYPRLVKFLMEFLYAEPYALSGHLATFIFDQLKMKSKKAESLAIAKQIYSSRGEWVLDELEDGCQAFLPYVAKYDYEDILLLWHIATEVCYNDNQDKVTNQEQRRTAKDLSDYMLYLMVMKPDMMYGVSSNGEIKFRETCTEVSKFFDTELPELKNRQFSYTYRGEREEALQKIACQRILFHETEAEHVTVCRDVPTLFTASELAKELNKLPSEEKWLLISKLWVELLSYAATHIRSSAHAEQLSKGGELITVVWLLMAHFGLGDH >KZN03144 pep chromosome:ASM162521v1:3:41711492:41715616:1 gene:DCAR_011900 transcript:KZN03144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKVFTNDGSVDIHGQPALAATSGKWKACSFLLGYEVFERMAFYGVASNLVVYLTTQLHEDTVTSVRNVNNWSGSVWITPILGAYIADSYLGRFWTFTISSLIYVLGMGLLTAAVSFKSLKPSCENGVCQKATTMQTIFFYSSLYIIALGAGGTKPNISTFGADQFDDFDSKEKRLKDSFFNWWLFSSFTGGLFATVGLVYIQENCGWGLGYGIPTIGLIVSLIIFYAGTPLYRHKLRNGNSPTGDLIRVYVTAFAKRKYELPRNLSGLHELEPQQYLNTGKRQIYHTPDFRFLDKAAIEKDGDNNIGTKPPCTVTEVEGGKIVLRMMIIWIATLIPSTIWAQINTLFIKQGTTLDRHMGSGNFQIPAASLGSFVTISMLVSMPIYDKIFVPFMQKRTGHPQGITLLQRLGIGFVFQIVAIGVSYFVEAKRIHRIKMYHVLGPKDMVPMSIFWLMPQYVLLGVGDVFNAVGLIEFFYDQSPVDMQSLGTAFFTSALGAGNFLNSFLVTMVDKVTGSHGGKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKSWIGNNINDSHLDYYYGFLLVLSTLNLGAFVWVASKYIYKREYCREGQEGSFEMKENT >KZN03631 pep chromosome:ASM162521v1:3:46463307:46464601:-1 gene:DCAR_012387 transcript:KZN03631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTPAVNNDPETLNFQTWVLKVYIHCEGCKKKVKKVLQSIEGVYMTVVDSEEHKVTVTGNVDGQQLIKKLLKSGKQAELLPQVSQQVEKSPNKSKKNKPQGQPKLNPDNKPNNSGGKPESPGQEGASTKKETLNGSGQDPEENPEPGNAEEGLVENGVGGNGNGGGKKKKKGQNGNNTANAGGNGNNGASNALGGVGAPKSAPGMMNPVPQPPPMGPINIGPPIEQLYPYPINPYPVQPPMYGLSYNTTYPTTSSSYYAPPMYDYTYSRSYPYPYHALPYPDQAKPYTPNGYDQEEDQSACSIM >KZN03582 pep chromosome:ASM162521v1:3:46017617:46018465:1 gene:DCAR_012338 transcript:KZN03582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNIWQHNEKKTMDSTALKRHPFRIITTALLSLLLPLSFLHLARLTTARYLLDIDSNSAPASLSLLPYLFLHTNLTLLHGLVSIVCVLSLVHGLSSARIMPLGLPLELILPSRLNTVCIFLCTLQVMVGLGMEGGVESGAEGFNFGYERNLLSKLLFFIGLHETMLYWSRKVVKPVVDDAFFGSRREERWIERMIMAASLSTLWWWRLKDEVESLVVVAEVKTELLISVSLTDFLSWWLYYLTVTTGIVRVIKSLLWVGFVLVSSKVENIANDFCVNEEVV >KZN03406 pep chromosome:ASM162521v1:3:44328973:44329581:1 gene:DCAR_012162 transcript:KZN03406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLVRLLLGKIIVETPKYELLKSTSNYEIRKYLPAVVAQVTYNPAQFDGDKDGGFKLLANYIGVFGNPLNKAPQKIAMTTPVITKASEKIQMTTPVVTKSSGGEDEIVMQFILPSKYTKAEDAPEPVDERVVIREERERKFGVVKFGGTATDEVVEEKVKDLKECLEKDGVRVIGEHLLARFNPTWTIARFKTNEVMIPVE >KZN01153 pep chromosome:ASM162521v1:3:12272655:12272834:1 gene:DCAR_009907 transcript:KZN01153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIANNVDAAKALLQINKPLLTPLLSNRALPSFLILSAESWSQFFCILVYVITLSLYT >KZN02168 pep chromosome:ASM162521v1:3:30415002:30415892:1 gene:DCAR_010922 transcript:KZN02168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFANVHKVFGASNVAKLLNDLNAAQREDAVNSLAYEADARLRDPVYGCVGLISILQHKLKQVQVDLFNAKKELASYIGPSAMLPILQHPGLIQQHPSNPQNVLGYNNMQPMLGLSMNQPHGAMPLMIRDPQQQQMLEAQHLAAAEREQAEIMRTYNEQQQPPPDQHPRFNNGFDTSGQTAASGFNQTIADGSTMSLVLGSYENQYGHHPIQQQPQEPHIHHNQVLQIQPQLLLQQQQQIAPPQAVTQHRDGSEEGRSGGPSC >KZN03088 pep chromosome:ASM162521v1:3:41141565:41142771:1 gene:DCAR_011844 transcript:KZN03088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKSIINKEGPNRHALKRGTPIMGGLLFVPIGVIVAEVIVGFSSIEVSAVAVATLSFAAIGLLDDSLSLINKHNGGLSSWTRIVLEGASIEKAPMDRDFSRNHDDEDVAEEADQFPL >KZN03014 pep chromosome:ASM162521v1:3:40162450:40165680:-1 gene:DCAR_011770 transcript:KZN03014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSNISHHLNINDQEHHKSNASSSEIVGVSDNNRESSASQCSADAVDLERGVLGNNKEVHLKSDVAERDCRICHMSLDSSNQESGGSIELGCACKDDLAAAHKHCAEAWFKIKGNKTCEICGSVARNVAGPNEVELLEQWNEANDAATASTSAPLSETRSFWQGHRFLNFLLGCMVFAFVISWLFHFNVPSRD >KZN03338 pep chromosome:ASM162521v1:3:43784355:43787610:-1 gene:DCAR_012094 transcript:KZN03338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNKITLFLCIAAAFLSLLNPSSARIPGVFTGGAWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCDSDPKWCHPGSPSILVTATNFCPPNFAQASDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVACRKRGGMRFTINGFSYFNLVLVTNVAGAGDIQRVLIKGTKTPWMPMSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSYNIAPPHWQFGQTFMGKNFRV >KZN00613 pep chromosome:ASM162521v1:3:6942577:6943472:-1 gene:DCAR_009367 transcript:KZN00613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQKVVLKLLTMTDEKTKQKAIEAAADIYAHQIESSQKPKFYVVRSMYILFSFAIWDLIFTYKYKTLTKEKNTGEKSIIYGYKTVANEKNTVEKSISVTGG >KZN01258 pep chromosome:ASM162521v1:3:13768204:13770342:-1 gene:DCAR_010012 transcript:KZN01258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMWFSLKRSLHCKSEASEVHDPKTKKQLSNILTRKSRRSGCSRSIANLKDVIHGSKRHWETPVNCSPRSIGSSEFLNPITHEVILSNSKTELHISGFGGGFQEDGGGVSSGNSTYVGTLRPGTPGPGGHPAMHYFNSSTKNPAATPPRKSALLTEREGTRFGGVSNSRGGNGIPSEARLTVEAGDDGSSCSVTCHKCGEQFGKMEALEAHHLSKHAVTELVEGDSSRKIVEIICRSSWLKSENHCGRIEKVFKVHNMQKMLARFEEYREMVKLKASKLQKKHPRCLADGNELLRFYGTTVSCSLGINGCCSLCLSDKCCVCRIIRNGSSNKKELKGGIGVFTTSTSQKALESIEMDENDHTMRKVLIVCRVIAGRVHRPLENIQEMAGQSGFDSLAGKIGLHANIEELYLLNPRALLPCFVLAAVVDELLYAVPHLTLPLDSCMDIGAYSN >KZN02640 pep chromosome:ASM162521v1:3:35444741:35449274:1 gene:DCAR_011394 transcript:KZN02640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLTLSVGFFLGVLVIISLEFLGLYFLVVRLSKKKVKDEETKHTSREVHGDHLDLSYPSSCFIDSYFTIHQGPVWILDLEKVPKNWISDKGSREQKRKIDVLEVNPVRKYANLKDQALKITEPDGSSTVIQLKNCSIAAVSATNLPSRKWAKKYPIKVETETSAIYNGSKSLYIYLETSVEKESWSKALRISSCSTNKKLKSFVQLRTDFQNYLTALNTEHPSLMKPTTGFNAESIEKSIKIDGSSSKVRHFLKKLAKKTSKSGSDYKANSELGRGGKNVNEKSRLLQESAAKFFVKPTAVGKVLNSLDENAEAASITRAGSTSSVSVISEADSDDRLFSDEGTLCWNLLLSRLFFDAKSNSRIKSFAQARIQRSLSNIRTPSYIGEVMCTGVHLGNLPPYIHGMRVLPSDMNDIMALELDIEYRGGAVLDIETRVEVCGIDISENMTSNLDPTSVDEVTSDLLEGIEHYGNQFKLSKGEADKTEARNEGNPKFGSSMICFFRLL >KZN01712 pep chromosome:ASM162521v1:3:23671630:23671929:-1 gene:DCAR_010466 transcript:KZN01712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRKRPGNGSNDGVAILEQIRADQLESRKERNEQLNKMIQLAKESNEREKRREAKEQDEIDAKIMAMDTSSMGAIEVEYFNLRKQEIIERKRAQLAQ >KZN01014 pep chromosome:ASM162521v1:3:10809560:10810471:-1 gene:DCAR_009768 transcript:KZN01014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNAGEIVQVEGGHILRATGRKDRHSKVYTAKGPRDRRVRLAAHTAIQFYDVQDRLGYDRPSKAVDWLMNKAKNAIDKLEELPPWNPMDTTTVLNAGQNPNELSLQQHQQSQHYLFQDQLDDGPINDTMKSFFPTSSGMNYQNYPSGSVQNNPQDLCLSLQSLQDLNRSVHSTPTPANDHPSLYNPGPSEANFQRMVSWDAEMKPAEGYSFYPHTMPQPQMISVNSTPFTQRESLQSSFSPYVRAWNDLPFPVSDHNNTQANDHFSMSSASSQFGSRKISSFQVPARIHGEDHEDPSSASDN >KZN01604 pep chromosome:ASM162521v1:3:21613588:21625859:1 gene:DCAR_010358 transcript:KZN01604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRSLIRESRSKESAKESLLYNVFNYDISMMKVQDKTVEGPSTRSSADDFVGKSHSQSPPRCQNVYEMTELHFKKRKAADELLKSKNRTPFLFDVFNYETTMMKNRDQSEKENRAPLTNDRNVLHDVSKKNIGTKKPRVQGLRESKKSELRDNVQSPHVHHSTFPEHGKTKFTAASPENTPRSILCDILNSSAGSSTSVQGTVNKKCFESTPQTLNFDMGSSNQENAHTMDEAEFSRTEEHVDDPSEIYEHDDFLDGTETWSKDRLGKTSKDIVGVIVGKPTRSEYKTDGVDRSNVKFTLTDGSSYVGVTFFNEFGESLLKALEQKLKDPVIIIIASAKIGKWNEEVNLTNYPATRFYLNCTHYSVSTIRRSLDDSTFYLTADIEEIENEVPNLTVKELRGVKADYIQKIVSVKMTVKKIDKTMSWYCNYCITCDVDLKLENNRFKCPKCGKMKPYPDRRYEFSMLCSDGTGTIPIMWTDDELTRYTGKTVYDILGDESQVGDGDKFPPILQQFEKKCYTFTVRVSKENVLEGSNVYTAEKVSNPEEISATHDPEGNISLANKPPEILEEKALDKTNTPPHDKISLPIKPTEISQTPMVETDTPPTGQSSNKARARKVTAVVGYDVPQKSPKPKLKNPKLEKNVRMQAFVPLFLLEKLQKMFTVGKMYTITNFQVKNYTELDKWRCVSIDKQIQFTNQTRGKEMDEKEYFIPQNCFEFCDLGDMKSLANQTTYLADVVGVVTRRDDLKLVHTKQGVDKYQLRMIITDGSHYLNVTLWGDLAECFHQEVSSSTFEEPLIIIIAAGKVGIFQDEYDLCNFNPTAYYINYNHHSVAHLRKMSTDPNFKKEHIRIIQTKKEPKLMSIQEIKQLGEDYIEEEVICQVRINTVHESNSWFYAECTTCYKQIDKVEGKFRCKNCNRIVPYPDKKFGICITATDTTGDIDILLMDRPIRKLFGKTVFQMEDEEKGQFPAALKTMEKDDYTIKLEIREFNIKDKEELYVANDLYRGLEMHTAVRLPTCSVQQPTEVSVAQSSGNSIHLDNISMT >KZN01061 pep chromosome:ASM162521v1:3:11261689:11268492:1 gene:DCAR_009815 transcript:KZN01061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDLQNPAEEKFWHLNKNMIGDDKVVIGEERGHVRLITLNRPRQLNVISSKVVSLLAQFLEKWEKDDDAELVIIKAIVVLLQGAGRAFCAGGDLTMFYAGRTSKDACLEVVYRMYWLCFHIHSYKKTQVALVNGISMGGGASFMVPMTFSVVTEKVVFATPETSIGFHTECGFSYMLSHLPGRLGEYLALTGARLNGKELVAIGFATHFVPSEKINELEQHLLRINSGDEQAVKAGIEDFSVNVQLDDDSILNKQSIIDECFSKISVEEIIKSLETESSKEGNAWINSVLAGLKKSSPLALKITLKSIREGRNQTLSESLRKEFRVTMNILRTAVSGDMYEGIRALAIDKDNAPKWDPPSLDKVDDEKVDFVFQPFQEELELAIPEMEDCRTLTTSLDRYNTSASKPPSNSFEQDSPMASTRKFMS >KZN02474 pep chromosome:ASM162521v1:3:33753910:33754347:-1 gene:DCAR_011228 transcript:KZN02474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVSAHVVSSKPVSLAAASRILSNFVSMENGASHAVSVYLRRAATSFANLNQFHKDLKSSSSTKSLKKHSQIIAFDFGQDETEIKGEGNEVEEIEGNDGIEVEKSEVNKKKKKRKGEEIEGNFSGESAEQSGLSKKKRRKTEGD >KZN01654 pep chromosome:ASM162521v1:3:22630780:22635689:-1 gene:DCAR_010408 transcript:KZN01654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIKYDKFNTLNDSKTEWRIRVRAQSIWKGITRSTGEFRDVLGVIHDVPERINYTKGTDEKSHVQFTITDGKLKAEDFYQMDVEEEVIVAVPIIKVTELRNLKPDFDKDQVCCQVKLNKIDEKRNWFSEHCTGCGAMVKFVDDEYKCSGTNCGRVIPWPDKRFSLYTLCSDSTGTIPIIWPNNEIVRLTGKTVYDVEVDEEQVGDASKFPPLLKNLEKKNYQITIHLTKSNIKEGCGVFNATTITEALESSATHSPAANGVPQVTETVLPNEIHATPGKESNVQLNSPPTITSTNKSRPRNTDEVVKYNSGKPGSQPKLKSIKIEKVEHSQRQQTNLKMSSVVCDDLRDLKAGRTDWQIKVRIIRQWRGITVLGEPFKGYNYMFLDAKEGKFTELPERNGKPHCSFRFKISDGRLNQPQFSHLTSTTKPKTYKLCTIDQIKKLNAQYIDVRIRNEDEVLCKANLKFVEETEDWKQYDCTSCYSDCSKLDGRNHRCSECNRIVADPLERFKISAVISDDTGGLQVTLFDREVRTLLGKTVQQVQTKDNSFHKIIKNIQGKNCTFQLLIAKENIDNNDSVYCATNIALGFDVILPTVQEQAQETQSDIQTAQPSGSSYHLDNISQLDYEQASN >KZN01686 pep chromosome:ASM162521v1:3:23156901:23161885:1 gene:DCAR_010440 transcript:KZN01686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARAWFSSSCIHNTYICMHPHDALVLAANNNFKLQTRRRSSTSSINSTNTFTWDDVTRISQSQPLNLHAFLHKVKLCNRGAENIDDFIPFVIQNNVVGYVHEGFAGHLRKFPDVFIFPEDDSQAIHFGDHVRLHPALTTPEDRTYAVGDVIECLGEEMIPGIRNELYPVMSSFGTQAFFSLERAAAPYFGIKAYGVHMNGFVEKDGQKYLWIGKRDESKSTYPGMLDHLVAGGLPYGISCGDNIVKECEEEAGIVKSIASQAIPVGVVSYMDIDAYRYKRDVLFCYDLKLPESFVPKNQDGEVGSFRLIPVQLVANVIQKTEFFKPNCNLVIIDFLFRHGYLKPEDSGYLELLHSLRKVA >KZN01878 pep chromosome:ASM162521v1:3:26495604:26497881:1 gene:DCAR_010632 transcript:KZN01878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAKNLGASVSVINNETGGPSFNLKIFNPYGVEINYNIVKEAVTEKDVGRSNMNLSDIELDRLCGTLSFNVYNSGEGICDVVLGKRLLRNTDLYEVLKKDKWEILGICEEMQSVRLSFKNLTWLVKLIWRDGKLFMDRGWYRFVTAGKLYKGDVVVFRKTEYYGKLVITVFENDLQSKCNVAGVGQRDGVMEWFKIANPTFVCTGEMEIPRVFTQLTHLNLDSTVKLILRDGETVAVNFCRHRNILFGMRKLVRLYSIEPTDVMVFTFIRDSIFVLSIFKFDGMESKYIAVEVCKSEAMNNVRIEDIIVLSDSDQDEDGMGGDGKENVNEPEDNIGLEPAVLQNEILGFSVTLKPSHVDRRQHGVYIPSSMYSTYKNWTNMTNIRLICGAKVMFISVLRKQKVCRFGKGWSEFTVANALAEGDKLELTYVDDLTFQVEVV >KZN02648 pep chromosome:ASM162521v1:3:35518502:35529809:1 gene:DCAR_011402 transcript:KZN02648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVTPPNCFDQSTYPDFYFRITKSEDQTQLKAKFKRMCKFLESSCFLINASYIGQLQNYFTEGETYDVNNFVVRRYADMQHGRCFKNDIYIQLNNLTEVMVTGGVDYIQQHVFDFTDLDSLYTAAQEQKYLIDVVGILEQAGPLTHFRNRLGQEEQCVEFRITDMFTSARAVFYNEMAEEFHQAIQQANQHPIVVIISSCKPQMFSQEPNVTNFQATRFFINPNHEAVDDLRNALSDGGRPSSGNSIFIGGLVLGGIIIGALGAVYAPQQSILVLIDGYLDPGEFVNKHQLSTGDLMLVYEDRESKEYVIEARKTLSKSLNDSPEKKILKNHSNKASTQGQALDVLLCGGCAPNTGAK >KZN01332 pep chromosome:ASM162521v1:3:14562818:14565468:-1 gene:DCAR_010086 transcript:KZN01332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPTYDLAMSESLPTTGQLSPGSDSEGNDQDQNGSSRIVLPAPRVVGITERPENKDHPWYISSQLPTDLLIQVQGVTFAVHKSDLGYNMKLENFPGGSETFETILKFCYGFPVGLTPNNVAALRCAAEYLEMTEELEDGNMIYKTEAFLTFVVLSSWRDSITVLKSCESLSPWSQNIQLVRRCRDSIAQKASRENPTTGDIVTDESWWFDDVATLCIDHFMRIITAVRANGTKPETVGSCIMKYAEKCMDQEIGVRRYGSGKTELQWNISSGGMKGGTGHHKEHKLVIESLISILPDQNQGVSCKFLLWMLKMATIYLVSPALIFELEKRVGMTLENANVDDLLIPSYTIGDQGIPVYNPCFTEDYTAHNISVVQRILEHFLMHEHQQQKPVKPGISKLLDNYLAEIARDPNLSVSKFQALAETLPEYARTCDDGLYRAIDIYLKSHPKLSEHEQRRLCKVMNCEKLSLDACMHAAQNDRLPIRSVIQVLFAEQVKIRAAMQGKEQAGSGENIDQEHSWLSTTTEITNLRVELEKVKSQMAELQRDYSELQQDYNKLHSKHRSSPTWTFGWKKIRKSSFFHKKSDNEESEDAQHNSSPDNSRARFHRRKSIS >KZN01317 pep chromosome:ASM162521v1:3:14390145:14393586:1 gene:DCAR_010071 transcript:KZN01317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPPQSSKQQKNQIHIDPDNLLTLFKSQQNHLNYFFQNLDLSQTLTFTQTLLNSNGTIFFTGIGKSGFVAQKISQTLVSLGIRAGFLPPVDALHGDIGILCDKDILVLFSKSGNTEELLKLVPCAKAKGVYLISVTSLQGNALMGVCDLNVFLPLERELCPFDLAPVTSTAIQMVFGDTVAIAMMGARNLSRDEYAANHPAGRIGKSLIFKVKDVMKKQDELPICKEGDLIMDQLVELTSKGCGCLLVITNEYNLIGTFTDGDLRRTLKASKEAIFKMTVGEMCNRNPRTIGPEFMAIEAMQKMESPPSPVQFLPVINQQNIVIGIVTLHGLVSAGL >KZN00410 pep chromosome:ASM162521v1:3:5107757:5107996:1 gene:DCAR_009164 transcript:KZN00410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGITDLRDFHDADSMIKVCMVMHLWSIAQNNIESITACIYLFKKSLGCLPKKEGFNGDMILRLFNFDYICRCRMAFHY >KZN01283 pep chromosome:ASM162521v1:3:14106029:14109422:-1 gene:DCAR_010037 transcript:KZN01283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRVFGVAAKKTMSKKDGLDSSSPWEACNDSWELKAVGDDDDVWDKFLPWDYGEILAREGVSPGVITKKQAYLLLFGSPFLLDQGKLSFVLNKGGGEKCCMLSARELSIAWKDTPYFWRWTTLPESRFSEVAELQCVCWLEIRGKIATTMLSDKTNYSAYLVFKAKAECFGLEFAAESVVKFVDSEEREVSAVHLVSPRGTDGGARYYIGHAERQVPQENAQLSFERRDGWMEIKLGEFYNDCGNDNDGEVEAALMETKAQNWKGGLFVEGIEFRPKGMQHCSVSPCTTHHLVKNSTHAGAWDVAIASPASPYDTISLPKSKICFDTVGLPKQGGKVQNLSSFQYNWPSQRRILPYYVSV >KZN01674 pep chromosome:ASM162521v1:3:22863792:22867604:-1 gene:DCAR_010428 transcript:KZN01674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARREKRARRENFSNFQNSNSEFAGRRGDEGWLAQQQIGVQSEGGTGSRVGKSAGDNKSGSRDVRVAQKDSQAERNITDKQSQRVFEHWFLLENEMVDAIRKGNSKLIRTALVRVDYLLKVSPPTLITECLQGDEEALWGLHRFLHNNGWWVKAMNLKLQDVDLAEMANLDTEKITREFIWANRHLVHPNTLIQDLTRDNDAVRMALNQIHYGSIRARRCSLKSGKKPVEETPAISTEEKLIPDTGNFCLKVATINFIFANKSVVDKTTIQGVVYNSDKAISDALLQIHEASLKGATKSGQSYKESLLASPAKLHPPPPKRVNSRPISKEGGGAGKGKKRDIFFTGFKDDISYVDLWRDFKKLGRIKDVILPVNKDRSRRKYGFIKMFSPQEAQIFLQKAKDLFIQGSKISCDWANNSRKPQSGRGLKNSPKVSKDNPIPDEKDKEQLAHMGALPTDPQAESVKEWMERISRSVRIEVAMDYAPDSMSELLVAAGYFHLDVLKLGPLVFILTCNDEDCKTTLDLSNSGLDILSIRDVTIGDFILPRLTGIRLQGLPVCAYSDSILEGIVSRWGSLISKGVSCIRNQQVVNPQICISTSVFQEISESIEVQVLGTTYNVVVMEEKWVDPCSFDPHLHSPRMVAQSSSSAGAPSRSWEHDELSTHSVQAGIQTFEDVLSNHSIQSHVSVHSSYSNQTEGSYSPQGNHPQNDSQYREMTPQDPSISDHISQMGMESDSELRRCDPIVEEIDYRNLRXPIPDEKDKEQLAHMGALPTDPQAESVKEWMERISRSVRIEVAMDYAPDSMSELLVAAGYFHLDVLKLGPLVFILTCNDEDCKTTLDLSNSGLDILSIRDVTIGDFILPRLTGIRLQGLPVCAYSDSILEGIVSRWGSLISKGVSCIRNQQVVNPQICISTSVFQEISESIEVQVLGTTYNVVVMEEKWVDPCSFDPHLHSPRMVAQSSSSAGAPTRSWEHDELSTHSVQAGIQTFEDVLSNHSIQSHVSVHSSYSNQTEGSYSPQGNHPQNDSRYREMTPQDPSISDHISQLGMESESELRRCDPIVEEIDYRNLRVQNWNIEVFPFEFNEPGGKMDVFSNGGDGSHDDEGEVKNTGKMDNWSVRDINFSEDESGKGSVESFNSCQEAESTLDPLFEDQGSTANFLSRLKIKGRGGRSRKVRSLNFFDFKLRGSKKKYKPAQWIPGLYVRPWNYSGKVEKKSRRNIKSGAQTSILFSEPLSSAEEIWNLGTTVGLRPLMPKPRMLDLITERLCQ >KZN01891 pep chromosome:ASM162521v1:3:26620833:26625680:-1 gene:DCAR_010645 transcript:KZN01891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRSIQSSFANPSFGSLQSRKSVGFASSVFVANNVRTSQRASVRISAPVNTTRAALQVEDLPKKIEKVVDTSVSMWSEPTVKRKTKIVCTIGPSTDTREMIWKLAEAGMNVARMNMSHGDHASHQKVIDLVKEYNNEHKDNGPEVRSGDLPQPVILVPGQEFTFTIKRGVGTTDCVSVNYDDFVNDVDAGDMLLVDGGMMSLVVKSKDEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAEVVHELKAYLKSCGADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPVEEVPSLQEEIIRLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVKEGSDAVMLSGETAHGKFPLKAVQVMHAVSLRTEATIVGGEAPPNLGKAFKNHTSEMFAFHATMMSNTLKTSIVVFTRTGSMAILLSHYRPSGTIFAFTNEKTVQQRLALYQGVTPIYMPFSDDAEKTFADASTFLKEQGMVKEGEQVALVQSGKQPIWRLQSTHNIQIRKV >KZN03008 pep chromosome:ASM162521v1:3:40119409:40120415:1 gene:DCAR_011764 transcript:KZN03008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLWNFLCKPLLTSSNPEPINGVLTYDVTVDSSRDLWFRVYVPTTTTASLPVIIYFHGGGFVLCSPHIKFYDDVCRRLAAGVLAIVVSVNYRLAPEHKYRAQHIDGFDVLKFIDAKKQILPENADLARCFLAGDSAGGNIAHHVAKSVCESCLVLIKVKGLVAIQPFFGGEERTVSDERIIRPNVVSLELLDQFWRSWLPAGKECNRDHKAVNVTGPQAADLSRLDFPPTIVFVGGLDTLQDWQRRYFNWLRNAGIEVDLVEYPSAIHCFFYFTELPESHQLITDIRQFVCKQESN >KZN03816 pep chromosome:ASM162521v1:3:48441674:48443195:1 gene:DCAR_012572 transcript:KZN03816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNTFTGNIPPEIGVLPSCLRKCSTLSRVRLDKNQFSGNVSEAFGFHPYLVFISLSGNKFTGELSSKWGKYESLANMEMSQNKISGAIPAELGNLKNLQILQLESNELTGQIPNEMGNLIQLLKFNLSRNHLTGDIPKSLGKLSKLNYFDLSSNKLKGSIPKDLGNCESLLSLNLSQNSFSEVIPSELGNLVQLQITLDLSSNSLSGTIPSNLAKQKVLQNLNLSHNQLSVEKVFNGNPLLCGDAKGLSPCPDLSFKSSKSSKKSIIGGSVAGRRSIPRTLSH >KZM99962 pep chromosome:ASM162521v1:3:498922:499620:-1 gene:DCAR_008717 transcript:KZM99962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWEGNDNNSCRSNGSRDIFEGGELLEALEPFIRSSSPSTPFSWSFPCFPLQTCTNVSVLHQEDCSAPNSHLFPQGYSVQTHDQVLHQEDCSAPDSHLFPKRYSGSVQTHDQVGIDQPGSFGLQRISTPENHQIPAQVDHQYQQQQIQVGSGSGSGSGSGSASAVKPKNQYKGVRQRHWGRWVAEIRLPKNRTRVWLGTYDTAEEAAMAYDIATILTIIPTLLTTTGFAQL >KZN03813 pep chromosome:ASM162521v1:3:48408315:48410622:-1 gene:DCAR_012569 transcript:KZN03813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVVEESIGVDNREQDYTQDGTVDLKGRPVLRSKTGRWRATSFIVGYEIFERMAYYGIATNLVLYLTRELHEGTVASSNNVTNWVGTVWMTPLIGAYIADAHLGRYWTFIIASGIYLVGMCLLTLVVSLPSLRPPTCGHGVKEVDCLKRASPFQVGIFYTALYIIAVGTGGTKPNISTMGAEQFDDFEPNERKHRLSFFNWWMFSIFFGTLFSNTFLVYIQDNVGWALGYGLPTVGLFLSVIMFIVGTRYYRHRTPTGSPLTKIARVLVASARKWNVVIPNDPKELHELNFDEYSKPGKQRIDHTASLRVLDKAAVANGLSTPWMLCPVTHVEETKQMVKMVPILLATIIPSTMLAQAGTFFIKQGATLVRSMGPHFEIPPACLTAFITIFMLITIVVYDRVFVPVVRRYTKNPRGITMLQRMGTGLVLHIIVMITAAICERKRLQVAKENGITEKNQIVPLSIFILLPQFALMGVADNFLEVAKLELFYDQAPESMKSLGTAYFSTSIGLGFFLSSGILSTVASVTKRNGREGWILNNLNKSRLDNYYIFFAVLSFINFLFFLVVAKYFVYNKETSEYSSTELEKVVDSS >KZN01534 pep chromosome:ASM162521v1:3:19158519:19160922:1 gene:DCAR_010288 transcript:KZN01534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKVETISRLVQWKIETFGPCTFKKSDPFKMGPWTWNLSVEKNRHMYIRLFPEPTRIAKEQPPIARFILRVTTNNGLNRRPYISPIHERLLRTSEDFVWPVDSSFYGRFMIDVEFLDLKVCPTNGGEATSIWPCGGQTLSLATQSTLRCLSRMLNESIYADVTIKTNDGTLWAHKAILSASSPVFHSMFLENPEEESSTVNIEDMAVDSCTALLSYLYGTINQEDFWKHRLSLLGAANKYDIIDLKDLCEESLMEDINCGNVLERLQEACLYKLDKLKKGCMTYLFDFGKIYDVRDELGVFFKHAERELMVDMFQEVLAAWKLA >KZN02292 pep chromosome:ASM162521v1:3:31742521:31746301:-1 gene:DCAR_011046 transcript:KZN02292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSGKISGQVTPVKTPKPNKSKSPKVSENSDPNFTSPNSKLSKSAPPKSAKKTQKSAVKNPNPLALPTKIRDRKFVVAKKNIKKEKLRPSEVQCKCKVSCNLQKCPTVAYEILRVSQEGFFKIRGNVDDCSDKDLEIVDDCLGKNGVGDGVEGEVISTNVKRMRDRFEGVEKSVSNVAEPGSGKVMNLVKAFEQLLTMPKSKELDEKSENKLEGDVKGMGLGEKDLAVQVSSYSFCQSDFPLTSESLGLDPWVRSSLDSCQGSFTLSSRNSGGRRSRRNSSESSGTLGGRHWKKRHHRVTSQRPFNLRTEQRGRSKEEEFIKKVQERMIEEEKQRIPVAQGLPWTTDEPECLVKPPVKESTRPVDLVLHSDVRAVERADFDHQVAEKMSYIEQFKMERERQQKLAEEEEIKRLRRSELIPIAQPMPYFDRPFIPRRSMKDPTIPKEPKFHHLPQHKKIKHYKSRSAAE >KZN03820 pep chromosome:ASM162521v1:3:48543851:48544591:1 gene:DCAR_012576 transcript:KZN03820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLILNWVDLGNTNLSGVLVLDLGKLQYIQYLRLSNNRLVGRIPRELVNVSTLKVV >KZN02909 pep chromosome:ASM162521v1:3:38869485:38872292:1 gene:DCAR_011665 transcript:KZN02909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGRAGEAKIPLLDYASTKEIIQGGRKDEDEIKIQDKVWIESKKLWRVAGPAIFSRIASFSMFVITQAFAGHLGDLELAAISISTNVILGFDFGLMLGMASALETLCGQAFGAKDYRLLGIYLQRSFIVLFIVSLLLLPVFFLASPLLKLLGQPDDVAELSGTVSMCLIPLHFSFVFQFPLQRFLQSQLKNIVIAWVSLGTLILHVLLTWLIVYKFQLGIIGTALSMNISWGIIPIALFIYTSCGGCPQSWNGFSVEAFSGLWEFLKLSASSGVMLCLENWYYRILIVMTGNLENAKIAVDALSICMSINGWELMIPLAFFAATGVRVSNELGAGNGKGAKFATIVAVATSTMIGLMFWLLIMIFHNELALIFSSSEEVLAAVNKLSILLAFTILLNSVQPILSGVAVGSGWQSYVAYINLGCYYLIGLPLGIAMGWIFDQGVMLSSPSDATGKKR >KZN01801 pep chromosome:ASM162521v1:3:25317240:25317443:-1 gene:DCAR_010555 transcript:KZN01801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQAGKWNRNEEHRRTLKIRRARFPTPPHKPITKNDSIRANKQYGIISICPDFLESKPPIFNWKHS >KZN02885 pep chromosome:ASM162521v1:3:38599201:38599483:1 gene:DCAR_011641 transcript:KZN02885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSIDLSSVISPVQRRRSRCPVIQSTSGVRSSHQYPETPYRLDASPDGIYFFKDQGKMYA >KZN03787 pep chromosome:ASM162521v1:3:48152721:48153401:1 gene:DCAR_012543 transcript:KZN03787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTFTRFSPMDYSPQSSFGSQPESFVSYGTDFDLSDLPFDFNDSEEMLLFGILSGTPPQNNNSCVVKEEEVSSSSGSGSGSSLKLEEAKKEKAYRGVRRRPWGKFAAEIRDSTRNGIRVWLGTFDDAETAAMAYDQAAFSMRGSMAILNFPVERVKESLKEMKCGLEEGCSPVVALKKKHSMRRKSVSRKIKKKDNQMENVVVLEDLGAEYLEELLSSSESVGFW >KZN00403 pep chromosome:ASM162521v1:3:5026894:5029804:-1 gene:DCAR_009157 transcript:KZN00403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQKMGSIKLIGCLWHSIGSHSHLNHLLDTLHHRSLLQASIVVGFQLGYTVIFGWYASFLFVRTGLITVASIAGLLGFVWLLFPFTYPYLYNYEIDSCECWHRYCTWQ >KZN00733 pep chromosome:ASM162521v1:3:7999167:7999947:1 gene:DCAR_009487 transcript:KZN00733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FSNI-1 description:Flavone synthase I MDWREFIVCLTYPISGRDYSRWPDKPERWRSITEDYSEKLQVLGAKLLEVLSEAMGLEKEAIAEACVNMEQKMIINYYPPCPEPDLTLGCRRHTDPGSITLLLQDQVGGLQATRDGGKTWITVKPVEGAFVVNLGDYGHYLSNGRFKSADHQAVVNSTYTRMSIATLQYPAPDAIVYPLKIREGEKPIVDEAITFAEMYKRNMSKPGEEAALRKLAKEKRLQEEKAKLEMKP >KZN01576 pep chromosome:ASM162521v1:3:20895416:20896417:1 gene:DCAR_010330 transcript:KZN01576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIAALHSDIIETHLLAKFDGPSLVSAASTCHFLNTLCNKESLWEDICNSTWNSIKHPLVRHTISSFPAGYRSFYSDSFPLLRANIGQRMLRGHAHAHASELISAVDIHFENKPLYSKVIVNYTNTNTSAPCFPGSLFSVNLIECKETVEIPLEYQGNENACMSKLEEGLRLSWIVIDPALKRAANVSSLRPVSVRPYRDGIKVIYATFLSGDCCGIHTTELVECRIVTIFKCDEGKMLRLREVSLCVVDMVRTRLDRGRSLRILEAAMERADRKKENGDGKKMYGKYLEFKRKKKEEQKRRRDRIYVVLWVFQAIIWVFFAISLISLLYPA >KZN01136 pep chromosome:ASM162521v1:3:12098924:12100744:1 gene:DCAR_009890 transcript:KZN01136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQENCIRATRQATKRAASAMSVLASQQPFTKKRSVLGEISSNVVAAPKKSECGASKRVKKAVVTKKTDDAFGSSIDVDGDSNDPQMCAAYASDIYQYLRNMEIETKRRPLANYIEKVQKDVTANMRAILVDWLVEVALEYKLHSDTLYLTVSYIDRYLSVNVLNRKKLQLLGVSSMLIASKYEEINPPHVDDFCDITDNTYSREEVVKMEADVLKSLKFEMGNPTVKTFLRKYNSVAQETQKTPSLKLQFLGYYLAELSLLDYECIKFLPSLVAASVIFLAKITIDSKMHPWTSALQQYSGYRPSDLKECVLIIQDLQLRKRGSSLTAIREKYAHHELKNVSELTSPEIPVCFFADIKEYY >KZN00093 pep chromosome:ASM162521v1:3:1857003:1860013:1 gene:DCAR_008847 transcript:KZN00093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTNFQGAGIGFGFGVGCGFGVGWGFGGMPMNILGLGAGGGCGVGLGLGWGFGTGFGSQYRSSKLTFQGMEFNKNSVDQDKDLDITKSTFKAGSK >KZN00814 pep chromosome:ASM162521v1:3:8929489:8929875:-1 gene:DCAR_009568 transcript:KZN00814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWWWSVLGAAVPAVVGGQAWRMKNRRAEEQRLKSVRGREKSSDEIFVCERVCTSKRMLKKVGAFSKEPTPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDTCLKRCQSECLRLSSSASTTS >KZN00622 pep chromosome:ASM162521v1:3:6997092:6999633:1 gene:DCAR_009376 transcript:KZN00622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDLRSRKELRTKQVNHDAVIQLGHPIKSNKFNPSLSVELSWRPRVFLHKGFLSYEECDHLISLGHDKHFSSIDNLGKDETVARIEERISAWTFLPGENSKPLNILNFGPEDNKQKYDFFGNQSKLLLSEPTVATVILYLSNVSQGGQILFPESDDANSRSSHARNMIWSDCTKSSNAVRPIKGNAILFFNLHLNASPDKTSSHARCPVPEGEMWCATKFFYIKPVANVKAQSQEDNSDCSDEDDNCPKWAAIGECERNPVFMIGSPDYYGTCRKSCNAC >KZN02106 pep chromosome:ASM162521v1:3:29650026:29651734:-1 gene:DCAR_010860 transcript:KZN02106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKATTSTILLLLLFASLSHAAKVSIIKFEEDRIIRKSKWRREEEVKDIFEWWMKKHGKTYSSNGLEIEKKRRFEIFKDNLKFIDEHNSGNHSYRVGLNKFADLSNEEYRSIYLGTRTDAKRRFAKSQNGAISNRYAVKIGEDGMLPESVDWRKRGAVTPIKDQGSCVAAVEGINKIVTGELISLSEQELVDCDKSYNLGCSGGLMDYAFEFIVSNGGMDTEDDYPYRGVDLKCDPARKNSKVVSIDGYEDVPVNNEKALKKAVAHQPVSVAIEAAGRGLQLYTSGIFSGTCGTALDHGVVVVGYGREDGKDYWLVRNSWGTHWGENGYFKMERNVADTSAGKCGIAMQPSYPTKKAEAQGQARGEIRLGQVISSSYQSKEQYNNILPMLFI >KZN00482 pep chromosome:ASM162521v1:3:5712623:5713123:-1 gene:DCAR_009236 transcript:KZN00482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRSFLEFSIWGWDNLPRTLLMYYTNFLSSPEGYFHSVVCNHKDYQNTTVNHDLHYIRWDNPPKQHPISLTIEHFEGMIQSGAPFARKFEKDDPVLDKIDKEVLRRSSGWLTPGGWCAGNSAQGKDPCLVYGSSDAIRPTLNSKRLESLVLELLSSENFRAKQCK >KZN03608 pep chromosome:ASM162521v1:3:46278774:46281711:-1 gene:DCAR_012364 transcript:KZN03608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRHVVVSKAINSSLNPNFSSRHAFTHRFCYWGFKGLQRRMHSSLASEDDFSDLGPPVIQGVNTRLKLATEKPEFFRQSKDDSRNSTASKQDMKIIPRARDLLMSMDKLSRTSAKIEKSENTRPKTEKAENARLIVEKANGTHPTTKKPKNYSDVTSNNKCHSSIKNSSSISIENVPSAVNMSELLESLSTFGKVSSSSMENVASGLDRCFVKYENEESSSRAISAGNITIGSFDLPIRPLPVPESVTIRINDIGNNTSYTAVHSICKTIGELVGVVKATENSVDALFSLKDYSETQNILAKLNDKIVDNCKWSAHLLLNTSSPEEVSKNERIQLGLQVSNQLKMLKTEMSTRKVYAEDLEYMHMAIMHLEEQHHIGSTTN >KZN02856 pep chromosome:ASM162521v1:3:38087394:38093909:1 gene:DCAR_011612 transcript:KZN02856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADTSLSSSSSSSVSMTSPSWTQQEEAPPVISVVIGGESGNQDNSNAGGLKQVWGKPVNVNGSSGGAAVLSAAVATVDVSSRASEAPAAGVVMDTHSWPALSKAPPKSASSDSVPTSHSGSGMGSISSSKQVIGNTSSPNSNVNHVTNTRQRSIKRGTNNGGFIQPSSPQPQAPAVEVLPGKPGITTGESSSHKEGGPKGGFGNDHQPQRSNSFNRRGGGGQHPRGDASYHHSSGGRRDQDRGNQDWNHTQQSYGSRDNYMQQQRGAHRGYPRGPHASPFIAPQPLPVRPYPNHMVFPTDVPQPVFYVQSPESLRGVVPIMPQVAAHPMYYPPIPDPQLHVKIMNQIEYYFSNENLVKDTFLRRNMDEQGWVMNLTDNILLILNAVQASTVVEVQNDRIRKRNEWMKWIMPPGPFSPVSSPQARAKSGHDMLTGQFQGVTLDERSVHGHTDMFKKSLSGEFSSQLQHSGGEGDVHSGFEHKSSAGSLSK >KZN01645 pep chromosome:ASM162521v1:3:22345256:22346286:1 gene:DCAR_010399 transcript:KZN01645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKKWISEANAARGERGGSLVYSVADEAVETVQEDGETVMENGIGDNTPRPRSAPSTSLSLTKSSFFKAMLYKKGARRTSRLMNFRPFKPKKWPKIFNKQFHAKVDAQPIMNFQETSFDVMKRLKRLMKDSIMEVRIDYDKDKNAEVYKLFHHALKSVVKHTTVDKEFKIHRSKDTRSIYFFELERMIEILKDDDTVPPKEEKTGVAPDDEVVDLTGDDDEEQAQQPHDIESTRQAWK >KZN00851 pep chromosome:ASM162521v1:3:9249581:9249883:-1 gene:DCAR_009605 transcript:KZN00851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKMKVKKGWIAVQVGLEEENERPTKFVIPISYLYSPIFQQLLDRAHEVYGYHISGPLKLPCSVDEFIHLRWRIEKEGNKNYRKHHHHHLSSSLSFHSC >KZN01556 pep chromosome:ASM162521v1:3:20452435:20453089:1 gene:DCAR_010310 transcript:KZN01556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEKSLDFMCLNYGGGFKKGKLKLPLPFYVTYMDSLPSKLVLHGPSNDLWPCTFDKGDHRLHGLEEWMDHYKVNPYNVVRLYYLDGPDFRFEIYTQFAVEMNYPAVTSVPASKRSVYEVDKLCSKYLFNGFRNCVCKYSLSIDHSHFVEESYPQDFGE >KZN03312 pep chromosome:ASM162521v1:3:43488127:43491525:1 gene:DCAR_012068 transcript:KZN03312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCVILMPIAITFYITWWFIHFVDGFFSPIYAHLGIDIFGLGFITSITFIFLVGVFMSSWLGASVLSLGEWFIKRMPFVRHIYSASKQISSAISPDQNSQAFKEVAIIRHPRIGEYAFGFITSSFVLQSYSGEEELLCVYVPTNHLYIGDIFMVNANDVIRPNLSVREGIEIVVSGGMSMPQTLATLDLRTVHVDRGRSDRT >KZN00416 pep chromosome:ASM162521v1:3:5183744:5187580:-1 gene:DCAR_009170 transcript:KZN00416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPRLLCYLLLLLTVSLSESNSWVGATESESEIGLVSQQLLDSAKNENFFGWLKRVRRRLHEYPELSFEEHETSQLIRSELDSIGVDYNWPVAKTGLIASIGSGGPPFFSLRADMDALPIQELVEWEHKSKINGKMHACGHDAHVTMLLGAAKLLNDRRAELKGTVNLVFQPGEEGRAGAYHMLQESNVDKLQAIFGLHVWPDLPTGTIGSKPGPLLAGSARFSAVIQGIGGHAAAPHETIDPTLAAALSIIALQQIVSRETDPLEARVVSVTFMEGGNTGNVIPEKVRFGGSYRSTTLEGLLFLQQRIKEVIEAQAAVHRCTGVVDFMSGGSVRFYPPTINDEGLYGHAKRVGEILLGKSKVHEVSMTMAAEDFSFFSQKMPAAFFFVGIRNETLNSDKPLHSPKFVLDEDVLPIGAALHAIVAISYLDDHAVKAL >KZN00691 pep chromosome:ASM162521v1:3:7656790:7657765:-1 gene:DCAR_009445 transcript:KZN00691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLRSRLEHSVTRNALRSYLAEFLSTFFFVFAAVGSSMSSKKLMGNAASEPSGLVGTAIATAFSLAVAVYVSSSVSGGHVNPAVTFGMAIGGHVSISVAFFCWISQILGSVMACLLLKVTTVGQHVPMQGMIPDEMTGFGASILEGMMTFALVYTFYAAADPRRGPMTVIGPLAIGFIAGANVLASGPFTGGSMNPAYSFGAALIGGSFKNQAVYWVGPLVGAAVAALLYDNVVFPQGSDSLRSIGDGIGA >KZN03195 pep chromosome:ASM162521v1:3:42333963:42349402:1 gene:DCAR_011951 transcript:KZN03195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEDTGSPGWGASFFMQTTGKAVAAAAAAATAVHSPRPSVVFSTKDDSGNHLQKLQRQVSRVLKGLSQPPESKTGVYNPEILTSQKRQWAKSFQLQSLDHHKILKEPSRLFESMVVIGLHPSCDIMALQHLYFGRKSEGSSIFKNALGQHQSRVEPNLEPQVLFVYPPEKQLPIKYRDLLSFCFPAGVEVHAVERTPSMSELNEILIGQEHLKQSDLSFVFRLQAYADDSTLYGCCVLVDEIIQRPSGLVSMISDVQPTQLPLSRHILTTRRCYCILSRIPCFELHFGVLNSIFTEERLERLTKSIGDLDAEFPVESGNSENLDETSCSISPENREDSMLSGNVEISHSSISDSIPSKGINEEFLDSQLEPLNQEGDISAGVDVAVVNDPVTLKFPSEKESFVAAQMSEVCDTLVDDRANSKQISQRRVPSTVLPLLRHQHNESSESSSSIQFSTSEDRNLRSDADDTELEEASFSGQSSDHQSSSNGDILDWAKASLFVVTKPVVENNHGSLQIISEYYQLPCPARGSTVKFHPLEHLHPLEYQRPDETVLHIGGSTIDLKSCSTGLEMAEAYHALMAEEEATALSVQAVASLCGSLRLEHVLTFFAGALLEKQIVFVCSNLGILSASVLSIIPLLRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVKNKTTEVQSKLTNALIVDVNKNQVKSQTIPQLPKQKELFSLLSPYHQKLVGESYLGRRRPIYEYTDVQAEAAKGFLSVLRSYLESLCSNLRSHTITNVQSNDDKVSLLLKESFIESFASRDRPFMRIKMMMMSPNASGARNNTRFPFTPTQWQELEHQALIFKYMASGNPIPHELLSTIKTSSWDPLSNLLHLHPPQQIGWNHYHMGYGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVETNLTSSTTSSMNDISLSLPKTGVPISSNTRKSPQNLSPSPYSVFQAKASHQFGPSLSSQNGVTTLNSTPFLYPHSRPSERNYRFALFCDFA >KZN03277 pep chromosome:ASM162521v1:3:43115444:43120110:-1 gene:DCAR_012033 transcript:KZN03277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIYRGDIVANAYQAGAIGAVLFTDKKDYGGGGDGKGFPHDKWMPPSGVQVGTLYNGCGDPTTTGWPSTGGCERISDDEVDKGGDIPLIPSLPVSAADGEAIIKSIGGEMADNDWQGCKDGPVYNIGPGPGILNLSYTIGSTEWVEENREMLTSRVVAYLNVDVAVSGAGFQAAATPQLDQLLMQATKQVRDPENSSQSIFDSWVGTSDHPKIGRLGGAGSDYAPFLQHVGIPAADMSFGEGSNTSFYELLSAKKNADELTNELIDKNIDVTPLFKSIEDLKIAATKIDNEIKALERSKGWASMWGTKPRQVRELNDRLMMAERAFMDRDGLLGRQWYKHLRVHCDRCIRTRCYGGRVVTDRKDYGGGGDAKGFPGDKWIPPSGVQAGSLYKNLGDPRSNPARLAK >KZN00124 pep chromosome:ASM162521v1:3:2129637:2132204:1 gene:DCAR_008878 transcript:KZN00124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSHDAAPIFKSYGVPHGSTGFSEEGRFSFRTLKFYCLNFPGHLACPTSSHKATGLFAMEAPYNFKFSLYLFLLYALHVTNMAYTNKTTMLCQDHERSALLHFKRSLSASSHSSAYLKTASWRARGNSSNNCCSWDGVECDDASGFVIGLDLSSSLLHATLQSNSALFSLVHLQNLNLAENNFMNSLIPTEISHLLNLSFLNLSFSSFSGQVPLELSRMCKLTSLDLSNNYLHGGFPIAVFNLPGLLVLNVSGNQNLSGYLPEFNQTSPIRELDIAWTNFSGNIPASIGNLRSLTRLRLRNCYFSGSFPPSIGNLTQLTYLSVASNMFSTSGDLPWLQKLTKLTVLNLDDTSLYGNIPPSIANLTELTVLSLSNNPFNRSRNLSWLGKLTKLTFLDLQNSNLYGDIPPSLAN >KZN00655 pep chromosome:ASM162521v1:3:7276371:7285134:1 gene:DCAR_009409 transcript:KZN00655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQVHIVYMGDLPKGKGGESVTVQSMHHNILTQVLGSPALAKESLVHSYGRSFNGFVARLSDKEAAKIRAMESVVSVFPNTVVPLHTTRSWNFLRFPESHPVADKEGDIIIGMLDTAGELVYDTSYHGIAAGDVRGAVPNARIAVYKVCWTNGCASADILAAFDDAIADGVDLLSVSFGSVFPIPYHEEPISIGSFHAMKNGILTSCSAGDNGPYRREIANYFPWALTVGASTIDRLFVTKVVLGNGQQILGNSLNSFYLGTTTFPLVYSGDAGNVTFGVDFNIARLCIPGTLNTNITKGGILLCDKAVDGEIVTASGAVGMIAPAYNDFISYAFAVPAVIISPDDYESIIEYTRTAHSPTATIFTTVSEVDVMAPTVADFSGRGPNPISPNILKPDLTAPGVNIFAAWSPLAFPSVDANDPRQEDYYIVSGTSMSCPHATGAAAYVKSFHPSWSPAAIKSALMTTATIVDPRKNEDGEFAYGSGQINPIEAVDPGLIFNASEADFVNFLCAEGYNSTLVGLISGDSSTCPGTLGKATDLNYPSFALSLLDGEQIDVTYPRTVTNVGSPNSTYFAWVTMPPEFTVVVEPSTLTFGEVGDTKSFTVRITGSPLYQVPLVSGSIQWTDGTHNVRTPIALFNNMPTIWASLGSTSPLEKNTQPWNAPAVFNN >KZN01466 pep chromosome:ASM162521v1:3:16592577:16592798:1 gene:DCAR_010220 transcript:KZN01466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLPRVGGLPPLPATSLPTLPTIPTLPTMPTTIPKVALPPLPASFPTIPNINIPTTFPSIPFFSPPPSTNSP >KZN02198 pep chromosome:ASM162521v1:3:30724472:30724978:1 gene:DCAR_010952 transcript:KZN02198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHLLVLAVAIFTLAAATTAAAAPVSPRKSTGDFLTAHNKVRAAAKVHPLSWSPNLALKASQIVQDQPNLKTCNFAKLPEPKYGINKWRSIGLLGCAPQAVVDRWMEEKANYNDETKSCFPNEECRSYLQVVARNTGFLGCGEAACNGTSGGCLAVCLYNPPARGTA >KZN03778 pep chromosome:ASM162521v1:3:48055951:48057027:-1 gene:DCAR_012534 transcript:KZN03778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFANVDFWFPGMYDNIGNQPGGTRLPANGQQIPFGSAFSGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVILAGFLLGLHGK >KZN02760 pep chromosome:ASM162521v1:3:36970160:36971717:1 gene:DCAR_011515 transcript:KZN02760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAMFVFGDSLIDNGNNNNLASLAKANYYPYGIDFRGGATGRFSNGYTMVDEIAELLGLPLTPAYSQASQEDQMLHGVNYASAAAGILDITGRNFVGRIPFNQQLKNFENTLDRITDNLGAPDVAQALAKCIFFVGMGSNDYLNNYLMPNYPTRNQFNAQQYADLLIQQYKGQLTRLYNLGARKVIIGGLGLLGCIPSILSQSNSGHCSEEVNQLVLPFNTNLKTMVNNLNTNLPGSKFIYIDIHNMFQDIIRNSRLYGFSVVDRGCCGIGRNRGQITCLPFQQPCRNRNQYVFWDAFHPTAAVNIMMGRKAFTGNQDVAYPMNIQQLANL >KZN02060 pep chromosome:ASM162521v1:3:28980608:28981206:1 gene:DCAR_010814 transcript:KZN02060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRGTKRKHSESVQDGLVVELTKLNNDSANLNSDMKAANEDFAMMCKSMTDRQAEILTRGLFLEEQHRRVIELLNGGEDVVGVTSTGDEERARTSGNTDIRKLARESDESLRDHRVYREIELQEQEKLFKQYKRMLEQNMIEMRERAEIIEKQRRLLSPKLIQLSRSEAEGSLQK >KZN02767 pep chromosome:ASM162521v1:3:37032069:37049923:1 gene:DCAR_011522 transcript:KZN02767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQALNGKRKAWNDLELDPDSYSLQKFKLYETRARFYLIGSDRNKRSFRVLKIDRLETSDLNISEDPVVYSSQEVKSLLKRIDEGNRATGGLSVVAKAYGIAGCIKFLESYYLILVTKRRQIGCICGHEVYSIEESQIITIPHVSVQTDVAHSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVISIADERMPYENIFVWNAFLTESIRSRCNNTIWTIALVHGNFKQIRLSVFGRDFSVTLISRRSRHFAGTRFLKRGVNDRGRVANDVETEQIILDEEVGSCKGRMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYESTKLHFEDMAERYGNPIIVLNLIKTVEKRPREMMLRREFTSAVGYLNQILPEEKQLKFIHWDFHKFAKSKSANVLAVLGGVASEALDMTGFYYSGKPLVVKTKASQISRTSMSRDASLRDLRANSGDLARIGSNIDTNLALMQDREYGGPRHPANHNCGNAAPRFQNGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDEPKVDADSSMAQALMDMYQSMGDALAHQYGGSAAHNTVFTERQGKWKATTQSREFIKSIKRYYSNAYTDGEKQDAINLFLGYFKPQEGKPALWELDCDYYLHVTGIGEDVIPAKRCSDGMCVSALSPIPAWKEDFYRIKLSSFDKLLQQTCSSIKNVRLWTEPAQRTGISGMAPDAAEIQLKTPNWLFGQRKLEDSSSAHKLASDVCVNQGSQNDNRLYNLLDMDWLSYIGNSKEEDVFQRYLAMTSVDEANGWYGGTLLGDQDETSDIYKHYAELCQGPAVEPFQNDSQNEKLYADFLQTGTYDGLNDTTAEKEMEEALKEYQSIGADLGIIPRSCKASYGDPSQLTRWIIGQDKLHKI >KZN03906 pep chromosome:ASM162521v1:3:49798808:49800206:1 gene:DCAR_012662 transcript:KZN03906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRPVVPQFGNWDTENNTPYTIYFAEARNAKNGGKMINPNDPMEYPGMFPNLAQESPSRARNVPEEPKGRTAVRPTINVPAEPKGRTTVRPTIIRNQSRENGNNNVGRQRGTGSGQNRGGSGLGSGQPGRQNGESVHSIDRSPLHPQYQAKWNEKSSGSPAWENKYSNESSTATPGRSRMRPVSPRVQYPDKVAAVPIFGGWDENDPAAGENYTFAFNNVRHEKNSGSPMVSNMTAEQAYANKQKQNDRNKNKSMSRWFPCFGK >KZN00988 pep chromosome:ASM162521v1:3:10522956:10524311:-1 gene:DCAR_009742 transcript:KZN00988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFHHLFLLQLLLCFQLLLLPINSFSAAVDWHPAVASWYGSPEGDGSDGGACGYGPMVDAVPLRGRVAAVSPILFKGGEGCGECYNVMCMDKSICSPRGVTVIVTDECPGCPARTQFDLSGAAFGSLANPGERDQLRNRGTIPVLFRRTSCVSPGKNVAFRVNEGSTAYWLSLLVEFEDGDGDIGSMHIKQAGSSEWLEMSHSWGANWIMNGGPLRGPFSVQLTSLSNAKTLIAMDVIPQDWKPLATYVSRQSYYF >KZN02591 pep chromosome:ASM162521v1:3:34876801:34881135:-1 gene:DCAR_011345 transcript:KZN02591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVDHVLAVTKEAVKMITFESLHNIVRLINGLSALLLAIMPGKASILEGMQGWELRPTVRGPRLPRWMENGASSFNHFIHELAVDSDECSSVDYSSEEDNSDDNFSPKTPLSQRSRVLRSTSFGRHDRRRGRRSRCFRFILAWLLFPARLMIGILFYIFRVSPSRSLNVANGSGNQQPKDSSTCKEASTLKDHIVQHATDKRRGVIEDLYLGIEIFIEAVFDLFHKAAHCLLSPLDTAKRLIRWFCFRRSKGKASCASKASVPTTILAEGDPAPTTRTVTFRDSLNTDTRTCRDVITELGYPYEAIRVVTADGYVLLLERIPRRDAQKVVYLQHGVFDSSMGWIANGVVGSPAFAAFDQGYDVYLGNFRGLVSREHVDKKISSQQYWHYSINEHGTEDIPAMIEKIHEVKMSELKSLKPNLEKDGEQPYKVCAICHSLGGAGILMYAVTCRIKEKPHRLSRIILLSPAGFHHDSNFAFKLLEYAFRWLAPILKPLFPAFYIPTRFFRLLLNKLARDLHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGVSFLVVLHLAQILHNRKFIMFDYGCRSANIKKYGSPKPLDLGQHYGLIDLPVDLVAGRKDQVIRPSMVREHYKVMKDAGVKVSFYEFEYAHLDFTFSHREELLAYVMSRLLLVAPAPSPKSLKMKYTTSEIVEETR >KZN00499 pep chromosome:ASM162521v1:3:5819211:5828757:1 gene:DCAR_009253 transcript:KZN00499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFTPQNYHVSFNFSQLNRCKNCNSFGAPRSNTNFKVFAVAMTENEAAPNSVPPAPILLPQGPWKQIPGGITAAKGFKAAGMYGGLRAKGEKPDLALLACDVDATAAGAFTKNVVAAAPVVYCKSLLEDSTTARAVLINAGQANAATGDAGYQDVIECSNALAKLLQVDPGKVLIESTGVIGQRIKKEALLNSLPKLVDLLSSSAEGADLAAVAITTTDLVSKSVAIESEVGGTQIRIGGMAKGSGMIHPNMATMLGVITTDALVASDVWRKMVKVSVNRSFNQITVDGDTSTNDAVIALASGLSGSNPISSINSAEARQLQLCLDAVMQGLAKSIAWDGEGATCLIEVTVVGAANEVEAGLVARSVASSSLTKAAVYGRDPNWGRIACAAGYAGVPFNPNTLQISLGNILLMERGQPILFDRAAASDYLRRAGEVHGTVKIQISIGDGPGSSLAWGCDLSYDYVKINAEYTT >KZN02890 pep chromosome:ASM162521v1:3:38678996:38679310:-1 gene:DCAR_011646 transcript:KZN02890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCRGDAVSGSRTAMSSSWGVEEAASGCWRGTAAARIRRQVQADGLERERQRERDVGRSPAAFRREVVVGWKLAAVR >KZN00732 pep chromosome:ASM162521v1:3:7980792:7982831:1 gene:DCAR_009486 transcript:KZN00732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNCERYERYNFNETEDDYTVCNILLGLQSLIHKSDPSPSISPSRISWATKRKRSAMNSAPASPVPQPQPITHLVQNHQETHHQKNNEGSPSSPLGYSPNRAHNDVQSKHQSTRKSKKKKLKDWEEHVEKLKQTKEQLILTLDNVKNYQRTVLEFNLKLKAKRDELNGHIPKQSNVNLNVQNHQLHHQFPIFAQQQQYTVQPGMTLYYPPPFFASNSGGGMRIMDGYFSATAKGKA >KZN00862 pep chromosome:ASM162521v1:3:9361678:9361986:1 gene:DCAR_009616 transcript:KZN00862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKKAVALPKFGEWDLKNPGTSEFSVIFEKARNAKRDGHPHKFNPNSPFFKKEAEPQWTPAYINKNNASQSQKRPKGRSRRGRPGVRRWFCCSAPTRYAES >KZN00353 pep chromosome:ASM162521v1:3:4426873:4431619:-1 gene:DCAR_009107 transcript:KZN00353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGGYSGGAGRGTREPKLDSLVRQNSLYNLTLDEVQNHMGNLGKPLSSMNLDEFLKNVSSVESNQGLGRGEYGVQNRQLASVSSLSRKPSLSLSRDLSKKTVDEVWKDIQQGQKRPGIGMNSRERNSTLGEMTLEEFLVKAGVISEGEKALGSVVGVNPVSLPQQSVSLPAAWMNYQIPPTHQPTQQQIMPILFMPSNSVQPQLSLSSDPILDSYGETQMTSPSPLLGTLLDAQSQGRKRIASEDVVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVQRLEEENEMLKTQKGSGSCSQPALCAWLPVAAFTGTSLDCRNLWYMDTGVSFYMNAHPGGRKTVAICTVSRAKVSTSQDQLSIFLKKYRLKDGV >KZN00915 pep chromosome:ASM162521v1:3:9836066:9838087:1 gene:DCAR_009669 transcript:KZN00915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIKSAMGDFLLTFMWVFCASTLGIITSIIGTNFGVKGLVYELFCMACFILVMLFVFTFIGEALGGAVFNPTDLATFYVVGIRTESLFSASLRLPAQAAGAAFGALAIMEVTPKNYKHMVTGPSLKVDLHTGAIVECVLTFGITFLVLLIILKGPKNTLMKNWLLSVSTVVLVFAGSAYTGPSMNPAIAFGWAYVDKRHNTWEQFYVFWICPFVGAISSALLFRVIFPPPVKKQKTA >KZN03435 pep chromosome:ASM162521v1:3:44531707:44535773:1 gene:DCAR_012191 transcript:KZN03435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSTQISAFLIIFLTTHLGFSLHLATAAHYNVGDQVPIFVNKIGPLHNPSETYQYYDLPFCRPARVVQEKESLGEILNADRLANALYELKFREYKFGHVLCEKKLSEDDVRKFRNAVSNDFYFHMYYDDLPLWGFIGRVEDNSWNNDKNGPKYYLFKHIQFDALYNNDQVIEIRAFSDPAHIVDITNSAETTVQFTYSVSWNETFIPFKNRMDKYSRASLLPAPKQIHWFSFINSIVIIVLLMGLLVMMFMRHLKNDLKRYSGGDEEEDKEVGWKYIHGDVFRPPSELHLFFAILGSGTQFLTVLVFLFIMASMGILYPYNLGSLSTSVVVIYSLTCTVAGYSTASFHCQFSKSGWEKSVLVTGILYVGPLFITVFILNIVAISYGATAALPLGTIVVILLIYALVAMPLLALGGIIGCRLSSEFQAPSVTKKVAREIPSLAWYMKTPGQMFIGGLLPFSAIVLELHHLYASMWGYKIFTLSGILFITFIILVVLTAMLSVGMTYIQLSLEDHEWWWRSILRGGSTAIFMFGYSIYYYAKSNMMGSMQLLFFFGYNACLCYAFFLMLSTISFYVSWIFVRHIYHAIKSE >KZN01312 pep chromosome:ASM162521v1:3:14346362:14347014:-1 gene:DCAR_010066 transcript:KZN01312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPNRSFPMDISTFNQIDTFHWVLDMNHFVGEAYDSINEVCIFLLNNFTLPPDKALAVYIQSPGSSFVFCGAVTLARPSALLSLDWPQPSGQLQLLPADSPPLSAKIGVSVQDLATLPSLDVAADKKIERLAMKVGENLFNFMQSFCGVDGSKLIVPMDILDRWFKKFQERAKRDPDYLKGFAL >KZN00793 pep chromosome:ASM162521v1:3:8760292:8763355:-1 gene:DCAR_009547 transcript:KZN00793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATTLRPTFLFLCLLSLLSLFSSASSDELQLVLTIKSALKDSHTGLFDSWESNKPVCEFQGITCNEENLVREIDLSNQHLSGKFPFDSVCKLESLEKLSLGANYLVGPVTEDVNNCTELRYLDLGNNNFSNTVPDISSLTKLTTLYLNKSEFQGIFPWNSLENMSNLSILSLGDNEFDKSPFPEQVLKLKKLTWLYLTGCSIEGKIPAGIGNLTELEDLELSDNYLSGEIPYEISYLRNLWQLELYSNQLTGKIPTGFRNLTKLKNFDASTNFLEGDLSEFGFMSQMESIQLFENNLSGEMPPEFGDFKNLRFLSLYTNKFTGTVPQSLGSWADFLYIDISENSFSGFIPPNMCKKGKMEELLILQNKFVGEIPESYANCTTLTRFRVSNNSLSGNVPSGLWGLPKVNIIDLAMNNFDGTVSSKLGDAGSLSELNLANNKFSGELPVEISQVSTLVKLDTSYNQFSGKIPDSIGRLKELTSLHLQVNMFSGTIPSSLATCSSLTDINIAGNLFSGDIPAKLGDLPTLNSLNMSMNQLSGSIPSSLSSLRLSLLDLSNNRLSGLIPQSLSIEAYNGSFAGNEGLCSQNIKFFRRCSSKSRVSSETRTLIACFVVGLAVLLVSLACYLNLRKSVKDIQERSLKEDSWDVKSFHVLTFTEDEILDSVKQENLIGKGGSGNVYRVLLPNGIELAVKHIWHSDSNVRKKIRTTSPMLSNAKKSPEFDAEVQTLSSIRHVNVVKLYCSITKYGYTYKVSEKSDVYSFGVVLMELVSGKRPIEPEYGDNKDIVSWVCSRLKTKETVLSVVDSRIREAYQEEAIKVLKIAILCTARQPALRPTMRTVVQMLEDADPNRLIGIVVRKDGSKKEDPVKNIEKP >KZN03131 pep chromosome:ASM162521v1:3:41591744:41597858:1 gene:DCAR_011887 transcript:KZN03131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWCRLKQPQLKSLSNHLKRCFFSPILLTQHPRISTITQQDSILNNTHFKIPQNFRSFAVPAQFKPKQEEEEDDGPRLNEKITAPSVRLVLDQGHTIVSRREALERAKSVDQDLVEVDRNAKPPVCKIMDYHREQYVKKLKEKERTKSKAEVTLKKGSSKSVQFESKIEEKDLQMKAATVKKMMESGYRVKCLAKANDKKANDKTENDETDNDKSENDRKGNDRKGNDRKGNDKKVNNKKVNDKKAMPNEDENLPGLLARFCALIADVAVIESGPIIERKGAYVVVRHVKYGLPKKGSKKTFQLQATSNQAKEVSYSEADMIPVNESSMLDDLTPTSHPPEPSLEIENRYKKDQGSRSLPYTELNVASPPCETENRYKKDQTTRSPSSAEINVAGPSLETENRYRKDPINRSSPTQTNFASADTKPLQPQSPYQGRQPQQYPNQERQVPQYPNQGRQPLQHPDLGRQPQQYPDQGRQLPKYPSQGRSTQPYPNQGRQAPEYPNQGRPYPGASPNSSGGSEPNSTTEPQPAVVNRYKKAVNQHSGVPNFHGRGHGR >KZN00325 pep chromosome:ASM162521v1:3:4220020:4220784:1 gene:DCAR_009079 transcript:KZN00325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKIAANYEEFEPLCKWQREEGRDTLVLHLQDFKKEQLKVQISNLRVLKISGERPLSATKRSRFYKEIKVGKEYNANDIRAKFVNGLLHVVMPKTTTAVPEKEEAPSADQQVQAEANKQPSTITRPDDTATKKQDSAEEKTIPSPQQSYAPIPSYSNLGTKLALVITLASAIGVFIFYKYKTLFDDGEFDHAFCKAFNMCKT >KZN01535 pep chromosome:ASM162521v1:3:19191610:19192242:-1 gene:DCAR_010289 transcript:KZN01535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGQIFECSLGLAGGLLDRHYRIAPFDERYEQEASRKLVFSELYQASKQTATPWVFEPEYPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAYILQEMLTYKSDHIRARQEVLGTTIIGGAIPNPEDAPESFRLLVRELRSLALELNHFFVSEKTFKIKRKEA >KZN00445 pep chromosome:ASM162521v1:3:5336702:5337471:-1 gene:DCAR_009199 transcript:KZN00445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSEVSFRRSGSSGLVWEDQKFLSGELKPIKTKQDDDVNHKHKRSEHDHESKNQRKSYRTVDVASTIDPPSPKVSGCGCFGKPAKKPNNRKPPAGHRRS >KZN01574 pep chromosome:ASM162521v1:3:20872788:20873744:1 gene:DCAR_010328 transcript:KZN01574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNSANPESTFAALHSDIIETHLLTRFDGASLASVASTSNLLHALCDKQSLWKDICDSTWKSVQHPLVQEAISNFPGGYRSFYSDSFPVLGANSRQGKNHGLVENQTVEIISAVDIHYGKNPVFSRVAVTNTDASSFPGSLFSVDLIDRKETVEIPLKCEGFEKMSELEDKLRLSWIVIDPTLKRAANVSSLRPVSVRPHGDKRAVEVTYAAILSGKCCDIDTTEFVECRIVAVFGCEEGNNMEVRELSLCLVDMVRSRLNGELSLRILQEAMENGERRKESGQGKEMYAKSLDFKRQRFVFWVFFASFLISLFWLA >KZM99987 pep chromosome:ASM162521v1:3:891739:891972:-1 gene:DCAR_008742 transcript:KZM99987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQITFTLTILSLILISTISVQAEVAAPPFPPKNFCYDVCDCQTLCYHRIPVCHHHRCECHEHPKYYPIPTCPGKKI >KZN03898 pep chromosome:ASM162521v1:3:49722498:49724789:1 gene:DCAR_012654 transcript:KZN03898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATAGSEGGVGQEEEKKPMDQHINLKVKGQDGNEVFFRIKRSTQLRKLMTAYCDRQSVELNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGSITLAATY >KZN02089 pep chromosome:ASM162521v1:3:29410203:29412210:-1 gene:DCAR_010843 transcript:KZN02089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTGDLIKQLGKAFLELQSHKETAENKIMWKEIEEHYCQLQTTMVKQFSELESREKAYKEEESEFYSFLEVRAANVVAKEQDMMDRVQELKNEAVAAITEVRAMHPSASLESTDVGYNKESKISSPSGDKNAILTAKEEPHKTVESDGVVGEVELRHKLTQFCEQMNAKGLVSFILENQKIVPRISDEVSVALESASEPGRLVLASLEGFFPSDPNTQEGNKKDAVLQGMRQSCLVVLDAMATLLAKADFRADHLLNPEFKQQAKAIADMWKPNMADAGIHAANGNSLEAEAFLQLLSVFRIASEFDDEELCKLVLAVVKKRQLPELCRSLGLAHKMPGVVESLINSGKQIDAVHLAHAFQLTKSFPLVTLLQTYLKDLRRNSQGKKGGAGSGQKDANAQELAALKVVIQCVHDYDLEADYPLDPLHRRVAQLEKAKPDKKRFGESGKNQQVKKPRTNGGFRAPPATATVVSRQAPTYAGERTYAGIAERFPRAVPDPYTYQAPTQSTYGQPGYDHRSYYYPHGERVAAPTYAAYNTAPLGYTKYTSSGMPTSHPSYI >KZN02009 pep chromosome:ASM162521v1:3:28195403:28198137:1 gene:DCAR_010763 transcript:KZN02009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLSVEGLGQGNVTRMASQVTVDSPSPASLEFKERNYLGLSDCSSVDSSPASSVSKENRSNLNLKATELRLGLPGSQSPEREPELNLLGTCKLDEKALFPLAPSKDGICASTQKTVVSGNKRVFSDTMDGFSEIKGPSYTEGNWMFNPSRTDSETAQNGGLGKFSGSANINVMLSSGSSAVTHAAIVKEIPQKALEVGHHLKAATTNKVNGSNNNTSAPAAKAQVVGWPPIRSFRKNTLATSSKNNEEVDGKPGPGALFVKVSMDGAPYLRKVDLRMYTTYQELSSALEKMFSCFTIGQCGSQSTCGKEMLSESKLRDLLHGSEYVVTYEDKDGDWMLVGDVPWEMFIDSCKRLKIMKGSDAIGLAPRAMEKSKNKN >KZN00591 pep chromosome:ASM162521v1:3:6694393:6698642:1 gene:DCAR_009345 transcript:KZN00591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLILWRAILLSIHLFNVVVSSDQIFPAHTGGSFSRSSREPNYGIEFHSPDLPFHPDDDQESVIMPGKDGQKFICFLPKVEKSKSEKPISQQNTSSVIVGTEKRLKPKTPDELLEVLKDRCFIRQEGWWSYEFCYQKKLRQIHLEDDKVVQEFVLGVYDDEATAAYNQNLSDISTLKDPRSKDASQRYHAHQYTNGTMCDLTNQPRETEVRYVCSEPRAMISSITELATCKLFQEERPVWHNINCNPLPKDYTESKVEEDSYQPEKITMVTDI >KZN02449 pep chromosome:ASM162521v1:3:33569981:33572200:-1 gene:DCAR_011203 transcript:KZN02449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLVMDGLDSIELGGHYVPHKDIFIVTSPRSPLSGAHSPESDSIGLVLDGVVKNSIEQLYSNVCDIQSSDQSPSQYSMLSYGHDSRIDSELRYFAGGEYADVETTKEIVLMNNEPVETKVPAEIENRQSSEKAVKCKKIPSFTNAKSSHIHSGKPQIVSSRRKSLRDGSPPVKNVKTGSPLGVVKQVNNSKVSSEGGYLGPYLLKRTRDLLSLGKDSEKVLALALRAKNAFEACADGKPNLEFVMCLHVVAALYCSMGKHNEAIPLLEHSVEIPIMDEGENHALAKFSGCMQLGDTYAMLGQIENAIVWYTAGLEIQMQVLGENDPRFGETCRYVSEAHVQILQFDEAEKLCQRALDIYKDKGSQASLQQAANSRLMGLICEAKGDYETALEHYVLASMYMAEKGQEADVASINRNIGDAYLNLARYDDAIFSYQKALTMFKPAKGENHPLVASVFVRLANLYNKIGKCSEAKSYCKNALRIYMNPVPGSSLEEISSGLIEVSGIYESLNDLDQSVSLLHKASKLYDGNVCHQSTLAVIEAQIGVLNFMKQNHSESYKCLKNAIAKFRSVGDNKSALFATTLNQLGLACLRLDVLDEAANMFEEARSILEAEYGPYHPDSLGVYRNLSGTYDAMGRRSDAIAILELIVATSEEKLGIATSDVEVDKMMLSELVKEAGPLRNRRYRSSETLLDTNATLVPEE >KZN03495 pep chromosome:ASM162521v1:3:45076954:45080110:1 gene:DCAR_012251 transcript:KZN03495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSPDHNLAFGDILSEVSGDQEAGPICFREKLTINFRLLDVCRKLLKIEERLSLYLRSTDIPFLESIVGQGLNSQVQWVTSKLHKTSLMLKVIFERIKSETASKVETRELLRFAISISDITTLIDRDIISNIISDEIMEYTSPTKVREYAKRFAEKLSSVEAQIEGLHDRATTLGLTSATSEQTTRLSNNIYTEEAVLEEERKDVFTVSLEEDIQVITTKLTGGQKTAALVAIIGEKGIGKTTLAKKIYHHRVVAHHFPCRAWVTASDDFEPNSFLFSIAKQVLVGFVENDPIDRIRYKLARLWWHQKYLIVLDDAHAIVEARKTLCKLCPNQSNGSKLLITTSKRGLLKASSNCYIHERRVLGDEEAWELFNTRLDFQVDQEVEQFARDIVKKCSGSPSSVLRLADIISSKAATQEQFITLSQINDPNGSSYPSLTSGSDLMSSSDKQFLMQFIHFSKTEIPARRLIVLWVAEGLVDQPADSAETPECAGENVLMELVQKRMIQVAKWKPNGKVKTCRLKYSLMDKLRTEAGKANFLKINWQVAASKSTKKKGVMLRVADHLDNNCSIFSHIHENDSIGSSSFKHHYKRLISFLSFDSREGPVPGKDIGNFIHRGIKLKCFKMLRVLDLEGTFRPKLPDSIKKLSQLRYLGLRHTYTELLPEAIGKLSNLQTLDLKHTCLRSLPGSIWKLQQLRHLYLSENYRSRIMVPGSSISLLNIQTLWGAFVDDETGIEDGLKKLTSLRKLGMVYRLPLMQQGILAKWILKLHHLESLRLRSVDDMNNPSLLYLKTISGLNKLSSLYLLGKLANPLVLEAMPESLTEITLSLSGLSVDPMRTLEKLPYLRILNLYAGSCTNSTMVCSSGGFPLLRLLNLWKLEELVEWIVKDGSLTILRHLEIRSCAKLKMIPEGLKHLKNCRELRLTNMPEDFKTRVTKDEGVDWPSIAHIASVILKN >KZN02153 pep chromosome:ASM162521v1:3:30279191:30286121:1 gene:DCAR_010907 transcript:KZN02153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTEKISNPKPPKSEDGDMKKKRKRKRSKKESEKSVTVAEEVEVNENGDSYIEEKMELETNKKEEEHFELERQEEEKEEADETEEKKMKKMKKKKAKSGSGIMSTVSFESMSLSEPTMKAIKDMGFHYTTEIQARSMPLLIEGRDVLGAARTGSGKTLAFLVPAVELLYQLHFAPRNGTGVIIICPTRELAIQTHAVAKELLKYHSQTHGLVIGGSARKSEAERLAKGVNLLVATPGRLLDHLQNTKGFIYNRLKCLTIDEADRILEANFEEEMKQIIKILPKERQAALFSATQTKKVADLARLSLKDPVYVGVDDERKRVTNEGLEQGYCVVPCAKRFIVLYSFLKRHQSQKVMVFFSSVNSVKFHSELLKYIHVDCFDIHGQQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWIIQYDPPDDPKEYVHRVGRTARGEGSKGNALLFLTPEELQFLSHLKAAKVPVKEYEFPEKKLANVQSHLEKLVSNNYYLNKSAKEAYRSYVLAYNSHSSKDIFNVHRLDLQGVAASFCFDNPPKVSINIDSSASKFRKTKRNDGRSRNGFSSSNPYGNRSSGH >KZN01542 pep chromosome:ASM162521v1:3:19712530:19713249:-1 gene:DCAR_010296 transcript:KZN01542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVASPSSENNHKVAVPKTSTKNLYSLTLRHYIILAVIVLLSFSRMVRPQEFAFVVFTIIYMYFLSMVAFPVIPNVSYPPILTSKQLKFMGFYIIVTGIIGLVVPIAYVTEGFFFGHKESIKPAVPHLFLLLCQVFMEGVGFSDKFSLPIRVYVPVVYNSVRISTLIEWLRDEFSMEYGGGDFASSSSAIRIYAGRSIVVANMVLWCFNLFGFLLPVWLPKIFKLYYSSPAALHKVKT >KZN00275 pep chromosome:ASM162521v1:3:3609601:3610704:1 gene:DCAR_009029 transcript:KZN00275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSDVKGFYRQKKKSGGITKPVAGKSAKASPSLAKHAATFGSNVVQPPALVTHGGALDLKDNYDGSEEVLRQFDMNMAYGPCIGMSRVARWERASKLGLNPPGDVGMLLKSGKVGGESLWDGRV >KZN01417 pep chromosome:ASM162521v1:3:15952811:15955963:-1 gene:DCAR_010171 transcript:KZN01417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSYVSGERGFVSEDSATASDVIARDRNGSMDWEFKSLFYGSDNNIELGPSSQEGIESMGIIDLGVQETMRKSVSNGSIKDAYGNKLNGIRMFENAFWGGEESNSKLSSSFVEFTGAESSLIDLKLGRFDDQRDARKLSAYRVAPSSCSNDSASKTGKRMRVGGLNSLTPYCQVYGCKKDLSSCKDYHKRHKVCEVHSKTPKVIVNGIEQRFCQQCSRFHLLVEFDDGKRSCRKRLAGHNERRRKPHMGMHSGRSGRLYQTYGSAASRFQGTVVSTLSFNHQDILPGCLPQQQKSERNYWPGHVKLEEGADYSSQSAITVAVGGLHSKSLFSSYGFDKHCQPEERIGAFEDLGSKSNGNRDQYLVRNTSFGGEAYNNFDSTVTVQALSGFSDSGRALSLLSSQSQTSMSHTSGTLMAYPLISPSSHGHYSETQASEKLLGMSPQTSRNEMSNKFASSGIKSTENHLNHMITTSGSNAVVNYGIDGMFHGSGYMNSKEQLSCEGGTIDLLQLSSQLQQVEDQRQSLHEKPENDSFL >KZN01892 pep chromosome:ASM162521v1:3:26654763:26659792:1 gene:DCAR_010646 transcript:KZN01892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHIYLSALGVGVGVGVGLGLGSGQGISKWSSIGAARNSSDFAGANADEIELELRRLLVDGKESSVTFDDFTYYISERIRMLLMSAAYVHLNHSDVSKHTRNLSAASSAILLSSVSELYHQMLAKALSHYFKAKLLLLDVTDFSMKMQGKYGSCKRETPLKNSVSDTALGRVSSLLGSFSILAAGRDTEDPLKRVSSWSFDEKTFVQSLYKVLVSVSETNSIILYIRDVEKLLLQSPRLYNLFDKMLKNLPGPVLLLGSQMLESDDASRDIDEKVTKLFSYTINIKPPEDESALMSWKAQVEEDMKTIQSQDNKNHIAEVLAANDLECYDLDSICQADSKVLNDHIQEIVVSALTFHLMNNKEPEYRNGKLLISSKSLSHGLSFFQEEKNSGKDNLKLETNESTKVIIGGDIIGLKSESKSTDNKSDADKTGSKQDGENKAQAKVEVPDNEFEKRIRPEELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIAHDAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRTGEHEAMRKIKNEFMTHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSAESREKILRTLLAKEKVEHLDFKELATMTEGYSGSDLKVLCVTAAYRPVRELIQQEREKDLEKKQKSAEGKDTENKEENKEESERVITLRSLNMEDLRQAKNQVAASFASEGSVMSELKQWNALYGEGGSRKKEQLSYFL >KZN02818 pep chromosome:ASM162521v1:3:37668775:37678856:-1 gene:DCAR_011574 transcript:KZN02818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHMSILPFHGQFRRILENLRFVIIDEAHAYKGAFGCHTALILRRLRRICAHVYGCNPSFVFSTATSANPREHTMELANLSALELIQNDGSPSGLKRFVLWNPPLCLRTVSKKSKTGAGTNKTSEKSVVVGRSSSIMEVSYLFAEIVQHGLRCIAFCKTRKLCELVLSYTREILQESAAHLVDSVCAYRAGYIAQDRRRIETEFFDGRLLGVAATNALELGIDVGHIDVTLHLGFPGSISSLWQQAGRAGRREKPSIAIYVAFEGPMDQYFMKFPHKLFKSPIECCHIDANNPQVLEQHLLCAAHEHPLSLLHDEKHFGPGLKDAILTLQAKGNLSTDASRGSSDKMWCYIGHEKTPSHAVSIRAIESERYKVVDEKNDEVLEEIEESKAFFQVYEGAVYMNQGKTYLIKKLDMSNKTALCQEADLKYYTKTRDYTDIHVAGGKLAYPARVCSGAYPRTSAQAHNCKVTTTWFGFRRIWKGSNQVFDTVELSLPDYSYNSQAVWIRVAQWIKMSVETKGYSFRGGLHAACHALLNVVPLYIICNSSDIASECANPYDTRYVPERILLYDPHPGGTGIATQIQPLFTELMGAALELLISCCCSGDAGCPNCVQNLACQEYNEVLHKDAAVMIIKGILEEEKS >KZN03481 pep chromosome:ASM162521v1:3:44977857:44978106:-1 gene:DCAR_012237 transcript:KZN03481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHKKHPLEVLLTPRLPDKLYAFTSSRYLTFSRSGECDIGLVGALSNFSI >KZN03547 pep chromosome:ASM162521v1:3:45661786:45663229:1 gene:DCAR_012303 transcript:KZN03547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKHILVCRSGAKLMMSEDGSLAYMGGDAYAIGVNENTRFDELKSEMADMWKFDPGSIALKYLLPNTSNNLVTIASDKDIRNMLEFYEDSTTVDVYVFTNSNNGPSDVLTIPGIRSKGTTVETVIPRSDVLSCPERVIGVDQVPHSIVLSHPERVSGADQVPRSDVLPRPERVIGVDQVSRSIVLPCPERVSGVDQVPPSDVLSRPERVNGADQVPRSDVLSRPERVNGADQVPRSDVLSRPERVNGADQVPRSDVLSLPERVNGVGPVLHSDVLSRPGWKPKERAYGIQNDEM >KZN03469 pep chromosome:ASM162521v1:3:44907154:44908149:-1 gene:DCAR_012225 transcript:KZN03469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSDKQGGSATTITAVHQNIIETHILTRFDGPALASTASTSHLLHTLCNQDELWEDLCNSTWNSIKDPLVRQIISTFSGGYRSFFSDSFPLLRPNPSEGSYIGQVHNSELISAVDMYYGNDPVYSNVKATDTSDSNFLGLQFCIDLLDGEGTSKMPIKYEGDEKKCILDFEEKLRLSWIIIDPKLKRAANVSSLRPISVRPCWVDGSGIKVKYATIVSGDNRGIYTTEFVECRVTAIFRFEGKNIKLKELSLCVVDMDWTRLNGEKSLRILKEALHNGLRKKATGGEEKEMYAKFVDLKRKKRDEVKKENIVDRFMDFLWRFSNPFAEE >KZN03651 pep chromosome:ASM162521v1:3:46655134:46655778:1 gene:DCAR_012407 transcript:KZN03651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDLLRFNKGHLQFTNNLQLLSCQLGGQCQGLLLENQLLKALKFQQILGHLKPQKGRKRLSTSLKQKERTGHYGYRTKGRNWFKRRQLRVETEKMVEEKVAQHDKADDIDNFVMEKIDHPDEKERERLGNSWSKLIGIGLRKLFMPTPGFKKVDYQHNGTPVADTPPVQEDADEETESNEEGNLSVRRSTKLSMKTKFKFSNTSKSVVNLDAD >KZN00290 pep chromosome:ASM162521v1:3:3885015:3893836:1 gene:DCAR_009044 transcript:KZN00290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSPSMGKRKVSEENLGDVAKKEEPVLKKANVTRTCVHEVAVPSGYTAVKDESVHGTLSDPVFTGTMAKTYQFTLDPFQSVSVACLERNESVLVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFTDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNIHKQPCHVVYTDFRPTPLQHYVFPVGGSGLYLVVDENESFREDNFVKLQDTFAKPKQIDGNKSGGKANGRIAKGGNGSGGSDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNSQEEKDIVEQVFRNAILCLNEEDRNLPAIELMLPLLQRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDDRGICIIMVDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRASGQFTAEHVIKNSFHQFQYEKALPDIGNKVTELEKEAALLDASGEASSLTLYLFKFNLLAQVAEYHNLKLEIGHLEKKMMAEITRPERVLYYLLPGRLVKVREGGTDWGWGVVVNVVKSPSAAPGTLPSALSSSRGCNYIVDTLLHCSIGSNENGARPKPCLPRPGEKGEMHVVPVQLPLISTLSKIRISVPSDLRPVEVRQSILLAVQELGKRFPQGLPKLNPVKDMGIEEPELVELVNQIETIEKQMMSHPLFKSQDENQIKCFQRKAEVNHEIQQLKTKMRDSQLQKFRNELKNRSRVLKKLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNNLDHHQIAALASCFIPGDRSNEQIQLRAELNKPLQQLQDSARRIAEIQHECKLEVVVDEYVEAAVRPFLMDVIYCWSKGATFAEVIQMTDIFEGSIIRLARRLDEFLNQLRAAAHAVGEGDLESKFAAASESLRRGIMFANSLYL >KZN00661 pep chromosome:ASM162521v1:3:7406438:7408224:-1 gene:DCAR_009415 transcript:KZN00661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSRHRGFEPKSRSEFRKLAVESALDRALNAETQTKGLGSKCRTEQEKAAWADCLKLYQDTVYQLNQTLSNKFGTEFDKQTWLSSALTNFETCRAGFIELGVSKFSLLPVLTSNNNVSELIRNTLALKNNDTTSEKKTYKDGLPTWVSPSDRKLLQSSTPAVNLVVAQDGSGNYKTIKAALDAAAKRSGTSRFVIRIKSGTYKENLEIGNKMKNIMLLGDSMRTTIITGSRSVGGAVTGEGFIARGITFRNTAGPQNHQAVALRSGSDLSVFYRCGFDGYQDTLYVHSQRQFYKECYIYGTVDFIFGNAAVVFQNCMIYARKPMDKQKNMVTAQGRDDPNQNTGIVIHNSRIMASADLKPVLSSFKTFLGRPWKKYSRTVYIKNYMETLVDPAGWFEWDGNFALDTLYYGEYQNSGPGSSTSGRVKWGGYRVITSQTEASKFSVANFIAGKSWLPATNVPFTSTI >KZN03304 pep chromosome:ASM162521v1:3:43398333:43400826:-1 gene:DCAR_012060 transcript:KZN03304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTEEEIARLFRIRKTVLQMLDDRGYLVGDAEKELTKYQFLQRYGDNMKREDLVISKTKKNDPSDQIYVFYPEEPKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCIAEISSKFNLEVFQEAELLVNIKEHVLVPEHQVLTKDEKKTLLERYTVKETQLPRIQLSDPVARYYGLQRGQVVKIIRPSETAGRYVTYRYVV >KZN00428 pep chromosome:ASM162521v1:3:5252817:5254081:1 gene:DCAR_009182 transcript:KZN00428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQYFSLEGHGSVSRHDLINSGSFLRLGAPHQPVDDYEMTANSFDDNGYVSLSPNGSVQNSGFLSSTMTSLSDDRNSVEYSRIEFPDINVVRENQQMVTQYFSLEGHGSVSRHDLVNSGSFLRLGAPHQPVDDYEMTANSFDDNGYVSLSPNGSVQNSGFLSSTMTSLSDDRNSGDSMKKKSHPLVGLLNSPNQLITKEALRPTACPDTATSRKGLEILYANIPSSKDNVQESSNAKFVTQALSAPIGNINLEAEQSAMQQQIVDMYMRSMQQFSESLEKMKLPMDLNKPETDNQGNVIQNLNSSVEVEKKKDAARVFYGSRAFF >KZN02987 pep chromosome:ASM162521v1:3:39879957:39883107:-1 gene:DCAR_011743 transcript:KZN02987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLGFNGPSGFSARSTAEQVTKGIDGTGLTAIVTGASNGIGIETARVLALRGVHVIMGVRNVKAGNKVREDILKNIPNAKIDVMEIDLNSMASIRKFASEYISSGLPLNILVNNAGIMAPPFTLSKDNIEQQFAVNHLGPFLLTNLLLDTMKKTAATSQKEGRIINVSSELHRYGYKEGIRFDKINDESSYDGNLAYKQSKLCNLLHTNELARRLKGEGVNITANSLHPGVIATNLISHMTLLSWVSTIAQYVTKNIPQGAATSCYLALNPKVNGVSGGYFMDSNQAEPGSLAKDEELAKKLWDFSLELTASK >KZN03485 pep chromosome:ASM162521v1:3:45001989:45008170:-1 gene:DCAR_012241 transcript:KZN03485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLLKEALKTLCGVNQWSYAVFWKIGCQNPKLLIWEESYYGPITYSGVPPMPDLVSPEACNSLGFQGGDRVQLLVNKMMLDNYVYVVGEGLVGRAAFTGNSHWILTHNYTKEYYPPETVAVIPVLPHGVVQLGSSSSIIENMQFVDDVKTLILQLGYVPGSLFSDNLASKEPSSEIGALMIENPASMSSTGKCNFTDLTSYTTNNYGQQNDSLAQMLGGQTSNLARQIQDDRQSSGPNFQNCHLFVSNNHSQAQVIPNIKTTADHKNQTENEISKAEIVTADSKLWQNEEASFHIPRSIMNQQSSLGPSAIVPGNRIVEKKMLVNAAVGNGGLNESDAFLSKWVIAGLNSNHTGSSSAPPHKPINPHNALGSLPETANVSSVLSVNGMAKRHNLPVLSSESEFQNGSQYSTELSTLSFATNSNSAVNLLPASITNKKNEIQDITCTQGDSYRDKEGVKNIKFQQRNVPFPSSENHSNMSSHSSGFIHDTQKQNSGYQDIAHAQYEDAYAQTQSGNDLFDVFGMNFKNSLFDESWKGFVHDGPGPDSNYLDKNTNTLYKIQNASSELQVVSEENSDSGVLCRTGTDHLLDAVVCRVQAGTKQVSDENLSCRASLEKISGPIAATASTSSRLANNSNQVQEACSNPAKSLPEEGALKSYSFNYKPCKEEPGKFSQTTSFYGSQSSSWVEQGPEIKQSSSTTTFSKRSDEISKSNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNSSKCSIDALLERTIKHMLFLQSVTKHADKLKQTGESMIINKEGGLLLKDNFDGGATWAYEVGSQSMVCPIIVEDLSPPRQMLVEMLCEERGLFLEIADIVRGLGLTILKGIMETRNDKIWARFAVEANRDVTRMEIFLSLVRLLEQSVESKAEPANCVGGIDGAMSHQAFHPGVSIAATGRPCNFQ >KZN01919 pep chromosome:ASM162521v1:3:26946394:26946669:1 gene:DCAR_010673 transcript:KZN01919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWWGIRRQVKFLNRQGDSSTSHPQYSTSFVKGSKDKLEQESDEENKDENNDEDNGDVEEEREDPDEDDKKAVKRKPYCLREYKKCKEGKM >KZN00475 pep chromosome:ASM162521v1:3:5635324:5639052:1 gene:DCAR_009229 transcript:KZN00475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEASSYSNISSLFSTPNSNNKKSQFTTTTTTTSMISKVKVIVRVRPFLAHEIKRSFPLLSCASLFDSNQDQEVTVHLKDPDTSRNECFKLDSFYDQEDNNVTTIFDKEVSPFIPALFSGCNSTVFAYGATGSGKTYTMQGENELPGLVHLSMSRILAMCQGMTSLVEMSYYEIYMDRCYDLLEPKAEEISVLEDKSGQIHLKGLSRVSINSMSEFQEAFSCAIQRRKVAHTGINDVSSRSHGVLVIAVSTPADDTSGHVMMGKLNLIDLAGSYTISDLHPFECQQFGFSTNWLRSGNEDNRKTCNDGIRLQESAKINQSLFTLSQVIYALNNNSPRVPYRESKLTRILQDSLGGRSRALMVACLNPGEYQESVYTVKLAARSRHISNFISSGQKQETPTVKVDMEAKLRSWLESKGKTKSTQKCGARENPFISRTPKSASQSKKQNSSLKPHKVSNQSASNCKERTLMKPRNLYHRGDLVGPVLEGPDAVSKKIVPPGHSVLQSNARTPEPKALDTENADSTGTSPTNRKLEALQDSFRKVLSPICSNMNIKKVSSIDQMCLILYDPKTPKATQLRQNDMFQENATSLGRYTAPSSKLKNSLVQQYIDLLNTASKDELLELKGIGEKMATYILELRESSPIKSLDDLEKIGLSSKQVKSFLS >KZN00336 pep chromosome:ASM162521v1:3:4323402:4325926:-1 gene:DCAR_009090 transcript:KZN00336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDAYKWQLEFKPEKIRWEDIAYEAETGKVYRADYFDRLGRSVVVMRPGYQNSTSNEGQIKYLVYSLEKAIMNMGSGQDQMVWLVDFQGYALSKLSLKVTKDTARILQNCYPERLGLAILYNPPKVFETFYAMVKPFLEQKTYKKVKFAYSNDPQSRKVMESIFDMDKLESAFGGKNTAGFDYKTYAQKMKEEDKKMSDLNSGCPHPSDMPVLLHGHSLPSIDGEFEAPEDDPQMLSHLDVINEINGAESESTKDVANVEIVAAENGHRKDAVAEGKNKKDTSIQGRT >KZN03385 pep chromosome:ASM162521v1:3:44215574:44216669:1 gene:DCAR_012141 transcript:KZN03385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKYVQLVMLLLVAATLLVASEANRNFAQGPQNSDSGFNLTSGWPWNSPDSPQNYTSPPGFNFTANWPWKAPNVKQSSRRIVVGDDQKWQFGFNYTNWAIKNGPFYLGDTLVFKYDPPSNTTHPHSVYLLRNYGSFANCDLRRAKRLASVTQGAGTGFELVLKNRKPYYLACGESNGFHCKVGLMKFSVIPLIRWT >KZN01216 pep chromosome:ASM162521v1:3:13173459:13177265:1 gene:DCAR_009970 transcript:KZN01216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKSNSELSSHQKKIFKADDHIGVAIAGLTADGRVLSRYMRSECINYSYSYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERKFESFSSSSRESLLKDALFAIRETLQGERLTSSICTVAVLGVGEAFQVLDQKTVQALIDAFEIAGEEAPAAEGDTPDQTAAESGPATDQGPPAQPDVAPMDI >KZN00485 pep chromosome:ASM162521v1:3:5727507:5728190:-1 gene:DCAR_009239 transcript:KZN00485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLGQEDHHHHDVNPLFIGLLGVIAGAIIVATLHFMLLGWCNSEERRQEAQQNERPWRNVRIGNTTRANIASSSSMSNSSLQSIPTFKYTKECNEGVCAICLGEFKENENLRILPECAHHFHVPCIDRWLGSHPNCPLCRADIMPSVPEPAAAVSSRDSFDHLDILFQEHVEAVSSRNDHVLEVPSQDNTSEANIVSLEHVVTISTRSDADHAGATSSSEISVGAH >KZN00083 pep chromosome:ASM162521v1:3:1773003:1776110:-1 gene:DCAR_008837 transcript:KZN00083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFVADRATGLVVKLVSLAAEEVIQAWNLQENLVTLQERLEEIDALLSDADSKKLRMSAVQSWFNNLEDVARVADAFMDQLAYEVTRRKVENRSTLRHFFSTKNSILYRLKVAHKIKSIHTSFNKIFKRARDLGLQPVVQLTTTVQPREISNTPPCEDQSLIVGRDDDISFLVQTVCTNYAEDLPVIAVMGLGGQGKTTLARMVYNKDVVTDTFKKRMWVTVSDDFDFMKILNQMVVSLTSTASVLENTEGLINKLQSSLRGVKFLLVLDDVWNDRPEEWDNLRNSLLGVGGASGSKILVTTRKQKAVDVMQCVITHRVEKLSEQDSWKLFKRRAFSQGGVLETAKFVAMGRRMVERCSGLPLAIKTLGGLLRSKKSEQEWVQIQNSPRWNLDGVLSSLRLSYDNLPHSSLKKCFAYCSILPKDSRIMKDEMVRIWMALGFLLPPKGSNKLMEDIGSEYFNILLWNCLLQDGERYGGHIISYKMHDLVHDLALDISKHHSVTVKADDHELNDISKAIYVRVDEGVSNIKPPILQRNFEKVQVLYAEACIVRDLVPYPSHLIGLVLEESYGDAELPSSLSNLKYLKYLDISRCDSMYKLPDYIARLYNLQTLSVQSATQLPRKICNLINLRHILVARNYSVFKRSDMFSGIERLSCLQTLPHFVVSRDHQCFIGQLGSLKNLQGTLSLYGLGDVENMEEASKASLHTKSNIKHLKLVWRKNEDVMEEKEYNDEDVMEGLKPHTNLKELTVENFMGKKFATWITFMTNLKVITLENCKRCEEFPQLGHLPKLRVIDIYGMDNIKVISSHLCGSQGSISGENRAEETVATLYPSLRRLDLWHLPKLEEWLDPAMDASDEEPNNVLVFPQLEKLSIWRCSKLRRLPSSCYPLLKTLSIKYLGSSKLLESMSKQACGLTDLKLYNISGGVGCSSSSSSSSMNCIMAELLINNSVSFKNLSVRNLEGLTYLTLGAGLRGLSACDLPELNTINVVNGSDALKHLIISRCPNYVVFAKSVIQEGKWGGMVQNPTHQTNTWA >KZN02847 pep chromosome:ASM162521v1:3:38004205:38004979:-1 gene:DCAR_011603 transcript:KZN02847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGRRSTRLLRSFLSLNLRRSTTTSVPVGHLSVYAGNEMERLIRADKSPNLHPIAQQIGSSVWLQAERRASDPCDVVCFERVELVDDWSLDGGILVCHHVPLKIADVGLGISVSGKGFYCASVPLKSYTHELKATIYVVRHSIIIEMIEWQSL >KZN02377 pep chromosome:ASM162521v1:3:32836099:32839322:1 gene:DCAR_011131 transcript:KZN02377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLAIGWFSLSTLLLPLFGIVFSYIFRLTRKHSSMNYSSSFNYVKEENNIDDSENDGLFKDGNSDFCLRFKFPTYEEFSRSEYDSCNLISFEEKPSLSSRKYEFTPTESVSGFVDEMEPSRYKVERVNAEMKSCSFGNGEVKEDELWLDRVISSLYVEAESDHKEGYKVKGDELLLDRVISPLHVEADSDEKEIHKGSTDGLSVEHVIKDNDAPEVMGDEENLISEKDKSDACDEEEEPSSMDNGFLEAFSSNDVHTFKSHLVDSCNNGFLSDGEFGGTFVLDHMMDLDEHKEESDMKMKELDKENQESEDLGEEDSDIVKELRIFEEESLQPSHKFKYNFLSEKHFGEQLESSGRRDHNYIKGSGKLASPGLKNDSVMDSDQDTNKLETLWEHQDLIEQLKMELKKVRATGLPTILEESESPKIIEDLKPWKFDDKFHHDNPMGELHKFYKSFRERMRKFDILNYQKMYAIGFLQLKDPHQSISVEKSSAVDITTSLWENLYMYKSKKHETDPTKKFIKELQSDLELVYVGQMCLSWEILHWQYEVALDLWESDPRGIHRYNEVAGEFQQFQVLIQRFLEDESIEGSRVQNYVKHRCVLQDISKDKKKSRTSKRDEYSITSDMLVEIVEESIRIYWRFIRADKNCSTAILKSRKGQTELQDPADSQTFREVQKDFKKKDRMLKDQIRSGNCILKKLKKCREDDAEDQK >KZN01088 pep chromosome:ASM162521v1:3:11537095:11540452:1 gene:DCAR_009842 transcript:KZN01088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLRTFSGTANLSSTANLSSTSLSSLSYFCPKRNVKIRRKTTPVKSAAVESPPVPSSPRNSAAGMKLLEASSVPSASRDRRDDMRAEGKAMARAAYVSVYDPQIIYDKYKSRPFKVVGRSLQILSGIGFFGFSLWVDQLQGQLDQRKRLRAIELRNILTRLGPTFVKIGQGLSTRPDLCPSEYLEELSELQDDLPTFPDAEALSCIEKELGVPLESIYSSISATPIAAASLGQVYKAQMKYSGQTVAVKVQRPGIEEAIGLDFYLIRGLGQLINKYVDVITSDVVALIDEFARRVYQELNYVQEGQNARRFRKLYADKADVFVPDIFWDYTSAKVLTMEWVEGVKLNEKEAIERQGLNVLDLVNAGIQCSLRQLLEYGYFHADPHPGNLLATADGKLAFLDFGMMSETPEEARSAIIGHVVHLVNRDYEAMARDYYALNFLAPDVDVTPIVPALRNFFDDALSATVSELNFKTLVDGLGGVLYQFPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKNGRWNRLEDLLVQGKMDRDFSAGDALQPVLKLLLGPDGEELRVLVIKESTRVTEAFMLGSMIDGYNSIPSPLKNLIVNNNTVGPPAMSTTEQKALMDLRDQVSRIWKLLQSSENFDPNVLQPILIVLQEPEARSLGGRVFGGITQRLSARFLQQLLRTPTVVPAPSSS >KZN01805 pep chromosome:ASM162521v1:3:25388385:25388885:1 gene:DCAR_010559 transcript:KZN01805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSTGSIGFIGSMNICDCGKRATMYTSWSLKNSGRRFFTCSEKSELRCEYFQWFDQEVDGRNGDVITHLNNRRIFLEEKIKLLEERIVILEGKVAKKKGKNRAMEKTVMFMKLMTCVFVALFAMLLMVCKTKGSSGWL >KZN00147 pep chromosome:ASM162521v1:3:2486948:2497263:1 gene:DCAR_008901 transcript:KZN00147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYAEELVREYLVFRGFTSTLQTFEKELSTDIGKGFQVDKILDLIFSVYIPKFQVENLIGLLRFFKQCFSSFEAVLTTALAKIEVSILKYYIIHAVQFGRTDKVVEFFELNGNDLLQSNEDWTSWFDFEKEIHREEDFPEVKVVFQETFLGHTSPISRCRFSASGDNIASASIDGTVRQGTDTISKLGCASLTVWNMRTWKAMYEWSLQSPGKVLWSRNCNRFCNPQNSVQPRHEMALDANGRRLLVTSGSVRAPIYQKSFTRQDTIESYGTHGFAECFKLADLGCSSGPNSLLFVKNIVDIVHAVCLKKNVKTPDEFQVFLNDLPNNDFNALLKLTPEFSSMLENEKGLDKNVKCFISGVAGSFYTRLFPSKSLHFVHSSSSLHWLSQVPANLLDSNKGNIYMAKSSPRSVYEAYYYQFEKDFTEFLRLRSEEMISNGRMVLTLPGRSSADHTIKECFYIFKLLGNSLLDMSAEGILHEEDITSFNLPLYTPCTDELEAIIESEGSFSLDRFETSEVNWDMREEDEIMKSGESSDKLIAKTMRAITESMLASHFGDTFIEEIFERYAMLVAEHLSMVKTAYLFNIVVSLIRK >KZN03723 pep chromosome:ASM162521v1:3:47442646:47444065:-1 gene:DCAR_012479 transcript:KZN03723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSNVTLEIFSKLEQKWLYHCDGKKTRVLSIDGGGTTGIVAGAGLVHLEDQIRRKTGNSAAKIADFFDVIAGTGIGALFAAMIAADDGAGRPMYSAEEAVKFVNENQLKLYKLKNGGVFRKKKRFDSNSMERVLKLALTRDDGTVLTLKDTCKPLLVPCFDVKSSAPFVFSRADATESPSFDFELWKVCRATSATPFLFKPFNLTSIDQQTSCLAIDGGLVMNNPTAAALTHVLHNKRDFPAVTGVEDLLVLSLGNGPLWNEVTKKVRRNGECVKSSIVDIVLDGVSETVDQMLGNAFCWNHSDYVRVQAFACASEGEKPTAEQVLKERAVESLPFGGKRLLAETNGDRIGNFVQRLVATGRSSLPPSPCKDIVVSPLANGR >KZN03143 pep chromosome:ASM162521v1:3:41699040:41700961:-1 gene:DCAR_011899 transcript:KZN03143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSQMDCPICNYSVSPIILRPLRYTICGACYEGARSLIALINKLHNGNNDKGTSKANHVISSYQSSNKGIGNALKWVKEMTEVEEELNEKVRFLGGFVSAFKDHIHTDINVKPGDFGPSIPAHRALLASRSSIFKNMLEPDSYITTDHPPGGTITLAELNYEELQCLLEFLYNGDLSKEKVEKHVYSLSIAADKYEIPYLQRFCESQMLRGLNLSNVLNVLEISDTCSSLNLREEALNFIVRNMGDIIFLPSFEEFALKNPHLTVQITRASFLENKNTRNVI >KZN01658 pep chromosome:ASM162521v1:3:22692995:22694133:1 gene:DCAR_010412 transcript:KZN01658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDTFRSLKNLKIPGMYEYQIKVIVTRVWSGGTYNRNGRTGMNMFLTDIKDNRMHCWLPSTLTLVFNEDFVEVESYIIKNFMVSTYKGKYRCCDDQFHIILMDSTIAYNLNNGNTVKANEIFKFTKLSTIDTSCFQDEYCIDVIGLLKERKPLDFLVKENNEEEPILDFILKQNWYYNNNKHKNDDAGTSGC >KZN00916 pep chromosome:ASM162521v1:3:9848787:9851037:1 gene:DCAR_009670 transcript:KZN00916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAFVNQGDSYGISANQAVDQLDLPPGFRFNPTNEELITHYLLPKVLDSNFTAAAIGEANLNRCEPWDLPKEAKMGEEEWYLFCQRDRKYPTGMRTNRATESGYWKATGKDKEIYSKTGNSRKQLVGMKKTLVFYKGRAPKGEKMDWVMHEFRLEGNYSFPAKAKDEWVVCRIIHKNTTTITKPGSMLDLTRMDSFIEGLLDSPLLPPLIKSQIPENNEKTHASNSTKIIINTSSRIPDSGGTAPPNYPITTGSLDANDINYHPNLMLPKNHNATSFSPTTNYKMPNSISYSQNPYHTSLTTSYNLLHQQNLGQFTPRVPGSYNPQQVTDQALQRHCKVEQLSSNQSMVSRSQDTGLSTDVTAEISSAKQENNSNDVGSFHDIEDGPLSDLESFWSY >KZN01907 pep chromosome:ASM162521v1:3:26766957:26770283:1 gene:DCAR_010661 transcript:KZN01907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKHLHLQPVITNPHKRHLTNISNLSNRLLPFQSDQKRALILRPHSYPTNSNSNRCIKVRSQLNLPLISPNDQWGNWTSLFAIGAFGIWSEKTKIGSSLSGALVSTLVGLAASNLGIVSYKAPAYNVVLGYLLPLAVPLLLYRADLRRVIQSTGTLLLAFLIGSVATIVGTVVAFLIVPMRGLGQDGWKIAAALMGRHIGGAVNYVAIAEALGVSRSVLAAGLAADNVICAVYFTTLFALAANIPPEAVSATSDVENDNESKTNSQLPVLKSATALAISFAICKTANFITNYFRIQGGSLPAITAIVVVLATAFPKQFAQLAPSGEAMAMILMQVFFTVVGASGSIWNVINIAPSIFLFALIQVSVHLAVILGVGKLLRLDLKLLLLASNANVGGPTTACGMATAKGWSTLVVPSILAGIFGIAIATFLGIGFGVAVLKYM >KZN01572 pep chromosome:ASM162521v1:3:20768593:20770575:1 gene:DCAR_010326 transcript:KZN01572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGDDKAVEEALRSLLLCPWEGPRVKIKDPSEKDRLEKGEKRYKQFVIGNREWLDVNLQANLIKGDNDSWRVAGNQIHYKLMRNMNKKEYEEDAKTRNYVEALLGTNSRWKEEYRNGNDDSEWTVVEKKKRKGIKAGATISVAKIPLKAKARDLWSYFGRAVRVMDIILPRKRDRRNNRIGFVKVQTEQEAIRAVEVLAHTKFEGVRMDILLTGKNQKNGGDSPTRENMDSKNRSMDRRETRIHEASNIKQLDTEDCRADPPKKEKESCLDQDIANSLNLVPVKEDSIVDTRNCLIGFSAFHLRGEILQEVLIEMGMPYIEVKEISCWKFLLRFSSVEEMKVWDGERLKDWLCLTRNLEEEDLFPKRRVLIEIRGLPIQFWSEENLEKMTQKYGLWGWWYNRPDSQVKIENPLIWLYSDCLEKIEEMIEVKSGETKNGVKIVEIEYSYGYLFDTVHQRINSPKSLGQSRKGKREDKQSMGGVVDSSLISHISETEGWHYVGCVIGETAMDNLVTRDEGNGSGRISIRKDDNLEWEFPNSIDRRDRDLVEVGDLEKLESSQEESLCSLMKTIKLKGVGRPRRKKGKNKCPFDIGRCKWWKQNYKKAIKNTPLRVEGSQKYSKQNVAQQIVHTTNLLGLELAKGKEEATSIIKEQLLSGVI >KZN02389 pep chromosome:ASM162521v1:3:32935470:32936723:-1 gene:DCAR_011143 transcript:KZN02389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSKQEKHVCRKCREPLPSLPRSYSMHVHHLPRDETDTYHVVALTSTTLGSLKPDAFGQIYSVRDLRDQDEKEMQKDEFSMGLVEAKSWSRMIDEKLPKVVSRTPVETPPGEPETINAWELMEGLEDMSSPVHSNHRHFRSLSFSFGPNSVNSSFKHPTPNMHRNCKGSPRPLWMELEENDSDSDLDTDETSIVSGFDPDVISEIRKSLEKLPPDNLFHLKALEGEKVMDDEELDVRDFKAFSIGCKKEKVVLYFTSLRGIRKTYEDCCNVRAILKGLKIKVDERDVSMHLGFKDELKELLGDGFGRGGLPRVFVGSKYIGGAEELQKMNDEGKLEKFLGDCEKIDDQGGFGDGSVCEGCGDVRFMPCETCSGSCKIYYEADYDEYCEEEDECGFQRCPDCNENGLVRCRICCE >KZN03447 pep chromosome:ASM162521v1:3:44711630:44712424:-1 gene:DCAR_012203 transcript:KZN03447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCGGLRHIFEKAMPESPGDLLQSFASWKHLPPHDVSSVTEFFGELHFKESTEHVSPVGPCLAPLSSSFLIDFNTQTKSDGLKEEGNEKNYTPPVGKQYKDSTSRYSSMNSESLSICTEGLGFESCKDTEEFVSNSGLKQRKVRSSVTKHSSSEYLSGMRSRITGGELPPPISRIGRTGKPAVSFTSFRQNGRLVLKEVKMPVQESLHACREDGRLKLQYIQSEDDVIQENKEKEENIEGNEDWEDQNACNGGKIVEDEGMTG >KZN01955 pep chromosome:ASM162521v1:3:27387924:27388316:1 gene:DCAR_010709 transcript:KZN01955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSSSPFNRTSKRYNRKRPVGYNATFDGRRKSVKTVTLGTSPKRSWRIRSVAKLKFKLILSSPMKLWRKLKNGDMNLNSSSSASGFGEKRLPEARKSSRVSSTNTEFDNRLVFEIYKSLATSHELAAN >KZN01489 pep chromosome:ASM162521v1:3:17258342:17260336:1 gene:DCAR_010246 transcript:KZN01489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFQNDLAIGLVATALATSYILILTFLLSDTHIAFLSTNSRWIVNANSGRRVKLACINWPGHMNPLVPEGLHKKPLRNITKDIATMGFNCVRLTWATEMFTNKAYANLTVAQSLERWNLVAASFGMSLNNPDLMNKTLIETQKTVIDALGHENLMVILDNHVSFPIWCCDWNDGNGFFKDLHFHPVEWVQGLSTVAKLYKGNPTVVAISLRNELRGPRQNEFDWYQFMEEGATAVHLENVDVLVIVSGLSFETDLTFLRQRKLNFGVNVNNKLVYEAHWYAFADPPEKWIFSTNEFCAEITEWFMSQTGYVIYERSPTPIFLSEFGKDQNGASEAENRYFICVMALLADKDLEWALWAMQGSYYLREGQVEWEEPYGMYSFAWDKIRNSSILQLMELNQQMIQDPTSEYASYYAMYHPQTGRCVSVNKNNVTTSSCHRLQRWGFEYDENGSRITLVGTSGCLTVVADKAPVKVTGDCSSQKSTWKFVSKSKMHLAARDEKGMDLCLEWDSLNSTIVTNKCLCLGDDLGDLPWCSQNPQTQWFKLILTNKHY >KZN01525 pep chromosome:ASM162521v1:3:18827894:18830711:1 gene:DCAR_010264 transcript:KZN01525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTHMNLLQLTNDNTSMEERIKMLQAENSILEHKIKLMEIQQTHDEAVVTVLKNHIEERRAFNRLLMDDSNFKPSEMERREKLREEIINEREAKKRAKVSPNVDEQEKNPFFTCWVPASVCLPASSPSFLPPSARLALDSLLTPLARSSPQTPSQRLLSLISCQMEAMPRRRGRPHVSVTQVIAEARRQAACCTGELAGIAVGATVMLNILRASQLTLPMCLYKGATGASMNPVRTLGPSIATNNYKAIWIYSTAPILDALAGAAETLASLWCHRPRVVITEAVAEARL >KZN02549 pep chromosome:ASM162521v1:3:34363720:34366166:-1 gene:DCAR_011303 transcript:KZN02549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGRPVLSSLLAKKDQEEAGSAGMKSLRAFALLGAGVSGLLGFATVASADESEHGLECPSYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLVSYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDKFPEPYPNEQAARFANGGAYPPDLSVITKARHNGQNYVFSLLTGYREPPAGVSVREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDIVSFLSWAAEPEMEERKLMGFKWILVLSLAFLQAGYYRRMKWSVLKSRKVIVDVVN >KZN02550 pep chromosome:ASM162521v1:3:34371420:34374829:-1 gene:DCAR_011304 transcript:KZN02550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGLINANPVVYEKKERRPRTGPVVLEDEYAVESIDQDIKDPEHPYSLEDLKVITEDAIEVDDKRSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPSRYKVDIRVAPGTHATEAAGLAPSLPPSPPPPPLHLALCLCSFLVCSKHYMFEADMDQGVRVPGT >KZM99980 pep chromosome:ASM162521v1:3:768196:774429:1 gene:DCAR_008735 transcript:KZM99980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTGLETEHTSLAIYILMRAAVLASRCGIKSKRFGRVCKPLTWAHGDILLMCLASSQILSAYILKQDSLPPSYKSFLNKHGGKAAVILRGVKELACGMPCTELEMVEKHYKTMGVDIKLDPQMKVPCSVEAYKRALPVYLPVYLIPALIVHRQGLAERPYTILGKGLFGTARSSLFLSVYCSSAWLWTCFCFRLLERCNIPMVAIATFPTGMALAIEKKSRRIEISLYCLARAIESFFTCMADIGYLPAQSKKLKRADVVVFSISTAIIMHCYAMERDVFRSKYLNVLDWVFGVPLPLDEATPRKGR >KZN02312 pep chromosome:ASM162521v1:3:31919444:31927923:-1 gene:DCAR_011066 transcript:KZN02312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPCDMIEEILCCSRVKDLLRYRCVSREWCSVIDSTAFAKKHLKKTMECNADGVVIFQGEENFYLADLECLAGKDDVAAIKLNDPLMADAFIFGAANGLVCLEMDEMNEFLFFNPSTRQVRKIPTFPAEFPCFNSMDSSWGFGYDHVNDDFKVVKIGQCLVGHMVFVYSMKTNSWTQIKDVPILFSIDAWGVFASGALHWEAIKDAVNSTSIILMFDLETEQFREAPFPSVDRTSVKYYSQRLFSVGGSLCILDNCLSHTDVYLMNSYGAENFWHKSFSVERSGTLGYFRYLRPIAFSKSGSVVILEVDDEKLVWYDIENKVVRKNGIPNMFLTQPYTESLLQLNEDKHIQKPRLASKREEPGKEKFGLGSDPFVGSSLIGLYCRYGDIEDASKVFDEIIEKDLVAYTSMISGYAKIGDHRAYEAFEIVKCMQMDGIDPNRVTLVSLLHAAAQLQDVEYGRSVHGYATRRGIGCFEEVFETCLMDMYLKCGKPYNAMILCSNMKFRSVASWNALIVGHLQLGQPEEALNLFVLMIQERQKPDLISLANGILCCADLGLLREGKSVQGYAIRSGIELDIVTITALIDLYLKCNNTYTALKLFNGIEDKDVISCNVMMTGFLQSGSANEVMKIFHEMVIRGIKPNQSTFLSIITACSNLGDIRQGKFIHGYVIRHNFESNTDIANQVIYMYAKCHFIDCARKVFNRLKRKDLVSWTSMMMGYVNDGHADEAMYLFCLMQGDGVLPDSVTLICLLQAFTQLKYFSLAKEVHSFMYRVCMENEIPVINSLLTTYSKSGKLHLSEKLFAHMKRRNLASWNSMIAAYGMHGRCHDALNLFKLMEKECIVPDELTITSVLSACSHSGLVEEGLSVFRSMKEDFSMIPCEEHYSCIVDLLSRSGQLEEAYHFLQSVPLQHCGSAYSSLLAACRVHGKTKLGEVIGRQFMEIESQNPSAYRMVSNLYAEDDRWDAAAQIRSAANERGFKRTAGYSMVELENQRKSEAPKAAPTAPKQNRVAPISVLATHIMKKFNMETGRFKSLEKPAKSEGGLELLQAEICLSDQLYEDKPTEISLVGLYWTSGFTGKFVIKEALKFLNTPSSPLKSLALAGRNQSKLTQALSWAAHPNPPPSIPILTADTTDPSSLDRIAAQTKLVLNCVGPFRLYGEPVVAACVGNGADYLDICGEPDFMERMEANYYDQAVKNGSLVVSACGFDSVPAELGLMFNSRQWVLPAVPNRVEAYVSLESDKRVVGNFATYESAILGIANAAKLQELRRSRPRRARPTIPGFAPPKGSTIEHQKDIGLWAVKLPSADATVVRRTLTTLTENPHGLQGANESAEQAEKREKFWSTIKPAHFGVKLGSKSFLGVLRFIGVGVMMGLLGSFAFGRSLLLKFPSFFSLGWFRKNGPTEEEVAAASFKMWFVGQGFSDSSLASGKTKPDMQIITRLTGPEIGYLTTPIILIQCALVLLKQRDDLPKGGVFPPGIVFGPTELQERLQENGISFDVVSKSSLTS >KZN02241 pep chromosome:ASM162521v1:3:31242061:31242249:1 gene:DCAR_010995 transcript:KZN02241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKQARRGNHILSYLRFMFCAGLLLKPCKYIQGETFRDEKKTKSRGRNCYRSEQSEERRV >KZN01078 pep chromosome:ASM162521v1:3:11361691:11366572:-1 gene:DCAR_009832 transcript:KZN01078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGDKTNRQLNRAICSANTEGRSAASPSVIIIGGGMAGIAAARILQDASFQVTLLESRDRIGGRVCTDYSFGFPVDVGASWLHGVCEENPLAPVIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGNKVPQDLVTKANQVRAENSEDMSVSCAISIVFKRRPDLRLDGLPHKVLQWYLCRMEGWFAADADTISLKGWDEASFVFSLEELLPGGHGLMVRGYFPVINTLAKGLDIRLGHRVRNIVRRYNGVKVTVEDGRTFIADAAIVAVPLGVLKSNCIKFEPRLPEWKETAIADLGVGVENKIILHFKEVFWPNVEFLGVVAETSYECSYFLNLHKATGHAVLVYMPAGQLARDIEKLSDEAAANFAFTQLKKILPDASGPIQYLVSHWGTDVNSLGSYSYDVVGKSHDLYERLRIPVDNLFFAGEATSMAYPGSVHGAYATGVLAAEDCRMRVLERYGEVDLFQPVMGEDTPVERRRIGERRRRVSELEREQRGFRLQIYAYLKVEIWLLLCTIVLLVCDCAMDLNSGTT >KZN03587 pep chromosome:ASM162521v1:3:46037888:46039570:1 gene:DCAR_012343 transcript:KZN03587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDFGLSSPNLIQTEYRPLEVLEKEIYTQAEQASISKINVPEERNLVSIDLGHDINKGKEADMGFGGAHHELPCSLSPEIDFPLKNKPDSNSASLFELLGRYEKRGKNLADEHCNPSSEITTSSRKLSTEEIIRVAAERYIEFPGKDLDCVTTFIHPYGSALSSLSLEETRGADLAHLLLAAADKIWDGKIDSARRLLMRCECKASKSGNPVERLASYFSEALQERIFRETGSRRIKMVSYEARTPNNGLSTGVDKTVLATHDDIPFSKVMQFATTQTILDHVAMETNIHIIDLHIRSGIHWPPMIQALSERKAHPIQHLKITALDTEDKQKVEDICKRLESFANPLNIAFSFNVVTVDDIRDLKKELFGIQAGEAVVIYAPTILRTMIPWPDKLETLMRVIGDIRPLLMIVQEVDANDNSPSFINRFVEALFFYSTWFDCLEDCLDRSNQYRMTLENNYFGRGIINCISTEGEERITRSVKIDVWRSYFARFQMVEVEVPKSSLRQAEMVLKKEFPCARFCTLNNDGKCLIIRWKGTPMFSLSTWKFQEAPLYVELFD >KZN01961 pep chromosome:ASM162521v1:3:27415553:27416884:1 gene:DCAR_010715 transcript:KZN01961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNENNNSSSNNSNSGTTAANIVSSSSSNSSPRRLHSINIINDVTNSIDPFYNSPTTCSSYNQFLPSDDEYFSTFNPCINDFLLSTNTNSLSNSPSSDDLSFDHFSNAIAAQNRLNQANFILENNHLHDYSYTTYLSTLYKSIKQLNALRQENENLRLANADLVSRINLLSQAHGRVSSSRPFLNNNYNSHNSVGDTRAITKSSVSPTSVIQQNNLDKRNHRRSAAVHPKNISVRSANHLKTNDQRGDITGNMSRANPNSNTYQQGITTAPSLNQQRRAYLNGVKRELEGFEMDIEVYNQGMQKTELCNKWEESGECPYGNNCRFAHGIAELRPVIRHPRYKTEICRMFIAGNKCPYGHRCHFRHPSTAHQDILQAHASFN >KZN02551 pep chromosome:ASM162521v1:3:34377677:34383934:1 gene:DCAR_011305 transcript:KZN02551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLAQIQADLRSNDARRQSGALLQALQQSAAGRDISVIAKSAVEEIVLSPASAVSKKLAFDLIRNTRLTADLWEVVCAGIRNDLHFPDPDVTAAAISILAALPPHRLGNLISDCSQKILSCFDSKSQNLRFATTETLGSILARDDLVTLCENNFNLLDKVSYWWKRIGQNMLDASDAVSKVAFESVGRLFQEYESKRMSRLAGDKLVDSENSVAIRSYWVSSMVDFAWKKRNALMSRSLILPVENFRATVHPLVYAVKAVASGSIEMIEKLYMSSRMAKIEKNVFSNAERLVGVSDVVSHLAPFLNSSLDPALIFEVGLNMLYLADVPGGKPEWASASIIAILTLWDRQEFSSARESIVRAVVTNIHLLDLSMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLPGTEVTSLFEEASIRADLNIVSSKSLFREELVATLVESCFQLSLPLPELKISGMESRVIGALAYGTGYGALNWTEPALEVVEVCKPCVKWDCEGRTYAIDCYLKLLVRLCHIYDTRGGVKRVKDGASQDQILNETRLQNLQRELVKALPQVNTPRVCARLIWSIAEHVNLEDLDPLLADDPDDPLNIIVSHIHKVLFHPDLSAATTNSLQDVQAVLLCAQRLGSRHARAGQLLTKELEDYRNDKASDSVNKHQTRLILQRIKYVQSHSESKWAGFTEARGDYPFSHHKLTIQFYDASAAQDRKLEGLVHKAILELWRPNPSELTLLLTRRNDSSLLKVPPSAVTLSGSSDPCYIEAYHLTDSNDGRITLHLKLTSFQWLTKSSELFQVLNLTEIELSRVDIKVGLSGPLVYMDGSLQAVRQLRSLASQDPVWCSVTLAVSSFERCALWVQVMYYPYFGTGEPDDYDDEDYVNIMRHNGTLNQDVGDPVILRCQPYKIPLTELLLPHKISPVEYFRLWPSLPAIIEYTGAYTYEGSGFTATAAQQYGTSPFLSGLKSLSSKPFHKVCSHMIRTVAGFQLCFAAKTWYGGFLGMMIFGSSEVSTNVDLGDETTTMLCKFVVRASDSAITAEIGLDIQSWLDDLTDGGVEYMAEEEVKAVAEERLKVSMERIALLKAAEPPPKMPNSDDEEEEADKEKKDEYGEEKEPSTLSKLTAEEVEHRALQSAVLQEWHMLCKDRNTKMFVSAVLSRYMESKARRKGSLALESCSWAFDPSTGEFSIAPKTIKQKEEDSVQVTAETEDFYSVCSCFSYCSNTATNMEAFVSVRSNLSECSSLSHEGYLEWPRRSIILEFCHCEGWPFGLCRRALLLPPLPKSPSESWLWCKSNSARMV >KZN03151 pep chromosome:ASM162521v1:3:41769935:41774373:1 gene:DCAR_011907 transcript:KZN03151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRVQKSVQETTEQEEIQHGPFPVEQLQGSGIAAVDVKKLKDAGLCTVEAVAYSPRKELLLIKGISEAKVDKIVEAGMLCFSSVVLLYNFPVAFSDLPSKLVPMGFTSAGQLHAQREEIILLTTGSKELDKVLEGGVETGSITEIYGEFRCGKTQLCHTLCVTCQLPLEQGGGEGKAMYIDAEGTFRPQRLLQIADRYGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALVVVDSATALYRTDFSGRGELSARQMHLGKFLRSLQKLADEFGVAVVITNQVVSQVDGSAVFSGPQIKPIGGNIMAHASTTRLALRKGRAEERICKVISSPCLAEAEARFQITAEGVTDVKD >KZM99997 pep chromosome:ASM162521v1:3:944841:949729:-1 gene:DCAR_008752 transcript:KZM99997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPVGFFNTLWRFITFLPFFFLLFLLGLLKGAIVCPIAAGIIAIGDSAIVIGLWPAHCIWTYYCVIKTKRLGWVLKFILVLCLPPPLFLWPIIVIVASILGGIAYGFFAPLIATFEFIGRNTTEKMLHCFIDGIIPTIEGSCTVVRDFTDFCFHSYFSYMDELIEEIPADENPIDIKLLKLPQSLLVMVLAVPVDVPLITAIALWKSPYMLYRGWKRLFEDLVGREGPFLETVCVPFAGLAIILWPLAVVGAFIGAFFSSFFLGLYSGVIVQQEDSLQMGLAFIIAVVSLFDEYTNDMLYLREGSCFPRPKYRRNIGPPSSIERLKSIDNDTVEKNEREGFQSTKLVSQRSRTMKWGIQQYTLVQSCEVNGRILLRDGLLDVKDIAECIVKGNCKKLGIKLPTWTILQCLLASAKSESPADDIQLTATNWPRDKMFEWFVGPLLIMKEQIKGMKLESNEEICLRKLVMGYKNEKTEDWNDSGFPSSDTVRRAQLQSIIRRLQGIVAFMSRMPTFRRRFRNLVKVLYLEVIQTGQEADQDGTNVKPKRRVRLFLGRGHKKDKGTTAETSSQDKTDHTEMV >KZN01234 pep chromosome:ASM162521v1:3:13416269:13421136:-1 gene:DCAR_009988 transcript:KZN01234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDNESAGGSPLGTLDTNILHSHMIRSVDSLMTESGSTVPEVAGKTDEQALIQLGVYEDLNLPRTYFNTQQSKSSIPKRRGRGPGVNSVINSLNTANDLPGACHQDLTLLPAYPNNLQLISQSVGAHLKSNADVVRVYVDSVINKMEATIFDDASVLAPGIISNAAPRRRGRGTSIDEFVTNTTDGTSQKMHYLHAALSEMLRLYPALPVDGRCAEADYILPDGYRVKKGDNVYYIAYAIGRMSNIWGDDAKDFKPERWLDNGIFQPESPFKFIASHAGPRMCLRKDFAYRQMKIVSVGLIFLFVI >KZM99994 pep chromosome:ASM162521v1:3:931675:931974:1 gene:DCAR_008749 transcript:KZM99994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAFRRLDISKMGEPRVEEARPEIPKPKISLQKLDVPQKNPSVSSQPSSPQSKGVKYNCLCSPTTHAGSFRCRHHRNSMSRHSKSVGTKLNELAGSS >KZN02049 pep chromosome:ASM162521v1:3:28860115:28860866:1 gene:DCAR_010803 transcript:KZN02049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLLSRAKSVALFLIVQMKEEAQDNLPSEDLKREARRPDFVLDRGQRTKGHENREEIAITKEVLILYALQFLVRLYMFIFCISESRFSEFSSYHQVPSHFNNFHLFSGLCLRYLSNRSEVEILIDHV >KZN02217 pep chromosome:ASM162521v1:3:30979112:30981024:-1 gene:DCAR_010971 transcript:KZN02217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNKMLIQRLFNISKVSHQTLTSCRISSSAASHLPRNEQAIDPGDSSIFRRLMRSPAAEMPYFAAGERLIEKLRGMEIGRDRIRLDGLSPPATAAAGRLTAEDARKLMKVAQVEMVKEKMRKSGKSCVSYDEFVKICVEGAWSEEQGFEIAKMLDESGNVLVLDKVVFLRPDQVAKAIHGLMPVPVAHPEDPRRKELEILEEEKAIIDKKAESLVRRELWCGLAFLMVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTAKEPSFEGFFHSRFSTKQRKLMKANKFDLQRYNELREACYPQPWPREKSAIFGVPHDDYKSKSMESPASQQYNLR >KZN01467 pep chromosome:ASM162521v1:3:16593446:16605097:1 gene:DCAR_010221 transcript:KZN01467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAATSRGENLVKSSDVEEEPSLLENLQTMSLVSPTRPFQHILARTPNLKKLGLCGQLTTNSGDLQFPDLGLLMHLKALKLLNTIPLCKAGRLSDSIIFPKSLTSLSLSNTYLDWSEAWAFEMIPNLEVLKLKFHAFVGKDWETSLGAFPRLKFLKLDELDIVTWTASRDHFPVLQCLQVHRCSNLLMIPEDFGNICTLEWIEFSECSDDAANSATYMQKEQEKNGNDFLKILHNPGETSSYTNTEYSRELQTLVMSSRTNMIVPKNIWKITTLRHLCIKTGENHVSISDVEEEAILMENLYTMSLVSPTRPCQDILARTRNLKKLGLCGPLTTKSGEMKFPDICHLKHLKTLKLLNTTALCKAGRLSDSIIFPETLKSLTVSNTYLDWKEAWIFEMIPNLEVLKLKFHAFVGRDWETSTEAFPRLKLLKLDELNILTWTASRNHFPELQRLQICRCPYLMEIPEDFGNIWTLEWIELSGCSEAATSSARNIQREQESYGNDWLKTSVDVADYSDLSLLVVGDRLASEVAQIYKASMQDYDFRDITSKFYFDRKKFEEEPEYAESSSDLPDSEFKREVFVGYQAEASSLLQQLVSITKKRLQVISIVGMAGLGKTTLARRLYNDPYVVSYFYVRAWVTCSQIYHKRNLLLGILRSVAEVTDDVYQMDDNMLAHHLYRALMGRRYLIVIDDIWSREAWDDFKNCFPDDKNGSRIMLTTRLKVIASHAQSDGDPLCLRFLTEDESFELFRRKTFIRGAYFSDLSSIGHGITKKCHGLPLAIVVIAGLLKDNLDRDWWIHVAKSVRSYIVTDENQYIETLALSYNHLPQHLRPCFLSFGAFPEDYGISVRKLIYLWIAEGFIHLDGTQKTLEDVAEDHLTDLISRSLVVVGENPIDFSNVEEEPSFLENLQSLSLVSPASPCQHILAKTKNLRKLGLCGTLTTRSGEFKCPDLGLLMHLETLKLLNTTPFCKAGRLSNSIAFPGSLKKLTVSNTYLDWKEAWVFEVMPNLEVLKLKSHAFFGDCWEPSPEAFPCLKFLKLEELDIVTWTASRNHFPVLEHLQVIGCLLLMEIPEDFGNICTLEWIEVSGCSNAVTSSVREIQKEQKSYGNDLLHIRIR >KZN02770 pep chromosome:ASM162521v1:3:37064849:37068352:1 gene:DCAR_011525 transcript:KZN02770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAPYSPLPFKTTSFNNNCRICTCSIKTTSTSCPIRAWTGSRWAWYCTDATVNDNKACKSGDGDNVVNKELEKQSSSRLRFSRRQRGIGTCMPELIASSPDLLAIPGVGPRNLKKLVEKGIGGVADLKQIYKNKFFGRYSEKMVEFLRGSVGIIHKNHAESITTYIKESVDEELKEDTSSFDAKPTQKKRLTFCVEGNISVGKSTFLQRIGNETLELQDLVEIVPEPVSKWQDVGPDHFNILDAFYADPERYAYTFQSFVFSTRVMQERESFGGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPFVSSLPGLIPDAFIYLRASPDTCHKRMMHRKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRKRAEEGGVSIDYLRGLHEKHENWLLPSTSGNHGVFSVSKPPFHGDHTLPSNIRDRVFYLEGEHMHSSIQKVPALVLDCEPNIDFNKDIDAKRQYACQVADFFQFVKKKKEATDGEAGATKSGQPPVLIPNHGGLWMPNGKHFTDSTLNSLDFRRTMSCLPS >KZN01486 pep chromosome:ASM162521v1:3:17158081:17162327:1 gene:DCAR_010249 transcript:KZN01486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKYSRVDGRKSSSSCSTVTFVVFIGLCLVGVWLMTSSSIVPVQNVDDTTQETKNEVLTQIKESNLNDESNQVSEDEESQSESKTSSDMSSDIESSMSVEKASNDGTLNSESLSQSSTGSEGNKSNQIQPKQFEDNPGTLPEDATKGDNLTISTDEGNFSQPEKTVERAQKPDQQSGDEQNNLENRPKSETESDDGAKKDSVSNMGDQEFKSKMDENKSVNEKQYSYGQGESDDDSKATTLKSNQVENKSENSVASENTDISKTEVTIENKSEGMASEKEVLSSAAQSELLNETMTEDEAWKTQAAESKKEAEKSSEPAPTTDSGWKLCNVTAGPDFIPCLDNLQAIRRLRSTRHFEHKERHCPDEPPTCLVPLPEKYQCSIQWPESREKIWYHNVPYTQLAEYKGHQNWVKVSGEYITFPGGGTQMMQGALPYIDTIQQSVPDIAWGKRSRVVLDVGCGVASFGGFLFDRDALTMSLAPNDEHEAQVQFALERGIPAFLGVMGSKRLPFPGKVFDVVHCARCRVPWHAEGGKLLLELNRLLRPGGYFVWSATPVYQKEPEDVQIWKAMKKLLKAICWKVISIYKDKLNKVGVAVFQKTSSNECYEQRSEKEPPLCKDSDDPNASWNVPLQACMHKIPAGEAERGSKWPEQWPARMEKTPYWLLSSQVGVYGKPAPDDFVADYEHWKRVVSTSYATGMGINWSTVRNVMDMRAIYGGFAAALKEMNLWVMNVVNIDSPDTLPIIYDRGLIGMYHDWCESFNTYPRTYDLIHADHLFSSIKKKCNFEALVVEVDRILRPKGKLIVRDKVEIIGELESMYKSMQWDVQMTYAKDTEGLLCVHKSIWRPKEAITLPYAIAQKEDIARAMALKEELKRIPHGTAPEIFGLAENT >KZN01100 pep chromosome:ASM162521v1:3:11683865:11691796:-1 gene:DCAR_009854 transcript:KZN01100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITATAAAAAVVGGGSPVINNLHHRIRIGIESLTPRLSRTNGTSSSELFSSQLRPLLNHRKNKLNFISRAADSASTQPAAAPNKSSLVTDEEFSLAKVSFGVIGLYGGVSLLGYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCVTYADAQTIREKCATPILKQVRNDVIRYRYGDEQHLEEALKRIFQYGLGGGIARRSAPTLQMIREEVTENGRYCLVLVFEAKDLQLSDFEKRQAKFASFFGPDISAEVVEGEGNLYEVRLISDTTL >KZN01615 pep chromosome:ASM162521v1:3:21922915:21926060:1 gene:DCAR_010369 transcript:KZN01615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKNILIHVRATRIWESYTIDKNNFQKKLLNTKVIFVDEEQSQIMVQIWNNQKEDYFPMLKEGSFYAISEFRVVPILKAYRAVATELALGFDHNTKVVPKEDTDRIPYFRFNPTKFEDMPSLLWDTKNFIEVVGLLEEYGDQETASNRAKKLDILLLDSRNNNMIVTLWEDKAAQFQEGLQQSNEGPIFVIVTGLLVKKYSGQNIVLSSGDATKTYFNIDCTPITELKHTMVAAAEKNNTNVSPPNKKVFVSTAENALKTVKIQNILDIELTSTSEMMRFICEASIISISKYDGWYYNSCPKCPKSIRIDSNTFYCDSCKKEIDGYTQRYKVIIGVQDDSGKTTFTLLNNDAEQLIGIPVQGIIANLGQDKLTADIPPVLNNIIGKKCAFEVKVTSFNRDGRAGYTIGCLMELPGSSSGIKGGRSTDDEGPSKKIRLD >KZN00390 pep chromosome:ASM162521v1:3:4923121:4923474:-1 gene:DCAR_009144 transcript:KZN00390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDEHRNSASSHRSSLSGSFREMWQPQPDVFSQSSRRMDDEEELRWAAIERLPTLERLTKGVMKQVPDDGKVVSREIDVTRIQYSARKHLMNSILKVVEEDNEKFLRRLRQRMDR >KZN02577 pep chromosome:ASM162521v1:3:34733922:34742171:-1 gene:DCAR_011331 transcript:KZN02577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSDIPFVGKTIDRISDATVEAVFRKLKYMISYRALIGDLISEIEKVNTEEASVSAAADAARADGKIIYADLFKWQLEVAEIQASYNELVETYENRSSCLPIPNPVSRFRLGREAVVKARRVSELTALGKDLLAREIAFRPPYHDFESRKDPYDKLWEGLVNQESPLIHAIYGAPGVGITEMTRKIQQEVLKQNIFDKVAFAIVGRDRLDIINLQNQIADHLGCHFESRDDVGHRASQLSGCLLNSGRILVIFHDVWTRIQFDVIGIPWDDGSSSMGCKILLTSRNPSVCLLNNCRDPTQIRPLTMIEAWDLFKNTVGISDFGVYKNLAMKVCKKCEGHPLIISALGKALRCKPLDSWGDTLLQLDCGEIGFSLEMEPIIYSCFNLIIDELPDDAKSCLLLCSLFPDNAEIHIGKLIQLATASQLVLDGESRVCTMVDELSSSMLLDYTDFHEIKMHRPVRDWARSIAIKDPKYAFQFARCGPGLPDHCDYGTRKFLFIDMQIDDVYFPDDLVCPNLLNLWLQCNKHRQRFSSGFFSMFRNLRFLLIHEGMFSSLEPQFSLRHLGNLSTLILDCCDMTDIDQTHINFFPQSLQTLCIWNCDLPVPLDLPNLSYLRKLEIDSRCPVIIVPNFISRLSRLEVLHIRNGLQFQDGSPATAPSSFEISKLKYLKSLKMPFRVSDPVQDKNVLGDLDEFEICVGEPGEGTHYLLNSSVSVKRRIELYSNQLEAFTNLIERAEDVKLLCNDIDVSSIFSNNRQAFGDLRNLYNEECNTIDFQARISGDKIQHSHQCQRSFCKLTTIQIYGCSSMTYLFSNSIANCFMQLRELCIENCPKIEAIVRDEGTSDEGDIIFYRLKLLKLVGLPKLTNFHEHNDVQFHLLFDEKGNTCCRMKEFSC >KZN01796 pep chromosome:ASM162521v1:3:25249244:25250921:1 gene:DCAR_010550 transcript:KZN01796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANSQARGLIGAGKRFIGQIRADPTKPLSLTTRRAVHQSVYDKNVDDHVRPAFVPDEVTQRQSDHYWAPHPKTGVFGPASEENPDAGSNTSPYGASAESVLEQKAFFRPMEDLDKPLPDN >KZN00471 pep chromosome:ASM162521v1:3:5566975:5569101:1 gene:DCAR_009225 transcript:KZN00471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYIPPTQYIHNHHPLNSKLSIKVSLNHLLKVQKYKPHNKHVSPQCKAGQIQEALECLSNVGPNNFANKPKIYGDILQECVYGRDFLLGQQIHASIIKKGESLAKNDYVETKLVIFYAKCDALEAANVLFKGVIERNVFSWAAIIGLYCRLGYCNEALLGFCAMLEDGVLGDNFVLPNVLKACGAVMLIGFGKGVHGYVVKLGFQDCVFAASSLVDMYGKCGYIGEARKVFDGMFERNVVAWNSMISSCVQNGLNEEAIGIFYDMRMEGLEPTRVTMVGFLSASASICAVEEGKQAHAMALLSGMDLDDILGTSIINFYSKVGLIEDAELVFRRMLKKDVVTWNLLISCYVQHSQAERGIQLCRQMRLENFNYDSVTLVSILSALAELKSLKLGREAHCYCIKNDLVCDVAVMSSTVDMYANCERINVARKVFDYTEKRDLALWNTILAAYAEIGMSGETLSLFYQMQLEGLPPNVISWNLVILSLLRNERVNEAMDIFREMQSVGIVPNLITYTTIITALVRNGSSNEAITLFCKMMEEGIQPDILSISCILSACKLTASLRCGKAVHSYIFRHNVFLTVQLATSLVDMYAECGNLHQAKMVFDMVVPKQLPLYNAMISGYALHGFAKEALVLFKHMLEEGIEPDSITFTRVLSACSHAGLMKEGLEIFADMVSEYHVKPSKEHYGCVVDLLSRCGNLHDASQFM >KZN02697 pep chromosome:ASM162521v1:3:36159962:36161659:-1 gene:DCAR_011452 transcript:KZN02697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNMLISKDTNWWIFTLPALLGSKNLLDISILLFIFFTLLSLTLLTWAFSSGGLAWKNGRNKAGPIPIPGPRGLPVFGSLFTLSRGLAHRSLASIAWSFSPQAAATQLMAFSLGSTPVVVSSDPHIAHQILTSPHFADRPIKQSAKSLMFERAIGFAPNGAYWRQLRRIASSHLFSPRRISAHEPGRRTDCAAMVKNIATQQRNHGLVTLRKHLQAAALNNIMGSVFGKRYDLDDCEQAKELKEMVAEGFELLGAFNWCDYLPWLSFFYDPFRIVARCEDLVPRVRSLVRGIIQQHRDADSTSGVDDHADFVHVLLSLEGDEKLNEDDMVAVLWEMIFRGTDTTALLTEWVMAELVLHQDVQEKLYKELSEAASLSDADVAKLPYLQAVVKESLRVHPPGPLLSWARLSTADVQLSNGMVIPANTTAMVNMWAITHDYRVWSDPLEFNPERFLAQGADVDVRGGDLRLAPFGAGRRVCPGKSLGLVTVSQWVAQLVHNFKWVQDMANPVDLSEVLKLSCEMKCPLAAVTIPRDV >KZN02866 pep chromosome:ASM162521v1:3:38195060:38197694:-1 gene:DCAR_011622 transcript:KZN02866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLFVTHIFLVSLSTLTVTSDLSTDRAALLALRSAVGGRTLLWNTTQLTPCQWTGVHCDQNHVVALRLPGVSLSGQLPVGVFGNLTKLKTISLRYNALTGELPEDLKECRVLRNVYLQGNGFSGELPEFLFGLGSVVRLNLGENNFSGEISDGFNRMTRLRTLYLERNMFSGSIPDLGKLRPHLEQFNVSFNRLNGSIPESLREMPLSSFLGNNLCGSPLKESCVGSKNGSNVDGALAGIVMGNDKKDDNGGLSGGAIAGIVIGSVFAVLLLLLVLFVFCRKKRSKKTSYGDVVTVKESREAVDVKNGETDGGKGDGASVAATSMSMGEVESGNGGKKLVFFENSVRKDKVFGLDDLLRASAEVLGKGTFGTAYKAVLEGGIVVTVKRLKDVIISEQEFKEKIEAIGAMDHENLVPLKAYYYSVDEKLLVYDYMSMGSLSASLHGNKGAGRTPLNWEFRSKIALGAARGIEYLHSQGPDISHGNIKSSNILITQSNDSCISDFGLARLVGASASPTRIAGYRAPEVTDSHKVSQKADVYSFGVLLLELLTGKAPTHTLLNEEGVDLPRWVQSTVREEWTAEIFDIELLRSQDSEEKMVQLLQLAIDCAAQFPDQRPTMSEVTKQIEALCQTQVLETQNPEP >KZN02267 pep chromosome:ASM162521v1:3:31457894:31461499:1 gene:DCAR_011021 transcript:KZN02267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDEIFGESRPVIHPTKSAIYVWGYNQSGQTGRKGKERNLRIPKQLPPKLFGCPSGVNSRWLDVACGREHTAAVASDGSLYTWGGNDFGQLGDGTENPRKHPKKVKHLQTEIVKSVSCGAHCTAAIAEPRDNDGTISTRRLWVWGQNQGSNYPRLYWGAFTADTVIRQVACGAVHVVALSEDGLLQAWGYNEFGQLGRGVTCEGLQGARVIKAYAKFLDEAPELVKITQVSCGEYHSAAISDNGEVYTWGLGNMGQLGHCSLQSGDKELLPRRVVALDGIFIKDIACGGVHTCASTWKGALYVWGSSRAGQLGLGPQTGLFSCVPNDSPTLLRNIPVLAIPSGVEHVACGHSHTLISTRDGRIHGWGYNSYGQAANEHCTYAWYPSPVDWCVGEIRKIAAGGGHSAVLTDAYSLKELCEFRLAEGVTLSNASQIVDVASRTGSDALARLCERLRYDSLYLMLLLSQTVNMPTYLRSSLTFMVLANNSCEAWT >KZN00348 pep chromosome:ASM162521v1:3:4398318:4408582:1 gene:DCAR_009102 transcript:KZN00348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKLLRRKCTQECVFAPYFPPDQPQKFANVHKVFGASNVAKLLNDLPAVQREDAVNSLAYEADARLRDPIYGCVGLISILQHKLKQVQMELYNAKKELSTYIGPSAMLPILPAPGNFIPPYQHQQPSQMMPYNMQAAQMMGGSPQNVVNPNPLVIQQQQNYQQIMEAQQVAAVVAAAREQEMLRSYEQQQAVLTQQQGRHSDQLTTSVVCKPSVQRKKEKEGEIFTSERISTSWGVGLVIGPAIGGYFAQPAENYPNIFSEKSIFGRFPYFLPCLLISLFAFLVSIISFWLPETLHIHHGNKNEQCDAADALENSMYKYRVDGVSRHGNKNEQCDAADASENSMYKSRVDGVIRSKERLPSSKLSLLRNWPLMSAITVYCVFQLHDTAYAEAFTLWADSPRKYGGLHYKIPEVGEVLAISGFGLLILQMFLYPVLERTFGAILVSRIGAVATIPLLTCYPYIAKLSGNLLFAVLSCASILMNVLMVSVSTGLYLLQNRAVSSDQRGAANGISMSALSLFKAFGPACGGSFFSWAQTRQNPTFLPGDQIVFSILNSIEFIGLAMTFKPFLVLPNDDSQ >KZN03552 pep chromosome:ASM162521v1:3:45689155:45691667:-1 gene:DCAR_012308 transcript:KZN03552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHVFDKSRVEREYYKSLLGNATIFTTVKLLQLELHLPLLYLHLYRMALETFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKADVDYEKIVRETCRNIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTDVRKNGTCAWLRPDGKTQVTVEYLNENGAMVPTRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILKIVKETFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWDKPQA >KZN03872 pep chromosome:ASM162521v1:3:49514647:49518219:-1 gene:DCAR_012628 transcript:KZN03872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLKACYHLFLLTVVLQCSVLSAQNNEVSVLLSWLAKSSVKNPAFADWSPLDSSPCNWSYVTCSAQKFVTEIDIQSIQLSLPFPANLSSLRFLEKLTISGANLTGTIPVEIGDCASLVSVDVSSNGFVGSIPSSIGQLQNLEDLIINSNQLTGEVPVELGNCSSLKNLVIFDNQLSGVLPVELGNLLELESLRAGGNKEIQGKIPEELGNCQKLIVLGLADTKISGSIPASLGKLRNLQTLSVYTTLLSGEIPYELGNCSELIDLYLYENDLSGSIPKELGQLQKLEKMLLWQNNLVGDIPSEIGNCKSLKIIDLSLNFLSGSIPDSFGQLTNMQELMLSNNNISGSIPSLLSNATNLVQLQVDTNQLSGLIPSELGTLKELEVFFAWQNKLEGIIPSSLAGLRTLQALDLSHNFLTGSLPPGLFQLKNLTKILLISNEISGPIPPEIGSCSSLVRLRLVGNKISGEIPKEIGLLDSISFLDLSANQLTGPVPDEIGKCTQLQMLNLSNNSLGGSPPSTLSSLSELQVLDLSMNRFKGPIPGNLGQLDSLERLVLARNFFSGSIPSSLGQCSNLQLLDLSGNGLSGNIPVQLFNIESLSIALNLSWNELTGEIPPQVSGLNKLSVLDLSHNTFSGELMALSGLINLVSLNVSYNNFTGYLPDNKVFRQLSGSELAGNKGLCSRGRESCFLSNDAGTGLSGPKNSRRSLRLKLAIAFLVLVTVALVILGALAVLRVRKAETDESNSEMGRGSSFPWHFTPFQKLNFAVDQILRCLVESNVIGKGCSGIVYRAELHNGEVIAVKKLWPTTVASRYCPKDNVQISRGVRDSFSTEVKTLGSIRHKNIVKFLGCCWNQDTRLLMYEYMPNGSLGSVLHERKGCKLEWDTRYRIILGAAQGIAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDNDFIRSSTTLAGSYGYIAPEYGYMMKITEKSDVYSFGVVMLEILTGKQPIDPTIPDGLHIVDFVRQRRGKIGVLDQCLQGRPDSETEEIMQTLGVALLCVNHSPDDRPTMKDVAAMLKEIRQDREDGAKIDMILKESASSEGQMINNSAKEGPSSTPRQCMYSKSNNSSFSASSLLDSSCSNSKVFLK >KZN01776 pep chromosome:ASM162521v1:3:24972796:24973189:-1 gene:DCAR_010530 transcript:KZN01776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNVLLNNNIFLATDDFDYVFDGSTSFDSSAINLTNSRFDDLLKLFRVVKHLFLKSHARKKIKMKP >KZN01737 pep chromosome:ASM162521v1:3:24018281:24018896:-1 gene:DCAR_010491 transcript:KZN01737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLKRAVGDAMGKREYGSSSSSSKVVTAAANISSSPSSSSSYDRSAQLLNRSHRQVVSVWTCSKLCAFCFVAGILVGFTLKRRVRRWASRLLRRLKDD >KZN00159 pep chromosome:ASM162521v1:3:2558142:2559429:1 gene:DCAR_008913 transcript:KZN00159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALKYMQAIVLLLGILVPALKTTGAQSIGVCYGRNGDNLPSQQATIDLCKANRIGKMRLYHPDQAALQALRGTDIEVILDVPNPDLQSLQDPAAAKTWVQNNIQSYTPGVNFRYIAVGNEVDPSTGNSQYVNYVLPAMRNVHDAIVAAGLQDQIKVSTATYSGITSGFPPSKGEFHDNAKGFIEPIIQFLAQNNLPLLANIYPYFSYLGTPQGNLDYALFRSQSPVVSDDGNRQYWHLFDALLDTIYSGVEKAGGPNVEIIVSESGWPSAGDREASVENARTYYQNLISHAKKNGTPKRPDKLVQVFLFAMYDENQKGGAETEKHFGIFDPTSQQSKYQLSF >KZN01982 pep chromosome:ASM162521v1:3:27694543:27694842:1 gene:DCAR_010736 transcript:KZN01982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPTGSHIVQHCGMVLFESSNAEIASVDLGKETCTTCVGPWSFFSNWEEITVVMLHDKPSLFCAVNHKKQKWSKARAILAITEKMSKYAHFQGYNLLF >KZN01904 pep chromosome:ASM162521v1:3:26752311:26756097:-1 gene:DCAR_010658 transcript:KZN01904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFEALTDKSKLDAQPELFIRIVPDKVNRTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDVDGEQLGRGTKITLFLKEDQLEYLEERRIKDLIKKHSEFISYPIYLWVEKTIEKEISDDEDEDKKEKEGDVEEIDEEKEKEKSEPKKKKIKEVTHEWDLTNKQKPIWLRKPEEITKDEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIELFNEIAENKDDYAKFYEAFSKNLKLGVHEDSQNRAKLADLLRYHSTKSGEELTSLKDYVTRMKEGQKDIFYITGESKKAVENSPFLERLKKRGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKQKKEEKKKSFENLCKVMKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNVFAARIHRMLKLGLSIEEDEEAGEDVDMPALEEVETEESKMEEVD >KZN02790 pep chromosome:ASM162521v1:3:37326259:37329651:1 gene:DCAR_011546 transcript:KZN02790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTLSLHSWMACSTNSASWLSHGFLISTSNKSLSRLFKPTTTTFCCFNNSTPSSSLSKKAVSSEPEICRSWVEADDAHLVLPLLSQARFKVVSYNILGDKNASKHKDLYLNVPSSYMNWGRRNKLIQDELTGWDPDIICLQEVDKYYDLSFSLEKAGYLGSYKRRTGDMVDGCAMFWKSDKFRLIDKENIEFKQFGLRDNVAQLSVFEMCRDESLRIVVGNIHVLFRPSRGEIKIGQIRLLSSKAHDLSKKWGNIPVVLAGDYNSTPQSEIYEFISSSELNVMLHDRRDLSGQKRCHPNDLLSAKREKSYQINLIDRFKYYRSSKACWTNEELKVATGTTDTHVAVHPLKLSSSYSTVQGSMATRDSIGEPLATHTSDLKPTRVMDTVPIDILQKTRGLPSKNLGSDHLALISEFAFLRTPESISRNLSLEK >KZN00774 pep chromosome:ASM162521v1:3:8451446:8452604:-1 gene:DCAR_009528 transcript:KZN00774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFDKQAEVYLDARPNYPAEWYSMLADRTLSHSLAWDVGTGNGQAAIAVAEHYKKVIGTDVSEAQLKCATQHPRVQYIQTPLSLSDDEIVSLIGGEGSVDLVTVAQAVHWFDLPKFYSTVTRLLRKPGGLFAVWGYNDIVVSPTFDPVMKRFHATTLPYWNPNIKHIFDGYQTLPFPFQDVGLGCKGKPLQLDIPKQLSFEGFIRMLKSWSAVTTAKEKGVDLLPEDMVKDFETAWGGPNLVRSVAYKAFMLAGTPLE >KZN01609 pep chromosome:ASM162521v1:3:21775551:21775937:1 gene:DCAR_010363 transcript:KZN01609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMQTSQVEPRRSTILFQKTAFSKFTNIEDNPVVIDEAEAEEDTLHTKEHSPPVQINSYTGPMKLGEKKPPPVKQFKTFVGQTELKSAPFLSHGRNVITKSSLAKALSNLKMTYLPGSTAKEKDTQQP >KZN03017 pep chromosome:ASM162521v1:3:40209734:40210666:1 gene:DCAR_011773 transcript:KZN03017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSIINFNFFIFTFITLLSHLTFALQAPNFDSNTLLSGDAELINGSINLIRPTNSSKHGAIESKKHIKFVRSGPLSVSTDFTFSISGNGSSLCFEIKKISRTGNAVRIRFMGNVVGVENGVGVGKFATFGEFFDGGEKFRCWVDYEASSKQIEVRVGKDGSGRSDVPVLMYSVDLAKMWDEEDVVVGLSASGGGNVGESVIVHSWGFKVRGVPKWLHSRPMNPGSVIEGDFVEKKEEKKRSCHLGVVTFGCAVLVAVVLISFWEMFVGRYDFVTPAENNVDPVDFKYQKIGVDVEKVFDDVKKEKVVV >KZN00148 pep chromosome:ASM162521v1:3:2502915:2504676:-1 gene:DCAR_008902 transcript:KZN00148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEGGVAVVVKTTEVVAAVLPVTEHRLAMSNLDLLLPPLDVGIFFCYDDGGKNNEVMMSMVKKGLGQALVCFPVLAGEVVQNSEGEPEMLCNNRGVDFVEAFADVELRELDLYNPDESVDAKFVPIKKHGVISIQVTEMRHGGVVIGCSFDHRVADAHSINKFLLAWADMTRSNYSRADNSIEPLLSPDESLINKFIPSYRRSLIVPREPGRHDPAIDNMYMLIKDIASNAAEGPPSCHLQSRIYQISAEQIKLLQAQSGLKRTKFESFSALLWKLLAQAAKEDKKRCKLGIVVNGRNCLSKSASMDNYFGNVLSVPYGDASVGELKSLPLSEIANLVHACVESAVTEEHFRGLVDWVENHRPQPAMCRIYSCLPSDTEEVAVVVSSGQRFPVSKMDFGWGRPSFGSYHFPWGGTTGYVMPMPSATDDGDWIVYMHLFQRHLDFVEKEAPHIFRPFVY >KZN01995 pep chromosome:ASM162521v1:3:27938999:27939453:-1 gene:DCAR_010749 transcript:KZN01995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYKDSHLLICRTGGRCPASAVSLCYLCSMHPKPIMSGNASKLRKGAWGSDEDTLLRRCIEEYGEEKWHLVPQRAGLNRCRKSCRLRWLNYIS >KZN00201 pep chromosome:ASM162521v1:3:2976818:2980076:1 gene:DCAR_008955 transcript:KZN00201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWKPVIGIDLGTTYTYVGVYKHKRFEIIANDQGNLSTPSWVAFTDVGRLIGEPAKNQAAINPERTIFDVKRLIGRKFEDEEVQRLKKLVPYNIVSKEGKPCIEVKIKGEETMVFSPEEISAMILTKMKETAEAYLREKVKDVVLTVPAYFNDAQRQAMKDAGIIAGLNVARIIDEPTAAAIAYGLDWHSDEKNILVFHLGGGTLDVSILSVKNGNFEVLATNGDAHLGGKDFDQRIMTYLIRLIKKKHGKDISKDNRALGKLKIEAERAKRALSTELYVRVEIESLFDGTNISEPFTRARFEELNNDLFNKTMEPVKKAMKDAGLEKHQIDEIVLVGGSTWIPRVQMLLKDYFDGKEPKWGVSPDEAVAYGASVQGNLLNDPTGTMLSREELIGMIREAEKCHEEDDRVRMKIQARRRLETYLYNMKNQTNDKDKLADDLESEEKEKIDTAVKEALEWLNDNQSAEKEDYDNKLEDLDAFCNPIIIAVYQRSVCPSGAGTNDKDSVSRDEL >KZN01624 pep chromosome:ASM162521v1:3:22000480:22000677:-1 gene:DCAR_010378 transcript:KZN01624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANVANSGAAWNDMEMDIFRVVIILLAVVCGRDSAAVTAEGCSTVSMGFGFGKEDVNWGVNCAS >KZN00436 pep chromosome:ASM162521v1:3:5288087:5289136:1 gene:DCAR_009190 transcript:KZN00436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGALSKSVLKSIILSYTYVSIWIFLSFSVIVYNKYILDRKLYNWPHPISLTMIHMGFCSILAYLIIKVFKLVEPVTMSRDLYLRSVVPIGLLYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVMMKKETFRSNTMFNMVSISFGVAIAAYGEAKFDTFGVVLQLGAVVVEATRLVMIQILLAAKGIKLNPITSLYYVSPCCFVFLSVPWLIVEFPVLRETSSFHFDYWIFGTNSICAFALNLAVFLLVGKTSALTMNVGGVVKDWLLIAFSWSVIKDQVTPINLVGYGVAFLGVAYYNHLKLQAMKENEAQKKAREADEEGGKLLEEKSEEVGQKKNEAED >KZN00231 pep chromosome:ASM162521v1:3:3262565:3264875:1 gene:DCAR_008985 transcript:KZN00231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAADLFDMLLVSYEKAFDLIVFRFQVSKFDRCPPRSRRRSRSWIRSLRRDGSKSLDRSVSRSPIKSISASPVKLTRAYLLSNQPGQHLLSSHPGAIRKCKDKYWVLMEDLKNHYLCITGITVRVLFSKDNSREVDNNGNNGDEMVTPEF >KZN00545 pep chromosome:ASM162521v1:3:6261001:6267521:1 gene:DCAR_009299 transcript:KZN00545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETMTVNHQLSIFRRLLSAVVPMLLVAMSYIDPGKWAAAVEGGARYESNIVLLILVFNLAAILCQYLSARIAVVTDKDLAQICSEEYGKVTCIVLGLQSELSMIVLDLTMILGTAHGLNLISGVDLFTCVFLTAMDALLFPVFSSFSEQKAKFLCIYTGAFMLFSYIFGTLISQSGIPVSMGGTLINLSGDSSPAFMSLLGASVMPHNFYLHSSLVKGFRDLVLAFVLVLILIFCNHITALSWKLGRQAVLHSFFKAPFPGWIHHSVIRVLAIVPALYCVWNSGAEGIYQLLLFSQIVIALMLPSSVIPLFRVATSSSVMGVHKISQPVEFLVFSTFVGMLGIEIVFVAELIFGNSEWVINLQWNMWSETSVLYVLLLITACLSLCLVLWLAVNPLSSSSSRVDAEAFKLDVQSPVPGNSKERSHSDIYNDREYQADKLNQEQEFILDSDRTDLLVSASSSAQTSESLMNLYSSSSPQLTTVKENSSDGTHATASVCIVKDAVTTDDILPQSIAPENISSGEAADAITFTPELSDFLVKTSSVEVDSQTHKSVGGDTLEPKESSKLVSGSCPSVTSEGPGSYRSLGGKADDVGSGAGSISRLAGLGRASRRQLAVVLDEFWGQLFDFHGKATVEAKAKKLDTLLGVDSKVDLKQSFALPKVENNRNEFSGNVPSPRARISNSFTNSSLFESPVLQSGQRNVSYGVQRESLSLWSSNTQLLDTYMHNSVNNAVDSSERRYSSMRLPPSTDVYNDQPATVHGYHMAYLSRIAKEKNAVSLSGQMELPAPKSPSLAYRDPFVVGAAQKPRIGASAKTPPGFPSIPVSRTSTLQPGRQFQGILPGVSADVRSAVDEKKYHSLPSISGLSLPYRKSLASDMGVPIDNPAIYRQSMGRSMQEASLYPNPLSMGNISALSNIGGAPASFDNFHSKVGRDTYSLQFNSGLQTESLWSRQPFEQFGVSGKAPPIEGEGTRTVEDSITQETPSVVVFEAKLLQSFRICIQKLLKLEGSDWLFRQNDGADEELIDRVGARERFLYEAENQMGQMGESHFPVDRKPGFQPKNEEMATVPLCGEGCIWRVDLIISFGVWCVHRILELSLMESRPELWGKYTYVLNRLQGIIDIAFSKPRTAMTPCLCLQIPPTHQRSPSPISYGSLPPPAKHAKGKSTTPAMLLEMVKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLTSKPMLSHDNGPG >KZN01636 pep chromosome:ASM162521v1:3:22130081:22130983:1 gene:DCAR_010390 transcript:KZN01636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQGVGKLAQGVGKLARGAGRLKGISDFWESLDAQFKEDLLTKNPNSILLLVNNIKGADLLSDLLGTVLDKYYDPDTHTFDISGHVLGVTLEDVLYLTGLPIQGKPLIYEKSLDEDAFMRVFGEEFKDRKTLTFDEVKNIARGRRLFNVRKIAVLPIMCEYFICPTNNHHTVISQKVHLVENVDEIDSYALGAALLSFLYHGLEYKKRKKKHIDGNLWTVLGFLLVRIPKIQDMLGINLKNYPPDVIKGAPLLPWIVGEIKRKNTQPLGHT >KZN02868 pep chromosome:ASM162521v1:3:38244183:38245847:-1 gene:DCAR_011624 transcript:KZN02868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLFPRAANSSPLTPLGFLDRAAVVYGDCLSLVYGDTTYTWLETRDRCLKLASSISRLGLGRGDVVSVIAPNIPAMYELHFAIPMAGAILNTINTRLDARTISVILRHSESKLVFVDYESSSVVSDAVSMFPTSTKAPLLVFIADLGSEDSPALSAIDFHFSYEKMDSKRRELKDKDIIEFCRSKLAHYMVPKTVVFMEELPKTSTGKIQKFVLRERAKKMGSTNTRSRM >KZN02796 pep chromosome:ASM162521v1:3:37387218:37391440:1 gene:DCAR_011552 transcript:KZN02796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSLSRTLCRSVLSNPTKSFIPVSSSSSYCTHEFNSNENTAAASAQVEGDDVSQRPVYVDRPLENGLDYGIYKAILVGQVGQNPVQKKLRSGRTVTLLSIGTGGIRNNRRPLENEEPKDYANRCAVQWHRVSIYREKLGEVAIKHVTPGSILYLEGNLETKVFSDPVTGLVRRIREVAIRQTGRLVFLGQGNNSQKSLPGEVKGVGYF >KZN02699 pep chromosome:ASM162521v1:3:36183439:36183651:1 gene:DCAR_011454 transcript:KZN02699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNSQLPAVSGNPNIVPKKEDEEEQDAFAIERERLARECKAIE >KZN03897 pep chromosome:ASM162521v1:3:49717778:49718521:1 gene:DCAR_012653 transcript:KZN03897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRGGPSNHLGELLRLRQEEDSGDDHRRNSISGQTLGSVIDADKTDFPTLLDVLQQESGGNSRKKWKGFREKLRLRRGGAAWCSASRMLISDVAINNTILTSRMIMGRGGMPENLSGTGRNIDTRLPENDVTPEVTAATVPEDGGEEGPARLSLMALLETEMEIGFETSFIPNDDVTEEDEDVEGGVGGAGEVHGGGCCVCMVRDKGSAFIPCGHTFCRLCSRELWVKRGNCPLCNTKILEILDIF >KZN02653 pep chromosome:ASM162521v1:3:35567044:35574512:-1 gene:DCAR_011407 transcript:KZN02653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFVSTAKDTGGNRRRPGNIGEVTVFVPGLRIPKPVDISHSLGDHLSKSLVERLSALRTRIVVMAGQEGPTITRTRRRSSTQHGGSVLGDLIQALNDYLPVLLGLVKDGSLLQHKVQFIWVNQEDDAEETAMSSAWYEVLSVLHLMAALSFLQANLLLLPRMSSDGYQPKVSEENRRSSIDIFLKAAGYLDCAVRHILPQLPPELRRDLPVDLAEGVLRALCLQALGQSVDIQLGLAIDSTKATLAVKRRLACEMAKYWQQAQDNITNLPLTNGWGEKHKLFVKWKYIEARAAAYYYHGLILDEGNTEKSHGMAVAALKAADEYLKESKKICEAFNMAPPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSYEKIMETAPTLPDFTLALKPDEFQLPVVDNSWHNEKQDKGQANGNRT >KZN02001 pep chromosome:ASM162521v1:3:28090871:28096331:1 gene:DCAR_010755 transcript:KZN02001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLNMGYLNRHKYMPYLYQYSEGRLSIHHSSWQTCCTHVILEGEYSSPRAANSSNPMHVDEFDVEEVLSQPLGSAELKLLMADSDRAKLISKLSEANRHNRFLKRQLQEKDNELVNFKSDLAALDYEIQALLSLAEEISKSPIPQGSRKINGKYIQSHLVLRLQAVNKKMKEQITDVDAVLPKEVHLFWSGMAESVQVMGSFDGWSQGEHLSPEFTGSYMKFQTTLMLRPGRYEIKFLIDGEWYLSPDFPTIGAGSIQNNLLIVE >KZN01443 pep chromosome:ASM162521v1:3:16311493:16312661:1 gene:DCAR_010197 transcript:KZN01443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSMALATTSFLLRIFSLLFLAASVAVMAANNVTDFGFFYDFDGTRDQFTDHITYRYVVAIASIASLYTLIQIPFGVYHLCNGKRWIRNGCLPIFDYYCDMLMSFLLATATGAGFAMTYELRTYAGYPPEGFGRTKKYLDIVYVSVSLLFLGSICTAVLSVISSYFRKFK >KZN00738 pep chromosome:ASM162521v1:3:8028483:8028974:1 gene:DCAR_009492 transcript:KZN00738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFSISTFQIPTSTTSPKLAPPSGVRLRTSHSVSAVSSTANAGRSGYYNTYIDQSMSTNASLYEVLGIQMGASRHEIKSAYRSLARVMHPDVASSSGRGDSSAEAFLRISAAYNTLSDAQKRAEYDLQMLRTRSPCYSASAVTSRHKGYTSQRSWETDQCW >KZN02409 pep chromosome:ASM162521v1:3:33188848:33191104:-1 gene:DCAR_011163 transcript:KZN02409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGCVQIGQSTVAVKERFGKFEDVLDPGCHCLPWCLGYQVAGELSLRVQQLNVRCETKTKDNVFVTVVASIQYRALAEKASDAYYKLSNTTSQIQAYVFDVIRSSVPKLLLDSAFEQKNEIAKAVEEELEKAMSGYGFEIVQTLIVDIEPDAQVKRAMNEINAASRMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSAVFVPHGPGAVKDIASQIREGLLQGNAAQ >KZN01228 pep chromosome:ASM162521v1:3:13332766:13334600:1 gene:DCAR_009982 transcript:KZN01228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVQLTLTGAALCVMLSVLFSIKLLLAHISNWKKPKEQKAIVVIILMAPIYAVVSYVGLLKFQGSEAYFMFLESIKECYEGLVMAKFLALLYSYLNISISRSIVPDEVKGREIHHSFPMTLFQPHSVKLNHKTLKQLKYWTWQFVAIRPVCSILMIALQLLDIYPDWISWTFTIILNISVSLALYSLVLFYHVFDKELAPHNPLAKFLCVKGIVFFCFWQGILLEILVAMGIIKSQHIWIDVVYIQQAYQNMLVVVEMMFFSVFQMSAYSAAPYAGDTKSSVKDKKKD >KZN00713 pep chromosome:ASM162521v1:3:7838653:7843907:-1 gene:DCAR_009467 transcript:KZN00713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVSPFGGFPPSLGIDNHNSPIAGDIGPFSNSEPDVSNNDEAELLYASWNQDYGCFAAGTSRGFRIYNCDPFKETFRRDMKNGGFRIVEMLFRCNILALVGGKENTQYPPNKVIIWDDHQSRCIGEFTFRSEVRAVKLRRDRVVVVLEHKVYVYNFMDLKLLHQIETLANPKGLCCLSHHLNTSVLACPGIRRGQVRIEHFGLHITKLIHAHDAQIACMTLTMDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRGAGEDTSFQSSAMKSPAFVHQNSSSSLEALISPSTGANPGSSLSFMKVRANEEIWCEYSVFPFGSEDTIISLGNVALFRNSLRSAYIDKLQN >KZN00752 pep chromosome:ASM162521v1:3:8143758:8144736:-1 gene:DCAR_009506 transcript:KZN00752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRVLVLAFLASTCLLVCVDAFVASGWSKAHATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKASPQWCIRGRSVTITATNFCPPNYALPNNDGGWCNPPLKHFDMAQPAWEKIGIYRGGIVPVIYQRVPCVKRGGVRFTINGRDYFELVLISNVGGAGSIKSVQIKGTRTNWMSMSRNWGANWQSNAYLNGQALSFRVTTTDGVTKTFYNVVSSGWRFGQTFSSAVKF >KZM99973 pep chromosome:ASM162521v1:3:689409:692564:-1 gene:DCAR_008728 transcript:KZM99973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLCVPSQVPPVSDDCEQLHKAFKGWGTNEGLIISILGHRNAAQRKVIQKTYAETYGEDLLKVLDKELSSDFERIVKLWALDPPERDAHLANEATKRWTKSNQVLVEIACTRSTQDLLHAKQAYHALYKKSLEEDVGYHTTGDFRKLLLPLVSTYRYEGEEVNMTVAKAEAKILHEKISGKAYADDDLIRILATRSKTQINATLNHYKNEFGKDINKDLKADPKDEFLALLRSTIKCLNFPEKYFEKSLRLAINKTGTDEGALTRVVATRAEVDMKIIKECFEKRNTTPLDRAIVKDTTGDYEKMLLTLIGHGDS >KZN00697 pep chromosome:ASM162521v1:3:7689437:7695464:-1 gene:DCAR_009451 transcript:KZN00697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGVVVAVGPGLTGRKVGDLVAYAGNPMGSYAEEQILPADRVVPVPSSIDPAVAASVMLKGMTAQFLVRRCFKVEPGHTILVHAAAGGVGSLLCQWANALGATVIGTVSTTEKAAQARDDGCMEAAGVVVAVGPGLTGRKVGDLVAYAGNPMGSYAEEQILPADRVVPVPSSIDPAVAASVMLKGMTAQFLVRRCFKVEPGHTILVHAAAGGVGSLLCQWANALGATVIGTVSTTEKAAQARDDGCHHTILYKEEDVVTRVKEITSGKGVEVVYDSVGKDTFEGSLACLKTRGYLVSFGQSSGSPDPLPLSALAPKSLFLTRPSLFNYTITRDELLEAAGEVFANVASGVLKVRVNHTYPLSEAAQAQADLENRKTSGSVVLIPDGA >KZN01255 pep chromosome:ASM162521v1:3:13731619:13734846:-1 gene:DCAR_010009 transcript:KZN01255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKLLISLLLACLVWNSESISRAHFPHAFNFGTASSAYQFEGAVNEGNKGVNIWDTFVSRTGKILDFSNANTAVDQYHRFKTDIDLMKDMGMDAYRFSISWSRIFPNGTGEPNPDGLKYYNSLIDYLLEKGIQPYVTLYHWDLPQMLEDRYEGWLSDHVIKDFEHFAFTCFKAFGDRVKYWITFNEPYNAAMQGYDLGVQAPGRCSILGHLFCKAGKSSIEPYIVAHKILLSHAVVYHNYQKNFKGNLSAIPGESNIDQKPRTDHTQNVLNRYMKHYWISTCVEKQGGLIGIALDAKWYEPISDSDEDRDAASRAMDFQLGWFLEPLLFGNYPLSMQTLVGERLPDISPMVSRSLVRSLDFIGINHYTSLYAKNDKTRVRKFIMQDALSDSAVITTSSRHGIPIGERAASRWLHIVPWGIRKLTRYIKDKYGNPPVFITENGMDDQNRHFISLNKALNDEKRIKSDVNYHQSL >KZN02465 pep chromosome:ASM162521v1:3:33685405:33688663:-1 gene:DCAR_011219 transcript:KZN02465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRRDMDVMKLMMSDYAVEPINDGLNEFNVEFHGPKESLYEGGVWKVRVELPDAYPYKSPSIGFLNKIYHPNVDEMSGSVCLDVINQSWSPMFDLINVFEVFLPQLLLYPNPSDPLNGDAASLMMKDRKQYDEKVKEYCERYAKKENITSKPAEESDEEASDDDISDGRSASSDDVAGHADP >KZN02661 pep chromosome:ASM162521v1:3:35607736:35608341:1 gene:DCAR_011415 transcript:KZN02661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISSIAFPCLGMAFMAFFLVSCNAAPAIVRDMNGDILREGANYYIIMPVDHGKAGAVTLSSVVRESTDLNIEAAGGSYCGKPVWKLDSYGGEFVVSTLGVKGNPGAKTIGNWFKIEKYLNHYKFVYCPSVCKTCKAMCKDIGISRKGKSGRLVLNDKPFMVSFKKF >KZN00080 pep chromosome:ASM162521v1:3:1728050:1729853:-1 gene:DCAR_008834 transcript:KZN00080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLFGPRICILTFGLVFKLVSLAADEVIQAWNLQEDLVTLQERLETIDALLSDADSKRLKMSAVQSWFSKLEDVARVADVFMDELAYEVTRRKVENLACGAFNDYSTKSSILPPFEDESQIVGREDEISFLVETVCTNHAEDLPVIAVMGMGGQGKTTLAHLDSSKLLESLSEKACGLTDVLLQNINGGVGCSSSSSSMNCIMGELLKNNSVSLKTLSVRKLQGLTHLTLGVDLSILRCPNNEVLAQNVSSTIESLELGDFSEDLDEFPWPSSFSFPNVIKLTVTGWKKLKWIVDEGKPDDYLFSIFPALRQLHIDLFRGVKSLPISLAKLPFLESLSITGCANLESLLKFHDNLQYLMIFDCPIIEERYMKGSGPEWSKIQHIKQIFGLDCPILVYKER >KZN01879 pep chromosome:ASM162521v1:3:26499129:26501412:1 gene:DCAR_010633 transcript:KZN01879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVNSMASSKNRINASISTIVETEGNLAMHRNDEIRFICPNFSTDYIPGVLKLPREFCVENSNRIPERVLLHVPPRVVWKGLFKKDRFCIERLEKMMSCYCIKPYHVVLFEYDGGRNFYLKFFNPYGVESSYHIEDRSHAGVEMDKKFLTTSDFEDEKLCGTLSFNSYESAKGICDVVLRKRHLRKSEAYNLFKKAEWESLGIIQSMDYVKLTYKKKSWVVKLKWHSGNLYMGKMWYNFAKAGNMCRGDTIVFQKTEKPQKYMVCVFEKKLFGKCNVAGVGQNNGIMDWFKIANLEFIYTGEMEIPRVFSKIPGLRIPEDVNLVLKNGERFVAKYSSKENMLSGMKNMIRRYSIRATDVMIFSLTNSSTFVVSLFKISGMESKYIVANEDGGEGGSNVEEEDIMVTADDSGEGLEDVPNENDPNNAEHGIVDAGGVANELVSFRVVLKPSHVDKKQHGLYLPQNMYGTYKEWTASTIIRLMCDGRISFVSLLRSGRICRFGKGWSEFTTDHNLLEGQEIQLDYVDELTFEVTIGQ >KZN01069 pep chromosome:ASM162521v1:3:11309642:11311123:-1 gene:DCAR_009823 transcript:KZN01069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAELIFVPAPGVGHLVSAVELAKLLARQDHRISINILIIKMPFDYGVADITQTLQNDAPERINFVEIPSLDDTAMAKLMALPRMSFHDAFLSSQRAQVMDVVRQIMNRSEDSRLAVKIKLDYRQEYLAPDKSSELVTAEEIESGIRCLMNKEGDQMRNKVVTMKDLCRKAVVEGGSSRISLTQFIDDVIDSINQRSTST >KZN02487 pep chromosome:ASM162521v1:3:33873253:33878459:1 gene:DCAR_011241 transcript:KZN02487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATETAGVRYAPEDPSLPKPWRALIDGKTGFIYYWNPDTSVTQYQKPVAQTKPASPDASVSVSLSSSVKESSQVTNDDNTAVGEDSVNGRGSNGRPNLVSGEISYQGARKEQDQPNIPHGSGGSLQRESSGRVNGLSAIADGPAVRDGPSAESYRRQHEITVTGDNVPPPFTSFEAVGLPPDILREVLQAGFSAPTPIQAQSWAIAFQSRDIVAIAKTGSGKTLGYLMPAFRHLKRCHNNPKLGPTVLVLSPTRELATQIQDEAEKFGSSSKISCTCLYGGAPKGPQLKDLERGVDIVVATPGRLNDILQMGRVNLGQISYMVLDEADRMLDMGFEPQIRKIVKEIPARRQTLMYTATWPKEVRKIAADLLVNPVQVNIGNVDELVANKSITQHVEIIPPMEKQRRVEQIVRSQEPGSKIIIFCATKKMCDQLSRNLSRHFGAAAIHGDKNQSERDDVLNKFRTGSCPILVATDVAARGLDVKDIRVVINYDFPKGIEDYVHRIGRTGRGGATGVAHSFFGDQDAKHASDLIKILEGANQRVPDEIRGMASGGGFGRSRSQWGSGPGRSYDSGYGGRDGFGNESRDSNKYGGGRNEVDAPGSYHAKSFHEGMVSLPQKRSRSRSPTGGAHRFDSSSQAQDGTQTLLVAGALGKAGYGG >KZN02493 pep chromosome:ASM162521v1:3:33944329:33946912:1 gene:DCAR_011247 transcript:KZN02493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVSDISKETDHCKKQSITLEKSFFLANGHLVLSDVPVNISTSPASTGCFVGFDANEAKSRHVMPVGKLQNIKFMSIFRFKVWWTTHWTGTNGGDLEHETQILVLDKSDSGRPYVLLLPLLEGPFRASLQPGKDDHIDVCVESGSTKVLESCFQTVVYMQSGDDPFELIKEGIKVARSHLGTFKLLEEKSPPGIVDKFGWCTWDAFYLNVHPQGVMEGVQGLVDGGCPPGLVLIDDGWQSISHDEDPIASEGTNRTAAGEQMPCRLTKFEENYKFRDYESPEQLSGRNKGMGAFVRDLKENFKSVDYVYVWHALCGYWGGVRPNVSGLPESKVVPPKLSPGLAMTMEDLAVDKIVNNGVGLVPPEQVEQMYEGLHSHLASVGIDGVKVDVIHLLEMLCEEYGGRVELAKAYYKALTSSVMKHFKGNGVIASMEHCNDFMFLGTQAIALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAEFHAASRAISGGPIYVSDSVGQHNFQLLKSLVLPDGSILRCNYYALPSRDCLFENPLHDGKTMLKIWNLNKYTGVLGAFNCQGGGWNREVRRNTSASKYSHAVTALISTNDIEWKNGKTSISIEQVDVFAMYMFRERKLVLLRSTESLEISLEPFNFELITVSPVITLASKRVNFAPIGLVNMLNSGGAIRSLVYDDDANSVQIGVKGTGEMRAFASVEPRACLINEEQVAFVYQENMVMIQVPWLNDSGISVIKYMF >KZN01527 pep chromosome:ASM162521v1:3:18849657:18849992:1 gene:DCAR_010262 transcript:KZN01527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNKMNLLQLKYENASMEHKIKTLQEENSKLEEKIKLMEIQQTNDEAVVEILQNHVEERRAFNNFLRDDSNFEPSEMERRAKLREEIISKREDTKRAKASRDVGEKVKE >KZN00789 pep chromosome:ASM162521v1:3:8642264:8642768:-1 gene:DCAR_009543 transcript:KZN00789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLLSTLNTSRTDWRIRVRLSRIWLRTSIDGNVDGYNLIMIDNQSTNVHAYVKAEIWNLFNNYITVGNIYEVSTFYVRMASGRFRRTRSVVSVVFCMQTTVSLFNDSYCDIPRYKFDFLQMEQIIQRVDEDNSD >KZN01623 pep chromosome:ASM162521v1:3:21996186:21997082:-1 gene:DCAR_010377 transcript:KZN01623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCFSEYATQVISDASCSTVTNHSCISPSLIPSVQIAVTCLYKTILSDHSSLLITVTWCKNNLSQGLSISFGDDSATTFKLNPNSRLFRRKKGSKWIDLRNSKCEIIWDLSTAQYKTGPEPVQGYYILIMVDSELGLVLGDMAKEAASRKVKNGTHLAEFTLVSRKEHYSGNTYYSTKAKFCETGISHDIVIRCSGDHEGLKCPVLSVCIDKKMVLRVKRLHLNFRGNQSIFVDGLMVDLFWDVHDWFFSPGSGYAVFMFKTRSGRDRRLWLEERLMHKDVQEENEFCLLIYACNRR >KZN02322 pep chromosome:ASM162521v1:3:32034449:32050415:-1 gene:DCAR_011076 transcript:KZN02322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTSVGINLGLCLLFFTLYSILRKQPSNANVYAPRLVVKGKLQRNEFNFERILPSASWLRKAWETSEEELLSVSGLDAVIFMRIFIFSIKIFTFAMTIALILLPINYLGDQLTLADFDLPNKSLESFSISNVNDGSIRLWIHFSAAYIFTAFVCYLLYSEFSYISSKRITCFYSSEPKLHQFTVLVRSIPVSSGISYSESVENFFTEYYPSTYLSHVIVRRTNKLQKLISDADNLSRRLLHMKSRKSTRQKVGRASFLGRKVDLLDQYEKKLEFLVESVRMEQALITGQEVPAAFVSFKSRFGAAVALHIKQGANPTEWNTETAPEPQDVYWPFFSASFMRRWISNYVAVVACIVLTVLFFGPVLLVQSLTHLNQLETWFPFLKGILSMKLVSQVITGYLPSLVLQMFQYFVPPTMIMLSSIQGYIARSQIEKSACYKVLWFTVWNVFFVTVLSGSILYQTNVFLEIKKLPNVLAVAVPGQAEFFIAYVLTSGWTSTSSELFQLIQLIWSFIERNILGRSDDELEVPSVPYHREIPKILFFVLLGITYFFLAPLILPFILVYFCLGYIIFRNQLLNVYAPKYETNGKFWPIVHNLTIFSLVLMHIIAFGIFGLKKMPLASGFMIPLPVMTILFNNYCRRRFLPMFSSYAAECLIKKDKNDQNNPTMDAFYEKLVTAYRDPALSPIQITENIDGLSAPLL >KZN02323 pep chromosome:ASM162521v1:3:32056267:32061758:1 gene:DCAR_011077 transcript:KZN02323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLSHQPEVNSFGRGFDDCSSRPSGGAFALIVNSEIGAVLAVMRRNVRWGASYMLDEDDQLDHSLINSFKQLRKNIFVWQKHWRTINPVLYLKPFLDVIQSDETSVPITGVALSSVYKLLTLEVIDLDSVNVDEALHLIVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKNKASVKLSNRHVCNLVNTCFRVVHQASSKGELLQRIARHTMHELIRCIFTRLSKFDSMEKAYIQACSSHVNNDVGTPDGNHIASKLEDNGHAKAASDTSKEKMGINVVVAGQDEEIAQAGGDRMTEPYGVPCVVEIFQFLCSLLNVTENIGVGSGSNLIAYDEDVPLFALGLINSAIELSGASMQNHPKLLALIQDDLFFNLTRFGLSTSPLILSTVCSIVLNLYHHLRMKLKLQLEAFFSSVLLRIAKSKYGASYQQQEVAMETLVDLCRQPTFMSEMYVNYDCDISCTNVFENLANLLSKSAFPVNKPLSAMHVLALDGLCAMIQGMAERITSDIPSSRQASVSLIDYQSFWRLRCDNYDNPDEWVPFVHRTKFIKRKLMIGAEHFNQDPKKGLEYLQEIHLLPDKLDPQSVACFFRFTNGLDKNHVGDYLGSHDEFCIKVLQEFARTFDFEGMNLDIALRVFLETFKLPGESQKIQRVLEAFAERYYEQSPLILCDKDAALVLSYSIILLNTDQHNPQVKKKMTEEDFIRNNRRINGGNDLPREYLSELYHSISENEIRMIPEQANGSPTMTQSHWVGLMHKSKQTAPFVSCDNRAQLDPDMFAILSGPAIAALSVVFDNVVQQDVLESCVGGFLNVAKIAASYQFNNLLNDLVVSLYKFTTLMLPLSGEESVIMLGDDTKARMATEAIFTIANRYGDFIRSGWKNILDCLLCLQKLGLLPTRLASDATDDLEPPLDTERVKHASSSSPSPLKMASSTSRRSFSLIGRFSQLLNFDVEEPAPQPSEEELVARQICQQMVQKCQIYSIFSESKFLQADSLSQLVQALISAAGRSNKGNNFPEDEDTAVFCLELLIAVTLNNRDRIMLLWKDVYEHITNVVQSAVMPCMLVEKAVFGLLRICQRLLPYKENLTDELLKSLQLVLKLDARVADAYCEHITQEVMRLVKANAMQIRSHMGWRTITSLLSITARHPEASEVGFETLEFIMADGAHLLPANYVLCINASRQFAESRVGNVDRSLKSLNLMSESVTCLVSWSSKTNETVGEEVASKLKQDIGEMWLRLVQGVRKVCLDPREKVRNHAISILERSLTGVDGIDILNDLWLLCFDQVIFALLDDLLELAKESSPKDYLKMEGSLVLSLKLLSKVFLQLLPRISQLTTFSNLWLKVLNHFKIYMKLKFRGKRCEKIHELVPELLKNTLLVMKTCEILVPGDDNEDDSLWKLTWLHVKDIAPSLQTTPDYLSQIEGLLE >KZN01030 pep chromosome:ASM162521v1:3:10975720:10978614:1 gene:DCAR_009784 transcript:KZN01030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDALSGEHDEGYGPYVPAPALPAFQLMVNLPPIFLPALPPVAPPRDEGYGPLAMVPYNPYAPYVAYLQQLGKDLDDEEMPPVQEMPPRQGIPPSHMRFSKPSVHMSCWVLLSRFRMLVRSCRVRITVRVVFVLNSPRLYLDDEEMPPPQDLDDEEMPPVQEMPPRQDLDDEEMPPVQDISDGESGSHLSITVRNVEEEMPEFEVNLDISDGGSGSHGGSGGSGSHGSGSHASTQNDSDSEEENIECEMVTWTEFAKFGPIFFSALFALISLKYPGETMNARMIRGYVLTFLTVLYGMAYALKLALDYKSVNVRLFQYVPRYRNRAVFYPESISHLLGMLMLVWTIYVSIYTMTSPGADDWICYTLAMLSIGFTMVCGASQILMSDTRTAILVPVQGGRRRRAAVATPCPDIPRIGRYIVHDVSDTHTIQID >KZN03782 pep chromosome:ASM162521v1:3:48088855:48092222:-1 gene:DCAR_012538 transcript:KZN03782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-5 MALSMVNLGMRFSSLHSMLKPTSFTCVNPSSNAKKVFEVPGIRCSSSSHDSAVVSTGNVSDEVSDGRRSGNYPPSMWDYDFFQSLSSDFKVLTAATAFITTIDDIYDVYATIEELEVLTESTKRWDVADLEQMPEFIKVCFTVFYNEINNVADIAEKEHGVNILPHLQKVWTDVFEAYLLEAKWYHSGYKPSLREYLDNAWISITGPIILTHSYFLSTTSFTDEALQSIWDYPKIIRLAAIILRLADDMGTSYHELARGDNPKVVQCYMNDNGVSEEEARVYVRSLLIETWKEINEEKIIAEATFSKPFIEMCMNLGRIALSIYMYGDGHGAPTLKDKERSTYLFVDPIPL >KZN00547 pep chromosome:ASM162521v1:3:6274896:6276284:1 gene:DCAR_009301 transcript:KZN00547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHRRRRADSPAAVNLDSATQILKQTTTIFKTHLHTLVFLSFLILTFRSNVENGSNFLTTFIDRDPSLKSLLSRIDISGRSHSSPNSNHQPILQHRRRRPFLQLTRVGTLDDDFFSGDDHSDKSLFGGIAKSNLNGTFVIFDHFDPNLGFSNGVVDSGILVNEIVRSGFTFKAPREEESLGDDAVVEDEKIGDGNERENSFGNLFKGLELGRRDATALMFVAGILSASYGYVILGFLVTYSWVLGTVFVLVLNDLLGRYKSLTSTLWDGSNLGLKRLTGFILLRWAVRDALTQLLGICFFGEIDDHYLFFKIFVRLKLMPFSVMASWVRGYEKESAGFLVSWFLFDTLLAFVFAVDAWVAIVDSRKSGREVVKEGCHLLATMLNPAINIKCLEGILCGSLTRWILSSCFGRLFASAFQSVMEVYFMVAWLVYYFAVRSKTASSLGRTFGQRELEGILEGFR >KZN00443 pep chromosome:ASM162521v1:3:5331450:5331995:1 gene:DCAR_009197 transcript:KZN00443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPNENKPSTRHYHPYQSLNTPIHKLYELPTSPEYLFHEEAAVQRRSWSENLQYYTGSGYLSGAILGGLKGSVEGINSAEKGESLKLRINRVLNSGGHTGRKFGNTLGVLGLIFSGLESTVLYYRGTDDLMNSVVAGLGTGVIYRATKGAKSAVLAGAIGGLAAGAAGIGKQAIKRYVPI >KZN01242 pep chromosome:ASM162521v1:3:13563467:13566753:-1 gene:DCAR_009996 transcript:KZN01242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCSSTTVLKFFLFCILSFSVSLNCKVAAAGDIIGPEQILRDDGSTIVSSGGHFELGFVTVGSSANRYVGIWYKKIAVKTIVWIANREAPLNTSSNLLRLNSNGNLVILNASDDVVWSSNSTASVNNPVVQLLDSGNLVIRDESESDKYLWQSFDKPGDTQLPGAKLGWNLETGLERYLTSWKSLDDPSPGEYTNYIERNGFPQQMIRKGSAIDSRAGPWNGLRFSGTPNLNPNQIYTYAFVSNDKDLYYHYEAVNSSVYTRRVLNPSGHIQRWVWIEKSQIWQVYHSGPDDCDHYRVCGAYGSCNIDKSPVCMCFNGFQPRDKKGWDAADWSSGCARKENLSCVNGDGFVKHSGLKLPDTQRSWFDKNMSLGECEKLCLSNCSCTAYANTDVRGSGSGCLLWFNELIDIRDEKENGQDLYFRMAASELALKRISGRLSGILSG >KZN03737 pep chromosome:ASM162521v1:3:47538488:47538982:-1 gene:DCAR_012493 transcript:KZN03737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHPLPKKRNISLRYSIPSNLSTKKLRRLPHIFEKVLELPFHSDAEVSVHETSDCLRFIVVTDDDFGQDVRAQTVEIFPGVTKIVVRGSNYVNLCEIGFDEVGFDVWRFRLPAGTKPEMATAVYSGGELVVIVPKGEEELDGMEGDDREEMWGDGDGRLVLVQ >KZN00167 pep chromosome:ASM162521v1:3:2646479:2648508:-1 gene:DCAR_008921 transcript:KZN00167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPSKTRKHTPGYEEPTVLASETSFTVSEVEALFQLFRKLSSSIIDDGLINKEELQLALFRNRNKKNLFADRIFDLFDVKRNGVIEFGEFVRSLSIFHPDAPVSDKIEFAFRLYDLRQTGFIERDELKEMVVALLHESDLILSEDYIELIVDKTYTDADAKGDGRIDREEWKEFVSKNPSLIKNMTLPYLKDITLAFPSFVLQSEVQDFEM >KZN03183 pep chromosome:ASM162521v1:3:42198257:42200787:-1 gene:DCAR_011939 transcript:KZN03183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGYNGLRAKQLSLFLMAVFCTSILIFGWVKSPIFASLIPPKSRVVNLPPVLYAQENQLGEGLNDLDGVSKRVDLPEPDHTTNIDFITSEAVEEANERELEEGASDSHVQSKRIDLQEPDHSTNNNSFTSESLMIQETTGKAVEVSHGENSSKITEDLVAHQNFASTNISLERRENNILTTKNENQGTYGCSLVSFEMGYFMIHQVDTGTDCNYAKGKWITDDNRPLYSGFGCKKWLSPMWACRLTQRTDFAYEKLRWQPKDCKTKDFTSSNYLRRMQGKTLAFIGDSLGRQQFQSLMCMVSGGEERPDVIDVGNEFGLVKPHGHGRPDGWAYRFLSTNSTILYYWSATLCDLEPLDINNPTTEVAMHLDRPPAFLQHFISKFDVVVLNTGHHWNRGKLNNNRWVMHIGGKRNTNRKLADIGSAKNFTVYSTVHWLNSELPKFPGLKAFYRSISPRHFFNGEWNTGGTCDNTSPRSGELEVLQDESADLLAAGSVKGTQVKLLDITALSQLRDEGHISRYSIKATPGVQDCLHWCLPGVPDTWNELLFAQI >KZN01182 pep chromosome:ASM162521v1:3:12698698:12702293:-1 gene:DCAR_009936 transcript:KZN01182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFQKSKSVSWTSDANLSQVKLFLSEESPSLIGLNAQDHPQAKALVISKGAGSVDKSPPGFDGTNPAYSFYNKFSKIRVINWKCPPKFVLDLMWQVVAGEESKEVECQNQRVMRVLEAVYPRPSAIPPKQDDSHDNTLHTPLVPITPIEEDDAATDTSLSNILRNAVPTSSESQLCAPGVHGLESSSRNNPTPPANVNSPSKTALGAEPDIVAAAYTALSALMADDIDPDLLIRILRDPTIMKKLGSSHAASTNINNMPNPKSEGISLPAPSEVHIKTTETVGSPLVSFPCQPVHTSVVRPGLVPNPHNMPNHRSEGVSLPASSELHISRTDTVASSLATSSCQSLCSLVGRPGRVVDICPLPSEPVTVARPLGTPVAKDINYYKSLIQQHGSEKQQKQTQFNCNEVSRQSQEPVSHPRSRDLKPQSIKKSCIFFNSSKGCWHGANCAYQHDVLSRKHKVDSLPDLQGAKRIKADK >KZN03487 pep chromosome:ASM162521v1:3:45021435:45022661:1 gene:DCAR_012243 transcript:KZN03487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIDVPTYFICPISLQIMKDPVTVITGITYDRESIEQWLATAEESLCPVTKQPLPQDSDVTPNHTLRRLIQAWCTANADLGIDRIPTPKSVLSMSHVLKLNRQLKAPQLCFGALKILDGLANESEKNRKCMAEAGTASAVVSVIVKCFRERRNVGLEEAVRVLHLTWKPSPDNIRVVHDNFDIIESILWILQDDHENLVELKHLAVLVLKTISEVASSNLMERLQYKFFDVIVATLREYRTSDHQATKALLHVLGLVLPWGRNRMKIIEAGAIYELIELELMHPGKHVTELIFCLLADLCACADGRAHLLNHAAGIAVVSKRILRISPVTDDRAVDILVMIARHSSIKEVVAEMLSVGAVAKMCLMIQADCDDNLKKKARGVLKLHSNAWRASPCINDYIFTKFAGN >KZN03788 pep chromosome:ASM162521v1:3:48166478:48168073:1 gene:DCAR_012544 transcript:KZN03788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGENLQVLNALDVAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAEKPGSLPPNVSAAVNGVAFCGTLSGQLFFGWLGDKLGRKKVYGMTLMIMALCSIASGLSFGKEPKAVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVLAIIISAAFDARFKAPSYEVSPAASTVPQADFAWRIILMVGALPALLTYYWRMKMPETARYTALVAKNAEKAAKDMSRVLQADIEAEPQKVEQNSDEPANSFGLFSKEFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAVGWIPPAKTMNALEEVYKIARAQTLIALFSTVPGYWFTVALIDKMGRFTIQLLGFSMMTIFMFALAIPYEHWTHKDNRIGFVVMYSLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQSKDKAKTDAGYPPGIGVKNALLLIAFVNLAGTLCTFLVPESKGKSLEEMSRENEDTNANASEAES >KZN02644 pep chromosome:ASM162521v1:3:35467513:35471041:1 gene:DCAR_011398 transcript:KZN02644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWLVPSWPTLRTRPVCKFVTGNELSGNCRRIVRWKCTRRPEILPCVSKERTGLRVFVLSDLHTDYAENMMWVNHISMVTHKKDILLVAGDVAETFKNFVQTMSLLKDRFEHVFYVPGNHDLWCRWEKEKYHDSLEKLDNLLDACKRMGVETSPMAIDSLGIIPLFSWYHESFDREEEITGVRIPPLEMACKDFHACKWPDQLSKEGVSLAQYFDTMNNSNQNAVSDIQRTCNQVISFSHFLPRQELCPEKRMLFYPNLPKIIGSDFLETRVRSIHSVNGSNSACHVFGHTHFCWDAVLDGIRYVQAPLAYPRERKRRMNGGEDWLPFCIYSDGQLADPLSPCFWSDYYSENPRTPHVTQLAPWVAKYYLHRKI >KZN03661 pep chromosome:ASM162521v1:3:46701321:46701641:1 gene:DCAR_012417 transcript:KZN03661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKILRVASENGVVIFSKTTCCLSYAVNVLFHELRVDPVVYEIDQDPEGREMEKALLRMRCNSPAVPAVFISGKLVGSTNEVMSLHLSGSLIPLLKPYQSQVYHSS >KZN01383 pep chromosome:ASM162521v1:3:15215030:15216862:-1 gene:DCAR_010137 transcript:KZN01383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPNRDYVEPAPVAAFEMGELLTWSFYRAVIAEFVATLLFLYIIIAIIIGHKKQDEPCDGVGLLGISWGVGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVTLVRALGYMVAQCLGAICGVGLAKAFMKHDYNRNGGGTNSIADGYSKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFLVHLATIPITGTGINPARSFGAAVIYGHDKIWDDQWIFWVGPFVGALAAAVYHEFVLRAGALKALGSFRSNRNS >KZN02982 pep chromosome:ASM162521v1:3:39830317:39838696:1 gene:DCAR_011738 transcript:KZN02982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNGVGEMEEREKKVFMWGYLPGALPQRSPILSPVEVPAGGAVSKWKDVCGGGCGFAMALSESGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPIETSISKAAAGWAHCVAATAGICIQKLVKXGWKECVPSGKVIEDPSMGLLLDKDVVDQQGSLLTEQGGEESANAKRRRVSSAKQAAESSATADEPLSALPCLVTLSPGVRIATVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIDTSPLGKDRSAVTSRASVSSEAEGCRVPGSYVRGIACGGRHSAVITDAGAILAFGWGLYGQCGQGSTDDELSPTCVSSLLGVQIASVAAGLWHTVCISVDGDVYSFGGNQFGQLGTGSDQAETLPRLLDAPGFENMRARIVSCGARHSAVVTDDAKVFCWGWNKYGQLGLGDVIDRNIPSEVPLDGRVPKNVSCGWWHTLLLADAPT >KZN03658 pep chromosome:ASM162521v1:3:46694233:46694541:1 gene:DCAR_012414 transcript:KZN03658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVRDLASKKAAVIFTKSSCFMCHSIKALFYELGASPAVHELDQITNGKEMEYALQRLGCNPSVPAVFIGGKYIGSAKDIISQHVDGSLKEKLIEAKAIWL >KZN01253 pep chromosome:ASM162521v1:3:13716209:13722547:-1 gene:DCAR_010007 transcript:KZN01253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGYQESSSSSSFGPPKSRPRFNPQDDSEFQEDESTRKEARKVADHYSARKNHTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKANVGYYVGIDIADGSIEDCRTRYNGDADQHQRRKKFTFPARLLCGDCFEVRLDEALADDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGIFIGTMPDANVIVKKLREAEGLTFGNSVYWIRFDEEFSEKKFKSTSPFGVKYKFHLEDAVDCPEWIVPFHVFKSLAEEYDLELVFVKNNHVFVDEYMKKPDYIELMRRLGALGDGNKDQSTLSPDEWEVAYLYLAYVLRKRGQPEQTRTISRKDRGKMRIMKEDITYLSS >KZN01812 pep chromosome:ASM162521v1:3:25528690:25529751:1 gene:DCAR_010566 transcript:KZN01812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICSPAFYFFYFTGVITDTIKDAVGRPRPNFFFRCFPNGVTAFKENGDVLCSGDSKVIKEGYKSFPSGHSSWSFPCLGFPVLYLSGKIKAFDRRGHAAKLCIVLFPYLVAALVGVSRVDDYWHHWTDVFSGALLGIVVSTICYVLLFPFPHTINCWAPHAYFIMLEESATSSRDEEV >KZN03662 pep chromosome:ASM162521v1:3:46703660:46703962:1 gene:DCAR_012418 transcript:KZN03662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKISRLTSENGVVIFSKTTCCLSYAVNRLFHELRVNPVVHEIDQDPEGRELEKALLRMGCKSPAVPAVFIKGKLVGSTNEVMSLHLSGSLTPLLKPYQY >KZN02164 pep chromosome:ASM162521v1:3:30379403:30380182:1 gene:DCAR_010918 transcript:KZN02164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQMESINHQGISLYSDKATYLKEFSSGVELRAKIAELNKIKEDLKQAKEDARDSWSNCRPLIHVLEKLETDTEIAKKGSAMFSSVVSELQSQLEAIKMSIKSMRDEELNVTKMINEINDVLEETQEEMEEILSEIDEKRCEREELTLMLKIRRQTLDVLQLTHRALRLEVECFGASAAEALQHIAKSKNDSTTVQLTLEDFDAITKAAEENTFLQEWRVSASTEQRFATQKRRDLFFKRFVELHRLKSYQRKTDLEI >KZN01683 pep chromosome:ASM162521v1:3:23124123:23128874:1 gene:DCAR_010437 transcript:KZN01683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSAVTSCSSSSSPEIEMIEALTKRGWCFGDIDKVKAVIVVHSALHAGEATVDLIESELCNMDLNSIAAKSLPDSSVLRSKSSRLLGPKISAVRDISRSMIAESSGNSSNKRLLRLKLTDGHSEITAIEYTQIPSIPDDVVPGTKIRLEDKAVMRNGILCLNAKVITVLGGVVPSLYEEWQMNQKYSGFSRTSAQLTNDGDAGGPPQFEKLHVGAPSRRLNQGKFPQFSERSSNNPSLAFLGKDKGSISGQSSEPLHSVGKVDSKNSNVESVSVNQRSEGNNSSSDSRPKEVVESIPVQNQAASQKLLEKMNNNGRGDRFARGGKHRGKGKFEEANVLTLDEWEKRKASANSSMKQGIPDVRQDEDLARQLQNQFDLEDHGQRGPQMTQADSIKLNMFSFAKDNVNDDGRSGFRGRGRGRGRGRGRGRGRFG >KZN03115 pep chromosome:ASM162521v1:3:41392039:41397412:1 gene:DCAR_011871 transcript:KZN03115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCPCDQSNSNSIGRGFDGCSSSPAGGAFALTVNSEIGAVLAVMRRNVRWGVNYMAEDDQLEHSLIHSFKELRKKIFMWQSRWKTVNPVLYLKPFLDVIQSDETGAPITGVALSSVYKILTLEVIDLDSVNVDEAFQLIVDAVTSCRFEVTDPGSEEVVLVKILQVLLACMKNKASVKLSNQHVCNIVNTCFRVVHQASSKGELLQRIARHTMHELVRCIFTCLSNFDNLEKAYTQAGSSHVNNEVGTHDENHIFASKLEDNGHANLESDDKTTPDGVGHDSGDASEEKVDVNVVVPGQDKVIAQAGGDRMSEPYGVPCVVEIFQFLCSLLNVVETTGVSSKSDSIAYDEDVPLFALGLINSAIEVCGSSIQHHPKLLVLIQDDLFFNLTRFGLSTSPLILSVVCSIVLNLYHHLRIKLKLQLEAFISSVLLRIAKSKYGASYQQQEVAMETLVDLCRQPTFMSEMYVNYDCDISCSNVFEDLANLLSKSAFPVNRPLSAMHFLALDGICAMIHGMAERISSDSPSSQQASISLTGYQSFWRLRCDNYDNPDEWVPFVHKTKFIKRKLMIGAEHFNRDPKKGLEYLQEVHLLPEKLDPESVACFFRFTLGLDKIHVGDYLGSHDEFCIQVLHEFARTFDFEGMNLDIALRVFLETFKLPGESQKIQRVLEAFAERYYEQSPHILCDKDAALVLSYSIILLNTDQHNAQVKKKMTEEDFIRNNRRINGGNDLPAEYLSELYHSISENEIRMTPEQATGSPTMTASHWVGLMHKSKQTAAFISCDSRGQLDRDMFAILSGPTIAALSVVLDNVVQEDALQTCISGFLCVAKIAASYHFNDLLNDLVVSLCKFTTLMLPLYGEESVIMLGDDTKARIATEAVFTIATRYGDYIRSSWKNIVDCVLSLHKIGLLPARLASDATDDLELPFDPEKVKPDLTSSPSPLKLVSSTSRKSSSLIGRFSQLLYFDAEEPAPQPSEEELAARQVCLEMVQKCQINSIFSESKFLQGDSLSQLVQALISAAGRSDKGINFEEDEETAIFCLELLIAVTLNNRDRIMLLWQGVYEHITNVVQSAVMPCTLVEKAVFGLLRICQRLLPYKENLTDELLKSLQLVLKLDARVADAYCEPITQEVMRLVKANAMQIRSHAGWRTITALLSITARHPEASEVGFETLEFIMADSAHLLPANYVLCINAARQFAESRVGNVDRSIKSLDLMSGSFVCLVRWSSKTNEALGEETASRMKQDIGEMWLRLVQGVSRICLDPREEVRNHAILMLQKSLTGVDGIDLVNELWLQCFDQVILTLLDDLLEIAKESSPKDYRNMEGSLVLSLKLLSKVFLHLLQRISQLSTFSKLWLEVLSRFEGYMKVKFRGKRCEKIHEIVPELLKNTLLVMKTSGILVPDDDNLEGSLWQLTWLHVKNIAPSLQLEIFPANETKQLQSNNTETGGS >KZN01342 pep chromosome:ASM162521v1:3:14666595:14667731:1 gene:DCAR_010096 transcript:KZN01342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQQSQLPMNSGGAETPAIYQLSQDTIQQIFSLLPLREIVISKSVSKFFNQTLSSHSFLPLIPSLPLLALRSSPSHRHTQQQQQQTPTLHAFDPNLDHKWVRFPLDFLPLQAHHPVASSLGLIYLWADSQSSTKSLLACNPLTRSYKILPHLGSAWSRHGSVLVGSPNRVLVLTELAALYFSGDSDTWLKFSSNLPSKPRSPLLIKDTIIALCDVGSPWRAQWKLFTITLQSLQCKSQWTCLERVEWGDVFDILKRPRLVKGDGNKILMIGGLKSSFLLNSSCTTILILRLDLETLEWDEAGRMPVQMFASFQESSKFKVFGGGDRVCFSAKRIGKLALWDNSKGKAEWKWIEGVPGNGDGLCRGFLFEAGYTASP >KZN03147 pep chromosome:ASM162521v1:3:41723198:41724893:1 gene:DCAR_011903 transcript:KZN03147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVARPHWLVFFLLGISSAQLSPDFYSQTCPQVFPVVKWIVYSAIVNETRMGASLLRLFFHDCFVNGCDGSLFLDDTPTFTGEKRATPNLNSARGFEVIDEIKSNIEMVCPGVVSCADIIAIVARDAVTILGGPDWNVKLGRRDSRTASQLAANNSIPPPTSDLDFLVSNFNSVGLSIKDLVALSGAHTIGQARCTNFRDRIYTERDNSEPMFAQVRATNCPRDTGSGDNNLAPLDLQSPTTFDNNYFTNLVNRRGLLHSDQQIYNGGPTDQFVREYYNDPRSFASDFVEAMIKMGDIRPLIGSSGEIRRNCRKIN >KZN02457 pep chromosome:ASM162521v1:3:33634026:33636303:1 gene:DCAR_011211 transcript:KZN02457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNTLTDLIMLSESEKNIEKSTNGGFHKPQIEHNEGNYEEKKKSACPLVETSPNGNGTEAAIAEVEYIESENLEDVEDLNTNIEARILKGELESKDWVVVCGALNNVRRLSLFHKELLCDMLENVVSLVVKSLKNPRSAVCKTAVMTSADIFKAYNDRIIDSLDPLLVQLLLKSSQDKRFVCEAAEKSLIAMTTWVSPVLLLPKLQIYLKHKNPRIRAKASMCFCRSSLRLGFDGMEKYGIDKLIHTAASQLSDQLPESREAARTLLLELQSAYEKSQISLAATVSENQEKNSWEQFCQSNLSILSAQSVLRATNVAREGLATGT >KZN02421 pep chromosome:ASM162521v1:3:33359784:33361758:1 gene:DCAR_011175 transcript:KZN02421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKSNVKKGPWTPEEDAKVLAYVANHGIGNWTLVPEKAGLNRCGKSCRLRWTNYLRPDLKHDAFTPQEENHILVLHQAVGSRWSLIAKHLPGRTDNDVKNHWNTKLRKKLMKMGIDPVTHKPISQILTDFGNISPFPNSRNHVDPLNGFANNFMSNSEASVVTTDYAIPPMLNTTMLEQVQDNHFGNNYVWENAEASFQAKTQEISSPLKSLASCHVTELSSPQSLPWKSSQLQPMQSSSSGWNDFLLNDLSLLTDLEQQEEPKSNGIIAPSSCPSVPVQNEKCENNFSEGSSSSAVDSFVDSILNQDKELQSEYPQIFSDGYFNY >KZN00086 pep chromosome:ASM162521v1:3:1790077:1796292:-1 gene:DCAR_008840 transcript:KZN00086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFCLFSPLFLSGRCSSSVSTDSQLEDLQEELKASKSQVVDMEKRLRIYEGDLSEITTLDEVHYREHLLEEALKQVRMRKEMLEEHYECHISQPSTQVQLHGAEHMHLHGLAPREANNVFQWFPAPRDPQLQVLNFLDSNGLLPQQREPTHHRQGADRMMMPPLNLLSGNMNVEEHMSRSHRSSGLRDQDGVKIESTNNVATINRVPQDPSSSGYGHGFDVNLNSPWVNHQFYQAGKKILSIRNFALAVFKKLIFFVLLVAAEKNQLLLAHDNGSQNTIVVPEMKSVSRDEASSPVIGIDHAVSEMIQRIQEKEQKQQRETPHSLNEFFPNKRTVPDASDPIHNR >KZN03795 pep chromosome:ASM162521v1:3:48203835:48204830:1 gene:DCAR_012551 transcript:KZN03795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVCNASSGSYRRNPDFPKQNKQGFSRSRNKQFEDRDGSDNLEDSEIFPSKNGALHSMSGNPKYQATAAPGPKEKEIVELFRKVQAQLRERAAIKEEKKIEEPQVQAKKNETVDSLLKLLRKHSVQQGKRTNNSSVSSSRDFILDQPELNGSFTTGKSSSFFRPNNDEKSKFQESEPSSVGRPMSSFRRRSPVPQFKKQPTYVEEDTVSSITHTNQEEKQKDTSYLEHEVEHKPILKLKVDPVGHDDSRVESDSAFPEGDLFGEMSDGEMTETDESNSDEDADEQILSKDIDLSGMKLVELRALAKSRGMKGFSKLKKQDLIQLLAEDSV >KZN02276 pep chromosome:ASM162521v1:3:31537816:31546644:-1 gene:DCAR_011030 transcript:KZN02276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGVVDQKPEMKLAANGNSVVAVSQRLRLNPNTDHQPESYEDLKLEFSHFLFSSLERYLPPSMINEPRETKVKYMLQILLRYSPQGERNRFQRHKEYRQKIISNYQPLHRELYNMHPTNFFVPSFLKAISENKEESFRKIITEPSPGILTFELLQPRFCEMLLAEVDNFEKWVRETKFRIMRPNTMNKYGAVLDDFGLETMLDRLMEDFIQPISRVFFPEVGGSTLDSHHGFVVEYGIDRDVDLGFHVDDSEVTLNVCVGKQFTGGELFFRGVRCEKHVNTGTQSEEVLDYSHVPGRAVIHRGRHRHGARATTSGHRLNLLLWCRSHFVSCSKPQMSCSLMILPLKYGTVLLFFSGYAPTNDETNLRILTFELLQPRFCEMLLAEVDNFEKWVRETKFRIMRPNTMNKYGAVLDDFGLETMLDRLMEDFIQPISRVFFPEVGGSTLDSHHGFVVEYGIDRDVDLGFHVDDSEVTLNVCVGKQFTGGELFFRGVRCEKHVNTGTQSEEVLDYSHVPGRAVIHRGRHRHGARATTSGHRLNLLLWCRSSVFRELKKYQREFPEFCGECQRLKKERQRQSISAIKVEVLKKPGDTPS >KZN00806 pep chromosome:ASM162521v1:3:8871829:8872335:-1 gene:DCAR_009560 transcript:KZN00806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFTHLSWWLWSGKHQDPRGSNSLSSAPDAELREPDTSKLPMTNGKKMASTSRRVKKKAPRYKDRKVVREYDVVIVPSDGGCFSGSESDDSDWSIGWLEPHGPGFDSDNESDDSFAVLVPCYGRGRREMKGSAKDKLNIVAQVPGNITSPDTAPYSLYSFFSLPIL >KZN01411 pep chromosome:ASM162521v1:3:15790657:15793350:-1 gene:DCAR_010165 transcript:KZN01411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVNVLHMNPAKSACSYANSSILQKNLMLQSREVLEDTIKNYGTYGFSECFKLADLGCSSGPNSLLFVTNIVDIVHAVCQKKNWKTPDEFQVFLNDLPNNDFNALFKMVLENEKGLEKNVNCFISGVAGSFYTRLFPSKSLHFVHSSSSVHWLSQVPANLLDYNKGNIHMAKSSPRRVYEAYFSQFEKDFTTFLRMRSEEVIPNGRMVLSLVGRSSADHTMKDSCYMYGLLGKSLLEMSAEGILHEEDITSFNLPLYTTCIDELEAIIGSEDSFSLDRLETSEVNWDIREEDEILKSGESSGKFIAKTIRAVTESMLASHFGDVFIDEIFERFALLVAEHLSRVKTDNLFNIVVSLIRK >KZN02093 pep chromosome:ASM162521v1:3:29486606:29486932:-1 gene:DCAR_010847 transcript:KZN02093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIATTPTPKHYAYGVLGVDVTILEGHASEVCACAWSPDGSLLASGWVILPQFLI >KZN01908 pep chromosome:ASM162521v1:3:26772336:26776382:1 gene:DCAR_010662 transcript:KZN01908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGNEEMSKYYATIPIKKRKFPMVRYSPPPPDERSCLPVESVQNNLVGDNSSSCCQESSVLSADVGTSSNADKKSVLEIKREIAINMIVNSMGANADRFTGKAKAPTVHCDPLDTVDRQENVLLSRDQKMKEVLKVDLPPNEAPVPLVVKKEVVNKLDDSCTPGIFRMPETVQMFLGTNEPRPASMIGLNGDDFKQDLDKSYYTSFCLSINQDKLLDECKSDDVKSQVASPLVHADRLKWDLNTTMDDWEGPGGDGATPEPVFNSEATKKADINCPEPVISSSGILGNISDALEAKKKADINSLEPVISSSGVLGNIPCAPDAGKQFRGETDSICMPSSKPSRQYRYDNSLQPGSSTLMNPNFEGEPLASLVKVDSCRIPVNSNTTNVLVFAGNSTSVGNDTVKSEPYIEIVKPDCLGNKCDTMDLPGLVDKDMLERCSLKAVNFVTADRDDVIEPRSIKSEPVLGIQGADVIPCASVEKVVQFQVNVSSTSSSGLHTCPVQLKSGGATSQFEVSIHREEVQLGTHTTLGTSKIVDHVTADMDLLSHGDVHRQYYSGPEDAKSYEPLDPCGDAGNTISDKEINNVSAVMLEEFFCQSNRKAEITNDNVVHVYLRGSHDSSKGNNCEDCELTASDLQKENRKEENFVVNNYDGKLSSAFSEDDGNTARIPYWSDGYVEDQGETSDDHIKECVNSAQESGQMTSRGHYLQEIDQYMDRDSSFQESSTIESEATKMAINVTQNISYDLSRSDVQMGHDRNKLSVGGINEGQGIETNFSQMKDVRLTQTNKLQEVDPTLLKPESSITVNDAATASNSGGNQNRIINLPRGSNMTSPCMPRYVTDRSLLSTRERYADEDETVILQRNRVDNYADDPRRFRRDRFQTQPYRNPRIGQIRGRGRGRGFRQRDEWKFDYNSGADNYDCPPDYRVPRHKRASSGGNAQVEDNNYHISHSRVLGRGRGGIRPSRDVLPSFCHPSSRRFSTRDGDVTRTRGIQIVRRFPGNVFSNRCSDEDEFRHDEKFTRDFPEDHTGPIFNPSRPAYQKFDSRFARGNRNLPNIPRRAPPRIRSKSPVRFEAHQNGPWSSPERGSPDGRRFARRCVSPSYVVRSSHHFLDMESERMDRPRSANSDLRSPSNRIVARNTRTLNTIDPDDDEFGGPINSGRFQDLNADRKGDERRKGGDRCNNIRSFCQLFDADGGNLQFNSDDRPRPIRFSTEDGSELNERGSSRKRDFERRIKIRPGIAQSRMRDLDEEEANYRENKKVWHDDVFDDTSRMKRRL >KZN01836 pep chromosome:ASM162521v1:3:25889649:25892366:1 gene:DCAR_010590 transcript:KZN01836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEITLVLLNAQSGDGTVRKQAEENLKQFQDQNLAGFLLSLAGELSSDEKPVDSRKLAGLILKNALDAKEQHRKFELVQRWLSLDVAVKGQIKTCLLQTLSSPVPDARSTASQVIAKVASIELPQKQWPELIVSLLGNIHMVPVHVKQATLETLGYLCEEVSPDVVEQDQVNKILTAVVQGMNASEGNNEVRLAATRALYNALGFAQANFTNDMERDYIMRVVCEATLSPEVKIRQAAYECLVSISSTYYEKLAPYIQDIFNITAKAVREDEEAVALQAMEFWSSICDEEIDILEDYGGDFNVADSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIQESIAKDDWRQREAATYAFGSILEGPSPDKLTPIVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTVETPIINQTNCQQIITVLLQSLNDVPNVAEKACGALYFLAQGFEDVGSSSPLTPYFQEIVQALLTVSRREDAGESRLRTAAYETLNEVVRCSTEETAPMVMQLVPVIMMELHNTLEAQVQKLVSDERERQNELQGLLCGCLQVIIQKLGTSEPTKYAFMQYADQIMNLFLRVFACRSATVHEEAMLAIGALAYAAGPDFAKYMSEFYKYVEMGLQNYEEYQVCAVTVGVVGDICRALEDKVMPFCDGIMTQLLKDLSSSQLHRSVKPPIFSCFGDIALAIGENFEKYLTYAMPMLQSAAELSAHTSGADDEMIEYTNLLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDSIYMEKDMDDVVMKTAIGVLGDLADTLGSNAGALIQQSMSSKDFLNECLTSEDHLIKESAEWARLAIHRAISV >KZN03415 pep chromosome:ASM162521v1:3:44390008:44393698:-1 gene:DCAR_012171 transcript:KZN03415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSQCCTNPPVLMSSSGDGSVQEIAGLKAYVSAASPSSKHGILLISDVFGYEAPKLRKLADKVAAAGFYVVVPDFFRGDPFSWDNPEKPLAVWRTFHTGEKEFEDAKAVINAMKSNGISKFGAAGFCWGAKVVVQLASSDCVDAAVMLHPSFITLDEMKEVQVPIAILGAEVDQYCPPEVLKQFDEALSAKSEVSKFVKMFPGTVHGWTVRYDDNDENAVKSAEEAHEDMLTWFNKHVK >KZN00946 pep chromosome:ASM162521v1:3:10202247:10204097:1 gene:DCAR_009700 transcript:KZN00946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLETLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEEVSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCTYPTYQPKQQRRSKYESKRYVRQRDGPPPERRKPKQATESPSG >KZN02794 pep chromosome:ASM162521v1:3:37346948:37347716:1 gene:DCAR_011550 transcript:KZN02794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPADFVIFGVLVGALWQFIHLYRALFSNKTQHKPPPGPPGLPLIGHFHMLGKLPHRTLYKLSQKYGPIMSIRLGSVPTVIVSSPAAAELFFKTHDSVFASRPASQVADYLFYGTKGIGFTKYGAYWRNARKFCTVELLNAEKVKSMAGMRREDMMLLVESLKQAAAASEVVDISDKACHLIEAMTCRMLFGKSRDEKFDLSAIVHHMAEDLGAFNISDYIPFLRPLNLQSI >KZN01570 pep chromosome:ASM162521v1:3:20725197:20727605:-1 gene:DCAR_010324 transcript:KZN01570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRKLMNEESKLGEKCKIRKRGASSSSSSSLVQNFRLKRAILVRKRGGSSTPEPSWKMTTRLSPLRGDEASGKVKEASVSARKLAATLWEIDEAPSRNIKQSVVRHSTDLSHIPVSEYMDRQRTRKSGGSTKIQHTDKSYRGMDSLRNANLIKVEIPAHRHGVGTMTRLKDIKEGLITSKELLKVLNHTWELEEQRSTCVSLINALKFELHCARGKVEKLIQEQQSHHSEIDYLLKQFAEERSAWKMKERSRIHDAVKSLAGELETEKKLRRQTERLNKKLGRELAVTKESLSKAEKDIEGERRAREILEQVCDELARGIGDDRAEVEELKKESIKVREEVEKEREMLQLADVLREERVQMKLSEARYQFEEKNEAVEKLRSELESYLRTEKGEEKGHDFPNNDRIKELKEYLKKTLIQPCLQGDDRGRDDSPDSDDQSIELNMDCNGKTFGWSYAGAFEAQNAGDSISCNEEIVDRNLSSDRMQKKPSYLERQISDGIVWEFNSKPKEDSDGLNEERVFEFTSQHQKEDYENEIQRYKMIKDLRDHIVSGSGLAMLQGAA >KZN00913 pep chromosome:ASM162521v1:3:9817173:9819349:-1 gene:DCAR_009667 transcript:KZN00913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKAVLIGCNYKGTKAELKGCINDVRRMHRCLIDRYGFSDDDISILIDTDKSSTQPTGKNIRKALSNLVRSASSGDILFVHYSGHGTRLPAETGEDDDTGYDECIVPTDMNLIADDDFKELVDRVPRGCRITIVSDSCHSGGLVQDVEEQIGESTNSSSDKKDESSSGFKNFLHRTVDDALESRGVHLPSGLRPHRGQKEDVDDEEVDLGYGDRGYVKSRSLPVSTLVDILKQQTGKDNVDVGNLRPTLFDVFGDDASPKVKKFMNFIFGKVQSGGNGEGGGGLMGMVGEFIKKKLNDNDEGYAKPALEAKVGSKQDVYAGASKKALPEGGVMISGCQTNQTSADASPCGNSSEAYGALSNAIQAIVKAKGGHVTNHELVTKAREMLKKEGYTQRPGLYCDDHYVNSSFIC >KZN03697 pep chromosome:ASM162521v1:3:47006112:47010876:1 gene:DCAR_012453 transcript:KZN03697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPWGGVSCCLSAAALYLLGRSSGRDADVLKTVTRVNQLKDLAQILDTASRVLPLVVTISGRVCSDAPISCEYSGMRGVIVEETAEQHFLKHNDAGSWIQDSAMMLSMSKEVPWYLDDGTSRVFVIGARGASGLALTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRTERVLPVGTPLTVVGEAVKDDIGTMRIQKPHKGPFYVSPKPIDQLIANLGKWARWMRRVLDAAAKKQGTDIEGSNTIAEDGLDGAKKDLLMPDLCVICLEQNYNSVFVPCGHMCCCTGCASHLTSCPLCRKRIDQVVKTFRH >KZN00165 pep chromosome:ASM162521v1:3:2637089:2640945:-1 gene:DCAR_008919 transcript:KZN00165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTDETVMDVLDGSDCFPIEEIVHYPLPGYGAPTSVAFSPDDSLVTYLYSSENTLNRNLFSFDPTTGKQDLLFNPPGGGLDEDNLSAEEKLRRERLRERGLGVTRYEWVKTGPHENAVMVPLPAGIYFQNLSSQPQLKLQSAPTSPVIDPHLSPDGSMLSYVRDNELHVLDLLQNESKQITFGADGKTLTHGVAEYIAQEEMDRRHGYWWSLDSKFIAFTQVDSSAIPNFTIVHQGHSTTGPDAQEDHAYPFAGGPNANVRLGVVSANGGEVTWMDVFCGGKDQANHDEEYLARVNWMHGNILTAQVLNRSHSKLKILKFDIQTGEREVLLVEEHDTWVSLHDCFTPLDKGLGKFSGGFIWASEKTGFKHLYLHDASGKCLEPITQGDWMVEQIAGVNEAAGLVYFTGTLDSPLECHLYCTKLFPDENCALPLKLTKGKGKHVVVIDHQMRRYIDIHDSLDYPPRISLCDLQDGSVIMPLYEQPLAVTKNKKLQLQPPEIFEVQAKDGTTLYGVLYKPDATKFGPPPYKTMVSVYGGPSVQLACDSWVNTVDMRAQHLRSKGILVWKMDNRGSARRGIKFEGAVKNNFGLLDAEDQVSGAEWLIKQGLTKAGHIGIYGWSYGGYLSAISLCRFPDVFRCAISGAPVTSWDGYDTFYTEKYMGLPTENQSGYAFGAVLHHVPDLNGKLLLVHGLIDENVHFRHTARLVNALVAARKPYELLIFPDARHMPRPVKDRLYMEERIWDFIKRTL >KZN02832 pep chromosome:ASM162521v1:3:37894380:37896363:1 gene:DCAR_011588 transcript:KZN02832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGLCTSVLLVLTLVLVEYTVEGLAEENQQPPAVYIFGDSTVDVGTNNHLKDCRTKADSPYYGIDFDFSRPTGRFTNGHNIADLIVRHLGYKRSPPPFLSLINRMSGFQSAILRGVNFASGGSGIFDQTGNIQVVALGKQIQQFATVCSNITKVLGKAKADELLSKSIFIISAGSNDIFEYSENRTVLPAIFMDKLIEAYTTQIEELYNLGARKFAVLSVGAIGCVPKIRALNHGNCVDQVNQLAQAFYTLVSSVLQQFSSQYTEFNYSLGNLYEVTMTTILNYLVEGYKEIRTPCCGDANTTCHFGGNLCNNRNQYLFWDMFHPTQVASDTAARVLLFSEATELVNPNNISRLAVIP >KZN02183 pep chromosome:ASM162521v1:3:30608799:30611573:1 gene:DCAR_010937 transcript:KZN02183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPISHCASSIFFDKRSRKDDDSDYSPEGIKRNPSALIRLQEHKLREALDEASEKGSLVKSQVMDSEAVDNQEQELGRSRSLARLSAQKEFLKATALAAQHAYETRDSIPDLDESFSKFLTMYPKYQSSEKIDQLRLDEYAHLSDSVPKVCLDYCGFGLFSFLQTVHYWESSTFSLSEITANLGNHVLYGGAEKGTMEHDIKTRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFQTNKKLLTMYDHESQSVNMMAQSAKDKGAKVYSSWFKWPTLKPCSTDLRKQITIKKKKKKDSASGLFAFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSAMGSLQNQSGHAGSGIVKITPEFPLYLSDSIDDLHGLTGIEDDEYCGNSELTSDTRVGTNMPAFSGAYSSAQVRDVFEVEMEHDNSSDRDGASTILEETDSVCVGEVMRSPVFSEDESSDNSLWIDLGQSPLGSDNTNHQSGEKGASPLPPFWFSGRNKKKHVPSMQISKISDNPIYDDQDESHDAHMLSSDVACRLVSIRGDDVKEIGGRHFADTRSAQNGRSKSDYQHASEIEIEYEAGNPVKLSNLAQNNSVSDICPEIKESAIRRETEGEFRLLGRREGNKFSGSRFIGIEETEQAGSRGRRVSFRIEVDHKAQSLYPSEHREFSVTSLDDDYSSDEYADEQDSGRREPEIKCSHLDHVNMSGLNVTTLRLRYLVNWLVTSLLQLKFPEFNGNDPMPLVYIYGPKIKYERGASVAFNVRDRNGGLTNPEVVQKYADLNGISLGIGILSHVRILESSGQQHGGGLNCKDTALCRPMESGQQDGKNGFIKGEVVTASLGFLTNFDDVYKLWAFVAKFLDPSFIKDDGLSTVVESEEG >KZN00576 pep chromosome:ASM162521v1:3:6579218:6581560:1 gene:DCAR_009330 transcript:KZN00576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYDCDAHYVLLILQLTWLNEHLTKIWPYVNEAASELIKTNVEPILEQYRPIILSSMKFSKLTLGTVAPQFTGISIIEDGCKDGITMELEMQWDGNPSIILDIKTRVGVGLPVQVKNIAFTGVFRLIFKPLVDELPCFGAVCFSLRHKKKLDLTLKVVGGDISAIPGISDAIEHLDLIYF >KZN00562 pep chromosome:ASM162521v1:3:6381654:6381923:1 gene:DCAR_009316 transcript:KZN00562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGIKRMVFQQMPYSLLWVVFNDGSLAGLPFNDEQGIMAWHRHILGGTNVKVRDLASMPSKKSDYDEFFLLSRRDRADHPNAIEVLDR >KZN03914 pep chromosome:ASM162521v1:3:49850965:49853588:-1 gene:DCAR_012670 transcript:KZN03914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPNKTQEQEAPSSSDNWKQRIIIPTILAGIVGGGVGLVSKHRKIHGLSNISATYATNFAIVTGCYCGAREFVRVSRKSTSDDLLNSAIGGFGCGAILGRLQGGVAGAVRYSFMFAAAGTALDFAAPKIQSYLQSLQNDDSWLKLPEWSPIQVLDEEALAAKREREQQMLARRNTLDLNKEEA >KZN03679 pep chromosome:ASM162521v1:3:46828723:46831532:-1 gene:DCAR_012435 transcript:KZN03679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSCKLVGEDGGEAEFDSSSFVQQMEIGWPTNVQHLTHVTFDRFHGFLGLPVEFQVEIPCRVPSASVSVFGVSAESMQCSYDSRGNSVPTILLLMQERLYSQGGLKSEGIFRINPENSKEEHVREQLNRGIVPQDIDVHCLAGLIKAWFRELPSGILDGLSPEEVLQCNTEEESIELVKQLKPTETALLSWAIDLMADVVEHEDTNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMRTIQERDEASTGDSLTNYSPKSNYSSDRLTDYEDTSCELRASPSIPTEQVDYSTDSEIEEEIESICETEKCVSEQVDDDKENTRNSCELGGPPSNHTEQVDCNVDDENRDEIEPICETEKCFLEQVDDDKENTRNSCELGQSPSSLTEPLDYNTDSDYEDESELLCESEKALFKEVDDGKENTRDGSCEESGDSRKEQETSPRSSRPSTSGGEVLELSVGNIDESNVVQSTSELGEITADLNEVDKLIDSSFPLPM >KZN02998 pep chromosome:ASM162521v1:3:40015045:40018433:1 gene:DCAR_011754 transcript:KZN02998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIHSLLWLLVISFTYTMLIGCSGASDDDQKVYVVYMGDLPKARGFSATASHTNILRQVVGSRRASQSLLHSYKRSFNGFVAKLTEEERNRLSTMEGVVSVFPSRKKQLHTTRSWDFIGFPQEVVRAKLESDIIVGMLDTGVWPESKSFSDEGFGPPPSKWKGSCQTPSNFTCNNKIIGAKFYRSDGEIGVGDFKSPRDSEGHGTHTASTAAGGIVDEASLFGLGSGTARGGVPSARLAVYKICWADGCYDADILAAFDDAIADGVDIISLSVGGSFALDYFDDPIAIGAFHSMKNGILTSNSAGNSGPDPATITNLSPWSLSVAASTIDRKFIAFVQLGNKNFYQGFAVNTFISGSHPIVYGGDVPNTAAGYTGADSRYCFPDSLDNSSVAGKIVFCDELSDGEGALQSGAIGTIMQDGGFKDHAFSFPLSTSYLTLEDGAKVLTFINTTSKPTATILQSIDVKDSLAPFVVSFSSRGPNPITSDLLKPDLTAPGVDIVASWSEATTVTGLVGDKRVVPYNIISGTSMSCPHATGAAAYVKSFHPTWSPAAIKSALMTTASTLSAAKNTDVEFAYGSGQIDPIKAAQPGLIYDAGEADFIKFLCGQGYSSKSLQIVTGDNTTCTSATNGTVWDLNYPSFALSAESGTSFTRTFHRTVTNVGTPASTYKAVTKAPSGLSIKVEPSVLSFNAIGQKQSFTVTVAAKVGSKVLSGSLVWDDGAFQVRSPVVAYSTSS >KZN03812 pep chromosome:ASM162521v1:3:48401356:48407669:1 gene:DCAR_012568 transcript:KZN03812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKREEAGQSSEKSFFVVDGDDQGEDVERNEIIGDFVPAPPVPLKDQLEKDKDDESLKRWKEQLLGCVESDLNGQMDPEVTFHSIGILSDDFGEIITPLPVEKIQNGQPLFTLKEGSEYRLKLTFTVLHNIVSGLTYTNAVWKGGIQVDRSKGMLGTFAPQREPYVHTLEEETTPSGVLARGVYTAKLKEKKKTANSHYPIQELKPKKPSPILTRTHQTFILSPRMASTPVLRSIMTVRSISPTLLSIPKRLFSTSPPLPGFTRPARATFTLAHKLHAPTPLRHSFIRCRVNRSGGAYSPLNSGSGGYSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKDQMIKCYIETLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELLVNGEIVKRSPERERRVQPVPQRAQDRPRYNDRTRYNNRRQNTR >KZN01703 pep chromosome:ASM162521v1:3:23412048:23418620:1 gene:DCAR_010457 transcript:KZN01703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKRTMSRDNANEADLEDSSAPLQNGVGQDITNPSWKRSLPHVLLATIVPFLFGYHLGVVNEPLESISSDLGFKGDTLAEGLVVSICLGGAFVGSLLSGWIADGFGRRRAFQLCVLPMILGASISASTNNVAGMLLGRFLVGTGLGIGPPVASLYITEVSPPFVRGTYGSFIQIATCAGIMGALLIGIPVKDISGWWRICFWVSTIPAAILAIFMEFAVESPHWLYKILELPVAPYLFKECTDWLWPSSQSTLLPINLWKKGIQGCMTKEQGRISEAEVQFEKVLGGWHVNAAMAELMKSDRGDEIENVTVSDLICGRHFRVVYIGSALFALQQLSGINAVFYFSSTVFRSAGVSSNLANAFVGITNLVGSVIALTLMDKVGRKGLLIWSFSGMVVSTGIQVAAAGAFASTSIAEYLSVGGMLAFVFSFAVGAGPVPGLLLSEIFPNRIRAKAMAFCMSVHWVFNFLVGLLFLRLLERMGPQLLYTMFGTFCLMAVFFVKNNVMETKGKSLQEIEISLLPSE >KZN02069 pep chromosome:ASM162521v1:3:29142754:29142915:1 gene:DCAR_010823 transcript:KZN02069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRIILSLLVYYTTTNLDAINILSTVSTIENILLLLRELELEKNHFLAVVATP >KZN00061 pep chromosome:ASM162521v1:3:1556819:1558773:1 gene:DCAR_008815 transcript:KZN00061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLVDMGRLFAARGVTVTIITTPHNALLFRSSIEQGLESGHQIAVHELNFPSKEVGLPEGIENFNAITSSEMTSKVFYGIMLLRKPMEDLIRKLSPNCIFSDMFYPWTTDVASELGIPRLMFYPSSFLYHCVTHSLALYAPHDCVESETESFLVPNLPDKIEMKRCQLQEHVKTKTRYGELIKAIKESEQKSYGMVHDTFYELEPAYADHYSEIKKSKFWHIGPIFQFFKTAEAERSSDSSSEQHFCLSWLDTQKPESVVFICFGSMVRFSDAQLTEIALALEASNTRFIWVVRKIVENQETWLPSGFEERILKNNKGLIVRDWVPQVKILDHPSTGGFLTHCGWNSVLEAVVAGVPLVTWPLFAEQFYNEKLVELLKVGVRVGADVWNSGFEIKSPLLGRDMIQNALSRLMDGSEESAMIRRRAKEIGVQARGAVMEGGSSFNQLSGSGMQPYLPGTYSDKLDN >KZN03693 pep chromosome:ASM162521v1:3:46978262:46989440:-1 gene:DCAR_012449 transcript:KZN03693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSYQQETIWASVIELTKLAQHKLTDPLTWAVQLSSSLSSAGVSLPSIEAAKLIVDYICWENSVPITWKFLEMALSMKILPPMLVLALLSVRVVPCRRRQPAAYRIYMELLKRHGFSLSSQINGQHHLKIMESVDDVLHLSKSFGLEAREPGILVVEFVFSIVWQLIDASLDDEGLLELAPRKDCKWPIKSQDMEIDDNNYFDEKTAERHEGLRKMNTLLAIEVTGDLFRDKVTSRILYLAQRNMPANWESFKEGLKILAAKSSALRISKNITADALLQLTSDAHRVFARDCNRSSHQQFHAVMASSLLIFSSAQCRGATHSALWLPIDIFLEDTMDGSQVVATSATETVIGLVKALKAVNQTTWNDAFLGLWIAALRLVQRERDPSEGPVPRLDTCLCLLLSITPLAIINIIEEVENSAIDEAEHCPTNKKNGKQVRGDIRNDLISSVQQLGHYEGLLTPPGSVSSVANQAAAKAMMFLSGLTVGSGYLDGVTLCDMPVSCAGNMRHLIVEACIARNLLDTSAYTWPGYVNGRSNEIPRSVTGQMPGWLSLMKGSPLTPSLISALVKTPASSLSELEKVYEIAINGSDEEKISATTILCGASLTRGWNIQEHTVVFITRLLSPPVPAENSGGSYLIPCAPYLNVIFLGVTTVDCVQIFSIHGLIPELAGALMPLCEFFGSCSPSISWTLKSGEELTPHAVFSNAFTLLLKLWRFYQPPLEHVMADKALVGTQMTPEDLLHVCNSRLASVGNSRQEPTKRLSRLTSMPSTEPIFMDSFPKLKLWYRQHQACIASPLSSLVPGTPVYQIFDGLLNMMFRKVNKSGQPLTPTTSGSSNSSGSAVEDISLRIKVPAWDILEAVPFVLDASLTACAYGKLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGLWKPASMNGTDWPSPAANLAMIEQHIHKILADTGVDVPSLGPVGSAPATLPLPLAALVSLTITYKLDRETDRYLNLVGPALNTLAAGCPWPCMPIIASLWAQKVKRWSDFLVFSASNNVFQHDSQAVVQLLRVCFRSTLGLNSSPLLNSGGVGALLGHGFGSHISGGLAPVAPGILYLRVHRSVRNVMFMSKEIVTLLMDSVKDIATRGLPTEKPEKQTNARHGMRFNEVSLAAAMARVKLAATLGASIVWITGGADFVHSLIKEYLPTWFISVHESAHEKGESEGILARLRGYALAYFSMLSGTFALGIGSAITAPKRRPHVLGKHLAFIASALDGKISLGCCEATWRAYVTGFVTLIVGCAPAWMLDVDIKILKSLSNGLRKWGEEELDLDLLAVSGSRAMGAAAELIIENRF >KZN01768 pep chromosome:ASM162521v1:3:24846985:24848682:-1 gene:DCAR_010522 transcript:KZN01768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAGFTIVPGSDGLLQNTKEAIRLARDIGYPVMIKAEILVFATAGGGGRGMRLAKERDKFGKLLQAVESEASAAFGNDGVYLEKYIHNPMHIEVQNTLHILYLGT >KZN01851 pep chromosome:ASM162521v1:3:26089508:26101091:1 gene:DCAR_010605 transcript:KZN01851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTKRCRLSGSDMEEDEGVENSKKLKVNGYYSMHLAEEFNTGVIPLDGYKRIERELGNSEDDDDVEIEDVADDGSEDSDLQLDLEDIREDMTSDLVAAEASKPLVRTSRGRIQVLPSRFNDSVLDNWKKEKNKLTVKELDMDPEFKPKGRSNNAKSAKLNAKNRKDDKFGDVCRKELSLVEVREEEEKRPKRFGKYYVAKSKNQDKASNECRKNLSLLKGEGIGKDDLGSHSSHVTTVKEHSLDVKDSPSQEVSGCMVSEKVEEKSGIDNFVPGDIVWAMSGNSNPAWPAIVLDPLTQVSRQVLSFRVDDSTCVMFFGYSGNGTRRDYAWIKSGLIFPFLEHLDRKNGQSTMPGKVTVICSGVEGVYFPSLHSIVCKCGYCGTEKQAIGEWERHTGSKTKDWKSSVKVKAVHQECYGAKHVRDFTSWVCRSCETPDIERECCLCPVKGGALKPTDVEPLWVHVTCAWFQPEVSFASDEKMEPALGVLRIPSDSFVKLHTLEKNGKQITKMVSYCSNHRAPNPDTVLIIQTPDEVFLAKSLLQNRRRNGSRLISHGLKLQESPSIELGEVEPFSAARTRVFSRLNKKVREEPISHRVAGFTHHSLVSIEKLNNARNKVEPENHPTFRERLRHLQARVLNLYISTWYGYNFSLIFHVKFQKTEHHRVCFGRSGIHGWGLFARHDIAEGEMVLEYRGEHVRGSVADLREARYRIEGKDCYLFKISEEVVVDATDKGNIARLINHSCSPNCYARIMSVGADENRIVLIAKTAVSAADELTYDYLFDPDEGEELKVPCLCKSSNCRKFMN >KZN03081 pep chromosome:ASM162521v1:3:41063200:41080492:-1 gene:DCAR_011837 transcript:KZN03081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSHQLLARKAPLGQIWMAATTHAKINKKKLHKLNIIQICEQILNPSVPMALRLSGILMGRLNYDVTRLLVEINEAWKVKGVPDRTLLPKGKSQAKYEAVTLPDNQGTEEVEEIERSRHFSESATMMGFQQPSYVSMHLDNVDVPYTSNTVREDGQAQYYHQVDFDNITLFEGFDYYQADTDLHNRFERFDIEDDADTQTNFAPDDHTQIPATIISSPPRQEEPKKVNENPEKPLNDHVDQPFEEAKEVTQFMDSTPIMKITDLMDIPPVVLVCRLFAKGNKQIYYPAALLELWRRCTQPPHDSPSVLFMFLDMKPHGDYHTGVSSQPSEISIEKQRNTLENNEMPTEILMEELRNNLKNFGIGAASANGVSATKASGVSATKASGVPAAKASMISPSNSVCLFMSNYVGGEEFGSIPSSGSGHAFPTHSTEANTKWSNKKRSYSSTRNLETVAEETTWQNLDPDFKLTSTPENGSTLDNELMVETGPTQTQKYPVADQPLDQITDAIRRHLKIHFDTPGCPTIESVNQLAFGMNRKRAACFFHQICGVPLLKEGVVDPGSWNGAESLNKNVVISAVAGNVFHRRLLDIDVVDAPRRYSLRRQIASLFPPKTIKALPSSAQPPSPSPSPSPSPVPSPVPSPSPSAAQIPEVTVPSGPPSRPSSPFPENSPGSDDEQSSRGSGSKHHLAITLSAALGGSALLLLLASGIYFCRSNKVSAVRPWATGLSGQLQKAFVTGVPSLKRTELEAACEDFSNVLSTSATGTVYKGTLSTGVEIAVTSLAVESAKEWPENLESQFRKKIYQLSRVNHKNFVNLIGYCEEDKPFTRMVVFEYAPNGTLFEHLHIRESEHLDWGMRMRIIMGIAYCLEHLHQLKPPIPHKSLNSSAVSLTEDYAAKLSDFGLWNEIASKDMQASPESNVYSFGVLLFELVTGRLPYMVDSFALEDWASDYLRGDQPLGEMVDQILTSFDEEQLEQIGEVIKSCCHYNPKQRPAMKNVTARLKEITRIRAEEAVPRLSPLWWAELEIISSEGL >KZN02072 pep chromosome:ASM162521v1:3:29196683:29203654:-1 gene:DCAR_010826 transcript:KZN02072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLRSSLHNSADEFLKSAAKIGFKSSKPSLKTLIHSLNSSSPLIISLPSSLHRSISQSIASFTNLSDSEAFEDAKSPPTKRHRRSSRFSNDDSGTDNKREELKHSIVENLQICTHVVYLCVSHPKKVFSPSDLLACARNLHDNLVLFEFDEVLMSEIACLCEEWWKEDLPEREALISLSMPLLLSRSLTLKRKVDVHRVYALREAFTCFDYDDESIEDMKRFLLRCYIEPLYLKTEDGKKFLSFLFELDTQLVKEALVMIRSQISTGRKSTLEAYAEIVFKAWKVVEDEESKYDIEIRFLQELIDSCIHASSEQFSASIRRILGGFISQRTNRDVEKLLFHLSEPLIFRSLEVANSNVRQNTLFLLLDMFPLQDPGMTKEGNDLLLEKQFYLLNKLLTDECPSIRVTAVEGSCRVLHLFWEIITPSTITKLLAKVFDYMTKDKSKEVRLATLDGIVYLLGNPQSHEVLRVLLPRAGHLVSDASSSVRAAAVDLLLLVSGMRNFQFHKVARLDVLLLILANDQALVARKITKLLIPSYFPSTAGSAEACRRCVTLIKRSPKAGARFCEFVYQEGASLEFLMELFRHIISLALSPDHQEPDQINAFLDACAFLCQNLASDSYYKGVLKEEVCSEKLNRLLVSSSTGRACSSLCKIVSAVSHDGIDSLIKECMTLVTKCGGLLSGNVKMEADVRSVHKMMLACDRFDYMFETLARLLGKIADACHLKYDLERQTHDIPSTKQRKAKQFTAISGKRKKTSPSSTIKFEEDYAIAVGISWQIKDLLIFDDTRNAVLESGYIESVFSSLKVISEVSIMQTKHCDSMNVYPLLAYTALALHIPIEGINKQGTKPNKCSGSSSSEQTLLEQTLNHLLSSSNELLGLGNSGKSNKLPQESMHTKAKVHSHGKKQNKLQPDVSSPTGDGSRIKRVVNMVRMLSAILKFIVDVSAVEHVSLSQPRFLIFTLRYLEFIDSIVMQYSQNQQWHKENDLKETFLCLKSSFTYASKLLHEVIKCSCMTSMPPAETYTLSNKLLDLFISVEEHMGSSYATRIFAAAKPWLPDLTLGLGSWNILRPSLGENVSKFIPDHVKSSFPSWLKHAAEAEVNEICPDDVDDEAKMENYPASRKLVELMIQLSRAKHELLDGVGAIFLIGSVYGLEQRDFKLVLGLVHFVCAKLVRDDYSGWDKLDMMLEYLKEIYPQIEKEAELNDKLQSVRELLEPIWMLHNRGSDFTQEEG >KZN00440 pep chromosome:ASM162521v1:3:5305274:5306344:-1 gene:DCAR_009194 transcript:KZN00440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKDEAIRCLKIGRDALDSGDRVRALKFVAKARRLDPSLSVDDILADIEGKSGGDSTNGSSFDPLKDMNKGSLNSGTRRRGASSGTASVAYSEEQDSIVREIKRKKDYYEILGVERSCSVDDVRKAYRKLSLKVHPDKNQAPGAEEAFKLVSKAFQCLSDVESRKTYDVVGSDEPVYERRTARRNQGFNGFYDGDVDAEEIFRNFFFGGMNQGATTHFTGFNFGPGGVNVRFGNNNGSAGGSNMRVLLQLLPVILILLVNFLPSSQPIYILSREYPYEHRVSTQKGVNFYVKSADFEQQYPYGSNERGALELKIEREYASLLTQNCHVEAQRLRWGYIKETPYCDALKRFRNMA >KZN01365 pep chromosome:ASM162521v1:3:14917073:14920277:1 gene:DCAR_010119 transcript:KZN01365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTEGEVQHEIVIVGAGICGLATALALHRKGIKCIVLERSESLRYIGGGIGIMANGWRALHQLGVDSILKQTADPIVGSKDIWLDKNKQRDMSFMSSEARCLRRSDLINTLYNALPPNVVKFGHQIVSVKLDPQTNNPILQLQDGNSLSSKVLVGCDGANSVVADFLQLKHTKVAGLCSARGLTNYPNGHPFTNEFVRMKRNNTAVGRVPIDSKLVYWFVAHPWVQTAYSFVGYQLNTQIPQDKELIRQYTLQSVKSFPKEIVELIKDTDYETLSFTRIRYRRPWDLLLGSFRKGTVTVAGDAMHVMGPFIGQGGSAGLEDAIVLARNLAKKMSMTPTDPRSIEEALDQYVKERRMRIVRMSTQTYLTGKLITESTPLLVKFACIILMILLFRDASGHTKYDCGTL >KZN03274 pep chromosome:ASM162521v1:3:43085150:43086702:1 gene:DCAR_012030 transcript:KZN03274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSPTFRPNFTLEECISGVSAMGCGLFGSKIVLAGGFSGAGENRIYNRSLVTYDPVNKKVSREDFPDMRGRKIRPLVFEVYGRLYVLDTSNSVYKRTWELYYPTKKIWAKVSDPFCSIYNALTCIKNISGRFPYSWFVSGNTVSISSPAEGLTYFHHTRQATKLFSTDASEPLPFHGMATTFFNPGFCNVVVISFSKGLVGGQGCVEGRRLRYCPFKFSEPELIFRTTPYEKPDGEVSSYFAECGKGKFCLITFDNFNIHVHVFKILRREAADGTSSLVLIDLDKHKYNFSDFSVEGFTSISLSGCFVFYESEEKDDDIPPLIATDKGLMIDPDYDSGGGDSDFEVY >KZN00100 pep chromosome:ASM162521v1:3:1927589:1941449:1 gene:DCAR_008854 transcript:KZN00100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSADQPLKKRKLYESHNSPPQSLSDDEIQRRRRNQEEIRNVYEHYKLLKQCISNKNPNFMPKLEESYLALLTASRGCTSVQRIVAEFIPRYASFCPTALEAATKAVINIHNCSLAVINRGEDGDGVACRTATLCISGLVEICQAAQSEAPTSSVIQRICSSVFIDVLSFFVSTFNGIDLFHIVDKGVLKMQDSTSLFSDFKQKISAEDDNEVAKLSKLRALSLIWILFCCPKNALAASFELCDSVATEELHNHGLYFLRQITSEFEPNDVANHVDSKKGGIVLSTDSDNPMHETEEITHHGLVSHDNHKSDGPPLILKKCLLGLVVSKNLPLRKWMFLRYKKFCTSASSQVASKITSLLEEVFQSFAEQVKAADDQLNSIEDNSIASKNFSAQYLGLKRQNSEICRRDGPYVNNLTEKLLDQKLTDSRPLISPETPMRVTVGSNVDVGGPRSMDFDTSDAGEVLRPRSSTPRDLLNNQMHSPIRRKSFDMRSNSFEGSIGHLQLPFDSPQPHMPLPSPSTSHGSWFSDGDPAAMDIFSASRRLWLGSLGPDASEALVRFQFEKFGPTEQFLYHPYKGFASVEYKNLMDAVRARGYMRGCAPWGAPLHVKFLDIGLGTRGAINGVAIGSCCHVYVGNVPTQWAKDEILHEIKKVVYKGPLMLTDLRSEMAVLMEFGNPEEAANVMAHLRQWRKESCKYLLPSNVGPANARMHLESSRHGPITPAYFRSKSGNSFTESPHAQTVLESPSESYRTKMSSLSTLLASLRAKYNIASNGNYCESYNNGNYQAASVREDGLPTNTLWISLPKSNSSGVTDDEVMIICNLALSGVGSVVSITRAYMPTGLGWYVECSSIDAASTVLKILRASQGIFFQVEFSYPGKHHGTSLQVKQDSCNSDSPRGSRGGLVANEQMWTYNNPKTEIYPAQGTHSFMTTASQGPPLPPQPNYASSSMRPYFPLSNCWEARSLSNPHPPNPASVGLVPTIIHTPVVPPPFLPPSVTPLTQMPGSSVQQFDQTFFRPAVPPPLINVPPQPELAPPLPPPPSSPPPLPQSLPPVVPPPPSSPPPLPPREYSNVVSSGPCIAHSWQGTLSKSGVHYCTVNAQRLHSDMCKYSNASSEPAEWPAKLDMTKRTDFRHVKTTFSSTPAHKISTGRHLEGTKILSGVFSREVCQLLPSAAGDYKGFQDFISYLKQRECAGVIKIPAARPMWARLLFILPYSPEVVSMLSISPTSTDCLVALVLPKETNFEWV >KZN00193 pep chromosome:ASM162521v1:3:2895671:2897474:-1 gene:DCAR_008947 transcript:KZN00193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEKARKISQRAANNWSNQDDARRSPELSHIPSTSSKLGAAKGLDHHVPGFVPGDQTCSKAEPQSDKRWWLTLEPTVRHSHNGNIEDSNALGAECGVYGAVLPNKNVTISEEKRLDEEGNIQKYLEGKDGKLADSPDKAFLDFMKNNQNDKMEKPNVVTEDDLCRVPNKELEESWCVDENWKDLDSYKSSVHEKSEKISSELESHWIEVEKNEPWWRTADKNDLTSLVSCKKLDQFENCDLPQPQSKHWTGPSECTQSFDQTIIFASLGKKVEKETDLDEKTSEIPNSVSMLDTQHMMGDVQCFPSSSDKLISRNDSSATEEEESEALQRLDSDLTKTQLLEALCHSQTRAREAEKAARQAYDEKEHIVKHFFMQASHLFAYRQWLQMLQIETLCLQHQTNIDESLLHTSNNVEGLQPKNNYDESMSAKLPGLLAVSGDDRKGKKARRKATKRGGIPNYKLRRSIVSFALGLSLAGAGLLLGWTLGRFFPAL >KZN00437 pep chromosome:ASM162521v1:3:5291380:5291760:-1 gene:DCAR_009191 transcript:KZN00437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSKKKVLKAKTMKNIVRKIAAIIEREDREEGLEACQKEDDYWREAGEGIMSRAAKKREEKSKKLEVAAARRAENRLLAKLEVEKLTATRHTEKLKKQEEAAARKAEFLAELEKERLESTEEWDW >KZN01520 pep chromosome:ASM162521v1:3:18774807:18775296:-1 gene:DCAR_010269 transcript:KZN01520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEDGKMHSMPISYTESLASVEGMMRVPEEAATEERQLETVFPTTESWNAAWDSTILEDIH >KZN02600 pep chromosome:ASM162521v1:3:34963042:34969797:1 gene:DCAR_011354 transcript:KZN02600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQASTDVFHPSHDKQRSLLIEWINNTLVNVNLPGNASDEDLRAFLVDGSVLCEVLNKYKPGSIEYSGSGNSSKIGSENVKKLLAAMYEMGLPIFQVRDIEKGSMKIVIDCLLTLRSRLIVNGGGYELSAASLASPFSVTHKGSSESSFYRLLRSPLKSEPSAALLHHVGNNFQEVFQIKHGCYADIPSAKISEMINSTRFDNAPTQSLLSVVNGILDEGQRKNGEIPYQNQLFKVREEKYQSRIKVLEALAAGTGEETQAQKVITEGKKKAELLDVPKPINQKDSNNHKTESLKKEENNHYESLSYKKEDSNNHESASLKKEDNNNHESVCLEKGDNNNNNEIISLRKELETTKKNYEQLCLQMEATAEESQEIKSLKEELEIAKKNYEQLRIQMDTDPNGSRDITSIMQELETTKENYEQLRSQVEIAAKGSQEVESLKKELEIAKNNYDQLRLQMNTDANGAQDINLLKQELETTRKGYEQKCLQLETEAKGVQQDLEEKLKELERYLTESKSRIRELETTIQSESRRWKKREHIYQICAEFQISVLRELKISSVAMRQEVTQTQKSYVDDFSRLGTKIKVLEKAAENYHVVLTENRKMNKELQDLKGNIRVYCRIRPFLPGQKAKQSIVEYVGDNGELTVVNPSKQGKEVRRSFKFNQVYGSKATQGVSSNSQASGLAVPDASMHPVQSSSDVMEFMEIGLKNRAKSSTAMNERSSRSHSVLTVHARGTDLKTGSLLRGNLHLVDLAGSERIDRSEVTGDRLKEAQHINKSLAALGDVIFALAQKSAHVPYRNSKLTQVLQSSLGGQAKTIMFVQLNPEAISYTESLSTLKFAERVSGVELGAARSSKETKEGNDVKELMEQVASLKDTIGKKDEEISRLQQLKNGEKRAVATRYQNSPSI >KZN02251 pep chromosome:ASM162521v1:3:31323837:31326573:-1 gene:DCAR_011005 transcript:KZN02251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLDEKREEKEKERRIIMKFVGEIHEERQNLMISHARTLLWIVRGMTTLLLWSFVIRLLTMGEVWGPRLLKTWPSCFTPNMSDQVEVLHVHPNVYYPPKRVYKNNGYLMVSCNGGLNQMRGAICDMVAIARYLNVTLIVPELDKSSFWADSSEFKDIFDVNHFITSLRSEVRILKELPPRLKRRVDLGMFYSLPPVSWSNNSYYQHKILPLLKRYKVVHLNRTDARLANNGLPLEIQKLRCRVNFSALRFTPQIEELGRKVVSILRQNGPFVVLHLRYEMDMLAFSGCSHGCNSEEVKELARMRYAYPWWKEKDINSDLKRKEGLCPLTPEETALVLTALGIDRDVQIYIAAGEIYGGERRMANLAAAFPNLVRKEKLLDPSELGYFRNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLGYKKTISLDRKLIVDLVDHYRTGSLSWDEFAYTVKEAHVDRMGSPRERLVVPERPKEEDYFYANPHECLQSLVKPFRYT >KZN00649 pep chromosome:ASM162521v1:3:7224600:7225286:1 gene:DCAR_009403 transcript:KZN00649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLSCPEQALSQFPQSLKIDTALDSSCEVLLASVTPKKPSGRKKFQETRHPVYRGVRRRNSGKWVCEVREPVKNSRIWLGTYPTAEMAARANDVAAIALRGRNACLNFADSTWRLPVPDSSTVKDIQKAATKAAEAFRPSTAVKALVLIAADKAAAESFRPPDPAKALMLQQNLVLYMDEEALFGMPGLINCLAQGMLLPPPQRAQSDWDDEVENATDMSLWSYSF >KZN01337 pep chromosome:ASM162521v1:3:14616540:14618600:-1 gene:DCAR_010091 transcript:KZN01337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSCLLCAFLIFLLSFLLSSLSIPFYPSNSLSLFGDAQFSNNSITLTQETSCLSSSNSSNGIGRAFYDYPVRFLDISSNVTASFSCKFSFTITSSSPSCPLGDGIAFFITSNSGFFSVSNGYMGLPNEVSDPQDSYIAVEFDTNYDPSLGDINGNHIGIDANTILSLDSVDVTSLGFDLKSGKKMTAWIEYRDSSKKIKVWLGEDYEARPPAPVLESEIDLSKHLKEFMHVGFSASNGRGSAVHVVDQWRFKTSGVAPSTLPVETVQEEECLVCWPGDTGEDDQVSDFRRRDKREVRLALGLGGLALFVIFVLGILCFYYVFVLRRKGMIPGECNEGQSSRFQGSKVPRRLSLSEIRSATRGFNQNKIIGEGASAVVYEGALPSCGTVAVKRFSEVKQKGNLRNPFSNEFGTMAGCLRHKNLVQLQGWCCEKNELVLVYEYMPNGSLDRILHLRTHATKLLTWERRLNIILGVASALVYLHEDCERLIIHRDVKTCNIMLDADLNAKLGDFGLAEVYEHSCRTRDATLPAGTMGYLAPEYVYSGVPTVKTDVYSFGVVVLEVVSGRRPVDDNGVVLADWVWDLWEKGTVGEAVDHKLIGRYNKVEMERVLVVGLSCVHPNSKKRPTVKEAARMMKGEMPLPNLPAKKPTVKIQSVLPKQSEELLRFGHDEVNDTPWATPKTHFSRF >KZN02771 pep chromosome:ASM162521v1:3:37082378:37103271:-1 gene:DCAR_011526 transcript:KZN02771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTIQIIDDDDDDFDWEAAVEAIDTACHTTVNPVSNSNPIHKPPPVNTKQSTLDKFIGNRNPKPLPNSAFVQQRDDNSGIKIDPEAAQTWIYPVNIPLRDYQFAITKTALFSNTLVTLPTGLGKTLIAAVVMYNYFRWFPEGKIVFTAPSKPLVTQQIEACHNIVGIPQEWTIDLTGEISPIKRVSFWETKRVFFVTPQVLERDIESGTCLVERIVCLVIDEAHRATGNYSYCVVVRKLLADNVQLRILALSATPGAKQPAVQKVIDNLQISTLEYRDESDHDVLPYVHEKKIELIKVAMGQDVVDVDSLLSEVIRRICKKLCSLKVLPYRDFQTLSPCDLLASMARLHEAPPGNVPQTRHGEIFGELGLLLKLIYIRKLLTNYGVGPALEMVEDNLKEKKNSLNRLNKNEDFQKFKILMQQSVCSGATSPKLSKMLDILMDHFRKSSKGQSQKIQQEVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVLCFDANVSPLRMVQRMGRTGRNHAGPEYNGYLRKRQGCKNMNKHLRNGGRTSFNFYTSPRMIPHIYKPEVQYVEMSIKQFIPRGKKVLDDHNVQLPISESKLNDNEMELLKKFFQPKDNLWRPSLIAFPHFQAFPSRVHNVMHSFRSEMLIDTMQRLQGLSYSRDSRACLDQVEANSDLSVSIETAVGYDSIINEVHTYYDRRKESSQGELPESHVSTLNPSKTEEKLCSACSQGAKTSVHSYLYGSDFVSVDVLGKVLVLSVPLHPLKDVHSKCTSASNAGLQNCYKEDAAQAGDSLAECGREARRENYFNATPTVREGINSVDVEFFHSDTQQGRSVDADCKTSKTLICSRNFVIKEISKALPVGENKTALILSDDSANEDEDAELSPRLTSLLKSGVVPESPTNDTGTSGGKLEEEMLSQDCDPTSELHTESILQHSVIVRNNIVIEENNTGEVLPSFAKDEFHSSAINRNNVSAVDCSVIPVMEEIESPAENPLHNSCSEEWQSSFGANIENVNHKQKFKRLRKYGDLPKRRPRETNEYECITDAAELAEVGTSAWSNVHKHSRGKKKMTEDVRVFIEDQAEVSGEIPVSEDEDDQENGSYNNSFIDDRSNPTPGCTSAEDSGRDMMAIYRRSLLSQSPMGRPPISFMDWSPDSLVNRTQINYSGRSEGTDQSLQIPQACSYLESTGRNPASSRLKCEKNAESMSNKSRDTQKEVESIAGSRKRKLSPCQVGPLPVQNLDGKFLLQSEAQAKDRSHWMNKDSPVTIEFDDDDQFYDGIDLDALEEQATKYLRSKSNLSMQNQMKNLDPNNHADLREEPSFDLPSFDLGI >KZN00288 pep chromosome:ASM162521v1:3:3872021:3876656:1 gene:DCAR_009042 transcript:KZN00288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAEVYLVYIFLLIISAIILAIFKTRTTSSLPPSPPRIPIIGHLHLLAPIPHQALHRLSTRYGPLVHIFLGSHPCLVVSSPEMAKVFLKTHEAYWSDRPQTEATDYLTYGSQDFSFAPYGPYWKFVKKLCMSELLGGKTLDLLLPVRRYEIESLVKVMLEKSRSGKAVDIGDELMRLTNNIISRMIMRGRSSENEDEAARVKSLIKEVAEITGKFNVSDYIWFCKNLDLQGIKKRLVDIRKRFDKMMERIIEEHIDARLKRQENGNGGEVKKHLLDILLDISEDESLEIKLSRENIKAFILTRVFINIWAIGRDTNHWENALEFKPERFLMSSEDMNHGKSQVDFRGQHFHLLPFGSGRRGCPGSSLAMQVVQTTLGVLIQCFEWKVGGAGENGEHTVSMEEGLGLTLPRAHPLQEESSPYCILPVLCQVNFSMEDFQVYIVYFFIWLISTIILRAIFKSRTTSSLPPSPLRIPIIGHLHLLAPIPHQALHKLSTRYGPLIHIYLGSNPCIVASSPEMAKEFLKTHEASWSDRPQTEATDYLTYGSQDFSFAPYGPYWKFVKKLCMSELLGGRTLDLLQPVRRHEIESMVNVLLKKAKAGKAVDIGAELMRLTNNVISRMLMRERCSENEDEAGDVKNLIKEVAEITGKFNASDYIWFCKNLDLQGIRKRLVDIRGRFDKMMERIIEEHRDVRRKRKENSDAGPEEKDLLDILLDISEDESLEIKLSRENIKAFILDIFAAGTETSAITTEWALAELINHPNIMKKARQEIDTVVGKNRLVEESDIANLPYLQAILKETLRLHPTGPLIVREASKDCTIANYHIPAKTRLFVNVWAXPAKTRLFVNVWALGRDSNYWNNALEFKPERFDMSIEDIKNGKSQLDVRGQHFHLLPFGSGRRGCPGTSLALQVVQTTLAVLIQCFEWEVENAGQNDENTVSMEEGLGLTLPRAHPLVCVPVARLDPFPCM >KZN01620 pep chromosome:ASM162521v1:3:21989106:21990329:1 gene:DCAR_010374 transcript:KZN01620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMREGSICFENGRLQNIQPLLDILQPKVLMIPENSRQYIPTFNTSISLKYFPEKETLCIPKVKTASELNIAADLTMQILSKNLKHKETSIARLKGSLLLEHGKQHLIFQKNQEASSQTKSLLHWGKLDPKDLLVSLQKMGINGYVEQENSDTESESLTIMHISEPRKALIEMKGTNTVISTDDEDMTALICEAISSNLDCI >KZN02103 pep chromosome:ASM162521v1:3:29633419:29637154:-1 gene:DCAR_010857 transcript:KZN02103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAESDNKGSANPAETPDIVLPSSTNPEQSVSKRPAQEESETENAAKKSDPNESADGTSVTQTSIFGSFTKGLVDSSWSAVRAVQLKARRIVSQNKRRYQEGEFDLDLSYITDNIIAMGFPAGDMSSGFFGFVEGFYRNHMEEVIKFFETHHKYVCPVSDNPSVTATLVQGKYKVFNLCTERLYDAALFGGKVACFPFDDHNCPPIHLILLFCQSAYSWLKEDILNVVVVHCKAGRARTGLMICSLLLYLKLFATAEECIDLYNQKRVVDGKGLIKPSQIRYVKYFERILKDFDGETPPGRRCMLRGFRFHQCPYWVRPSITISDHTGILFSTKKHPKTKDLMPDDFWIRATSKGVVVFALPGEPGLTELTGDFKIHFQDSHGDFSCWLNTTMMKNREFLNPEDLDGFDKRKVPIPGFQVEIVMIDYDGTLPARYKTSKSDGTSSNKDATPSNQSKAFENDDVFSDSDGEEAAASGGTSGTGAAAKPQDSATTEQQTVTQRTKQPSTYSDGSRHGKASDDEKADTKKTESSRIPNLNSGDIKAIAADASVFSFGDEEDYESE >KZN03218 pep chromosome:ASM162521v1:3:42614152:42626060:-1 gene:DCAR_011974 transcript:KZN03218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVCKILSWLVSLVVILSCTCSSVSATVTYDDKAIIINGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPTQGKFNFEGRYDLVRFIKTVQEAGLYVHLRIGPYVCAEWNFGGFPAWLKFIPGISFRTDNDPFKAEMQRFTEMIVNMMKSNKLFEPQGGPIIMSQIENEYGPVEWEIGAPGKAYTKWFSSMAVGLKTGVPWIMCKQDDVPDPIIDTCNGFYCEGFFPKKSYKPKMWTEVWTSWLTEFGGAVPYRPVEDLAFAVLRFVQNKGSFFNYYMYHGGTNFGRESSGLFVATSYDYDAPLDEYGLPREPKYGHLKLMHSAIKQSEAALVSADPKVWSLGKNSEAHEYRAKTGACAAFLANYDTESSAKVTYFNRQYDLPPWSISILPDCKNEVFNSAKVHSNSVHLNMVPVKAAFDWQSSTEEVPIADDDDTFTKWGLYEQLNLTKDFSDYLWYLIDVNISANEAFLKNGDDPILTVTSAGDALQVFINGQSSGVAYGSLKNTKLTFNDTVKLKAGINKISLLSSSVGLANVGVHFETYNIGVLGPVTLNGLSEGTRDLTKQKWSYKVGLKGQASSLHTLGESSSAQWLQGSLVAQKQPLSWYKMWPDLIKKAKYGGLDVIQTYVFWNGHEPTRGKFNFEGRYDLVRFIKTVQEAGLYVHLRIGPYVCAEWNFGGFPSWLKFIPGISFRTDNDPFKAEMQRFTEMIVNMMKSNKLFEPQGGPIIMSQIENEYGPVEWEIGAPGKAYTKWFSSMAVGLNTGVPWIMCKQDDVPDPIIDTCNGFYCEGFFPKKSYKPKMWTEVWTSWLTEFGGAVPYRPAEDLAFAVLRFVQNKGSFFNYYMYHGGTNFGRESSGMFVATSYDYDAPLDEYGLAREPKYGHLKLMHSAIKQAEAALVSADPTVWSLGKNSEAHEYRAKSGACAAFLANYDTESWAKVDYFNKQYDLPPWSISILPDCKNEVFNSAKVPTSVHMTMVPVKADFNWQSSTEGAPSADDGDTFTKWGLYEQLNLTKDFSDYLWYLVDVNISSNEAFLKNGEDPILTVMSAGHALQVFVNGQSSGVAYGSLEDTRLTFKGTVKLKAGINKISLLSSSMGLANVGVHFETYNIGVLGPVTLNGRDLTKQNWSYKVGLKGISSSLHTLGESSSAEWVQGSLVAQKQPLSWYHVPRSLLKPTGNQVVIFEEWGGNPFEISLVKRTLPSEN >KZN02730 pep chromosome:ASM162521v1:3:36575797:36582079:1 gene:DCAR_011485 transcript:KZN02730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHEKQSKRVEQRNYPHRLSRKGYIGLEEEVKAGRLKRGEKSDRAIPWKKARQRMERGMIVDYGLSDVVKRIDNLLEMKDKGEFQSYGNDDVSTRALETPEHSGRGCLKESSGTECDYVVMRYMKEIVMDNKMKFFKRWAPMNGKVTCSRAELDEVRFETLSHIESLL >KZN02027 pep chromosome:ASM162521v1:3:28549031:28552808:-1 gene:DCAR_010781 transcript:KZN02027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTEIEEVGWEHLLRLGEDLTFLSFRFRDKKERVHVMEIMLDKTYPKCPPAVSADVPYIFTLEWLTNYRLTNVVKQFQKHLEKLQEFWSTLDVIDHDLWVIYPKQPTRATCYRQINMGDDCFLMLFISATDPRSLPECRFMGSDHKVNLLRKMWRRNCKRWIKDKPVPENLSNIFESKLPAPPSVHVSDNQTECGICYAQYLPTDVELGASSGSTTDYTCDNKVCNRAFHSICLGDWLLTLTTTRQSYNVLFGNCPYCSDPVAIKVNVKK >KZN02433 pep chromosome:ASM162521v1:3:33467593:33471270:1 gene:DCAR_011187 transcript:KZN02433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIRNISGNPEVRVTKENRAAGVLDNFAEGEKYSQHALRKYVRNKSPEIMPSINGFFAKPDVE >KZN02170 pep chromosome:ASM162521v1:3:30445602:30447082:1 gene:DCAR_010924 transcript:KZN02170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNSPLSEPEFDLNSSFVPVNISEFLKEISVITDVSERAMKMDDYVLQLQDELNKVHAFKRELPLCMLLLNDAIERLKEEKMKCGEIETGPLIEEFMTLKGGKSDENGGVIKSIDCSEKKNWMSSVQLWSTNVQFHNFGHDFLSNLKSKVREEDGSRSSGGYDETRDKLQSSGGAFVPFKRQSGQIVKEKIDNLLKEKNKTLPVNNLSLRVPMVEIGSIDRNSKGNVRGTSRSDSALPERKRRRCWSPELHRRFVNALQQLGGPHVATPKQIREVMQVNDLTNDEVKSHLQKYRLHVRKVPAAKLNCPWFGQDQHISMSNSSISQSGSPEGPLGCGKGVSTTGGDSMEEEEKSEGRNWKGSSN >KZN02121 pep chromosome:ASM162521v1:3:29909437:29916253:-1 gene:DCAR_010875 transcript:KZN02121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTKHRKSKPSSSKQSHNKKHGKQADISEFRAQLDALGLKIIQMTADGNCFFRALADQLEGDEDEHMKYRKMVVDFIRNNKETYEPFIEDQVPFDEYCQSMENDGTWAGHMELQAASLVTHSNICIHQKMSPRWYIQNFDNRDARMIHLSYHDEEHYNSVRVKDDTCSGAARPVTIKADADISMASHQAKVSVAKSKGIADKNVIPADSIKMVMTGSGCENASKAEHVLLQVEGNIDAAIEFLVAEQGLDDPFLENKIISLSAVESHGENQNNSSEKHMEAFDSKIRKEIQSCGTAGRNHVVNCSENAKKMIPSRNKACPCGSKKKYKSCCGSVAGRSSPRFAK >KZN03309 pep chromosome:ASM162521v1:3:43461672:43469640:1 gene:DCAR_012065 transcript:KZN03309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKFKLQPFCVGSTNEQYQGKDSINLFNFRELRSLNLHGNKLEGTIPLSFSGFDHLEVLDLGSNQINDMFPQCLEALPNLQVLVFKSNKFHGSIKSNSTTDHPFPSLRIIDLSYNEFSGLLPKKYIKDFNGMMNANANNGELRYIGDSFYRDSISVVIKGVEFDVVRILTKFTAIDWSRNKFEGEIPISIGNLVSLRFLNLSHNHLTGNTPSLIGNLSMLEALDLSSNQLEGQIPQQLTSMNYLASLNLSHNRLTGRIPDGAQFNTFENDSYAGNLGLRGRPLSKKCEREYIETQDDGDEDGDDYFFSGFTWKAVVIGYGGGVVVGFVVGYIMFMAGEPKWFAGIVARILGRKVKRMEITVSSSSNATTRHLSPKVQQLALYQFKLSFTLNTSAVGCYLPWHVTIALPTTASWSMSSDCCTWEGVTCDQMTRDVIELDLSCSQLVGSIPPNSTLFQLSHLQSLNLDHNYLYGVLPEETFHLPNLKQLSVQMNSNLSVILPKVKWGSSVSLEMLSVSYIKSLTSSEAIPDSLGYLKSLTTLSISDCNLSGPIPRSISNLTQLTELHLNNNHFSGQIPDYLSDLQNLTYLSLYDNDLRGQFPSWLCNLRQLETLILPGNLLSGPLPSNITALCFPNLVSLDLAHNLLNGTVPNWLFHHPSLTDLNIGDNEFTGQLNEFPSSKSPLESFYCFNNLLSGTIPLSFSAHVNLRSLDFSYNNFSGVLDIEMFSPIKYLYNLDLSQNSLSVRIKSTTMLSPNLETLGLSSCNITKFPPLRSLENLNNLDLSDNQIDGEIPQWLGLREMYYLNLSQNSLIGGIENLPWNSLNHLDLQSNMLNGTLPTLMCNSSYLSIINLSHNNLSGVLPTCSSLNYTLSVFDLRMNAIRGSLPSSLSNFRELKSLNLYGNKLEGTIPLSFAKLEYLEVLDLGSNQISGTFPQQLEVLQNLQILVLKSNKFHGIISNISTIEHPFPNLRIIDISDNDFFGPLPANYIKNFKGMMDSSANEMERRYMGGPYYSDTVIMVIKGVKFELVRILTVFTIVDLSRNKFEGEIPEYIGNLKSLRYLNLSHNHVSGHIPSTLGKLSMLESLDLSSNRLVGNIPEQLTGLFSLSVLNLSQNDLSGHIPKGYQFNTFENDSYAGNLELCGHPLSKKCEKEIIETQEEEEEEEDDDEYFFSGFTWKAVVIGYGGGVVVGFVVGYMMFIIAGEPKWFTRTVARELRYKVKRIENRTTALNGTLPSWLFLSPSFAIVYIDNNEFTGQLDEFHSSSSSLTDFSCRNNLLNGTIPQSFSRLVNLTSLVFSSNNFSGILNIERFSPLEHLEELDLSHNSLSVITTSMTTLLPRITTLSLSSCKIKEFPEFVRIENLQYLDLSNNQIGGEIPHWNGSEGIYSLFHLNLSYNSLTGGIWHLPWNELLYLDLQYNMLNGSLPASICNQTSLDILNLSHNNFTGELPACTRSLDYSLSVFDLRMNSIRGSLPSTLSNFRKLRSLNLQGNTLEGTIPLSFAEFEYLEVLDLGSNRINDTFPQCLEALPNLQVLVLKSNKFHGSIENNAKIDSPFPALRIIDLSYNDFSGLLPAKYIKNFNAMMHVDANNATLDYMGDLLYKDSISAVIKGVEFEIVRILTVLTTIDFSRNKFEGEIPEYIGNLVSLRYLNLSNNQLAGDIPSSIGNLSMLESLDLSSNQLVGEIPEQLTGVYSLSRLNLSQNQLTGHIPKGFQFNTFGDDSYAGNLGLCGNPLSKKCERERLLRRNKQKKLEKTRMITFSMVLVGKLW >KZN00285 pep chromosome:ASM162521v1:3:3858795:3864733:1 gene:DCAR_009039 transcript:KZN00285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIWLLWTLTLVVEGGGGWLLRMRSPREIEVYADAETFQLMGKEHALVICNHKSDIDWLVGWILAQRAGCLGSSFAVTKKSARYLPVVGWSIWFSGAIFLERSWEKDENTLKAGFQRLREFPCAFWLGLFVEGTRFTQAKLLAAQEYASTMGLPFPRNVLIPRTKGFIAAVNHMREFVPAIYDLTFAFPKDSPPPTMLRLLKGQPSVVHVHIKRHLMKDLPEKNEAVAQWCKDVFLVKDKLLDKHKEDGSFGDGELHEIGRPLKSLVVVTAWACLLILGTLKFLQWSSLLSSWKGLIFSATGLAVLTVLMQFLIQSTQSERSNPASLSKPVRAKPVKPNI >KZN01773 pep chromosome:ASM162521v1:3:24915821:24924834:-1 gene:DCAR_010527 transcript:KZN01773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDEFLERLGIEKGTRKLVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGGQPIGGPPLNVAMAGSVGSDPLGGFYRTKLRRANVNFLSEAVKDGTTGTVIVLTTPDAQRTMLAYQGTSSRIDYDPCLASIISKTNILVVEGYLFELPDTIKTITKACELARRSGVIVAVTASDVSCIERHYDNFWEIIENYADIVFTNSDEARALCHFSSEESPITSTRYLSHFIPLVSVTDGPRGSYIGVKGEAVCIPPYSPCVPVDTCGAGDAYASGILYGILRGLSDVKGMGTLAARVASVVVGQQGTRLRVQDAVRLAESFSLHTDANPRFLHLGTMNLRLSLGLNPYLYPPAHLSAACFRAYCYYAHLKFKKSNVSCCSIIPKTFEDFSTSSCYNAIENFKYDTNTMEMSFELYNDLICTFCKEGDVDDAMNVVSEMEALGFRPNSVTYSCLVTSLASHGRTCEAEAIFQEMLQIGFKPRRKLCNLLLSSFLRKGLLGLAYQLLLQMDYFNLKKNRETYEILLDYYVSAGRLEDTWSVIAMMKREGFRLDSFVCSKVIGLYRDNGMWNKALGIVREMREIGLVPDKRIYNSIIDTLGKFGELGEALEGLCKQTVKVLKLMEAEGIEPNLIMLNVLINAFAIAQRHLEALSVYQHIKESGISPDVVTFTTLMKAFIRSKKFDKVPLIYKEMESAGCTPDRKARELLQTALMVIEKPQI >KZN00307 pep chromosome:ASM162521v1:3:4065591:4069709:1 gene:DCAR_009061 transcript:KZN00307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNGTGGCDSGCYKDENDSTNGVLPSVTNSSNTNLCLKCKSSEIIAGGTGFSGGTNMKLCADCFRGNLYGKFKLAVSANGMISPSDNVLVAFSGGTSSRVALQFVHEMQVKSQKNFDASRDRSLPVFGVGVAFVDESAFHTLPSHELDKEIEEIKLIVDELSPPTKAFHVIPIESICLSNSNSAKDNLNELLNAVSDKTGKEDLMVQLRMMSLQKTALENGYTKLVLGSCTSRLACHVIASTVKNKALSSKLIVSGFMYAKGQGYSLAADIQYVDSRWEIPVVLPIRDCTLQELNMLCKLESLKTMELHNGSRAGINGLISSFVKLLQEENPSRECTIVRTAGKLTPFHFNKIPEEADDCNLQLASQRRRKKFNLKSNELLPPESYCPLCSSPLDKNSISNLAFENGHTSYGSFVPKCCSSCQFQILPKEPLHMEQFYSLLPQPITDRAKDGSCRSQRSIREQIQDCLLSDNEDGT >KZN03043 pep chromosome:ASM162521v1:3:40493167:40505151:1 gene:DCAR_011799 transcript:KZN03043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAGLSSCRDRTSEFRSLVETLKKIGGISSAPSGSHIDSTSPNNNNNLTSLNRSEFNKRASRIGFGIHETSLKIGXFVFLAKKSSLFDDPLKEIQELTALISDDIAALNVAVSDLQTVQNMMVADGNYSEDRAVHSTAVFDDLKNKLMGATKQFQDVLTTRTKNIKAHESRKRIFSTNMARENPLRQQPNAIPEPPPWASSSNASGSSQPSEPVSNAAQVGSQLRRRLAVDGPSQQMQMSMLQQVAPQQEDHTQSRAVALHNVESTISELSGIFTNLASMVVHQGELAIRIDDNMEETLTNVEEEDLSIHVPEKKTIYEDIYTDAKKETWENPFFLPLLEATPFHFHAALHALQSAAFTPPYLRALGTPAITSFSVFSRNREVLCKGAETQTGPGDNEDKGREEHEEGELPETSSTDSEVNSQPIPADNQENELPVVIESRDSLNDVIKSLDNLYKASGAKPEGGTEDKEQPVVIERLDSQNLADSSGADIKPEGGMEGADEVEVASGSPLPGVKPQLLDERITIPKETIDILRDQVFGFDTFFVTGQEPYEGGVLFKGNLRGEAAKSYEKIAKRLQDRFDDQFKLFLLNNPEDDKPVAVVVPRKTLQPDTAAVPEWFAAAAFGLVTVFTLLLRNVPALQSNLLSIVDNLDTLENGLPGALVTALVLGAHEIGHILVASSSGVKLGVPFFVPSWQIGSFGAITRIMNIVPKRQDLLKVAAAGPLAGFSLGLVLLLAGFYLPPADGIGVIVDASVFHESLLVGGIAKLLLGDVLKEGGQISLNPLLVWAWAGLLINAINSIPAGELDGGRIAFSIWGRKASARFTGASIVLLGLSSLFSDVAFYWVALIFFLQRGPIAPLSEEITDPDNNYKALGVTVLLLGLLVCLPYPFPFSSEAITSF >KZN00755 pep chromosome:ASM162521v1:3:8177713:8180904:1 gene:DCAR_009509 transcript:KZN00755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEKKNGHGLENSMVEPQRVLVCDSSKYMSLLTSPDRDFLLSSDGAQVKVSELEGKVIGIYFSANWYPPCRSFNHLLIDTYEQLKSCSSEFEIVFVSSDEDLDAFNTFHAGMPWLAIPYSDLEAKKELNRRFDVEGIPCLVILQPSNDKGDNIAAFNDGVELIYRYGVQAFPFTKERLEELQEEERKKQESQTVASLLVPVGSLAGKTIGLYFSAQWCIPGMKFTPKLISIYKKIREMLQSEVSDDVQDFEVVYVSSDNNETEFESEFDSMPWLALPFGDDAIKNLAKYFDVRGIPSLIILGPNGKTVTKNGRNLINLYQQNAYPFTEARVEMLEKKMDEEAKNLPKSEFHAGHRHELSLVSQGSGGGPFICCDCEEQGSGWAYQCIQCGYEVHPKCVKAVERDPGSDS >KZN00303 pep chromosome:ASM162521v1:3:4033515:4035442:-1 gene:DCAR_009057 transcript:KZN00303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRGSTGGDRGGFSRGFGGRGGRGGDRGRGRRRGAPKEEEKWVPVTKLGRLVRDGKIKTIEQIYLHSLPIKEYQIIDLLIGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGSIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDLWKETRFTKSPYQEYTDLLAKSTKAITYVEDVAAEI >KZN02213 pep chromosome:ASM162521v1:3:30946264:30946884:-1 gene:DCAR_010967 transcript:KZN02213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSDVVPKHFGCFSPKSLKLSLNSFKSSKHSSSSNLSSPRSPVSPNVTLAPKIETTSKRDHFLNQVFCRFDGNNDGKISALELRSYLASIGEYISHEEAQGVIDDMDSDGDNLIDFQDFKRMMTMRKGEDEGDEVISEEDEDIKAAFEMFEMEKGCGRITPKSLQKMLSRLGEDKSYDECVSMIQVFDVDGDGELDFDEFNQMMA >KZN02645 pep chromosome:ASM162521v1:3:35472910:35483106:-1 gene:DCAR_011399 transcript:KZN02645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHGDVGDQEAPTTFSLCKHGVDYSYCITKCSRPSKSISSDHNMGALKFKTISHSDSNYMVTRQKGKGIKLSEDVRPKKSDAGNVVFTVWEFYIKAATEEPLRTDPAPVASWKGSFMLKDEKTRIGFQAHLTSEGYSKVNEISRNMPEVLEFELCPYQDFLRTVFKGCEPDDKDIGLYFFPNDNARPDGYMFLLQQLSRNLFLRSYIDGVELLVFTSKVLQKEYRKRNKSYFLWGLYRRRHGKRKGAFQSSQGRGI >KZN00526 pep chromosome:ASM162521v1:3:6114720:6115604:-1 gene:DCAR_009280 transcript:KZN00526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVGSKAISSPSRGENYPAPLIMRFLKSNAGSRSRGGGARPRSSPMFVVRKKNVAATIETQEPSSPKVTCIGQVRVRHSKKFNSTKRGQLKQSQGTCTCFSVAKCSFRNSAINLKKWASFFRFGFRKNVDSRDNSAKSELDHRGHINDAETEMTGNEGNEAQLEEKEDTEEVSRGAKPPPRNALLLTRSRSAPFRSSSLAVQLWGETNNDIADVVRMRRCFREFDHREKESEKQMSRKLGIDEEGSEEICAMGKLAEGDSSIGKSMERLGMPHPLLLTRCRSESALKTRKGQA >KZN03057 pep chromosome:ASM162521v1:3:40682972:40686627:-1 gene:DCAR_011813 transcript:KZN03057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGSGEVYAPPRTIQAWKTILNWLSFFFQIFLQIIIKASPSKFSQLVSTTAFRPLPDVELSELTQEDAPPTAAVTVEEPGGRRDWPSEKLTVVLDLDETLVCAYETSSLPAILREQATEAGLKWFELECISSDKEVEGKPKVNYVTVFERPGLREFLKQLSEFADLVLFTAGLEGYARPLVDRIDDINCLSRRLYRPSTISTEYREHVKDLSCISKDFCRIVIVDNNPFSFLLQPVNGIPCIPFSAGQPHDEQLLQVLLPLLKHLSQQQDVRPVLYERFHMPEWFQKHGIPACAPGLRIT >KZN00219 pep chromosome:ASM162521v1:3:3189164:3190468:-1 gene:DCAR_008973 transcript:KZN00219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMVVQIVSKENILPAASTPDSLKEFKLSVLDQTQVKLYVPLTLFYHNNNTSDLDSVISDKSKRLKQSLSETLTRFYPFAGKVRDDFYIDCNDEGVHYIVTRVNVSLPDFLSKSPGDEMIKRLIPAKARESPLGNYVLIIQVNIFSCGGIALCTCISHKILDGSTYALFLKDWTAAARGSSSEIVHPSFTGPSLFPQIPSLLYKCPIDFSKINFPSQRFVFSGPKLAALKAETKVLTSECVPSRFEVVAALLWKCVAKAASKSYENSLGKPFNLGVIINLRGKNCVPKNSVGNLVWLGLAQCKLSHELDHTTLVNQIKKCKAQINDDFVEALKGDDGTPTFVKIAEMITSEETSFSVWITSMCNMSLYELDFGWGKPAWFYFCNLYFTNFISLCDTGTGGGIEAVVSLREEEMAVFENDPELLAYASVNPALL >KZN00751 pep chromosome:ASM162521v1:3:8134537:8135520:-1 gene:DCAR_009505 transcript:KZN00751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLGVIALAFLASTCLLVHVDAFVASGWSRAHATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKASPQWCIRGRSVTITATNFCPPNYALPNNDGGWCNPPLKHFDMAQPAWEKIGIFRGGIVPVIYQRVPCVKRGGVRFTINGRDYFELVLISNVGGAGSIKSVQIKGTRTKWMSMSRNWGANWQSNAYLNGQALSFRVTTTDGVTKTFYNVVSSGWRFGQTFSSTVKF >KZN01499 pep chromosome:ASM162521v1:3:17457973:17459691:1 gene:DCAR_010236 transcript:KZN01499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLHSVFGIFGDFTGLFLFLAPMITFKRIIQNKGTEQFSGIPYVMTLLNCLLSAWYGLPFVSPNNILVTVVNGVGTVIESIYVITFLIFSSKKEKIKILGLIAAMLAIFGSVALVSVLALHHQKRKVFCGFAAAIFSVIMYASPLSVIRLVMKTRSVEYMPFFLSLCSFLCGTSWFVYGLLGKDPFVAVPNGFGCALGIVQLILYAVYCGDKGSKKTSDGSSLEMGQGNKANHDQKQVSNQEDSM >KZN01454 pep chromosome:ASM162521v1:3:16436735:16438337:1 gene:DCAR_010208 transcript:KZN01454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKGVFVDKFSFSLVLKACSRLQLVNEGVQIHGLLRKFEFGCDLVLQNCLICMYCKCGCVELGRRVFDEMIVRDSVSFNSMIDGYVKSGKIGLGRDLFDSMPVELRNLITWNCMISGYGDRFESAWELFREMPERDLISWNLMLDCCAKCGEMEVANDLFNKMPKRDVISWANMIDGYGRLGCVDIARGLFDDMGXNGHCKEALELFHVMLRERKFYPDHTTFVITLSAIAQLGNIDEGIFVHRYLEENNFMVEGKLGVSLINMYAKCGSIEIAMHVFEIIKEKNADHWNAMIGGLAVHGLGEIAFDLFIEMERLCVQPDDITFIGVLNACGHAGMVKEGIICFEIMRRIHKLEPKLQHYGCMVDILGRAGHIEEAARFIQDMPIEPNDVVWRTLLSACKIYENLSIGEDVAKYLIRRDPHNSGSYVLLSNIYASLSMWDCVRNVRTIMKERELEKIPGCSWIEIEGAPHEFLVGDRLHPQAKEIYSSLDMWHNIIRDVMF >KZN01103 pep chromosome:ASM162521v1:3:11708864:11711198:1 gene:DCAR_009857 transcript:KZN01103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHGGEENEEKNEEDDDDRIKNLELEQLLALPNDEEWDLSDSEFFNFFQGWQNVPSPSLLAAAPLDNQPIIHHQNNPTQDDPNSKKKRRQERNRDAAFRSRERKKLYVKELEMKSKYFEEECKRLGMMLNCVAAENHALRLSLQTRTASDVSRTKQESAVLFLESLLLGSLLWFLGVMCLVNLPEHLLPKKEVPLGNMDNQNQGILAPRKTGSNILKLQCFPSSVRGKRCKASRTRMKLSFSFAQQALM >KZN03722 pep chromosome:ASM162521v1:3:47416673:47417101:1 gene:DCAR_012478 transcript:KZN03722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPQYARSCSEYRSRLVPGSLFAANMICVEILLNDLHVFEVYGHIDALRCLYPLFTVFMLCNTSGCQFGEGCHFLDYVPGGFSALGQMGNMGPGARKPAPPTYADGPAPAIKTKLCNQINTPEGCKFGNKCRFAHSILRLY >KZN03852 pep chromosome:ASM162521v1:3:49238871:49239913:1 gene:DCAR_012608 transcript:KZN03852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAKLYHLLLLVFFSSLLPSILLATRREGEALVKWKNSLAPSSFLDSWSLSDLDNLCNWTGITCNSASSVSQINLSQKQLDGTLSEFGFTSFPNLENLTIRDNSFSGLIPPGIANLTQLQYLDLSYNYFSGSIPYEVSHLQRLRVLDLSYNEMQAPKWSDFSPMPFMSILNLRANHLVSKFPEFISNSFSLTFLDLGDNKFTADHLVLESEFTNLQNLETLFLDGNSFEGTFPPNIFRLSKLKYLSLSANKFSGSVPDDIGMLRDLESLYLGNNSFKGMLPQNIFRLPKLRDLSLWGNNFSGLISDDIRMLSNLESLDLNGSASTKFLQAFQIKTSRPLK >KZN01627 pep chromosome:ASM162521v1:3:22053436:22057311:1 gene:DCAR_010381 transcript:KZN01627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKRRVSPIESNESNTEKKVGLELKSNESKRKRVWSWRVESAGDNFTTPPMSSKRKSRYIGPTRHEWTAEQEELVIVSFISSLNSDMGRPDYLKKMTWSEIKQRAIELYRVKFGQEPYVTANKIRNKVDWLRDSYDQKNTSAFLDRLVSDHGSVDLEWLRDIPLDKAKQLAFCWELVLLDHEAWLGKYLREHCRDSEGEDRNFVSVSKASIEEGAPDYHRIVKRPMNISTIKEKVRNLEYKSRKDFRHDMWQIAYNTHLYNDKRNPEYTSLVINAYVGILESGSSTTSDTTYWHGELPDQLFRKLVTVWPWLFVYFCFYRQEIIPQAPQLVLFGLFINYVSS >KZN00740 pep chromosome:ASM162521v1:3:8033176:8033564:-1 gene:DCAR_009494 transcript:KZN00740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLFQEFSVAYYDKFVFSTNQNTLPTSLHYYCMTYSTLVDASMHISEYLLRTSKSREPIVLHASYFPETILCMFGLVLSLEFWKEIKVSFFSL >KZN03225 pep chromosome:ASM162521v1:3:42680408:42681811:1 gene:DCAR_011981 transcript:KZN03225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNTPLLTKTTLLPTQHPAKPPSKSNASSFLFYVACTAITAAFVFFSFTSSSLPPLHPSRILLGRQLNKLSHPVVIMISCDGFRFGYQHKTPTPNIKRLISQGTEAETGLIPVFPTLTFPNHYSIATGLYPAYHGIVANSFRDPNTGETFNKQNSDPKWWLGEPLWETVASQGLNAATFFWPGSEVNRSSWTCPSKYCRKFNESVPFEERVDTILSYLDLPASDIPSLMTMYLNDPDAQGHKVGPDHPQITEAVAHIDQVIGRMISGLEKRGVFEDVNLILLGDHGMVGTCDQRLIFLEELAPWIDIPEDWTEKYSPVLTIRPPPDVSPADVVAKMKEGLESGKVGNGKYLKVYLKENLPSRLHYSDNDRITPIVGLVDEGFKVEMNVSEAKECAGAHGYDNAFFSMRTIFIGHGPRFAKGAKVPSFENIQIYNLVTSILGIKGAPNNGTSSFPNKVLLPAAAKIF >KZN00513 pep chromosome:ASM162521v1:3:5960852:5963246:1 gene:DCAR_009267 transcript:KZN00513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSAISPNLRPPTSQSLLISSTSSSFFRPTSLLTRQAKNLALGRPKTRPRTNPGLSCTCLFGLGVPELVVIAGVAALVFGPKKLPEVGKSIGKTVKSFQQAAKEFESELKKEPEAGAEIPEGKVTEVSEEEKKDAEVSSTKEG >KZN01905 pep chromosome:ASM162521v1:3:26760875:26761861:-1 gene:DCAR_010659 transcript:KZN01905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLTHSNPLSYLPHSSPTPSISPFFTHTKTLKPSKLKSLKINSILQYNRKPELAGDTPRVVVITSGKGGVGKTTTTANIGLSLARLGFSVVAIDADVGLRNLDLLLGLESRVNYTVVEVLNGDCRLDQALVRDKRFSNFELLCISKPRSKLPMGFGGKALVWLVDALKDREEGCPDFILIDCPAGIDAGFITAITPANEAVLVTTPDITALRDADRVIGLLECDGIRDIKMMVNRVRTDMIKGEDMMSVLDVQEMLGLALLGVIPEDSEVIRSTNRGFPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKKRGFFSFFGG >KZN02401 pep chromosome:ASM162521v1:3:33103894:33106818:1 gene:DCAR_011155 transcript:KZN02401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMMSFSVIISALVCALVASSMASDIKSANPSAEARALLESGWWGKYSTPVNTYQSHCTWQGIICNGAGSVTSIDLSGLYVGLELRNLNFSSLTSLESLNLRSCSLNGSSLSYLTGTLARLTYLDLSQNLLTGQLLISLTNLTQLTRLDVSDNYLSGSIPSQMGYYLKNLAFLDLGRNNFVGQIPSSFGSLIRLTHLHIDGNAITGPIPTTLVLLNGSLANLDLGDNQLKGLIPFEIGNMTKLVSLVLRRNNLTGPIPATLGSLAKLNYVDISSNPFNCTLPSELGSLKSLGVLRLSRSLFYGSVPRTLGNLTNLTLLDLAYNQLQGFIPPELGSLNNIVSLSLNGNRLYGPIPPSLGRLLKVTYLELGRNKLNGSIPQALMQLTKLKFLSLTSNKLVGAIPPSIENLSSLNFLNLSSNQFDGLLPPEIGMLSNLRTLILHTNQLSGYIPVFRYCNFQYLDLSKNQFNGTIPDALGLCNSMIHLALDSNKLSGSIPKKLENLTQLQFLNLSSNELYGTVPACLCYLCQESSFDFSHNALDFVNTCQKNFTNIQGNSELGEHKKGKKNLKTLYIVLPISLSITFAVLALVLICWRRFARNQSEGLDMKNGDVFSVWNFDGNIAYKDIIKATNEFDLRYCIGTGGYGSVYAARLPNGKVVAVKKLHRIEAEDQAFGKSFRNEVEVLLNIMHKNIVKLHGFCLHNQCMFLIYEYMENGSLFCALRDDAEAIKLDWNKRVDIVKGIAMALSYMHHDCPLPLVHRDISSNNILLNSKLEAFVADFGAARLLCPDSSNQTILAGTCGYIAPELAYTMQINEKCDVYSFGVVALETMMGRHPGELLSTLESSQPARRNISLEVLDSRLPHPTNEQEQDILLVLNLASACLSSDQKLRPTMLAVSREFLTAKGHSSVTRFVKNTENSPGQCDNWENICSESVASNECLICLDE >KZN01972 pep chromosome:ASM162521v1:3:27584217:27584501:-1 gene:DCAR_010726 transcript:KZN01972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRELEECIWRYRQAIYTFENSEISTSSHSLENSELSISQSLFENSESSISQDSLENSEMSISLFNNGVTEISTSKNAYREVEFSTTCLEFSK >KZN00007 pep chromosome:ASM162521v1:3:1004781:1005794:-1 gene:DCAR_008761 transcript:KZN00007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALDFYTEDQDLTYKKPSATSLILKRESSGSCSSDTCNNSNSSVFGKTRYKLWALVVILVLAFWSMFTGSVTLNWSSAGALADEYDSPLHSDLDILEVEEREKVVRHMWDVYTHSKTIRLPKFWQRAFEAAYEDLSSDAPSVRNAAVSEIAKMSLLSLDLILESLAQSTTASDTDR >KZN03583 pep chromosome:ASM162521v1:3:46019935:46021686:1 gene:DCAR_012339 transcript:KZN03583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDFSLNRVFDTRGFYKPPEDLLNEALMAQPKQMGVAISEIDKPAGDDPSTTVVRSGRHPINVSDRGLHPSGDQQKLVFNHWMDIYLKSHNVNAMQLDQSIPEGQNQELRSGDIGSINLKPNPVSKESFRVLGNYRNEKALLREGILSNQNSNSRVSGKKLSTEDVIRLAGERFIHFSSKKRDGFTNFTNPYDPALISLTVEDAKMVDLAHLLLASAEKVGYKQFEAADKLLVHCEAMVSERGHPVERIAFHFSKALRERIKTEREHNFHNLGNDQGRSIRGLPTGVDIITAVLHQEVPFSKVMQFASIQMILENLSMAKKIHLIDFQLRNGVQWTLLIQALSERKTFPVKLLKITAIQTSDKERTEDIGKKLQSYANSMNISFKFKVVSVLNMKDLKVELFDIRPGEAVAVYSPVVLMTMIGRPQNLHTVLKVIQRLRPVVMIVMEVEANTNSPAFVNRFIEALFWYSAWFDSLEDCIDRDNQCRTEVERSYFGQGIKNIVAAEGEDRTTRSVKIGVWRTFFQRFKMVEIDISKASVDQANMVLKQKFSCGSSCTISKNRKCLMVEWKGTPLHSVSAWRFK >KZN03461 pep chromosome:ASM162521v1:3:44833492:44835386:1 gene:DCAR_012217 transcript:KZN03461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCEGRVEVMVKRRELVTAVLPVTESRLALSNLDLILPPLEVGIFLCYANSGKNNEMMLSSVRKGLRQVLVPFNALAGEVFLNDEGEPELLCNNRGVDFVEAFADINLRELDIYNPDVSIRDNFVPVREQGVLTVQVTEMKCGGVVIGCNFDHRVADAHSINKFLLAWADLCRSNNIGNNETVTLFKHDQKDHTTFYQHPLVKPRQPSHYDAAINDMYVPIKEGAACNISPPSFELKSRIYHVDALEIEILQALAGPKRSKLESFSALLWKLLAKSSKDDEKRSKLGIVVNGRKCLSNDSSISMENHFGNVLSIPYSDASVGELKSLPLFEIANAVHACVERAANEEHFRGLVDWVEDRRPQRAMSRIYSYLPSESEEPAVVVSSGQRFPVTQLDFGCGIPDFASYYFPWGGCTGYVMPMPSAKNNGDWIVFMHLAQKHLDFVEKEAPEIFKPFVF >KZN00354 pep chromosome:ASM162521v1:3:4435965:4437641:-1 gene:DCAR_009108 transcript:KZN00354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKTKSSPSSSSKPQPEKPTSKKLKKIKKTKKKVIEEEHNNTKENQESLEAEATKKPKKIKKIKKKVIKEQDYNTEENQELQEMIQESLGDEALRKPKKIKKNKKKVIEEQDYNTEENQELQELLGDEAHKKPKKIKKKVIEEQDYNTVENQELQEMLQESLGDEALKKPKKVKKFKNKAIEEQDYNTKENQELQGNLKDSFEDEATKRETLKELLQPLSKDQIIEFLKFAVLEDKQLLSRITQLSDSDPVHRKLFVHGLAWDTTSEQVLSVFDQFGEIEECGVPVDKAGRAKGFAFVLYKTRGAAENALMERQKRIGNRVIYCQLASDGPVGGNSGGDEGGRKVFVANVAPYVDPERIRMFFEEFGELEEGPTGMDPVTGKFRGYCFFVYRSSEGCSKALEEPVKMFENCRLEVRLATDNFRNSKNQTGGLNNVAAGFGCSPSNVGGGNLDYNVGVNPGFYGSPAGMGHNSGYGSANQMMIPSMNQNGIATPIGANLQTFGFGANYGINSVSPSAIASYGSLPGLGGYQAQLGNSSAGSTAGTRPESSGHWSHFNL >KZN03531 pep chromosome:ASM162521v1:3:45480300:45484420:-1 gene:DCAR_012287 transcript:KZN03531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTKLQELCQQKKWALPKYSYVKEGPDHNPSFKASVVVKGVTFDTEIASNSSKDAQNDAARLAVHHFTASEPEGETKTGQPGIELHQAGKLQSNATEFKNDERNQYKLMLQTYAQRNKLGMPVYSSDKKGPPHAPCFKATVYVEGHPYDSPGTYKTLKEAEHAAAQNCPPLYKNILQELAQSEGKRSRTANSSSSSSAHETLEVKAGSVFPTFVNAHGKFQSDCPQHLTQNSNYRKQCIQEKDDYEDCPTESLPDYSKYSLQKFIESPASADMDNTTGNSDSHFSPESIRLSSTGGQPSSIITPPDLSSLTIRSPTLKKSDETESYLLCNRYRVYTRIPDIDFPKGTILLPIAEDQWVAVSIELPN >KZN03676 pep chromosome:ASM162521v1:3:46804250:46811087:1 gene:DCAR_012432 transcript:KZN03676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARRHGEESVKLFVGQVPKQMKEEELIELFEQFAVVEEVNIIKDKITRASRGCCFLICPSREEADKAVNGCHNKKTLPGAASPLQVKYADGELERLEHKLFIGMLPKNVTEVEVSDLFSQYGDIKDLQILRGSQQTSKGCAFLKYETKEQAVAAIEALNGNHRMEGSTVPLVVKWADTEKERLARRAQKAQSQPLNMVNADTPQQSLFGALPMGYMPPYNGYGYQGPGSYGIMPYRMPPLQNQHAYQNMLSSVSQGNALRGASPEFSPVMTPRNYSMPRAGYLGSAYPAVTGMQYPLAYAGGIMSNRPLSGPPSPIPPSANNHSAVSSSVSSNSGGQLEGPPGANLFIYHIPQEYGDQELANSFQAFGRVISAKVYVDKATGVSKCFGFVSYDSPAAAQAAINTMNGCQLGGKKLKVQLKKDNKQNKSF >KZN01074 pep chromosome:ASM162521v1:3:11327027:11331237:1 gene:DCAR_009828 transcript:KZN01074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQVIKNLDAFPRAEEHLLHKTQSGALVSIIGLVIMSTLFLHELKYYFTTYTVHQMAVDLKRGESLPIHINITFPSLPCHVVSVDAIDMSGKHEVDLDTNIWKLRLNRDGYIVGTEYISDLVEEGHTAHGHDEEKDHLEVQKLHLHGFDQEAEDTVKKVKQALANGEGCRVYGTLDVQRVAGNFHISVHGLNIFVAQMIFEGANHVNVSHTIHDLSFGPKYPGIQNPLDGTSRILRGASGTFKYYIKIVPTEYKYISKEVLPTNQFSVTEYFSPMKDFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGYPF >KZN00350 pep chromosome:ASM162521v1:3:4411214:4412750:-1 gene:DCAR_009104 transcript:KZN00350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAEKEAALTGAAPSDSPTIFDKIINKEVPSTVVYEDDKVIAFKDIEPQAPTHILVIPKVRDGLTGLSKAEERHCEILGRLLYTAKLVAKQEGLDDGFRIVINDGPNGWQTIYHIHVHVIGGRQMNWPPG >KZN03736 pep chromosome:ASM162521v1:3:47532762:47534311:-1 gene:DCAR_012492 transcript:KZN03736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQPERPQQEQPTPVRYGDVFDVSSELSSQPIAPKDACTMQAEENRVLGKTLKGGAAAVMQSAAAVNLQRGVVGRDEAKDHGVMVSQDEVAGKRIITEAVGGEVVGQYVQPGKIHMKSPAGALADDAITIGEALENATLTAGDKPVDQSDAAAIQKAEVRASGFAHAGGVTAAAQSAANLNARTMGVDDKIKLGEVLADSSNRLEIDKPVTREDAEEVIEAEVRNNPEMVTYPGGVASSMAVAASLNQDRAV >KZN00063 pep chromosome:ASM162521v1:3:1565408:1566956:1 gene:DCAR_008817 transcript:KZN00063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLTLPLLLLLLLTVAAATDMSIITYDETHAVGFKTDDEATTLFESWLVTHGKSYNALGEKEKRFQIFKNNLRYIDEQNLVEDRGFKLGLNKFADLTNEEYRSKYTGIKSKDLRKKVSAKSGRYATLSGESLPESVDWRESGAVATVKDQGSCDSSAI >KZM99932 pep chromosome:ASM162521v1:3:198082:198243:1 gene:DCAR_008687 transcript:KZM99932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLMMLTSKASLPQPKQPGFFTERRLDETDFSGSMPYSSTANQSITIVRPR >KZN02230 pep chromosome:ASM162521v1:3:31133224:31133379:1 gene:DCAR_010984 transcript:KZN02230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGVQVLCLLLQSRSSPSQYRGIAHALATVLREEGPVHCTKDGFLLSLEL >KZN03189 pep chromosome:ASM162521v1:3:42242372:42244375:-1 gene:DCAR_011945 transcript:KZN03189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKMKITWQSLFPKCGKSEKHSCMIQNRVIPKQSSVSRVSISDFSSSSVLSEELSNSLVGSNLYDFTLAELKVITHGFSPSNFLGEGGFGPVHKGFIDENLRPGLKAQSVATEVIFLGQLRHEHMVKLIGYCCEDENRLLVYEYMPRGSLENQLFRKTAALKTAALAYQCLGQRPKSRPTMRTVIKTLEPLTNFDGVTDAFVYTVSINSNEAKED >KZN00799 pep chromosome:ASM162521v1:3:8815910:8817536:1 gene:DCAR_009553 transcript:KZN00799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNQNQQINYPSHYDATVAAVAAAPVADSQPISYDETHNFENGDVSGNYNGVASALDAGMAYDAVSHAGDQLTLSFGGQVYVFDTVSAEKVQQVLLLLGGCELPSGTQPADIAYRNPPAPYQKDYPQRCSDPERAASLRRFYQKKQNRCYEKKIRYDVRREVAVRMHRKRGQFTSKTSEGSTVSDADDSRQEDGTQETL >KZN01709 pep chromosome:ASM162521v1:3:23595085:23597016:-1 gene:DCAR_010463 transcript:KZN01709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYYWAEAAATEAWHFSQSRAAAVNEDLGSTCSLQLPDDSNVYMHGYSHSQLPKSFQGGEEDRSAASASKSHSQAEKRRRDRINAQLATLRKLIPKSDKMDKAALLGSAVEHLKELKRKTMEVSQHIAIPSGIDEVSVTDCRPDQEASSSTNAMFMRASICCENRPELFGEISQAIESLRLKMIQADMSCLGGRIRSCFVVCTKDSKSLNGAGDANMIKQSLKVVLSRVVTSSTCSNYRAKSKRQRFFFPSYISQ >KZN03850 pep chromosome:ASM162521v1:3:49179812:49182257:1 gene:DCAR_012606 transcript:KZN03850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALEMARSNDTKKRRAGVDRLQQLLEDSRKCLSSSEVTALVDTCLELLKDTLWDGEQRVRDSARRLLITLMEVRDISARLEQIQIQPATSVSSISEGLNTETSKQKPSENSKDNDKGIEPLLELPEDIIFLQILPKLNSLDVLPLVLVARSWASFLLHEGPRLMLFNPPKNPTPKHTSILFDVSPIPYSVSVLLSPESRQLCQSRCIIVPILNSGIKHAVPIFTDYPDFLKQGEVPTVVGSSSGIICLYITSSPSRYILLNPLTKHYKEVGAPYIFVPDCEQASVTSGFFYDEWTDDLKIVQLLRWDQRLTSLDAPGPKKIHALRVCSVNSANWEVVDLGPMKVSDRLGGVLFLPKALVTFVDAFATEPEINFWLDFRDCRLKAVPELEGAELSMKSVWWNNQVGVIVGTDSIYVLDEEFAAWYYCTVLPDVGNCVFCLDENKIVGMGAGESGSVNDYNILNSTSGEIQHIISLPSCRVFPYVPTFYYIEGMANF >KZN01463 pep chromosome:ASM162521v1:3:16555701:16560050:-1 gene:DCAR_010217 transcript:KZN01463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSNYIIGEQGFLKHRFLGFLIWQTITSLSLYSLSKLTLFSFFTPAPKLTPSLLSLFLLLTFLLSNLLFSTALHLICSPHQPLSFASPVEMLFGVFRLLFLSGAGPVGSEGRRRVGASLGFVGFVFFCGVSGAVAVGSVCWSCMEFGGGFREVGFKGFVVGLVYGLVFVFRKRWIIEFPIVQRPLFFSFKMGIPSSLRRALKLSCTGYLISTFLAFFLPNEFKSMVTLGKFINEQILLFIGIFLVLICWELCLHLHQVLHTKRYSFAPPKGSAAAETNPSEPLLAALEESHAKTLLKYLAYLDLYMVCEGNANTWRRAAFFEESGETYKRVIAVCLKPLEQLAVELGECVETDSADAFKVSSQLKSPTERVAESRLEQAFYNIQLCAWSAGITASLTVHSRKEDRFGVAQLTESNAAVVSTLLSCLLAVELCMGKKTSVHSAQYLTGPAGIKWATLSNGKRDPAVSTVGKRKGSPLYSKAYVMADILRTSIYRIVSAFHKEMLDSTKSGLHDKDWIISSKPCYGSRELLLQKLNLFLNFEG >KZN00619 pep chromosome:ASM162521v1:3:6975369:6979123:1 gene:DCAR_009373 transcript:KZN00619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRRLSYEIEALYKKKNMTNVDEIVDHLRSNFPEYNRQKHQPFRRHVQQRLGQIVKSTWTDEPPNKRLKTHDNKSESKQRNSSTATCSNVDEDKSSAGESKIDIDHQKMINGPRFRDLGGMNQVLEELKIKVLFPIFHPHLYQPLGLRPISGILLHGPPGCGKTMLARAIANESELPIYEISATQLVSGVSGASEENIRNLFSKAYRTAPSIVFIDEIDSIASKRENAQSSMERRIVTQLLSCMDQPPRYYKDIDSLSIPPYVLVIAATNRPDALDPALRRPGRFDREILLPSPDHNAKVEILSVLTSKLQVGNDFDLSKLARCTPGFVGADLAALVHEAGMLSMERNIKMRKSELSNEEDPDAWLRHPWTTEESGKLHITMGDVEEAASMVQPSSKREGFNCIPVVKWEDVGGLDSLRKKFERYIIRPIKNPELYKQFGLHCGKGFLLDGPPGCGKTLIAMAVAGEAGANFIHIKVDGLTTERGQQGGWVVERLLNQLLVELDGAEQRSGVYVIGATNRPEVIDRALLRPGRLGELLHVPLPSPDDRGLILQALAKKKPVDTTVDLVAFGKDDSCNSLSGADLAALMDEAAMIAVEDESQGVTAEHLELALKKVHPSVSVEQDKFYRRWARQQDRGGRHGSLRGRPSSRHL >KZN01579 pep chromosome:ASM162521v1:3:20901420:20905967:-1 gene:DCAR_010333 transcript:KZN01579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVTRPRGGINEWLHWLPVSIHKAVYYIIRQLFGYSRVISRRRGDRLLPLTTENGGSGGLSSILEADVKGIVNMARETYEKDGPLLIVACGRDTISIASSIKKLASDYVFVVQIQHPRSHLNRFDLVITPQHDYYPLTPAAQEQVPKFLRKWITPREPPDSHVVLTVGSLHQVDFAALRSAAITWHDEFAPLPKPLLVVNIGGPTRFCKYGIDLAKQLVASLHNVLVSCGSVRISFSRRTPEKVSNFILKELGSHPKIYIWDGEESNPHMGHLAWADAFVVTADSVSMLSETCSTGKPVYVVGSERCTWKYADFHKTMRERGFVRPFTGLEDISESWSYPPLNDTAEAANRVHEAIAGRGWRLRP >KZN03819 pep chromosome:ASM162521v1:3:48528855:48529466:-1 gene:DCAR_012575 transcript:KZN03819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLLSATLTNQLTCFAELDALTSPSIPKDVFLALLSNIGSTLYSVSLAIFKHGWVNTTRRGRGRKNPDVSVSEDDGKLRLYPCGPAVNATQKVVVDPSGNGNFTMINDAVAAAPNNTDGGNGYFLISIAAGVYQKYINIPVNKKYLMMIGAGINQTIITGNHSVSDGLSTFNTGTFSKLYITSIMCVKKYANLNELSLVKTL >KZN02284 pep chromosome:ASM162521v1:3:31623611:31627826:1 gene:DCAR_011038 transcript:KZN02284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQNDIPPVPSAHNVGMAFVAQYYPILQEHPESVYKFYQDLSVISRPEPDGGMISVTTMKDINDKICSMNYKTAEILTADAQDSMKDGVILLVTGFLTGADNLRRRFTQTFFLAPQHVGYFVLNDVLRYIDENDPLDANTISVSGIDDTPSASLTPDPEPSHVLDPPVLNQEKSQVEELPSIEIKTADPKGNESELVSTKAAPSSESHPIENHISAALDTASCDAEEDAPKKSYASIVSSHTKKGISGTVKMYVPTNTAKVDSPKIEKPPVKSISQEPAPAASVPNVPASNHADDEGDGHSIYVKNLPLSVTPNQLEMEFKRFGPIKHGGVQVRSNKGFRFGFVEFQDVNSMNNAIQASPVTIGDRQATVEIKRTTTRVGNAGSNSRGRFSSGRGGYRNDSFRGRGNYSGGRGYGRNDFGGRGEYSGRGRGPGGRNGDGNQQGRGRGGRRSGPSQNVVAS >KZN01083 pep chromosome:ASM162521v1:3:11409944:11413220:-1 gene:DCAR_009837 transcript:KZN01083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMDSRRRKKFSGKVMLTVGVATLFIIYFKSSSKVTSPKQFSVHEPGVTHVLVTGGAGYIGSHASLRLLKDSYRVTIVDNLSRGNMGAVKILQTLFPEPGRLQFIYADLGDANAVNKIFADNAFDAVMHFAAVAYVGESTAEPLRYYHNITSNTLVVLEAMASHGVKTLIYSSTCATYGEPKVMPITEETPQVPINPYGKAKKMSEDIILDFSKTSKMAVMILRYFNVIGSDPEGRLGEAPPPELREQGRISGACFDAARGIIPGLKIRGTDYSTADGTCVRDYIDVTDLVDAHVKALAHALPGKVGIYNVGTGKGSSVKQFVEACKKATGVPIKIEYLSRRPGDYAEVYSDPSKIKRDLNWVARYTNLEESLKTAWLWQKSHRNGYGSPMITSL >KZN01740 pep chromosome:ASM162521v1:3:24045518:24047134:-1 gene:DCAR_010494 transcript:KZN01740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPERTQLKHIAFGVAASALFWENRKEYIKQWWRPGETRGVVWLDKNVEANKNEKLPEIRISSDTSQFKYSNRQGDRSAVRISRVVSETYRLGMEGIRWFVMGDDDTVFMVENVVRVLSKYDHNQLYYVGCSSESHVQNMVFSYAMAFGGGGFAISYPLAVELEKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKEYGFHQYDVYGSLLGLLGAHPLTPIVSLHHLDVLDPIFPKMSRARAIHHLIEASKYDSASIIQQSICYDHKRQWSITVSWGYVVQIIRGVLSPRELEMPTRTFLNWYKRADYTAYAFNTRPFSRQPCQKPFIFYMNSITYDEKRKQIISIHSRQNDRHPSCRWKIDSPEQIDSIIVMKRPDHDRWLKSPRRDCCRILPSTKNSSMNIWVGKCRKGEIIGV >KZN03082 pep chromosome:ASM162521v1:3:41087616:41089421:1 gene:DCAR_011838 transcript:KZN03082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPHSSPLLLHFFSLLFTLPLTLHSHPSFETHLRHTPTTTTPFFEPTRPLPFHAPPSCTHLALSHNFSNTINKPPISVPYSPPPNCTNWSHIVLDFRVASKGDQYDRIAAVWLGGVEILRTSTAEPNEEGIFWNVRKDVSRYISAFMVANATLTVMLENIVNDVYTGVYNVNVSFLYYNVDHRVRLGDNVRINRKLGVLGGGGIVGIGKGKRSYNVYEKAADLIIPISGGVEKGYWFRIESESDVHYKEVVVPLNCYRAVVEVYVSFHGDDEFWYSNPPDEYIEKNGLVTGRGHGAYREVFVSIDGRFVGSVVPFPVIFTGGINPLFWEPIVGIGAFDLPSYDIDLSPFLGMLLDGKPHSIGLGVGDSISYWLVDANLHLWIDHGVDEVQAKVLDYRYPTLKIERKSEFNLLDGKFKVEAKRKSQFVGWVNSTLGNITTSVSQEFKFKNSIKFTNNGSDKVVEQKIKTTAEVEIKSDQDVLISHATVKREYPLDIEITNMTGSGNDTYIMVTSVVHELNEKSGLKEKNFNVFGGFSSHLQNKQNSSGWMEVKDHSVLSGEAATDQFYTYKDEFGCYSRISKAADGRLVSDNSSFSCASSY >KZN02955 pep chromosome:ASM162521v1:3:39512541:39515204:-1 gene:DCAR_011711 transcript:KZN02955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEDLLMQPTEEKQKRAHLEEEVDKLEGELDRELKLNKILQCALQGPVQSCPCLSPVLPLQVQGLLAELAAVEEEITLLERKVDELKLSLYRERQQTQLGEGLQLLELQPQLSKEKRKHLLGREAERMEFRKFGSPTRLQSYSDYRNHRTSKERREFLRSSKDIRSMSLTMSNGYITESSRSLENSLLEEEISSEKPNVLSEELIKCLIGIYIKLNQDTLGSGGSSMIPKHSLSCFDDSISSYGPYKNFIQITSNSLATNCISECYTAMKKLRFLMHKLCNVDLTFLTYQQKLAFWINIYNASIMHAYLQHGLPSTHEKLLTLMNKAAINVGGIVLNALAIEHFILRHPSDSVHSPTDEKETLVRHAYGLKYPEPNVTFALCRGSWSSPALRVYTGDEVVNELWRARQEYLEASVGVTSKKKIMVPKLLQWHMRDFADDMESLLEWIYSQLPQSASVKGLVGECLKSETKFPVTKKVEIQPYASEFRYLVPM >KZN01881 pep chromosome:ASM162521v1:3:26508509:26509467:-1 gene:DCAR_010635 transcript:KZN01881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGYKPKSVRKRKNSAEKENIRGNSNKRFTYPFSPLTPTSLESVISNCNQEGRQSIVSPTDLSKRSSVYKTPEDGLLASRTPLSNITNRLEDSSTIKGGRPYSGLSKFKAPETSRTLFAEDDHPSVDKQKYLRDDDIECSTVPDPFFSDSSDSDYLSGYSSTDDEYIPGMELDSDSG >KZN01066 pep chromosome:ASM162521v1:3:11295421:11296889:-1 gene:DCAR_009820 transcript:KZN01066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSCSLHHPALLKSSPSQRHVHSTKPTQVICRAQKQVSVQEDDSASATVSRRLALTVLIGAAAVGSKVSPADAAYGESANVFGKPKTTEFMPYTGKDFTLLIPAKWNPSKEVEFPGQVLRFEDNFDTTSNLSVMVLPTDKKSITDYGSPEKFLSTVDYLLGKQAYFGKTASEGGFEQDAVATANILETATPVVGGKQYYSLSVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKRWFKGAKKFVENATSSFSVA >KZN01287 pep chromosome:ASM162521v1:3:14113994:14114494:-1 gene:DCAR_010041 transcript:KZN01287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHEAPILTQGSQSTQGEGTLQWPEVVGTPPQTVWLAWPTLEVKRPSEVGATHVNVVIKGKHTLKGGKGNNNKRLPPTNYNGPSLHGCSLSEPVQQFKTNVGGTEVVSASFTKKGKYMVTHGALSQALAAAKRKLGEANSDAAVQSDESMHKDAHGDEAGGEDAT >KZN01844 pep chromosome:ASM162521v1:3:25959473:25960090:1 gene:DCAR_010598 transcript:KZN01844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLFGVFVAQGVHRFTSVQIEKELVYIPSTPLSANLGDASFSRDDEMIENDILNNQSDMEWKDVWDDNTSNPSPISNEYDVTRSRSKRVCDNSYASEGSKKYCRPESSKKKGAGMLLDKLDTMVKVVMERSLKDMELMNLEARNLANSSTSLADSLAKLISLLDLVLGSPEFCFACTLIEDPQKRIILDGMLDDYLRIQWLKYL >KZN03509 pep chromosome:ASM162521v1:3:45259165:45259572:1 gene:DCAR_012265 transcript:KZN03509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSIVVARLTDLLIQEPVALHGLLKDKIQQVITKLQLMKTFLRDADSRITEHKVRTLVADIRALAYDAEHVVESFIVKPSSSARNRRKQAIKIKDIQSKMSLLSDRIGDNNIKSTSEIQNHQFHCLKHLDS >KZN03576 pep chromosome:ASM162521v1:3:45963362:45967505:-1 gene:DCAR_012332 transcript:KZN03576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFGRQKTANASRHTSIAEEALQEAIRCSGDENCIESVLMRFENKFCGTDDYTFLLRELGNRGEYSMAIKCFEYAVKREKRRNDQGKLASSMISILGRLGKVDLAEKIFEIAVNEGYGNTVYAYSALISAYGKSGYCDEAIRLFDTMKKSGLKPNLVTYNALINACAKGGADFKRASEIFDDMWSNGVQPDRITYNSLLAACSGGGLWDTAKKLFSEMIDRGIDQDIYTYNTLLDVACNGGHLDTAFEIMSEMPLKNILPNEVTYSTIIRGCAKAGRLDRALSLFNEMKFAGIKLDRVSYNTLLAIYASLGRFDEALGVGNEMESMGIKKDVVTYNALLDGFGKQGMFDKVKELFQMMRADRLSPNLLTYSTLISVYSKGASAEISPDRDPQFESSTLIPLDNGTKLKGEVPEEDRIIRVFEQLAGGEFRQGRKDNKSSREEILCVLGVFQKMHELEIKPNVVTFSAILNACSRCSSFKEASLLLEELRLFDNHVYGVAHGLLMGHRENVWVQALSLFDDVMQMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENTWSTSCLDLHLMSSGAARAMVHAWLMNIRSIVFEGHELPKLLSILTGWGKHSKVQGDATLKPAIEALLVGIGAPFQLAKCNIGRYISTGPVVASWLRESGTLQVLVLQDDRNQVESTRSYNIPILSALPS >KZN00143 pep chromosome:ASM162521v1:3:2371928:2378260:-1 gene:DCAR_008897 transcript:KZN00143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDENSGLFPIFILTMLAIPLVPYTILKLCRAASKKAKPIHCECSVCSRSGKYRRSIFKRISNFSTCSNMTLVLLWVVMGVLVYYIKNMSREIQVFEPFSILGLEPGASESEIRKAYRKLSILYHPDKNPDPDANKYFVESISKAYQALTDPISRENFEKYGHPDGRQGFQMGIALPQFLLNIDGASGGILLLWIVGVCILLPLVVAVVYLSRSSKYTGNYVMHQTLSAYYYFMKPSLAPSKVMDVFIKAAEYMEIPVRRSDAEPLQKLFVVVRSELNLDLKNIKQEQAKFWKQHPALVKTELLVQAHLLRDTAALSPELQRDFKRMAIIPRTAQGHGWLRPAVGVVELSQCVIQAVPLSAKKGGGGSPEGSAPFLQLPHFSEAVIKKIARKKVRSFQDLRDMTLQDRDDLLSQVAGFTAAHVQDVERVLEMMPSITVDVTCETEGEEGIQEGDVVTVQAWVTVKRGNGLIGALPHAPYYPFHKEENYWFLLADPNSNNVWFSQKVIFMDEATAITAASKAIEETMEGSGASPKETSKATKEAIEKVKTGSRLVIGKFQAPAEGNYNLTSYLLCDSWIGCDNRTSVKVKILKRTRAGTRGAHITEEGPITEDGAEEEEENDEEEYDEDYESEYSEDEEDARETNTKAAANGNGRQSSSESSESEED >KZN03797 pep chromosome:ASM162521v1:3:48213619:48216305:-1 gene:DCAR_012553 transcript:KZN03797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHAAKLNSTDWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVVDPGTNSCIVGMRKTLVFYKNRAPNGVKTGWIMHEFRLENPLIPPKEDWVLCRVFYKSKTEINSQLSPQNVYHSEAKFAGAHTFPHSPQIMLPAACNKNNYHLHQITTSQSPAPHHQNPNNLPTVPQLNPNFLHLSHQIHTNHLNEMIMLKSACDEEDPYGFLLNMEFEAESYMGGGMASSVEDMKFEDDCCMPSLIGHCD >KZN00654 pep chromosome:ASM162521v1:3:7270877:7271815:1 gene:DCAR_009408 transcript:KZN00654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSIEHNKNLQGVEEEEDEVDQIQSDPLIGDPALYSISAVDGVVSPLAVVPVPKQEPPENEVGRSLVVPVQERTVAAQTSRRVSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLERAEPEIIKATGTGTIPAIAVNVNGTLKIPTTSPATEGDAKKRKRPCNSEFIDVSEENGSVSIASSFAPVAPIMPAMWGPTGVVGSSGFPGGAFFMVPPGMGGGLNQAQLWAFPAGATPVFNVTGRPVSDYVSMQPVAMMSESASCSTMAAGSMEIYDKRELQFMAGCSTSSADEKQPSSDS >KZN01546 pep chromosome:ASM162521v1:3:19933719:19934970:1 gene:DCAR_010300 transcript:KZN01546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAVSKRKIGDVDIRDSPYFKIRALVSLLRPRFLQVLETPDFHKCEAATDIEQGMKLVMEYYRQMLTEANKRDKMDKAPRQSESAKQSLGRQKATHQAKQVTKEADDKKLFAAPSSPKMTYESGGRLYGSYIVGGSLTGWNYITFKNRKQPQYYGVTKETHRSNRRKP >KZN02673 pep chromosome:ASM162521v1:3:35727305:35729307:1 gene:DCAR_011427 transcript:KZN02673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEELLQQVFLCAVSATFSYWTSLLLDRHRHASKKVLKTKKEIAKRLGRPTIKTNSYEDVIASDVINPDKIEVEFDSIGGLENIKQSLQELVILPLRRPELFSHGKLPGPQKGVLIHGPPGTGKTMLAKAIAKESGAAFINVRLANIMSKWYGDAEKLVAAIFSLAHKLQPAIIFIDEADSFLRKRSSHDHEVSEKMKTEFMALWDGFTTDQNARVMVLAATNRPSALDEAILRRLPQTFEVGLPDHRGRVGILKVILKGEKVDSSIDIDHIAGLCDGYSGSDLLELCKQAAYSPIRDLLNAEKKGLKSSEPRLLSQRDLEKVIAAKRKTKGAASEYHFSMYI >KZN00817 pep chromosome:ASM162521v1:3:8957411:8965683:-1 gene:DCAR_009571 transcript:KZN00817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISGLSSSLNPLKSSHPTPSQFPLTKHSISFRSQTHSSKAQSVAREVPASLSKVNVLKSSDLGLEKDPKALWKRYVDWLYQHKELGLFLDVSRVGFTDEFFEEMEPRFQSAFKAMEELDKGAIANPDEGRMVGHYWLRNPKLAPNSFLRLQIENTLEAVCKFAEEVVGGKIKPPTGPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGSELASTLVIVVSKSGGTPETRNGLLEVQKAFREAGLDFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLAAALQGIDIKEMLAGAALMDDANRTTVVKNNPAALLALCWYWASDGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLRDGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANGRESITVTVQEVTPRSVGALVALYERAVGIYASLVNVNAYHQPGVEAGKKAAGEVLALQKRVLQVLNEASCKEPVEPLTLEEVADRCHCSDDIEMIHKIIAHMSANDRVLIAEGSCGSPRSIKVFLGECNVDDLYT >KZM99957 pep chromosome:ASM162521v1:3:470321:472421:1 gene:DCAR_008712 transcript:KZM99957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTFHQASGTLPSHGYFNKSSLHHKANNASIRLITNAFRVDIGLSRSGIYYSKKRNFGVIEATSSHTTVVNPVSTPLSKNNNGLNKKSNEAALILIRHGESLWNEKNLFTGCVDVPLSKKGVEEAIAAGKRISNIPVDLIYTSALIRAQMTAMLAMTEHRRKKVPIIMHNESEQAKAWSQIFSEETKKQCIPVVTAWQLNERMYGELQGLNKQETADRYGKEQVHVWRRSYDTPPPNGESLEMCAERAVAYFKEQIEPQLLSGKNVMIAAHGNSLRSIIMYLDKLTSEEVISLELSTGIPMLYISKEGEFIRRGSPAAPTEAGVYAYTKNLAKYRQKLDEMVN >KZN02370 pep chromosome:ASM162521v1:3:32700941:32705517:1 gene:DCAR_011124 transcript:KZN02370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESDQVKKAEESKTRGLVDIVFSWSFHDALNKNLYMGKVNKIPTTFFSVEEYKKSFMNPLIEETHADLLSNIMKVNHAPLFEIVDINMSEGFKPPRDLFYDIVLRKIGRKNENEENDELQMFDLIALTDIRPRYVADLNRPNWPYTIASVEGKSTTVNKVKIYEILSSKPIMLENLGKGGSKRVNLFSVHLTNLNTNIRIWKALNWRAANINIVRNLLQSDSTAGLSCSKCDVQEINSSISTKYGETIGSFKLDDSQEAAVVSCVATSRCFHHHSVKLLWGPPGTGKTKTVASLLFMLLRMKCRTLTCAPTNIAVVGVANRLMSLVREASVYGTYGLGDIVLFGNVVKMKIDDHKDLRDIFLTNRVLCYRIFLSPFTGWRSRVESIICLLEDPKKQYRMHLNGLDKEVAFLPPHKRLSDIWEKESDDDDSTGMGGELSESILTYEEFLNEKFSSVGKSLMACIENMYTHLPSSFISVEVAKKMIRVVELLQTIESLMRSGSPPFEGLDGFEDAGEMERRLLYGPVITCIELLKELRATVSVPNLKNKTQIRNFCLQNATLIFCTASSSIKLHSYAKPPMEMLVIDEAAQLKECESTIPLQLTGLRHAVLIGDEKQLPAMVQSKISAKADFGRSLYERLVHVGHKKHLLKVQYRMHPSISLFPNKQFYDNMILDGFNVKKKTHEKHLLEGKMFGTFSFINVPYGNEEFDNNHSLRNMAEVCVIAEIVARLFEESMLRKQRVSVGCISPYKAQVYAIQDKLGTKYRAGSNNSFSVSVSSVDGFQGSEADVIIISTVRSNANGTVGFLSNLQRANVALTRARHCLWILGNGSTLVNSGSVWKKLVIDAKSRACFYNVQEDKKLALALAGCLIEIGQLDSLVARSLLFPEGKWKVFLGEVFLKSMSTIKNEKVCSQVLSLLMRIANGLRMPREDQTISVMHVDVTLFQLSKNVARRILHLIWIVDVIKEDGNWVQVVKVLDVLPEYKIPELKQNLKILFEKYTTELKNRCNHRCIEGDTVLPMTWRMQSNVATKAVTYSPDQSQSIASQLAALSLGNNTDKATQSNRDAVLPMTWPMQSNVATKAESHSPDQSQSIASQVAGLRRGNETGKATQRGEEQDALYCKFVSGGFL >KZN02708 pep chromosome:ASM162521v1:3:36307537:36307782:-1 gene:DCAR_011463 transcript:KZN02708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSKSFPDYSGSYVEARFGFEDRSKSFSFNGHGPGDNPEGKRRKRVANYNMYTVEGRLKSSFRNSFKWIKNKFSPDGYYD >KZN02944 pep chromosome:ASM162521v1:3:39414998:39418966:1 gene:DCAR_011700 transcript:KZN02944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNYSLKVLNYQSPYCTCTGGVICRAGSVEYTISESLQPDNIPKVEDTIPVHEETEVFEPKNNYSKTLSGGLQSPVANVPKKSILERINSKKAAKSYQLGHHLALKWSTGAGPRIGCIADYPAEIRPWS >KZN00678 pep chromosome:ASM162521v1:3:7513484:7516186:-1 gene:DCAR_009432 transcript:KZN00678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGEDAKSLWPISSVFSAPLLADDSDTDSRKTGPLFFNPSLETLTQITIPPSLYSPAQSFSPFPHLSLSRFLETTKDASILPSTSSKLANFTLGRHDYQTPFRYNSLEMMKCSGSGRVLVFFPAGPNLDQVGFVLLSVEGGQVVVKYSGASSGLNHRISRLLVQKVKDCESGDFGFLLICTMYSVSWYVVRLGLDSDTPKLEFVGTRSFKSCAVVHACWSPHVPEECVVLLESGKLFLFDLESCCIGSDSLINKLTRKTLKVSWDNHLGGQGGGSWLSCEFSWHPRILVVAHSSAVFLVDLRSEGCLVKCLLRISMLAGGNSVKDNDQFVAFSRTGPDEFYYTVASNRFLFLCDVRAPLKPVLRWSHSIDTPAYITGVKLSKLRSNSKNDEYTWASENGYCILMGSFWNCEFSLFCYGQNTRTYNTRTYTEISRLCKSFYAWKLPSEFSLAACDCYCGSCLLREEFSKDALPEWIDWQQKKDIVLGFCILDEDPSVQLFEAENFGGFTVIKLTSSGNLELQRYSASHEAVNFSDKAHEECSILLKDFLICETGDEEYKFSKQLYFLKLDYLYGHLSDKLDKVLMSKKKDTSGNGPEVSLSSEFHQDICQKLKTCGINELRASSDMSSAVRDIVSPMSMLEIALMSMWSSLPPALLRISFVTYIDLSSITVSRKNLSLEFLNVPDQPQLPPFTFRNPSHCSNKWSHKMQLGNPLVGPAVPLHFLVTYNKLYIEAEADGESSNRLVELECNKVKQMVNKVVVRDAAYGNLGDDSEHVVSLSNDKDDLCNGSQDGDRFSIYEPVAFSSKISNVDPPTSSSSAFRNHNYSTFLSRCSPAEVCSSDKLDLTKPEAIDAICRPGVKFRDLPIDHLPAEFKYLLGQQKKFMNSFAAKRSSFRKRYL >KZN03283 pep chromosome:ASM162521v1:3:43159640:43164461:1 gene:DCAR_012039 transcript:KZN03283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTMGDERILSIYKASRSIKKRENSLYNALCSIFDDSVFVAEIAQLWPELPLVANLRCGLWYSKRFHHNCYFKSTDGHTNNLSFNTSRLNLHLALLAGQKGGCIIVDSTRKGKRFPDSMSKTIPIWACVMNRAISNHKNRMRGSVSVVEGTTNNHKENTEQDLLSWDCSLHLPLWVPPAERMAIENHLEEWTKQLETSGADITPLLSILKKPLRPLWISQKSVIWLNEVPDHESWDFTPLILVSASSSNGYLQQRTASEFSWNYIPGAGDDEESWARGLSPTLFWNNAIELISSGPDQCNQKVADIVEKDRVYRAQRGHNAPQISIKSLKSFGNIDNSHSEEILLDLKSTDTRSGENLSRDDSKVCWLGSSNIAVCSTQLAVNAFDVDSILNCDQEAFSACLKDQEACMHLPIVNSKFDRFSLLRNLPSAVSFANSQLEKGKKLLVCCNTGEDISICVCLAILTSLFTVEGKYNSIFEK >KZN03559 pep chromosome:ASM162521v1:3:45809948:45810296:1 gene:DCAR_012315 transcript:KZN03559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPPDNSSDEHVKAEFKITLKDQINMKHYIKRGTNWFGPSTLHSWGWGSFIPLKNLHDRAKGFIVEDCCKFEAQITLLCKTHLKPLDS >KZN02835 pep chromosome:ASM162521v1:3:37918824:37924587:-1 gene:DCAR_011591 transcript:KZN02835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSISGLNSMYDAANAGGDVWINENRYRIIRKLGEGGFAFVFLVKEILANAPHHVDVAVIKKSNKSSYISDDGTYAMKKVLIQSDEQLALVKDEIHNSSLFSHYNLLPLLDHAIISVKPTAEQTWKHEAYLLFPVHLDGTLFDNAKAMKAKKEFFSASDVLQIFRQICAGLQHMHNHDPPYVHNDVKPGNVLLTCKKGQPPLAVLMDFGSARLARRQIRSRSEALQLQEWAAEHCSAPFRAPELWDCPSQADFDERTDIWSLGCTLFAIMYGESPFEYALGESGGSLQLAIVNAQIKWPAGPNPPYPEALHQFVTWMLQPQASIRPCIDDIIVHVDKLVSKFSQ >KZN00454 pep chromosome:ASM162521v1:3:5414083:5415874:1 gene:DCAR_009208 transcript:KZN00454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNCLLFDHKIRTEEDSAWTLMRERKGWKEKKHDLPRFAVVAFCNISGPAWDSPLDPSDEEEHQGPLLCPEDANEDNKEREKILIAEDPLSKNSSDLTPANIITDEVCLGDPTPSKESGEARTNVSADADTQANVMKATTVKLEKITSGSITDQSYESYESKTPGKFNALHIVFQSLGPIDRSKMLSRVNTLTGQNEA >KZN01257 pep chromosome:ASM162521v1:3:13746877:13748640:-1 gene:DCAR_010011 transcript:KZN01257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDHLLSYRVNNTGVSYSSHPSIPTRLFGSLKFDTRNSPNSPFSNHFDCETNTILSDTQEQYSSTENHSLSGTENHSFSSSGASPSCNSSHENSNSFQRSHDSSPFFSGEISFVQDTNNGHNVHHALQNLETALMGPDEDEVTRPDSSWARNQGPHISGRRSITMSQDQMMPQIFQSQPLQASYGSTYGQGDRMRTEKRQRSVEDVSLQGYLPSNLKQLLVACARSLSENRVDDFDKLIETVRSAVSITGDPIQRLGAYMVEGLVARKEESGHNIYRALRCREPEGKDLLSYMHILYEICPYLKFGYMAANGAIAEACRNEERIHIIDFQIAQGTQWMTLLQALAARPKGAPYVRITGIDDPVSKYARGDSVEAVGRRLQALSEKFNIPVEFHAMPVFAPDVTKDMLGVRPGEALVVNFPLQLHHTPDESVDVNNPRDGLLRTIKSLSPKVVTLVEQESNTNTAPFLPRFVEALEYYAAMFESIDVSLPRDRKERINVEQHCLARDIVNVIACEGKQRVERHELLGKWKSRLTMAGFKQFPLSPYVNSVIRGLLKSYSEHYKLEEIDGAMLLGWKDRNLISASAWH >KZN01822 pep chromosome:ASM162521v1:3:25742767:25746444:1 gene:DCAR_010576 transcript:KZN01822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENVAADSTNRSDSAIQDSAMDHSKSNNFKSTNGNVDHTDFKQFDKVAYQTNGNGGAHQANVKVKKEGDYKDDEMRDLEEMLSKLNPMAEEFVPPSLVNVNFKEQPLIHHGLPQYGYVADAAENGFVLQANSPVSVNGNSTRRKKNGYSHAKRRMNSRTSMAQREEIIRRTVYVSDIDQQVTEEQLAALFISCGQVIPCFIELIAKEEMIADSYTLTKVVDCRVCGDPNSVLRFAFIEFMDEEGARNALGMAGTVLGFYPVRGLPSKTAIAPVNPTFLPRSEDEREMCSRTIYCTNIDKKVTQADVKLFFETFCGEVYRLRLLGDYHHSTRIAFVEFVMAESAILALNYSGAVMGSLPIRVSPSKTPVRPRAPRGPM >KZN03758 pep chromosome:ASM162521v1:3:47851911:47852066:1 gene:DCAR_012514 transcript:KZN03758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFLVDSYNKPIPAILEIPSKDHPYDPAHDSVLSRVKYLFSSESVASERR >KZN03295 pep chromosome:ASM162521v1:3:43298472:43299227:-1 gene:DCAR_012051 transcript:KZN03295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPTAEQTNSGIESNNTSFASSSPSQSTSSSTTKRKSSKSPDPNPKPSKKTRVTDTSNTSNGSKHPIYTGVRMRTWGRWVSEIREPKKKSRIWLGTFTNPEMAARAHDVAALSIKGNSAILNFPELVHHFPRPVTCSPRDIQEAALKAAAMEHLDPTSPSITSSETTEESPEELEEILKLPSLGTSYEWSESNYVYDQAWLHSPNWLQGLEDFAFEEQIAAGGILLPENISTSNSNFIPTSFDTLLWQH >KZN00268 pep chromosome:ASM162521v1:3:3544861:3545010:1 gene:DCAR_009022 transcript:KZN00268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELNSNPANSSPFTPLSFLERAAAVYGDTPSLIYNQTTYTWSGLQYIR >KZN01335 pep chromosome:ASM162521v1:3:14583581:14586097:-1 gene:DCAR_010089 transcript:KZN01335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYLSQEDQDQPASIPLYETKLSFLKVLEVQQPLKHSLSLDAVLISQKQVNRYMEDADVFYPLNADVDLEKGRSEKPKINVEPATFVKNENPVTKTMQRQLSLSTGEKVMQMLMDNNHLSPKFSFREKIVPESIADMASDRLRKYKRSASFNSRKVVLLFSVMSCLGTIILIMLTFRVRQMADGSYHG >KZN02917 pep chromosome:ASM162521v1:3:38998163:38999993:1 gene:DCAR_011673 transcript:KZN02917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGCNVRCWDELLPDALGLIFDKLSLQEVLTVVPRVCKSWGRAVMGPYCWQQIDIEEWSRYQPPENIDRMLQMLIRRSCGSLRKLCVTGLSTDRMYSFISVHAKTLRTLRIPRSTISDSMMKQVAGKFSSITYLDLSYCIHIGAPGLEVIGKHCQFLSKLRRIMHPLEVIGKISQDDEALAIAATMPQLEHLEIAYLLVSTYSVVEILTNCRLLKLLDVRGCWNVSLDTKLVHNFPGLEVVGPLVVDHSPDVSSWEGCSDYSTCSGYLAWNFVAGDADNYRDGISEDEHSIDNVEMWFYNGLDAQDAGFDWPQSP >KZN01051 pep chromosome:ASM162521v1:3:11173925:11174113:-1 gene:DCAR_009805 transcript:KZN01051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSKILCLHGGVDSSARLEEQLQKWPSDVLERMDLVFIDAPFRVDNEDFPAFTWFDGQVPS >KZN03396 pep chromosome:ASM162521v1:3:44262318:44265820:1 gene:DCAR_012152 transcript:KZN03396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCCKFSVCWWHSPLKPSLLQPSSDTEKDEKSALPIFKEFSLEELKAATAGFSTDNIVSEHGEKAPNVVYKGRLPDDCWIAVKRFTRAAWPDSHQFIEEARLVGKLRSERLANLIGFCSDGHERLLVAEFMPNDTLAKHLFHWESQPMKWAMRLRVALYLAQALECCSSNGRALYHDLNAYRVLFDQDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTQESVIYSFGTLMLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFTNDDGTELMRLATRCLQYEARERPNTKSIVTALISLQKETEVPSRELMCISNEDANSSEPLLLTPMGEACLRIDLTAIHEILDKIGYKDDAGIANEETLNSKKQGDSAFRAKDFTNAIECYTQFIDGGTMVSPTVFARRCLSYLMTDKPQQALGDAMQAQVVSPEWSTAFYLQAATLFKLGMDTDAQESLKEATNLESKRNKK >KZN01349 pep chromosome:ASM162521v1:3:14724682:14725729:1 gene:DCAR_010103 transcript:KZN01349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNEKQLHLHLLIYLGNVGLLTRTLRAALGVLPFVVALIAYHGGELIPTFGTIIESYDNTIIVLTSANLLRRHTSRKLGENVLADNLKVYAHSFDGHSYTVGEVCAHDFHYNLVVLKFISKTPSLPHLQPAKFVKLAHEDDDLVDTYLSGTRVILQRGQGWMILSDLFSFVHIRGYLSNCLAPGMELLFWGGISPNHFNQWSLQGNIG >KZN01301 pep chromosome:ASM162521v1:3:14213082:14218250:-1 gene:DCAR_010055 transcript:KZN01301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPKSKKLRLQKRLSEVDEINLLDSWINFGKPDPGSNPLSVPSLPNSAPIGKIDENTYSQYAGSKKFNQLPLSQKTKDGLRDSKYTKMTDIQRASLPHSLAGRDILGAAKTGSGKTLAFIIPVLEKLYKQRWGPQDGVGSIIISPTRELASQLFEVLKSVGKHHGFSAGLLIGGRKDVDMEKEHVNDLNILVCTPGRLLQHMDETPNFECSQLQVLVLDEADRILDVGFKKVINAIVSQLPKHRQTFLFSATQTKSVQDLARLSLKDPEYISVHEESVTATPSRLHQTAMIVPLDQKLDMLWSFVKAHLNSRILVFLSSCKQVKFVFEAFKKLRPGIPLKCLHGRMKQEKRMGIYSQFCEKRSILFSTDVASRGLDFNKAVDWVVQVDCPDDVASYIHRVGRTARYQSAGRSVLFLMPTEMKMLEKLQEKKIPVQFIKANTKRLQPVSGLLAALLVKYKDLQSLAQRAFITYLRSIYKQRDKEVFDVMKLPIDEFSASLGLPMTPKIRFLNQKSMGLKEQKEPSLPPEVPIRENLLELSTKSSDRSVDDEEEDSFLVKKQSPDVEHGKESSSGNILPVTRIGKKKKLKINIHRPAGTRVVFDEEGSTLPPLATIADMSGGGSPVMDKEKVNKRYAELREEMKVQDKEDKFLDRQRRKEKRIKAKNKLKRGAEEDEDDVEGDDLSESDRAAGQKASKRSKIYFDSDNEDSESKGGRNNIGFPADSISLAQQEELALKLLSSMNG >KZN00096 pep chromosome:ASM162521v1:3:1892642:1892960:-1 gene:DCAR_008850 transcript:KZN00096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGKKMNHGTQNCGSQDLENQLSSSNVWYTDEGQSQQPFLQFSLLLLQKHRFLISAMKKLLSVKTDKHTGR >KZN03326 pep chromosome:ASM162521v1:3:43622571:43628533:-1 gene:DCAR_012082 transcript:KZN03326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLGQFTIISTLLLFLQHHVAVSSPSGATTHLSPNMQKLALFQIKRSLSINACDHVICEEEGLPSYPKTMDWSMNSDCCKWDGVTCNQTTGDVIGLDLSCSCLVGSIPSNSTLFQLSYLQNLNFRANDLNGILPERIFHLPNLKQLNADGNFNLTTILPKIKWGSSSSLQMLSLQETTISGGIPHSVGYLKSLTIFSLSSCKITGLIPKLIGNLTQLTELDFDFNDFSGTIPDSFANLQNLKYLSLSDNKISGQFPTWVANLTQLKLLDLSGNLLSRPLPSNLTARCLPELMLLSLSYNLFNGTIPSWLFDLPSLTRLFVDHNSFTGQLNEFKSSTLRTFTCANNLLSGSIPILLSKLASLISLDISYNNFSGVVKTTTLNPDILDLRLASCKIIKIPHFIKSLEKLQYLDLSNNQIVGEVPQWLWKGSLSELNLSYNYFNGGLQHLPENRLIFLDLQHNKLKGSLPASICNSSSLYVLNLSHNNLSGVLPACPRSSNYSLSVLDLRMNRIRGSLPSSLSNFRELKSLNLYGNEVEGTIPLSFAKFGNLEVLDLGSNRINDTFPQWLEVLPRLQVLVLKSNKFHGTINSISTIQHSFPSLRIIDLSNNEFSGPLPAKYFENFKGMMNGDANKMGSIYMGNSYYRDRVKMVIKGVDIEVLNILTVFTTIDLSKNKFEGQIPEFIGNLKSLRLLNFSHNHLSGHIPSMMGKLLMLESLDLSFNRLVGVIPQELAGIYTLSRLNLSHNDLNGHIPDGTQFQTFENDSYVGNLALCGPPLSKNCTREYIEMQDEEDEDADYFFSGFTWEAVVIGYGSGVVVGFAVGYIMFRTRDPKWITGIIVRKIGLKQAVSSPSATTTHLCSDVQKLALFQFKLSLSIEACASTHCDISHPKTMSWTMSSDCCSWDGVTCNQLTGDVIGLDLSCSCLGGSILPNSTLFQLSYLQFLNFRANNISGVLPQGIFHLHNLKQLRVDYNSNLTISLPEVKWESSSSLQILSLSETTFSGGIPDSIGYLNSLSSLSLRKCKFSGLIPRFIGNLTRLTTLDLSSNNFSGPIPDSFANLQNLTYLFLDINNLVGQFPSWVANLRQLVGLDLSDNGLDLSDNSLRGPLPSNLTALQLPQLTALYLSNNSLNGTIPSWLFDLPSLAELSLDHNDFTGQIKEFNSSRSPLRSFSCANNLLNGNIPLSLSTLVNLIDLELSSNNFPGFLDVDMFSHLYTLDLSHNGLSLRITNMTKFNPNLESLGLASCNIKKFPHFIKSLEHLQDLDLSNNLIDGEIPQWIWKDSLNDLDLSHNFLTGGLHHLLQNRLFFLKLQYNTLSGSLPDSICNSSSLEILNLSHNNFTGTVPPSFAKFDHLEVLDLGSNQLNGAFPQWLEYLKNLQVLILKSNKFHGMINNSSKIEHPFPSLRIIDLSDNMFSGPLPAKYIKNFKAMMDGEVNRKERSYMGNSYYSDTIITVIKGVETEYVKILTVFTTIDLSRNKFEGQIPEYIGNLKSLINLNLSQNHLTGHIPSLIGNLLLLESLDFSFNRLTGVIPQQLTSIYTLSRLNLSHNDLSGRIPEGAQFYTFQNDSYAGNLALCGQPLSIKCERETNEMQEEEDEGDDYFFNGFTWEAVVIGYGSGVVLGFVVGYIMFMVGKPKWFMGIIARELGLKVRRLEIKRFA >KZN03886 pep chromosome:ASM162521v1:3:49652545:49655632:1 gene:DCAR_012642 transcript:KZN03886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHQQQQLSLAKCSRQQQRYSEWIFRDVPSDITIEVNGGAFALHKFPLVSHSGRIRKLVAEHRDPDISRIELLSLPGGAESFELAAKFCYGINFEITPANVAQLLCVSDYLEMVEGFSNNNLGSRAEEYLESIVCKNLEMCVEVLQQCESLLPLADELNIVSRCIDAIASKACVEQIASSFSRLEYSSSGRLHMNRQAKCEGDWWIDDLSVLRIDLYQRVIAAMKCRGVRPESIGASLINYAQKELTKKSTFWSSTNPPKADLVAGSTAHERLVVETIVSLLPAEKPAIPITFLFGLLRSAVMLDCSVASRLDLERRIGSQLDIATLDDLLIPSFHHSSDTLFDVDTVHRILVSFSQQDDSEDDMEDGSLFESDGPDSPSQTAFVKVSKLVDNYLSEIAPDANLKLNKFIAIAETLPAHARTVHDGLYRAIDVYLKKLSQEAGAHAAQNERLPLQSMVQVLYFEQLRLRNALSCSYPEDDHKPVHNSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKDHVCMKRHMEKSSSYNFMSSFSRKFSRLNFFANSSSRGSSSPSKNSQRTNSKVTERTVPSIL >KZN02984 pep chromosome:ASM162521v1:3:39851217:39853762:1 gene:DCAR_011740 transcript:KZN02984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAIGVTEVVLAINYQPEITISRETEPLGTAGPLALAREKLVDGSGEPFFVLNSDVISEYPLQQMIAFHKSHGGEASIMVTKVDEPSKYGVVVMEEASGQVERFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAGEKKLFAMVLPGFWMDVGQPKDYITGLSLYLDSLRKRNSFKLATGPHIIGNVLVDESARIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVHLKKHACISSSIIGWHSTVGQWSRVENMTILGETCSCL >KZN02095 pep chromosome:ASM162521v1:3:29506666:29506932:-1 gene:DCAR_010849 transcript:KZN02095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKISYCCVMMIAISMMIMICQPSVVHSRMLLDSTTSATKEAAVSKNTSEITANTKVPNPTKDLLAGDGQVYTLASGPSRRGAGHK >KZN02715 pep chromosome:ASM162521v1:3:36419968:36425455:-1 gene:DCAR_011470 transcript:KZN02715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGRLIAGSHIRNEFVCINADDITRRGHFRPSAKLWGGRVWPKTEQFVQELGHDPKIVDKIVLICNMLDLHSYSSSELNSAKALSGHECQICGEMIEITVDMELFVACNECAFPVCRMCYEYERREGNQTCPQCKTRYKRIKGCPRVVGDDDEDDDIDDLDHEFDMGKTDALDPSKLAAAMLFARRNHGYGSVTNGSQASSSGLSTCSEHEFNQLPEIPLLTYNEEDDEIMSNHHALIVSPSTGVHSLPYAGSSVALQPRPMVPKKDTALYGYGSVAWRNRLEHWKKEQSDRLQVMKQHSNHGGDIIEIDVNNPDFPLLLHPVHDAYALWMTSVICEIWFALSWILDQFPKWYPIERETYLERLSLRYEKEGKPSELASIDIFVSTVDPLKEPPLITANTVLSILAVDYPVEKVACYVSDDGAAMLTFEALSETSEFATKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKIYPGFARERRAMKREYEEFKVRINSLVSTAQKVPDDGWTMQDGTPWPGNNVRGHPGMIQVRVSAILSNAPFVLNVDCDHYINNSKALREAMCFMMDPTVGKKICYVQFPQRFDGIDLHDRYSNRNVLFFDINMKGLDGLQGPIYVGTGCVFRRRALYGKEKKIEQKEKKDKLKHRDMSKQIHSLETIEEGYEGFDTEFSASTSQSKLEEKFGRSSTFIVSTLLEDGGTRDESSEVFLKEAIHVICCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFLSKHCPIWYGYGGGLKWLERLSYINSVVYPWTSIPLIVYCTLPAICLLTGKFIVPEISNYASIVFLALFMSIAATGILEMQWGGVQIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLGGVSTNFTVTSKGGDDGEYSELYVFKWTSLLIPPTTLLIINLVGVVVGISDAINNGYDSWGPLFGRLFFAFWVIVHLYPFLKGLLGKQDRMPTIVMVWSILLASILTLMWVRVNPFVSTDGPVLEVCGLDCD >KZN01994 pep chromosome:ASM162521v1:3:27932215:27932511:1 gene:DCAR_010748 transcript:KZN01994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDIPAICMPGASYRHAESINDAISQISQNSIPIILIDNTERHNNHKYNNVESPKNWVLNQHTPKGETGKHNRTFAFKRSDLFRKQSSPSSNPKQIRE >KZN02466 pep chromosome:ASM162521v1:3:33690801:33693594:-1 gene:DCAR_011220 transcript:KZN02466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTEFEDLFPEKKRVKNPFVPIGALLTAGVLTAGLISFRQGNSQLGQKLMRARVVVQGATVALMVGTAYYYGEKF >KZN02634 pep chromosome:ASM162521v1:3:35364307:35373930:-1 gene:DCAR_011388 transcript:KZN02634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRSDVSSKTNDKVAVISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPPVDAILAGIRAVTGPMGCLLIVKNYTGDRLNFGLASEQAKSEGYKVEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAAASGLSLADVAAEAKHASEMVGTMGVALSVCTLPGQVASDRLGPGKMELGLGIHGEPGAAVTDVQPVDSLVSHVLNQILSQETNYLPIKRGSRVILMINGMGATPVMELMIIAGKAIPKVQLEHGLAVDRVYTGSFMTSLDMAGFSVSIMKVDQAILQRLDAKTKAPHWPVGVDGNHPPAKIPVPVPPSRSAITDETLSRPQQLNQQGRILEAAIEAAASAVIDIKDNLNDWDSKSGDGDCGSTMCKGAVAILDDLKKHYPLNDAAETINEIGSSIRRVMGGTSGIIYDIFCKAAYSNLKARSESVITPLSWADALESAIAAISKYGGASAGYRTMLDALIPAASVLKERLTAGDDPVDCFVLSSEAALSGAEDTKNMKAQAGRSSYVPAEILASVPDPGAMAAAAWYRAAALAVKDMN >KZN00847 pep chromosome:ASM162521v1:3:9223563:9224735:-1 gene:DCAR_009601 transcript:KZN00847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSVLPVKIGILGCAEIARKLSRAIHLVPEDVCISAIGSRSESKAIQFAAANGFPVSAKVYGSYDAVLEDPDVDAIYMPLPTSLHLKWAVLAAQKQKHLLVEKPVAMHVDELDAILEACDDNGVQYMDGTMLQHHPRSAKMREYLDDAEHFGQLRSIISCFTFAASPDYLANNIRTKPDLDGLGALGDIGWHCIRSILWAADFELPKFAIALPGPVLNKAGVIISCGASLHWEDGKTATLHCSFLENLTMDVTLVGTKGTLHMHDFNIPFEESRATFSTGTKLGFNDLSTGWSLKHTEHTVTADLPQECLMLKEFSRLVANIKSNGAKPEKKWATYSRKTQLTMDAIKESVYNGFQKVEIAG >KZN02614 pep chromosome:ASM162521v1:3:35141445:35142821:-1 gene:DCAR_011368 transcript:KZN02614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARPRIESRVRLWRKQYFAIEEMRGPNCSGFGWNELDKSITCDKSIFEDWLKSHPNAKGLRNKSFPYYDELSQVFGKDRANGECVESPADAAEEIANEEENTPQQSEHRKENTEDEVSPRIDVQSADTNSRANKRLKADSLEIVKELTFGLQKISNVMETGNENIAKLTSCFQHESDGAKKRGLVNSELMKVAGLTAEEIIKAGRKIALDPLETDHFFSLPEDFRSTYVQALLLPD >KZN03378 pep chromosome:ASM162521v1:3:44160261:44168275:1 gene:DCAR_012134 transcript:KZN03378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSNKSVRVIGDYLVGKQIGSGSFSVVWHGKHRVNHTEVAIKEIVTGRLTKKLEESLLSEIRILRHINHPNIIHLYDMIQEPGKIYFVLEFCKGGDLSMFLHKRKGRITESTAKHFMLQLASGLKILRDNDLIHRDLKPQNLLLSTPGDDSVLKIADFGFARSLEPRNLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGNNQIQLLQNIMRSTELAFPPHTTGLSLECIDLCRKLLRPNPVERLTFEEFFNHPFLSQKLSDKSLRSLTSVGKGDFPTNKTNPDLRTNKNSKDQLPSSPEDKPTGPERSLTHINKSPLRSTYGFSVDTRAEKKNVQNFSSNTDLSIKDTCDRQKPELLAYNFSNSNPSEGNIEKSLKTVDHQTVIVPSTVLDSFELIAQEYVMVSNPPLDMSSSDCASELNHVPSKVKHPLLGGNINLKPNIPVTIIAATTNESCHGNMGNHGSAPSGTSIGSFCVRESSGLPSTDYVSRIKLLQNCASTITQLVHEKVESGKHLEAFSVQLVILAIWKQALHICHTQAASAIEGSPTQETVRELASNDHATPNSREHLISANWQNPQDVYSHIEGAFLLEVGNAEELAKLIEPGNQEMPDAMETIYQSALDSGRHGAVHEFNGNTEDAAEFYSKALCLLNFLLLEGPSLIINHPFSLTNSDRYRLQTYIDVLNNRQNHSRSQRMVLLNCEDQQSPP >KZN02450 pep chromosome:ASM162521v1:3:33577339:33579284:1 gene:DCAR_011204 transcript:KZN02450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAESLRNKCRACYRQFNKMEHLVEHMRTSYHSGHEPMCGICKKHCRSHESLREHLIGPLPKVECERIFKERGCHICLDIFSSRNALKAHLNSCQLSRTNVNGLTYRMANLGIFDDMRNHENGGGRGGRVVALACKMVGGGSDGSLDLCARVCLIDEYENTIFHTYVRPHLPVTHYRFETTGIRPEMLKDAMGVRQVQKKIQDFLSNGEPLWKIRPKGGKARILVGHGLDHDLKCLEVDFPGMMIRDTAKYPPLMKTSKLSNSLKYLAKAYLGYDIQTGIQDPYEDCVATMRLYKRMKYQRHKIEDFPQVTDPHRNNFASWRQNELERMSPDDLLALSRSDYYCWCLDSRDI >KZN00019 pep chromosome:ASM162521v1:3:1131936:1133551:-1 gene:DCAR_008773 transcript:KZN00019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNWEGESPASSAAAGGSGGGGRRKPSWRERENNKRRERRRRAIAAKIYAGLRAQGNFNLPKHCDNNEVLKALCARAGWVVESDGTTYRKGSRPPSLDIGSMDIGGTSTHTTPCSSRWPSPPSSAFQSPIPSYQPSPSSSSFPSPSRLDAQMSSNPFSFMRYSNPSSLPPLRISNSCPVTPPLSSSTTGISMQNFNWESIAKQSASLSFPFLAASAPSSPTRGQRVPPATIPECDESDSSTVDSERWMNFKTCAPPLVPTSPTFNLVKPATQNISSQNALSDKGKGIDFEFEKEPVKAWEGERIHEVGLDDLELTLGSGGMQ >KZN01697 pep chromosome:ASM162521v1:3:23239734:23240519:-1 gene:DCAR_010451 transcript:KZN01697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVKQKFSRMFRSSLDSCRSKSRSDVSEQPFFFPENRFHHHQLIQLFSPKPLPARPLPPFRAPKSPQTTGPTSPLPPPTTTTTNTLFKHCKPRHKHRRRRSQSRKTRQKNNDKFDQFFTSVAANYYGCYSSDDEKEEENASKYDDDTTFFSSKSMSSDSSLAMEKSSTKQQWDNFDDDDDDELTAKIHADSFAVIKRSSDPYSDFRTSMVEMIVEKQIFGSKDLENLLHCFLSLNSLIHHRVIIEVFTEIWETLFSDWD >KZN03695 pep chromosome:ASM162521v1:3:46998458:47003410:1 gene:DCAR_012451 transcript:KZN03695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATWHQASSSDDTEFEREKHVYYMEMMYNLMPSHYSGQEINRLTLAYFIDREGVISWVLSLQAHPQNEADLDSGQFFGFHGSRSSQFEANDNGASIPNGSHLASTYCALAILRTVGYDLSSMDSRSILKSIKNLQQPDGSFMPTHIGAETDLRFVYCATAICSMLEDWSDMDREKAKKYIISCQSYDGGFGLVPGSESHGGATYCALASLLLMGFIEDDLISKSASSCVIDVPLLLDWSLQRQACDGGFQGRANKDSDTCYAFWVGGVLKIIGAHKFIDQRASRDFLLTCQSQLIMLISSIARFYGNASDTSLEFIAVS >KZN01638 pep chromosome:ASM162521v1:3:22136910:22139498:-1 gene:DCAR_010392 transcript:KZN01638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGSSGRHPGIVSGGSLLKKKVRGSVIGKSPGEVTTPLRRLDIRRERMEADQMRQNASDEEEDDFMTPEECFNSSGKKSAGQAGGKAGCSGLAAKSRVSTASIVKRLRIKHMQNSSDGRDVSAASKPDMPRVRSTSSNIPEARRRARRCIANRAQLAVRSTPPKQEQEKKKRNYEGYLQKKFTPSIITEVLLTLSNAQIEWVKKAGFGLLLDFRMRTYQHRLGYKIVDSFCSRACGLRLKARDVLITDNLVHKIIGLPLGDMDIELKEGKIAKTDWDNQYEGKSISPFMVMNAIKKSRRADYNFKMNFLVLVYNFFIEANQNRWISRKMLSFGGNVDECGQYNWCKLLIDKLHKTHAFWAEHKWRNFAGPLAFLICNDFFFAAPVLLCYLSPEQQFNAEEYYFSRIFELDRRHPAREREKNETDEDKFGVGSLVLIEDEVQDVDKHVGSRGTSNTATEDVEKHVGPRGTSNTETESISRELSMKEMVVPDSVSGDSGEEFEGGCQGMDVDRGCYNTGNTDTEQVQQVG >KZN02751 pep chromosome:ASM162521v1:3:36890443:36891085:1 gene:DCAR_011506 transcript:KZN02751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFLLLALCILPAIATARIISSPFEVQGRVYCDTCRAKVRIECKGPDATGIKFTAEGVTDSTGTYKISVSYDRGDDICDAVLVSSPDPFCSAPNRGRDRARVILTGANGLTSNIRFANNMGFLRKQSLAGCTQLLKMYLDTDE >KZN02806 pep chromosome:ASM162521v1:3:37534236:37538457:1 gene:DCAR_011562 transcript:KZN02806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLCMRLLAKKKPSTNLPCYTSKANPIHPQNAMVSHFSTTNSGFNFYGLLANQFSRKSSALFKAPAEGLVHFKRVFSRSYFLQKKSGLNVSSFKFFSQGRRWIQRLDSDHVVVGLIAANVVVFLLWRSADPRFMANNFMISLDNFKSGRVHTMITSAFSHMDAGHIISNMIGLYFFGNSIGSAFGPEFLLKLYLAGALAGSVFYLVYHAFLIPSKSNQVSGRSPSSIPGLGASGAVNAIMLLDIFLNPKKTIFFEMIIPVPAILVGIFLIGKDMLRIIEV >KZN01087 pep chromosome:ASM162521v1:3:11522877:11527076:-1 gene:DCAR_009841 transcript:KZN01087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETLASSEIPVVKVVDEVVTNADSIKESEKKKEEYDSTIDGEFIKVEKEALADQKPIVIERSSSNSSSSRELLEAQEKIKETEVELVRLTEALKDSELENKNLNDELLLAKEKLESSDKSYNELELDNKKLQQSISEAEERYTLQLSTLQEALQAEQMKQKELSGVKESFDNLQSELEDTKKNMLEVEQQLQLSAGEAQKFEELHKQSGSLAESETKKALEFEGLLEMAKASAKEVEDQMVSLQEELKGLYTKIAENEKVEEALKVTTAELCTVQGEVELSKSQLVETENRLALKESLVNELTQELELRKASEAQVKEDYLAVENLLSSTKENLQIKVSELDDIKLKLNEEVCAKELLEVSLKNQESEVLMLKEEFKKVTTEKEALEAALADLNNNLATTKELCNDLEAKLQVSDENFGKADSLLSQTLANSKELEQKLKSLEELHHESGYAATTATQKNVELEEVLQASKAAAEEAKSQLRELETRFIAAEQKNLELGQQQNLLELKCNDAEREVKDYLEKISELSALLKTGEEVNNELTEQKQGYEGKISLLESELSSLSARYSELESELKSAIEKCTEHEEKANSTTQRGLELEDLIQSSHSKVEDAEKKVNQLELLLETEKYKIQELEGQIGTLENKCREAEETSKIHFDKASKLETEIEGLQLKLSSLEAALQLANEKEIELTESLKITTEEKLNLGDALKNSTEKLVENENILDILRNELNLTKGRLESIECDLKASGMRETEVMEKLKFAEEQIEKHGSALELATARSLELESLHSTVTKDSELKLQEAIASFTSRDSEAKSLHDKVQALEDQVEIYKEQVTEAAEKSASMKEEFNQIEIKLASLESTNEELKKKVVEAEDRAAQSFSENELLVQTNTELKNKIYNELQGLLDSANAEKEAISQQVLAHVNTIAELNEAQAKASELHSATSTIVEAHKAELDQALAKLIALESKYAQLEKENEGLAEVNLKLTQELAVCESRLNDLQTKLSATSSEKEEAFKQLQSSQTVLEELKAQQILDGDKLQSQISSLVEEKNHLAVTNEEAKKELQTVILQLEGQLKDNKSQEDALKTEIDTLKAEIAEKFVPKDSLKKLEEQIAKAEARTKEENVGAGGNESKDGVEVKSRDIGSLVSTPSKRKSKKKLEATSSQISSADTQTQTSGDSSGLSFNIILGVALVSVIIGVILGKRY >KZN01718 pep chromosome:ASM162521v1:3:23817561:23825764:-1 gene:DCAR_010472 transcript:KZN01718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRTLVTKKSVRSSFGQIRALQTTAFTLPDLDYDYGALEPAISGEIMKLHHQKHHQTYVTNYNKALEQLDAAVSKSDSASHINHSIFWKNLAPATKGGGEPPKGSFGGAIDSQFGTLEALVQKMNAEGAALQGSGWVWLGLDKELKRLVVETTANQDPLVSNGANLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKFAGEVYEKECPST >KZN01279 pep chromosome:ASM162521v1:3:14072204:14073748:1 gene:DCAR_010033 transcript:KZN01279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLKQSHIGINGSDFFRRKNPVNHRRLCHLAPLSGKSCVVAATKPNLSSVGSSINCFGSINRKLQEDQKVSSSLITCKAYEADKPIDGKTETSIEAARKVKIGIYFATWWALNVVFNIYNKKVLNAFPFPWLTSTLSLAAGSLIMLVSWALRVVEAPKTDMEFWKTLLPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFILGETFPMPVYLSLLPIIGGCGLAALTELNFNMIGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSILSLLILTPFAIAVEGPQMWALGWKEAISQIGPNFVW >KZN01850 pep chromosome:ASM162521v1:3:26083406:26087098:1 gene:DCAR_010604 transcript:KZN01850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDDVGEDDEPLSPAARLFQSSQLNCSIVIVLGSHTFIDVDAVKSGFLNSMAKHPRFSSLFVTDDKNGGKMSWRRTKVDIHKHVIAPELDPSMELADSFVEDYVSNLTNTGLDLTKPLWELHVLNVKTSDANATAVLKLHHSIGDGISIMSLFLASSRKASDPESMPSLPKKKRPGGFWSNCHGFWGVLFTVWTMILMVFNTLVDVMNFAATALFLKDTDTPLKGSAEDIGLSRKRIVHRIVSLDDIKMVKSAMNTTINDVLLGITMAGLSRYLNGKYATRSYQNERDQDRASKKGNHLPANIRFRSTLLYNLRPSTGIESLAEMMEKESKVRWGNLIGYVLLPITIALPDDLLDYVRKAKAIVDRKKLSFEALCSFSVGRVILELFGLKRTSYVMHKIISNTTMSFSNVVGPQEEISFYGHHLSYIAPSVYGQPQALTVHWQSYADKVILVLAVDPDVIPDYNNLCTDFEASLKLIKDAVIQSGATVRVQAKTGHKQD >KZN03361 pep chromosome:ASM162521v1:3:44010861:44011397:-1 gene:DCAR_012117 transcript:KZN03361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRTDIVNQRRMVWSGSDGQEEIGEVRSYKCNFCERGFSNAQALGGHMNIHRKDRARMKEFSDVEIPSSTVVVSHQVPSPDYRSFLQSVASDRHDHLRNCANKRPLIFVDQDDEDSRRRTGGIKEGMQLPLFLESSSTSTQLRSRAFVDGYVEKTIQRSSSLELDLELRLGPERHD >KZN03211 pep chromosome:ASM162521v1:3:42499041:42502161:-1 gene:DCAR_011967 transcript:KZN03211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIFQLSGDARVAFLHQISQTFGCTYICLWTYLPLPSNCLVFTDGIHHEETNQSGLSSGITRAMRLFSEYRKSVIMIDNGRVPGLAFKNNLPYIEIKENDLQAVASTQTQLQFYQEARIKTAIYMGCKSGEIELGMSINNQVNIELEMRTLFPDDFLRQAIPRELSQPNDQNPHSSSSSSMRSLSIDSPEGTPSNFLYNISNNTSTSYNISEPPSLPSSQAFMGQIRSVHQFPAAIDREDAAMTHAMLAVISSSSPASSTSSHQPLQNASAFKSYRSALSTTSQITSRVHRQNMLKRAIAFCRSNANLMPSSQEQTIQYANRPTSNQMHHMISERKRREKLNESFQALRTLLPPGSKKDKASLLSNTTEYITTLKAEVEELTRKNQTLEAQILQGSTNDQDLLMASAFLADQSHHVWISNSSESTSEGRNLSVIVKGDYCSMLDLSIQVVEFLKQLRYVSLLSLQADMQMVEATSLIRLVFRLKIEEGSKWDEMGFQEAVKNVVADIAR >KZN02263 pep chromosome:ASM162521v1:3:31430121:31440235:-1 gene:DCAR_011017 transcript:KZN02263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSKSSSVPQPDVILDWLHKEMGYRPLGPYIASSKASMPSADSLRRILRGNMIPVWNFLLKRVKSEKTVENIRRNILVHGSEGIEVETVKRSRDRRKETATSSNLGESSREAALQERDAAEKEVERLRQVVRRQRKELKARMLEVSKEEAERKRMLDERSNYRHKQVMLESYDQQCDEAAKIFAEYHKRLRYYVNQARDAQRSSSDSVDLATSIHTGSEKDSVYSTVRGSKSTDDIILIETARERNIRKACESIVEQMIEKIRSSFPAYEGCGIHANSQAEAAKLGFEFDGEIPEEVRDVIISCSKSPQQLLLAITSYTERLKSMITREIEKIDVRADAETLRYKYENNRVMDASSSDISSPLQYQLYGNGNGNGNIGGDVPLRGTQNQLLERQKAHVQQFVATEDSLNKAAEARSMCQKLLKRLLGSNDVVSSHTVIGGTSQNMSSLRQLELEVWSKERETAGLRASLNTLISEVQRLNKLCAERKEAEDSLRKKWKKIEEFDARRLELESIYTALLKANMDAANFWSQQPLAAREYASDTIVPACTVVMNISNDAKDLIEREVSAFYQSPDNSLYMLPSTPQALLESMGASGSTGPEAVAVAEKNAVVLTARAGARDPSAIPSICRVSAALQYPAGLEDAGLASILESMEFCLKLRGSEACVLEDLAKAINLVHIRRDLVESGHSILNHAYHVQQEYERTTSFCLNLAAEQEKTVTEKWLNELKTGVINAQKCQEDCKYIGGLLDEWWEQPASTVVDWITVDGQNVAAWHNHVKQLLTFYDKELL >KZN02853 pep chromosome:ASM162521v1:3:38064065:38069363:1 gene:DCAR_011609 transcript:KZN02853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASWEHFGEIANVAQLTGLDAVRLIGLIVKAAATARMHKKNCRQFAMHLKLVGNLLEQLKISELKKYPETREPLEYLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRRAQNEIDQYLKIIPLITLVDNARVRERLEVIHMDRCEYTLDEEDKRIQDVIMKPEPSKHDTVVLKKTLSCSYPNMPFKEAIKKENEKLHLELQHSQAHLDVSQCEVIQHLIDVTEAVAVSSPPEKNLPVKKSMMLEPSYSNASSVKDHYNDIYTKKTESRSTSRNTSSLSSGRDLLSSRGSQRNEDWNADLLGCCSEPALCMKTLFFPCGTFSRIASVANDRHISSAEACNEMMAYSLILSCCCYTCCVRRKLRKTLKISWKHDILLISYLFSQGGFFDDFLSHFMCCCCALVQEWREVESRGIHGFVNSATLGTGWHELMQKNLSLGVMALCETLSNTSAANMSIADYMKTVKAFPRLWLLWVHQ >KZN00249 pep chromosome:ASM162521v1:3:3435726:3442736:1 gene:DCAR_009003 transcript:KZN00249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALSSLNIVKGIEKYCKSTESSAAGSSVESPEKNVVTSPKTIGRRKQVTATPVTRRKKRVSPVKTLQRVYNTRLSAKLLKKEKVESEKKECLEALKFNSDCEVVSDCDLGEVLEDKSVPGCDNVGENLSVPEIEQQNMSVLWTNASEDLDLKESSATEMKSVPLQNSDYGFRSLKKPNDKDMVVLEVPFCEKNDDVGVGLIFSEVHAEVIPNEVKVFQKDANKAETPVSERKDAIPNLSPQEKGSLDVGFSYSKNELGRGTSRRGNGENLNAQVTAITDMNDDTGVGLIHSQTDAEVIPSKVEVFQIEANKPETPINERKDAIPNLSPQEKMSVDVGYSYSRDESKRRASPRGNGENLSARITAVTDMNGSDYMGVDSSYEIEKVRGSLNSKYADRDLDSKHTAAENMKCKQLENNVEGFQILKMPSCNTMVVVDVPLYKKKDDAGIDLCNSETADITKLKRYYDFTDEVFYVNSIKVETPTSEMKAAIPILSLQEKGSVDVGFSFLTNEVQSGNSKRGSSDHLDAQFSALTDMIAGDLSSKGKFHLSEIEQLSESPNSKYADKKLDFKDTDDTNLKSEFLTNKGSCNVEADSSVLDIEQQIESLKFKNAIEDVDAKELAAKYLESIVLKMNVDGSKMDAVDVLEEIPCREHMVVVDPLHHKTKDVDDVDFSPREIEITTEVRANEAKFLPKGTENAEVKTPIQRAKVTEKVFSPKENGPDEVGVHFSFSEGSDDVELHFYVLEADKNMDAAVNFSTESYERESLKEHLNMESCEKVGFGEGVHDLMIQPKSEKVMLECLSSGVDNSVDGENFDNSVKILEDISCNSESGAAYGDVKGLASQEEISCQAIACGPPICSESSERLENSIHNNSDDDTSIDTEFFQHNAYSKQSDSSRKSSEIYSSDGAFKYADKLEMKGSVKATNDFSGRVLSVDEMGDKDSFSDDPESNSKTFDNSSCDTEAESYFLEYAISSKQQNRKRESTASQIFDSSCTREPADQSEMPESFDSSIGYSSEIASSNQLSAKSISKESRLPVASDISSCCSGIKKLSFDASPKASEAKSPCFLKLGGQMCPSSAPPSLQSRRTKIHLREQPLLLADHPFTEKVLSSYMLSSGPCQFANMSNYMPLSKVDSNVLDDKENIEQNDI >KZN01231 pep chromosome:ASM162521v1:3:13341397:13341802:1 gene:DCAR_009985 transcript:KZN01231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASALILPIQPLKVSAVHTGTMEMIRKRGLHLRKEPLKIPKLKMAYNVHKMSSLLGCADDAFPQTPFMLPGTCNKLLE >KZN02333 pep chromosome:ASM162521v1:3:32168428:32174349:1 gene:DCAR_011087 transcript:KZN02333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPNQPQFRYTQTPSKVLHLRNLPWECIEEELVELCKPFGKIVNTKCNVGANRNQAFVEFVELNQAINMVSYYASSSEPASIRGKHVYIQYSNRHEIVNNKGPGDVPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETALSAREALDGRSPDGKKKEPESNVLLASIENRIYDVTVDVLNTVFSAFGTVQKIAIFEKNATTQALIQYPDINIAAAAKDALEGHCIYDGGYCKLHISYSRHTDLNVKAFSDKSRDYTVPESGFAAALPAGATVWQNPHAAPVFTASEFVGVNYAQPQGPHGHVNYMQPLQGPPGQGPPGQGPPGQGPPGQGPPGQGPPGQAPSWDPAMQLSGPSFVSGSSTLPGQTYGPTSGQVYNPMGSPAGSSPYGPPSGQVYNPMSSPAGSSPLGQKTQVNPSSSASGGQPGNPSNMQHGSSSPRNHHPPYYR >KZN00433 pep chromosome:ASM162521v1:3:5277519:5279594:-1 gene:DCAR_009187 transcript:KZN00433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAWKKDKPTKILTSKTLILFSSTFLILLTYLYLTFHASNPNPSFHSKTLIHSPSIQPFDCYNSPQAHPVIASVVEGLKYPFLYSLSDFGTLPEKPHKNIVRILKGKMFRKPDISGTVQDYLEEKKGEGEMGVFVDVGANVGMASFAAAVMGFRVFAFEPVFENLQRICEGVYFNRVKDLVTVFEAAASDRVGNITFHKLVGRLDNSAVSASGAKLAFKSNEEIAIQVRTIPLDEVIPESVPVILLKIDVQGWEYHVLKGATKLLSRKKGEAPFLIYEEDERLLQASNSTSKEIREFLHSVGYHHCTQHGTDAHCTKMD >KZN02172 pep chromosome:ASM162521v1:3:30464509:30467266:-1 gene:DCAR_010926 transcript:KZN02172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNNRGDNRPLAKVYRRPDNKVIKATAGRNIKTLVGNKLVDEVEGDEHNCNNLVDEQDGDQDNDKQSDEDMQEGEEDSAQEDSAEDMEQDDSAQEGEEGDDDSAQEGEEGDEDSAEEEDDEQDDVLNESEEENEDEEEEDEQEEDETENQAQVNNAQPKIKITKYKRKKEAAFETHIPRKRIAGTLYPLLKFMNKDVKKTEGAKHINKKKDEVKIRISPRHFSKMVGELTKEQRDWVTRAGFALLLDFELDILPTKIAYNVLQIFDHHSISLKLKDGDINITSEDVYDVLGLPNGGHPIILASPGKYSQRIKDWHAQFTLSDQITTQMIVQVMKNQEVNDNFKLNFLLVMSNVLIGTKGASYVDKQLLQLDDNLDNLKKYNWADFLLGYLVIGMESWNRTTTTFFRGSLIFLTLLYVDRVRYKGMNLVDRQFPSYNGWTLEMLRQRQEIEVIDGAFGVGSIQPSLKEYLQKIDPSEPPKTKVNDNENGAWDTWQYWSEVDRIEKDYLKRKESTSQQPHESTQCQSPQNTQYYTPPTEAADGNVEQTEEGRGTCGHKVQI >KZN01772 pep chromosome:ASM162521v1:3:24902683:24906029:1 gene:DCAR_010526 transcript:KZN01772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSYDSFYENAESKFTIRNVELPSTLSGVSTPENQDKIKLHKLKSVRLQNNLKDLRNFQGNEDNVSCTSGADGLTTVSSANSATVGTNTRPTYVPSTNSLVSKDDVKSVPSDPAQCLQKLDVEGTIIFTRSICNDITTQEVCCPVSFPDDLYDSRLLEYNLPEHIDEAAGSVSVQSSNPNTPKEKSSKIYFRTPLPDCPNEYINPSVTSKLVSNTLCRKESTFCISSNPQDMKLGIVVVKPMPQMQHCVKLEANCSITAGLATEALSSLQHTNEVEKVGELLVLPVAKKTFTQSLPIEALNSTEQNHVNNESDIEEDDQVCDTCGDIGRDNLLVVCFRCSDGAEHTYCMSRMLDKVPEGGWLCQECKMEERNSQKNDNYDEVGSVAHHFSVRNAKISHLCKKSGGKDTSSETNKSNKNGSKAKVPHKRNEDNVDVSSAVNEQALEPTVRSLKKHSLDKVGVHRRSSKQPISKSSEAVNSRIVDSLPNSPIDRIPNVKDLPNQAFKVTSSLLTNTAFPEYEYVWQGCFEVYKREKLPDLYDGLQAHLSSCASPRVIETMKTFPHKVLLNEVPRLSTWPIQFVETGVTEDHIGIYFFARDYER >KZN01423 pep chromosome:ASM162521v1:3:16052518:16055505:1 gene:DCAR_010177 transcript:KZN01423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKTFGGEGKKGIEKLTASMVSKSGMKLWMIRATTSILLWTCFVQLSALSELWGPRVLKGWPSCFSHDSAALDVMITPVLPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKVSFWADPSEFQDIFDVDYFISSLRDEVRILKELPPRIKRRVELGLFYSMAPISWSDISYYHNQVLPLIQKYKIVHLNRTDARLANNNQTLSIQKLRCRVNFNALRFTSQIEELGRRVIKLLRQNGPFLVLHLRYEMDMLAFSGCSQGCSNDEVDELTRMRYAYPWWKEKIIDSELKRKDGLCPLTPEETALTLKALDIDRDIQIYIAAGEIYGGEKRMASLTASYPKVVRKETLLGSSDLGYFQNHSSQMAALDYLVSLESDLFVPTYDGNMAKVVEGHRRFLGFKKTILLDRKLLVDLIDQYNHGSLSWEEFSSAVKEAHADRMGSPTKRLVIPDRPKEEDYFYANPEECLQLSEISEARLDVV >KZN03217 pep chromosome:ASM162521v1:3:42602218:42606922:-1 gene:DCAR_011973 transcript:KZN03217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKISILLVIFSFVCSSVTATVSYDDKAIIINGQRRILFSGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGKVILFLIGNRIEIINVIILDIDMNLWKVGVQVVKFVPEYHNEESDFNFEGRYDLVRFLKVVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIDFRTDNEPFKAAMQNFTAKIVDMMKSENMFEPQGGPIIMSQIENEYGSIERQIGAPGRAYTNWFAHMAVSLDTNVPWIMCKQDDAPDPIINTCNDFYCSKHSPNKPYKPKMWTELWXPNKPYKPKMWTELWTSWFTEFGGAVPYRPVEDLAFAVARFIQANGSFVNYYMYHGGTNFGRESGLFVTTSYDYDAPLDEYGLIREPKWGHLRLLHKAIKRCEPALVSSYPTVWSLGKNSEVHEYRSNSGACAAFLANYDTTSSANVTYSNMQYDLPPWSISILPDCKNEIFNTAKAIHGAHMKMIPVGGAFPWQSSIEEVPTANDSDAVAMVGLYEQINVTRDSTDYLWYLTNVNIASDEEFLKTGKDPVITIASAGHALQVFINGQPSGVAYGRLKKTSLTFTGDVKLTAGTNTISLLSSCAGLPNVDLHYERWNAGVLGPITLSGLNEGTRDLTKQTWSYKVGLKGETLNLHTIDRSSSVSWLEGSSVAQKQPLSWYKATFDNPQEPGPYALDMSGMGKGQIWVNGESIGRHWPGYIARDHNCGACNYVGSYNQNKCLTGCGEPSQKWYHIPQSWLKPTGNQLTIFEEWGGDPSKISLVRRALRRN >KZN00017 pep chromosome:ASM162521v1:3:1117471:1117632:-1 gene:DCAR_008771 transcript:KZN00017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVLDLNPTTHDLTKFVRSTLHGQNINEDRLFRFVFPVPLVEDRSNSHFKKS >KZN03094 pep chromosome:ASM162521v1:3:41224770:41225410:-1 gene:DCAR_011850 transcript:KZN03094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNHFLNTFITFFEGWLQRLENYLREINQQHDQEETSCNALVTRILTFLVMDRGYKPSQVLRLVSKTVLDISEDKKEEMEEFREHIKGEERRLMSALAAIHDSVAMPSEDGYFVAAQLKSPMEALYQEANDLREMTVCYVLEKLESAHQKLRFFASAIELHLRVRAVGNQRDAERQASRA >KZM99946 pep chromosome:ASM162521v1:3:342316:346537:1 gene:DCAR_008701 transcript:KZM99946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSSKTSCFQCEDSISDSFKHGWRLRSGDVARLCNRCGSVYEDGRFCETFHSNDDGWRDCESCGKLVHCGCVVSYNAYLLLDFGGVICMDCSKRNFITARNRCLSLESQAGTANLSIDFGKKIHVEPCNLPWVNNTELQQISRKPRSIITPLFEKMLTASDVDHKLARLVIPKKYAEAYFPVVTAPQGGPVEFQDTDGKEWVFKFRYWPCSSSRTYVLEGLRDYMSAMKGQVGDMGKI >KZN02026 pep chromosome:ASM162521v1:3:28546467:28547659:1 gene:DCAR_010780 transcript:KZN02026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLKYSNAALLPLYVVCFLIPAALARPATYLQDFRTTWGDTHIRQLDGGRAIQLVLDQNSGCGFASKYQYLFGKVSMKIKLIPGDSAGTVTAFYMNSDTDTIRDELDFEFLGNRTGQPYTVQTNVYAHGKGDREQRVNLWFDPAAAFHTYSILWNHNMVVFYVDDVPIRVYKNNEARGIPFPKSQPMGVYSTLWEADDWATRGGIEKIDWSKAPFLSYYKDFDIEGCPVPGPAACASNVRNWWEGAAYKQLSPIEARRYRWVRMNHMTYDYCTDKSRYPNTPPECLAGI >KZN03267 pep chromosome:ASM162521v1:3:43040654:43041883:1 gene:DCAR_012023 transcript:KZN03267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKILAILVVLLQIIGATEAAFGVGVGVGIGGRGGGVWVGSGGTNSPNPYDSSSASRLSNAYTALQAWKSAIKDDPLGILKTWVGSNVCDYKGVFCADLQDNMGSGSVVTVVAGIDLNHANLQGTLVKELSLLTDMSLLHLNTNRFSGSVPDTFRDLTSLTELDLSNNHFAGPFPTQTIYIPNLLYLDLRFNSFQGPIPDDIFNKRLDAIFLNNNLFDGEIPQNLGNSPASVINLANNKLTGDIPFSFGYMSPKLKEVLFLNNQLTGCIPEGVGLWSDLQVFDVSFNSLMGHLPDSISCLNEIEVLNLGHNMISGVLPEMVCELRSLINLTVAYNFLSGFDQECSKLFFRNVGFDFTSNCIPGREMQRPQPECSAIPGGSLSCLRIPGAKPLVCGQLFEAKQATTSSSP >KZN00227 pep chromosome:ASM162521v1:3:3240861:3241133:1 gene:DCAR_008981 transcript:KZN00227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSMLSSFDALFAESFGHKVGFSWPLLSAKDVQPSENKMQSSSGNFADKFIAKKLPENQEVQQKTRKIASTRFAPELDGVHFFETIIPY >KZN00718 pep chromosome:ASM162521v1:3:7877392:7880734:-1 gene:DCAR_009472 transcript:KZN00718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRKLFDCVVFLLLIVGRTVSLNPSLNDDLLGLIVFKADIQDPDNNLTSWNEDDNTPCNWKGVRCDPISNRVSDLTLDGFGLSGRVGRGILQLQFLRKLSFAKNNLTGGLPFNLAQLENLRVIDLSENRLMGPLPGNFFGQCRSLKLISLANNKFTGPVPDSLSSCSVLATVNFSGNQFSGLLPSGIWSLQGLRSLDLSRNLFEGEIPKGIESSNNLRELHLGNNQLTGEIPDGIGNCLLLRSIDLSDNSFQGSLPSSLQMLSLCNNLNLHKNSLTGEVPEWIGEMKNLESLDLSDNSFSGRLPTSVGNLRSIKLLKLSMNSFAGSLPESIINCVNLVVVDVSQNSLTGNLPTWLFKLGLQNVNFSENKLIGDIGASLKSLTDNSRQNLAVLDISKNSLTGAVPLALGDISKLQYLNLSKNSLVGGIPDSIEGLKALDVLDMSQNQLSGSIPLKIGEAVSLRDLRLEKNFLSGNIPISIEKCTSLTSLILSRNSLTGSIPAAFAKLTNLQTVDLSFNKLTGTLPRQMANLDHLIAFNISHNQVQGELPGGAFFNTIPPSSLYGNPALCGALVNKSCPAVLPKPLVLDPNSSDSTPDSSPANPSHRKKILSISALIAIGAAATIIIGVIAITVLNLRVRSATPHSASAFTLAGGDGFSSSPTTDANSGKLVMFSGDPDFSTEAPALLNKDCELGRGGFGAVYQTVLRDGRSVAIKKLTVSSLVKSQEDFEREVKKLGKIHHRNLVAIEGYYWTPSLQLLIYEFVSGGNLYKHLHEGSGGKFLSWNERFTIILGIAKSLAHLHQMNIIHYNLKSSNILIDSSGEPKVADSGLARLLPMVDRYVLSSKIQSALGYMAPEFGCKTVKITEKCDVYGFGILALEVVTGRRPVEYMEDDVVVLCDMVRGALEEGRVEECVDERLKDKFPPDEAIPVMKLGLICTSQVPSNRPDMAEVINILELIRCPSRGQDELV >KZN01233 pep chromosome:ASM162521v1:3:13379789:13384344:1 gene:DCAR_009987 transcript:KZN01233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQQETSHFSDEDDDTTSNDEDDGIEEESSERDLKTRKGRGKIWFLGKVLDPRASWIQEWNQVFLLVCATGLFVDPLFFYALSISEACMCLFIDGWFAITVTVLRSMTDTLHLWNMWLQLKINKRPHAVNADERSRPYDVSSRTVAMRYLVAKKGFFFDLFVILPIPQVVLWIVIPALLEKGSTTEVMTVFLVMFLIQYLPKIYHSVYLLRRMQNLSGYIFGTVWWGIALNLIAYFVASHAVGACWYLLGIQRATKCLTEQCMKSQISCVPKYLACENNIFYGGGNLAKDSRRLLWGENQKARSVCLLADDKFGYGAYKWTVQLVINESLLEKILYPIFWGLMTLSTFGNLESTTEWLEIVFIIIVLTTGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNVEWWMRKRHLPQEYRQRVRNYERQRWAAMRGVDECDMIRNLPEGLRRDIKYHLCLDLVKQVPLFQHMDSLVLENICDRVKSLIFTKGETITREGDPVQRMLFIVRGHLQSSQVLRDGVKSFCMLGPGNFSGDELLSWCLRRPFVERLPPSSSSLVTLETTEAFGLEAEDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWASVAIQLAWRRYRHRLTLSSLSFIRPRRPLSRCSSLGEDRLRLYAALLTSPKPNHDDFDF >KZN00918 pep chromosome:ASM162521v1:3:9862765:9864786:1 gene:DCAR_009672 transcript:KZN00918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHKLPPEDVVQMLKDNGINKVKLFDAEQSTMSALAGSGIEVMVAIPNDQLDSMTSYKRAEKWVQHNITRYTFNGGVNIKYVAVGNEPFLKSYNNSFLNITFPALQNIQNAINEAGHGDTIKATVPLNADVYGSPEDNPVPSAGRFRQDILGQMTQIVQFLDKNKAPFTVNIYPFLSLYGNDNFPIDYAFFDGATLPIRDNGIDYLNVFDANFDTLVSSLKAAGFGDMPIIIGEVGWPTNGDKNANVRYAQRFYNGLLSRLAANKGTPLRPGFIEVYLFGLIDEDAKSIAPGNFERHWGIFRYDGQPKYEMDFSGQGQNKFLVPAQNVKYLPQQWCAFNPDAKDLSKLAENVNYACTFADCTALEYGSSCNGLDANANASYAFNAYFQVQNQGDLSCNFQGLASVTTQNISQGECNFTIQIMSSSRSSSAGPSLVVILFLAFLTLIMFY >KZN01582 pep chromosome:ASM162521v1:3:20943295:20944438:1 gene:DCAR_010336 transcript:KZN01582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHHHVSAINQSRDDWMLKVRVTRKWPSLSTAGVPMRYNLIILDCQHNHIQAVVSPELWQRYAAIIEEGGLYHICSFRVVPAMGFFRPVDFPLVVLFNTLTVVNLVHEDEDEDDNVILMHKFKFTAFENLYERASNYNADHKSLYSTDVVGVLENLQPIQTVETRSGVKRIIRFTISDGRHIVDVCLWDPVNIDYEQLYEDDLDVPIIVILASARLQLRHGLVTISNLDSTKLYINLGHQDVFQMRQRIINNPFFM >KZN02232 pep chromosome:ASM162521v1:3:31153229:31154026:1 gene:DCAR_010986 transcript:KZN02232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKGLYLGVVTYNSILRKLCEEGRIKDANRLLIEMNDKKIEPDNVTCNTLVNAYSKIGDMKSALKVKNNMLEAGLKPDSFTYKALIHGFCRKLEMDTAKELLFSMQSAGFSPSYCTYSWLVDGYCNQNNEEVVMNLPKDFLQKGLSPDISLYRALIRRFCKREKVECAQRLFNVMQGEGVFGDTVVFTSMAYAYLKVGNQAAALSFLDEMYKRRLMVTLKIYKSFSASYADDKDILDIFWNLVLSKNLVSKTLMKEIKHLKLHT >KZN03472 pep chromosome:ASM162521v1:3:44914823:44919325:1 gene:DCAR_012228 transcript:KZN03472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVSDIPCVGKVVDRISDATVNALFRGLRYMFCYKALVDELNSEISKVNIEEVRVSRKAAAERDNGKIIYDHVLEWQKEVKEIQESTNEFSPSWRCIQSLPIPNPISRFRQGRQAVKKAKKVNELLDSGVKLMENEIAYHPAVVNEPKPETAYQRFQSREGAYGKLWDALVTEGSAPILGLYGMPGVGKTRMMEQIWSEAKEKKIFKKVVREDVGSETLDVIKVQNQIAGYLECNFESQDNVDRRASQLKHSLLNKGKILLILDDVWREIPFDVIGIPCEDEISSMGSKILLTSREKDACLRNKCKDPVKITILTEEEAWDLFKNTVGACQIESLQDEFLARRVSLKCSGLPLVIRAVGKALLFASHNSWKDALAQLEKGSVEKIAGIDPHLAKGAQLVLDGESRICAMVDILRASSLLLDCEEDHVMKVHDLIRDTARTLAVRDPKYAFLFLRCGSRLPDDADYGTRKLLHLHQEKNEIQYPNDLVCPDLHSLWLLCNKQAQLFSGGFFNMFNNLRFLLIEGMVSSFELQFSLQPLAQLRTLILDDCDITHINQAKNNCFPEKLETLCFWNCDLPVPLNLPNLKYLRKLEIEQWRGGVQMVPNTISSLSSLEELHIPNAFEIRGDISAVPEPTLAEITKLTSLKSLRLFFRVPESFQDTKRSLELNGNQLEALVILVERAEQILLRCTDINVSSIWHRNREAFADLRYLYIHYCEIEFLARMSEDMIRRMSEDMIRPSLQPSISFSKLSILQIRGCSAMKYLFCSRVAKCLMQLQQLCIDDCPLLEAIVTNEGTSDGYSINLFKLKSLKLTKLLRLKSFYREEKDMDDSVISSVQFQPLFDDTVAFPWLEELHIVDSSHISDIWGKQNYEDNLSSFCRLKSINLWECNKLESVIPHAMMQRLQNLVCLDVSFCRSLVSEIGTNGCNVDASPLVALRRMHLRGLPCLTKTGLNSTEHSGAMTLYPNLQDLNIWNCNSLRNVFSPRIARDLTRLEKMCVEHCKAMGEIIGQREQGDITDMILFPKLSILKLDSLPTLSSIGCYKVEFANLVDLELRGVKFNFEEMEFGRDDSTSGLIRLHILWRMQGGDEPSSAQKITITLYKLESVILQDLPKLRTFIHTANYDFHVPALKKVKVSNCGLTTLFTCSVFAKLQQLKRLKVWKCRLLEDIVEDARGDETHVTCDKIITLSRLSSVMLRDLPNLKSFSRSVRYGFNMPVLDELYLQKCPRVEMFTSLETSTGLVSVYSEWHKGEKVADLNNYITQNRERGSDFNNSAGEPNSRTRNRSRES >KZN03408 pep chromosome:ASM162521v1:3:44346047:44347273:-1 gene:DCAR_012164 transcript:KZN03408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALSPATSTNLEFLLARRRRVNRVAPSQMIIRSAYRYDSANAASSANGSRADWQASCAILASKVVSQQDTEKTGEITAVNGHRTLDLVPIDNLPKPLTISDLAPAPMHGSTLRVAYQGVPGAYSEAAAGKAYPECEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGARKEYLTRVISHPQALAQCEHTLTKLGLNVAREAVDDTAGAAEFVANNKLLDTGAIASARAAELYGLNILADGIQDDSSNVTRFVLLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVNDENVGTAKHFEYLFYVDFEASMAESRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSRED >KZN00739 pep chromosome:ASM162521v1:3:8029658:8031868:-1 gene:DCAR_009493 transcript:KZN00739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYTTAEKELIMEPSLKWAGNPNVLVAIKAFGLRATVQAVDLQVFACPRVTLKPLVPNFPCFAKILVSLMEKPHVDFGLKLLGADVMSIPGLYRFVQDLIKDQVANMYLWPKALEVQIMDPAKAAKRPVGILQVKVVRALKLKKKDFLGASDPYVKLKLSEDKLPSKKTTVKHKNLNPEWNEEFHVVVKDPESQVLEIVVYDWEQVGTHDKMGMNVVPIKDLLPDESKVLTLDLRKNMDPGDVQNEKLRGQLVVEAIYKPFKGSEIPLDTENSDEVQKAPDGTPAGGGLLVIIIHEGQSIEGKNHTNPSARLLFRGEVKKTKTVKKNRDPRWDEEFQFMLEEPPTNDRIHVEVVSTSSRMSLYPKETLGYVDIYLSDVIKNKRINERYHLIDSKNGMIQIEMQWRTSS >KZN03728 pep chromosome:ASM162521v1:3:47478848:47486893:-1 gene:DCAR_012484 transcript:KZN03728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIRRFCCNDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHVAESPGNQVMGYIMGKVEGEGESWHGHVTAVTVAPEYRRQQLAKKLMNLLEDISDKIDKAYFVDLFVRASNIPAIKMYEKLEYVIYRRVLRYYSGEEDALDMRKALSRDVEKKSVVPLKRPVTPDELEYD >KZN01178 pep chromosome:ASM162521v1:3:12644806:12649426:-1 gene:DCAR_009932 transcript:KZN01178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRSWPWKKKSSDKGNADKLISTSESAGAPTASPGTQGDQGKQDKKPKYVQISVESYTHLTGLEDQVKLYEDELKTYADQLSSYKDQVQDLENVVTELNDKLSEADSEIVTKENLVKQHSKVAEDAVSGWEKAEAEALALKDQLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLHEVVSNKTKQCDMIKAQLETQISNLEQELFRSASDNAAISRSLQERSSMLIKISEEKSQAEADIEQLKSNVDSCEREINSLKYELHIVAKELEIRNEEKNMSVRSAEVANKQHLEGAKKIAKLEAECQRLRGLVRKKLPGPAAMAQMKLEVENLGRDYGETRARRSPVKPPISPHMSQLSEFSHDSAQKQYKDSELLTEQLLEMEEETKMLKEALAKRNSELQASRSVCAKTMSKLQSLEAQLQTNNQHKIITKSSPQIRAEGSLGQNSSSPPSLTSLSEDGNDDQISCAGSWTTGLISEHSVKKEKNTDSPVKAGKANQLELMDDFLEMEKLACSTNESNGADSNMCITHSTQSEVPVHDLGVAITSTDSDLQEKKQFESSETLEISEKEDQLPYKELQSRISMVFRSLSQETDLERVLEEITNVVQSLHNDLHHNSVKPYIDEPHCSSAADDQGACPENAEVSTDMEVSVAQDSKHELKEDVRIAVTDIHEFVMLLGKEAKVIQDSPPDEDGLSRKLEELSFAFNDVLNSEVSVIDYVLCLSEVFRKANKLRFSVLGYNDIDTENSSSDCIDKIALPENKTIQKSGEKYDNSCAHFSDSIDSTSNPDIPHEGTFVPTSECKSLSWNCSSEEFEQLKLEKDHLVVDLARCTEILENTKSQLVETEQLLAEAKSQLVSAQKMNSLAETQLKCMVESYKSLESRAQESQVELNLLRGNVESLQNELQEERSRHQDALMRCKSLQEELLRNMETGFNFAHIPNFIFDHFFRIENDSGVDADVKSKQEIELAAATEKLAECQETIFLLGKQLRLMRPQPEYPGSPSTDRSQKKVESFTEVETTTSTMNLHSVDMSEKDTAISTDSQHAGGESPMNLYDTLFSTSDSEVNNLLRSPIDSKHPNNRFTKSGSTSSSTATPEKNSRGFSRFFSSKSKNDH >KZN03640 pep chromosome:ASM162521v1:3:46558600:46561673:-1 gene:DCAR_012396 transcript:KZN03640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKKRVPFVKPVVKKQQQQNVDHITGDRIPRSFVFSRGKLPGSLKQLQADLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLMLSKTATAPYLRVAKTPQGPTLTFKIHEYSLAIDVARSQLRPRCPKDLFANSPLIVLSGFGKEERHLSLITTVFQNIFPAIDINTVKLSSCQRIVLLNYNKDTKLIDFRHFSIRLQPVGVSRRIRKFVQNHQVPDLRDLQDVSDFVTKAGYGSESEADDEAAHVSLPSDLGRVNRSTNKSAVKLQELGPRMSLQLIKIEEGLCSGGVMFSEYENSKGSKTQDTEEEHIGSDEDMEEEHSESEEDEENLNN >KZN00352 pep chromosome:ASM162521v1:3:4420152:4422172:-1 gene:DCAR_009106 transcript:KZN00352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIDVYVHHRGFFARPPDGTVTYVGGVVDNLVEDTETMAFSDLEHYAVAFDYYRRNSIVYYQCDGHSFEKDVRILYDDSCIKEMIGICKPYGSIHLYVDHFDLEELETEKAITKEKENNECSDGSSADDPDFVEDYEDEGTDTEVELESEGEVEFEEDGSDDELLENVKGMKMRKAAEANKVNAALRKSMVKEGDDESGDSAYLSDELRSVASSSEDEPVRKGTNAETQGKAPPRRSKLMVRKKEKGIHIKEPCPTTQAKVTDSSDAPITGKGKEKMQPKTKHTKPYLSQITAETTQSSQTSKSAAASSQCLTGSEGKKFMKDLRGPLYNEWLLRWPPIKRPSEATTGSQHAEEEEDAELPLDEEEDGPEEQTSTQPRRSVRLIAKTQFKFTNTPETAVDVDEDDP >KZN00033 pep chromosome:ASM162521v1:3:1295004:1295836:1 gene:DCAR_008787 transcript:KZN00033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDGKDARLADYFDVIGGTSTGGLVTAMITAPDENRRPLFAAKDIKPFYLDNCPKIFPQRRS >KZN03448 pep chromosome:ASM162521v1:3:44713830:44717368:-1 gene:DCAR_012204 transcript:KZN03448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLQSPSSSSSSSSLQSFQESQEAFDDEQEEAEEERVSNALIEEIKRRDKDDEQLSLLALLVTLIRKSSFWVRRNDSSGSNGSGMDIGWPTNVQHVAHVTFDRFNGFLGLPVEFELEVPLRAPSASTTVFGVSTDSMQLSYDHRGNSVPTILLLMQQRLYAQGGLQAEGIFRINAENSQEEFVRNQLNAGVVPAGIDLHCLAGLIKAWFRELPEGILDTLSPEQVMQCQSEEDCSALVRLLPTTEGALLDWAINLMADVVQQEHFNKMNAHNIAMVFAPNMTQMADPLTALMYAVRVMNFLKALISKTLQTREESVIGPTWDPPLDPSDEDEHQGPLLCLEDANEENNEREKILIAEDPHSKNSSDLSPANIITDEVCLGDSTPSKESGGARTNVSTDADTQANVMKATTVKLEKITSGSITDQSCESDESKTPEKFNALQIVFQSLGPIDRSKILSRVNSLTERNEAWL >KZN00136 pep chromosome:ASM162521v1:3:2282171:2282500:-1 gene:DCAR_008890 transcript:KZN00136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMSAPWALSDDVLIPLWLAEQEHWILGRLCFVDREFHVYSTLNCDGGRDIIVKAATPFVQLLPKYLEATGFYDRTDIDFTADAYSDKLSLDPFGVTLHHFDFTSSSM >KZN03931 pep chromosome:ASM162521v1:3:49997575:49999709:1 gene:DCAR_012687 transcript:KZN03931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGVFEDDPSMLTKAAELKKELQRLVKAVVEEDDEVFSLEAIDQANQMLCALKEMKLRRSLSFNLAHQENESGNDGNEGKDESLWGLPQEFRCPISKKLMGDPVIVATGQTYDRPFIQKWLKSGNRTCPQTQQVLSHTILTPNNLIRDMIVKWCKSRGVQLPDSNQDSDEDGLTKSDRDLFISLLEKLSSSLSDQKKAARELRLLTKRTPSFRALFGESVDAIPQLLNPLSQNKSKSENHLDLQEDLITTVLNLSIHDNNKKIVAETPMVIPLLLDALRSGTIETRSNAAAALFTLSALDSNKALIGKSGALKPLIHLLEDGHPLAMKDVASAIFNLCILHENKLRAVRDGAVRVILEKLLNRVRVDELLAILAMLSTNQRAVEEIGELEGVSCLLSIIRENSCPRNTENCVAILHTICFSDRTKWREIREEENKHSTISQVAQNGTSRAKRKASGILERINRAMNITHTA >KZN01072 pep chromosome:ASM162521v1:3:11317600:11319057:-1 gene:DCAR_009826 transcript:KZN01072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVELIFVPAPEVGHLVAAVELAKLLVRRDERISFSILIQKMPYDTGTAAFVEKLKKDDPDRMAFVDIPAPSEATMTELRSLSRTAFHEAFVSHQRTVVRDLVTEILKRSESSKLGGFVLDMFVTPMIEVANDFNVPAYVFFTSSAAFLNVMFYAQDLKDNKNLEISDYKDSDIELSVPGFSNLVPAKGLPSVILDKGGSDMMTSIARRLRKTKAIFVNTVLELEAHAIKSLIDDSNTPLIYHVGPLINSEKVEIKLDYRKDVIGDVSPVVTAEEIEVGIRRLMNGEGEMRNKVKTMKDVCRKALDEGGSSYSSVGQFIQEVIDNIN >KZN01778 pep chromosome:ASM162521v1:3:25029158:25030652:-1 gene:DCAR_010532 transcript:KZN01778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPGYEESGVKKGPWTPEEDEKLMNYIQMHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSNEEEQTIINLHSVLGNKPRTDHLDILANLPHLLAAANNLNMSPVNPWDFNAFRSQISDVAQLAKLQLMQNLLQVLSPNATPLVPSTSRTLPNQDYPLNDFFIHNNPQSFQGTMVQNSVAPNTPANVMTNSECLNRGNDFYQHLSASKTSFQDTVDHHQLSTTSCPDIGKHLSSDSLPALIPASPERPARDQTGFILETSGGSRNSSSNCTNFDDFGDQLMYDGASDQAYWKDFIDQASSSSQWPILS >KZN03222 pep chromosome:ASM162521v1:3:42664861:42669636:-1 gene:DCAR_011978 transcript:KZN03222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSYISMGEAHHRITDYLNRFSDAVSYQDVSSLKTLLSLSSNSPHLLSLADALNVFQEDATNKLIRQAHNNNYPDIVTPLFRSFQNYRIAHLVDSYVAYEKAANSFIQEFRNWESAWALEALFVVVYEIRVLAERADRELASNGKTPDKLKAAGSYLMKVFGVLAGKGPKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPVRDKVTYMYYTGRLEVFNENFPAADQKLSYALMHCNPRREANIRMILKYLIPVKLSIGILPENSLLVKYNLVEYSDVVLAMRRGDLRLLRNALQKHEDRFLRSGVYLVLEKLELQVYQRLVKKIYIIQKQKDPAKAHQVKLEVIVKALKWLEMDMDVDEVECIMAILIYKNLMKGYFAHKSKVVVLSKQDPFPKLNGKPVNS >KZN02294 pep chromosome:ASM162521v1:3:31771766:31773160:1 gene:DCAR_011048 transcript:KZN02294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIALKCPKIEVAVVDISEPRISAWNSDQLPIYEPGLDDVVKQCRGKNLFFSNDVEKHVCEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIDDLFKPDRVLIGGRETPGGQKAIEALKNVYAQWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVASMFNTVSGKKVSILGFAFKKDTGDTRETPAIDVCKGLLSDKAQISIYDPQVTEEQMQRDLSMNKFDWDHPRHLQPMSPTAGKQVSVVWDAYAATKDAHAVCILTEWDEFKKLDYKKIYDNMQKPAFLFDGRNVVDVEKCREIGFIVYSIGKPLDAWLKDMPAVA >KZN03445 pep chromosome:ASM162521v1:3:44695114:44695680:1 gene:DCAR_012201 transcript:KZN03445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLSIVISFSVLLGFLIACSLQADALSCSPSGKIRGRKAPKGQCNRENDSDCCVQGKLYPTYTCSPRVTDNTKATLTLNSFQKGGDGGGPSECDNQYHDDDTPVVALSTGWYNGGSRCLNKITISANGRSVEAMVVDECDSTMGCDDEHDYQPPCPNNIVDASKAVWKALGVPKDNWGDLDITWSDA >KZN03646 pep chromosome:ASM162521v1:3:46597849:46598769:1 gene:DCAR_012402 transcript:KZN03646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTIVDTSLLSAGKQGKVTELRDLPADAMNLLTQASVSRDTERDNPVEYQNDTEANCTRKHMDNVVEIGALSDSKEHVISNATNHMPGSPDASNASLKNHDKMMKFHFSRKRKSEKSSNSESDKDLTACKQDVSINGANTIEENTKLDGANSRSVSKSSSRCVVKKFRSSSPRNVPVSSNPVENGEEMVSDICMDKEPSLENQSQMLIDLNLPHIPRASGADDPVHINNNSSTIRPSLLSETSNKAELNPFAKEIGTEEQPGITSRRQSTRNRPLTTKALEAFAFEFSIPKKKRRGEDTVPKRRS >KZN00233 pep chromosome:ASM162521v1:3:3279840:3280697:-1 gene:DCAR_008987 transcript:KZN00233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPKPPQFNHKPTVSPPSQSFSDIIFATFSLFILISSSPKPSSVFFQRFTPTISFPLNPRRFLPISVMSATSKLPKKHNFPSPQALSDWLSPRLPEEKFCLWGVKAGTKNVHNLWLEISEGETCLDDSVPPVRTLEVVIVRIIGGENRVLVESHQELSDGSVRYRSRPLSEKMKPGESVDSAVFRAVKEELGSLIGGENVGEVVRIVPNSYTKKVEERVSVSYPGLPACYVLHTVDAFVDGLPEGEFCTEEGEEYEDSDEKKIADGAVSCKKHYWKWMDYDSV >KZN00511 pep chromosome:ASM162521v1:3:5950018:5954221:1 gene:DCAR_009265 transcript:KZN00511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGATRLYVGRLSSRTRSRDLEHIFSKYGRVRDVDMKHDYAFVDFSDSRDADDARYSLNGREVDGSRLIVEFAKGVPRGPGGSREYLGRGPPPGSGRCFNCGLDGHWARDCKAGDWKNKCYRCGERGHIERSCHNSPKKLSRGERSYSRSPVRSHSRRRDRSWSRSYSRSRSYSRSRSPRRERGGERERRRQRSPSYSRSPEPKGSPPLSKARKNSPTPVDESPIENVSPSPERAKRATEQDGYEYTESLRGRSRSPLSPGRYTPISPGNGSPLEANGIANGAGRSPDAKDESPHEDDYSNRFSPRGSESP >KZN00664 pep chromosome:ASM162521v1:3:7431663:7434194:1 gene:DCAR_009418 transcript:KZN00664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIIALFFFCIFFSCVNTSQAIVVSDESLRNINSWCESTPHPEPCKYFLSHDLQVEPKDSGDFRRIMVEVALEKALKEQNGTDRLENRCDSKRRRAAWTDCNTLFQNTIYQLNQTLEALKKNTSVSFNFDAQTWLSAALTNIEVCRSGSVELNVTKFIAPIVSNNVSELISNSLAINGAPSADEDQGENNKDKDFPTWFTRKDRRLLRRRSWPSRANVVVAKDRSGKFSSVQAAINYAARVKKRDSRFIIYVKRGVYRENIVVPNTLSKIMLVGDGMRYTIITGSRSVGAGFTTYSSATAGIDGVGFIARSITFRNTAGPQKGQAVALRSASDLSVYYSCAFEGYQDTLFVLAQRQFYKSCYIYGTIDFIFGNAAAVFQNCIINVRRPLHGQINVITAQGRADPYQNTGISIHSSRIQAAPDLRPVVREFNTYLGRPWQQYSRTVVMNSFIDSLVNPQGWLAWQESNFAWNTLYYGEYNNFGPGSVTGKRVRWRGYHVIRSANEASKFTAAKLIAGQAWLASTGVPFYLGL >KZN02893 pep chromosome:ASM162521v1:3:38706475:38707114:-1 gene:DCAR_011649 transcript:KZN02893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWTPLLPSPDCRRFPANNHFPPERRWTPTNISTVSLSLSSLQQPPFSSVSFPPSSLCPSLVAPPPHPSACTCRRILAAAAPPQYSDAASSTPQLELIVVLLPETASPLHRATSVAVTKSDATPPRAPQTPATKTLSLITSNRRRHHRLHSSSQHPAISNEPPTTHTKTLLRSNQQSQQIIA >KZN00990 pep chromosome:ASM162521v1:3:10538130:10541417:-1 gene:DCAR_009744 transcript:KZN00990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSCCSILNVSVVDKDCQVGPIIMIGLCLTGCELKICDHPWILPSVRQHNPFLICVCIPFSGQLADPVLVLARTVAGCYNHNSGGETTTTDTLVQVMSEAFLKSAVRAVCLGICGVNHPDDQERISNWLSDAPGNHNFHLLKNLFYRMGQSAEHACPAVPPRTVYSLIQPVMELDISMDDNWNGTCALYCHQSSTIHILPYNIAMPTSLKILERDRITVTPLKMLAPGFQFAPFGLVDMYNAGGAIEGLKYEVKNTAQLSGETDAELAEAVVSLEVKGCGRFGAYSTTKPRSCAVGSXTKPRSCAVGSSKVCLLLTSYWIQ >KZN00549 pep chromosome:ASM162521v1:3:6285321:6291674:-1 gene:DCAR_009303 transcript:KZN00549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGRRMNAWCWLTCILSLLIITLPRVFCIDGDDYGSSGSSSQMSPIVAKHIYDQVSNLTVVYHDDIKEELGYCIKDVKSDMNTAFNFSKDLGFINDCIKEAKGDITQRICSAAEMKFYFTSFYKKEKENANNHVLKPNKNCNLTSWSPGCEPGWACSIPQEQKADLKNSKSIPDRTDDCQPCCAGFFCPSGLTCMIPCPLGSYCPRATLNKTTGVCDPYHYQLPPGKQNHSCGGADLWGPITMNAAKNLFCSEGYYCPTTAKKNSCQKGHFCRKGSVAQKACFKMSTCNANTTNQNMHYYGLLVFGVVALILIIIYNCSDQVLSTRHARQAKSREAAARTARETAQARQRWKAAKDNASKEPSALQGLSQQLSRTFSRKTSTKKAEKAKASKKQEPSNLTKMLHNIEDDPDGHEDFHVEIGSKHSKKPKAKELHTRSQIFRYAYGQLEKEKAMEEKNQNMTFSGVISMATDTELTTRPPIEVVFKDLTLTLRKKHKHLMRCVYGKIMPGRISAVMGPSGAGKTTFLSAVVGRDTGCVRSGLILINGKDEPIQTHRKIIGFVPQDDIVHGNLTVEENLRFSARCRLSVDLPKADKVLVVERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSSSSNLLLRALRREALEGVNICMVVHQPSYALYKMFDDFILLAKGGLTVYHGSVKKVEEYFADLGIVVPDRVNPPDHFIDLLEGVVKLGPGLTVEQLPIRWMLRNGYSVPPDMMHYCDEIAASSAGSDSAAGEMWKDTKHNMQINQSPENSRSSDMSNRVTPGVVRQYRYFLGRVGKQRLREARSQAADFLILLLAGACLGTLAKSSDEEFGALGYTYTIIAVSLLCKISALRSFALDKLHYKRESSSGMNSLSYFLAKDTVDLFNTVVKPVVYLSMFYFFNSPRSSFGYNYIILLCLVYCVTGVAYIFAIFLDFSQAQLWCVLLPVVLTLISNQSKEGGISRVVAKYIYPTWALEAFVIANAERYNGVYLMTRCTYLKEMDYNLNDFTLCLVLLAVFGVFCRIVAFICLTRVGKK >KZN00406 pep chromosome:ASM162521v1:3:5089885:5094682:-1 gene:DCAR_009160 transcript:KZN00406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAGESPNEFAFSSVVQACSAVELVAMGEQVHCLALKSGFSDELYVGSNLADMYSKCGFMGEACMVFEEMPWKDEVSWTAMIDGYSKNGDFEEALLAFVKMRREDVVVDQHVLSTTLCACGALKACSVGKSLYSIVVKLGYESHRIVGNALIDMYSKMGDMESATIVFGTDFGSRDVVSFTSLIDGFVEAEEIEMAANFFIEMQRHLILPNEFTFSSLIKACAHQAALEQGIQLHALVFKLRIDDTYMATKIAAKAAEAIRTPARRQILSITDAAAARIRQLLEHRQRPFLKLGVKSRGCNGLSYTLNYADEKGKFDEVVEEKGVKIVVEPKALMHVIGTKMDFVDDKLRGGVLIELSWSNLRWQSLFTQIYQMH >KZN02238 pep chromosome:ASM162521v1:3:31213810:31217653:1 gene:DCAR_010992 transcript:KZN02238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSHPEKIQGPTHINRTKSEMKIRNSPRILSQLIDSLTEEQREWVKDAGFESLLEFDLVMIPTKLAYNVFQIFEHNSVSLKLKDEAIPIVEEDVFDVLGIPHGGARIILGSQEKHKARIDAWLSQFDTNHITVSMIADLMKNQPVSDNFKLNFLIVMSNVLIETPTHSYVERQLLRFDDSLDNCCNYNWAEYLINSLVLGTQSWNRNSSTFFTGPMIFLILFYVDRVRHKGIKLVDRRFPSYKGWTEKALKERQRIEVIDGVFGIGSILPPLREVLSEDSQPLPNASPSKDNWDDWNHNKLANDWDKHINKSDRDKTNVDDVPQVDIMDTDEPNDENEDPAERLRKRAQNLIEEKMLFDTDLKIELDKDPQNYTLQTIATVIEDVFQINCYHYPPTKPARQSTPPTREINNIDEDFELTIQETDHIDLVDYIQSIQRTNESLQQQNRDLQFVPSFSLGIEDNIIHQFPSLDEKKMIHQRFSIFTRNMEDILKKTERNKLDNIDMVFFPIHKYDHFYLIIYHIKKGAYEIIDNINRDENEDICYGEVPGMLNAQESQITKLRVKYNTAILAFHLNEKKDSILKEAKELFNKVAEKKMINVLRSSTKSNRTNTNQKKSVSFALNLNSHFEDVATEK >KZN02647 pep chromosome:ASM162521v1:3:35495241:35497775:1 gene:DCAR_011401 transcript:KZN02647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAFRYTASMLSRVSSLHSYPHWLPVEKLQITFYCQRLESLSKSFEILAKKLVNGLYDVLYDRTLTDLISRILEFKNVRTSAEFLNFKDPPDLNLVGERLASNVALIYNDCVIDCGQASQDFAYKLFYFDRYRFEEQPQVEVFIGFQEASYLLQKLVSITKKQLEVISLVGMAGLGKTTLAKRLYNDPYVVSYFYIRAWVICSHVYNKRDLLLVILRSINEITDNVQRMNDNMLAHVLYRALKGRRYLIVIDDVWSSSAWDDFKRCFPDDNNGSKIMLTTRFKDVALHAQSDGNPLCLRFLTEGESFNLFTVKVSRTGYLLDDLSFIERSITKTCRGLPLAIVVIAGLLKGNFNVDWWEQVAESVSSYIATDETQYMDTLALSYNHLPRHLRPCFLSFGAFPEDYDIPVRKLIWLWIAEGFIPQDEMKKSLEGVAKDYLMDLISRSLVCVGKRGSNGAIKTCRIHDLLRDLALRRADEENFSPNMFKFNKHSFSCPHSLTNPSAITQLRLTTDVFNISSNCSCCSSEVSHLLFKNVLITWDTSKLIRDLDISAFELFVFPCELVQLVHLRYLELRLRSGDPPESISHLRKLQTLIMSSRMNMVIPNNMWKILSLRHLCIRTGENLVNFCNVEEEPSLLENLQTLSLVSPTRPCEHILSRTRNLKKLGLCGPLATKRGELKCPDLGLLIHLETLKLLNTIPLCKSGRLSDSIIFPESLKNLTVSNTYLDWKEAWIFEMIPNLEVLKLKFHAFAGKHWETTTEAFPRLKILKLDDMNIVTWTASRNHFPLLQRLQVFRCPYLTEIPEDFGNIYTLEWIELSGCSEAASISARDIQKEQKNNGNDL >KZN02727 pep chromosome:ASM162521v1:3:36539318:36539530:1 gene:DCAR_011482 transcript:KZN02727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAMLLAEEYEKMMKKKSQEIEVFRKIEDGYSWIKVRFQGDYQSDNKVLGLNPKSQIAVAAVSGFFSA >KZM99955 pep chromosome:ASM162521v1:3:448575:448874:1 gene:DCAR_008710 transcript:KZM99955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGLVDAAEAESEITPAMWALFYILLAATIIIHLYGVVKRYRASRRKIVLLKEIDGVKMGVVLRVCADMPRYFGYIIYEIDPNYAPFPLHNPPTELGF >KZN02199 pep chromosome:ASM162521v1:3:30726294:30726833:1 gene:DCAR_010953 transcript:KZN02199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLQLLSLLVLALVISQSSSQGVAPAPSTAPAQPPLSAAAQAYLDAHNKARAEVGVQPLKWSAALANATSLLVRYQRDKQSCNFANLSSGKYGGNQLWSSGSVVSPQAAVESWVAEKKYYNYADNSCPADHKCGVYTQVVWKKSLELGCAQASCAKDSSTITICFYNPPGNIVGEKPY >KZN00592 pep chromosome:ASM162521v1:3:6699708:6702226:-1 gene:DCAR_009346 transcript:KZN00592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSWAVLRKPRSSIIFTFSRKTYRPFFNQANKNSSAPLVSLSNLCGGGGWNNRSVCLGVVVGSSSNSCIAYADDSQQQYADAAVKKPMFLFGDSYRKKVFFKYEKRIRMQSPPEKASDFVFEYFASYRAPDGEVFMTPADLMRAVVPVFPPTGDTRVRVGSLKGEWSPGDLQCAPSEFFMLFDTNNDGLISFAEYIFFVTLLSIPESSFSVAFKMFDLDNNGEIDKDEFRKVMNIMRSQNRQASRHRDGKRQGLKVSGSVEEGGLLEYFFGKDGKACLEHGRFVKFLEDLHEEILQLEFKHYDHKSRKAISAKDFALSMVASADMKHIDKFLKIVDQLEDEPRMRDIHITFEEFKNYAELRKRLRPLSMAISSFGKANELLTKADFQRAADQVCGIQLSNNVVDVIFHVFDVNHDGSLSAEEFLRVMQRREGDGTLPREAGVMGLISCWLSCTKSCKSAQIFR >KZN03233 pep chromosome:ASM162521v1:3:42751034:42758330:1 gene:DCAR_011989 transcript:KZN03233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKSTLLIVLILFVYTFVPSLCSKKAAAGVARKEDIPYIKCGVCQKLTNQLYQQVEAKQQQISPKKISEYEIIEIAENVCNLKKQEADWILKIDIVEEGDRLELVEYDSEGQCNSECKTIERACQEIMGYYDTDVAEFLYKKKPQITQLVDYLCKDLSKACSTKPPPVPKGRTPGEAFVPKSVKEAEMEKLMKSMEGMPGAPGMKMYSRDDLMNNMQNLGNEDADEEDDDDDDSEFPSNLGKVLRQKEAKKDDWKQKITKGIQDTSETLLSTLLYDLFLDTFSNDAELRAATVADLRAARLRDPACVSFSHCLLNYKGFLAIQAHRVAHKLWSESRKPLALALQSRISDVFAVDIHPAATIGKGVLFDHATGVVVGETAVIGNNVSILHHVTLGGTGKAGGDRHPKIGDGVLIGAGATILGNVMIGEGAKIGAGSVVLINVPPRTTAVGNPARLMGGKDHPSQHKDVPGESMDHTSFMSQWSDYII >KZN03035 pep chromosome:ASM162521v1:3:40395233:40396102:1 gene:DCAR_011791 transcript:KZN03035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRPSQRPLDLDITIVSAKHLKNVNWRNGPLKPYVIFWTDPDRRLATKSDDSGSTRPVWNEQFILPLTLSPLDSTLTLEIFHSKPLESAKPLVGILRFPIRNLVDSADSDESGKLRTFELRRPSGRPQGKIRLKLSIKERVLPDYQTAPQGGYYYSSAPPLSGPRGYPPVHSPPPPPPAPYGYVPDPYSGYYPSYYTQQQALPPPPPSPRPYYDTRFTGAPSAPVDYAPPRPSRMGVGSGVAMGAVAGALGGLALEEGLKYEEEKIAERVETDVNARDEYRDYRSEY >KZN03683 pep chromosome:ASM162521v1:3:46877693:46878285:-1 gene:DCAR_012439 transcript:KZN03683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGAGKGKGLAGRGRTKGTKAVSRSQKAGLQFPVGRIARFLKNGRYAQRLLELAGNAARDNKKTRIIPRHIQLAVRNDEELSKLLGSVTIANGGVLPNINAVLLPKKVAKGKGEIGSVSQEF >KZN00202 pep chromosome:ASM162521v1:3:2980536:2982517:1 gene:DCAR_008956 transcript:KZN00202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLHFVFFLGFVLLIEPGVRAWSKEGHVMTCQIAQDLLEPEAAHAVKMLLPDYANGNLSSLCVWPDQIRHWYKYRWTSSLHFIDTPDQACSFDYQRDCHDPHGAKDMCVAGAIQNFTSQLGHYRHGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTSDMGGNSINLRWFHHKSNLHHVWDREIILTAAADYHGKDMHSLLEDIQRNFTEGSWLQDVESWKDCDDLFTCVNRYAKESIKLACNWGYKGVESGETLSDEYFNSRMPIVMKRIAQGGIRLSMILNRVLGSSGKHSLA >KZN02906 pep chromosome:ASM162521v1:3:38823979:38828826:-1 gene:DCAR_011662 transcript:KZN02906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGEKWKMSQLQEALLRVFERVLEMEGSEREIVGCRVLAGPGQVAGVIGVAGSVITKIEKRCGTKIRIFSTSQLQGCADAGDELIQITGEAVAVKQTLLAVSWRLQEMKPRGVVLNHMSSEEKGRVLVSQEYTSKVVTYRLLCSRKVAGGLIGVGGGLVKCLEVETGASIRISKPLATPTERIATITARESPESFPSAQNAVMRIFSRSVELDNEMDPGSSLHTERAITAQLIVDSNQVSFLLDSEGMISSDIRNTNGVEMQLSWGSIVEKNAAKSDYILQISGLYENVRNALLQVTSRLRNLFFSTIISNAAEHVQNCCSVDSNFTSSDREKAVYCGSDDLICFSSADPDMNITLEMNRLGFLNEPVEGYETKDLTDTSGGFRATEIDLEKGSVEFAVSTNSIEVFEVPEQALNCIYGERGSNLSRMKQISGASIVVEDPCAGKSYRNVIISGTLHSIMVARSLLQAFVLPQL >KZN01676 pep chromosome:ASM162521v1:3:22937120:22939241:-1 gene:DCAR_010430 transcript:KZN01676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLMICLKEKRRNVYGAWEQYLGLEHPDNTPKRAFAANQDEGDSTRSWHLFTSGDMVQECSTAKDPQFSEDSDNGYSYGSSSTDDDCNPAMDVDTDSG >KZN03200 pep chromosome:ASM162521v1:3:42397872:42401493:-1 gene:DCAR_011956 transcript:KZN03200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNHLWDVIPVEIGNLTELEYLSFDDNDLSGTIPYQISHLQKLQYLDLGTNNLLNSNWSLFLDMPLLTQLNLFYNNISLEFPSFIIRARNLRFLDLSVNKLTGSIPESVFTHLTNLEVLNLFDNLLEGPLPRNISELSKLTKLHLGKNKFSGSIPDTIGLLHKLQVLELFTNAFGGKIPSSLGQLRELQRLDLRRNFLNSSIPSELGLCTKLNYLALAENWLTGPLPLSFSNLTRISELGLSDNLLSGELLPDFISNWTDLTSLQMHKNAFVGNIPPEIGMLTNVTYLYLYDNNLTGAIPREIGNLSQLSILDLSGNHLSDLIPATIGNLSNLALLHLNENNLSGTIPPEIGKLAKLEFLDLNTNQLHGQLPDSIAELSNLKNLSVFTNNLSGSIPKNLGKKSLNLSRIEFSNNSFSGALPEGLCSGFLLERLLVIRNNFSGHLPMCLRNCSNLTRVRLDNNHFSGNVSEAFGYHPNLSFISLNDNEFTGELSSQWGKCENLTNIEMSRNKISGVVPVELGNLKNLQALQLDSNELTGEIPAELGNLVLLLALNLSNNHLTGEIPQSVGKLSKLTRLDLSTNKLKGSIPKEIGNCESLLSLNLRQNNFSEVIPSELGNLGHLQINMDLSSNSLSGTIPSTFGKLKILEDLNLSHNHLSGRIFGSLSTDMSSLQMIDLSYNNLSGPIPLLQQQVKKFFNENSLLCGDANGLSPCPSTTSKSSSDSSKKVIIGVIVPVVSLLVLVIISTGCFMRHHALSMRVTAKSDVYSFGIVALEVMMGRHPGELLPTLSTDTDVVSNDLLDKRLPPPTENIADEVKFVVRTALACTRTRPESRPTMRSVAKELTGRTQTYMPSRIPRFQM >KZN00254 pep chromosome:ASM162521v1:3:3463043:3463396:-1 gene:DCAR_009008 transcript:KZN00254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSRIACLIMVFGMVVNLMVERGEALSCGDLGASISQCSPYATGAVGQPSPGCCSAVKGVYAMAKTSQDRKVLCNCLKQSSSAVRGVQLSNVAAIPQKCGVPVSFSPDPNFNCNS >KZN00447 pep chromosome:ASM162521v1:3:5345986:5350047:-1 gene:DCAR_009201 transcript:KZN00447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQLSSHVFAGNPIISKTPKLTDSVSFSSAFQTLKAYFSSDHGTQGSCPNFKVLPFRKGRPLVGSSGDLVPTWHLGWLSLSECQAFLGKSRVKLTENSFVYLGSELADEVVFWAIDVSDAGDLENEMSDMQLSFVDVMSLMVATDWTNQLVMADLAIAGQAKALLEWHITSCFCGKCGEKTVPIDAGRRKQCSNESCKKRIYPRVDPVVIMLVVDKQRDRALLSRQSKFVPRMWSTLAGFIEPGESLEEAVKRETWEETGIEVGEVIYHSSQPWPVGPSSMPCQLMVGFLAYAKSFEINVDKNELEDAQWFSREDVKKALTFAEYEKAQTTTAVKVKQMSKGVEKSFNLSLDFNMESGELASMFIPGPYAVAHHLIASWVNEAGVNDIQGHN >KZN00998 pep chromosome:ASM162521v1:3:10619602:10621029:-1 gene:DCAR_009752 transcript:KZN00998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDESEKQATPTRSEVVVVMVPFPAQGHLNQLLHLSRLISSHNIPVHYVSSTIHTRQAKLRDPLSTPNMMITFHDFSVPVFSSPPPDPHSSVKFPSHLLPTFYAALHLRSPVAQLLSALYPTTKRLVIIYDSLIPSVIQDVASIPNGEAYSFQSLSAFRISSYYWESVGRPQGIDDQIAKEVPSRASTLTPEVMEFVNKQEEHTKYSSGAIFNTCQAIEAPFLQVLAKVNKKQWAIGPFNPIEISKNNSSRHECLEWLDKQAPDSVIFVSFGTTTSLTNEQIERLAVGLENSGQKFIWVLREADRGDIFAEDARVCNLPEDYEERIKLNDQGIIVRDWAPQLEILAHTSTGGFMSHCGWNSCMESITQGVPIAAWPMHSDQPHNALLVTKVLKIGIFARDWAHRDELVESSTIEKAVRVLMATEEGEALRKRTVELGKAVRRSVEEGGDSHMEIHDFIAHIKRLTGDSKVLSG >KZN01813 pep chromosome:ASM162521v1:3:25582208:25586664:1 gene:DCAR_010567 transcript:KZN01813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKIGNHLITCTTFNILAPIYKRLDHQDQSCRESDNKANWLDRNQRILDMLLYERSSIICLQEFWVGNEELVTLYDKGLGDAGYMTFKLARTNNRGDGLLTAVHKDYFRVINDQPFLLHGFGDRVAHLLHLEVATPFLQHRNNSVRQEILVVNTHLLFPHDSSLCLERLRQVYKILQYVESYQKENKLHSLPILLCGDWNGSKKGHVYEFLRSQGFISSYDTAHQYTDTDAQKWVSHRNHRGNICGVDFIWLLNPNSYRKVLKVSWSETVFGMFKCLLRRESLTADDAFAILKADSSGDYITYSGFCDALSQLGVTGKQYGLSMEEAKDLWEQADIDGNGIIDYQEFQLRIWNPTLSHDRDDINNETWEDIENSTEHSVGLRVKDAVLFPPEVEKGMWPEDYSLSDHARLTVAFSPVRMSCSRLT >KZN01138 pep chromosome:ASM162521v1:3:12141557:12149096:1 gene:DCAR_009892 transcript:KZN01138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSVSEGVVQRGKLLVHLAENGHSFELGCDEYTLVEEVQRYIESVSGVHVNDQLLLCADMKLDSQKQLSAYGLPADDREVYLYNRARMRSSSPSPAPEQVELVETPDPQSPTSARNPHPLDDASDPALKALASYERQFRYHYQCGHAIYARTMLKYEICERFLREQKVQVKALEIARGNLDHYYRMILQNYNDFVKLNYIQHRSHSSLLVNFGRDVEKLRSCKLIPPLQTGNRKCLLDFVKEENLRKTVEDCSNSHRQFETKVSEFKQEFGELKRSTEHLFSSNSKTVDIIKNVELTVKEGLKYITEQKSVMQTLSKDVSTVKKLVDDCLTSQISSSLRPHDAVSALGPMYDGHDKSYLPRMQTCERSISNLLDFCRSKKDEMNMFVQGYMQKIAYIQFTIKDVRFKFSVFNEALKRQSDQFEHLKVVRGIGPAYRACLAEIVRRKASMKLYMGMAGQLAERLATKREAEVRRREEFLKVHSSYIPRDILASMGLYDTPNQCDVNIAPFDTNLLDIDISDIERYAPEYLVGMSSKIEKQGSMKSSLSLSHDSFASEVEESSLGATDKHSSEDVLEASELVEIAGTSKLEVENAKLKADLASAIAVICSFCSDVEYESLDDSKVENLLKDAAEKTAEALHLKDEYGKHLISMLKNKQMQCESYEKRIQELEQRLSDQYVQEQKFSVDKNASIFIPLINKADSKSEVLGEGEALMASVAMDEVSSATNSEHVKSGVLDKHGRPCEGLDENMTDSSGILNPQLDSSMLEPNHEDLHPHKDFKEPILADIGMGLAASSTADSISRPPNTLFSETDIDRNLDSKRSDELILELQHVIEEKSNILSEKETKIDNLMEEIVNLERELEISRKLLDESQMNCAHLENCLHEAREEAQTHLCAAERRASEYTALRASAVKMHSLFERLKTCVSSGSVAAFAESLRALSQSLANSASDKEDDSTAELRGCIRVLADKVGSLSKHRADLLDRYSKAEAANDQLTKELEEKKELVNTLYMKHQSEKQANKEKISFGRLEVRELAAFVLNSAGHYEAINRNCHNYYLSTESVALFVDHLPQRPSYIIGQIVHIERLVVSPVGAEHNNKDMVDFLTTDMGSSRMTAGSAANPYDLPIGCEYFIVTVAMLPDTTLHSQPTS >KZN03386 pep chromosome:ASM162521v1:3:44218258:44222824:1 gene:DCAR_012142 transcript:KZN03386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNMEKKALCFLIFLQLLLLNQCSARSNPMNSYKDAVSSPPVQLHRLPDNVVMDNGLAQITLTIPGGMIAGIEYKGIKNLLEYEYGSEYRGYWDLVWINGGNSTADRLNTTHFSVIAEDENKVELSFTRTWNPSTPNLPPMNIDKRYVMLRGSTGFYTYSIFERLGGMPATIISQTRVAFKLSEKLFHYMAISDNRQRYMPTREDREPSRSVQLAYPEARQLTNPSNPSFKGEVDDKFQYSKDNKDNVVHGWISDENGVGFWVITPSYEFRSGGPFKQELTSHTGPISLATFFSNHYSGDELNLHFGKGEAWKKVYGPVFIYLNSASTKKINDLHSTLWTDAKHQALIEQQSWPYSFPLSNDYPHADRRGTVRGRLQVRDRYLNQGLINAEFAFVGLAPVGDELSWQRESKGYQFWNQTDATGNFLLKGVRSGTYNLYATVPGHIGRYAYKLNINITPGSAIQLGDLIHDSPRFGPTLWEIGIPDGTAAEFHIPDARLINKLYINHDKFRQYGLWERYTDLYPKNDLVYNVGSSNYSKDWFFSQVTRKIKDEYHPTTWQITFDLPHVIASRNYTLQLALASSNLAHLRVYVNTSPAKGNPVFTTTEIGQDNAIARHGIHGLYWLFSVQIPGRTLVVGRNNIFLNHRSSSIPFAGIMYDYIRLEGPPTL >KZN00373 pep chromosome:ASM162521v1:3:4591109:4594016:-1 gene:DCAR_009127 transcript:KZN00373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFEPGNNLEKWRSYFRTCSGSDIFDIIENGILVAATDCPQEFKARRDRIAETLFSSKLTKCVGCDRVDLSVASEDRKVDEGSKESKVESFSDDRNGGMNVNKFENDYSYRDAEALTDELEEESRRFGEVLRIKDILENHNEATSLVFESLQRLELMPLSVEVLQVTEIGKAVKAVRKHPSTDIRNLANKLLRVWIALVDEWVETRKTIAGDLEGGTPESMNPSIVDEDERLPTPPYEDLAFFATHDSSMEFSQFFDGLEDELNPQNCEELNKNTESGRKARVERNNISKPRQQPLGGSGATPKFKKEELNKKDAEALMNKQATLVKPNKPPIVKSGFDRPAKPSLENKVLSEIKLQRKSDLTSQRPPIPKQKETKSSDEDKLEATKRKLQERYQEAQNAKRQRTIQVMELHDLPKQGLGHRNPHVRPGNRNGSHNRHGTIRRR >KZN02950 pep chromosome:ASM162521v1:3:39456370:39462007:-1 gene:DCAR_011706 transcript:KZN02950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQPNLPNEMMMGQVPQMANSSFNAQAQNVQSGGDHRNHPDTEKVRRFVQKKIYDYLILRGQQQSSDLSAKRMIDLTRLLEKALFNAAATLDEYMNQDSLETRLLFYLKQLRNTQNQRLLQQQQMNSGLANQNQQVMQQQQVNSGFGNMNQNQQFVQHGNSSGNLSTMIPTPGGFLPLTSSMGSASSFSNGYQQPTSVFPTTSGSNNMVSSVGGGERILSQMMPTPGFVNSNNTEAYRSLESSNSGVVPTVESMMVSQPLQQKQHISGQNRRILHNVGSHIGGGIRNGTFSGGLGMMTNYAQPVNGTGSFENQLPMSQVLHHDGYGSGSADFSGSGTGNVYASLNAPGLMANNQGNQSEFLTAQQDVHVKPLIEQSVKINSQSTQSLTENVVQSHQQQLLQQPNQISQPRLQNQPNQLVQQNQLIQQNQHIQQNQHIQQNQSLPNGELQMRKNQLRWLLFLGHAKSCRAAESCAEKHCITVQKLYKHIETCTLTQCPYPRCHGTRSLLNHYKICRRTDCPVCVPARTFVNKCKESRTNDPSVNGSFSTYDNRNASGRLINQSVIDTEDVNPPTKRTKIEQPLQSPVPDPETSAQPLPAFRDSCTLPDVQYLEHRPDETCRPVKSNVTGVKLETYAQDVPHVELKKDMNENSMRLSDGVSSVPSGASGIPKDESFNAGKEVYQTKPESVTLPAENVSTTKSGKPEIKGVSLTELFTPDQVREHITGLKQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLNFEPPPIYCTPCGARIKRNAMYYTIGAGDSRQYFCIPCYNETRGETISVDGTPCLKSKLEKKRNDLETEEGWVQCDKCEAWQHQICALFNSRRNEGGQAEFTCPNCYIAEVERGERTPLPQSAVLGAKDLPRTILSDHLEQRLFKQLKQERIDRARVQGKTYDEVPGADYLVIRVVSSVDKKLEVKPRFLDIFREVNYPNEYAYKSKVVLLFQKIEGVEVCLFAMYVQEFGADCQQPNHRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLKKASKEKVVVELTNLYDHFFVSGVGECKAKVTAARLPYFDGDYWPGAAEDIINKLQQEEDGGKLHKKGTTKKTFTKRALRASGQVDLSGNASKDLMLMHKLGETISPMKEDFIMVHLQHSCSHCCILMTSGNCWFCSQCKSFKLCDKCHEEELKLEERDRHPINQRGMHMLYPVEVTGVPADTQDTDEILESEFFDTRQAFLGLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCYVCHLDIETGQGWRCEICPDYDVCNACYEKDGGVDHPHKLTNHPSIADRDAQNKEARQQRVIQLRKMLDLLVHASQCRVPECQYPNCRKVKRLFRHGMSCKIRSGGGCLLCRRMWNLLQLHARSCKISECHVPRCRDLREHFRRHQQQADSRRRAAVTEMMRQRAAEVAGGNS >KZN03515 pep chromosome:ASM162521v1:3:45329944:45331649:1 gene:DCAR_012271 transcript:KZN03515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPWADGPEFVTQCPIQPGATYTYRYKIEDQEGTLWWHAHSRWLRATVYGALVIRPKLGSSYPFPTPKIEFPVVLGEWWNSNILDTMRQAVFTGGAPNVSNAYTINGQPGDLYACSRQDTTRLSVSPGDTVLLRVINAALNQQLFFTVANHRLTVVAADAVYSKPFATNIIMVGPGQTTDVLLTANQSPGRYYMAARAYASPKNAPFDNTTTTAILQYKSASCSGRNGACSRPILPRLPAYNDTNTVTAFTTQLKSPSKAEVPLKIDESLFFTVGLGFVFCNPGPRCQGPNNTRFAASMNNVSFVLPRRTSLLQAYYQKIPGIYTTDFPAVPPVRFDYTGNVSRALWQPTFGTKLYKLKFGSAVQIVLQDTAIFSTEDHPIHLHGYHFYVVGQGFGNFNPSRDTASFNLNDPPQRNTIDVPVGGWAVIRFVADNPGVWLFHCHIDTHLTWGLAMAFEVENGVGELQTLEPPPADLPRC >KZN00205 pep chromosome:ASM162521v1:3:3019169:3020098:1 gene:DCAR_008959 transcript:KZN00205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTNNSDGANSDHQTLQQTRPFTTENNIQNKAVSAPRPQKPPAINCPRCHSAHTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSSSLSSSLSKKLPDTINSYQNPNLKIFHESQDLNLAYPPNQFVEFTNSPTSYTNFSQFFKSGNNISGGRGFSSFMSVIPGSDSHSSGLLYSSPVPGSGLPFQEFSKTSGLNFSTNQHQLGFDNSENQGGFGSALQAVHQENNSSSTNHAKLLNFPIEDLKQPAVVTNTGSTNESEHNGGTHHRENDHLQSSGLIWNNGDLGGGASW >KZN00338 pep chromosome:ASM162521v1:3:4337246:4338432:-1 gene:DCAR_009092 transcript:KZN00338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAASLLNLKSPFSSSIKHLPAPRFPRPSTLLRSTITSSSSSPFLSAPATLKTRLRGGETLYGLFLLSFSPTLAEISGLAGYDFVVVDMEHGPGGVSDALPCLRALAATATPAIIRVPETSDTWAKKALDLGPQGIMFPMIDSPKLARKAVSYCRFPPNGVRGSAHTVVRASGYGIDNGYLNNYEDELLIMCQVECEEAVKKVEEIAEVEGVDCVQMGPLDLSASMGYLWDPGHKKVREMMRVAEKGVLKTGKKYDGTFLAGFAMPHDSPEELRKRGYHMVSGAVDVGLFRNAAVEDVRKFKSGLVEAADEGKDVDQEQQEEKYWSE >KZN03943 pep chromosome:ASM162521v1:3:50088605:50103753:1 gene:DCAR_012699 transcript:KZN03943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRNNILAPGFHSSCVLLMLFCHLCRYSHSQKEFRVGVIVDMGSWNGKIVDRCITMATSDFYNLNAHYKTRIIPQTTDSKGDPLQALEAAQGLVVKNVKAMIVGPEMSLDEKLLALISDEAKVPIFSLSGSASFDEYPYFLQLSNGEALQFEATATLAESFQWKNVIFICEDSEFKSGVVSHLFESFQEKNIQIDYISSLSPTISDDGILAELHKLMDELVKTRIKGLSGDFKTVNRTVPILQAFEIVNVIREGERRVGFWAKGVGITRDIMHPASSNNDLKVIIWPGGSIVTPRGMHIKKLRVGIPHNTAFKEFISQENDPQTSMIRPIGFCVDVFQAALELLPYNVMYEFIPSANVTGETEGTYNDLLYQIYLQRIDAAVGDISITAERSLYVDFTTPYTDIGVGTIVKIKTNKDMWIFTKPIGADLCLMTAVFFILTALLIWVIEKPINKDFQGSPSEQLGTIFFSTLFFSSSEKLSSNLSRFVILIWALLVLILTSSYTATLASMLTVQQIGLASNAIVGYRTSSFVERNTVSNINYKDYRLRPYSSAEQYADALSKGSKNGGIDGILDEIPYIQAFLSKYSADYTMVDSASSTNGFGFAFPKGSSLVHDISRAIANLREDGRLEMLQKEWYNKPRSLVSQETLAKPQVLKIDRFGGLFLVTGVSLGLALIVIIYYFIRKKLNVNNYIFEKLANGNLAILMARLSSGQEINTSSTAENEDPSGDYCHSQNEFRVGVILDMGSWNRKMVHSSITMAVSEFYNINSHYKTRIVPSTADSKGDPLQALEAAQELVVKNVAAMIVGAEMSLDAKLLALIGDKARVPIFSLSGSASFDEYPYFLQLSHSEAMQFEATAALVESFHWKAIIFIGENANYKPGVISCLLESFQEKNIQIDHISSLSSTITDDGILAELHKLMSMQTTIFVLHMTPSLASRLFSSVKSLGMQSEGYAWILTDTTRDIMNSGELMQPIEGALALKPYVPPSTKLRNFTSRWRKELQDKKPYMNVNELSSHGLWAYDAIWALAKAVEEVNMQINPKSTEEHNSASDFIHVGVSLIQKKLLYELVKTRFEGLSGEFKIINRTVPILKAFEIVNVIEEGEKRVGFWAKGVGITREIMHPALSHNNLKLIVWPGGSITAPKGAWPSRKKLRVGVPKKKGFTEFINLEYDPQTNTTRAMGFCVDVFKAALEALPYEVPIEYIPFVDANGELKGTYNDLVYQIYLEQYDAVVGDVTITNNRSQYVEFTIPYTEIGVGTITKVKENEDMWIFTKPVGADLCLITAVFFILTGIVIWFIEKPINKEFQGSLSQQIGTIFFSTLFLSSRQKLSSNLSRFVMFIWVFLVLILTSSYTATLASLLTVQQIGLASRGANVGYQTGSFVERAIASNLNFMDYSLRPYSSAEEYADALSKGSKNRGVDGIVDEMPYIKAFLSKYSPDYAMVDSASTTNGFSFAFRKGSPLVPEISRAIAKLREEGTLDTLEKKWYNKPSSLVNQELPPKPQVLKYGHSQKEFRVGVILDMGSSNGKMVHSCITMAASDFYNVNAHYKTRIVLQTIDSEGDPLQALEAAQNLVAKNAEAVIVGPETPLDAKLLAYGHSQKEFRVGVILDMGSSNGKMVHSCITMAASDFYNVNAHYKTRIVLQTIDSEGDPLQALEAGERRVGSWTKGVGIVREKRHPDLSDNDLELIIWPGGSITIPGGAWSFMRMNRTKLRVGVVNNSGFEEFASLKYDPQINTYRAMGFCVDVFEDALKALPYEILVKYGPVEEATYDSIVYQIYLQLQKYDAIVGDISITYNRSRYVDFTTPYTDIGVGTIARVKRNKDLWIFTKPVSVNLCLMTAAFFIVTGIVIWLIEKPVNKAFQGSLSEQAGTIFFSTLFFGYRENLSSNLSKFVMLTWAFLVLILTSSYTATLASMLTVQQIGLASRGDSVGYQSGLVAKTIVSNLNFTDYRLRPYGSAKDYADALSKGSKNDGVDGIIDEMPYIKSFLSKYSQDYAMVNSAPTTNGFGFAFRKGSPLVSEISREILKLREDGTIQTLEEKWYNKPEYLVEQETQPKPQVLGVDNFGGLFIITGVSLGLALFVIIFCFIRKKLNLAVTHLQFRSERACINE >KZN00247 pep chromosome:ASM162521v1:3:3418077:3421443:-1 gene:DCAR_009001 transcript:KZN00247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAANSSYNKATNYRSPLQRKNSRDDLDRFIPNRSAMDFDYAQYMLMEARKGKENPAVSSPSREAYRKQLAETFNMNRTRILAFKNKPPTPSKAVQIDCLSGAVHQAKPKTRRYIPQTSERTLDAPDLVDDYYLNLLDWGSANVLAIALGNTVYLWDASNGATSELVTLDEESGPVTSIKWAPDGRHIAVGLNNSEVQLWDSTANKQLRTLRGCHSSRVGALDWNNQILTTGGMDGQIVNNDVRIRDHIVETYRGHHQEVCGLRWSASGQQLASGGNDNLLHIWDRSTASSNSPTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKIAELTGHTSRVLYMSQSPDGCTVASAAADETLRFWNVFGTPEVAKPAPKAAQEPFAHLNRIR >KZN01763 pep chromosome:ASM162521v1:3:24693609:24714907:1 gene:DCAR_010517 transcript:KZN01763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLQPSTFHYNPTSSSKLLYNNTSSFHCHVNLKTLRTDESKKNLIVGCAGGEGQGVAVEEKKTSSTTTTTTIPPGIEVSQGYPTPLGATCRDGGVNFSISSTNASAATLCLFNSPSELCKRTVSEQISLDPVTNKTGNVWHVFLRGHDFEGIGEFGVLGPGDDCWTQMACQIPTADDEFDWEGDLPLKLQQKDQVIYEMHVRGFTKHESSNIKHPGSYLGVVEKLDHLKELGVNCIELMPCQEFNELEYYSYNSVLGDYKMNFWGYSTVNYFSPMARYSAAGVHNFGLGATDEFKLLVREAHKRGIEVLMDVVFNHTAEGNENGPILSFRGVDNSVYYMLAPKVWLLVILCVPYATLNRKKYWVMEIHVDGFRFDLASIMTRGSSLCDAVNIYGDQSEDDKLTTGSPLCNPPLIDLISNDPILRGVKLIAEAWDCGGLYQVGMFPHWGIWSEWNGKEAGRKPWHSINFISAHDGFTLADLVTYNDKHNLANGEDNNDGENHNNSWNCGQGVPMIHMGDEYGHTKGGNNNTYSHDNYINYFRWDKKDESSCDFFRFCCLMTKFRRECESLGLNDFPTAERLQWHGHSPGVPDWSDASRFVAFSFMDSLKGELYVAFNTSHLPVTVMLPERPGFIWKPLVDTSKPAPFDFLSDDLLDKEVAIKQYSQFLEANLYPMLSYSSIILLLAPDD >KZN02658 pep chromosome:ASM162521v1:3:35598084:35598707:-1 gene:DCAR_011412 transcript:KZN02658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTNVLLSTLVVLIITNLQIIFAATDAVLDTDGQELHTGTKYYILPVVRGNGGGLKLYSGRTSECPSDVVQEGGELNNGVPVTFFPVNPNETTVRASTDLNIEFSGGSACPQSTVWRLDGGDAGSNQRFVSTGGVIGNPGSDTLSNWFKIERLEGNNNWYKLVFCPGVCDICRPVCGDLGILIEKSGTRRLALNTEKAFQVFFKKA >KZN00425 pep chromosome:ASM162521v1:3:5232173:5234460:-1 gene:DCAR_009179 transcript:KZN00425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKINSFFKPSPSCSSSSTPKSVDQDPLVDDIFFDTGEDEHIKEHEIVVKYKRRDVNIDSGGLGNSDLGDSSSKMVLAKSSKVLTKKRSYAQYHLELGQSDFLLHTCSTCGFKYATGDEEDEKVHNSFHKTYTHGIQFKGWRNERVIHVAEEGRVLLVLDGDPPAQWKKVQEVVKMMETELGEGWICNKDCKIYLFISSSRISGCLVAQPIEKAYRIICNTVDGTSSSNSSKKTKSGSDTMQFGSVSFQRERAKSVSSSKNSQVLNDSLSGAILCEKEALPAACGIRAIWVAPANRRKHIATRLLDAARKSFCSGPILEKSQLAFSQPTSVGKALASTYSSTPSFLVYRTTDME >KZN00820 pep chromosome:ASM162521v1:3:8977994:8981292:-1 gene:DCAR_009574 transcript:KZN00820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGTELLCPNRKDVLGSTSDDSGSARSNLRCHYSLEDYDRLKKRCKEGVESVDETVGSCRVRLAGIAATAPACATTSLSGLSGRGIKRKIGCIDAATQMGRKNKIEDDFISGATIGKGKFGSVWLCRSKVSEEDFACKTLHKGEETVHREVEIMQHLSGHPGVVTLKAVYEDPKSFHLVMELCSGGRLIDQMTKQGRYSEQQAANIFKDLMLVIKYCHEMGVVHRDIKPENILLTNSGKIKLADFGLAMRITNGPPSADQSLNGIAGSPAYVAPEVLLGNYSEKVDIWSAGVLLYALLAGALPFKGNSLEDVFEAIKTVKLDFQAGIWESISKPLRDLLERILTRDAAARITADEILAHPWILFYTERTLRTLSFKSKTKNQPGSHHLPVSFIPNSSRKIRDSNFVDDDSSRNSSSESLSQEKDEQDDCGFVDALAVAISHVTISEPKRSRLCGPTSPIREQCSSNVTSNSLCKAF >KZN01386 pep chromosome:ASM162521v1:3:15245707:15250383:-1 gene:DCAR_010140 transcript:KZN01386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNTDSNPYFQYDHQSYHLQNPNFIPHNQFTTTTTPAVGADSQASAPPISSDYSLDYSGYYDDSPVLQHTDHRFHQLTPNPDFSRNQNTEIFGNSVPPFLNEASSYYNDQMNGVYGGFGGDSGGNVEGYGGGSGVVFDDYGRPISAPKGDINVAKGNERNEVGSFGDIVRAVPKVEDVEKEVNDGVQKFRVKMLSEGGGQSDMDVLCQIGLDGIRILDSATNRILKIYQLETLTRWEVLDSYIFAFWVQSSIDNEPRRIRIKSNSYTTSNLLDTVAAASIQLKEMAESNKPSTSIKESDSDKKKGLADWMNLVKPGSEEKDHWVPDEAVTKCTNCRTDFGAFVRRHHCRNCGDVFCDKCTQGRIALTADESAQPVRVCDGCMAEVSQRMSNAKEVTAKVTGLRSHNDLAKQLQEAMEKNRKPSTGVVMPIRSDTGMREVECPTCTFHLQVKVPTSGSKTIECYICRHPFLIGAP >KZN03593 pep chromosome:ASM162521v1:3:46100233:46102339:-1 gene:DCAR_012349 transcript:KZN03593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVFELFSNSDYTFVECTVVRDDNICHTCNKAAQGSDIYFCTRHNSLERFYLHMTCSKLPVSVYLHEHSLNLEEDLIFGDDAACNICKERVVGSPTYTCVSRNDDVDCQNFYLHKTCAEFPQQINHHKHTIHPLSLLPRSDNDSCEICHGNIKVSYACVDCDFDVCVFCALEPRLLHHQGHKEHALTLMKKESLFECDACHEEAKDSSYVCTTCEFCIHKSCAFSPFIIQSPTYHNHPLTLVYAVPDIHLFFKQYCGICRRYVYPSCWVYYCHKCTYFVHIKCSTSTLPMVNEDEADGMDNDPDLVRFPLPSKESIFDLIVTQCCKYQDNFKDEGEINVTMPITSIDPHIIEKHWSHQIHPLQLLQFTNCENDSDDSDDDRRELICNGCIQPITVSHPSYYACIQCGFFLHSFCATKLPQKLPVGASHFHPDHSLLLEMKDKFYDLVVCGVCRSCTNGFYYHCPTCDIFVDIRCAFLPTRIKYKSHKNHSLVQRPASNSTCSVTRCRNQVGVEYGCETCSSFQINMCGIIIPSRMEHKYDAHPLTLRYPPFFYEGAFYCEICEERVNNQELLYHCSESEHSYHYYCGFWLNNVKLGGTIKVIISDKPHTLALVLKTPTRKKPIHSCSQCFAYYSTYCFLLECDGCGLLACLECPLSGKLQQIALL >KZN01884 pep chromosome:ASM162521v1:3:26532483:26544541:-1 gene:DCAR_010638 transcript:KZN01884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRGSSNLLSGMVFRSRISTLLLSMFATFASLLWQDAENRVYLAKELDRITGQGQSAISVDDTLKIIACREQQKKLSALEMELAAARQEGFVSKHSPDTKKSPKKQPLVVIGVLTSFGRKNNRDAIRKAWMATGAALSKMEEEKGIIPRFVIGRSPNRGDSMDQAIDWENRQNDLLANVLATHVDKPRVYIGCMKSGEVFSEKNEKWYEPDWWKFGDGKMYFRHASGEMFLISKALAKFVSINRSILRTYAHDDISTGSWFIGLDVKHIDERKFCCSSWSSGAICAGV >KZN01157 pep chromosome:ASM162521v1:3:12345120:12349180:1 gene:DCAR_009911 transcript:KZN01157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DXS-4 description:1-deoxy-D-xylulose-5-phosphate synthase MHQFRGLVVAAVEGNKNDEKDIQVNGEQSKGMINKNDELSKSLNFSGEKPVTPVLDTINYPMHMKNLSIEELEKLTEELREEIVYMVSKTGGHLSSSLGVTELTVALHHVFSTPQDKIIWDVGHQHFLHLKDVKHEHQDTYDQVTELTGMAVGRDLLGNSNTVIAVIGDGAMTAGQAYEAMNNAGYLDSNLIVVLNDNRQVSLPTATVDGPAPPVGALSKALTRLHSSRKFRLLRDAAKEVTKKMGDQTHEVAAKFDSFVRTAAGGERATLFEELGLYYIGPVDGHNLKDLVYIFQKLKSMPEPGPVLIHIITEKGKGYPPAEIAADKMHGVVKFDPITGKQLKSKSDTLSYTQYFANALIAEANNDKKVVAIHAAMGGGTGLNSFQKHFPSRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKIPVRFAMDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSCETELMHMVATAAAIDDRPSCFRYPRGNGIGSILPPNNKGTPLEVGKGRVIREGSRVAVLGYGTIVQSCLAAAQLLEELGISITVVDARFCKPLDGVLIRELAREHEVLVTVEEGSIGGFGSHVSHFLSLNGLLDGNLKWRAMMLPDRYIDHGAQKDQIEEAGLSPKHIAATVLSLIGESRDSLHLANL >KZN03957 pep chromosome:ASM162521v1:3:50194009:50194674:-1 gene:DCAR_012713 transcript:KZN03957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMELGVVTGIGVGRLSLAPPPNLKLKAKRVFSGKSGGLVMDDDKEDTMMGGTGSRRRSSRSRKAKKTTDEEEEDEKKKRRRASKKTSSGLSTSSVMIDIEDFGKEQSFEIRSSLLDWYDNNQRDLPWRKTTTCSDRAYAVWVSEIMLQQTRVDTVIRYFIRWIHKWPTLVHLSHASLEEVNQMWAGLGYYRRARFLLEGAKFIVQQGGHFPTTLSELRXG >KZN01080 pep chromosome:ASM162521v1:3:11382492:11388389:1 gene:DCAR_009834 transcript:KZN01080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISLGLALYVLLLSLLCFHSVVIDSAVSSIDLGSESLNVAVVNLKPGHLPISIAINEMSKRKSPALVAFHSGNRLIGEEAAGLVARYPNKVLSSFRDLIGKSHEFAKNALERMYLPFEIVDDPERNVVAINAEGDGDSYVVYSVEELVAMVLGFASRLAEFHAKVEVKDVVISVPPYFGQVERKGIMQAAELAGINVLALINEHSGAALQYGIDKDFSNESRNVVFYDMGSGSTYAALVHFSAYKTKEFGKTVSVNQFQVKDVRWDPKLGGQDMELRLVEHFADEFNKQVDSGIDVRKSPKSMAKLKKQVKRTKEILSANTMAPISVESIYEDRDFKSSITREKFEELCEDLWERSLVPLKEVLKHSGLQANDLNAVELIGGATRVPKLQAKLQEFLGRKVLDKHLDADEAIVLGASLHAANLSDGIKLNRKIGMIDGSMYGYVFELTGSGLSKDENTRQLLVPRLKKLPSKMFRYIAHNKDFEVSLAYDTEDLLPPGVLSHTFAHYVVSGLTDASEKYSTRNLSSPIKASLHFSLSRSGILSLDRADAVIEVSEWVEVPKKNLTMENSTFESPNMSAEASPKNASEEIDESLLVTGELLSNSSEIVNDQNSTDIVTEKKFKKRTFRVPLKIIEKALVPGKLFSRESLAKAKSRLERLDKKDAERRRTEELKNDLEGYIYATKEKLDSDEFEKVSSSQERQSFIEKLEQTKYIVEFQVQDWLYNDGENASAAEFQQRLDSLKAIGDPISFRYRELTARPEATQLARRYFDELQQIVQEWETKKSWLPKERIDEVLTDTEKVKNWLVEKEAEQKRTPVSSKPAFTSEELYEKVFDLQDKVASVNRIPKPKPKVEKAVKNETEGIGNKTDSSNSSSQYSSGSQGDETTNDSEVLAEERSNANESNSRDEL >KZN01188 pep chromosome:ASM162521v1:3:12793632:12794202:1 gene:DCAR_009942 transcript:KZN01188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRLFTM >KZN01487 pep chromosome:ASM162521v1:3:17232258:17238220:-1 gene:DCAR_010248 transcript:KZN01487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASSSLLCNPSSLQSRQNLLPTQFIWPKEDLVNEASQELNEPLIDLAGLFRGDTRETLKAAELLHTACVNHGFFQVTNHGVEEWLVSAAHEEQNNLFKLRNEKKMSLKRKAGSIWGYSGAHSDRYSSKLPWKETFSFRYDHGNCSTHKMVHHYFTSVIGNEFEEAGIVYEWYCAAMEKLSEAIFELLAISLGVERKVFKKFFQDGSSIMRCNYYPRCNQPGLTLGTGPHTDPTALTILHQDQVGGLQVFASNKWQSVRPRAHAFVVNIGDTFKALTNGKYKSCMHRAVVNKEMERKSLVYFVCPREDKVVRAVEELVDKQGGTRIYPDFTWSDLFTFTQTHYRADNSTLVSFFSWLLPSPTAVNHPSASGRT >KZN03340 pep chromosome:ASM162521v1:3:43800151:43803517:-1 gene:DCAR_012096 transcript:KZN03340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLEVSKVVDGDQGWRLISCMWLHGGVFHLLANMLSLLAIGIRLEQEFGFVRIGLLYVVSGFGGSLLSALFIQSNISVGASGALFGLLGGMLSELITNWTIYANKAAALITLVVIIAINLAVGILPNVDNFAHLGGFIAGFLLGFVLLIRPQFGWVSQRYAQHSTLPVKSKFKAYQRGLWIISLILVVVWLVAALVMVLRGVDLNDNCSWCHYLSCVPTSRWSCNTQPVSCLSEQSGDQLSLTCSNNNRTRTYTQANATSSQIRGLCSQLCR >KZN00580 pep chromosome:ASM162521v1:3:6604750:6607513:-1 gene:DCAR_009334 transcript:KZN00580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATSRKSVLERVGVHGFGGRKQRRYSNSRYGVHEEDGCMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFQLQFGDQYRIIVKDVWKEYTGWPLNKMESQYKFMVKHVQLWKVAFHGTSPRWIHGLYLAALAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLIVLKWQRLQEKVIFVTVITDLNTCHRTWFHPRVNRCYCPSNEVARRAVLDGLMESKTRVFGLPVRPSFCQAVFSKPDLRVELQMDPELPAVLLMGGGEGMGPVEETAKALGKTLFDEEVGKPIGQLIVICGRNEALASTLESLEWKIPIKIRGYENEMEKWMDACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEKGNVPYVVDNGAGVFTQNANETGRIVAEWFSTKTDELQRMSQNALKLAKPNAVFDIVKDINDLVRQRRPVFNDPYMSSPPSFAGLI >KZN02511 pep chromosome:ASM162521v1:3:34121432:34123250:1 gene:DCAR_011265 transcript:KZN02511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKQKAQENGSNNNDDAKNELVEKEGENLLGAPKFKKLENGRFKCVETGHELPAHAKDSYAQTKHCRLGLIDSFLRRNKPPLNMFQQDPVSSSKLTCKLTGLTVNKSEEHIWKHINGKRFLNMLEKKEAEKEAPNGTGEGPSEEKTDANKTEDGSTKKNKKKEKKKKKKKNMSKQMEETEENANVSDVKNPSGEGDSLEEVDFWIPPSGDRWDFDDGGQRYGSDSGPETDDSSGTDGADEENGSDMEELSKKEKRMPVESNIENCMPRKKKNKTKSTSQDN >KZN01898 pep chromosome:ASM162521v1:3:26723287:26726479:-1 gene:DCAR_010652 transcript:KZN01898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRLTRPLMMMAKVKETTGIVGLDVVPNARQVLINLYNKTLKEIQAVPEDEGYRKAVESFTRHRLKVCQEEQDWEAIEKRLGCGQVEELIEEAEDELKLIDKMIEWDPWGVPEDYECEVIENDAPVPKHVPLHRPGPLPEEFYKTLETVTTGTLEENVKKESPAIDSSGSQ >KZN02990 pep chromosome:ASM162521v1:3:39914631:39922989:-1 gene:DCAR_011746 transcript:KZN02990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVDSTRAFLKDVKRLVVKVGTAVVTRGDGRLAVGRLGALCEQIQELNTQGYEVILVTSGAVGVGRQRLRYRKLVNSSFADLQKPQAELDGKACAAVGQNGLMAIYDTLFSQLDVTSAQLLVTDNDFRDSDFRKQLTETVKSLLSLKVVPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVDGLYSGPPSDPRSKLIHTYVKEKLEGVITFGEKSRLGRGGMTAKVKAAVYAAYAGIPVVITSGFAGGNIIKVLRGERVGTLFHRDAHKWVPMEHEGVREMAVAARESSRRLQAISSQERSRILLDIADALQANEKQILAENEADVAAAQMAGYEKSLISRLAMKPEKARTDRNHLINIFGFSVKSSKSYSCTCKYGGANWSCFDKNRGNQSKMKNLWSFKFTQRPDMVRVLSIIFIAEGLVLEKTSSPLGVLLIIFESRPEALVQIASLAIRSGNGLLLKGGKEAKRSNAVLHKVITSAIPKSVGEKLIGLVTTREEIPDLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHSDGICHVYVDKSANMDMAKQIVLDAKTDYPAACNAMETLLVHNDLVQSGVLNELIMELQIKGVTVYGGQRVSSSMNIPEAPSFHHEYSSLACTVEIVDDVHAAIDHIHNYGSAHTDCIVTEDQETADIFLHQVDSAAVFCNASTRFSDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWIAKGSGQIVDGDKGVVYTHRDLTQKA >KZN00637 pep chromosome:ASM162521v1:3:7113145:7115634:-1 gene:DCAR_009391 transcript:KZN00637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRIMQLLSLCLYVSLHSVVTSSFTPPDNYLVNCGSNTNVSVDNRVFVADGIQPGSVFLSSGESVSVTNPNPSSIAAPLYGTARIFKTASAYTFKINKLGTHLVRLHFSPFVTEDYNLGNANFSVSANGLLVLSHFSAKKPVFKEFVLMADKVNFEVLFVPNGEEDGLAFVCAIEVFSTPDDFILDNGVKLIGPNGVQEFKDLRLQTLETIHRINVGGPKLTPFNDTLWRTWVPDEEFLVSKLTAKLANTTHPPNYQKGGASREIAPDNVYMTAQQMNRDNTSSGFSYFNVTWDFPVGAGSVRHFVRLHFCDIVSIALNQLYFDVYINEFVAYKDVDLSSLTFHSLASPYYIDFVVDSENSRIVRVSIGPSDLSNILRKNAILNGVEIMRIVNFVGIRAESKKINKWILVGSIVGGVAVVCLAILAVLVVLRSKRRKAKPRPAESMGWTPLRGFGGSSLSKQSIVTTSTSPGQNGYLALKIPFAELESGTNNFDKNLVIGSGGFGMVYKGVLKDSTRVAVKRGVRGSRQGLPEFQTEITILSKIRHRHLVSLVGYCEEQSEMILVYEYMEKGALKNHLYGSNLPPLSWKQRLEICIGAARGLHYLHTGSAQGIIHRDIKSTNILLDENYVAKVADFGLSRSGPCLDETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLLDREQVNLAEWAMEWQKKGLLAQIIDPNLVGNINSNSLKKLGETAEKCLAEYGVDRPTMGDVLWNLEYALQLQDSKEPSQDTNHTTPEVLEHEAVPTVYSCSSRTDGDIQDATTDVTSGEIFSQLVNKEGR >KZN01350 pep chromosome:ASM162521v1:3:14728841:14730896:-1 gene:DCAR_010104 transcript:KZN01350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSPPDAMKAFLRTSDLCKIHRQAGRQGSARQVFEPECMEFVSALAAGRQAKLIVEITTQGITPLTLALTVAATQTGGHLICIVPHHDKTKTKIPNQLKQHIIELEKVARIVIGDPCEVDQALQEHRLCCYRW >KZN02139 pep chromosome:ASM162521v1:3:30126017:30126415:1 gene:DCAR_010893 transcript:KZN02139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGQEQPPHPRPPAPLYKQKSWSPDLYRDEAWLKRQGINKNRHRRSKSVTNEDIDELKGCIELGFGFDSPEIDRRLSDTLPALGLLNYALNKQYYNSATSSFTSSASDGDVASPLGSPGSIFFPGQLISCV >KZN00360 pep chromosome:ASM162521v1:3:4479323:4481018:-1 gene:DCAR_009114 transcript:KZN00360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILVVLGHIMLIVSLHAATNEAQEFIKPYPRGYNASGHGEYDYDEDSGRGPSEWGNLKPEWALCKKGKMQSPVDLTNVTVKLVSDSDVVYAEYQPSFTTLLNRGHDVALEWKGDAGSIEINGVEYRLQQVHWHAPSEHTIRGKRYELERHAVHVNSDTNEIAVISVLYKIGRNDPFLFKLRRYLKTMVETNVNETFPGIINPSDTTCHDDESFYRYTGSLTTPPCSEGVIWTVQRKIRTVSRRQVDLLLNVVHGAFNLYLYDCNLMQNENARPLQAVNKREMFLYVSCKGTGWDLILNPLQYIQRKLFYSSG >KZN03113 pep chromosome:ASM162521v1:3:41357973:41369129:-1 gene:DCAR_011869 transcript:KZN03113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTSSSTATSLDTAKPSLMSDPAQQITLVQPTPRLPTRLASLYKPSSKTEPSQVAIPSSNGSAQQTVMQPRHRALDTINSRKMEAEGHHSIEMPPQAPSTLPLAPPLPPKKLQSKKPSPFYDGQRQKYNEICVPLNNAALKGDWPAAEKIIRQFPEVVRSSITQNEETVLHIVSSTKHIHFAEMLVNEMNDEDLELQNGDGSTALCLAVASTVEMVDVLLRRNKGLLKIRKKGDLPFLCAVYSGDRNMVEHIYSKTDLVDLKWNYSDKTRILDSCLAFGLLDIALKILIDTREKGILTIETLVLRYLASNASAFQGQTALRRLINTNLILEAGLKINKGLHMGNDEKKKGLDVKEVHLRLLDDLVNVNSLLTVAVFVGLSLATPDIKSLDSRHECRAGPREAKKLILCEVVAFSCFILSSIVAKVLKLHLYLDGNGNYAFTSPDLDLKDFMLALSACGSVAGIVSVSVSIVYIIEIRVGLLSCGCEESTAAVVVLGVLVGFALLIYVVSVAIAIYASYKSDHHKSESGENKNGLKYPST >KZN03516 pep chromosome:ASM162521v1:3:45332180:45334810:-1 gene:DCAR_012272 transcript:KZN03516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMIKLEEGHDPNRVICRAFQYTHSPGIFYGKDPLEFSFPLLLLEVSLVIVITRIVRFVLKPLKQPRIVSEIIGGIIIGPSCLGRNKAFATYVFPDNSAFVMKTIGVLGFVYFLFLCGVKMDLMTMAKPGKKQICVAFFGIFTPIVSSGTVAFFVRKNLPQELGTGSSLWGVTSSVAITAFPVIYTIIKEFNLLSSDIGRVALSTAIISDVIGMHTLLVFEASKQGEGRPMAALYYIISLFVVTAFIFGGLRAVMLWIVRATPEGKPVEQIYIVFILLGVMVIGFISDFLGLAIGNAPLWYGLAIPDGPPLGATLVEKSETIITEILMPFSYVYIGLYTDIFSLSGRWSSLKPLFLITLTGYLAKILATLAVTRFYNMPIRESIALSLILSLRGQVELILFIHWTDLKMITQPAYFTLMVLMTTSATAIASPLINLIYDPTRPYMINKRRNIQHNPPNAELRIVLSIHDEESVPGFISLIEVSNPNPNSPFSVYALRLIELIGRASPLFIDHETQEGDSYISDNYTSSNPIHNALKFSHESEGEYVEIHPFTSVSPKKSMYQDICELALTKKASLIILPFDKGWLDMRNSTIEGAAAQKKVQSINFNVMNHAPCSVALLVDKGSLQGHLHGNSSISMQHIRHYFAVLFLGGPDAREALAFADRMASHPDVSLLVIRFLSPNGEGDDLIEKKLDDGLVTWFWVKNEGNSKVTYKEVVVQNGEDTLSAIQAMNDDSISLWIVGRKHGINPLLLQGLQSWSDHQELGVIGEYVSSLDLSTSASVLVVQQQILRDQRKPSKVSFFQRGFKDCVKSGSRTD >KZN01505 pep chromosome:ASM162521v1:3:17527159:17530279:-1 gene:DCAR_010230 transcript:KZN01505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHEGSTSGTKNMYFENYSDSDEDFDRYSYVYEIDENAEGQVISSYPTNVQILVATSNRAPDNLYERGLQRYLFVQIIDATLKERCVVHQQAIGKRIGYNIVSSAVYKYSIVVFLHLFADCLIFISPALQAEQGFCFIETYESDVQKQKFEQLIGSDRAGPQEVAVVMRRRVLLNFIHCELAHLINSHVLLHPVAISVHNKEMNNHEASTSGTKNEIELTQFPLRQ >KZN00101 pep chromosome:ASM162521v1:3:1949875:1951327:1 gene:DCAR_008855 transcript:KZN00101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMFFRNPCDEDDDEYIQLLLHRELSSQSSLVITTGDWIAGARSDSIKWIFNVSLLDQFFSLYLIFNKKVVSDAQNVMQLNCIVVKQAITLMGFQLHTAYLSVTYFDKFLSLRMIDDEKYWAVRLLSVACLSIAAKMEECNVPLLADFPMEDYNFESKTIQRMEFLVLNTLEWQVNFITPFRYLHYFISKFRHKNEPRNVVPIHLIFAVVKDVNLMNQRSSVIAAAATLLALDENLTRQGLETNINSFADGILNIVSFGFLKIINCLIMFWKSPDGILMQQEDVYRCYKRMQELSADETNLVLDNPASTPIRLIEPNAYENSLVTPVMNTRRKRLEFSECDQD >KZN01106 pep chromosome:ASM162521v1:3:11738805:11741242:1 gene:DCAR_009860 transcript:KZN01106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGYQAGPSSSSGFRTFAYGDTTFTKVFVGGLPWETRSETLRRYFEQFGDIVEAVVITDNNTARSRGYGFVTFRDPESAKKACVDPTPIIDGRRANCNLASLGRPRPPLRFGRNRSTSPYLRGGLSATPGAYSGEFAYQQTLSYGYQQGLTYPPYGYMTYGLEYIYPQGVYNPFLAQQYPQVYGVPGSVNLATYPYSVSQYVPFLSGHGYTTSQGYSLPSHQAMQPGGPIVRSISTSLPTLQPQYPTGRVHFSQVPYSPFTL >KZN03282 pep chromosome:ASM162521v1:3:43157035:43158552:-1 gene:DCAR_012038 transcript:KZN03282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIVKAPKAYTKAEVSSHDKRTDCWIIIKQKIYDVTSYVEEHPGGDAILAHAGDDSTEGFYGPQHATRVFDMIEDFYIGDLQT >KZN02346 pep chromosome:ASM162521v1:3:32309528:32310050:-1 gene:DCAR_011100 transcript:KZN02346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSNPRGESVNRLNPRGNGDNENFVNTQATVVTYLECQKNVAESAGGHAVDGCQEFIGSGGEEGAPSTLICGACGCNRSFHRRLVTVLSE >KZN00959 pep chromosome:ASM162521v1:3:10322127:10322831:1 gene:DCAR_009713 transcript:KZN00959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETDASQTPPATAKKVRATFVLRQTNIEALKKMVSNKLPRLSHLSSFTVACGYVWSCLAKTQKEIATNEEDEEEHLIVAVNCRARLDPPLPETYFGNCLTICFITTKNSQLVGGEGEGFLRAAELIGESIHKKLNEDGILKGAEMWYEEFKEVKTKWKREHISGIAGSPKFYFYDIDFGWGKPQKYEFVSEKLSMSGRRDAHGDIEFGLCLKENEMVAFSAIFGEGLHCTDEL >KZN01656 pep chromosome:ASM162521v1:3:22686329:22689790:1 gene:DCAR_010410 transcript:KZN01656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFINARFAGRFLENLKEGEIYCISNFIVQDHTGLENHRSVRFSRHIYFGEYTRVEKISDPQIKMPLWSFDLFSLSDMEKYEGDKRYLFEPLRDYVTEENVPKKQKLFFISDGRCTIKVTLFDECAVSFELAEPKESDEDTTVIISSAKLGRFHGELNLANYLATRFYINSNHYSISSSLFILTSYPIIYLLSYSANKSEPEVMTFIPKKTPLLTILQIQNLKNKNKEEQVLCKVTIKKIDDKVPWFIRVCTKCGDELDIDPVIQMVVTNVLNVQDSFHGLTEVANEVVKVANEVANEVATAVATAVATSFATSFATFANSFVTFATSFATFTAAPPKVANEDSASGGFPSILKALKDKTYLITLLITPDNMSSKSNIYKSCDIDVNAEVYLGSKIPENNPSGETAEDEIVKTFHTSKEEEMNSEMASTFSPGTNSSSSKNRVRKSPEPKPLKTAKKIIKKTTVNQTEKGKSTNKIKVKKETLNKPSQSKKKALILISEDEEFIAEEHVPLKNLSKKAKNKDPDSAC >KZN03636 pep chromosome:ASM162521v1:3:46528198:46529465:-1 gene:DCAR_012392 transcript:KZN03636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMGGMGGMPGMEALAGMGGMPGMGGMGGMPGMGDFSMGDDFDESDDEGEEGTKPEKADAEKAAALPEKKAEATSST >KZN03800 pep chromosome:ASM162521v1:3:48281224:48286568:-1 gene:DCAR_012556 transcript:KZN03800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPSSTSPSSPRKRRRIGEQSDPSAQSDSLELNFSFSDTLVALQMMRSQFPSNPKILVKPFVLQSQLYSSVKDRTQVDRELESLRREKVIRIFKLNTGQDDHAIMFLDDYLDEIKCVAERMKAKKQDDLAIFEWFKLHVVHSKLDPSIEHEELCSLLSLGGKVKDEHLTVLINAGLLARQLVDPNMYWFTIPNIGSLLKSLSQGRQELLSFLKRRRYKEMMMATLEKKSLRFSPLDIRFHLRDLIGSGSLKTSQTPSGLVVQIAKD >KZN01478 pep chromosome:ASM162521v1:3:16941599:16945240:-1 gene:DCAR_010257 transcript:KZN01478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKLMARLRRSNLYTFSHINRAHHQSAQQDIIDFGYPRTIYCNQIFQPFQKRSLIYCGNDISTTKYSVATFLPKALHEQFNRVANIYFLIAAVLSTTPYGPFSPFSAIAPLAFVVGLSMVKELMEDWRRFLQDHKVNMRDADVHIGGGFFGIKPWKEVMVGDVIKVHKDQFFPADLLLLSSVYDDGMCYVETMNLDGETNLKAKRALEATMGLVEDGYFKDFNDAIIRCEDSNSSLYTFVGNIEYDHKVYNLDPNQILLRDSKLRNTDYVYGVVIYTGHETKVMQNSTKSPSKRSRIEKQMDKIIYILFTLLILVSAVSSIEFAYMTKSDMPDWWYLKAPDRNHLYDPDQPVTSGVYHLITALILYGYLIPISLYVSIELVKVLQASFINKDVNMYDYQTLTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMEFLKCSIAGIAYGKRSSEVELAAAKKMAKDLDGNDPDFMSFQVSKSNEVELECVITSNGNENSDDATAIRGFSFEDDRLMNGNWYKEKYADFHLLFFRILAVCHTAIPELNDETGALTYEAESPDEGSFLVAAREFGFEYSRRTHASIFVREMEPSTGETIEREFKLLNLLDFTSKRKRMSVIVRDEEGKIFLLCKGADTIIFDRLSEEGRMFQESTTKHLHDYGDAGLRTLVFAYRKLEEADFNAWNDEFMNAKNNMSNDRDETLERLSDEMEKNLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFSCSLLRQGMQQICITTNDQDTMQGIKSDIMMQITSGTKMINVEKDPHAAFALIIDGKTLTYALEDEVKHQFLHLAVHCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMSSDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNVAFGLTIFYYEACTGFSGSSIYDDWYMILYNVAVTSLPVISLGVFEQDVTSEICLQFPALYQQGPQNLFFGWYRIIGWICNGVYTSLAIFFLNIIAFTYDPFRSDGQTTDMSIVGAGMFTTIVCAVNVQIALALSHFTWIQHILILGSVIVWFVFLVIYGGMPPEYSHSAFHVFVETLAPSPIFWIISVLVVVACNFPYFIHIAFQRSFHPMDHHVIQEMVYYNKHVEDHQMWKRERRKGRRKAKFGFTARVDEKIRQLKHKLHGRPSTLTLDSPQHV >KZN01226 pep chromosome:ASM162521v1:3:13309133:13309714:1 gene:DCAR_009980 transcript:KZN01226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELKAQAKVGVGIKILWGTLAKDLCFALPKIIPNSVKEAQILEGDGGIGTVFLFKFGSDVKKLSDQKEKIVELDESLHLIALQVIEGGHLNHGFTSYKTSFQLSAITDSETLVDVIVVYETEAEATLMPSQTTNSALAFLKCVETYVLNQGSLTNLD >KZN01986 pep chromosome:ASM162521v1:3:27749798:27751309:1 gene:DCAR_010740 transcript:KZN01986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDRKRREGSSSSSSRLDYKKRHRSASLVHNDSPAGKHKVGKRPTFASYLDTPNLPPKVKLLCEIIANTPSLSIEKVLDDTGVRVSQEEVEQVLKLSYGFPGPSVKFFRWAGYQLNDTHSPYSWNLVVDLLGKNSLFEAMWDAIKSMKTEGLLSLATFASVFSSYVIADRVPEAVLTFDVMEQYGCPCDILALNSLISAICREGKVIEARDFLDGVKGQVRLDSDTYAILLEGWEDEKDLNNARRTFGEMVLDIGWDPNNVPAYDSYLSTLLRGPDGIREAFRIFDTMQSRGCCPGIKFLKVALKECVRKGDAKAAELLWEAMVDRNRCEADTEMYNSMIALHCYVNDIDLALGLMDRMVYNGAFPDGQTYNVLLHFLIKLKRLKEASALFLEMTQNECVPTHENCSSAIRVYVDTGDLYTAIKIWKCMIENYDSDLEEAGNMLVVGLRDRKRLPEAVKYAEDMIDRKIKLNSSTLSKLKQGLSKAGKEPVYDQLLRKWKLH >KZN01322 pep chromosome:ASM162521v1:3:14449119:14452394:1 gene:DCAR_010076 transcript:KZN01322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCVNVASPLVQKDSDAVKEALDQLREAGWAKQWASQPNISRRTTFLRELTTLGIKNAENLAIPSVRNDAAFLTTVVGTTGALGTLAGQLPGDWGFFVPYLIGSISLVVLAVGSTNPGLLQAAIGGFSTFFPDYQDRIARHEAAHFLVAYLLGLPILGYSLDIGKENVNLTDKRLEKVIYSGQLDAKELDRLAVVAMAGLAAEGLKYDKVVGQSVDLFTLQRFINRSKPTITKDQQQNLTRWAVLLAASLLKTNTTRHEALMAAMSNKATVLECIEAIEKAA >KZN01333 pep chromosome:ASM162521v1:3:14570011:14572029:1 gene:DCAR_010087 transcript:KZN01333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGCSPDGYLNDSKFSEPMPWIGIYVAAASALCALAMSVDTVHAFRYRKLWFPCSFFSLNATTLTLVAVAVKLSVDLNTSMPRSQDQLVKLSSTVFICTVMASGAFCLLSATTLAEAVLRAHLMPWSFKFCRGTSEYEWLMLVTQIIAVGVGTIAPAARWFTAIKFRCMKELKMVDKADFEVEKYWIQKLVEWKERPLALQRLGRRGRRFVHNLKYRTLDFCIGMQSGIVLISKLVRLISIFFVGQLLIFWYSCTRLERFLRTQENSLSNEPESETQRRTEMNLSRYVVHLQGEEGLVHLMKAQDCDATNHWFEMGVKEQPKHLIQFLEKSLYSKELKGVKEFDSDQVPCLDSQDPPNSWALPVVTLTAVAVAIPNIGHQAVQKLKYSVHEALMYIRVVENNLVDPKRDLKNSREAAEIVWSGIDLLNKWLDVDLRAMAREGKHPKDILEELSNIAKSKFKEVKRTELNKCLMDAPSKWPINAIAANSMYRICQSLLQEYRFKAGGSDEILFEKLSVMISDIISACLTNLKHVITKHCN >KZN00363 pep chromosome:ASM162521v1:3:4513334:4515600:-1 gene:DCAR_009117 transcript:KZN00363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWSLPYSVPANYTTLSQILTIILIIYSSSCKATLLSPSSCGNIQNITCPFTLKGDHQTCDDYQFTYQLSCANNRTSLYTPSHKLYYVEDINYENFTIRIVDPGLEKNNYSSLPFQFFQDQNFYQDEVAEYTDITEHNWPVIWIECPSPVISRRYLNVTSKFSSFSYKVLEKYYSYSYAYVVAGSLNISELEDNCRVSKIAWVSSQWPLTDHLLNKAKPEFSEIHDGMVYGFDVAWEFLHCLKCDKSGASHCFVGYEPRAWICEYSCNFHRLNSYKISLKCLKMNLDGLIEAFQGNKRLIGQITGSFFAARYLFGIPFLLAVLVYRAKRRHLSIYNTIEDFLQAQSNLMPIRYTYTDIKKITYNFRDRLGEGAFGTVYKGKLRSGLSVAVKILAKSKATGQEFINEVATSGRIHHVNVVELVGFCFEGQKRALIYEFMPNGSLEKYLFCKEGTEKEMVSLSWEKMYDISYKIATGIHYLHRGCDMQILHFDIKPHNILLDTNYNPKISDFGLAKLYATGDSIVTLTAARGTMGYMAPEMFYKNVGSISYKADVYSFGMLLMEMAGRRKKSNPFVDHVSQNHFPSWVYEQCTEGKEIEMDGATEEERKLVKKMVIIALWCIQLRPNDRPSMNKVIEMFERDIEFLVAPPNSFFYPQEDPLPAN >KZN03050 pep chromosome:ASM162521v1:3:40588722:40594105:1 gene:DCAR_011806 transcript:KZN03050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISLLISILVSLSVSLVSLLVFRSTTRRDRRLPPGSLGLPLIGETLQLISAYKTQNPEPFIDDRVAKYGSIFTTHVFGEPTIFCADPETNRFILQNEGRLFVSSYPGSICNLLGKHSLLLMRGNLHKRMHSLTMSFANSTIIKDHLLGDIDRLVRTNLDSWTGRVLLMEEAKKITFELSMKQLMSVDPGEWSRNLMKEYMLMIEGFFSIPIALFSPTYRKAIKARGKVVDALSLVVRERRRESEKGGERKNDMLAALLAGDGGVGFSDEEIVDFLVSLLVAGYETTSTSMTLAVKFLTETPLALAQLKDEHDEIRARKGEVEALEWEDYKSMPFTHCVVNETLRIANIIGGVFRRAMTDVTIKDYTIPKGWKVFASLRAVHLNPEYFKDARTFNPWRWQSNSGEINTANVFTPFGGGPRRCPGYELAKVELAVFLHHLVTRLSWVPAEEDKLVFFPTTRMQKRYPIIIQHQEKPASKV >KZN00306 pep chromosome:ASM162521v1:3:4054662:4056751:-1 gene:DCAR_009060 transcript:KZN00306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEILVLTQNKLTGNIPELIGNCKDLSSIRIGNNLLIGSIPTSVGNISGLTYFEADNNNLSGEIVPEFSQCSNLTLLNLASNGLTGRIPPELGGLTNLQELIVSGNSLFGEIPASILRSKNLNKLDLSSNKFNGTIPDDICNSSRLQYLLLSQNFIRGEIPHEIGNCTKLLELQLGGNYLSGNIPPEIGHIKNLQIAMNLSFNHLRGQLPPDLGRLDKLVSLDVSNNQLSGSIPTLLRGMISLIEVNFSNNQFSGPIPTFVPFQKSASSSFFGNKGLCGEPLNSQCSNSNGADHQSYYHHSVSYRIVLTVIGSGLAVFVSVSVVVMLFMMRERQEKAAKDAGISDDIVKNPPLILAGQIFVENLQQAIDFDAVVKATMKEENKLSSGTFSTIYKADMPSGITLSVKRLNSVDRTIIQYQNKMIRELERLSRLVHDNLIRPIGYVIYEDVALLLHEYFPNGTLTRYLHDSSKQPEYKPDWPTRLQIATGVAEGLAFLHHVAVIHLDISSGNVLLDSSLKALVGEVEISKLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVILLEILTTRLPVDEEFGDGMDLVKWVQSAAARGETPEQILDSRLSTVSFAWRKEMLAALKIALLCTDSTPAKRPKMKKVVELLKEVTEN >KZN00518 pep chromosome:ASM162521v1:3:6012143:6012322:1 gene:DCAR_009272 transcript:KZN00518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCLRLVGADFTTWAEIPSEYWAGVVDPGAEIPTIPEPKMILGSETPDYGGNPSLSAI >KZN00618 pep chromosome:ASM162521v1:3:6968479:6970673:1 gene:DCAR_009372 transcript:KZN00618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHWVFSDIRLALAMLLLSVVLKIVYSMWILPNITYLKIKRNGLSGPSPRFPLGNITDMVAHSNKTDDPSSSSFDNNIMSHHIHSKVFPYFAQWQQSHGKVFVYWLGTEPFLYIADPEFLKQMSSAIKGKSWGKPSVFRNDRKPMFGTYGLTMVEGDDWVRHRSVLTPAFSLPNIKTLRNLMVTVTNEMLDRWTSIIKSGQQELDIESEISSTMGMIVAKTTFGMKYQNGKEVLQKLREMHQVLYTSNRYVGVPFSQFLSRRMYLKAKSLGDEIDAHFVTIINDRIKLKQSGRLGSTADDDLEKNLLDLMLAGHDNTKALTTKELVDECKTFFVGGHETSALALTWTLFLLAMHPEWQNQLREEIREVVGDEQVDVAMLGGLKKMNWVMSEALRLYPSAPNAQRQAKSDIHVGEVVIAKGTNIWIDLVAMHHDSSLWGDTANEFRPERFETDLYGGCKHKMGYAPFGFGGRMCIGRNLAVMEYRILLCLILTRFSFSLSPCYSHSPAIMFSLRPSKGMLLMLQPV >KZN02958 pep chromosome:ASM162521v1:3:39552792:39556119:1 gene:DCAR_011714 transcript:KZN02958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKKRQEYGFYGYQIPVIPRAPRSLRRSSLRKKLQDDGQLCAFELLAAVAGKLLQESESSASSNIAEGKVQLGINKDSIKKEQVEERVARSACLDQESCVESVIFPESAAPQRNTKSSLKELPHIQTNSYFEHASVVTHSDILNKVGGDVKYDKYTSNSAAGSFPSKPEEIFNGDGKLCNEAQRKSAVVEKQNEHLYMANFSGSKDPRDYHVHSSRKLNNAEGSIKFSLCADRVPGVSFPRHMNNVKIVDRDDDENYFRSNNLNSKIKPSRQQSYNKYRRMKKMTSRYWRTAPKWNDCEFINTGRGNRPIYHKRKSIHSGGGYQRELHSKRRRLYDHRQLCHYRSAYIFDQQASSDSISNSAKKTTKGDKSRTPALHKAIGPSSSEIGDKASFQSKDPRVKFSIKSFKVPELYIEVPETATVGSLKITVMEAVTAILEGGLHVGVVLQGKRVRDDNRTLQQIGISHNEDLDTLGFTLEPDFIKATSPISCKDPELLLPCDEHQELSRSSVPVLDSVFFNSSIDQVPVSNLEKNVVGNQEIVPYVPDVPMEISAPKALVPIPPVNANTLAIVPVNQKAKRPDPSQRRTRRPFSVSEVEALVEAVEKLGTGRWRDVKMRSFDDMNHRTYVDLKDKWKTLVHTASIAPQQRRGEPVPQELLDRVLAAHAYWSQHQSKQHGKHQMDPPKLAGEVGF >KZN02966 pep chromosome:ASM162521v1:3:39655657:39656178:1 gene:DCAR_011722 transcript:KZN02966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYGAVSTSVTRCATIFYNQKLMFSVNAQSANNSSRNFFIRLALKTSTHALLATTAVAAAASVLIYVVDANAADIQAQQDVETFLNIPDMLSGECESDKDCKKPRIQRPKSKKAESCTVRCVNACLHRGDALKSPSLKGPVVVFRKGFRSRQYCLVECSDICNLIGDGEDGF >KZN00500 pep chromosome:ASM162521v1:3:5829833:5830831:-1 gene:DCAR_009254 transcript:KZN00500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICPNSAKSASEGVKNFHQLAAELSQLTFGSTRELSASDLGNKLFESLNLRDDQKFSSGIMEVCDKNGLGKEDSHAGPFLLANLASEKSLSKSASFPCSANVSLPTASVGKEIDEKTGGGQDKLSSVKGCNDDSSSYLRSQSLPTPSKLLPALKGSREKHGVPLKKLNVTWAPDVYDPIPTSVSHVVTNKSPRHRNDSKKGKKNSKNKQKAGGKSSLGSKGKDKKQSRKHSGNSNRCFKPLDDNVRLADKVDHHAGMVDFENASSPDPYCGTSFLKNNVTNLHFSVAEAT >KZN01790 pep chromosome:ASM162521v1:3:25109599:25111473:1 gene:DCAR_010544 transcript:KZN01790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIQGHIQNVQSMKSSKNDSEKVLTKFDLFDERILAMKTEEVKSPPLEEVKYQTLTVKEIQSLRSESSDAPSVNVSREKAEARSLKQEAEKALKQRLTYEDRLVSMDASLKECMQQLRLREKSKKKGFTMLF >KZN01580 pep chromosome:ASM162521v1:3:20909289:20909504:-1 gene:DCAR_010334 transcript:KZN01580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIRLPEPPESPPKGVPDIFEGGVYSVIRRAVIIGNGFPASENQSFGLVRALGLSEKQVLYVTSLFLLFN >KZN01792 pep chromosome:ASM162521v1:3:25157846:25168936:1 gene:DCAR_010546 transcript:KZN01792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCEAHNMLGYKTCLILRKTPIATFNHSARNSFKFRCLLDQLVPKFAVLSSLSSVFTSGNVIALAATAGSGSVHGAVTVAITDVAVTAVAIASGACLSTKIDFLWPKVEEQPGSLILDGVDVTEYPIFSDSKVQKAIAFARKAHQGQFRKTGDPYLTHCIHTAKILAVLVPSTGKRAVDTVIAGILHDVVDDTCESLDSIVKEFDEDIAKLVAGVSKLSYINQLLRRHRRVNVNQEALRPEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPSAKAQAVAQETLVIWCSLASRLGLWALKAELEDLCFAVLQPEVFRQMRANLASMWSCSKREGKLRRLAAKSNARNSILEPEESLPSSDDDITMKDLLQAVLPFDLMLDRGKRIKFSNAFGTSSETQTKPKVVRDAGIALSSMVLCEEALERELFISTSYVPGMEVTLSSRLKSLYSIYSKMQRKDVGIQEIYDARALRVIVGDNNGTLHGQAVQGCYNLLNIVHRLWIPINGELDDYIVNPKPSGYQSLHTAVQGPDSSPLEVQIRTQRMHEYAEHGVAAHWLYKEAGSALPLKSDIIDSGMVSPYSSNEMEDQNSVEGVSPHKYGFLKPGHPVLRVEGSNLLAAVIVRVDQGGKELLVAVSFALAASEAVADRRSSYQLKRWEAYAMLHKKVSDEWWCEPGHGDWCTCLEKYTLCRDGIYHKQDQFERHLPTFIQVIDLTEEEETRYWDVVAAVFEGKQVESVVSNSSCYSNPGSGPINTDSMDCGINNKVHLLRTMLQWEEQLCSEAGFQQSNKYVKMANSVSNGEVVVVCWPNGDIMRLRTGSTAADAARRAGIDGKLVAVNGHPVLPNTQLKDGDVIELRK >KZN01037 pep chromosome:ASM162521v1:3:11074156:11076045:-1 gene:DCAR_009791 transcript:KZN01037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAELEILFKIMESMSSDKPWRITYPNPCKSGSSWMGIECKPGNDSHLHVTRLDFGSPPNPKCKIRSTFPSQIFDLPYLQAAFFTKCFTHTKTMLTISQFKLFKSKLQQLSLRSNLAIIGPIPPQISSLKSLQILTLSQNRLTGPIPKQICELTSLEHLDLSYNFLSGIIPNQLGGLTNLVVLDFSYNSLTKQIPDTIGKLSMLQKLDLSSNLLAGSLPNSIEKLISLVFLALSNNRLKGNMPKGLAKLQNLQYFIMDDNPMREQQAEVLSLSIMVGD >KZN02130 pep chromosome:ASM162521v1:3:30003845:30005424:1 gene:DCAR_010884 transcript:KZN02130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFDVGGVHDLGNKIQNGALQKLVDKNLGFARDSDIQNMITEVAELAFQCLQNEREFRPTMKKVYEVLLGIQSKYYGTVKTDVLGDDSSLLKDESQILSPNSVTANWLNPQHKKVNKLTNLENRPYKGIEKRFCRNYDRKKRGRGWGVTGLVGDPSKGETTRRNYTRNRQYELLTERIRKQYAGYCCSTPRETIAIGREDVELGRFGTAFGHVC >KZN02756 pep chromosome:ASM162521v1:3:36943965:36945158:1 gene:DCAR_011511 transcript:KZN02756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLRPILYLLALTCVFITCSHIQLTSAQAQTLYKTHFSQSSRRLLGNVQEENNNAHDLPFKKKNQTTKSTKPTIMKLSSKLNQTKLLPNLTTTTSSPKNKTKPITNSTLPIIKSQTKKLNSTSKPSNSTKPTSLKSKPIVHHEEPIIQKPIQPIWVDVQVTDDDLISEFTDLPSRFQETLLPDLEKISSTSKVYLKKANKEITNGVKPIVGKKYAPAIASLVSCAFIIIPFILVSLIFNRFKSYFSLQKLLIFIQIYLYIYFSILSISGLITGLEPLGFFYVASPTIYVYIQVVQTLAYVLYIVLLLMYVVLVFSMDTGLGLKVLSLGQTFVGFAVGLHYYVTVSHRAVLRQLPKTSWKVQAVYATCFFLICLLDRAERRKKAYLEENGSEGKKC >KZN02229 pep chromosome:ASM162521v1:3:31128130:31131353:-1 gene:DCAR_010983 transcript:KZN02229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGRIPPQQLRRPLPGSVIEHADTFAPGPLPPRGAYPPFDMLPPPEVMEQKLAAQHIDMQKLATENQKLAATHGSLRHELAAAQHELQMLRSHMGAVESDSEHQARSLKDRIARMEADLKSAEPLKVELQKARAEAQELFGARQELLSKVQDMTQDLQKAHADMQQFPSLLSELENLRHEYQHCRATYDYEKKVYNDHLESLQVMEKNYVNMAREVEKLHAELTNSANTDRRTGIPYGGTGYGDEVTGHFPVGQNVYTDGYGLHQGHGPLPGGSSGGAAGPAGSAPTSSLPATTTPVAPAAAGGGTPNAGDKSGVAPVRPNIDAQRGSNYDGQRGTGGPSYDTQRGPALSGYDAQRGTGSLGYDSQRGPVVPGYDAHRGYGGPNYDPQRGLSAHGYDMQRAHGVPGYDSQKGVGGSGYDMQRGPVGAAYDSQRGAGYEMQRGSSYDAQKGPVHEAQVGPGYEAPRGPEYDQGRGYPRNRK >KZN00683 pep chromosome:ASM162521v1:3:7586138:7586563:-1 gene:DCAR_009437 transcript:KZN00683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDSTPTLCVKNCGFYGSPANRNLCSKCYLDSVNQAILRSESRGIDSITSSVEQVSLHDEKDHVVVDDSSVSKNKPSRCLCCKKKVGLLGFACRCGGKFCSMHRYPEEHKCPFDYKTSGRVALARENPLVACDRLRHRV >KZN00698 pep chromosome:ASM162521v1:3:7701218:7704113:1 gene:DCAR_009452 transcript:KZN00698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFWFMFIMILIVGTEDEIINDIYVEEIPVIQNRHLTESEGSNPSASKIKKRKWGNPDPSAEVVALSPAQLQDFEKFPCDICDSSFPRMQNLRFHYTTHNVHHKLPQKNASGEPRKKVYTCPDLNCVHHNPTRALCNIGAIKKHYNRKHTEKSLTCDQCLQKYALKSDLDAHQKKCGTKNYECNLCGSKYSRIDRFEIHKVKCRSEGPMPNEIMQISREKVSEVKDVPVPESSVSFGPSSPLSTNYIAQEFERCERFEIPEFNTLEQLQSRIQMSDSSQQHALDVDSYQEKSIWDLNYWDVI >KZN03742 pep chromosome:ASM162521v1:3:47562973:47566085:-1 gene:DCAR_012498 transcript:KZN03742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRYPKSFTQVDLCSDPTEQKPPSVQFSRDSNYKIPHPAMEIKADDEGPLILVKQGAEARVYDSHFVGRRCIIKERFSKKYRHPTLDAKLTVKRLNAEARCLTKARQLGVSTPVLYAVDLSLHTLTFEYVEGPSVKDVFLEFGLNGVVEDRMTDIAKQIGDAIAKLHDGGVAHGDLTTSNMLIRCATNQLVLIDFGLSFTSSLPEDKAVDLYVLERALLSMHSSCGNVMDQILAAYRKSSKQWSTTFNKLAQVRQRGRKRTMIG >KZN03602 pep chromosome:ASM162521v1:3:46203393:46203797:-1 gene:DCAR_012358 transcript:KZN03602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSATTKETNKAAEKAKKMKKLSEINTPDVLKKKCASKAVVVKMKDVCRVINRSPEGDVKKMKMLSQVETPDVLKKKFSDKTNEALETKARKMKRLSQVKTPDVLKKKFEVQERKMKKGKTSKLAATTKIYK >KZN01828 pep chromosome:ASM162521v1:3:25818157:25824402:1 gene:DCAR_010582 transcript:KZN01828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLPGWILTGNIRSFGPAISRVCVASFSTAAKQVGTHNGTFHCDEALACFILRLTHTLASANIVRTRDPQVLASLDAVVDVGGVYDLSRDRFDHHQKGFSEVFGRAGYSTKLSSAGLVYKHYGLEIIAKEIQLDKGHPDVFKLYLAVYKSFIEAIDAIDNGVSQYCVDIPPNYVNNTSLSSRIGRLNLDWTDPDQSIEKENDAFQRAMVLAGNEFIESIHFHAKSWLPARSIVVDCLAARKNVDSSGEIILLPRICPWKLHIFELEEEMKIDPSIKYVIYQDDRSDNWRVQAVAVSPDKYQSRKPLLSQWRGLTGEELSEVAAIPGCVFVHMSGFIGGNQSYEGALAMAKASLMVHWILMAEYGPRLYSCCKCRNHIALHDDIISKAFQGRNGRAFLFSHVINITVGRKEDRNLMTGLHTVADISCADCCEVLGWKYERAYEPTQKYKEGKFILEKLKIVIENW >KZN02329 pep chromosome:ASM162521v1:3:32098287:32100305:-1 gene:DCAR_011083 transcript:KZN02329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKMGIDPVTHKPKNDAILSSHDGHLKSTANLSHMAQWESARLEAEARLVRQSKLRSNSPPPITTTTTTTLNKPMAPPPLCVDILKAWNGAWASNNGIGHHESPTSTTVSYNITNAVENNASFKEEGNIEDDGKRLVSEFKQGIENAISGLSDVPILPMEIAWPTQESLIRVDHDDDITENINDQHVPSGNFVENFTDLLLNNSGKADRSPSDGDQESCDIAGAPLPMGSASGYFEDNKNYWNSILNLVNSSPSHSPMF >KZN01545 pep chromosome:ASM162521v1:3:19782710:19785497:1 gene:DCAR_010299 transcript:KZN01545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMEPFSGILSNNASRNPDFYNWNRVKIRYCDGASFAGNSIFDNGTSLLYFRGQRIWEAIILDLLPKGLSNAKKALLGGCSAGGLAVFLHCDNFATYLPTNTSVKCFSDAGFFLDARDIMLNNTMRAFYKNLTSLQGVVDNMDKNCTKSEYYPEQYALPYIKTPVFILNTAYDVYQSVLLFAVVEKYKANKFLTWFTGQFHHILVPTSADPHGVWNHCKINPAECSPNQIDILQGFRLEMLNALRFFIEYSRRGGLFINSCFAHCQSESEDTWSGADSPRVNNKTIAEAVGDWYFGRRDTMEIDCAYPCDNTCHNLIGLRSSFRHYNGTSRFKHLT >KZN01618 pep chromosome:ASM162521v1:3:21964619:21968097:1 gene:DCAR_010372 transcript:KZN01618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTSLSQGKGFYFPPCQILNICGLRVLFDCPLDLSGLSIFSPIGFDEEEYKVSSCNELRESECLDRKRQKVEKPLDASNLIHSEPYYKTVNDLHLWNASFIDVVVISSYMGMLGLPFLTRQKGFSAKIYATEATARLGKLMMEDLVAMHAEFRQLYGPGESGCTQWMKWEELDMLSSVIKDIVIGKDGTELGSWMPLYSAADVMDCMQKVQALKYAEEACYNGTLLIMALSSGLEIGTCNWTICGPKGTVSYLSSSCFVSSTSMDFDYHGFQGSDMIIYSDFTTWKSGNDVDDYSSYSAPSTSKLSDFSEDDWKVRAESLLKLDEGEEEVEKLSFLCTCCIDSIKSGGSVVIPIGRLGIILQLLEQIADSLEASNIKAPIFVISYVADELLAYTNIIPEWLCKQRQERMYSGQSLFGHVDLLKNKRLRLFPTLHSLELLKSWEEPCVVFCPHWSMRLGPAVHLLRRWCGDPNSLLIMEDGEDTELAFLPFKPMTIKVLQCSFLSGIK >KZN03905 pep chromosome:ASM162521v1:3:49795442:49797065:-1 gene:DCAR_012661 transcript:KZN03905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLYLILFAEGFVALLLMVEISPLRELVMNGLDQVKMRRGTVLTIAGTMLVILFSNSISIVKIQNKGAKVGTMTPMDQVLWRTHLLEASLLGFVLFLGFLIERMHYHLRKFIDLRTTVQDSRQNVEKLEKEQLQLEENEQKAKEATKLMQKEVSEAMRKLDKVKLESKEKDTRVETAEGHVAALQKQMADLLLEYDRLLEDNQNLQAHAAGK >KZN00006 pep chromosome:ASM162521v1:3:1000463:1002472:1 gene:DCAR_008760 transcript:KZN00006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFLSVSSFFLLFLQLTSHLANSEPYLGINYGQVANNLPPPSAVARLLQSTSIQKVRLFGADATIIQSLANTNIEIAIGASNTDIPALAADSNFVKSWINSNIIPFYPSSKITTVNVGNEIMSSTDRSLMYLLLKAMQNVQNALTEAMLGGKIKVTTVHSLAILKRSDPPSSGRFDPTVEDLLKGLLEFNNATGSGFSFNPYPFFAYQSDPRPETLAFCLFQPNSGRLDPGTGIKYTNMFDAQVDAVRSALNAMGFKGVEIVISETGWPYRGDSNQVGTSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALFDEDLKPGPASERSFGLFKPDLTVTYDVMLTKASQTSLTPETPSSPTRPSTMPASPSGTPKSGAWCVPKTGVSDAQLQENLDYACAFGIDCTPIQPAGACFEPNTLAAHATYAMNLLYQTAGKNPWNCDFSQTAMLSSIDPSYNGCTFPGGNA >KZN01625 pep chromosome:ASM162521v1:3:22004723:22005429:-1 gene:DCAR_010379 transcript:KZN01625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDFPLALFIFMDELWQFEDGCALASVVGSSNQPRKGKSPRKPLGDVTNVSGLNVFKSYSPLPHKGTVPLSVHSRGNKFVTTKKGLVAACKHCVAGSPVSIKLFD >KZN02633 pep chromosome:ASM162521v1:3:35362596:35363840:1 gene:DCAR_011387 transcript:KZN02633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSLFSYLEDFTVVEKEKNQQAVKKTSEDKKLSLLSKLLMNLSRRTMEKLCFADQIRFNGISKRWLYLDKYRKAPSGSLPCFASVEKLQNGNLQCQFYESSDFNPFSVDKIDLGYEAFELNGELGLVYFYDDELNGGYDDCEKLGYVRIFDQADKIWVRLESLGHRALYVSSNTFSISADGEEARKNGVLPGKIYRTDRSGCVSVYSLVKGDLFKFSPCPGSRNREEVVDGKLGFWVEPRYQLQTESRYKKW >KZN03210 pep chromosome:ASM162521v1:3:42486303:42492053:1 gene:DCAR_011966 transcript:KZN03210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFASRLIRTASFLKHPLLSSQGMRKGVLGTASQLSNFSSRGKRKAKSDESDSGEENMSKKDLALKQALDQITTSFGKGSIMWLGRSVSPKEVPVISTGSFTLDLALGIGGFPKGRVVEIFGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDPALAKAIGVNTENLLLSQPDCGEQALSLVDTIIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSMSQTILIFINQVRAKLSTFGFGGPTEVTCGGNALKFYASMRLNIRRTALVKKGEETVGSQVTVKIVKNKHAPPFRTAQFELEFGKGISQEAEILELGCKHKFITKAGTAYYNLNGRSFCGKDAIKRFLAENQDAKEELVMKLREKLIDSERHKEIENETESTEENPTDNIISETTDEEVIAAAEV >KZN01321 pep chromosome:ASM162521v1:3:14446025:14446289:1 gene:DCAR_010075 transcript:KZN01321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYFPIVGACMSQSYPKRTLIKAAAASSSAPPNVDLTPLDSAIAQFTLN >KZN00742 pep chromosome:ASM162521v1:3:8050474:8052817:1 gene:DCAR_009496 transcript:KZN00742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITSAQGEDLRKLIGAPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKKGQKACSIL >KZN01043 pep chromosome:ASM162521v1:3:11105939:11106742:-1 gene:DCAR_009797 transcript:KZN01043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKHQKPYLSEPLLLPTSPSSPPDISTATITSNSDILFRFACIILIGTISVWANYEASKGFSITVINDAADSFAAKRFSHFYISDDKATRIILETSKFVENLLYPRDYVHYHHQSRFFKKHVNHVTLRLANQNMSTDITVESRANNEYVLHLDPSIMQHKNFSHAIVSAIRRGMARIWLWDGHGTVPQPLTNGMIEYLSSSFQQELSSKNSSETDRVAEMLVNCENQNSGFVRQLNQAMRDHWHDSTVDYLSGDLKSHASLCNYII >KZN01111 pep chromosome:ASM162521v1:3:11864437:11869597:-1 gene:DCAR_009865 transcript:KZN01111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQLPCDNDGVCLICKTTPSSDQTLTCNTCVTPWHIACLAINAQSSSSNLWECPDCTTLVDAGAPAAGEKSELVAAIQAIEADATLTEKQKARRRQELLSGKEAAGDKEDEEERNEVGGMINANFKCCVCIQLPERPVTTYLQNKCCSGGRDLSGNKRTNKDQSSDQKFESYNESLRFSCKKGYPVRVVRSHKEKRSSYAPETGLRYDGVYRIEKCWRKVGKQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPTVKELKPAVDIFERKESPSWDFDVEDNLWKWKKPPPTSQKPINRELMDLIEKLQESSKEETDAPAESPAASSEEQVKENELSNSEGEAKTVEEFPEASSEDPDKENGLSNSEAETKIVDKKRKEADAKPTKNKKRKLTKTNC >KZN01456 pep chromosome:ASM162521v1:3:16475159:16478741:1 gene:DCAR_010210 transcript:KZN01456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGSAGAGGGSGDDHYDAFDPYRRKKRYHRHTADQIQKLEGIFKEFPHPDEKMRLQLSRELGLSPRQIKFWFQNRRTQMKAQHERADNCALRSENDKIRCENIAIREALRNVICPSCGGLPGGEDPYFDEQKLRIQNSQLKEELDRISNMAGRYIGRPISQLPPIQPFHISSLDLSMGSFGFQGMPGPSFDLDLLPGSSSNVPNIPYQRVSLTDMDKSLMADIAGNSMGELIRLLQSNEPFWMKSIADGKEVLNLESYERIFPRINSHLKNPNVHIEASRDSGVVIMNGLALVNMFMDSNKWVELFPTIVSRAATIEVISSERMGSQSGSLQLMYQELQVLSPLVPTRQFCFLRFCQQIEQGSWALVDVSYDLPQNNTFTSQCQVQRLPSGCLIQDMPNGYSKVSWMEHMEVEDKVPIHRLYRDHIYSGFAFGAERWISTLQRSCERIACSLVIGPSIHDIGGGITSPDGKRSMMKLSQRMVNNFCSIINLPNSQQWTALFGTDELEVRASIHKFTNPGQSHGMVLSAATTIWLPVPSQIVFNFFKDERTRAQWDVLSDQNPVHEVAHIANGSHPGNCISVLRAFNTSQSNMLVLQESCIDSSGSLVVYSPVDLHSINIAMSGEDPSFIPLLPSGFTISPDGKTDISQHSKSHDQGASSSSATTTSGSLLTIVIQIMVSHNMASSGPKMSQESVNTVNNLMGNTVQQIKVALNCSSC >KZN02560 pep chromosome:ASM162521v1:3:34449050:34451530:-1 gene:DCAR_011314 transcript:KZN02560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLNFLWNVSKNFFTCGIIGLTISDRYISIVPIRGGSMSPTFNPHWSTSVKTYSGDRVLVEKLCLDKYKFSLGDVVVFCSPSNHKEKCVKRITALPGDWVSSPYSYDAVKIPDGHCWVEGDNSASSLDSKSIGPVPLGLIKGRATHIIWPPQRIGKVEQTIPRGRIDF >KZN01286 pep chromosome:ASM162521v1:3:14113385:14113885:1 gene:DCAR_010040 transcript:KZN01286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHEAPILTQGSQSTQGEGTLQWPEVVGTPPQTVWLAWPTLEVKRPSEVGATHVNVVIKGKHTLKGGKGNNNKRLPPTNYNGPSLHGCSLSEPVQQFKTNVGGTEVVSASFTKKGKYMVTHGALSQALAAAKRKLGEANSDAAVQSDESMHKDAHGDEASGEDAT >KZN00412 pep chromosome:ASM162521v1:3:5116124:5116621:-1 gene:DCAR_009166 transcript:KZN00412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFYLQKIRSIKVMKADGEILEYQAPMKVHQLLAEYAGHAVSKTMPVVKPLQPDDDMLSGQLYYLQPLRVSSLVFEQKNIQHSNSKVVMSKKSAGVLRIKLVIRKDELELMLRKGGDSVSDMVYQIQKIQRAKTVDKLDREGPENCKSWKPVLQSIPEVNQFL >KZN03907 pep chromosome:ASM162521v1:3:49800722:49803705:-1 gene:DCAR_012663 transcript:KZN03907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATLRRSKPAKKSPATKNAPPPLHHSIQITQPTHPPRAHAKNQRAALNRPSSFYLSDERKEDYMKICVPLQIAALRGDWHAAERILRKYPEVVNMSITHREDTILHIVSSTKHTHFAKKLVNKMQVEDLKLLNKEGLTALLIAVSSTDKMVDVLLKRNKELLKIRRGGSLPLMRAVWSGNKDVVEYVYSKTDIAGENWGLRDKERMLDYCIAVGLFDIAFNIINICKKDGTLMVIGAEVLRYLACKPAALDQNIPPFIRKLVNTRVEIVRYIWGEIVKQKHDDILKIITAGGSKKKKAEAGDNNYTIFHVAVINRQENVYKLLYELGAKKMRTLDKDGNNILHLAAIKPPQSRLNNVSGAALQMQRELLWFKEVSTRVHVIDRRQLNKEGKTPQVLFTEQHADMVEKGEIWMKHTAAQCMVVATLIATIMFAAAFTLPGGNNGDNGHPIFRKKTIFIIFVVADATSLCASSASILMFLAILTARYTENDFLVSLPWKLMAGFSTLFISIATMMVAFSASFFILYAESLKWIPILVATFAAAPVISFAMLQYRLLLDVIKSALMSRHLFRSNKRMI >KZN03801 pep chromosome:ASM162521v1:3:48301927:48302718:-1 gene:DCAR_012557 transcript:KZN03801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKHDRHEHELNLIKGVDVNMAGKGACGVCEMPLIGASDQIYTCLEKNCHINFFFLHKKCVELPTRITHLNHPQHPLTLGHHLITQELLSYVSPDAPLCDICLRGIDEAMSVYYCEVCSEVVSVSSTKSLSLFAYLLCISCATVESVLDHPGHEAHILTLVPRLIYSRCDACGLQQYDMCYTCLECQFWIHVSCAVSPPTIKLRFHEDDTLHLVYSVPPMYRSFLKRCSICKNPVLEEYWAYFCEDSGYFVHIRCATRLLA >KZN01987 pep chromosome:ASM162521v1:3:27769095:27777987:1 gene:DCAR_010741 transcript:KZN01987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGQLHYGFHEEKLQVRITHMWDTFSFNTYYEAMTCLIMIDVKNDQYWAITEITQRQKLLKFICPGLMYHISNFRVVAAPTAWKPIDTDKLLIFGKQAEIHDCLDDNSIPRYKFNLCTWSTVMSRVGNKDNLTDIAGVIMFVGGMETERNINRVNITLLDGRLAKLRVTLWGVKATQFEMNFNLYRRKNVVLIITGLLVTKTQGIIHLTSTQATTIFYNPQYNEVRELAQNIANTFGEFICPVPCTVVSQQTGEQNMQPPYLALKELRASRLWVHQKFRTNGDIIDLVIHKRKLPAFCIGCTADESTYGDKFHCAKCGRNSQAPLHTHSLALVVSDTSGYAKLILKPYEVHQLTGSSPNEVYKRRRVVNKKATLPAAMEILRGSQCSFVVKLTPFPYTNAKGEIEVVMDEGNQGGNTFSNAQGTFDTIEGPYQHPPPLLISEQMAKVERDWYHEQNKHFKSISRKSWHSYGEEAEMNAVLLNELKAEQEASLYLEQELDHYEKQAQVINAHIMKLHSDRLQDLITLRDYLYGNHDEIQKGRKLLDIWIGMTTPTQSEGDLYSTANSSESLVDPIHYSLSQSLPLEERYKLDRDWKRNEAEHSYSEAKKNYELHMGLQVHNKTIRKHVADLKAGNTSLSSQAQETKSLVDNLTAYKGHLEEDRLRELLMLQWRLNGSAAELEEGKSFLNDLIDTENGGRS >KZN03513 pep chromosome:ASM162521v1:3:45313273:45313911:1 gene:DCAR_012269 transcript:KZN03513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTYTLPFSTTTTTPAPLLPPSFATPAFTTFLTRLHTTLQTAFSQRRPWYELIDKTSFSRPLSIPNASSRIRKNISYFRINYLTILSSILAFSLLSHPFSLFILLSLLAAWLFLYLFRPSDHPVIILGRVYSDRETLGVLITASLIVIFVTGSLIMTSMLVGVGVVCVHGAFRVPEDLFLDEQGSAGGTGMFSMLSGAATSAAVAAGSNMV >KZN00053 pep chromosome:ASM162521v1:3:1487671:1488579:1 gene:DCAR_008807 transcript:KZN00053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGVPTAVSHGLWLNIPDYDAPTHLVKPMMTLPKGALFPMCGMNMGFDRELIGPAIYFGLMGDGQPIRRYDDMWAGWCIKVICDHLGLGVKTGLPYIWHSKASNPFVDLKKEYKGIYWQEEIIPFFQAATLPKECTTVPQCYLELSKQVKAKLRKLDEGGKFLLKL >KZN02929 pep chromosome:ASM162521v1:3:39275321:39275926:1 gene:DCAR_011685 transcript:KZN02929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIRLYIPFLLVALSTCSLAAADASPDPVRDMDGDILRPGVDYYILPGVRGMGGGVTLGSTRNESCPLDVVQETFETDNGNLPLSFTMVDPKKGVIRESTDLNVEFNGVTICIQSLVWKLDNYDGEYVVSTRGVKGNPGAETLESWFKIEKYSNNYKFVYCPTVCDFCKPVCGDIGISIKDGFRRLVLSDQPFMVMFLKV >KZN03844 pep chromosome:ASM162521v1:3:49045136:49045453:-1 gene:DCAR_012600 transcript:KZN03844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGIGPEKKLSARVRFLRFGNEVKPNSDSIPSSCFSQRLISETEPAELQVMPVHLQRFSRLVSDQEWRKEEGARLFFHFTRASPSCLVARMDSSDERKKTKRRR >KZN03068 pep chromosome:ASM162521v1:3:40862844:40863086:-1 gene:DCAR_011824 transcript:KZN03068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGARHLTVIGEFKPFGLIAEALDGKPSENVTDSYHYFLFDPELTKQREEDDEFEGSGTYSDRGDHELFIRGNRHVSYH >KZN00141 pep chromosome:ASM162521v1:3:2360195:2367995:1 gene:DCAR_008895 transcript:KZN00141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDVDRLFECFKCGVSPPKSAFREIKKSKRRPKQTSSVQEPLNHSDGPSSVSSVNTPSVKQKKKRRPKQTSSVQEPLSHSDGPSSVSSVNTTSVKQKKLCIQKIDSSAVKQNEFNNGRRFSPLVFYGSPNGVPPKRPTSLLRLLYEIRRDLSEQNTLKKEIWATFPRQEDAMKFAKEYNNVHIFSYQDHLNGQRRFLVSSYKEFWRRYKNMNPKYRHHYEVIQEGLPCHLYFDLEFNKQENANKNGEEMVDLLLSAVFDAFNTKYSIEGDNDWVVELDSSTQEKFSRHLIIRLPHIAFKNNTHAGAFVAEICSRIQKAKESDRRFENLLICKDSSSSDAPSQLFIDTAVYSRNRCFRLPLSSKAGKSSVLLPTGRFKCRDMSEEDVFMASLICNMDVDCEKLLICKVDMDCIKALQFDTEMNKNSYKDYGVARDLFSNSCTTDASRTFLMGKSPFPVLDMFVESLASSGSVSGGKIQSWYWFSEYGVMVYNMLRNRYCERIGRQHKSNHVIYVVDLRRAAYYQKCHDPDCRGYRSPLRPVPEEIVPDTTIFFKPVKHNDIQEIQEHNTDYSSTSSVDSCMKDGWLLEAVNFAEQVEKRALDFGDVTLDQMYEESAEWWDAVVSAATQTEQMYFGHR >KZN00781 pep chromosome:ASM162521v1:3:8522373:8522564:1 gene:DCAR_009535 transcript:KZN00781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNIKILSCTSKCSYTKIEDRYLDSFLMFCNNDVKWFETPNLQSLTPALDMRYNVYLDRTLI >KZN00626 pep chromosome:ASM162521v1:3:7018000:7019034:-1 gene:DCAR_009380 transcript:KZN00626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQEDSVELDLREEYANAFRTESYIDFWTCVISLKDGGASAKSKPINSTTAARLPSYRLFAEHLLNPDQPTVIQILNLAPIKPKNHSLLLDYFLETSNASILCGILLKDIGHVRTRYSSLKLILKSLETPSQMTHLPLILTQLNDFCQTSNPFIKSPSSPHNIQTLQTSCFNLLKRLEVNRDKTRSKLRTLSKIKLGSAIILITITASLTIIIVAHALVALMAAPTIVLTTASTKQLAKWSAQVDVAAKGTYILSRDLDTISRLVARLGDELEHMRKMVRFWLKRGEDQLQASGEVARQLKKNDLSFCSQLDELEEHLYLCFMTVNRARNLVIREINDPDTTS >KZN02452 pep chromosome:ASM162521v1:3:33584867:33585675:1 gene:DCAR_011206 transcript:KZN02452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAIAWGYMRIMGGTILGGVLGFYVMHRLEISYKAKWDERLKKYEEDLKKKKKSEIDTFLGDSKFGCNWSSDGHGVTNISSTSSLLAAIVKALTSQARS >KZN03641 pep chromosome:ASM162521v1:3:46565892:46566995:1 gene:DCAR_012397 transcript:KZN03641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNSIWPNKYTFPFVLKSFADVRDIVRGSCVHCQVVKMGYDCDVYVMNSLLNLYGSCGDMVLCERVFDEMPERDVVSWTAMISGYREARRFDDALVAFEQMQYAGVVPNRVTMVNALSACASFGAIEMGVWIHDFIRRNEWELDVKLGLEQLGKCIFSSIIVGKYEFSPNVKHYACMIDLLARSGSLEHALKVMEALPIEPTVSMWGAFLAGCRTHGRVELSELAAWKLVELAPDNSAYYIMLSNIYAETSRWSDVEKVRMLMKERGLQKDLGSSSLELDPQLVQDLLA >KZN00240 pep chromosome:ASM162521v1:3:3370872:3376028:1 gene:DCAR_008994 transcript:KZN00240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSRGMKSAGNAASKLRKGAWDFEEDALLRKCIEKYGEGKWHLVPQRAGLNRCRKSCRLRWLNYLRPTIKRGEFSEDEVDLMMRLHRLLGNRWSLIGGRLPGRTANDVKNYWNTNIQKKLTTGSNQKEVAIREEIVQRKQDGNVAATSTSDRATTVIKPLPRMLSKGTSLPCYNLNLKNRVSFGLNDKTLQNNNNENNKKPSLPAMLPLNDEGNETLTPDEDGTEWWKNLFAEIDIDGQEQDSSQGLLMASSSGLENADADIDLMRKTDKSTTAIMEFSDDLSDIWDLLDPPDYIWLSRL >KZN01711 pep chromosome:ASM162521v1:3:23645205:23646543:-1 gene:DCAR_010465 transcript:KZN01711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMYVTAHHLKQQQLNKKSVQVGKNAKMPTRKSPRLNKQQQNNEASATKDCASAKRKLVLPEPSHEDECMGDNQLEAATTKKPPQPAEPPQPAEPSLADYEDGEENEGIGIVITYGYL >KZN00316 pep chromosome:ASM162521v1:3:4141598:4145599:-1 gene:DCAR_009070 transcript:KZN00316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNMIKWRPWPPLLSKKLEVKLVVKRLDGWRSAGDDPANPDENKENEKMVVEIRWKGLKIGLTSFRRTVKKNVTKEGSLQNGVVQWDEEFFSVCTLSGYKDNMFHPWDISFTLLNGLNLPKNKVRTVGTASLNLAEFASKAEGKELEISIPLTVSGNATEPRPSLFISLGIFELRAAQQEPAEYVREPIVRSPSPQRSRETLSEKDEVSALKAGLRKVRIFTEFVSTRKTKKACHEEDGSEGKSSARSEEGDYTYPFDSDSLEEFEEGESDEMEDSIVRNSFNYGTLAYVNYAGQTINSSIRSNYEDEGWVYYSNRKSDLAYSYIRDFVASASGTVVHNNSKRSILPWRKRKLGFRSPKDKGEPLLKKDYGEEGGDDIDFDRRQISSDESFSLWSKTDEDSSAHGSSVSEFGEDNFVIGSWEQKEITSRDGYMKLQTQVFFASIDQRSERAAGESACTSLVAVIANWFQNNQNLMPIKSQFDTLIREGSLEWRNLCESESYREKFPDKHFDLETVLQANKISLTVAPEKSFIGFFHPDEMEEGKFDFLHGAMSFDSMWDEISRAGSECSGDGESQIYIVSWNDHFFVLKVEPEAYYIIDTLGERLYEGCEHAYILKFDRNTAILRLPADATQTSEEKPTGDKQIVVAEVDNNKQAQQVNLKENSVDGAVAEPEVSVKSEKEAVVCQGKESCKEYIKSFLAAIPIRELQTDIKKGLMASTPLHHRLQIEIHYTQLQVPEPQRSDTELTTTTTTTTTTTTTTTSSSPVCEVITSSPVLEAALEDVAVY >KZN01163 pep chromosome:ASM162521v1:3:12497698:12500034:1 gene:DCAR_009917 transcript:KZN01163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYIRTGSFKKFFHSIRKSRSFDEQECQRVSLVSDQENKNGDLIKDFSLIEPSQSPRCTWKSFCFNEIFRATNGFSSDNLVGKGGYAEVYRGELENGVAIAVKRLTKLSNDERKEKEFLSEIGTLGHVNHPNVSSLLGCCTDNGLYLIFHFNPKGSVASLLHDENSPTMDWKSRYKIAVGTALGLHYLHEVCPRRIIHRDIKTSNILVTADFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYFTHGVVDEKTDVFAFGVFLLEIMSGKKPVDGSHQSLHSWAKPILNQGNNEKLVDSRLRDAYDVKQFNRLAFAASLCIRASSVGRPTMSEVLKVMVKEGEIDKERWKLPFEEKEEEEDEFWGFEDLEYDECNSSSTSLQDDCPLVLGDAI >KZN02410 pep chromosome:ASM162521v1:3:33239249:33240781:-1 gene:DCAR_011164 transcript:KZN02410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNQINNSGTMFRQAKIVDSIGSDCPRLNNNNNNLVVRSRRRFFEWGPCKVTVIPRVPVPRIRRIKKSKHKSRLPGFFHSQLRMMKGNTSSLVRKKSKKAMILEKAKCSINCQLTSSSGPLRHGDRLRIHDLPKELLADILVRLPVKDILRCRSVQKSWNCLVISPVFISLQLNYQKQIVTGNYNQHHPKYLLFHEDDSLRFTVRVDDLQCQEYCKLEFPPGLPNDDVHVWFALSYGLICMSTIRFTSCDRMRNFYLWNPLIKKYKTLPKSPLPSTITRHAWDALAFGFLPEVDDYVVIHIIIKPGLPPHPHSVIIGVYSLKTNSWKKSSQESVFISTIIIDPENVVFINGFAFWVGVDLDKQRTLLCFDTKTDILCEISLPDWIAYLPLVPVIHQFGQSMAYFVWDEEVNHFDMWVLKYDHINEFTWEKKMCVTPSIHVEEEVLGVRNNGDPILAKSNNLISYSLESHQANGFVDSWDRWTPNSPYNGGNGPPYVIRPFVESLVLLND >KZN03044 pep chromosome:ASM162521v1:3:40509390:40509970:-1 gene:DCAR_011800 transcript:KZN03044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFVDEDNEVEEDNGEDIRINEAREDIESNEQSFVDEDNEVEEDNGEDIRINEAREDSIEDINYIC >KZN03668 pep chromosome:ASM162521v1:3:46735785:46737124:1 gene:DCAR_012424 transcript:KZN03668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISHHFDVEMVVVFGILGNIVSFFVYLAPLPTFRRIYKKKSTEGFQAIPYVVALFSAMLTLYYGLLKPDGVLLITINTIGILIESVYILLFMIYAPKDAKKFTAKLLVLLNGLLFGTIIFTTLTFSHGAERIRIVGWTCAAFSVCVFIAPLSIMRLVIRTKSVEFMPLSLSFFLTLCAVMWFFYGIFAHDYFVATPNVLGFSFGIVQMVLYMIYKDKNKHGILPIVSIDMEMQVDKHVVPSTAKIGSEFAEDDLKKTAKTGSEMPEDGTKMNEQNAEPAEHQEVVVSVVISTDHDGKEPQVHCNTILSVEY >KZN00569 pep chromosome:ASM162521v1:3:6474907:6481526:1 gene:DCAR_009323 transcript:KZN00569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRESSSSSSSLPEEDASLSLAKQASLLFHSANFADCLTVLRQLLLTKPSDPKVLHNIAIVENLQDGFSNPKKFLEVLNDVKKQSEKFATASGDNVVGANNLESDVVAVSSGNPCLIPQFSAAHSSSIVLNAEFASHVASYNIAVTWFNLSEYSKSFSILEPVYQKIAPISEGIALRICLLLLDVALLSHHASRSADGHWSVPYFDYVGDVCHMALALLFRVLFWFPMSSMFQGIIEYIEKISSVRSMISQSESSSSSQQHPPNLVTKASSVPSTPISDISIADSATNASEISLSRSLSEEAEYENLFSTLDMSGQNLSRPVHHSLNDISRTQIDDSIPIIVDLRLKLHLYKVRLLLLTRNLKAAKREVKLAMNIARGNNSMVLFLKSQLEYARGNHPKAIKLLMASSNRTEIGTSVMFYNNLGCIHYRLGKHQTSAIYFSKALSTSSVIRKEKTQNHTHTSFAADKSLLVAYNCGMQYLACGKPILAARFFYKASLIFYNRPLLWLRIAECCLMALEKGLLDSTGAASTSSEIKVHVVGKGKWRNLVIGEEVPKNSRADLIGQSDSSLVNDRQPKLSMTLARQCLLNALQLLNSSESVHMSSGLPSDLAIEDETYSKSTNYKSVSGGDTQAHNLAVASGQVANGELKEQKSTSTPNSTLRDSISDYEDICRKEIQIIRQSILVDLAYVELELGNPLKALSTARSLLNVAECSRVYIFLGNLYAAEALCLLNRLKEAAEHLLIYLSSGHNIELPFTQEDCGLWQVEKIGDCEEFNGGSVATNIASDEGQVFALNPEEARGTLYSNLATMSAVQGDREQADKFVRQALSILPNSPEANLTAVYLDLMLGKSREAISRLKNCSHITFLPGSFAVTGPS >KZN00974 pep chromosome:ASM162521v1:3:10428171:10428425:-1 gene:DCAR_009728 transcript:KZN00974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAIERDKEEDLDAQERQASSSSVEDYRHYWGENVFEEVQLEEIYGIHRERMDLNVTPTYRGVLSEQGATSIWDLGYESPEEH >KZN03908 pep chromosome:ASM162521v1:3:49806814:49811713:-1 gene:DCAR_012664 transcript:KZN03908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETNSGTSAIMSNTTQLHRSSQEAAKESGSNATPLGNDNITVISEPPHRSIQIIEPAQLPEAYAYNQRAASNRPSSFYLSEPHDVRRMTYEHLTLQSFNKAHLLCGKTGDQDVNSGLETSKQRGGTALWLAVASTEKMVDILLKRNKGLLKIRTRGRLPLMCAIWSGHKDIVEYMYSMTDIVDEKWKVSDKKSLLNSCIVEGLFDIALKIMNDCKKEGTLMIIGTEVLRYLASKPAAFDGKIRPFLRRLVNKVLPGPGLGSVDNSVGVEIVRNIWEEIVKQKHEDILKTVVGDYKKKEVEGLLFVAARLGNYKFIIELLRLYPEIAWSRDDNKYTIFHVAVIHRQENVYNLLYELGSKKLGTLDKDKNNILHLAAMKPAKSRLKSASFFLVYAEKMRWIPVLVCTLAGLPVIIFALLQYSLLFDVVNSTFNSRHLFRLKKRMI >KZN03029 pep chromosome:ASM162521v1:3:40328455:40332606:1 gene:DCAR_011785 transcript:KZN03029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAANITCCARGTLLSSVASQHSTTSLASPRSISASYTSKCLKSSSLFGESLRTMPRSSLKVSKSKKSSTLVTKCEIGDSLEEFLSKATEDKGLIRLMMCMGEAIRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALTYSHFCKYACSEEVPELQDMGGPAEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGIYGPRTTYVIALKDCPGTHEFLLLDEGKWQHVKDTTEISEGKMFSPGNLRATFDNPDYKNLIDYYVKEKYTLRYTGGMVPDVNQIIVKEKGVFTNVISPTTKAKLRLLFEVAPLGLLVEQAGGYSSDGTQSVLDKVIVNLDDRTQVAYGSLNEIIRFEETLYGSSRLKKGVPILHAEKRNSQISLQTKILSTDKKLIGNGNKKWQGSVGGIVDAPIDKVWNLVSQTNRLPEWMPMIERCTGLDGHDDAPGYIRLVSGFMFPQEDGDRSWIKEKLVSMEPLSHTYTYKMLASNVGLDGSVNTLKLLDYGDGCTLVSWSYEINPLEGACEDNLIDFLGFLYKSCINRIQAALDASSQKVV >KZN02725 pep chromosome:ASM162521v1:3:36517953:36519390:-1 gene:DCAR_011480 transcript:KZN02725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETSKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KZN00439 pep chromosome:ASM162521v1:3:5294973:5297647:-1 gene:DCAR_009193 transcript:KZN00439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTVYDAVDYKGFPADKSKTGGWVPAALILGIEICERLSTMGIAVNLVTYLGGILHIPSAASANIVTDFMGTSFLLCLLGGFLADSFLGRYKTIAIFASIQTLGTATLALSTKLPQLRPPACHSIDMSNCKQANGSQMGILYLALYLVALGTGGLKSSVSGFGTDQFDEKDEKEKAQMAYFFNRFFFFVSMGTFMAVTVLVYIQDQVDRSLGYGVCSVSMLVAILIFLSGTRRYRYKKSVGSPIVSILQVVVAAIKKKKMELPYDMSLYEDSPEALRIHHTDQFWFLDKAAIVTERDFDHGSVPNPWKLCSVTRVEEVKMMVRLLPIWATTIIFWTVYAQMITFSVQQAMTMNRFVGNFKIPAGSLTVFFVAAILITLAVYDRFVMPLWKKWKGKPGFTNLQRIAIGLILSVIGMGVASLVEMKRLSVAKHKGSTTATLPISVFWLIPQFFLIGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFLSSFLVSVVKKFTGNHGNGWLADNINLGRLDLFYGLVAMLSLINFVLNLVCAKWFKAHKTAKPAALEELKINEKC >KZN02916 pep chromosome:ASM162521v1:3:38990476:38992772:-1 gene:DCAR_011672 transcript:KZN02916 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MTQKPHAVLVPYPSQGHVTPMMRLAKLLHSRGFHITFVNTEFNHKRLIRSKGPESVKGFSDFQFETIPDGLPPSDQNATQDIPMLCDSTRKTCLKPFKELLGKLNSSPELPRVSCVVSDGVMSFGIKAAEEMGIPAAQFWTASACAMIGYLHYREFISRGICPFKDDKYLTDGTLDKDVNWITGLKDVRYRDVPSFIRTSDPDDIMFDFMGEEAQNNLKAPAIIFNTFESFETEALQALISKFNYTNIYPIGPLPLLGRHVPEKSQVNSLNSSLWKPDSKCLEWLDEKEDDSVVYINYGSVTTMTKEHFVEFAWGLANSKRSFLWIIREDIVMGDLDSAGLPAEFLEETKDRGMLATWCAQDQVLAHPAVGAFLTHCGWNSMMETICGGVPVICWPFFADQQTNCRYSCKEWGIGMDINDDVKREEVEVVVKEMMGEKGKGLRKKAKEWKRIAEEATDVGGSSYVNFDKVIREALHFEGSGI >KZN01593 pep chromosome:ASM162521v1:3:21136871:21138697:-1 gene:DCAR_010347 transcript:KZN01593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYFWPLLLLCFSGFFVRNNGLGVGINYGQIANNLPSPSRVSSLLNSLNISRVKLYDADPNVLTAFANSNVEFIIGLGNEYLQTMNDPTKAQTWIQEHLQPHLTRTKISCILVGNEVFASNDTQLRSYLLPAMQSVYGALVNLGLSEKVYVTTAHSLQLLANSFPPSAGSFRDDLVEYIEPILGFHSQTKSPFLINAYPFFAYKDSPDQVSLDYVLFQPNQGLKDPVTDLNYDNMLYAQIDSVYSAMRALGHTDVEVQISETGWPSRGDPNEVGATVENAGLYNRNLLRRIEERQGTPARPSVPIDVYVFALFNENLKPGPLSERNYGLYYPNGNPVYDIGVHGYLPRIEYSASYSASQQNGISLIIFALVPLAILLLK >KZN03869 pep chromosome:ASM162521v1:3:49499994:49501479:1 gene:DCAR_012625 transcript:KZN03869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQISTRGQREDDLVSSDVWGEGSPMFHPTVGNYNLQSLPPQHPPMSTITRSNYDLPPLPSQLPPMNPIGGNYDLPPLPPQLPSMNPIGGNYDLPPLPSQFPPMNPMGGNYNLPPLPPQLPPMNPIGGNYDLPPLPPQLSPMIITRDNYHLVPLPRQHSPMNLSGRNYYMPPISQQIQIPPQPHLRPSPQPAPAEAVSVLADVVDYLTPLKQRVEELRKEKARRQALQQSAEDYERERQRKGKGILETTSSSPDE >KZN03231 pep chromosome:ASM162521v1:3:42735829:42736942:-1 gene:DCAR_011987 transcript:KZN03231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCSHCGNIGHNSRTCIAYKGNVSMATSGNIGLRLFGVQLVEMSSACSSSSVISQAFDMKKSFSMDCLMSSPNSPCSSSSLVSINEVSDQACIGYLSDGLLARAQERKKGVPWTEEEHRAFLAGLQKLGKGDWRGISRKFVTTRTPTQVASHAQKHFLRQATLDKKKRRSSLFDMSNSLNSKQRGLNAAQYFDLSSSFDEVHEETNLPMIDLNLSEHHNETRNQGTDSSQPAASHRHSTIWPYGSRNSQTNSTTSSNPDLELKLAAPKANDENKASESLQLTGAISVT >KZN03665 pep chromosome:ASM162521v1:3:46720660:46722527:1 gene:DCAR_012421 transcript:KZN03665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASYQRFPTVKIRDLKNDYAKFELRDTDASIANALRRVMISEVPTIAIDLVEIEANTSVLNDEFIAHRLGLIPLTSDRAMSMRLSRDCDACDGDGECEFCSVELHLSVKCVGEQHLEVTSDDLIPSDHNVVPVGYSGPGDSEPKRGIVIVKLNRGQELKLRAIARKGIGKDHAKWSPAATVTFMYEPAIYINENMMDSLTLEEKQGFVDSSPTKVFEIDDKTQQVKIVDPEAYAYDDEVIKKAEAMGKPGLVEIYAKEDSFIFTVETTGALKASQLVLNAIDVLKQKLDSVRVSDDTAEADEQFGDLGAHMRGEDVPTW >KZN00494 pep chromosome:ASM162521v1:3:5790138:5790698:1 gene:DCAR_009248 transcript:KZN00494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHSGLVPTIQNIVSTVNLDCRLDLKRIALSARNAEYNPKRFAAVIMRIKEPKTTALIFSSGKLVITGAKSEDKARLAARKFARVVEKIGYPVRFREFKIQNMVGSCDLGFPIRLEGISIHHAAFSSYEPELFPGLVYRMKDPKIVLLVFVSGKIVITGGKRKEEIYKAFANIYPVLTEFRKIVT >KZN02912 pep chromosome:ASM162521v1:3:38929546:38932574:1 gene:DCAR_011668 transcript:KZN02912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSSTAAPASPPSVDDSSIITIPNTPPHTPPRRRSNFLTFLARHPKLLPLILSISIGLLVRFAVPKPSRVTTQAWQLLAIFLTTISGLIFSPLPVGAWALACLTLVIVTDTLSFAEAFIAFTNEVIWLIVASFFFSRGFVKTGLGDRIAMYFVKWLGKSTLGLAYGLALSEAMIAPAMPSTTARAGGIFLPIINSLSMSSDSFPKDHSSKKLGAFLVHSQFQSSSSSSALFLTAAAQNLLCIKFAESLGVQVPVKWVTWFKAASLPAIASLLVTPFMVYKISPPETKHTPDAPVMATNKLAQMGPVSKNEWIMLATMLLLVALWIAGDTLGVANVVSAMLGLSVLLLLGVLDWDDCLSEKSAWDTLIWFGVLVGMANQLTKHGIIPWIADSVGSSIRSMSLSWPAAFFILQPTYFFIHYLFASQTAHVGALYSAFLAMHLASKVPGLLAALALAFNTNLFGALTHYSSGQAAVYYGGTPKFLSLIQIGLVFVLMPVLHYLSLSPLLITLSDLSGPISICS >KZN03572 pep chromosome:ASM162521v1:3:45949080:45950327:-1 gene:DCAR_012328 transcript:KZN03572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMISFFGIKKKSFRVGSSVLPKYFLEDVGAEVFAVLQKAKRKVAVTNLPQVMVESFLSDYLEINSVNGRELKVVCGYYVGLMEDGSHSILDGLFEDENASENVIGLDSLNTSFAHTCFSHCKEVFMVNEAEKLKWNSLSKEQYPKPLIFHDGRLAFRPTPLAMLVMFVWLPLGTIIAIIRIIIAISLPYSISTPLLTFSGIKLKVFEPVQRPKTQSKTKGSLYVCNHRTLLDPLILSFGLMKPLAAVTYSLSRMSEFLSPIRTVRLTRNRDKDRAMMDKLLNQGDLVVCPEGTTCREPYLLRLSPLFTELTDDIVPVGVDTKVSMFHGTTASGLKFLDPIFFLMNPTPSYTLQVLDKICGVSSNNDKSSRFDVANKVQSELGKALGFECTMLTRKDKYMILAGNEGVVSAGNKQ >KZN02194 pep chromosome:ASM162521v1:3:30697001:30698198:1 gene:DCAR_010948 transcript:KZN02194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQAAATFMQHSRVGGIQTRPTLQLRSSPSLCKAFGVESTGARVTCSLQSDLKSLAHKCADAAKVAAFALATSALVVSGAGAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPTIEGGSESFTFKAGKYNAKKFCLEPTSFTVKAESVGKNGPPEFQKTKLMTRLTYTLDEIEGPFEVASDGSVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPDSFSGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENTKNTASSTGKITFSVTNSKPETGEVIGVFESIQPSDTDLGAKVPKDVKIQGVWYAQLD >KZN02303 pep chromosome:ASM162521v1:3:31846471:31851752:1 gene:DCAR_011057 transcript:KZN02303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQNCISAPEMIWFSEGAAPLQAVSGDGTSKRGRPKLIVTEDVVEWRKLSKRKQNARRTGRQARKIKMFKVFILSSFKWLGYNGQLNQFYKVDDLNLASCQNLINSAALVLDYYFRGRADNVIAKVVDLNLLLCLTCILKFCDLVHELGPTSSDVFSVTPSPRLPTARCSSQVHAADGAEPSRTDKPSLSSTDATRTYFDIDYKPLKDLKNTLHEASAKAGVALVPPTNVQFVTSDEKSVQQLHIRDVLDMEIPPGKDQVRGLCTATITEIMEGNGWLYNCCSKCARAVHPTQGKYFCAACNADNITVSQRYRVVARIKDDTGTTTVTLFNKEAEQLIGAPIQKLINEVTEGTDMEEIPPAIKNIVGKLCAFQIKINNYNITHGCEEYTVTRVSECSNDEAGSSDGVNVVHKDKRVRLD >KZN00821 pep chromosome:ASM162521v1:3:8986707:8987576:1 gene:DCAR_009575 transcript:KZN00821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMVAMGSRIYIFGDRIQGDSSAVDPDSPNKRASYFLDLATSSRGWKRVDPPLTHDQLQLYGHHVIFGDKMYIFRGSNSRFSGPCEVFDPQLDQCRRIKSPPGGDGGEEGGKVQLKITPPVLADYKNDRIMVRVNDSMYAYYPSQDRWECVVEAFLGWSSSVDPVTLVDGVIYIHYRKMMGFFEAFDTATKQYLNVQVSPDAVDNYQYYLHLRSYNALLHLGDGILCLLGSTYQDHEPGQPQTSAVHAAQFKVELVKNNDTKEVLVTPISSKYHYINSRCYVLRYLLL >KZN02354 pep chromosome:ASM162521v1:3:32385950:32388180:-1 gene:DCAR_011108 transcript:KZN02354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKELKHNESNVQDAQPHDVQDAQPHVEKLATLVGRLISIKKIFQTHDSTIQSEADVLDAQHEEAVSLKRELFKDSQIYKLLGVKEGSGCSAALIQLFCDFFDQERGIFKIKEGIEISFCAHRVADSLSINHTGKPIREFEMQGKDKMMRLPPFVDSLKQLYVSKNEKKEKKDRNDKEKHKNRIKRELSCHSLIEILRRMPVETDEQKDQYKQLVRLFVVDQIFLPSSENAYIRSGNYKYCVDASTFESINWAQAILDRIYEAVKKNTRTFSACSTVFQALIYDKIPKLVLEDMKLKSALVPADKYPVIRRKKEIWKLKLDELNSEDINKCGLCSQLDHGLDHGHHTPLFQKACEGVMLAHVIGQARQVLEGSLEGDYLSEINDFGLVEDLKTQYLGIDDRDDWRLIEALSMKDACRYTEPEALLINLSSTPKTSNIVTVHPQIAEPSAEQPVNVLGPEQRGEMSVHQNLDKPKEPVESVMELEETAKQLKNTLGTEQPEGPVDKNEVETEGTAGKLKNTMGPEQPEGTKDEPMNTKEPPETVKHKPRELVDPIVAGRKELVENTVVPPIVAGRELVEEEGNKRYPRRNRKQPDTLLSQMNQETIKAKKQRKH >KZN00429 pep chromosome:ASM162521v1:3:5254875:5255414:1 gene:DCAR_009183 transcript:KZN00429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPATAAATAAQEIFKPVALLSDGAGASAAAGPSAAGASPEAPPSASGAGGDVASPSPEEPPGLEDEDEDDDEDESSEGVLELPEDEPDEPDEPGDELGDLEDEDEEEVGGEALGEDAGALLPPAGGLDLGEAEGLLLGEPDGELAAEAKPMRATKRRERTIMWRAICVDYGAMSFIPG >KZN03557 pep chromosome:ASM162521v1:3:45789450:45789677:1 gene:DCAR_012313 transcript:KZN03557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQPSIPPFNNGSLAPSNKKASLCLEGPSYSKGKLYQDHCFAVLSAGAIMIFGKGRFPHFKDVFNQRVIDVDIL >KZM99927 pep chromosome:ASM162521v1:3:147833:149954:-1 gene:DCAR_008682 transcript:KZM99927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERGVRKQGEKRYCVTGSTGYIASWLVNSLLRSNCYVHATVRDPAKYLHLSKLWGSHDRLSIFQADLLEEGSFDEAVKGCIGVFHVAAPMQFSVLETENTDSYVQSKIIEPAVKGTLNVLKACVKSKSVKKVVFTSSISTLTAKGTDGNWKVVVDESCQTPIDHVWNTKARGWVYALLKLSTENAAFQFAYDKGIDLVSVITTTVAGPFLTSNIPSSIQVLLSPLTGDPDFFPILSAVNSRMGSIAVVHIEDVCNAHIFLMEHSKSEGRYICCTQSCELSDLVHRLAKVYPCPNIQRLMTDDQQPAPCEISSKKLKDLGFSYKFDMQDIMHQTVDLCVDHGFLQPICK >KZN03426 pep chromosome:ASM162521v1:3:44461298:44468267:-1 gene:DCAR_012182 transcript:KZN03426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADRPSWIRHEGLQIFTIDVEPGGLRFATGGGDHKVRIWNAKAVTRELPDEGSISQLLATLRDHFGSVNCVRWAKHGRFIASGSDDQVIMIHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSTLVSGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWSKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNISASHEAKAASIGWTNGSSSKTGDKELQPYNIIAIGSQDRTITVWTTASPRPLFVAKHFFSQSVVDLSWSPDGYTLFACSLDGTVSIFHFEVKEFGHKLSDTELDELKRNRYGDVRGRQANLAESPAQLLLEAASAKLTPGKRLATDVLQNNRPLKTSAGVGIATNSSKPQTINGKKNLGSGGDSINKAKPSARVVSPVKQREYRRPDGRKRIIPEAVGMPSQQENILGSVAQSSEFNLNSSEHNINNNNNGFVHADSAVQGVTVRRSVGGSSDNKERTGVTARAAISDSLVIEKVPVSAGTGGSMNVEQVGILKNSSSATGSGNLSIKIRDKEGEIFLEACPKEHASNDMVVVGTTCMINETQITCKRTQTLWSDRISSKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPAMMVGSASVFIDCDESWKLLLVTRKGSLYIWDLFNRKCLLHDSLISLMTSGLISSGQDAGMIKVKSAKLSKSGFPIVVLATRHAFVFDMSLMCWLRVADDSYSSSNFASSCNLGLGHSGELAGLQVDVRKFSARKPGWSRVTDDGVQTRAHLEVQLASAQALQSPIEYRQCLLSYIRFLAREADESRLREICESFLGPPTGMVESTASNPENPAWDPCVLGLKKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYGNTEINLEQKHPTCPTAVTVKDQSNQLITDQTSSAPPAIDQLVSNLPTKDQTNPSLPTKIVDESGPPGAEPMDISNETNQAALVVPVTDGSNSGQPETFVVNLVPQQNS >KZN00985 pep chromosome:ASM162521v1:3:10512472:10512899:-1 gene:DCAR_009739 transcript:KZN00985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLGVMIFLSLLLLPEIAFSRHIGRSHSHHGHHHPTRHLPSGLARPLVKHKKADTLQVAGSRLPDCSHACGSCSPCRLVMVSFVCAAIQEAESCPMTYKCMCNNKSYPVP >KZN01220 pep chromosome:ASM162521v1:3:13211153:13211356:1 gene:DCAR_009974 transcript:KZN01220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHYNDSYTTFLFHEVLGSHNSTRPESNSYRADCVLPNPNYRVSDKNLFLNPNNFRARIGFDSNPT >KZN03410 pep chromosome:ASM162521v1:3:44361557:44361877:1 gene:DCAR_012166 transcript:KZN03410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRCGEWREDISRHSNDATAVLPISMPGFENPERSSQMRSEVTNINHTGPIRTPPSISDQMRRYHSHHVQKTYMHAHMNKAKHIRVGDEATPLPTNTLYVGLLIE >KZN02531 pep chromosome:ASM162521v1:3:34248466:34251458:-1 gene:DCAR_011285 transcript:KZN02531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFQRAIHTYIHTPSNCPRLTKFSLHPPNSVIYGRRHVGIMSGEPVGNYGVRLLFDDLHKTGIYTWEYLYYIGSNKFTLMRNYIKTLQKHGLSRDPPRRS >KZN00171 pep chromosome:ASM162521v1:3:2690911:2692303:-1 gene:DCAR_008925 transcript:KZN00171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGISFRGMDDTRTTELTEIFREKEEGLRHQVLGIDKEVRELGMKLDKMDVKFEELKELIMSFRNQGSTVVKLETSATSEDTGLKSNCMEEVQNRCPTCRRELRDIRCLALENAAGSLELPCEYYTLGCLEIFPYDSSKIKHERVCSFRPFSCPYAGSECTVIGDIPLLVSHLRDDHKVDMHTGCTFNHRYLKTNPREVENATWMLTVFHCFGQYFCLHFEDFQLGKSPVYIAFLRFMGEEADAPNYSYSLEVGENEMKIKWEGTPRSIRDSHQKVRDSHDGLIIQRNIALFLSGGERKELKLKVTGRIWKEEQNSEAGVCTPNLCS >KZN03562 pep chromosome:ASM162521v1:3:45821663:45822549:1 gene:DCAR_012318 transcript:KZN03562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLRLHGKVAIITGAASGIGEATARLFVKHGAFVVIGDIQDELGQKVVSSINSDKIMYKHCDISDENQVQELISDTVKKYGSLDIMYSNAGIMGSSTNVLEMDMASFDKSIAINLRGSVLSVKYAGRAMVENKIRGSIICTGSVSATAGANGPFGYTVCKHAILGIVRAAASELGKHGIRVNCVSPSGVATPLSCGTYNSSASEVEMISAAVSGLKGINLKTENVAEAALFLASDESVYVNGHNLAVDGGVSVMNTGLAMMQDSFMK >KZN01008 pep chromosome:ASM162521v1:3:10773990:10779061:-1 gene:DCAR_009762 transcript:KZN01008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATFRTKVLSRHLHNPQSQQSLIQSSTCLSYSPPDQSEPILFDTQKMRKLMDGHHWEHRDMVYKLITQSELFVPRDRGGRVFVSPDYNQSMEQQRVMTMKRIEYLVRHGVFDGFLTTDELRSFAIADALGSYDHSLGIKLGVHFFLWHFLDQSHHHPQSLQPSTCLHYSSPDVSEPTLFDIHQMRKLMDGHNWQDRDMMYNLMIRSQLFNRKDRDGVVFACPNFNQSMEQQRVMTMRRIEYLLSHGAFDGFLTAKGPENELRSFALADCYGVFDHSLGIKLGVHFFLWGGAILNLGTKRHHDKWLRDTETYKVSGCFAMTELGHGSNVRGIETLTKYDASTGEFVINTPCESAQKYWIGGAANHATHAVVFSQLEIDGKNQGVHAFIAQIRDTNGEICPKVRIADCGHKIGLNGVDNGRIWFDNLRIPRENLLNSVADVSQDGQYLSTIKDPDQRFAAFMAPLVFGRVTISSSAVYSAKIGLAIATRYSLTRKAFSITPNGPEVLLLDYPSHQRRLLPLIAQTYAMSFAGNYLKRLYVTRTPESYKYIHVVSSAFKATLTWHNMRTLQECREAIGGQGLKTENRIGQLKSEYDVQSTFEGDNKVLMQQVSKALLSEFVSARKKKRPLKGLGLEHMNKPCPVIPSKLTSSALRSIDFQIEILCLRERDLLNRFSAEVAEYQAQGVSIEKAFTLTAEDLGKAFADLAILRTFLEAELAESTGELKDILSIVRSMYVTTTLEEDAAFLRYGYLSTDNAAVVRKEVTKLCGELRPHALALVKSFGIPDAFLSPIAFDWVQANSWSAVRN >KZN02919 pep chromosome:ASM162521v1:3:39007624:39009682:-1 gene:DCAR_011675 transcript:KZN02919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPARRGSILFTSSVASVTYGDVPHVYSASKHAVLGLAKNLCVEMGSHGVRVNCVSPFGVVTPMLMQGLGMSDKGKVEEFVAGIANLKEATVEAEDVAAAALYLGSDEAKYVSGMNLVVDGGYSTTNVALKQSFQKISRCQEVTNLTMIDDPLIQALIYVRVTGVVILQSVFDTNVLGAFLCAKHACRVMVPARRGSILFTSSVASVVHGDVPHAYCASKHAVLGLAKNLCVEMGSHGVRVNCVSPFGVVTPMLMKGLGMSDKEKVEDFIAEIANLKEATVEAEDVAAAALYLGSDEAKYVSGVNLVVDGGYSTTNIALKESLKKMSF >KZN03407 pep chromosome:ASM162521v1:3:44329877:44338651:-1 gene:DCAR_012163 transcript:KZN03407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDSTENPQNDAVKPQPDISGDTPLSSSDSESETEPDSESEPDPTGQPEPIDFNDNSEAVNTRILSDILDSPSRKKEQLEEDGTVRYLSDRYNFPVDRENWTESDLREYWADGPLSTGKPGWDPAFVDEEDINAIRDEVEAGGDPPIAPFYVPYRKSYPVIPDNHYDIRSPKAVVEELDRIEEFLQWVSFIFKDGSSYEGTVWDDLAHGKGVYVAEQGLVRYEGEWLQNNMEGHGVVEVEIPDIEPVPGSKLEEEMRAEGKIIKRDYMTPEDREWLEKDIEDSVRLAGDNYEIPFYENDEWIRQFGSKPEKGRYRYAGQWKHGRMHGCGVYEVNERTIYGRFYFGNLMEEEHGCDANVSSVHSGIAEVAAAKARMFINKPDGMVREARGPYNDPQHPYLYEEEDVWMAPGFINQFYEVPDYWKTYVQDVDEEREMWLNSFYKAPLRLPMPSELEYWWENVETRPEFILINKEPEPDPEDPSKLIYTEDPLILHTPTGMIINYVDDKEHGIRLFWQPPLKEGEEPDPEKAHFLPLGYDEFFGRDDNVEPTIWMRISKGIENKLKSAVDKLGKLSEEKKKDRDLTIELVKKEFELAEAELTLKEVIEDMDEELKQLQEEEEKKLEEDVEEAVEEVGGEEQAAEEEKTTGQEVDIEEEDEEDEDDEDEPSSFGSAIKLDSPDKDKKGTEDGRSPFAAASLSLTPSFVSAVSTQLRRPFSTWKGISMLKNPLSPLLKSQAYPSLVACQKSPSNTQHCSPSSVSFPHMSQQSWKVRAVKQTHLHAKPVTKTRMRTRSKHKSKHSNCTDLGSNLNVLSLCIPV >KZN00975 pep chromosome:ASM162521v1:3:10432972:10434375:1 gene:DCAR_009729 transcript:KZN00975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSADSVSRQLGDQIALAKAFVVIAKESNNLQFAWELSAQIRISQFLLSNAALRRNPLTISESETAVHDMAFLLYQAQQQLHYDSATMIMRLKAKIQDLEEQLSSVNEKSSKYGQIVAEEVPKSLYCLGVRLSTEWFGNSNLKKKIEEKEQLATKLTDNSMYHFCVFSDNILATSVVVNSTALNAKYPNMIVFHLVTDEVNYAAMKAWFTINSFRGVTVDVQKIEEFSWLNASYVPVLKQLQDSNTRSYYFSGNNDGGKTPIKFRNPKYLLMLNHLRFYIPEVYPSLNKVVFLDDDVVVQKDLSPLFTIDLHSNVNGAVETCMETFHRYHKYLNYSHPLIRSHFDPDACGWAFGMNVFDLVEWRKRNVTGIYHYWQEKNIDRTLWKLGTLPPGLLTFYGLTEPLNPTWHVLGLGYTNVDPQLIENGAVLHFNGNSKPWLKIGSEKYKPIWDKYIDYSHSLLQQCNVH >KZN03815 pep chromosome:ASM162521v1:3:48421766:48422570:1 gene:DCAR_012571 transcript:KZN03815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSQNKISGAIPAELGNLKNLQILQLESNELTGQIPNEMGNLIQLLKFNLSRNHLTGDIPKSLGKLSKLNYFDLSSNKLKGSIPKDLGNCESLLSLNLSQNSFSEVIPSELGNLVQLQITLDLSSNSLSGTIPSNLAKQKVLQNLNLSHNQLSVEKVFNGNPLLCGDAKGLSPCPDLSFKSSKSSKKSIIGGSVAGRRSIPRTLSH >KZN01641 pep chromosome:ASM162521v1:3:22235537:22242021:1 gene:DCAR_010395 transcript:KZN01641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSDPTKRPRPGPFPPVPEGAAMPASSWAKRTNFKPKFSGETNASNSGQLSLQPNPKSTPAQVDLEAGRSVNGGAQVNNVPVPEKTQVLKKRRDSDGGAAPAAAPAAEVPPPKRTSLPSVPQPRAVRSDEAVLPQTVEDDEFVSRHSHMKYELRDSPGLVPIGFYGFQHYLSILGSLILIPLVIVPAMGGSHDDTSRVVSTVLFISGMTTLLHTSFGSRLPLIQGPSFVFLAPALAIINSPEFVGLNENISKTRIVTKEMLINPVVVAPTIAAVGLSFYSYGFPQVGKCLEIGLVQILVVVIFSLYLRKISVFGHRLFLIYAVPLSLAITWATAFLLTEAGAYSYKGCDVNVPASNIISEHCRKHISRMRHCRVDTSQALKSSPWFRIPYPLQWGTPVFSWKMALVMCVVSIISSVDSVGSYHASSLLVASRPPTPGVVSRGIGIEGLASILAGLWGTGTGSTSLTENVHTIAVTKMGSRRAVDLGACLLILLSVVGKVGGFIASIPEVIVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPSYFQQYGVSPNSNMSVPIYFQPYVVASHGPVHTKSGGLNYVVNTLLSLHMVIAFLVAVILDNTVPGSRQERGVYDFMYGQNLMLQKRSLLLLKTMSYPSELERYSDGSSGLEFELQHKRWLSPP >KZN00834 pep chromosome:ASM162521v1:3:9091631:9094863:1 gene:DCAR_009588 transcript:KZN00834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATRHLRDLQSQPGNRTCVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLKKMEIGGNDRFNDFMKEYGVSKETSIVEKYNTNAARVYRERVQALAEGRPWRDPPVVRETVGRGKSRPPVSGVGGSWDNWESFDEGKLGADMRRNNTVGDFRSGGGGRGFPSRSKSTQDIYTKAQLEASAAGKEDFFARKMAENQSRPDGLPPSQGGKYVGFGSSPGPMPRSSSQGDVLYAVSQGIGRLSMAAASAAQSAATVVQAGTKDLTSKVMDGGYDYRVNETVNVVSAKTSEIGHRTWGIMKGVMALASLKVEEYTREGNTATTDGWNNDSWQNNASQGNGYYQDSGSETRGWNSSGRGQNSTTGHQKSPGSGSWDDWDSKDKKSPQNADSWAGWDDTKEDDDEDDSYYRSPPSTSTTGGRNGNGKSDSNWTGGGFR >KZN00612 pep chromosome:ASM162521v1:3:6937643:6941688:1 gene:DCAR_009366 transcript:KZN00612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSSRWKSDKHKIKAVFELQFQATQVPKLKGKKKVMISVVPESVGKPTIKLPKTSILDGTCLWENPVYETMKLIKDSSTGIYKENVYHFIVSTGSSKSGYLGEASLDFAEFAEATQPLLVSLPLSFTDSGACLNVGIRKMQENDDKSSKEGGQIEDPKNLKSQLVDPFEDSGLDFLEDDDLKTSPETERSVSFSDHRSDKAENAGNSMPVRWNSLPPKKTENEVPRDKQAHQPFNTDWSVGSASDGSLAEFTSSPAETFTRDRSLNAPKDSIEWLKNEVHMLERQAELSELELQSLRKQIAKEGKKGQDLSVQVVGLKEENVALKKQCEQLKSSKGEVETKDIWDQPEDMMQRLRREKDLNKKLRSQLYETEDSNSELILVVNDLNQKLEQKSAEVLQLSNKVKAMQNVKQDTSETSTSDTDLETDEQARRHTSNGDNKAKEELLKQITDLCGEIEVYKKDKDELNLHMEALALDYDTIKQEKQDILTTLEQSQILQTKIQSEYSESLAIIEDFKAQMDILEKKINKQDTEFSKSLDTIEELEFMVKNLEEQLEKQAREFEDDLEAVTQAKAKLEQRTIRAEEALRKTRWSNASTTERLQEEFKKLSLDLTSKIDENEKISKRTAAEADDLRLQKSVLEQMLQKAEGDLALLKDQYEGKVHELSNEINLQTKQIEKMSRQLEAKNNELASIQKHESTQEMLSKQRDKLEKELALSAKAVDLLKEEVTTLKTLKDEKGILVGSLQSEMENHIIQYNELKHCMLQIDLEKESLRKQVSELEGQLKKKEEVISSLDKKIQSNSEHIATKGRTSKGAIPDKVKSHEENRHAMQTRPSVTRQSETVKSGTKKLSDKKSNRDASDDSSNQTLSSEVALLNEKNKHMEEELKEMQDKYSEISLRFAEVEGERQQLVMSLRNLKNGKKS >KZN03153 pep chromosome:ASM162521v1:3:41787436:41791747:-1 gene:DCAR_011909 transcript:KZN03153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGVIRISCPVSGCYRILKPEHCRSILQSEVFDKWQDKLREAEIPVRDRIYCPYKICSALMVKGPDFKDLLDIECHSCHKLICAKCNVPWHYGVDCQEFQKLHQDESENEAVMLTQLAKRKRWTQCPECKIYVEKIVGCSYMTCRCRNDFCYSCGGQLGAGGHICPKPPQESSRHSSGSSSFVCGICADRRPSKKSFAIKGCTHSYCSDCVRQYVTCKIEDRITRIYCPVSGCHGSLKPEHCRSILPSKVYDKWGDALCEAMISARDKFYCPFKDCSALLVKGTDYRDIFESECPMCHRLFCAKCKVPWHSEITCREFQKLHKDEREREDIMLMQLAKNKKWGRCPRCKFYVERSEGCLFMKCRLMSSYNSYSFALV >KZN03389 pep chromosome:ASM162521v1:3:44234438:44235295:1 gene:DCAR_012145 transcript:KZN03389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQGVKLLGAWASPYSRRVEIALKLKGIDYEFVAETLSPKSADILKYNPVHKKLPIFLHNGKPVVESLVIVEYIDETWSSGPSILPADPLGKANARFWAKFIDDKLMPAIMNIRRCQGEEQVKAIDEVVELFKLLENQLNGKKFFGGDTIGLVDITANFLALWLIIHQEIMGLQIVTEEKLPVFCKWIDEYLNSSVIKQSLPSKEELSAALLAYHKS >KZN00055 pep chromosome:ASM162521v1:3:1512387:1514666:1 gene:DCAR_008809 transcript:KZN00055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVNGVCCVLLFAWLAFQAILVSGNERSTYIVHMDKSLMPEAFASHDIWYSATIDSVKSQNHESSPFLLYTYDHAFHGFSASLSIDELELLKKSPGFVSAYGDKKATVDTTHTYEFLSLNPLTGLWPASDYGKDVIIGVIDTGIWPESESFKDDGMSEIPSRWKGTCEEGQEFNASNCNLKLIGARYFNKGLRASNPNITISMNSARDTEGHGTHTSSTAGGNYVEEASYFGYASGTARGMAPRARLAMYKVLWDEGGFASDILAGMDQAVADGVDIISISLGYDGMPLYEDPIAIGSFGAMEKGVLVSASAGNDGPGLGYLHNGIPWALTVAAGSIDRTLGGSLVLGNGLSLRGWTMFPANAYVEDVPLFYNKTISTCDSIDHLAEVPSSAIIICENKLSSVADILYNSTLATGGITTVQYYSRTVTNVGDGAATYKAKVSAPKGSVVTVSPDTLVFSKMYEKLSYSIAIAFTGDKNGTVTFGSLTWIDENSKYSVRSPIVISPMVDAW >KZN00286 pep chromosome:ASM162521v1:3:3866432:3866632:-1 gene:DCAR_009040 transcript:KZN00286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSSFIYKALMVALLASVAVSGQQMMPPSPAPSPTADSAGFSLPASIVFVATSLIFSLVALIRI >KZN00204 pep chromosome:ASM162521v1:3:2989428:2993254:-1 gene:DCAR_008958 transcript:KZN00204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYCDKGEESPYFDAKDDIVSSLSDSGSDICESSHSNENGVSSSYQYDVWVKGPLSVRRRRCAFSRLMGLSLDGAESCADTVDSVGSGDSFRRENSASLLRNGTSEDDFCSSRSIVSTLSNDDFDLSSKLSLKENFTSQDANVTDKSGPEPLTKMGNEVPSPSVSLVHQRLKQQIRESLIQQNMQQKAEVAGNTTKTGNRVKSRWVNRIRSFSCIANNTEAAQSSPPMGSHSVVRGRVRRVKVHQSKKHLKELSALFVGQDIQAHAGSILAMKFSPDGQYLASAGEDGIVRVWQVVEDERSNEVDIPDIDPSCIYFTVNHLSELAPLAAEQEKISKLKRMRKTADSACIIFPPVVFRILEEPLHEFRGHTGEILDLSWSKNNHLISSSVDKTVHLWKVGCDQFLKAFPHSNYVTCAQFNPVDDNQFISGSIDGKVRIWAIDECQVVDWTDVRDLVTAVSYRPDGQGGIIGNVTGSCRLFTVTDNHLLLEAQMCLNSKKKPNSKKITGFQFFDQDPSKVMVTSADSQVKILQGMNIIGKFSGLKSGANQISASFTPDGRHIVSACDDSNVYLWDSNNKEKPPASLTKPKTSKSFEYFSNDASVAISWSGLRPGILNNECTLQVVDECVSNRISFSPSACFSMGQGFSVEPIPKGSATWPEEKLPPSPRAIPSALYKTQYNFLKKSCQCSSISHAWGLVIVTAGWDGRIRSFHNYGLPVAL >KZN03188 pep chromosome:ASM162521v1:3:42219996:42223396:-1 gene:DCAR_011944 transcript:KZN03188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVAYLCLFVVLLQCFSFNNVVASKQTYIVHMRHNEKPDEFETHHDWYSSSLQAVSDSGEASEALLYSYTTAYHGFAASLSGEEVEALRKSNSVISIERENVYELHTTRTPEFLGLDKEVGLWDGRSTQQLNHVSQDVIVGVLDTGVWPESMSFSDSEMPSVPTRWKGECESGQDFDPKVCNKKLIGARAFSKGYLAAVGNSPKKGKESVSPRDVDGHGTHTASTAAGSHVGNASLLGYASGVARGMAMHARVATYKVCWKAGCFGSDILAGMERAIHDGVDVLSMSLGGGSGPFYRDTIAIGAFTAMEMGILVSCSAGNSGPVQSSLANVAPWIMTVGAGTLDRDFPAFAVLGDGRKFTGVSLYSGGGMGEKPVGIVYNKGMSSSSNLCLPGSLEPETVRGKVVLCDRGVNARVEKGKVVKDAGGVGLILANTAESGEELVADSHLLPAVAVGRKMGDVIREYVKKQENPTAVLSFGGTVLGVRPSPVVAAFSSRGPNVVTPQILKPDVIGPGVNILAGWSEAVGPTGLDSDSRKTQYNIMSGTSMSCPHISGLAALLKAAHPEWSPSAIKSALMTTAYTQDNTKSPLRDAATGGLSDPWAYGAGHVDPHKAISPGLVYDLTSQDYVAFLCSMDYSIAHIQTIVKHPNVTCAKKFADPGHLNYPSFSIVFGKSRVARYTRELTNVGAAGSEYEVVINAPTNVGVTVNPTKLTFKNVGDKLRYTVTFVSKRGMNRMGKSSFGSISWNNAEHQVSSPVSFQWSRLVR >KZM99971 pep chromosome:ASM162521v1:3:654934:659122:-1 gene:DCAR_008726 transcript:KZM99971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGNVEGGEQNFGMFLNESYHVLDQNFHQNGFNGCFPGGFFVSGSSPSSSSSETEAPFGIQSPIGNIPNGLVLDDDSGLSDNLAKMHIGGSKILGFRPDGFGLSNQHFYKNGDFNVGGSAYSVDQSVPSEGCFQSFVGGCSQGSYEQNKGALVEFPQGYSMGSQGHGHGARGYGLELRNEQGRGFFNGGICLQDTSLNRPRFNDPLCDVDGMRFLRPQDVCGFEDGRVVFGAENPTCVRRQEVGGVPSFTSNPVVLSMPNAGGIGICNGGIQLQNPCFNKPHYNDPLYYLQGGSFLKSQGPYGVENPQLVFSENPMYVNGRTTRGVPGCNVDPMILSMQNAGGIGGFGYENSLVLEGNGMKYVADKHCDYLRGLKKSSSNGNSFENQQESRKELRNQNHSEEVHDKDSRLFSNPMPIVPSYGSLSELRGGIYHMAKDQLGCRFLQKQIEEGSPQDVQLIFNEIIDHVVELMINPFGNYLIQKLIDVCSEDQKMQIVHMVTDDPRVLVRICSDRHGTCSMQKLIKNLKTKQQITALTLALEPGFLDLIKDVNGNHVLQCCLKCLGHNYNKTISGPDFADVFDIQFLFVAATKYCVEIATHRHGCCVLNQCIAHSTGKHREKLIAEVCLNALMLAQDSFGNYVVQYVVELKIPAAAATMLSQLEGHFVYLSMQKFSSHVVEKCLKFSEESRPKIIAEFLSVPYFNQLLQDPFANYVVQSALEFSKGPLRAALVEAVGAHSLLRTSPYCKKIFSRNLLKK >KZN02125 pep chromosome:ASM162521v1:3:29959620:29962208:1 gene:DCAR_010879 transcript:KZN02125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGERAQQAGNHFISNQAVLLDADEQAARSQQVKDWLEKLHELLYDAEDVLDDFATEALRQEMSSRDKKHKMVGKFFSRSNTIVFRVSMTQKIKKLRERMENIAADRKNFSFTERFLDVKVTNRMREQTHSFVLASDVVGRDLDKEHIVSLLLASNMLRNISMLSIVGLGGLGKTTLAKLVYNDQRIVENFEKRIWVYIPEEFDLKMLTERIIRAATGADNAHLDLDQLQIRLRDELMSKKFLLVLDDVWNEDPKRWLDLRDLLTCGAEGSRVVVTTRSKVVASIMGTSAPYELECLSNDECMSIFVRCAFKEGQENANPELLEIGKAIVNKCGGIPLAVRTLGSLLCTKTEERDCLYIKDNDILNISQKENDILPILRLSYDQMRTSLKQCFSYCSIFPKDYHIPREELINLWIAQGFVQSEESRLLEEIGNEYFNELLSRSFFQDVDEAFNCEVLTCRMHDLVHDLARSVAGTLFSYVNCDTKSISKRVRHLLFCEENLRDKEFPGLLLIRKKVRSFSFPFKVGPISQPFLDILISTFECLRVLDLGESDFETLPKSIGYLAHLRYLSLYGNHRIRKLPNSICNLLNMQTLYLLGCVQLQNLPRDFGNLCSLRHLYLTSTMKCLPRNCLKGLCALQSLTVSRCCNLESLSEEIKYLTALRALYIIQCPALVSLPQNVTCLTSLEKLWIMDCAMFGTSTAEAVKGPKTLQSLVIRGLPELRELPCELQQGTTLRYMLIQQCPSLLALPQWLEGCTSLLKLKLVNCPDLETLPLGIHQLTALRVLFIKGCPLLNLSRKSVELMSWISNISEVYINQDTHVSYNQDYSHDLKIPSVILQRKNPRLGDNELAPWASWHGFDQP >KZM99928 pep chromosome:ASM162521v1:3:154085:156062:-1 gene:DCAR_008683 transcript:KZM99928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAAMRAAAKVAGMNMGLRGAEHPLARKGVSHVKALFTSSTDNIQSRPHWDDDDDSNNNIDDWELAGGGEEELMMEESRLVFGGAPTPKEAQDATFQLKQAVNKAYLLPDDESVIGALVNSQETTSPTLPTQAIQAFKLLNQNTAAQQVVASIACDPKVWNAVLQNEALVVFLQSQKSSLDMDSSSDKSAGHGELDCCNTEDRNVIEDIVLNMKDTVVEMMNTLSDYFQNLFGSTGAPDGSFTNSIDKDKAMSASFMGLAVMVMMVVVLDRQ >KZN00784 pep chromosome:ASM162521v1:3:8581042:8582395:-1 gene:DCAR_009538 transcript:KZN00784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDATLKSYIEENGTGGNWIALPQKIAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEVQARRDHAIQKQQEMMMKKKVMENQGASEMLPDCHNLNQSPYWPDLAVLPPIPYSNQEPRFNDHTSFRKLLINLGGRFSDHNPDHSTLNPDLIVDPQFQTSGTISSVQPPLTIKSSHLEALNSNNISQLPNNNHCNISDQAEGSTFPGEFIEEMLYTIPPRHDGLEFLYGDTRFSTNGELSMDWGEMSSLSYLPPVDHSSCETALHQPTSTMQKVGFEGLRYMGSDAQ >KZN01444 pep chromosome:ASM162521v1:3:16315994:16316868:1 gene:DCAR_010198 transcript:KZN01444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSNAKTSLVLRILTLLLLLASVILLATCTIPDFNHDFNDRFNVLLTYRYVFSIDILGGLYSLIQIIFGMYYFYTGSRWIQNGCLPNFDLYGDMVINFLLATASGAGFAVTYEINKNVVIDVGFGGRTKYPNQLYVSIGLLFLGSICMAILSVLSSISRESNSSSGKRSSFMTG >KZN01648 pep chromosome:ASM162521v1:3:22459610:22465978:-1 gene:DCAR_010402 transcript:KZN01648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSKDQKPSGGGGFFSSLASSISNFGSAMQKSVNGLVGYEGLEVINPDGGTEDSEVEAQKGRWKQEDRDGYWKIMHKYIGADVTSLVTLPVLIFEPMSMLQKMAEIMEYSYLLDLADECEDPHMRLVYASCWFISVYYAMQRTWKPFNPILGETYEMTNHGGVTFIAEQVSHHPPIGAAHAESEHFIYEITSKVRTKFLGNSVDVYPVGRTRLTLKKAGVELELVPPPSKVNNLIFGRTWVDSPGEMVVTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNSAEEPKILMTGKWSESMSYQPCDLEGEPLPGTQLKEAWKLAEAPKNDKYQYTHFAHKLNSFETAPKNLLASDSRLRPDRYALEKGDMSKAGSEKSSLEERQRAEKRARETKGHQFTPKWFEQSSDVFTTPWGEVEVYRNNGKYAEHRAAIDSSDSIEEVDVKSIEFNPWQYEDLTAE >KZN03938 pep chromosome:ASM162521v1:3:50061610:50064393:-1 gene:DCAR_012694 transcript:KZN03938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLLTITHPLYKPILSKSPTSFQPKLNPPNSLSLKKISPLFRKSSNGGLSVLACSTSSPFIGKVGLFRREGNFTLLSFGTNPSYLSDLGDKEAANDDGSSQILSALLPFVVALTAVAALAQPSTFTWVSREMYAPALGGIMLSIGIKLSIEDFMLALKRPLPLSIGFVAQYVLKPALGVFLANIFGMSPMFYAGYVLMSCVAGAQLSSYASFLSKGDVALSILLTSSSTIASVLVTPLLTGLLIGSVVPVDAIAMSKSILQVVLVPITLGLVLNTYAKPVVSVIEPVMPIVAMICTSLCIGSPLAINQGTILSAEGLRLVGPVLSFHAIAFTVGYWISKVPLLRQEEEVCRTISLCTGMQSSTLAGLLATQFLGSSQAVPPACSVVAMAIMGLCLASFWGSGLRIRDLPSLLIQQTGSAAKA >KZN00117 pep chromosome:ASM162521v1:3:2058250:2062246:1 gene:DCAR_008871 transcript:KZN00117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICMGKSAKCAHASSNQFMEHIEMQELETLTQIQDPPIGFNLLPNLKPPNKTLTFHQISVLFLTFLAYASFHASRKPPSIVKSVLSPQDGDDSHSGWAPFDDSHGPHRLGELDLAFLSAYSVGMYFSGHIGDQINLRVFLSVGMVGSGVFTVVFGLGYWWDVHVLGFFVAVQIVCGLFQSIGWPCVVAIMGNWFGKSKRGLIMGAWNSHTSVGNIVGSVVASSVLGFGWGWSFVLPGILILLVAVLIYLFLVVCPEDIGLELTDKEVEMSVEGEALVNSEKKETEGVELHEGENVESKAAIGFLEAWRLPGVVQFAFCLFFSKLVAYTFLYWLPFYIRHTAVAGVHLSHRSAGILSTIFDVGGVIGGVLAGYISDLIEARAVTSVMFLILSVPALVCYRIYGSISMFANVFLMFLSGLLVNGPYSLITTAVAADLGTQSTITGNSRALATVTAIIDGTGSVGAALGPLLAGYISTRGWNSIFFMLVLSLSIAGLLLFQVVKSEIKGKQNAGRWLWLGTC >KZN00293 pep chromosome:ASM162521v1:3:3907825:3912710:-1 gene:DCAR_009047 transcript:KZN00293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTNSTWDEIDRSESYLVCCMYEEAESSSASLLKQLLKEGCDEIEIDAGEFNDMLESAGMVFVQSLNQLGRTSEILKELKMLFGSVSIIPIQVFLTGVCFQMQEGLSDVRESLEEFLSMWECVDDEHYIRASTEKDLDNLVGYGGPASIEVDKYLEVVEVYVVTLLAVLQRDLNFAISWVEKASLPEQKRQELLRRLNSMYAYKATSLSQGNSLKEQDVDTRSAMVSDNANLRSVSDNAAKETIMRLSKQRVPGISWFRNITLRFGNAELVISSRGILLGCLILLTYYFVRRKQADLKRVLKRQALNVKKAVTDLWQLAFSYQVNPLAAVQPLPPASHGGR >KZN00859 pep chromosome:ASM162521v1:3:9329480:9330385:1 gene:DCAR_009613 transcript:KZN00859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKENVPQLISTGVGDEQLSSGRAEELTVYSTSRPSLDKEAGLAACRVCQCAESDKRGDAALEFLGITPVLNSQKRKEDLKLDCKDVLKDAESDTCAKDGKKDSGFVEFVSPDGEVFICNTDIEKGFDYNRDVLIELGCACKNDLALVHYACALKWFVNHGSTVCEICGRVSKNINVTDLKKVVASLKEYETLRERTANGIPYPENVQTSSCVDPDALAAIRRQRLSEISLWFGPHNNNYSTTVSQGSEQVSNAVAEEVTPAENSATKWAVEGTGILLATGLLTLTLAWLIAPRVGKVFLK >KZN02707 pep chromosome:ASM162521v1:3:36303780:36306973:1 gene:DCAR_011462 transcript:KZN02707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSISAPPWIVLESGTPGFYPTHPFVRIHRNSHNNIAAIRSKSSRKSSVCVSTTSINETEGKDINETVKIVGIVGQGSLSPLNSASWHEVMLHTAKRLKWVDDGFEMVVFTDNIQQSSDETVKNLTKDLHYANMLVLISVHNEESVKWIQQNSQNIPNIVCFESSPGLSNKLGGSLVQRKTRVDIFSKLSEISQPKKANESEEVVKTIYDAWDRHNSDDIRFSLLVIINAYIRPVSILKNLRAQGFSTLSCMVKNCGPQVFNCLLDPNCRKALQCLNQCSPVDQKNNCLELEAKIPEKPYVSPMNSFRGKDLSHEIAEDLFVGCLGNLDWSWRVVAGQNPAYDQFPCQYQLFYRGKARGSFWYEPVFQVKTLEGGLVWRRRKYRVKRSKVPGTFNFTVLDNGVVSNEFWTIVDVAADFSWGLFHYSGAARVAGQSYTGAVLVSPNGEYPDERERGRLVSALDRCHIKEWELFNVDNCSCKDPPLGLPEGSSLHKIIKVEDLKGSFI >KZN01372 pep chromosome:ASM162521v1:3:15023474:15035626:-1 gene:DCAR_010126 transcript:KZN01372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAAADSKEGDFGNSPGGGLFYGDPAWRSSSPELDGSGLFANELCNAVSDVTPRDAVHYAEGCSVNNMSTRELLTYKDLKKFDESLQQTTRSERLKKVLESVTTVHDLCAVREIDFFSVMTEVHPSLMILLVCNLKALAMTFYLGKKSWPCKRIGNKVCIRDPQLEKKIVAATALEVRATRIPYAFAPCIVDISERTIRFTSHHSPKKLIEGIGFIVTQMGFLFRKRSGQVS >KZN01888 pep chromosome:ASM162521v1:3:26612807:26613703:1 gene:DCAR_010642 transcript:KZN01888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISQVLFLLFLTFAFLFFHSAQGAGIAVYWGQNGNEGSLESTCASGNYKYVLIAFLITSGSGRTPALNLAGHCDPASNGCTFLSSQISTCQSNGIKVLLSLGGGQGSETSMSSPADAKQVANYLYNNFLDGQSASRPFGSAILDGVDFDIEHGSSLYYDDLARDLSGFSTPQRKVYLSAAPQCPIPDAKLDAAIQTGLLDYVWIQFYNNPQCHYTGTATNLLARWREWAAATPPRSQIFLGLPADTAAAPSGGYISPSALISEVLPSIKTTTSYGGVMLWNKFYDTSFSSAIKNSI >KZN02981 pep chromosome:ASM162521v1:3:39807969:39814056:1 gene:DCAR_011737 transcript:KZN02981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNVLRYLSKDDFRVLTAVEMGMRNHEIVPAELITRIASLKHGGTYKVMKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTLVNRGIFTSVGRQIGVGRESDIFEVANEDGTIFAMKLHRLGRTSFRAVKSKRDYLKHRSSFNWLYLSRLAALKEYAFMKALQEHGFPVPNAVECNRHCVIMSLVQGYPLVQVKQLQNPDTVFEKIIGVIVRLAEHGLIHCDFNEFNIMIDDDEKITVIDFPQMVSVSHTNAQMYFDRDVECIFKFFRKRFNISYQENLEDSNGSDLVSDVSDRLNFSSITKVSDALDKELAASGFTKKVQADIEKFNGGDIDDESSSGDDDTEDDETGHKQHRLNTISEPAVDLSSLTLKDKVLPLLLSPSVKQRRYLAHNALTSAASEEVCDVSGEQHEVKVTQQSTEASQGNGDETEDLSDQVSCPGNDIWSLVFNCLRLDDEEGSELQDNLELKESLTKQRRRAIRAAQGGHKTFAKRNTCKDKGGKCPQNSRIQNKQMCNSW >KZN03346 pep chromosome:ASM162521v1:3:43866315:43868066:-1 gene:DCAR_012102 transcript:KZN03346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKGENHVKETVGARSTGDVVIQHGRPTIAVIVTIASGNGEGADRLLGNDPWNLAPTNIGYSPSCLEDDTIIHEIGAKVHAGEKLMVQIGSRFLWGSVLVKGFEDEISGKARE >KZN03413 pep chromosome:ASM162521v1:3:44381536:44382438:1 gene:DCAR_012169 transcript:KZN03413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFAACFSEYATDIVSDASCSSYSNHSCISPSLIPSIQIAVTCLYKTILSNHQPLLITVTWCKNSLSQGLNISFGDDSATTFKLNPNSRLFRKKKGTKTIELSNSKCEIIWDLSTAQYKTGPEPVQGYYILIMVDSELGLILGDMAQEAVTKKIKNGTHLAKSTLVSRREHYSGNTHYSTKAQFCETGISHDILIRCSGDNEGLKYPVLSVCIDKKMVIRVKRLHLNFRGNQSIFVDGLMVDLFWDVHDWFFNPGSGYAVFMFRTRSGLDSRLWLEEKLVQKDEEKLEFSLLIYACNSR >KZN02451 pep chromosome:ASM162521v1:3:33581663:33583054:1 gene:DCAR_011205 transcript:KZN02451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNTARKAVQKSTITSLKSILNRTQKCSSPSLQSPHLGGFASPTPSFRPSCRLNPLFTSRIPVELSGGIESLMPFHSATASALLTSMLASKVGQWGTLSEGFATPL >KZN02808 pep chromosome:ASM162521v1:3:37570391:37573457:1 gene:DCAR_011564 transcript:KZN02808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTVPTENPPAVFPGAQYVGKAFVDQYFYVLSISPESLYKFYHDSSLLSRPNMHGSMISVTTLKDIDEKIQSVDCKNSKPEIDTVDAQDSYQAGVIVVVTGSAIGADNVKRRFVQTFFLAPQEKGYFVQNDILRYVEESGQLELNYKPVDSDGDCVPPATLTSVSDTTHVSPSHACDPLNTFETEDAEVFEASGNGEICSPLDDERHSVMIGNIVNEERIQSSQNGTLESISSDPTVVQQENRSYASIVSKPAANPASNMRWAPIIPSPNTLGSKKPSPEPAASVPTSDSGLEGSNPQDNVEGHSVYIRNLPSNATVAHVTEEFSKFGPVKHGGVQIKIHRQHGYHYGFVEFESPDSVQNAIKSYITFFTVGSSGNTDRNTLRRGEFQDSFRGRNYSEGGGYGRSEFRSNHNFSSRPTINGGRNTKYRYQRVEPVGGE >KZN02418 pep chromosome:ASM162521v1:3:33319358:33320878:-1 gene:DCAR_011172 transcript:KZN02418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQMGSLIAGIMFIWAMFQQYFPYELRTSLEKYTHKLFSLVYPYIQIKFNEFTGERLMRSEAFSAIETYLASNSSMQAKKLKADTLKNSQSLALSMDDNEEVADEFNGVKVWWVSGKNVSKAQSFSFYPTSDEKRYYKLLFHKKQRELIIGPYLSHVFKEGKAITVKNRQRKLYTNSGSHWSHVVFEHPATFQTLGMDPVRKREIVDDLVRFSNAQDYYAKIGRAWKRGYLLYGPPGTGKSTMVAAMANLLEYDIFDLELTAVKDNTELRKLLIETSSKSIIVIEDIDCSLDLTGQRKKKRKEDEDEDKEAVAKKLMKDEGEAKTSKVTLSGLLNFIDGLWSACRGERLIVFTTNYVEKLDPALIRRGRMDKHVELSYCCFESFKVLAKNYLDVEAHCLFDKIRLLLEEVNMSPADVAENLMVKSSIEDAVDGCLEGLVGALETAKEEARVKAAEEEAENAKRLLAEQVKAEEKVEEVAAVSQENGTKNESSGENGSLAKEELAK >KZN02340 pep chromosome:ASM162521v1:3:32216343:32219102:-1 gene:DCAR_011094 transcript:KZN02340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKWFVGALIGLVIIVFVLSDEVIYSRNEKGPLFRHTLLNISDMHSLLKTEAPPDVPSPQATHQVGSADIVTSSLFVQRNFSLRVQTSLRTWEFMKDIKNTTQGLDNASDAISEAGHVWENLVNSVDSEEMADVPKNRLKAKETKCPYYLNMMNATKFGDRGYTIRIPCGLIYGSSISVIGIPNGLLGDFRIDLIGEPLAEEPTPPLILHYNVRLNGDKLTADPVIVQNTWTAAGGWGVEDRCPRAVPGKTDKEDGMNQCNLMVGRNDSLKLASAGISSNSIWSWRVQNRFKSKTHFPFKQGYPSVMTLRAGEGGLQAIVDGKYATSFGFRERFEPWLINEVRISGDFELISALATGLPTSEDIDNIIDLEVLRAGPLALHFPLDLFIGVFSTATNFKHRMSIRRTWMQYDAVKSGSVAVRFLVGLHKSQMVNKELWDEVHTYEDIQLMPFTDYYNLITLKTLATCIFGSQVVSAKYVMKTDDDSFVRVDEVIASLERLKVRESLLYGLIDSDSKPQRNHNSKWYISPEEWPEETYPPWAHGPGYIVSGDIANTIYKKHRKGELKMFKLEDVAMGLWIAEMRKEGLEVSFINEPKIYIEGCKDKYIVAHYQSPQQMLCLWNKLQQGKGAICCGKL >KZN03039 pep chromosome:ASM162521v1:3:40443502:40447903:1 gene:DCAR_011795 transcript:KZN03039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRTNVHSRRMTQRLRIAAISAVRSSRITLLFCCLTLFLFFVLASKTPSFLGWEHEDLSPDYYDASRKGYALLINTWKRNDLLKRSISHYTSCPGLDSIHIVWSEPDAPSESLTQFLNHVVQSKAINGRQIELKFDINKEDSLNNRFKEVRDLKLDAVFSIDDDIIFPCSSVELAFSVWRSAPDAMVGFVPRIHWVDQSKGNTNQFIYGGWWSVWWTGRYSMVLSKAAFFHKKYLSMYTNNMPASIREYTTKNRNCEDIAMSFLVANVTGAPPIWVKGKINEIGSTGISSLGGHTEKRTECVNRFVAEYGRMPLISTSVKAIDSRDSWFW >KZN02960 pep chromosome:ASM162521v1:3:39567163:39570207:-1 gene:DCAR_011716 transcript:KZN02960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMDTNQRRKSGINLPSTMTESSLRLQNFTPSSPCTPIRNASSFLASPRTMSPRTISNLTSPSKSGSCSDRFIPCRSSSRLHTFGLNDKASPLKEGGNEAYTRLLRSELFGSDFGCSSPFAGNVKGSGGGSPMSPGSGNMLRFRTDNTGANSPYSASNLGHDGGFSSESTTPTKPLRKVPKTPHKVLDAPALQDDFYLNLVDWSSQNVLAVGLGTCVYLWTATTGKVTKLCDLGPSAGVCSVQWTKEGSYISIGTSLGQVQIWDGTQCKRVRTLSGHQTRTGVLAWSSRILSSGSRDRNILQHDLRVSKDYINKLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSEKPLLKLTEHTAAVKAITWSPHLSGLLASGGGTADRCIRFWNTTSGNQLNSIDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPSMAKVATLTGHSLRVLYLATSPDGQTIVTGAGDETLRFWNVFPSMKTQSPVKDTGLWSLGRTSIR >KZN02994 pep chromosome:ASM162521v1:3:39974719:39975837:-1 gene:DCAR_011750 transcript:KZN02994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYKVDNEYDYLFKVVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTYENVQRWLRELRDHADSNIVIMLAGNKADLNHLRAVQEKEGEGLAEKEGLSFLETSALEAINVERAFQTILLDIYQIISRKALAAQEAAASVPSHGTTIQVDEFHNSVKKTTCCST >KZN01847 pep chromosome:ASM162521v1:3:26057985:26058754:1 gene:DCAR_010601 transcript:KZN01847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCPGIFPYYSKLKHETVCDFRPYNCPYAGSECSVTGDIPYLVSHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGTAPVYMAFLRFMGDEADARSYSYSLEVGANGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQHSPDSGVCIPNVSQ >KZN03682 pep chromosome:ASM162521v1:3:46875798:46876354:-1 gene:DCAR_012438 transcript:KZN03682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSVRKGVAGRGKSKKIKGVSRSEKAGLQFPVGRIARYLKIGRYAERVGSGSPVYLAAVLEYLAAEVLDLSGNAAKDFRKTRITPRHIQLAVQNDEELSLLLRSVTIPGGGVLPNIHSTLLPHKVGKKKSEVGSQSEEL >KZN00358 pep chromosome:ASM162521v1:3:4465596:4470365:-1 gene:DCAR_009112 transcript:KZN00358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTMELGLNEKFIELYEKLKDEAPKTIVIYGESGVGKTWMAKKLSDHAVEDGSFDLSIWIFMCRDHDMKALRDTVARQMSIQLEYSDEWEMTDEINEDEKKEAANDKKDSKKDEEMKKKEEEDRKKKGEEEKMKKEKTIKDLMDRAISEKKRILFVLDDEGSKVKQGDIMADLENLLHLEKRGIPFKVLVTRVTSGEAPDEFRFELKALADQQLSSFLVERIGDGVPGLATWAKDFHTKCKSLSIADIIVLAKALCHFGKDGSWLKQLDEKVSSFNDKYNVMQLLFKEYDPLPSIILLDFLYKGDLHYFLKSRSVHYNELITYWILEGYLGNISSIQKAFEKGHSIVMELIECHMLKELEGGYVIINRNRVSGISDWRDMGFHETVTIGLATVFDDNWTGFGRITHKDGVIRTLFKDNKGKNLSTLLLDGNYFGVEDLNKFLKYKGAQVLAVFHPTMTELPESVSALTKLDILVLRGCDYLEKFDHTLQSNLTVLEISGATSLTKLPEDLFKAMRQLRSLNLSQLKIESLPSSLFELKEIKWLILRECSCLKSVSGSLRNLEHLLHLDLSYASSFESFLDTNFHFNKKLQILDVSKTKIKTLPLLKELKKLTHLLLSDCADLDRLRSIAPLTSLQTLDISGATKFKEFHDLSLEMVGELKIINLSDTSLELLPSHISHPRQLFLKRCLKLKQLECMDSLEKLEILDLSGSTQLSAIEDDFFDTTTSLQELNLSETKVKKLPSLSKLQNLRQLLLSHCESLQELPDLNGLVNLEELDASNCTALKVIPDQSFEKMSRLQKLDLSNTKIESLPTLPNPGSLQKLLLQNCMDLKKFPSDIMLPKLEELNLSGVDLSGDDRAAFLKDMSDLKTLNLSETNVQSLPSMSNLKNLNALSLRGCKHLEVVPDLAALTNLEALDLSGTAVNGIESLRSFKNLRQFHSSTKDFLDVTLNTLLSDTTTSQLPDEILDLPHLELLELPTGKQDQEVDTTESEKTSPELPTGKQNQEVDTTESEKTSLELPTGKQNQEVDTTGSEKTSLELPMGKQNQEADTAEPEKTSLELPMGKQNQEVDTAESKKTSLELPMGKQNQEADTTESEKTSLELPTGKQNHEDDTTEPEKTSLELPTGKQNHEDDTTEPEKTSLELPTGKQNQEVDTTEPEKTSLELPKGKQNQEVDTTEPEKTSLELPTGKQNQEVDTTESEKTSLELPTGKQNQEVDTTESEKTRGDVNKQQWMMSSWPVDLEVHNDKHGLYVSCARLDELLEDPSLWDTGFKQFHFLVSPLNQLNEKKDYKKLYKMELIFRSICIQAWQFVHPMEQVRSLEIRGFQHNPASLEVILHHAEVVYIIDNPFITSFLDFGAEHIKNLKVCWIERCDNIKSIFDAEKMNSTAEPEQNTNMLEDNLEIISVSNAPNLKGIVLGNLHGILKNLKCLHLDCCPNIPTLFGASQKLESLEVLEIKFCDGLKTLFEDESVHLPKLKNLHLRALPNLEKVGCVAPKLESLQVVDCPKLVTVLSTSTNLKVLHVKCCEKMTSVFNDKQSNSTLPQLQELHLWGLPVMSSIGANVPFLKKSIVRECPVLEDDLRSTDTK >KZN01716 pep chromosome:ASM162521v1:3:23787892:23788375:1 gene:DCAR_010470 transcript:KZN01716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMELQMVGCFRGKILEVLKNWPEKNIQVIIVTDGKRIFGLGDLECQGMGIPVGKLALHTALNIFWFIDLCYRAQPLWFYLESSHH >KZN00393 pep chromosome:ASM162521v1:3:4956204:4956847:-1 gene:DCAR_009147 transcript:KZN00393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSEDEWIVVKCIDPEITAVRSAAADVILSTAVIHTWNLASLHARQIITIKAHRNRHXILLLVHMNILLQRLSKEKVMEALLIGGRLAYFFTSFYTPIVSFQARDLIRGLLVKEPEYRLGYSRGAAEIKQHPFFEGLNWALIRCAVPPEVPEPYESLPKILRAGKGANQLGATGENLELENFESF >KZN00536 pep chromosome:ASM162521v1:3:6191518:6192720:-1 gene:DCAR_009290 transcript:KZN00536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNVPIQSPARLGLPNPNSPAPPKFSSSQVVQSHHSHQQSNTGSAAVTITSSALLPLLPPLPRAQSLLVQMASLASRLFEVSPNRSHWLSAFRGSLPSFLSSQTQSLAPVPLDSSPSSAKEIIALFTTLQTQLFEAVAELQEILDLQDAKQKINREIRVKDSAILGFANKLREAEQVLDELVDDYSDYRRLKRSKLDGNDDESYTTSIATRIKVNDILSYAHRISYTTFAPPEFGAGQVPLRGALPPAPQEEQMRASQLYAFADLDVGISRTVEDKEAMIEPLIQSPAAQPSESALANMATMQGMIPPNFVIPSGWKPGMPVELPIIPPPGWKPGDPVALPPLDSISGPSRVDEQPARPIIAPGISKAPEPIQVRHVQLDIEDDSDYTSDEASSDDDED >KZN00543 pep chromosome:ASM162521v1:3:6242401:6245675:-1 gene:DCAR_009297 transcript:KZN00543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDGEMKHSRFSRICVFCGSSQGNKSSYQDAAIQLAQEMVSRNIDLVYGGGSIGLMGLISQAVHKGGRHVIGVIPKTLMPRELTGETVGEVKAVAGMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGFYNSLLSFIDKAVEEGFISPFARRIIVSATTAKELVKKLEEYEPCHEMIASKLNWETEQLAYPPTNEISR >KZN01433 pep chromosome:ASM162521v1:3:16205058:16205273:-1 gene:DCAR_010187 transcript:KZN01433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFFMHWVNPTNPANNEKGRPIQVRPELDFGSTYFILSVPITKYDSTTMRLQSPVENETYEMSSRNTLCR >KZN03859 pep chromosome:ASM162521v1:3:49360196:49360954:-1 gene:DCAR_012615 transcript:KZN03859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDEKIVLGVSDLDTLGEGRYLNDQIIAFYFNYLFSNLDDESKPVTRLVSPSMSLLIANCRDDEGVKEFVESMSSKRVVVFVVNDNEDFSAGDGGNHWSTLVYDRTKNMFLHFDSQGFSMEGVGREGVNNFYALKLYDAVKEHVGPGGEVARLQTSSSLKKQRNKKKSVMSLTGAGAGLPVFKECETPEQTNNYDCGLYVLAIAKEICRWCSRGHNKSDIVSTIKKNVDSSVEQRMREEVLKIVEGKLVDS >KZN02260 pep chromosome:ASM162521v1:3:31399908:31406573:1 gene:DCAR_011014 transcript:KZN02260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILADDFLLSLPKEEWYGPCGHDMLYQFKGFWFTPHILEGVLQFLKNFKPLPTDVILASFPKTGTTWLKSLVFAIINRASKHSLICTNPHDLIPTLELQVYSSNPTAAHTLTSCDANTRIFATHVPYQLLAETAIHSSQCRIVYVTRNPKDTLISLWHYMGTSKGSLKKPWKIEEAVDKFCDGVLPDGPYYDHVLRFRKEGLERPQKVFFVSYEDLKDDTKEQVKKLAEFLGRPFEKEEEAEEIANICDFRAMKQHEVNKSSDSPEWLEFPYSSYFRQGEVGDHKNYLDSEMIQRIDDMTKDKFYGAGFMLLRHCQNKSQAHPLYRINLEYHVFGFFIQLTKKKRMSGPECCSNPPSLSSNSGSGSVTQLAGLKAYVSGSSQSKLAILLVSDVFGYESPNLRKLADKVANAGFYAVVPDFMHGEPYDPNNVEKPIQDWLKIHNTDKGFEDAKPVFAALKSLGITTVGAAGFCWGAKVVVELAKSAHIQAAVLLHPSFFNLDDAKEVKVPMAILGAEVDNHSPPELLRQFGEILVSTEVKSFVKIYPGVAHGWAVRYKPEDESAVKSAEEAHQDMLDWFLAHIK >KZN03694 pep chromosome:ASM162521v1:3:46992995:46997016:1 gene:DCAR_012450 transcript:KZN03694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPSLFASYPQQGIPRLPQLLDCEPFLLGPGSSQYVRKPALLAMQPHDLRQIQPWKQSTSGLLNSNQFDSTIGRHVLSDVQDTHLNSIRFSAGIAKQCARQREILEFLRYVSSEVERGGLDMSLLTDLMGLEVTADEMFQHPLAPKNEFYFQDAVCQRNLLFPSSKIYSEKSLIDMVGDLDYKSELLVSFDGLVAGGRIKSMDLHSIIAELNLSKNSTKWRNQSMLVPQFDRLKSSDAIYGSLKVETTNVVPLKSPRKTRLRSSPNKKSNQKTGKKRELHRKSNFHSCEALLSIIFNKRRHEKTAILELKRSTPELTPLLTQLSATIAGTGIALVFSVLYKVANSSVPFCTSKLLNTALGLGLVWLSWAVNRMRDTIIHISRNSRKLVAKEEEALKNLDTSVKQVYHRAATLMVLMIIRLA >KZN02107 pep chromosome:ASM162521v1:3:29664581:29666895:-1 gene:DCAR_010861 transcript:KZN02107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGGSELRYLRVYEETYKSLRSRGIRFPGRDGESLAPIFTPPHSTTAPEPNASLAQKMYNEGLCSYLKMGSGSSSVDEDSEVTMYQNVKRNSTYQVVALGVLDKETQTLRLCQSLLIRILNGTGLRVEWRTNLPFFLPNFEGSDTTQDEAQQILKDEDGREKRLKEGALQKSKGLIIH >KZN03070 pep chromosome:ASM162521v1:3:40882399:40884159:1 gene:DCAR_011826 transcript:KZN03070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAVALSNSPVFSPSHRLSPSFCTPSMNLNVSLQTTSPPPLSPLGFRLQKSQSQSQSQSPVCKSPSSSVILKRKRPLRIEIPVAPVIVPAGEKIEAAERVDEVEIEGEEYAVYCKRGRRGQMEDRYSAVVGLEGDSKQAFFGVFDGHGGNKASEFASKNMEKNIMDEVRKGSGEGIEEAVRKGYLATDAEFLKEESSGGACCATALIRNGELVVSNAGDCRVVMSRGGVAEALTVDHRPSRKDEKERIEKLGGYVDYCHGVWRIQGSLAVSRGVGDRSLKQWVISEPETTVLCIEPNCEFLILASDGLWDKVNNQEAVDVVRHLCVGTNRNDAFAACKKLAHLSATRGSTDDISVMVIPLPHFCLPVRS >KZN01059 pep chromosome:ASM162521v1:3:11232822:11233598:1 gene:DCAR_009813 transcript:KZN01059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKFVTSQAAVADHNLSVNSISKKICDKKSYRDSKAKVLKPKVYILRDSSTFRNLVQELTGNGNTGCTNASDSTSASLSSSSDTTFTPVGHEMVPILQNNMMYASEYYCFQEMSPVGAISIPGVSDFSPCAIISNPIIDHEQDSFIGNNMMYNIGDFGNFQESSTEVSFDSSDHLIRTPVTSDFSEEIVDYMPTTFEDDEFQASWNMMSYPQYEETETWFSEIESCVYNNHGSNWFQPPGMAAEVCAFDCDLSAIIS >KZN02355 pep chromosome:ASM162521v1:3:32391147:32391524:1 gene:DCAR_011109 transcript:KZN02355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSEITDALINRLTSVTDSYPEVLSCIALICGANVLIQRRKLAKFEKKLAKIEKLQEETKKLREDHDQLSNKLEEFIKLEKKRQKREKKARRAEHEEFTKANLEAMKELKKMMGDRLNDVEKYG >KZN01313 pep chromosome:ASM162521v1:3:14353147:14363564:1 gene:DCAR_010067 transcript:KZN01313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAVVKGSNFTSANESSTSYLDMLKDDIVSEHLTRNIQFFGLNSQQKVTASYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATREDVGTSKAQCLKKHFSSIFPESHVTAKVLLYDASSEEEILSGNPDFVLDCIDNIDTKVSLLAACVRRGVRVLSATGAGARADPTRIRVADLRESTNDPLSRAVRHHLRRDHGIEGGIPVVFSLEKPKAKLLPFKGPSGDEEKPLDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVLTQLAELQVQTEPVVNFDMHHYQVLHQRLIEHEELLYGTSMQVQVDVEEVRYIAKELWHGHSAKDESIKDFGRAMWRSVNDLMLILSDEHVQVKRIAIAGEFWGGEIGTLILMTPKRRGPTRISHHPGL >KZN00058 pep chromosome:ASM162521v1:3:1531503:1533153:-1 gene:DCAR_008812 transcript:KZN00058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHHQFARGIINGWDYQQQHEPSSSLTLGFCKRLRPLMPKEPVGGDGGGGDVGFDLKSFIRPDCGSRKSDVSSSDEKREPLNQTHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITTQLGKYGKIEGKNVFYWFQNHKARERQKQKRSSLGLSHSPRSSSTSFSTITLSTRGDNKQVQESSPILKRKCRAWSFEKLEEDKSYSRREDEESDKINKTLELFPLHPEGRIIN >KZN02008 pep chromosome:ASM162521v1:3:28193114:28193269:-1 gene:DCAR_010762 transcript:KZN02008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGARVVISKSTIAPASGILRSAIKSEKEGCYKKISNDQARVILPRKKKTK >KZN02545 pep chromosome:ASM162521v1:3:34352813:34354126:-1 gene:DCAR_011299 transcript:KZN02545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQVLSRELIRPYTSTPLSLREYSLSLVDELSPVMYVPIILYYAHDPATSGSRCEDLKMSLSKVLTRFYPFAGRYMKDSFMVDCSDQGVEFVEAIVDVRLDELLGQGKNLKTEVLNHLIPCAIGASGEASDPLLAVQVTSFACGGWAIGSMTSHKIADMATACTLINEWAIEAKRLLEGSDGNKLPVMSPVWDSASLFPGKKVSGITFQMSREKQDVSDHQIATKVFSFDNSAISKFCGKIRVENSSESLPTRVQSVFGVIGKSIIDINAAYTKEFLAIEPVNLRERTEPSISKNHCGNLYLLTTNQIVAGEAGVELHSIVDLLTQTVKRGVEKCKMILSPEGPMMISEGFHEVTSTLAKPKIGALSFSDWSKFPFYEADFGLGKPVWISIVNLPGPNCVFLLGDKFGEGYEAWVNLTSSDMLKFEQDSSIVEYST >KZN00174 pep chromosome:ASM162521v1:3:2721164:2736385:-1 gene:DCAR_008928 transcript:KZN00174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLDLIIYEKVYKFRVLLPNGLCLSLRVDEPATKKMPVVVFADLVKEEYDRMKKSDKIRRRSIDWDSADLCLIDISEKRFKDLILFEGYDPNKVHTLRLDDGSKGDEVYENMWDLTPDVELLRELPQEYAFETALADIIDNSLQAIWSKKKDRLIRGKMGSSLHRSSRGQAIGGKPPYLKVCFVALAWPLADDALLGKIHTVISSKTKYSKKVCTLYLQRDALLRSSDSDKTWQANGGLRDPSKDEMKLSPDGSFTKCDDLSTSGKTLTPIEFQVNGIDLTEVEGGEVAITNLNSCNGRPFVLQLRFTSNQDSSSQKSPGKRASQEANAQLKCLYFPVVQGKESIEKILEQLEAERCGVAENFESFSHVSIRCLGRLLPDARWAWLPFMEPKSRKADKAQIFKKCCSRVKCFIETDGGFYPTPSKTDLMHHHPCTIALKNFGSKNSEKERAIMLSVSAHYSCGIYLGLCLSVESAEWSLQLEKLQHKIPSSIDILCARHCEELEVEESLPFDTPVFAGHAAPDEVIAVVRPASFSSELVSENLDQKHIALTVLDMVLEIKLNSQDKNLQENNHICSIRTPPSTRNGFRGLYIFPVKPRLIFEKAGEYTFTFHITLQEDSNFRRFVKGVTVKALPQVDSWGFVSDTQCAKLSVRVGSFFPPISIACYDKYGNRTSFSHVPKVMAKVLTKEIVLFQSEKLNQNISSKNSVLTVEYLLNFSVDLTLSFSSVIPGSIHHLIAKPKDFMKQLLPGNVIQDLELENLLVESHNLDFIRPSYEAKLILYSEDEPFCVIIPCQVIPGSIHHLIAKPKDFMKQLLPGNVIQDLELEVFDVYGNHVKQDTEMLLDMVGFCFQHKTKRIQVDNRGCIDLSGLLKVSTGYSETVSLSVLSNAKTVFSEKSQTEKRMIRVATEIPEKCFAGSQLENVVFEIVKSDGVIDETINDNEKGDMSHALILKSDSTDIDDSVKYSFRHGCCTVRAIPLPQIEGSFSFLAAHSRYPKLNMTITVLVEKAPEIVYDSSQPHCTDKDNLFLEKSSNLETPKLQHDDRSIMLVKSPESAKGIKNIAGSLYNEQKELKDKIVEYGTYIGEHEKTIEMLHSQRVEFEQSLAKMQASLDAISDGDSSYSPDKERMLKEIELSHTAASVVCKLSRNKRTYDFSKDIIGVVALLGNVETNELGRILAEFLGEEQMLGVVCKSLKAAYNLETCDVDGSVKGSTGLQALASEYGISLDGRYSVICLEDTRPYQGDYDSGDPQRMLLLPNPTLTNGNCPPGFIGYAINMIYLDAHQLYTRTCGGKGLRETLFYHLLGEVQVYKTRESMRKALEKSCIQHGAVSLDGGIIRGNGVISLGRREPSIHFPVASKSQYRRSAKTNNQRIQRLIDAKKSMLRTTVDKLDKENEMYEQNMKKYEKYRDKYTKFLDQKGPLLRYFNEVASNSPS >KZN00382 pep chromosome:ASM162521v1:3:4790352:4796212:1 gene:DCAR_009136 transcript:KZN00382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLVRENLFIGNINDAAKILQEGSGEVTHILSVLSSASISFFSEWRSGLSIPTKEMRKVYFGDADSDVYAGNNPGTLQSSEKLIYLLEYAGKDLKLVRMAVPLRDMERENLLDYLDACLNFIDESRKQGSILVHCFAGVSRSAAIITAYLMRTERLSAEDAVDSLRESCESVCPNDGFMDQLKMFEDMGFKVDHNSSIYKRFHLTQLGDSYNRGERIDSSKLGPDPGLSTEDTSSESGSSVNKALTSTAAYSCKKCRRLLALQSNVLDHAPGEGETSFDWSKRRSGNPFNKYDDLDCSSLFVEPLRWMKIVEEGGVEGKLSCAKCDARLGYFNWSGIQCNCGSWITPAFQLHKSKVDISTL >KZN01687 pep chromosome:ASM162521v1:3:23167071:23170411:-1 gene:DCAR_010441 transcript:KZN01687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFPGCQVGCKLKQENVLKTPDKRLLDEASKGPELLKESLNIGPEKDLIQTCLGKETKQLAMSVCKLPEQVCKATKKTSKNQELKKPLLAPNGLPSKKQSRKAGNPSRFPVVTEQCAGLECLNLLICQNSACRATLSIDDVFCKRCSCCICHSFDDNKDPSLWLECMSESGVGDSCGLSCHIECALQRRKVGVVDLGQLMQLDGSYCCASCGKVTGIISCWRKQLLIAKDARRVDVLYSRINMSYRLLDGTSRFKELHDIVTDIKLKLDAELGPLTDVSNKMARGIVSRLSVAGDVQTLCSTAIEKADDWLAATSSADPNCREAATSPLKDIKGYKLWYCKTREETHSEEPVSVFPRSERRILISNLLPCTEYSFRIVSYTDAGEMGHSEAKCFTKSVEIIRKNSSPVAVNNRKGNVQVVADTYAVTEYKTAAEVESDSGFQVRCLGKILRIASSEEEGCLNEISGARTENCSGVNEECGPQIVQGQLKPVPREIDLNVASVPDLNEELIPPVDSSRDDNNLCTGKVGADDEEVSHDNQKNVVEKSHGSGDSQNLNHKSSADLSAVHLEGKMYRKRAATKDDESHDCDSTLINRSPSGIHDNSGSLGENFEDSVKIIRWLECEGYIKQEFRLKLLTWYSLRSTEQERRVVNTFIQTLIDDPSSLAGQLLDSFSDVVSSKKPRNEPLL >KZN02602 pep chromosome:ASM162521v1:3:34977006:34985659:-1 gene:DCAR_011356 transcript:KZN02602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRNKYYDLNDAVAFLNTFLVRNPLFPFVVPLVLVLWTVEKWVFSLSNWVPLAMAVWATFQYKSYQQRIVVEDLNKKWKQVMLQSSAETPLEHCEWLSKLLIEVWPKFISPKLSLRFTSIVERRLKDRKSRLIETIELQEFSLGSTAPLLGLQGIRWSTTGDRKIMHMGFDWDTNDVSIKLLAKLAKPLLGTARIVVNSLHMKGDLLLMPVLEGKALLYSFVSTPEVRINVAFGSGGSQSLPATELPVVSSWLVKVINDSLAKTMVEPRRRCYSMPAVDLYKKAVGGTVYVTVISANKLSKVNCARSQQCLINGYVEENHCTLMQTFVEVELEDLTRRTGMKSGTGPIWDSTHNMVLHDDTGIVKFHLYECTSGSVNYVYLTSCEIKIKYVADDSTMFWAVGADSSVIAKHAEFCGKEIEMVVPFEAESSGELKVKLVLKEWQFSDGSHSMNNFHLNSRASSLYGSSNMLTRTGRKIYVTVVEGKDLITKDRSGKCDPYVKLQYGKAFKRTKIVQRTSSPAWNQKFDFDEIGDGEYLKIRCYSEDTFSDDNIGSARVNLEGLVEGSIKDVWIPLEKVKSGELRFQIEAVKMDDNEGSRGSNGGAGNGLVEISLIEGRDLVAADIRGTSDPYVRLQYGNLTRKTKVLYKTLNPKWNQTFEFPNNGSTLELHVKDHNPVLPASSIGDCVVEYQGLPANEMFDKWIPLQGVRKGEIHIQITRKVPEMQKVSSLDPESATKANQISNQMKQMMLKFQSLLDDENVEELHALLSEMESLHDTEEEYMVQLETQQKLLLDKINDLGQEVINSSPSLSRSWED >KZN00441 pep chromosome:ASM162521v1:3:5307907:5311038:-1 gene:DCAR_009195 transcript:KZN00441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNNYNPSYPPLPTRLHRPQSRPHPPPQHNPSDHLSDNLSQLSLNSGNSNVINFDAYEDIPVEATGSDIPPPVNTFAEIQLGKALDDNIRRCKYVKPTPIQRHAIPVALAGRDLMACAQTGSGKTAAFCFPIISGILKQNGAMGFGGGRKIRVASPLALIMSPTRELSSQIHDEAKKFSHQTGVKVVVAYGGAPINRQLQNLERGVDILVATPGRLVDMIERERVSLKRIKYLALDEADRMLDMGFERQIRQIVQEMGMPPPGARQTLLFSATFPTEIQRLASDFLSNYIFLSVGRVGSSTELIDQRVELVPDMDKRSRLMNILKDQRTNGMDSKLALTLVFVETKRGADALEHWLCRSGFPATAIHGDKVQMERERALRSFKTGTTPILVATDVAARGLDVPHVTHVINFDLPKDIDDYVHRIGRTGRAGKSGLATAFFSEKNSPLAKALAQLMQESNQQVPSWLTQYAETSSSYGNGRSHHRKGSKFGGHDFRSSSNNGGGSRYDSYGSGNNDYNASNSSYNDYNASNSGYNDYNANYIGYTDYNANYSGYNNYNASTGPADYMYNYSGTNTGNSTYSEYSGKDFGAAGGGSDNYAGGAPGYESIVPTGWD >KZN02519 pep chromosome:ASM162521v1:3:34179236:34179625:1 gene:DCAR_011273 transcript:KZN02519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFQKLARNQSTTRLITSFKNPILSHPPVLNHLSQLNPTHLTPISPPSQPKTEDPFSHQSLHFYPSFSFGCFLNPISLSGLVQSEIQVDAVSDDSGMMWADSVKKKRKRKMNKHKLKKLRKRLRRKT >KZN02246 pep chromosome:ASM162521v1:3:31274656:31291103:-1 gene:DCAR_011000 transcript:KZN02246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWNGLENFVFDWLINADRVVSQIDYPSLVDLRSLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSGARGETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGGKGQWPPSGVEPALTLWYEAIARIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPKVFLNNFGSHMEQLYKHLRDKNQRFMALDCLHRVLRFYLSVHGDSQPPNRVWDYLDSVTAQLLTILRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHTILELLKQDSPSEAKVIGLRSLLSIAMSPTSQHVGLEILHVHNIGHYIPKVKAAIEAILRSCHRTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKISEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMKGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACQADDKLHHDDKITKRVQRNEGFKKSSFYQSGEAIEFRSSEIDAVGLIFLGSVDSQIRHTALELLRCVRALRNDIREIALLDRPDHLKNEAEPIFIIDVLEENGDDIVQSCYWDSGRPFDLRRESDSVPQDVTLQSILFESPDKNRWARCLSELVKYAAELCPSSVQEAKLEVIQRLAHITPVELGGKAHQTQDADNKLDQWLMYAIFACSCPTDIREGGGLAATRELFHLIFPSLKSGSETHVHAATMALGHSHLEVCEIMFSELASFIDEVSLETEGKAKWKNQKSRREELRIHIANIYRVVAEKIWPGMLGRKPVFRLHYLKFIEETTRQILTAPNENFQETQPLRYALASVLRSLAPEFVESKSEKFDLRTRKRLFDLLLSWCDDTGNTWSQDGVSDYRREVERYKSTQHVRSKDSVDKLSFDKEISEQVEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRAPFGYSPADPRTPSYSKYTGEGGRGATGRDRHRGGHLRVALAKTALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSDREWAGEGIEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPDLSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDSVEPLRPSVSKGDPGANFVLEFSHGPAVTQIASVVDIQPHMSPLLVRGSLDGPLRNTSGSLSWRTAAVGGRSASGPLSPMPPELNIVPVTAGRSGQLLPSLVNMSGPLMGVRSSTGSLRSRHVSRDSGDYIIDTPNSGEDGLHTGLGLHGISAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENIDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGQEALKWAMECTSRHLACRSHQIYRALRPHVTSDASVSLLRCIHRCLGNPVPAVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQALELFSRVIDRLSFRDRTTENVLLSSMPRDELDTSVSDTSDFQRDLRNTRESTSSSGKVPAFEGVQPLVLKGLMSTASHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLNQEAVVGIASPLLQQHQKAFSVATNIAIWCRARSMDELATVFMAYSGGEIKSIDNLLSCVSPLLCNEWFPRHSALAFGHLLRLLERGPVEYQRVILLILKALLQHTPMDAAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLPGSHPHDPASFENGLSGADEKMLAPQTSFKARSGPLQYAMGLGFGTGSTPTAQGSATESGILPRELALQNTRLILGRVLENCALGRRRDYRRLVPFVTSIGNS >KZN00178 pep chromosome:ASM162521v1:3:2781173:2793305:1 gene:DCAR_008932 transcript:KZN00178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFTQPHQREKSQTEKRMIRVATEIPEKCFAGSQLENVVFEIVKSNGVINETINDNEKVDLSHAPCSSIEVYPIVGLLLSSQVFFVFEVCLSPCRNLRTRLWSMLRTLESMEKRLKCSIASKWNLSKPCPKCKVSFHFSEVLKVETNELGRILAEFLGEEQMLGVVCKSLKVAYNLETCDVDGSVKCSTGLQALASEYAISLDGRYSVICLEDTRPYEGDYDSGDPQRMLLLPNPTLPNGNCPLGFIGDAVNMIYLDDHQLYTRTCGGKRLRETLFHHLLGKVQVYKTRESMRKALEKSCIQQGAVSLHGGIIRGIGVVSLGRREPSIHFPVASKSQYHCSPNTNNQRIQRLIDAKKCMLRTTVDKLDKGNEMYVIIFLQQINASAGQNSLALVPVDQDGCDITEKRELETGTLSQKEAVVDASKLKTDHTQGSLLPLTKGVLGIVASLGKINDDTLSRISAVSKSWNSVVSGLSRDEIKPLQLPPESPLLFLAEQVAEGSAFSCDFNDEYHEKGMVEINVEDEDEDEDQDEEHYCYHERYDYRKSSVSGTRGLHRLATGKTYSLELPEASGRLILGTNKGWLVTLGRDLQISLLHPLLRQDIPLPHMGTFLHQHYARSPISPEDASEEFILRVAMSCKLHPSKNNGMYSSNPIVMAIYGARRYLAYARLTDKVWTEVFFPIMAPFIEDIACYKGKFYALNGRGDLFVCDIDDNSETQGRAKGTKIFSRPTDLDIGINYDNSRTYLVESEFGFWLVVREFKAKYFKAPHRARVKYRTCNFTLWKMELKYSDHPSELPSCTCIPENNLGDQALFIGRATCLSLPSSQYIRPNCIYFTDDNLDLSYHIGGGHDMGIFNMETHTIEPFFQGKSIHPISPPLWYI >KZN00470 pep chromosome:ASM162521v1:3:5564441:5565793:1 gene:DCAR_009224 transcript:KZN00470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSNCSSSLPPLLAAANYTTIPSPLSELTQLHNENTLLNNPSELSSWVDSLLTEFNQPTSINNITAWNDYNTTSYCNIRQIIEEDSSIRLVHVLMSCADSVQRGTLSVASALLEELQVLLSRVNSEFGFGKVAAYFIDALSRRVFKPLERSLGSAFENEVLYHHFYEACPYLKFAHFTSNQAILEAFDGQACVHVIDFNLMQGLQWPALIQALALRPNGPPTLRITGIGPVSLDGHDPLRETGLRLAQLARSVNVRFAFRGVAASNIDDVKLWMLQVDPKEAVAVNCIMQLHKLLDDPSRIDSVLKLIHSLDPKIVTLVEQDTNHNQPDFSDKFREALDYYSTMFDSLEACKGPQKWLAEIYIQREICSVVCCAEMHEPLAQWRERMSRNGFTSVCLGANAYKQANMLLTVFSAQGGYRVDESEGCLKLGWHNRPLIAASAWRPNPRL >KZN03395 pep chromosome:ASM162521v1:3:44256117:44259873:1 gene:DCAR_012151 transcript:KZN03395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYINREDSKIWRIICAETTTEINLLSENWKYLLAGLFGQYIHGVAARGVHFIHRPGPVLQDTGFFLLRELGEQRAYISETVFTFIFLSFLLWTFHPFICKSKKIYTVLIWCRVLAFLLGCQILRILTFYSTQLPGPNYHCREGSRVATLPRPDHIIKFIKQCAWLTVVVQSLLIIASRKHYTVDVVVAWYTVNLVVFYIDTKLPELPDRGAAALLLPVTKDSRTKEENHKLLNGNAGDPTDRRLRSQVNIKAVEDGNILLAETVMNGV >KZN00042 pep chromosome:ASM162521v1:3:1371056:1380339:-1 gene:DCAR_008796 transcript:KZN00042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDGTIKLGTINMRPIDRCFNSEPDVSVSSPVTKQKAAAAKEFIENHYKNYLQGLQDRKERRRALQMKAQEAQVSSEEEEQMMKNLERKETEYMRLQRHKMGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFALKKLKKSDMLSRGQVEHVRSERNLLVEVDSRCIVKLFCSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESVLAIHSVHQHNYIHRDIKPDNLILDRNGHLKLSDFGLCKPLDNKFSSIMLDDEDFSTQGTLGDSEGDPTWLMPKEKLEQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFCSEDPRITCRKIINWRTCLKFPDEPKVPPIAKDLICRLLCNVEIRLGTGGVEEIKAHPWFNGVNWDTLYENEAAYKPTVSGDLDTQNFEKFTAKGPPTSGPRVGPWRKMLTSKDSNFIGYTFKKSDMLKSVGSSGTIKKANGSSKPPSLVSLFGEVNLQETAMAEDDQEGKN >KZN03937 pep chromosome:ASM162521v1:3:50056288:50056793:-1 gene:DCAR_012693 transcript:KZN03937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQVASPQAEEVSSDAEEQTVMTPPAQNFDIEKTVHVIDDDDIEQVEDDDDIEIIPRRDEGKQVEDLEDATDEEEEDIVGGKPAAQFDDDDDEDYEEPAGKGIRSRRFPDEDEDEE >KZN03874 pep chromosome:ASM162521v1:3:49538643:49539200:-1 gene:DCAR_012630 transcript:KZN03874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAENIVSQSPNNAEDSAAERSFSCLFCSRKFHSSQALGGHQNAHKKERIAAKKAKRASSICNFTSTFHTPPLFFTPNHHQFGLLNPSLYIASHAANLCALPGSPFFDRFGSNGGGPRFENLVYYGGNYMNNSPYQCEEGDQQSLLNWQRNGFTKEILYQNNNVSISGNNDSVGKDQKIDLSLHL >KZN02608 pep chromosome:ASM162521v1:3:35034031:35036812:-1 gene:DCAR_011362 transcript:KZN02608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKHLKPRIYYFHPISLIITIHLVLLINIPVYDAQVDYGKYYSECSKNATFRCGETTLGLVYYPFWGETIRPSYCGLKEFELSCENNTKNNEIPVMDIGNNTGKKIRIVGVAVGTSGGVMLLLLLVIFILYRRRKAKHGPSGISPNVSFNSSTMSDLGKEGTCMGVPTFSYSELEKATNFFDSGNELGSGGFGTVYKGKLTDGREVAVKRLYENNFKRVEQFMNEIVILAGLHHPNLVVLYGSTSHQGRKLLLVYEYIPNGMVADHLHGDSAQPVPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLIELISSMPAVDISRHRHEINLAKLAINKIQADALHELVDTNLGFESDGEVRNMIADVAELAFRCLQSEREMRPSMEEVHKSLKEIQGRC >KZN03680 pep chromosome:ASM162521v1:3:46833935:46839474:1 gene:DCAR_012436 transcript:KZN03680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRIRSRDGLERVTIDNPNITISQLKTLIETQLRVPISNQTLSTNQNLLLSKTPQDFTQFTDMSNPHLPISSLGLSHGSILYLAYEGERTVVGPAVKAAPAGSFGKKMTMDDLIAKQMRVSRQENPHCEMVSFDRDTANVFQSYVNETMAFGVKRGGLMYGVVTEEGKVEVDFIYEPPQQGGEEGLVLMRDEGEERIVDAIALGLGMRRVGFIFTQTLSQSKFDYTLSSREVLQAAELQGESELKEWVTAVVKLKVNEDGGADVHFEAFQMSDTCIKLFKEGWFETDIKDGDDPKLSKMKKDVVVGVKDTKEVDNDFFLVVVKISDHQGPLSSTFPIENRNAPVTLRALKSHLDRTKNLPFVKRISDFHLLLLVARFLDVNADVPALAQCVQVQGPVPEGYQLLIESMATAS >KZN02541 pep chromosome:ASM162521v1:3:34332921:34333763:-1 gene:DCAR_011295 transcript:KZN02541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQAAMSFLSNIARAAFGLGVAASALNASLYTVDGGQRAVLMDRLRGVLDVPVGEGTHFLIPFLQTPNIFDIRTKPHTFSSVSGTKDLQMVNLTLRVLSRPLESKLPTIYKELGLEYDEKVLPSIGNEILKSVVAQFNADQLLTERPKVSALVRDSLTTRAKDFNIVLDDVAITHLSYGNEFSKAVEQKQVAQQEAERSKYVVAKAEQERRAAIIRAEGESESAKLISDATKESGMGLIELRKIEAQREIAATMARNPNVAYLPNGNSNLLLGLNAAGR >KZN02290 pep chromosome:ASM162521v1:3:31725685:31733726:-1 gene:DCAR_011044 transcript:KZN02290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSENHPAGNKNVVKGSEVFVGGLPRNLTEDRIREGYCFVRFTTKAAADKAVREKSGLVLDGKKIGVLPSSEQETLFMGNLYKGWTADEFSNLVRQVFPDIVSVDLATRVSSGQSPPGQKKHNRGFAFVKFSSHAGSLMHTVCVVYVKASLSCASSNSAISEHKIHHSATICTYTMVSTNEAAARALRAGQSPEFSLGGKVHPAVDWAEEDPEVDPEKLAKVTIAFIRNLPGGADENFLKKLFMPFGQVEKVIISNKTRAPVGFVHFAERSELDDAIREMNGKTVQGPNGGSPFKLLIQVARPMDKSKKRDREEPQSKQPNKVLVHSKLVRTDPAITVGLEDRALQVIS >KZN03041 pep chromosome:ASM162521v1:3:40454271:40455653:-1 gene:DCAR_011797 transcript:KZN03041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIDCKSNMITSNTPNKSPRVSNKLSGLAPPPPVLATDVAPANDADCAAYEQYIRLPELKKLWSVREFAGWVNEPVMKPALSALEITFRFVSTVMSDPRPYTNRREWTRRLESLGTSQLQIIALLCEDEENSSSRGKAPITDLSSDGAALSRENSSAEVWKLRGETTVVSRGSEVSLLPRLATWQKTEDIAEKFVYSIECEMRNCPYTLGLGEPNLSGKPTLDYDLICKPVQLHALKRSHLNIENSENQSLYTIYQILESWIDVSNELLKRIGSQLDNGELKSALNDCWIVERIWKLLAEIEDLHLLMDPDDFLRLKTQLMIKSEAFCFRSRGLVEITKLSKDLKHKVPQILGVEVDPTGGPAIQEAAMKLYQKTGESGLERIHLLQALQGIESALKRFYYSYKQVIVNVMGSLQATGKFVYADSADLLGQIYLEPTYFPSLDAAKTFLGEYWLTHGKK >KZN00056 pep chromosome:ASM162521v1:3:1516755:1518125:1 gene:DCAR_008810 transcript:KZN00056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSEKVELVSECFIRPSHLPEKAKKPYHLGPFDLAMLSVHYIQKGLLFKKPAVTNDQEDPVEALLQKLKKSISVTLSHFYPLAGRLATKKEESPQSYVVFIDCVNSPGARFVHAKLDLTISDILSPNYVPLVVQSFFDHDRAINHDGHKVSLLTIQVTELKDGVFIGCSLNHSVVDGTSYWHFFNTLSEVFMKDIPDEGSEISRPPIHERWFPDGYGPVFSLPFTHTDQFISRHDAPELKERVFHFSAAALARLKAKANANCIDKSITISSLQALSALMWRCMTRVRGLPHDQITGCKLAMNNRPRLHPPLSQNYFGNCIQAVRATTTAGNLLANDFEWAALLVHKTVAAQDDKALNKFISDWLQKPSVYQLGQFFDPCSIMMGSSPRFDMYGNEFGLGKAVAIRSGYANKFDGKVSLYPGHEGGGSMDLEICLLPHLMTAFECDEEFLDGLNLSG >KZN00517 pep chromosome:ASM162521v1:3:6007596:6008873:1 gene:DCAR_009271 transcript:KZN00517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRKKKRRQADPDSDSESETLPFKKLLKPDSTILSSLKALATASSTAGTSSAANPVTLSSLSLSDTCREVTDLPLSSVQSHIETLALSLAKSILAGNGFSFSVPSRSSANQLYVPELDRIVLKHKASLRPYANVTTVRKTTITTRIMQLIHQLCLKNIHVTKRDLFYTDVKLFQDQTQSDAVLDDVSTILGCTRSSLNVVAAEKGVVVGRLVFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYMRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKLELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPGWVEELNIMVKSKQKAEIQALSSFGFQYLSEVYLPLKLQQKDWL >KZN01705 pep chromosome:ASM162521v1:3:23451438:23451960:-1 gene:DCAR_010459 transcript:KZN01705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPRWGPPHFTTKCLNKPEVVGVRETHVKPGGLMADARKRLNPEVPSKRLKVDKTTQEESTFQVANVGVAHSTNNAAQAFAKLDTLVSEQEMVSLTTCTRKENNVALPKCLAEDSSNKMTKLKEAYKEELKRENG >KZN00884 pep chromosome:ASM162521v1:3:9560398:9564953:-1 gene:DCAR_009638 transcript:KZN00884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSKTLYSSLYLFLSSFVIFALKFQDSVVDMVGALKGILHLRSEPVLCLASTVAVKMVNALPSPVIQPHVIEIVHPLSSLLNCANVQVSLSCAIALSLIISNISMKKESEVWEILRETKTVTNTVCNIKEFSGRTKLVEYFQEMILLLSKILWRWSSSRFCIWNDVELLNALDLVSLEPSFSAKISVLQLYSSIGIALLPRQLLCQPLARLSEQGCLKMLNECCESLVRATINAMSSCIEHSGKLSKDRISLLIKACHMATITRWAGEHHVYFWKYRTHRVMLDLLLNNYYKKYELEHHLSIAEQITIAQDGLNASHLLVLRPYIWDIVGGLASHGTDDLIPTAEGDKFLVNMLITCACLSFMESIRATRQICQRDSATTFVNEAASRAVLLMIYSPCKHIASQTKAVLCELLGPTGKEDVKYLLNTLNAMTSGDRFKVSDNLQMTIILISLASCSGLSEYQRHIIKSHGIKTLVSFLSSQLNRPFHIERSSVALHLRNLYEKKACCHITNGWEGQDMLLLFGLWALAELLHCLNLQANNAELSMGRTDYSESQLVSQLKVVCSGSYAPGPRWYAAYALSYFGHYGFPNKHGPAISKSLIDGEHTDLKLVLMNDESVSVHAVILMVRCRTLLPRRELSLDDKTSTYCLLDHDKERCERLPVEVRLSAHVDSQALSKLLDYIYSGFLQAGEDLVKKLRIFARHCHLHHLLQLLCRRSPRWGTLLPSFDLTAALGKDGRQISDVILEAKVEELVQWSCDICFLSRPHIHAHKVVVCSSCEYLQALFQSGMQESRSHTIKVPVSWKALMKLVHWFYSGELPLPMSGCLWDNLDSDEKLHEMVTYLELCWLAEFWLLQDLHEECSRVIISCLDSSRFLSIKILRLAASLNQWKLTEVSAEYVAPLYRRLRISGELEELDEELVDMVRAASVRLSQKDSTT >KZN02399 pep chromosome:ASM162521v1:3:33072071:33076380:1 gene:DCAR_011153 transcript:KZN02399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEALTFNIHGGYLEAIVRGHRSGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSSFGLLYPYGHEELAICEDIDQVRGVMEKYPPYQSIFAKLSYGESQMLDKAFYEEEVKRLCLSFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >KZN03564 pep chromosome:ASM162521v1:3:45834099:45834461:1 gene:DCAR_012320 transcript:KZN03564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSHKNRGKALCDRDQGDDEENMEKFFELVKNIRGARHYILNYNSSDEVKLENVEGDKRRKIEEEATWKPTFQPEDFQAYRSSSTSMSQLSAFESIGGMKKDEKKRRSGLGLDLNLPP >KZN02007 pep chromosome:ASM162521v1:3:28190645:28190830:-1 gene:DCAR_010761 transcript:KZN02007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMDEALDAGWGAPHGPGLAAQFMDEMGFQVGLRVRSKLKLKYMTSFGRMKLQICLRMRQ >KZN02996 pep chromosome:ASM162521v1:3:39989277:39992228:1 gene:DCAR_011752 transcript:KZN02996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLPKTRGFSATAFHTNMLQRVVGSRASQSLVHSYKRSFNGFVAKLTEEERSRLSTMKGVVSVFPSTKKQLHTTRSWDFIGFPQEVVRASLESDVIVGMLDTGVWPESKSFSDEGFGPPPSKWKGSCSSSSNFTCNNKIIGAKFYRTEGEAVDEVDFNSPRDSEGHGTHTASTAAGGVVNQASLLGLGLGTARGGVPSARLAIYKICWSDGCSDADILAAFDDAIADGVDIISLSVGGSFPVDYFDDPIAIGAFHSMKNGILTSNSAGNSGPEPESITNFSPWSLSVAASTIDRKFIANVQLGNSKIYEGFAINTFNLSGSYPIVYGGDVPNTAGGYTGADSRYCSPDSLDKTLVDGKIVLCDELSDGEGALQSGAIGTIMQDGGFKDDAFSFPLSASYLSSEDGAKVLSFINTTSKATATILKSTGVKDSLAPFVVSFSSRGPNPITRDLLKPDLTAPGVEIVASWSEATSVTGIDGDKRVVPYNIISGTSMSCPHATGAAAYVKSFHPTWSPAAIKSALMTTASTLSAAKNVDVEFAYGSGQIDPIKAAQPGLVYDAGEVDYISFLCGQGYSSKSLQLITGYNNTCSGVSNGTVWDLNYPSFALSGESGTSLMRTFKRTVTNVGTPVSTYTAIVSAPSGLNITVDPRVFSFKSAGQKQSFTVTVAATVGTKVLSGSLVWDDGTVQVRSPIVAYSVSSS >KZN00728 pep chromosome:ASM162521v1:3:7933257:7937683:-1 gene:DCAR_009482 transcript:KZN00728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTSKEKAQNGNVKRLETRTSSLETEDSDLLRMMNRPRPVNIERNRSFDERSFSELSTTLSPPRISHIFDFLDTTYSPGRWTGSPRSACGYETHPMVGEAWEALRRSLVHFRGNPVGTIAALDHSSEELNYDQVFLRDFIPSALAFLMNGEPDVVKNFLLKTLRLQSWEKRVDRFKLGEGVMPASFKVLHDPVRNSETIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDNSLAELPEFQQGMRLILDLCLSEGFDTFPTLLCADGCSMVDRRMGVYGYPIEIQALFFMALRCALLLLKNDDEGKELADRIRTRLNALSYHMRNYFWLDLKQLNDIYRYRTEEYSHTAVNKFNVMPDSLPEWVFDFMPCRGGYFIGNVSPARMDFRWFCLGNCVAILSSMATPEQASAIMDLIEARWGELVGEMPLKICYPAMESHEWRIVTGCDTKNTSWSYHNGGSWPVLLWLLTAACIKTGRPQMARRAIELAESRLMKDHWPEYYDGKHGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISIEEDKQMQTGMKRSASWGAKKPIHAASTWIMQQPSEVKAFIAIFAFMSALLIIKVMVDERNNLFIAAESVHAMGIAVLIYKLSTGDKDNCSGLSLKSQELTATFLSTRLYCSFVMEYDIHTLLDLATLAATAWVIYMIRYVLNSTAMIEKDTFPHYYMVLICAVISLVIHPSTPHHLFDRICWAFAVYLEAVSVLPQLHVIQNTQVVEPFTSHYVFALGVARFLTCAHWILQVLETRGRMLTALGHGLWPGMVIFSEIVQTFILADFCYYYVKSIISGQHVLRLPAGVV >KZN02257 pep chromosome:ASM162521v1:3:31390114:31391412:-1 gene:DCAR_011011 transcript:KZN02257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEQIKTSDLNSHFCIKLAVHIFLEEAEKGSNFVFSPVSLQILLRLIAIGSTGSTLDQILTCLGSKSTEDLNSLFSLVLDVTAGQNSYEKDLTTGPLVTMVNGSFIDQRFGLKSSYEGILRDVYKAEAKAVEFASKADQVIEEVNTWAEDVTSGLFKELLPPGSLGSDTALVFANALYFKGAWNQKFDLERSMHRDFYLLTGEIVQVPYMTTTKRERSIYREINGYKILKIPYQSGNDSGKFSMYFFLPLENNGLQHMVQNFNSTPGFFNQEFQLREENLGVRFWIPRFKFSFEFEASKTINELGLTLPFIAVKEFTELACSAHDEKLCVSKIFHKSFIDVNEEGTEAVASSGLARMSRRCRRVKPPGFIADHPFLFMIREETSRIVFFIGAVINPLLSTS >KZN03826 pep chromosome:ASM162521v1:3:48674824:48675123:-1 gene:DCAR_012582 transcript:KZN03826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALESLDLSSNQLTGGISGQLTSLTYLEALNLWENHLTGPIPQKGQFSTEQLLPRQLSLCGSPLMTKKCKNTVPPPPEINNDDENGADNGFYWQVNLS >KZM99949 pep chromosome:ASM162521v1:3:365945:370628:-1 gene:DCAR_008704 transcript:KZM99949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKSSMHSTARGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVEFIRSMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWVAKDGRPKSPVREQVEQTSAQWQKPAERSSYGYNRNPEKVAEDRKKYQPRSSNSSIPAAKISLPVPPKGPEPVASAPKPQTVMHTTEPAVPSADQVKQVPEAVSPPKVDFATDLFNMLSMDGSAESGAETLSADDNGWAGFQSAAGGSNTEKATSAEPVNNKIHSSSGLEDLFQDSPSVTLPSKEQKPNKDVKTDIMSLFEKSNTNMVSPFAAHQQQLAMLAQQQSLLMAAAAKSGGHMPAIPGNVQQPGPNGNGVPSQNWPNFGYQIPGMIPAAGIMQMGNTGPTYPVGNPVPLQDSSFYGMGQFTTGNGVAPSATTKSQSASALPSASSTQKGNDFDFSSLTQGMFSKP >KZN02562 pep chromosome:ASM162521v1:3:34467243:34469910:1 gene:DCAR_011316 transcript:KZN02562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQALSYLKVFLALVVIAYSHHALASSRRLAKTTSDQAATAPPTGICHTAEIIHGYECHEFHVTTADGFILSLQRFPQGKTGGGGSHKQPVLLQHGVLVDGMTWLLNPANQSLALVLADNGYDVWIANTRGTRFSRRHVSLDPSNPDFWDWTWDALVAHDLPATIDFVFKQTGQKIHYVGHSLGTLVALTSFSEGKQVDKVKSAALLSPIAYLNHMTTALGVLAARAFVGEITTIAGFAEFNPKGEPVANFLKALCLDPQVDCFDLITALTGKNCCLNTSTVDLFLKNEPQSTATKNLVHLAQTIRDGRLAKYDYGSADFNQEHYGDSQPPVYNLSNIPHDLPLFLSYGGQDSLSDVKDVQKLLDNLKLHDVDKINIQYIEDFAHSDFILGTTAKDIVYNQMMAFFKRHQ >KZN03091 pep chromosome:ASM162521v1:3:41171051:41177832:-1 gene:DCAR_011847 transcript:KZN03091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESYNPTGALTKTRFSDLQPRLSEPVLQALSQSGFDFCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRASTPPKPHQVLGIIISPTRELSSQIFHVAQPFISTLSNVKPILLVGGAEVKSDMKKIEDEGANLLIGTPGRLYDIMDRMDILDFRSLEILILDEADRLLDMGFQKQITSVISRLPKLRRTGLFSATQTEAVEELSKAGLRNSVRVEVRAETKSINSAQSSLQSVSSKTPSGLLLEAYKKSSQLVDLLVKNKSKKIIIYFMTCACVDYWGVVLPRLSALKGRSLIPLHGQMKQAAREKALASFTSLSSGILLCTDVAARGLDIPGVDCVIQYDPPQDPDVFIHRVGRTARLGRQGSSIVFLSPKEEAYIEFQRIRRVPLEERKCCDEAFDVVPEIRTAAKKDRDVMEKGLRAFVSYVRAYKEHHCSYIFRFKELEIGRLGMGFGLLKLPSIPDVKHHSISTEGFIPEQNVNLEDIKFKDKSRQKQRNKNLQEKKAKQELEPKRQKPKLSLKNATTVNRKKTAQQRRAVQTAEDDDELTRDYRLLKKLKKGAIDENEFAMLTGTEDL >KZN03268 pep chromosome:ASM162521v1:3:43048258:43049665:-1 gene:DCAR_012024 transcript:KZN03268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQTHLTLLLLFTLISPILSHSIIQQFTKPLLHFTSANTLYCDSWRFSVETNDAGSWSTIPEKCIDYVKDYMTGDRYTSDSEVVADDSLEYAKTVELTGKDAWVFDVDETLLSNLPYYADNGFGWRFSVETNDAGSWSFTPEKCIDYVKDYMTGDRYISDSEVVADDSLEYAKTVELTGKDAWVFDIDETLLSNLPYYADKGFE >KZN03173 pep chromosome:ASM162521v1:3:42025330:42034032:1 gene:DCAR_011929 transcript:KZN03173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNTKNEEKHSIEVAHDDKVFASCTFETLGLHTRLCNILEEKLGFKVPTHIQAQAVPVILSGKHVLVNAATGTGKTLVYLAPVVHHLLLQNDKQRINRADGTYALVLVPTHELCMQVYETLDKMLHRLHWLVPGYIMGGESRAKEKARLRKGVSILVSTPGRLLDHLKNTSSFVYTNLRWLIFDEADRILELGYGKEIEEILTVLGSRQDKSTVQRQNLLLSATLNDKVNHLANISLENPVTIGLDDKKIQIKPMCKTLSSLEAGVDNASDGLEKLTNSSNGEYNMPAQLIQRYVKVSCGSRLVVLMSILKKLFEGSATQKIVVFFSTCDAVDFHYLLMSEFQWPPNSNSEAESRLFLECKTYKLHGNMDHGERRSSFNEFKTEKSALLLSTDVSARGLDFPQVRCIIQYDSPGEATEYVHRVGRTARLGERGDSLLFLQPIEIDYLDELKRHGVLLSEYPLLKLLDSMPFYGRNPNVKKFVSLETHHWVLSLQKALESCVITEPSLKKLAMSAFCSWVRAYSAHRGELKSIFMVKKLHLGHVAKSFALKEQPSLVGGSFQKQLKKRNRDQKHKGGSKRRRIISKS >KZN02023 pep chromosome:ASM162521v1:3:28518635:28520726:1 gene:DCAR_010777 transcript:KZN02023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLYWYNSSMDFWKFKFVIEAVTCAVCGVWGRNAQGNFLGGIGGRFYNERKEIKHIFSIPIMVNTMEEVEIEAVIYALNLAGQEWCSNKKLNIYTSSQFALTNVLGQSPCCTLNGLWKWSNDLSEVANLFFVPMDFNWEARELARKGFFGNLEFFLDRDMGLDILFEVMDNMGLGEVIDEEIVDEEEVEVERVENLDEEMAGDDEEEMNGGVEEEMFGGDEDDNFYDFWDLVCPNAEMQVGPPEPQGKKAIIPAPCSVKRPLLLKDKVDPIASPPGCMGTSSQRGNVVSKGKEKLYSGFAFNDNGLLSEPAMHILDGGLLKEYWAVFEEEIIDLESTVWEGQYFVEFPDDAMEDPLPEVDEELMDVARMLVEWNQFVAESS >KZN01519 pep chromosome:ASM162521v1:3:18718975:18719569:-1 gene:DCAR_010270 transcript:KZN01519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKAYAGKKLMKKRVTRHQALQLRYPHLSYLILLLDISEKSIKNNKLGICYEYGRRPGKEAVSDCVGDDTIKQYILRNVQKPVFKVIEPDFVEEGLLIK >KZN03203 pep chromosome:ASM162521v1:3:42418406:42421353:-1 gene:DCAR_011959 transcript:KZN03203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVSHEVAVPLRLSHIICEEFDLIASIASLFSEPKFIKLPFVAIASESICYNYNNHKTGICARAKSTREMKEEDTLLNVMKAEMHSANILTSSNTIKKENSYSQSLVSLTDGICTEQYEDLRTNTKTDELITSDIRKSIGSPQISEKPDFLDSDNSSEIVVDLVVAVNEDVELGGPKKRPSTVNLEGVKEKKMNTSLEDLVVAVSSVDVEIDGLNQKSEIGGLNQKKATVLLDGIKVEKNMSTGDTAVTVNNVDMESDGSKQILSTTHPSNVQKNTKKSIGKPKLFNFVPNWGLTSLQGGRSEMEDAVVAIPNFLEIPTPVLTLISNELNQTLSHSTAHFFGVYDGHGGSQVADYCRDRIHLALAEEFELVKEHLHIESVANNWQEQLENAFLRCFRRVDMEVAGVDPGNANGEERNLEPIASDAVGSTAVVTLICSTHIMVANCGDSRAVLCRGKESLALSLDHKPGRHDERKRIEAAGGMVIYWDELRVSGVLAMSRSIGDRYLAPYVISDPEMMFVPRTKEDECLILATDGLWDVVKNEEACDLARKRIHLWHKRNGSMLTSSGEVSGSAAQDAASFLSQLALQRGSKDNISVIVVDLKAQRKFKKKTEPANE >KZN00369 pep chromosome:ASM162521v1:3:4559409:4561775:-1 gene:DCAR_009123 transcript:KZN00369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVKRKTVTENVDRGQDSVLLNLITNGEDLGPMVQLSFESGRPESLMHQLKHVVRKKEVEIEELCKLHYEEFILAVDELRGVLIDAEELKSELANENFRLQEVGSSLLLKLEQLLESYSIKKNVAEAINVSANCVRVLDLCVKCNNQIAEGRFSAALKSVDLIEKESLKHIPVKALKVLIEKRVPLIKSYVVKKVIVEVNEWFVQIRSTAKDIGQTAIGNAAASRQRDSDKIARQRKAEEQSSTGLVDFTYTLEIENSSEDSILKFDLTPLYRAYHVHTCLGIQDQFREYYYKNRLLQLSSDLQISSAQPFFESHQIFLAQIAGHFIIEDRVLRTASGLLLGNQLDKMWEIAVGKMTSMLDEQFSNMDTASNFLLVKDYVSLLGTALRQYGYSVGPILEWCSKGREKFHELLLSECRQKITAVLASDTFEQMVMKNELDYQTNVLAFNLQLSDMVPDFPYIAPFSSMVPDCCHIVISFIKDSVNYLTYGGQMIFVDVVKKYLDKLLIDVLNEAMLDKMLSASTVERQAIQVAANIGLIEKACDSFLQHAAQSCGIPAQAVERHQDSLKAKVLFKASKNEAYLALIHLINSKLDEFLALLKNVNWTSDEPPEHSNDYMNEIVIYLHTIMSTAQQILPLDVLYKVGCGAVEHISNAIVAAFLSDSIKRFTLNAVTSIDIDLNRLESFADEKFHSSGLSEIYKEDSFGSCLIEARQLVNLLLSNQPENFINPVFRERNYYALDTKKVEKICEKYKDSPDSLFGSLSSRSAKQSSRKKSMDVLKKRLKDFN >KZN02286 pep chromosome:ASM162521v1:3:31655227:31658633:1 gene:DCAR_011040 transcript:KZN02286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSKMKLLITSILLIAVYTAATTEAAPPRGHHHIGVEEEADRIISLPGQPAVSFQQFSGYVTVNHLAGRALFYWLTESPHLPLSKPLVIWLNGGPGCSSVAYGASEEIGPFRINRTSSGLYLNKFSWNNEANLLFLETPAGVGYSYSNHSSDLFDTGDRRTAKDSLQFIIRWMNRFPRYRNREVYLTGESYAGHYVPQLARAIMHYNARSKQKINLKGFMVGNAVTDNYYDNIGTVTYWWSHAMISDRTYHQLINTCDFRRQKTSNECESLYSYAMDQEFGNIDQYNIYAPPCNKSDGSSGATHKTIRLPHRPHGMFRQLSGYDPCTEKYAEIYYNRPDVQKALHANTTGIPYKWTACSEQLNRNWNDTDVSILPIYRELIAAGLRIWVFSGDVDSVVPVTATRYALEQLKLTTKTPWYPWYVKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPRESLQLFRSFLRGEPLPKS >KZN00317 pep chromosome:ASM162521v1:3:4150816:4151844:1 gene:DCAR_009071 transcript:KZN00317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDKLVLRGLKFHGYHGVKEEEKKLGQKFVIDIDAWMDLHQLVYLTACRIQSVILLSIGEDASLACLIIAKEVVEGTPQNLLETVAQLIASATLTNHSRISAIRVQVQKPHVSVPGHIDFLGVEITRYRSTEGPK >KZN03067 pep chromosome:ASM162521v1:3:40832025:40858024:-1 gene:DCAR_011823 transcript:KZN03067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSEALLCVLHTDCLTTCNISGDTVSVPLSRPVISIWPLPSGLLLQVAEANSTCGPFSSSSPSLISRDMLRVKRDIKGYSPQNLFGFTSQFDITTKDGSSSSHLILRDPLAEPESTYIEERGKLCLLKEFDEKTIWTGERIPLMASYNKGKMQHSLWVAELGNSIVEVNNSKLSDMVPAEVFPKQLSFRRIWQGKGAQTAASKVFLATDDDAVPIVCFLLQDQKKLLSVRLQTVDLNNEILFDIKPDMSWSIPGIAAVPVNATRPRVKVGLLPYLDIICLASDNTLLLYSGKQCLCRYMLPPNTKPLDAPTMSQDLKIVGLSNSVEGRFNVIVNNGQVLRCALRRSPSSSLTNDCITAMAEGLNSVFYNHFLVLLWGDTDVAYLAKNDVGIQSEWEAFCSTITKLCQESNTTTQMIPDLASNSSWEFLLNSKFHKNYPNYSFVKGICPEKSINQQGSNSFVSFEDHSQTHEKSSCPELLMETLDTLHAVYETLKLDSLRKRDLTLLVALLSNVAGFLGEESYLDHYIRDFPRLFKFETRRTACSRKTPPSLFRWLENCLRYGYNSVESCDLPPLISKEDSNVVSWARKIVSFYSLLCGAELLDKKLSNGVCCNVSSGSSSTNEQRAVLAMVGERFGLQQLDLLPAGVSLPLRHALDKCRESPPTDWPAAAYVLLGREDLALLCSAHSRKSKENEPHTNMNLISMSTPYMLHLHPVTIPSSTSDTNESEITKLEDTDSVDGSLNDGMEHIFNSSMQLRYGRDLRVNEVRRLLCSARPVAIQTPVNPTASDQDLQQAQLWQLAQRTTALPIGRGAFTLATTHTLLTEALTVPKLILAGRLPAQQNAMVNLDPNIRNIQELKSWPEFHNAVASGLRLAPIQGKMSRTWIIYNKPNEPNVTHAGLLLALGLHGHLRVLTITDIYQYYSQEHESTTVGLMLGLAASHRGTMHPAISKSFYVHIPARHPSSFPELELPTLLQSAALLSVGLLYEGSAHPQTMQVLLGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGEDTLGFMDKFVDRLFQYIGGKDCHNDKSHLLTPSMDEHGRGVGQVMDGNPINVDVTAPGAIIALALMYLKTESDVMVSRLSIPRTHFDLQYVRPDFIMLRVIARNLILWSRVHPSEDWIQSQIPEIVQSGVKGLSDEMSDVDGMDAEVFVQAYVNIVAGACISLGLTYAGTRDGHAQELLYKYAIYFLNEIKPVSVSSCKSFPKGLLQYVDRGTLETCLHLIVLSLSVVMAGSGHLQTFKLLRFLRTRNSADGHVSYGTQLAVSLSLGFLFLGGGMHTFSTSNNSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLATEARWVQTVDVDTGLPVYAPLEVTVKETEHFAETSFCEVTPCILPERAILKTVRVCGPRYWPQVIELNPEEKPWWNAGDKDDPFNSGILYIKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTSLRTCILSTDDDSVPATVHQLVSTFSSDPSLNAFAQLCCDLSWDSRQVVAFQLNHFYTIIYEVYLSLYTTVGSLADQLTSGVPILGDTLFVPSFKLALAYNEAVLKGRLATSRGSIIQSAFLGSLEKCMDDLLSYSASLTDDLPNYLNSGIWPEEGQEGDSSTVLAWYLHWYGVPAPSIVKRVMEKLRPLRLGGTSSCSVPLLHLLFPRTHIQAVADIDKFCFS >KZN00088 pep chromosome:ASM162521v1:3:1807885:1809137:1 gene:DCAR_008842 transcript:KZN00088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKTLISCALLLAFASLAYCQDLNIIDITHEYTPAMPSYGSKKGLGEILTLVCDQRKGFKTTNSLLTIQVHSGTHTDAPSHAFIDYYNQGIDATTLSLQTLNGPAIVVDVPRDQNITAEVMKKLNIPRGVKRVLFRTLNTDKKLMNITLFDSSYVGFTTDGAQYLVDNTDIKLVGIDYLSIASAADVLSPHTVLMKNKDIIPLEGLKLDEAKVGVKYNIHCLPLKLHADASPVRCILTQ >KZN02895 pep chromosome:ASM162521v1:3:38715476:38717333:-1 gene:DCAR_011651 transcript:KZN02895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLGSSYLCGKVKPEELGVVKEHPLPCTPAALTGLPPVSSQQLPPVGTPLDSGQHLHTSNSPLSLLFLFLHHRSVPLSRHRRLTNQPALASESSPPLRLPNTRTLPRLHPNWNSSPFDFQKGRRLYTDPPPSPLPTPTLHHPAPPNSSHQNPFTDYLQPVATSPPPPLIATPSHLQRTPNNPYNNPPPIKPTIATNNTLEIDIRA >KZN02899 pep chromosome:ASM162521v1:3:38744254:38747522:1 gene:DCAR_011655 transcript:KZN02899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFCCGVECVVAFGFLRWAWKRCTYIGAYDSATWPEATAAEFSPVPRLCRIILAIYEEDLGSPRYRPADWYGMNLEWVIKRVTYQQTMGRSPPYLIYIDHINREIVLAIRGLNLVNQDDYKLLLDNRLGMQKFDGGYVHHGLLKSAIWLLRRESETLKNLWVENGSCYKMVFTGHSLGSGVAALLTVIAVNHGACLGGVPRSKISCYAMAPARCMSLNLAVKYADVINSVVLQDDFLPRTATPLEDIFKSVFWDTFIPERRKLGDPRRLYAPGRMYHIVDRKFCRCGRYPPEVRTAIPVEERFEHIVLSCSTTSDHAIFWIEREAETALEILKENNSGTLTTAPEVQRIERLYSLEKEHKDALERAVSLDIPHVVSDPQKKTLKEEEEEEEKEEGFSSGDKEGGFQNESKDAQRPSSSSVGERIDWNDVVHMLFDKNECGKLQLKRDVAAASK >KZN01602 pep chromosome:ASM162521v1:3:21546838:21547050:1 gene:DCAR_010356 transcript:KZN01602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRDSQGVRRFFRPKDQLKAASMNTLKTLQSKLNRQDSDEDWFYMIFQQQIDFLEEKLKSRGRRSSRKK >KZN01038 pep chromosome:ASM162521v1:3:11080477:11081392:-1 gene:DCAR_009792 transcript:KZN01038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGALARHLDTLVGPGMMLLFPLYASMRAIESPSTLDDQQWLTYWVLYSLITLFELSCWRVLQWLPFWPYMKLVFCMWLVLPMFNGAAYIYENFVRKYVKIGGYVSSSHPEGQRKVLQMMSLDARKSVERYIEKYGPEAFDRVVKAAEKEAKKH >KZN00895 pep chromosome:ASM162521v1:3:9642385:9642630:1 gene:DCAR_009649 transcript:KZN00895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEYVRDQHYEVNPSMPTMEAGDQQSEVYMQLLQLKTTLRPFRDWRQQFAPQNQEAGFVPWAPQPQQNTYDYLRAVACAP >KZN03467 pep chromosome:ASM162521v1:3:44889021:44890034:1 gene:DCAR_012223 transcript:KZN03467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVHTPNNFVNTTKITDLYPEIMLAHILTLIDHSSLASTALASTQLHALCNHEYIWTKICNSKWHSTKFPVVRDAISSFPGGHRLFFADAYLILEFSSDYKYLSYNQRIKHLISAVDIQFEHKNVYSKVDVIDTTNESFASSVFEVEVLDRTKFVRLPMKSEDEDDSHISNLKMNMTLSWILIDPTQKRAANVSSQLPVSVRRHWIGGDIEVKYAIVMPRYSGAGMSELVEIRIIVMLEWEEDKTKLKLRKVSLQIRDMDNICLQGSESLRILQEAIQCRTRIKAQRAEVIDKYKIFVNKKRARREGRQKRKIKITGPLLATIVVGLVILCFRCF >KZN03784 pep chromosome:ASM162521v1:3:48101005:48102636:1 gene:DCAR_012540 transcript:KZN03784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLISNWKNVESVPESFVFPPHRRPGNIVVPMSKDIPVIDLKYCRNPGVEAIQQILKASQEFGIFQVINHGVSESLMNEAMDVCKDFFDMPGEYKAGFYSNDPIKSCRLYTSTLNYDKEEFHYWRDNLTHRCHPLHEDHILSWPDKPATYRETVGAYSVQIRKFLLNILDILCQGLGLEDGYFEGELTENQLMSINHHIPCPDPSLTLAMPEHCDPNLISMLQQCDVPGLEIYKDGQWTGVEPIPNAIVVIPGLQLRVISNEKFKSAIHRVVTNSKEPRTTIGMFVIPSNNIVIEPARNITRDVPAVYTSYLYKDFFSTFTGKGCEAETVLKCFRLVD >KZN01704 pep chromosome:ASM162521v1:3:23450862:23451374:1 gene:DCAR_010458 transcript:KZN01704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIQLSANKIQNIKYNYGAFRMPIALFEFKIVHKGDEGIRSLRVVLSITRLPATHKGDEGIRSLRVVLSITRLPATHKGDEGIRSLRVVLSITRLPATRFCCELGGLVFYLLSKLLSIVLGLRSELAPFEIRDLLHESNLPFHSGFSDQSCLLSLEISNVLLKASPLLD >KZN01381 pep chromosome:ASM162521v1:3:15188702:15193028:1 gene:DCAR_010135 transcript:KZN01381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSVKNELLIVMIGVVLMWKSVGAFGTFGYDIHHRYSDSVRGILDFDGLPEKGSYGYYAAMAHRDSVARRRHLADADAASLLSFADGNETYRLSSLGFLHYANVSIGTPSVWFLVALDTGSDLFWLPCDCVSCVRDLKTSSGRQIQFNIYSPNISSTSTSVLCDNDLCQLKSRCSAASNTCPYRVRYLSSNTSSTGFLVGDVLHLNTDNNQQKAIEANIKFGCGTTQTGSFLDGAAPNGLFGLGMEKVSVPSILASEGLAADSFSMCFGPDGAGRIKFGDKGSSDQSETPFNIDQLNPTYNISITHISVEEKVTDVNLAAIFDSGTSFTYLTDPAYTIISENFNSIAQEKRVSPNSDLPFEYCYVLSPNQDSFRVANVNLTTKGGEQIFVNDPVVYISTQEGALVYCLGLVKSEDIDIIGQNFMTGYNFVFDREKKVLGWKASDCYDGNTSASLPINPSKGAPPPGKTTPATNPPASVEPEAKSGNRTGSPNASPIQPQPTLPFNHSARSSSLGHTLLIVFCSLIAHCLTILFF >KZN02156 pep chromosome:ASM162521v1:3:30309617:30310042:-1 gene:DCAR_010910 transcript:KZN02156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKQEPISLATTSSHHQSPSSIYHPSFSDLNTESAASFFTDRNTSLGTLMGASAFCPIIFSTPSHNRNAALLVNNPGKSKEINSFSRSSGGLVTARFFWWMRWGWRWGAIIRGLMVIRGFYLRTGRCCRQRSSVWWQWVV >KZN01399 pep chromosome:ASM162521v1:3:15523545:15525297:1 gene:DCAR_010153 transcript:KZN01399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAQSSPVAEXSPSPPPPPLTENFPPPPPLREETKYDIPEEGRTYTLSTIGALWRLHKARIKKDHYSKYDNDDDRLKNRPDVIPVEEFKVLLNYWADEEVQKIEKKITPEQLASKGEIYGKTRKWDPTRKYKTKIDEEDDNGEPVKKPSHGPNWLLGRSERPLRRKYMAEMDRKMCEKMKRIMAKLEDINPDFTNLDVEELWVDDASEDDEEDNGEEDNNSEEDDIGEEGDGDEDDNN >KZN03139 pep chromosome:ASM162521v1:3:41661154:41663966:1 gene:DCAR_011895 transcript:KZN03139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGRGQNKRNWSDEEDRALVETLQEVAIDINWKSEKGWRDGYLVRVEELMAMKVPMAGLKANPHIESRWKYLKRKYHAIADMRASSGFGWDENTKKIQCDKSVYDEWCESHKDARGMWGVSFPHFHALAELMGNDRATGSNAENFAEAIENMGNETNDSMFSASTEEADSVSKPGKRKRSKDSTEKNLISMFDDELGSFMENIDKHLGKLVASESDDMAAKVMEALRQMEGLSGGQVLLAAEILMAEPPKLKVFYHADAELRK >KZN01471 pep chromosome:ASM162521v1:3:16670416:16671366:1 gene:DCAR_010225 transcript:KZN01471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCLRKMEPEPTMKLNDEKIVNEQLEEPCDMEVVNSATVLPISAPSRVESTDSPLVLSSLPTEPMKVSGSDTGEHDSGLNSSSKGGPCTPKDALFDPLASGPDKLLAAPIWKRCRSSACILSSSLKCKDTELSEGDATRKAENGMVDAADEDSLGAMMSNQVKGISLSDGCVTPTSVNPLSDVAETCPDAPERNGENSKTCKKKLNFGTAGLCRRLDF >KZN01983 pep chromosome:ASM162521v1:3:27696190:27717852:-1 gene:DCAR_010737 transcript:KZN01983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNIGYGFVCQRLLLPCFILRVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLQRVVRALKFLQNGRETFRDKWEAVPIPRGTLQMTFVHKGFDETRRLGLQSETEQLVRHASPLVETGMLVVDSVVITQFLKMESLLDDNVHQKVELQIERGGKSLVVVLPVEDLHSITPNYFLEVSGAVIHPLSYQQSVLVTIDGHGWYAPPLIYTRDDSSGLWIAKPALPPGAPLISSVINQVESGLVNKNVSSDFDKASLVEPVLQDRSKELADGDTNMETNYEHVGDGPQTRNEFDSGTKKRRVEEDISSNGVVTADSSLHGHDDLQLVEPVSSEDALLIENQGVQAGAANASVAERIIEPTLVMLEVVFLHPVHNFALVSYNPAALGTVGASLVRAAELLPEPAIRRGDSVYLVGLSRSLHATSRKSVVTNPCAALNIASADCPRYRSTNMEVIELDTDFGSTFSGALTDEHGRVKAIWGSFSTQLKYGSSSSEDHQFVRGIPIYTIDNVLKKIISGAKGPALLINGIKRPMPLVRILEVELYPTLLSKARSFGLSDSWIQALVKKDPIRRQVLRVKGCFAGSSAENLLEEGDMILAINKEPVTCFRDIEDACQLLDQYGYNDGKLNLTIFRQGSEIELLVGTDVRDGTGTTRVINWCGCIVHEPHSAVRALGFLPREGHGVYITRWCHGSPVHRYGLFALQWIVEVNGKPTPDLDSFVDVTKELEHGMFVRVKTVHLNGKPRVLSLKQDLHYWPTWELRFDRETAQWHRKTIKALNCNIA >KZN01413 pep chromosome:ASM162521v1:3:15827852:15831078:-1 gene:DCAR_010167 transcript:KZN01413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNVGKTGDSDSTGEEPVIDGTADGRSGASTPLGTIDTNIPQGKMYDRIQNLEKSRNNWRIKARVTRFWPTFSPETSTVKGYNMILLDDDNSHVHAYVYPDNWKAIGKEVVEGKVYSVENFQVRDTIGKLRPVSTKFYIRLLNSSVIEEVEDDAMIPMYKFEFMDMGDLVVECVSLAENQNAEFAYDVIGAVEQFKRVRRVSTRYGDRDEAGFTFTDGRLNFKVTLWGEFASSVSKSFVDDLQKPVICIFTSAKLSTFRKENQIGALPSTRIYFNLNIDAVDEYRDRYNPIVLLLFKVAKLLNYITSYIAMVKLLEEGYKPAEGSHEAIPETDPAPMIFKASFKELIENPSSYESNSLLMIKILISKVEEEDNWWFNSCISCHAEAEKQEISP >KZN01133 pep chromosome:ASM162521v1:3:12086364:12086603:1 gene:DCAR_009887 transcript:KZN01133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFGDAILASTNTCAYSYWPTNFYMQSICVDKFIPKLGVFKSRVQCPLEEVNELMKVDQVLEFMAFVGPGISKIAQADV >KZN01018 pep chromosome:ASM162521v1:3:10843874:10844053:-1 gene:DCAR_009772 transcript:KZN01018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLEMSEVPRSFLNEQMHRLADEAFPDHDDPMQQESWSQYMRLATAFVVDALKMNDT >KZN03965 pep chromosome:ASM162521v1:3:50278556:50291470:-1 gene:DCAR_012721 transcript:KZN03965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAISSLRIAFCPAKTSPKHFRCRSHKLAMCCFSSSSSSASELDNLPAPYYLPNTKWDPFRKKKVVMRVGYIGTDYRGLQKQPDQPSLSTIEGELEIALYKAGGIRDSNFGNLHKIGWGRSSRTDKGVHSLATMISLKMEIPVYAWKEDPNGISLANHINSYLPRSIRVFSVLPSQRSFDARRECNIRKYSYLLPAEIIGIKDTLSAAEIDCHLTEFTNILSSFEGEHPFHNYTIRSKYRKPSSARDSSKTGSGSKIARLSNEVLDPEKEDYDEEKEATNFDVEEGGKEINTQKSDALATDVEGMNSKFSRFNKPGKLISGLCDSPNNDSHDQYPLSACQARWLYEPDKKDKLSASHFRKIFHCSCGKLERILDISYVEISIYGESFMLHQVSLFELRFHYYHLSNSCNHMGLCVRINVFLFLILVMISCESQRSHVCVTIYFFYNDHDP >KZN02400 pep chromosome:ASM162521v1:3:33097543:33101850:1 gene:DCAR_011154 transcript:KZN02400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEALTFNIHGGYLEAIVRGHRSGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSSFGLLYPYGHEELAVCEDIDQVRGVMEKYPPYQSIFAKLSYGESQMLDKAFYEEEVKRLCLSFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >KZN02310 pep chromosome:ASM162521v1:3:31907694:31908119:-1 gene:DCAR_011064 transcript:KZN02310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSASTPALCVKNCGFYGSPANRNLCSKCFQDFLKQAILPSESDEIDSVTSSVNQVSLHDEKDHVVVDDSSMVKNKPSRCLCCKKKMGLLGFACRCGGKFCSMHRYPEEHSCPFDYKTIGRAALARENPLVKNDRLGERL >KZN00874 pep chromosome:ASM162521v1:3:9468404:9468904:1 gene:DCAR_009628 transcript:KZN00874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLATADVCDNNAALLNSGDLRILQPVFQSYGQCRAFSGPVVTLKVYEDNVLVRELLETRGEGRVLVIDGGGSMRCALLGGNLGQLAQNMGWAGIVVNGCIRDVDEINGCDIGVRALATHPRKSNKRGVGEKNVLVHIGGTIIRNGEWLYADSDGILISTTELSI >KZN02373 pep chromosome:ASM162521v1:3:32763302:32763598:-1 gene:DCAR_011127 transcript:KZN02373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFLIQFFFVICLGESVNRLNPRGNGDNENFVNTQATVVTYLECQKNVAESAGGHAVDGCQEFIGSGGEEGAPSTLICGACGCNRSFHRRLVTVLSE >KZN01997 pep chromosome:ASM162521v1:3:27980959:27982962:-1 gene:DCAR_010751 transcript:KZN01997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNEFLRYSLVPGYVRWPPVIIQKRLFLQQPVRQKEAVIFEDEEDLEEDEDSEQGDQPSQQPRRSVKYKKCDKRFYINKARNTVLKPMTSGNDSKLRKGAWGSDEDTLLTKCMHKYGEGKWSLVPRRAGLNRCRKSCRLRWLNYLRPTIKRGEFGADEVDLMMRLHKLLGNR >KZN02711 pep chromosome:ASM162521v1:3:36373487:36378843:1 gene:DCAR_011466 transcript:KZN02711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEPVHFDKITARLKKLSYGLSVDHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIVVSNLHKNTKKSFSETIKEMYSHVSQRSGKPAPLVADDVYEIIIKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIDSAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAVISKSAGGIGVSLHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAAYLEPWHADIYEFLDLRKNHGKGKAKKVVQAQTLWFEVLKSQIETGTPYMLFKDTCNRKSNQQNLGTIKCSNLCTEIIEYTSPSETAVCNLASIALPRYVREKGVPDESQPSKLVGSLGSKNRYFDFDKLAEVTTIVTANLNKIIDVNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMSFDSPEAQQLNKDIFETIYYHALQASSELAKKEGPYETYVGSPASKGIVQPDMWGVTPSNRWDWDALRQMIADNGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLRDLTEMGLWSPNLKNRIIYESGSVQKMSEIPNGLKDIYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSRGLKTGMYYLRSRAAADAIKFTVDTSMLKEEVKQQDDDDTTKLAEMVCSLSNRDECMACGS >KZN01976 pep chromosome:ASM162521v1:3:27652177:27652635:-1 gene:DCAR_010730 transcript:KZN01976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLLSSCIAHSNQTLFPAGAFTRRLITASKKKLSVSSMRESDSQDYEGKLVDESLIILRMRIKEAKITEKSSSHGTAAPSNWMEWERQYYCKHYHEDVCEGLGWLQIYLMNTRPSLALGVFALVGFSVPVSTLMIIFNVMRWLYHCVFVC >KZN03075 pep chromosome:ASM162521v1:3:40946653:40947462:1 gene:DCAR_011831 transcript:KZN03075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQITLAPTRRPGGGRDDCWSEAATETLIEAWGERYVHLNRGNLRQKDWRQVADAVNVHRTGIKPPRTDIQCKNRIDTLKKKYKVEKLKAAPSKWPFFYRLEELIGPSSMSVGTKKSKRSSVLAKAKAKEMVGYSINTRMRMEDSSGSDESEEFGGGREEVEEEDDVAFSGGRKARMDYVDFTEESAYKELARAILRFGEIYERIESSKQQQMMELEKQRMEFTKDLEVQRMNMFMDTQLELEKMKRIGNDRSNGGEILGLLISYLLI >KZN02781 pep chromosome:ASM162521v1:3:37239783:37240462:-1 gene:DCAR_011537 transcript:KZN02781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNFVVAALHISSSDVKFSSDDISSQIGVTCTSLSCRKWKLHSTTNASNLHLADEERKTWDSCKQALSTFNFNIKEEDKILGKAFGFVHSPYWGEDRNKEVPEYDVVNGILNYLRSLNLSDEDLTKLLKKFPEVLGCSLEDEIKNNVQVLEKQWGIKGKSLRNLLLRNPKVLGFNIDCKGDCMAQCTRCWVRF >KZN01459 pep chromosome:ASM162521v1:3:16504543:16509620:1 gene:DCAR_010213 transcript:KZN01459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKYRICGLTSQATRELTFLVDERGTAKSVVEYFRETYGFGIQHTQWPCLQVGNPQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPGERENDITRTVRHNAYDEDPYAKEFGIRISDKLASIEARILPPPWLKYHETGREKDCLPQVGQWNMMNKKMVNGGIVNTWICVNFARNVQESAARGFCHELAQMCMVSGMQFNPEPVLPAFSARPDQVERVLKARFKEAISKVPKKELDLLIVILPDNNGSLYGDIKRICETDLGIISQCCLAKHVFRMSKQYLANVTLKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQSHRQELIQDLFKTWQDPTRGTVTGGMIKDGVSEGQFYQVLLFELDAIRKACASLEPHYQPPVTFVVVQKRHHTRLFANNHNDRHQVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFSADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPNTSDSSSMTSGAAAGRGGMGVGRSTRGLANAAVRPLPALKENVKRVMFYC >KZN00523 pep chromosome:ASM162521v1:3:6074183:6075055:-1 gene:DCAR_009277 transcript:KZN00523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTNTETSSAINHHQPSNLSHGFTGIPEFGSGTFTPDMGGGEHHKPRLALWLQDQANSQLNSPTMYNSNVTDHVVQLGSNNNVFGGGNYGSLSLSQGLKEDGSVNNSNKSCVPSGKILSGSSNLYSSNYKNGQRMESSPMSATALLQKAAQMGSTRSNPSMFGNTFGLMNSSNDNALPSPDQRNNDPFHQVFGKQGETLSELSLPASVSCSSSSTMYVNPGNNFDQMMVQNRMSAQQRSAGLTGFENSSSLTRDFLGVGGEGGRPFLPQELAKFASFTSAMGLSHFPSH >KZN01263 pep chromosome:ASM162521v1:3:13818715:13819035:1 gene:DCAR_010017 transcript:KZN01263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPTGYVIMDFLEKLEELMGKQFGSTELLEKVGEIVTERVVEEAEVLRDEGKVEDRMVTELFRVLKLMKMDLAMVKAAVKDDTLQERLEQAKARCRQAILVANSF >KZN01494 pep chromosome:ASM162521v1:3:17318668:17320164:1 gene:DCAR_010241 transcript:KZN01494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVLQSHPELFTHDQLKVATNGFSAENLIASAQFGKLYRGKIKHDDESGEEKDVTLKIWEHQKCSFQDLRSKLKTEVLFLKHHNHPNLVKLIGCCESDELLATIYDISPLDTLHNLLEKDQMNWQQTVNVALQFARLLDELHRSDYLVRNISAAHIMIDKDCNPILFDLSMLTGGFFGDVPNGSIWGSPGYIDPRLISAGKLLGASSPWSVKCDIYSYGALLLSLIGKKAYNPENKEETKADCWAKKEFKPDCSLVHKKLQDDPAYDARCGNDVTALAMCCLEYYPQSRPIMLQIVKFLEKRQIKDGDA >KZM99947 pep chromosome:ASM162521v1:3:349802:355674:1 gene:DCAR_008702 transcript:KZM99947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSLLANSSLLRSDLPFISAHTHPRRSIHGIYASQLNLQKSVSSDNSLSQRTTPLLAIHDNAIFKVTALATAFTAANLIVAHTADAASTENIMEIPASLFTLADGGLGDWFGGLLFSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSKSEVVKDSLAFALGLATTLALLGIAASFAGKAYGQVGQGLPLAASGLAVVMGLNLLEIVELQLPSFFDNFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLAYVASSKDPIIGGSLLLTYTTGYVAPLLLAASFAGALQIIELDISCIISEQACGWFRAYCFAIDPYSSRFYPLQYSFYQRWLYLIFDNLIMKFSALSNSQKHCRVYCHSASSQPGLIR >KZN02018 pep chromosome:ASM162521v1:3:28379013:28383593:-1 gene:DCAR_010772 transcript:KZN02018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANQRISVISAHLNPPVHLQEMEGNLGLEQMKCRAKGGSAGFKVAILGASGGIGQPLSMLMKMNPLVSLLHLYDVANTPGVTADISHMDTNAVVRGFLGQQQLEDALVGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPKAIVNVISNPVNSTVPIAAEVFKKAGIFDPRKLLGVTMLDVVRTNTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSFTADETNYLTSRIQNGGTEVVELRDTLDIRLWAKAGTGSATLSMAYAAVKFAESCLRGLRGDASVVQCSFVASQVTELPFFASKVRLGHHGVEEIYPLGPLSEYERAGLDKAKEELAASINKGVAFAKK >KZN03226 pep chromosome:ASM162521v1:3:42690354:42691679:1 gene:DCAR_011982 transcript:KZN03226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFKALHMSVYGVVFATIFFVFATCFTLTAASSAPRGLRQARKSNKLSHPVVIMISCDGFRFGYQYKTPTPNIKRLISQGTEAETGLIPVFPTLTFPNHYSIVTGLYPAYHGIVDNSFIDPQSGERFNTKRLEGKWWLGEPLWQTVVNHGLNASAYFWAGSEVKKGNWTCPAAFCQKYNSSVPFEERVDTLLSYFDLPKNEIPSLMTLYLSDPDTQGHEFGPDHPQITDAIARVDKLIGRMIAGLEKRKIFEDVNLIMVGDHGMVSTCDQKVIYLNEFAPWIEIPENWITRYYPLLSIRPPSDVSPADVVAKMNEALSSGKVGNGQYLKVYLKESLPSRLHYSDSDRITPIIGLVDEGYAVAMNKSESVGCEGAHGYDNALFSMRSIFIGHGPRFAKGKKVPSFENVQIYNLVTSILNIKGAPNNGTSSFPQTVLKPQVY >KZN00195 pep chromosome:ASM162521v1:3:2958793:2959766:-1 gene:DCAR_008949 transcript:KZN00195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVKLFRSWSSRYALRIVWALKLKGVEFEAIFEDLSNKSSLLLQYNPVHKKVPVLVHNGKPVCESLVILEYVDETWNGAHPLLPKDPFAKAEARFWAKFSDDKLMPLIRKATLGNGEEKEEARVLTAENLKHVEELLKGKKFFGGEKIGYVDLAFGWMAYLINVLEEVSGATLIKKEEFPLLSKWMENFYEFPEFKESWPDHDRLITKFKNYG >KZN02779 pep chromosome:ASM162521v1:3:37231766:37234764:1 gene:DCAR_011535 transcript:KZN02779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASEVSSSSKPTKQVLPHQTPRLTDHYLVGQKLGQGQFGTTYLCTDKSSGEDYACKSIPKRKLFCQEDYEDVWREIQMMHHLSEHAHVVRIRATYEDNLCVHLVMELCKGGELFDRIVQKGHYSEKKAAELMKTIVKVVEGCHALGVMHRDLKPENFLFDSPDEDAKLKAIDFGLSVFYKPGQYLSDVVGSPYYVAPEVLYKYYGPEIDVWSAGVILYILLSGVPPFWAETESGIFRKILKGKIDFESDPWPQISESAKDLIRKMLERSPRERITAHEVLCHPWILDDRVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAESLSEEEIGGLKQLFRMIDTDNSGTITFEELKQGLRRVGSNLMESEIHHLMNAADIDKSGTIDYGEFLAATLHMNKMEREENLVRAFTYFDMDGSGYITFDELQQACKDFGVDEVKLDEMISEIDTDHDGRIDYSEFATMMRKGDGGVGSRSMRGNLNCNLAQALGTTHSTCLHGAVDPLKQT >KZN02084 pep chromosome:ASM162521v1:3:29348962:29353442:-1 gene:DCAR_010838 transcript:KZN02084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECCYRPLERCFGSETGGSDALLWHMDLKQHASGDYSIAVVQANSSLEDQAQVFTSPQATFVGVYDGHGGPEASRFITNNLFAYLHKRLSTDHNVAFEEVRKEVRAMHPDDSTIVVYTRGVWRIKGIIQCSTVCALIACLFLFVSLAAQKIVPVSRSIGDVYLKKPELVRDPFPLLHASRVPLKKAVMTAEPSILTHKLRPEDLFVIFASDGLWEQLTDEAAVEIVLKHPRTGIAKRLVRAAIEEAARKRQMRYDDIRRIEKGIRRHFHDDITVVVIYLDQQQSSPGGNSTNHFASDCTSAPMDIYSFNSEGESTPCITP >KZN01316 pep chromosome:ASM162521v1:3:14386120:14387806:-1 gene:DCAR_010070 transcript:KZN01316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYVHHHGELNYDGINCYNGGIVDVIPKFDIDLLSFRDLLEFARDYKYDLNSLVYYQYDGHSFAKGVMLLYDDVSVRCMTQVFQVKGTLSSLSATKPGSIAIQSVFKRANVDPALVQRVFFGNVLSANLGQAPARQAAL >KZN03323 pep chromosome:ASM162521v1:3:43602005:43604751:1 gene:DCAR_012079 transcript:KZN03323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGESNGKLTVKEMIPEIKFTKLFINGLFVQSLSGKTFETIDPRTEEVIAEVSEGSKEDVDLAVKAARDAFDNGPWPRFSGAQRRRIMMKLADLIDENTEELAALDTIDAGKLYHLGKAIDIPGAAETFRYYAGAADKIHGDTLKMSTDYQAYTLLEPVGVVGHIIPWNFPTQMFAMKVAPALAAGCTMIVKPAEQTPLSALFYAHLAKLAGVPDGVVNVVNGYGPVAGAALTSHMDVDKVCFTGSTSVGRLVMQAAALSNLKQVTLELGGKSPIIIFDDAELDKAVHLALIGNLYNKGEVCAASSRVFVQEGIYEQFLKKLQEKTKSWVVGDPFDPNSQQGPQIEKKQYDKILSYIEHGKREGAILLTGGKPLGEKGYYIEPTIFTDVKDDMIIAKEEIFGPVMSILKFKTTEEVIKRANATTYGLVAGVITNNLNTANTVSRSIRAGSIWINCYYVFDRDTPFGGYKMSGYGRDMGMEGLEKYLHVKTVATPIYNTPWL >KZN03296 pep chromosome:ASM162521v1:3:43309760:43313889:-1 gene:DCAR_012052 transcript:KZN03296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIFSHLLALTSYMTQQFTQFLEDLLFGNVNYCSRYVAVSSSGQHEHPVTSGYSPGTSFCDNCSSSSLLYVASGSPWVQEIGILNLVEPVCTICKFVESSRPYWIVEFFVKGLNLPLSGLRLSWRLALASLRSMLIYVKCAVGRIQGTISRVQKTLHGSSSDIGWLQQAPGMAPVKDGSARFMELLDCIRHGQHKLPDSFVYLLIPGLFSNHGPLYFVSAKRYFSKMGLACHIAKIHSEASVEHNAGELRQYIEELYWGSGKRVMLLGHSKGGVDAAAALSIYWTDLKEKVGGLVLVQSPYGGTPLASDVLREGQIADKETRRIMELVICKLIKGDIRALDDLTYERRKEFLMKYELPPSIPLISFHSEASTALSVIATMTQIAHAELPWLRLPGFSYDDSRDVIQSGRKVPIVVPVSAVMALCALHLQLRYGEKSDGLVTCRDAEVPGSIVVRPRRKLDHAWMVYSSWNRNLNEPDACEMCEALLTQLVELGSQIKGEEME >KZN00278 pep chromosome:ASM162521v1:3:3620267:3620911:1 gene:DCAR_009032 transcript:KZN00278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVGNDSNCEEKRRHVRDDDHVPYDMFAEHNWDDDFDVDCGGSWTLKEQNEKTGEDGREERYGHRTSGSGLDWTSFGFKSSGFGKDDGFLQGNRGVIRRCVREEGELVKDLKLSGGGRKGLDDQEGSFGGFEKHTRGIGMRLLERMGYKGGGLGRNEEGIVEPVEAKVRPKAMGLGFKNFKEVSLPKGKEFKERKLFCDAMRTEGKRLSKRAF >KZN01661 pep chromosome:ASM162521v1:3:22711329:22721633:-1 gene:DCAR_010415 transcript:KZN01661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPKKGRNPPPPATAAEAASHEGESGDVDSELIFSDNNNVVFPTSEDRRNPFRIDFGERVYNLDEKCEKRVLRVSEFSWDLEQYLKKRSEMQIFRFHGGIKAISNITRELMKGIVASFCTLIKETPENEVMYLHNHSNYLLHGVEPYCTPPGTVTRNQQIMANLDISYCPPIQVIIVKDNKSRNKSGTRQTSLPEKVEALSKVLKEIYGEEEYSCDRNEWLHFYNRLVIPEVPLHIEAVKNKAPPGMDDAALNALIKDSIDGLERHHVFWHWTDKDGFIEYFDRLLSDEKFHNLVVPVFDQFHFFETDWETEFTKDPPMKKCYDTKLMHDGGTVAPTQGATPALSPSLGGSGGFSGGAHALAQSTRRRRGGIKFITKTRNCIVHMTSYTRVLNPDPAATSLGWHSSLCVAYIDEVEETSKDKSKKMVVKVYYSALVKAVPKSVDTSGPSQNLDQMTVNEAAKDWGLECLCYEIRDIATPHGVKVAMEMQAEAERKKKAQIHESEGERQAHINIADGKKSSVILASEAAKMDQVNRSQAFAEAGIVVSKLSVDDSSWQKGANFFPDRFISRQDLISWKAKLDYEVMPGLNILLFEPFRSVKEAVALFGERVLAGDVYHANRLQQSKQSNNKVEVRYSDLAVQHLKVQGPWKIFLGVQF >KZN03130 pep chromosome:ASM162521v1:3:41587101:41588795:-1 gene:DCAR_011886 transcript:KZN03130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASTSKSPASNESHSGASSSKSPVEVELQAHHSINIATPPPPRPESDTPVRQQRRIPDLPSSKYLSENERKNYLAFCLPLHKAALRGNWKVAQAIIDECPDIVNVSITKNYETALHIASSTKHSDFVEKLVDLMNPKDLFLQNRNWNTALCLAAAAGTVKSAEIMVGSESRLLKIHGNHNMSPLLMAALFGHKDMVSFLYSKTMSMSDNDWTGRDRITLLEACVSANLYDVALQLLEVEHYKKELALTTDKNALLVLAKNPSVFAGTGQPVCWRLLNTGKLSASELIILYDPVCM >KZN01825 pep chromosome:ASM162521v1:3:25769269:25770969:-1 gene:DCAR_010579 transcript:KZN01825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKTHKFEDVAIHNKTKDCWLIIAGKVYDVTPFMDDHPGGDEVLLAATGKDATDDFEDTGHSDNAREMMDKYYIGEIDPSTVPQKRTYVAPQQAHYNPDKTQEFVIKILQFLVPILILGLAFAVRQYTKEK >KZN00133 pep chromosome:ASM162521v1:3:2249633:2254001:-1 gene:DCAR_008887 transcript:KZN00133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPIVGKFVEKVSEYTVDAVFRGLHDMFCYQTLVNNLNSEIEKLNIEKEKMSRKVREEKANGKAIEHYVVKWEADVEKIQMSGDKEPAPSCSCIQHLPIPNPISRFRIGRNAVKKAEAVTQLTISGREHLIGGIAYLPEVIIMPNSDTTFEEFQSRKDAYQKLWDSLVGEDGHLIHGIYGMAGVGKTRMMEQFWEDAIKKKIFKKAVRVNVGSENVDKTRFQEQIAGLLDCKLESEVMEKRASQLENSLRNGDKILLILDDVWRDIRLDNIIGTPFDNGSSSSKGSKILFTSREQEVCLVNKCQHIVEIKTLSPDEALYMFKKIVGAADLNNPLPDESLVKEVCDKCGELPLLIHAVGKALKGKPHYWWKDAQDQLKKGKFEEIPGVDPQVYTGIKLSIDYLQNDDAKSCLFLCSMFPEDANINMKMLIQLATGSQLIPSGESRVLAMLDYLKKSSLLLGTGENDETKVHDIIRDVARSIAFTDSKYAFLQVTCNSRYLPSKANYSTRRFLRLDVETGDVDFGEHRVCPDLHTLWLQSNYQTWWKQSNNRPQQISGGFCSMFVNLSCLMLQNVDFSSEHFSLQPLGNLGTLSLLECDISNTDARPFPKSLESLWFYKCKLPQPLDVANLECLRKLEIRGSTAVLVKEDVISSLSSLEELHVSHGFVHSYDKYHMEPLVKEICKLTRLTSLQFAFYQDNTFQGTDLFFHLDKYNLFVGEVLHGYTRVDQDWEVPLTRSIKLKGNSKPWEGLMARAEQVILEDSNVDVSSICSDRNGAFKDLKILKIHGCDNMGHLASISRDGIQDSVQSATCFSKLTILKINKCSNLKYLFCNNIAKTLGQLQKLYVDYCYSMEAIVMSEGTSDGEIINFSELKSLEISSTPKLRGFCAEISNNPSAQNLALLDRMAAFPALEYISISNCDSLRSMFASSVARDLKKLKKMIVKTCFGLTSITRVDEQAISDGILFPELTYLELYNLPNVMSFWGCQNGKADTCKAPLIPRLSSNVVLDSPHPKSFFDDENFKSYMPVLENVIVQCCQITTLFTISVFRKIPLETLKVTHCDFLVNIVEDLRGDQICDRIITLSRLTEVYLRRLPNLKRFFHVTNYEFDMPVLKMLRIYECGLSSTLFTRYMFKNLHQLEIVHISDCELFDSIFEDAVGDEIVDTSYRIITLNRVSTVSLKRLPKCKSLLCGATYECHMPALRKVKITNCHDLQFLCTCSMFREFKQLEELYASCCLSLEHIVKEVGRDETFGINGKSITSPRLRSVTLDYLRNLKSFSYSRSYVIFNMPKLRYFTQNGCDNMEYFSFLDTSAPLVCVNPARFATEVFDHDVNDYVRERAKTRAVS >KZN01653 pep chromosome:ASM162521v1:3:22629090:22629702:1 gene:DCAR_010407 transcript:KZN01653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSASQMKFIKVLSRGESVLDSLEIPPMFCKEYGHKLYEGLKLSVGDIFEASVKFNRQSEVLLGMKRVYETFKLKGGESLIFDFSSSKCVRLYIIDTDEMEVFYPKLIFPNGSVLCPLDRACKWGLKFVKFMTCMKEVVDTIVRILK >KZN03517 pep chromosome:ASM162521v1:3:45338534:45339437:-1 gene:DCAR_012273 transcript:KZN03517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGATPCASCKLLRRRCAKDCIFAPYFPPDDPHKFAIVHKIFGASNVSKMLQQRGDAVSSLVFEANARSRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCFQMQQQQQQQQQDHHHQQPNNIPITTQQMMNQDEKSLLLSTSNFDYNLLQQQYLNFSSTTSNNIVMQDPPKRESLWT >KZN01076 pep chromosome:ASM162521v1:3:11342893:11346275:1 gene:DCAR_009830 transcript:KZN01076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLLLHSLKRSNRLKLALASLTHQSLSLLNPPTGLSSNTHNFSTLTHNIHTANRIINTAKAPSELSLRRWVHSDIVLNVRATPSVSRISAAVDDFSDEDVKKGGASKDEEGLEISKLGIASEIVDCLAKKGITKLFPIQRAVLEPAMQGRDMIGRAKTGTGKTLAFGIPIMDKIIQFNKKHGQGRYPLALCLAPTRELASQVEKEFYESCPLETICAYGGTPISRQMSALDHGADVVVGTPGRVIDLIKRGSLNLSEVQFVVLDEADQMLNVGFADDVETILKNLPLNRQTLMFSATMPKWIVQLTRKYLKNPLTIDLVGENDQKLAEGITLYSIVSDMHDKPGILGPLVTEHANGGKCIVFTQTKRDADRLAYAMKKSFPCEPLHGDITQAQRERTLAGFRNGQFNVLIATDVAARGLDVPNVDLVIHYELPNSSEIFVHRSGRTGRAGKKGRAILIHSSNQLRDVKTIERDVGCRFSELSSIKVDAGARDMFREMGSGSRFGSSGSRDGGRFGNSGYGRSSSYGGFGSGGSGGSSYGRSGGFGGSSGRSSGGFGGYGGQSSSRSSGGFGESGSRSSGGFGGSGSGRSGGFGSNSGHSGGFGNLGGSDRSSNRTRSGGFGFGNSKLDDSIF >KZN03805 pep chromosome:ASM162521v1:3:48331833:48334379:1 gene:DCAR_012561 transcript:KZN03805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVFVPALEGMKHVKSESGEMLTKEFLEVCKQILPVIDEFGAAMALVKADIGGNITRLENKYLTSPSEFNLLYSMVKVEVEAKTAKGSSSCTNGLLWLTRAMDFLLELFRNLLEHEDWTLSQACSGSYQKTLKKWHGWLASSTFTLALKLAPDRKKFMEVIGRTGDINADIEKFCTNFTPLLEENHKFLASVGLDDLKAS >KZN00138 pep chromosome:ASM162521v1:3:2310796:2311356:-1 gene:DCAR_008892 transcript:KZN00138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHRSPCSWVELPCPYNADPFDKKHKSPSEEDHVSKANDEQAPEIRKKKHFIGVRRRPWGKFAAEIRDSTRNGMRVWLGTFDTEEQAALVYDQAALSMRGSLAQLNFPTETVRDSLRDDNYFCSNGSSPAAALKEKHKMRSIAKSSRTKSSSVSGKNMVVLQDLGPDLLEELLGVSETSSPCSYH >KZN00125 pep chromosome:ASM162521v1:3:2164169:2170128:1 gene:DCAR_008879 transcript:KZN00125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPFVGKFVERISDNTVDAVFRGLRYLFCYKALVDELSSETEKLNIEKDKMSRKVNEEKDNGKIIEDYVLKWQNSVEEIQKSDEQFSPSCTCIQSLPIPNPVSRFQQGRNAAKKGKTVTKLAVSGRDLLGGEIAHLPLVQNMPKSGTTFEEFQSRKDAYGKLWDMLVTDDSSLIHGIYGMPGVGKTRMMEKIWEDTMKEKIFNKVVRVSVGSEKLNKANLQDQIAARLDCKLEWEDVEQRASQLEESLRNGGKILLILDDVWREIPLYDIIGTSFGNGSSSKGSKILLTSRAKDACLINKCEHPVEVKTLSLDEGLYLFKNTVGPDTINSLQDESLVQKVCNECGQLPLLIHAVGKALKDKPHDLWEDAYNQLKRGKFENIVGVEPQVYACIKLSIDNLKHDDARSCLFLCSFFPEDANIRMKMLIQLATSSHLIPDEESRIVAMVHHLKTSSLLLDSEEENYIKVHDIIRDVARSIAFTDSKYAFLQVTCNSGYLPSNANYCTRKFLRLDVETNDIQFNEDLVCPDLHTLWIYGNCYRQQFTGCFFNMFLNLSFLMLECVNISLEQFSLQPLGNLETLTLLKCDIRKTNVSLFPRSLKTLCICHCDLPSPLDFANLKYLQMLKIQQHEPQLVMVSNAISSLSSLKDLHIPNGFVIDCEEYKMESIVTEISKLTRLTSLRFHFYDDITFQDTNILSNIDRYDIFVGWLMRNKAYKFDYSTREERVPLSRSIELLDNHSKPWEGLIARAEVVRILNSDVEMNRIREGHRRAFDKLRELYLYGCHKMRHLAQDEIQYSLQPSTCFSKLTSLEISACSKLKYLFCNNIAKGLVQLQEFIVEHCESMEAIIVNEVGPSDGEIINFSKLKSLKISNMPRLAGFYAEKNFMHSGLMDHPKVAFPSLEKLEFKSLRDLSAIWGKHCCNDTISTSLCKLNNLRVHSCDKLEILIPHPMLHRLTNLEYIKIEECNSLKTMFPHSVGSDLSHLKELRVKNCEELRQIFNEAGEQVITDDALFLELTDLELVHLPSLTSFWCYQSGKANTCLVPFRLPQLSSISLHRLPNLRRLFHGANFKFHVPALKMVEVTECGLSTLFTFSMFKNFQLQNLEVRNCELLENIVEDLRGDEIYDKIITLSQLTIVMFENLPNLRSFLHNANFKFHMPALKVMKVIDCGLSTLFTFSMFRNFQLNYLEVRNCELLENIVKDPRGDESCDKIITLSQLTSVHLARLPNLKCFFHGANYEFHMPVLTNVLVRSCGISDTLLKCSVLRNLKELQTLEVFDCELLEGIFEDARGNETSDISDRTITLSRLSLLHLENLPKLKSIFNSANYEGNLMALVRVKVVNCGLSTLFRCSVFPDFQQLYELEVVDCRLLEHIVENVKDETSDSYGILFPQLTILRLVNLPSLTSFLCYQSRKANTCKVAFRLLRLSSLVLAYLPDLKSFFHGANFEFHMPSIKSMLVRDSGLSSTLFTRAVFTNFRQLEELRVYNCELLEGIFEDASRDESLATSDKIITLDRLSLVHLQGLPTFKSFFFGATYECYMPALENVRIVNCGFSVLFTCSVFQEIRQLEILHVSYCELLERIVEEVGDEETSEIDGKSIESAQLSSITLKYLPNLKSFSCTSSYVFSMPKLKIFMLIKCPQIEYFSSSKTNTPFVRVSSDRCSEEDFQDLNDYIRQNYKGESDLSDSDEESSYSSQEPGTLSERIVEEGESSYTSRELGTQSEGIEEEKPQETETDLPDSSPEED >KZN01341 pep chromosome:ASM162521v1:3:14652518:14661709:1 gene:DCAR_010095 transcript:KZN01341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRSASDHDCVMEEAALCVVITNAVEVQDGNGVAVGIAVYDPAFSWINHSCSPNACYRFSEFDDDSRMLIAPAASFGGECSGGNVFATSGGWERYGPKIGVRNIKAISKGEEITIAYTDLLQTKETRQSDLWSKYRFICHCRRCNTLPPAFVDHALQDIQVAGITSTNLTLAWKVYRDRVVGKLTEYMDSAINEYVKFDGAESCCEKLEHVLAYGYNDGQSLDEGELQEKIRLQPLHHLSLNAYTVLASACKALGSRLLDLSSNSLDDQLNAFDKSRISAAYSLLLAGATHYLYLSESSLIISVANYWTAAGRSLLSLATSTVHNISMERCYPIPNISPLKLRCNNCALKDKFEASSVRRHVQNLDFEQISRDFFNCITDIVPHVWMYLIQGSHYLKNIKSPIDFAWLGNTKDSKASDIGVNMGSDQVMRSLSRCEQEKWYDNRNLNFFQLGAHCLLYGDLVLKICCG >KZN00525 pep chromosome:ASM162521v1:3:6102656:6103216:-1 gene:DCAR_009279 transcript:KZN00525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTPTKRDQLKQSQGTSSKLNLRKLASCFWFGWCKKVDVKENSSESDTRGHFNDGEIVNEGGEADQIEAKQDNEEVIRGTSSIPKNALLLTRCRSAPFRSSSNKIEAELKMTSRWCDFDRQEREGGKQMSRKLQSGGESEQIGAMGKRVGESSVEKSMEQEGVTHPLLLMRCKSASASRTGKELA >KZN00699 pep chromosome:ASM162521v1:3:7710542:7714009:1 gene:DCAR_009453 transcript:KZN00699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVYKRSGLEMKDNELIEGLVVLHPCVVAIAVNGSSNGKCIVQWALEKFVHEDNVLFKLLHIRPKLTTVPTSMGNFIPLSQVRDDVGATYMREVEWQTDEKLIPYKKMCAQRKVQVEVSQIESDDVVNAIADEVTRCSIKRLVIGASSRGMFLRGRKLCSRISESTPSFCTVYAVSRGKLSSVRPSDTVTKKIMKEDISATSCSTSSSSGYSFSSQAEWTEADSVVTHSYFRPPSLPIQRCQALSTINQTFHYTKAKSVSYDHSRILYPDIEKTSKFGTAYPSNEDLGYAEVSSNRNLDTDCDQWTSERTSTSSAPATEYSSENQVNVNFELEKLKVELRHMKEMYAIAQSETTDASRKVNCVAPASKKINDLNRRRMEEAVKLKEMMNKEEEARVLAKQEKEKYRAAKTEADYVNQCAEREVSERKEAEARALRETREKEKLEYALAGSVQQYQTFTWEEIVSATSSFSEDLRIGMGSYGSVYKGSLHHTMAAVKVLHSQEVHRTKEFLQEVEILSKVRHPHLLILLGACIDHGCLVYEYMENGSLDDRLFRKDSTPSIPWFERYRIAWEVASALVFLHNAKPKQVIHRDLKPANILLDHNLVSKIGDLGLSTMRQLDSNSLSTTYLDTGPVGTLSYIDPEYQRTGLISPKSDVYAFGMVILQLLTAKPAIALTHLVETAIDDGNFTEVLDPEAGDWPIKETKELALLGLSCAELRRRDRPDLKDIVLPVLERLKDIADQARDSVSTGQKFPPNHFICPILKEVMDDPHVAADGYTYDRKAIAKWCEENDKSPMTNLPLSDKSLIPNYTILSALLEWKEGKQ >KZN03466 pep chromosome:ASM162521v1:3:44879451:44880473:-1 gene:DCAR_012222 transcript:KZN03466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTPDVTAAVESTAAALTELHDDILETHILAKLDPQSLFTTSCVSSELHDICTGENLWKQICKSIWPSVNNPRLAEVIASFPAGHRSFFSDSFPTLHHKFFTDGHRKTTELVSAVDIHYQNKPLFTKVVALDTDSAAFLDAPFSVDLLSDEALKLPGKFDTEDENFLARLGRDLKLSWIVIDTSGRMAANVSSLRPVLVRQHWVTGDIKVRYVKVLAGGRKGKSREMVQCKIEVECVRRVENGEVHVGQVSFKMEDLDKSCLSGRDGLVILQDALESGERRQGRDGEEREMYEKYLVKRKERREKLQKIERRLEMVDRATRISISLAMLFLLFGSLLS >KZN00597 pep chromosome:ASM162521v1:3:6732408:6736569:1 gene:DCAR_009351 transcript:KZN00597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSATHLSVLSNLPLHISSSHNTYTSSTHKYTHSSKLRNSRIYCTNDSQDQQQQLNLSVLRFTLGIPGLDESNLPKWIGYAFGSLLMLNHFVGSDSNYITPSQLRTEALGISLAAFSVFVPFLGKFLKGASQVDEAILPDGSEQVFLMSRDISDSIKEDLAWGTYILLRNTNTVSVLISVQGAFCVRGYWNTPENIPKSNALDWFEKQIEQFGLSNLKDTLYFPQSTDSELWKILPAGTRSLLVQPVLFEEQSKGFLLVASSISFAYNDRDRLWIGAIADKYKGIDGVTEDSSVVLVTIKTCALRWELGKSVTVRRRIVEVKAVNMIKDLDLVADHMNTLLDQFLIGIAINSVQLILAASCLRLLGDRNKSVVWFLQTSDL >KZN02747 pep chromosome:ASM162521v1:3:36858163:36860763:1 gene:DCAR_011502 transcript:KZN02747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTMISALATNSDAYRIQEDHILAIMRNNQKSIFLQHLKEQAVYAITNFKVMPRPQSYRTVDMELAINFFYKTVIKEVLGDDVIPRYKFELKPFHSVASLVGEVKSLIDKASLSSTDATRNFFNIDYPPLNQLRDTLSVASEKGGVVLKRPTTMHFVAMDEQSVQQLTIKAVLELQIPMGKNQMRCLCEAEVVEILNGDGWYYECCATCARAVQKMEGKIFYPGCQEVKE >KZN00947 pep chromosome:ASM162521v1:3:10207445:10207900:1 gene:DCAR_009701 transcript:KZN00947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWAILACLLLAQILFVSGKSVFSGSSPPDLRVQTGIGSHVAKSPVSSTKPTDSDSLTSTTSSETAETTDSSSSKADPKIRQVKHDSSSVILVAVVGVIIFGLAVVGILVAVYCYIRVSRNKKAADHLETRVLNSRRKGANPQEFSSESL >KZN00842 pep chromosome:ASM162521v1:3:9180397:9185851:1 gene:DCAR_009596 transcript:KZN00842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSVDCRIDATMSMTLSSSGLNKIGSLHIAQTCLSMPSRHRSWRLLCSPICSASVSLLVEERRSTFDREKADRLVKDLKKVFNSGKTKTYEWRIAQLTSMLKMIEENEADIYRALYQDLSKPESEAFISEVAMTTGSCKLALKELDQWMKPQKAKTTVTTYPSSAEIVSEPLGIVLVISTWNYPFLLSLDPVIGALAAGNAVVLKPSEIAPASASLLSKLFREYLDNSAVKVVEGAVDETTALLEQKWDKIFYTGNGRVGRIIMAAAAKHLTPVILELGGKCPAVVDSNTNLQVSAKRIVAGKWGCNNGQTCIAPDYIITTKAFAPKLIDALKNELVEFFGDYPMKSKDMSRLINLYHFKRLTSLMDEDEVSDKIVVGGQRNEEQLKISPTILLDVPETSQIMQEEIFGPLLPILTVDNVEDSFNIINSRSKPLAAYIFTSDEELKKAFVRDISAGGMLINDTIIHLTVDSLPFGGVGESGMGSYHGKFSFDSFSHKKGVLYRGFTGDSPTRFPPYTPAKLRLLKALISGDIFQVLRSMIGLPKN >KZN02610 pep chromosome:ASM162521v1:3:35042206:35046550:-1 gene:DCAR_011364 transcript:KZN02610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTLAESGQLDNDLGVRQLSANGSRMKTSVVEEKLDEGNIQEAESALREGLSLNFEEARALLGRLEYQRGNVEGALRVFDGIDLQAAIQRMQSSITEKIPSKKGRSRGESMHAVPQNAAGLVLEAVYLKAKSLQKLGRLTDAAQECKSVLDAVEKMFARGISDVSVDNKLQETVSHAVELLPELWKLANCYPEAMSAYRRALLSRWNLDNECCSRIQKNFAVFLLYSGVEVGPPSLAVQIDGSYVPRNNMEEAILLLMVLLRKCCLGHAPWDPSVIEHLTYALSVCSQTSVLAKEIEEILPGLLHRTDRWKLLSLCFSASGQIENALNLLRKTLRKHENADDTVSLLLAAKMCSSNTFLAAEGLGYALRATDNAQGVHKHLEGAGLRVQGLCLGKLAKVSSSDSERSHLQSEALKSLDRAFSLEPNNSDLIFELGVQYAERRNLSAALRYAKQYIDITGGSVLRGWRLLALVLSAQKRYPEALVVIDTALDETAKWEQGSLLRMKAKLKISQSLHVDAIETYRYLLALVKVQRKSYGTNRIPFQASSSLAEDDRVNEYEVWHGLANLYSSLSHWGDAEICLDKARALVKYSAETLHTEGLMFQRRGQIKEALAAYVNALLLEPSYVPCKILISSVLAKMGPKMLPHVKTLLTDALKTEPTNRMAWYQLALIHKDDGRLADAADCFQAAAMLEESDPIESFSSIL >KZN02553 pep chromosome:ASM162521v1:3:34390748:34393936:-1 gene:DCAR_011307 transcript:KZN02553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVNITNFTVLDNPSPFPNPSASKSPTSVSSPSKMEASFKLQDSAALLQNGSQVYNTISISGSYKGSLLKHLTDISQRYNDAAVDNRVLKANIETITAKVKMAEETVAIPAPMSHLHAQDQRAQNGLLLVPPVNNSQKHSASGIVEGNKIERTSSMQRVASLEHLQKGIRGVVSSSETLASGKQ >KZN00960 pep chromosome:ASM162521v1:3:10327334:10337251:1 gene:DCAR_009714 transcript:KZN00960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSESASNDPLKEFYIPDYIFFPSSKADPLSGVPTCPVIVFINSKSGGQLGGDLLVTYRSLLNKNQVYDLSNESPDNVLRNLYITLEKLKLSGDKLAINIHEKLRLIVAGGDGTAGWLLSVVCDLKLSHPPPIATVPLGTGNNLPFAFGWRKNKPGTDSESVISFLNKVKKADEMKIDSWHIILRMKVPKEGDCEPIAPLELPHSLHAFHRVAASDELNKEGCHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQKTYAKLGCTQGWFSASLVHPSSRNIAQLAKVKIMKSTGEWQDLNIPSYIRSIVCLNLPSFSGGFNPWGTPNKKKIRDRDLTPPYVDDGLLEVVGFRDAWHGLVLLAPNGHGTRLGQARRIKFEFRKGAARETFMRIDGEPWKQPLPVDDDTVVVEISALGQVKMLAVENCKAKSVYDPTTPVRHEVEEGDSSDEEEGRKFGAADTFRMPDEHALYWLFVAKVPEWCFGLVRSATEFLGCSSCKNVSISRK >KZN01029 pep chromosome:ASM162521v1:3:10955889:10959666:1 gene:DCAR_009783 transcript:KZN01029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAKLIVRAVFGIIGNIISFGLFASPVPTFYRVIKTNSVNEVKPKFHLLATMNCLLWVLFSMPFVCPGNILLLTANGFGVVMHLAYLIIFLIYATDNERMYKGGVLLVELAVIGVVSGLVTGLVDDISRRRFIMGLLCVYSAFMMYLSRFFHVVVDESADYMPFPVVVTNTLNDLCWIFYGSLGFDVIVLFAYGLGFIVGVIQLARHVHSNKIPDDKKLAAADVQLQGMA >KZN00999 pep chromosome:ASM162521v1:3:10624359:10634627:1 gene:DCAR_009753 transcript:KZN00999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCNKSAGTTFDLVGFFSIVLLLAVIVPVAALRPLREAAHSWGDEWLVVRKDDNEPASFSAWNITGTYRGSWKLLDSTNSTIRFPKFGKSNGNSVLELISTPTKINGVHYVQGVIIFHDVFDNEHEVGGAQIKVEGVYIWPFRQLRMVANSGKEGEFGHEDDYILSNPYHLLGVFSSQVFQESPRDKIWKRKHSPIYDIEKHCNIEIAAQISRVPPMQNNGDRVHYHIEGSMESPSVDDDGDCLQPMLLNATSVNTEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILAIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKANRPANNGESWEAMRRELSVLYSRFYGILLGGILVMYEFHKYLRFILLLVHSFWIPQIVINVVRDSRKPMHPHYIIGMSLTRLAIPLYIFGCPHNFMRTKPDKDWCICLGVFVGLQASILLLQHYLGSRWFIPRQILPEKYSYYRRLDQDINHATDCVICMTAIDFSQRPTDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >KZN01808 pep chromosome:ASM162521v1:3:25439086:25443198:-1 gene:DCAR_010562 transcript:KZN01808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKMKNNLKRMKQSDKQTEEQNQEEPELQEDDQVGEQEDEVIEGAKHINKQKDGVKLRVSPRLFSELEMLPAKLSYNVLQIFDHNSVSMKLKDKEIEITEDDVFDVLGLPHGDISIRLGTEDEYRERINSWLAQFKDDKDQITAQKLVQVMRGQPVTDNFKMNFLLLMSNALLGTTTSSYIDRQLLRFDDDLDNLRKYNWPEFLLDYLVLATENWNRTTTTFFRGSLVFLTLLYADRVRNKGIKIVERQFPSYKGWDVDTLRERQALELLGGGTFGVGQVLAPLREYLHEEDPFENQPKNPSNSGTNASKQNDIWDDLNVWQTVDDIEAEHIRNKEPQKAFSPDDPQENDGNINENTINRDSQEDTEIESSEEDIAQKLTTRAQDILDAKFQLEDDLRKTREKFPDCYSLKIIEEVICENFPAKKPPPTTTTAHEQHVNPAVDPDVHPPSNANEQDQNPPVDPQTSTTNAPSDQSTQPPTVQMSNQSKELGDKEPELVNDFDRDLSPNSLEQLEIAEKSSKPQPPQHKEKETSSTKKTVKFAKNLATIFEDVAGPSEE >KZN01036 pep chromosome:ASM162521v1:3:11028779:11036817:-1 gene:DCAR_009790 transcript:KZN01036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIDKSAAARSRLSVISSHLFASSCAAADSPLQTSVVSAAIPPPPNVAGSLTIVDERTGKKYQVQVSDHGTIKATDLKKITTGKNDKGLKLYDPGYINTAPVRSSICYIDGDEGILRYRGYPIEQLAESSSFLEVAYLLLYGNLPSTSQLSDWEFAVSQHSAVPQGILDIIQAMPHDAHPMGVLVSAMSTLSIFHPDANPALKGQDLYNSKEVRDKQIVRILGKAPTIAAAAYLRMAGRPPVLPSNSLSYSENFLYMLDSLGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTALAGAVGALYGPLHGGANEAVLKMLSEIGTLDKIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAIALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLSHWKESLNDPDTKIMRPAQVYTGVWLRPYIPQRERMVATETDKLGQISVSNATRRRLAGSQVKPDFSWLQTPPRLPGPWWWFISPHKPGSATAPHGKWPGAHPPYPQEPRDQSSSFVGAETEKDSASSSGGQVHSDSFFGSRGQMLPWWWLIQPGGLPHNSPKGKWAHPPLPHSPTPAKPSGHSPASAGLEATRDNDIEAAANAAIEKCWSPLAGVGNCVYDILSAFTTGTVEFDSACCSAINNMAEECVASFHNQEFADTLRNYCSTH >KZN02222 pep chromosome:ASM162521v1:3:31052074:31054710:-1 gene:DCAR_010976 transcript:KZN02222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDASQGISYVCNNIIDYGGDPDRIYVMGQSAGAHISSCALLEQAIKESKGERVSWSVSQIKAYFGLSGGYNITELVDHFDRRGLYRSIFLSIMEGEESLKRYSPTIVIQDPSVKTAVSLLPHIVLFHGTGDISIPHNASQDFVDALRRVGAKAELILFAGKTHTDLFLQDPMRGGKDELFEYITTYIHAGDEEALAKDAMAPPRRRLVPEILLKLAHDVSPF >KZN01680 pep chromosome:ASM162521v1:3:23017152:23020434:1 gene:DCAR_010434 transcript:KZN01680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYIGREASKLWKRICAETTTEINLLLENWKYLLGGLIGQYIHGVAARGVHFIHRPGPLLQDAGFFLLPELGQERGYVSETVFIFIFLSFFLWTFHPFIFKSKKIYTVLLWCRVLAFLVVSQTLRILTFYSTQLPGPNYHCREGSRFATLPQPDSILKYFIIIPSGVLHGCGDLIFSSHMIFTLVFVRTYHKYGTRRFVKQCAWLTAVVQSLLIIASRKHYTVDVVVAWYTVNLVVYFIDSKLPELPDRGAAALLPVSKESRTKEENHKLLNGNAGDPTDRRLRSQVNGKVVEDSNTLLSETVLNSV >KZN01694 pep chromosome:ASM162521v1:3:23224022:23224345:1 gene:DCAR_010448 transcript:KZN01694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNGPWNHFSGKYEGLLWLIGLMLDILGLLDDADSGLILGLLDDPDSGLERKWNRNMLKTNQGMFSIVCLKVHARTGWNLPVVNPTNWLGGATFIDCSRSSGVRI >KZN00014 pep chromosome:ASM162521v1:3:1059472:1061968:1 gene:DCAR_008768 transcript:KZN00014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSVSAEASVCSSPTQTPVPAVKKKRNLPGMPAQETAKAQPSVIDEDATAVKAEEVPPPPPLQSSPQPPHTPSTSVLSPVLSIQSSEIHENPSGFLQPAQSPATTSASGSSTSRSGKVSNSVDKVSDTSAVFASIFASSSAAPPVVSQPPSSYLCDVSCSDRTPIEPMSLSLSSSLYYSSTPSSLFPASDQCQYKASSQAALSATALLQKAAQMGPTSSGSFLRGLGLSMSSGPTDPRDDVTTSPTMQWKGGNTSNKSNNHPMAADLGLGFSNDARGSHLTNLMLSGSPSLYGNRPTTLDLLGLGLGGGGAASSNRYSAFLGSIGGGLDAAYGGVNSTRETWDDPAERKPTLM >KZN03919 pep chromosome:ASM162521v1:3:49898941:49899628:1 gene:DCAR_012675 transcript:KZN03919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCSSISGNNVIVFHLSPKPYKFQPSGFISVNTLLQNPSFVVKSSSTKSCKSRTKACVSTIALDQSTDNFYELLGIPESGSLSDIKKAYKQLARKYHPDVSPPDRAEEYTQRFILVQEAYETLADPQTRALYDNDMTKGLQFSFSARRRVDYHETTDERKEWKNKWMSQLSELKHMRMKNNDTRESWGSRMRRQHSE >KZN01692 pep chromosome:ASM162521v1:3:23195242:23199804:-1 gene:DCAR_010446 transcript:KZN01692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIESNGKLTHKKMKFVPEIKFTKLFINGQFVDSISGKTFETIDPRTGDVITEVAQGIKEDVDLAVEAARDAFDNGPWPRLPGTQRRSILMKLADLIDENAEELAALDAIDGGKLFHDGKNIDIPSAAETFRYYAGAADKIHGDTLKMSREFQAYTLREPIGVVGHITPWNFPSMMFAMKVGPALAAGCTMIVKPAEQTPLSALYYAHLTKLAGIPDGVVNVVTGYGPIAGAAISSHMDIDKVSFTGSTEVGRLIMKDAAVSNLKQVSLELGGKSPIIIFDDADFDKAVDLALIGKIFMFYQGEICVAGSRVFVQEGIYDKFVKKLEEKVRSWVVGDPFDADSQQGPQVEKKQFEKILSYIEHGKREGATLLAGGKRFGEKGYYIEPTIFTDVKDDMIIAKEEIFGPVMSVLKFKTMDEVIRRANATKYGLAAGVITENLNIANTVSRSIRAGAIWINCYFAFDRDSPYGGYKMSGFGRDMGMDGLDKYLHVKSVATPIYNTPWL >KZN00542 pep chromosome:ASM162521v1:3:6233149:6236877:-1 gene:DCAR_009296 transcript:KZN00542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPAVHPVEIPAVLARPPPVVRTKDVQGMPGTSLGLVFRVFQFVFAAISLSIMASTNDFPSVTAFCYLVAAVSLQSLWSLALAVVDIYALLVKRSLRNTSVVSLFAIGDGITSTLTFAAACASAGITVLIGNDLDKCDVNHCKRFMSATAMAFLSWVAVSPSFFLNFWSLASR >KZN00701 pep chromosome:ASM162521v1:3:7730523:7732914:-1 gene:DCAR_009455 transcript:KZN00701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYTSLRLLLFSSLIYVSICQETFDPHTLPRPLILETHVKETPLLSSADDDVTLHCTSWRFAVESNNLSPWRTIPQECGDYVKDYMTKRGYEVDLERVADEAILYASSVELRGDGKDIWVFDVDETLLSNLPYYADHGYGLEVFDSEAFDKWVDNGIAQAIRSSLKLYEEVVKFGFKVFLLTGRSEMRRMVTVENLNRSGFHGWDDLILRGAEDQKKTATQFKSEKRHKMIEQGYRIHGNSGDQWSDLLGSAMAARSFKLPNPMYYIP >KZN01887 pep chromosome:ASM162521v1:3:26610696:26611592:1 gene:DCAR_010641 transcript:KZN01887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISPLLFLLFLSCTFTLFHSSRGAGIAIYWGQSGNEGTLASTCASGNYQYVLIAFLTTFGSGRTPVLNLASHCTPSSNGCTVLSNVISTCQSNGIKVLLSLGGGSNSATSMSSPADARQVATYLYNNFLGGQSASRPFGSAILDGVDFDIEQGSSLYYDDLARALSGFSTPQRKVYLSAAPQCPIPDAKLDAAIKTGLFDYVWIQFYNNPQCHYTGTATNLLARWKQWAAALPSGSQIFLGLPAASAAAPSGGYISPSALISQVLPTIKTTPSYGGIMLWNKLFDKTFSSAIKNSI >KZN00154 pep chromosome:ASM162521v1:3:2525887:2526774:1 gene:DCAR_008908 transcript:KZN00154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPKKLMKPSSRKPKKFLHKIVRVSVTDPDATDSSSDEEGGSFHKPRVKKYVREIIVEATGVVSRRKVKENLKRVAAPAGRKFRGVRRRPWGKFAAEIRDPVQRVRLWLGTFDTAEEAARVYDTAALRIRGPDALTNFIHPTEKPENKILDEISNVASPTSVLSLFEMEAEEYSKHENNYTSPCLTVDNSDVDHMSECQCREPFSFPNEPIFPNDDFSSQEYEIPSPFHEACLRYGFSFYQKTGIDDFDIPIQLEEAGIYTDKDDSRIPYDLKLEIGSPLSSDVGDYFEENLSS >KZN01101 pep chromosome:ASM162521v1:3:11698098:11699563:-1 gene:DCAR_009855 transcript:KZN01101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAMSRMEATEEMSPRWLRPMLQASYFVPCLSHGVSTRIECNMFCLDCLGDAFCSHCLVHHKDHQVLQIRRSSYHNVVRVIEIQKLIDISCVQTYIINSARVVFLNARPQARLGKGVTNTCEVCCRSLLDNFRFCSLGCKLGGIERGDPRLTFTPRLKHDWEEMHGYESDEFSGPSKMRNERDSDQFMEARPYSADFRRVYGGGYEYGNAQEHNVPCSSSGTPHTSNHQDPVEPPRKRHRRKGIPHRAAV >KZN02381 pep chromosome:ASM162521v1:3:32863116:32864789:-1 gene:DCAR_011135 transcript:KZN02381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNITKMLADVGYVVYQEDFEKPFIEVSADFYRGESQQYIDCCDCGEYLKKAEKRLNEEIERVSHYLDLKTEAKITNVVEKEMIENLKRCLQSLACAKGRNVLRKEPMSKDIGEDDIFFFNDKFTSKFYKVKIGTVVAQKESEPEKLETRQRVEEDRKPQIEAAIVRIMKARRVLDHNNIVTEVTKQLQSRFLPNPIIIKKRIESLIEREFLERDKEDRKLYRYLA >KZN02723 pep chromosome:ASM162521v1:3:36493987:36496564:1 gene:DCAR_011478 transcript:KZN02723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACATKPKADATDAPAPLPEKDEVVSKELTVAATVVETKEVVYAADNSRSLSNLLNESEDVKVSSENDKIQPELVKEEACEAEKDTKISEISLETTSTPTVDAPAELEAEKAIEVEAAPEKTEILVEKAIPVVNVPSKVETEESTPIVCAVSENGADKEIEAASATELQETEAVEEKKIEEHDKAVKTPISESIEVESAGEKTELVEEKAFVPLETSASEILITKAAEETKIEEEKAITEEKNTESEACAENVKP >KZN01267 pep chromosome:ASM162521v1:3:13876168:13877571:-1 gene:DCAR_010021 transcript:KZN01267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYEPPLSQVFRNLSQRKTQIEEEPTDVFGLQCCQLPLVDLGRLSSGHPVWRKECEKEIIEAAKEWGFFQVVNHGICKEMLVNLQQEQVKLFRQPFHQKANQNPSNSPSGFYRWGNLAATSRAQFSWSEAFHIPVSSVSDFRTLNHLSSTVEEYTEVVSKLTTKIAEILADNMGICSYKTFLEDKVVPSSCYLRMNRYPPCPKSYSKACGLVSHTDTSYLTVLHQDKIGGLQLMKDGAWICVNPNPDALVVNIGDLFEAWSNGLYKSVRHRVVANGEFERFSVAYFSCPTRDTVVSSCSQPSIYRDFSFEEFKQQIQLDVKSTGNKIGLPRFLR >KZM99970 pep chromosome:ASM162521v1:3:648285:652634:1 gene:DCAR_008725 transcript:KZM99970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDGISKVVFGGQVTDEEVESLMKRKPCSGYKLKEITGNNIFAADAENDTAEPDSADPNNKTGLRMYQQAVAGISHISFGEDGTVSPKKPASLPEVAKQRELSGTLDSEAEAKLKKQLSEAKCKELSGHNIFAPPPEIQPRPLAARALALRESITIGELAPNQPNGGPSSDNNSEHVVKTSKKIPDKKFAELSGNDIFKGDTPPASDKPLSSAKLREMSGSNIFADGKVESRVCLGARKPPGGDSSIALV >KZN02631 pep chromosome:ASM162521v1:3:35336524:35336856:-1 gene:DCAR_011385 transcript:KZN02631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLDENTGRLLVRAKPMFLKRVVDNLSDVQRQWVVETGFEKVLVFNINEYPQPLSFLIAKSYKSTDSSISIGENIINFSENDVQIILGLPKGELMFEDSYNSEYKDV >KZN03229 pep chromosome:ASM162521v1:3:42717321:42718982:-1 gene:DCAR_011985 transcript:KZN03229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNNLIAILNFIALMCSIPIISAGIWLASNHDNQCINWLRFPLIFIGIIFFLVTLSGFVGAYWNKQGLLAFFLFSMAFLIVVLIILLVLAFVAARPSGAYDVQGRGYRDYRLSGYSDWLRNHVTNSDNWGSIRDCIFDSKVCPKLSQKYASADQFYAARLSPIQSGCCKPPSVCGFQYVNPVTWVNPMNPVSDPDCAIWNNEPNQLCYNCDSCKAGLLGNVRKEWKKANVIVIIAVVVLIWVYLIACCAYKNAQTEDLFSRYKQGWT >KZN03909 pep chromosome:ASM162521v1:3:49812977:49816262:1 gene:DCAR_012665 transcript:KZN03909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERIHKLQVYSDTAEVTFCLRCYQPTDERLDSFLSFLKDKGLKLDEVEIRKANEDAENKGDGKSSGDDSVEDTTSESLQPDNIPNMENTILVALALEKAALSDEYFVKWKLYPNVDLYSGCIEFFPVLFAIPRMAGYLSHWKESLNDPCTTIMRHAQVYTGVWLRPYIPQRERMVATETDKLSQISVSNATRRRLAANSLCRFWLFSTRDVSTYYPIYEDGF >KZN02785 pep chromosome:ASM162521v1:3:37286865:37288139:-1 gene:DCAR_011541 transcript:KZN02785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDTCNNIPSMDADRKIANAIGGKTARACDSCVRKRARWYCAADDAFLCQGCDSMVHSANQLASRHERVRLGTASSKPLSEMKAPEVLDPAPAWQSGFTRKARTPRHPKRTQKEKVSNTSTSPLVPEMGNEDYMFEETEAQLLYRVPVFDPFAAEFCNASNESDNMMADYGDDNFIPERESHEVCDLNNLPVLLPSEMELAEFAVDVETLLGTGFNEESCGIEGLGFIDCKEESEYGDCYQENRVKVEDEEVQAAIIACHFDPELDMSKETLDWNFSYEDELEETKLVDEPEMITEEGKEIGEKKQLLLRLNYEGVIVAWDNHGCPWTSGIRPEINPNDCWLDMFRDTSSGQVAYGGSVEGVMGGSDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRIKGRFVKRISFAGESTYHT >KZN01913 pep chromosome:ASM162521v1:3:26805051:26805293:-1 gene:DCAR_010667 transcript:KZN01913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAFAGIAVKDVLNKAVLGKLAELLPLWSMTKSEMDESSGDGGFMSVYNVMKLMGYGNQDAENKYYASRASTSAQRRV >KZN02015 pep chromosome:ASM162521v1:3:28323860:28326209:1 gene:DCAR_010769 transcript:KZN02015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPMIVTSHQSQMSSMEESRYSASLSPQRGTPPPGDSSSSFFRSEDNSRLGTTPAAKMLKTAGKMIPKPSASTTIISFHNATSATAQYNEDGGNDISSVLGMISEGSRKASATTRNPLQAQDHVIAERQRRERLSQMFIQLSSLVPGLKKIDKASVLGEAANYIKQLQGRVKALEEQMIEKDGDAIASVERFRLHTDEESSSSGDDFFADYNNESLPVIEVRLSETDVFLRIQCQKFPGLAVKMLSDIEKLHTTIVSSSVMPFASNSLLITVIAQMNMEFCMTADDLMKRLQLTALKLRCS >KZN03599 pep chromosome:ASM162521v1:3:46160699:46178377:1 gene:DCAR_012355 transcript:KZN03599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKCTSFNENPLSVPLNFPHKAHVKDEEKQEISGHSVEKDLDIDLSEVYFLIMHFLSAGPCQKTIRQLWSELGEHQLLPRRYHAWYSRSDAMSGNRNVDDSSFPLTYEEVVERYSYIEKDHLLKLLKQLMLNATPLRGINDRCDLSAADVPTLLGDGAKCTADMQRTPLPAYLRWPHMQADQVLGLTLREIGGGFRKHHRAPSIRFACYAVAKPKTMVQKMQNIKKLRGHRNAVYCAIFDRTGRYVITGSDDRLVKIWSMETGLSLVSCRGHEGDITDLAVSSNNALVASASNDFIIRVWRLPDGLPISVLRGHTAAVTAIAFSPRPNSVYQLLSSSDDGSCRIWDARSSGGSPRIYLPKRTDGIAGKNNATSSTVPASAASNSHQILCCAYNANGTVFVTGSSDTFARVWSAGKISGDDSEQPYHEIDVLAGHENDVNYVQFRYNHENIVTCSRDGSAIIWIPRTRRSQGKVGRWTRAYHLKVPPPPMPPRPPRGGPRQRLLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHSASTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPVHIYEIGRYKLVDGKFSPDGTSIVLSDDVGQIYLINTGQGESQKDAKYDQFFLGDYLPLIQDEQGNVVDQRRRLGALGIEWRPSSINYSSGAYIGLGQEYQLLPLADLDMVFEPIPEFLDATLFELENDVINDDTDSEYDIAEEIYSGDEQGNLGNGSSSDSECSEEDNKAARIHGHGLRRSKRKNLQVEASFILQVGSMTSPGRLVKSRKLGDHDDNASSSRRPRKKSRPTRLSKRRKSSTAMSLRPQRIAARSASNVLSEISEASTTGEDEEEWEDVLSESESFLQASDGQSDESDENVRTVHRKYPKVKHGSMDTSGNVIKPPEHVVTQMNGENKKRLVLKISLKDRKKSVPSEITISQGNNQNESSSFFPGPSEETSSFVNDPELSRNHNLNKMRQSEDHEISEDINAVKSCTEYQEALDGRSGSKINGVNRSICMNDDGTSFSGSGQRLAGDSTVCTDPDFVVGTSHSHKLKENPAPKPIKLRIKSKSSLEPSSHFDRSIQMSDAVFSSDRLGNNLEGFNINIDQSTSNNCDGKQDAGAEQCEDVPRVRSKTRSLRLKGPLREKNDISHTFMAGEGNLQAGTPANAKTIFHNPRSDEWMSNLKTIARPRSTRNKRGIDNNNDKHLLAAGNLKTSQRKSNWLLLSEQEGHRYIPQSGDIVVYLRQGHEEYIDESQCSYDKVPWKLFGERIRVVETCLVEGLDYAPVPGSGETCCKVTLKFTDSILLGQTFELTLPDLIDFPDFVVEKTRYDAAIDRNWALRDKCRIWWREDNENGGRWWDGRIVESKDKSVDFPGSPWERFRVRYTIGGTFQQHSPWELHDPDSQWEQPSIDCESKDKMMSYLYKLWKSAEKNQLDHAIAGGARWYMLAPNNLEKMS >KZN01930 pep chromosome:ASM162521v1:3:27100132:27100666:1 gene:DCAR_010684 transcript:KZN01930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKQIWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVAEAPTGLKGLGTLVIEEDDE >KZN02820 pep chromosome:ASM162521v1:3:37721967:37733308:1 gene:DCAR_011576 transcript:KZN02820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTKPDRERNNSREKKSRTKIEDTEQPSIADKDYIVFKFNGKGGIDLVEEKSPPRNQDPAGKNRNQKKAVFDECSESLQFKVGEDGGMISDNEVDESSVQSSKKLPLSEVKGGGDDTDVIIEVKESTPQKTLSESTRSDSSADPAGVHLILELCSGGELFDRIVARSKYTEVEAAAVVRQIAAGLAALHRANIVHRDLKPENCLFLNNSKGSPLKIMDFGLSSVEEFTDPVVAWSDYLYPSLGGEFSFYEKTWKNISSSTKDLISNLRAVDPQQRPTAERVLQHSWVIGDSAHEGDIDPEIVTRLHRFNARRKLRAAEIASMWSSTVFLRTKRLKTLVGTYDLKPDELENLNLHFRKICADGENATLSEFEQVLKAMKMSSLIPLAPRIYDLFDNNRDGTVVMREILCGFSSLRNSQGDEALRLCFQMYDTDGFGCISKDEVASMLRALPDECLPLDITEQGKLDEIFDVMDANNDGKVTFDEFKAAMKRDSSLQDVVLSSLRPA >KZN01359 pep chromosome:ASM162521v1:3:14859652:14866016:-1 gene:DCAR_010113 transcript:KZN01359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTDRNIDKEEYWKIIHIPDKAPIPPHLQPTVNAYATVINPKHANTLIRRLNKISPLEDLRHVKRICKKCVEGTVQLLVILCLASDVSDQSENIPSEMGELIKSYQLSTFITKVSKYAATSKEEWEEQCKLWPTSYHPPTYNIDGITGFSEENSQSVCEFMKLAIDLAKSVDGQIVNAAVIVNPASNQVVARSCDQVFSQNNPSRNSAGEGYLEPCKLTSSSISNGLQTYTNSSSNSSFNEQKRTYGGVSCLHPWQWAEQQSQASCFRHPFHHAAMVAIENSAARDRYLFPASEHIVDKCWQAEVMDSPSTVSPSKKQKTETKVEDSGIQNSEHNACGSMPVRPYLCTGYDIYLVWEPCIMCAMALVHQRIKRIFYAFPNHNAGALGSLHRLQGEKSLNHHYAVFRVFLPESILDRDDVVTAVSGSDKNKTHVL >KZN01685 pep chromosome:ASM162521v1:3:23140837:23146008:-1 gene:DCAR_010439 transcript:KZN01685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGSGLFSVASKITDSGGKPPAKHGALPASVDTRGIRTKSVSSRGLVKTNAQAPSKINGTRVGVMDGLKIDDDFTSSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPKRPDMLTDPFGLGSIVQNGMVFRQNFSIRSYEIGADRTASVETLMNHLQACAFHIILYFMDAFFLYETALNHVKNAGLLGDGFGSTPEMCKKKLIWVVTKMQVMVDRYPTWGDVVQVDTWVAPSGKNGMRRDWLLRDYNTGEILTRATSCWVMMNKKTRKLSKLPDEVRAEIGNYFVDTPPIVDEDSRRLPKLTDSNADYIRTGLTPRWSDLDINQHVNNVKYVGWILESAPLPVVESHELASMTLEYRRECTRDSVLDSLTSVIGNGLGDLATFGQVECQHLLRLKDGAEIVKGRTEWRPKRSYRIGSFGQLPAEST >KZN02055 pep chromosome:ASM162521v1:3:28924692:28925183:1 gene:DCAR_010809 transcript:KZN02055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKDRTECVVIKRCIKTPIRALSRAKDLYVQSMNSLANGMSNNFGNCPKTSLPKGYRINSSLSMRNNEDDFLELLRVASTETLSRSVVVDDQQEFPWRQQPASTPEAVQSVPVRMRLTIGRIDEDRVCDFGDDNLSVQGSALRFVCKKQKLFLCPRTRRMLK >KZN03546 pep chromosome:ASM162521v1:3:45651207:45656218:-1 gene:DCAR_012302 transcript:KZN03546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDVSEEMSGTSTAHVLDKGVERSSGANDSKEKESANIVPFHKLFSFADSFDVMLMIFGIIGSVGNGVSMPLMVVLYGELADSFGQNQDNVDVVQVVSRVSLKFVYLGVGTGVASFLQVACWIITGERQASRIRKLYLQNILRQDITFFDMETNTGEVIGRMSGDTVLIQDAMGEKVGKFIQMMTSFVGGYFVAFFKGWLLTLVLMSSIPPIVMAGGMVSHVSSRMSTRGQDAYTRAAKIVEQTIGSIRTVVSFTGERQAVVNYNKSLTKAYKSGVHEGFASGLGLGTVMSVAFCSFAMAVWFGAKLVIEKGYSGGTVITVIIAVLNGAMSLGQAFPCINAFAAGRAAAFKMFETINRRPDIDPYDTRGKTLDDIQGDIELRDVHFSYPARPDEHIFSGFSLAIPRGTTAALVGQSGSGKSTVISLIERFYDPQGGEVLIDGTNLKDFQLKWIREKIGLVSQEPVLFTSSIKDNIAYGKDGATDEEIRAACELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVKNADMIAVIHLGKMVEKGSHAELLENTEGPYSQLIKLQEVSNKGVRDREKTDIGTGSGRASSQRMYSSISRVSSGVGSGSSRRSFTLSFRLPGGLTEITIGEPEPKPEPEPKDPNQKTSPEVPLRRLAYLNKPEIPVLCLGSLAAIINGVTYPIFSVLISATVRILYEPPHELSKDSKFWALMFVALGVASFITYPAQAYFFAVAGCKLIKRIRSLCFEKVASMEVGWFDKPEHSSGAIGSRLSTDATFVRALVGDRLGQWVQDGASAVSGLIVAFVACWQLAFIILALLPLVALNGYVQTMFFSGFSSDAKAMYEDASQLATDAVGSIRTVASFCAEEKVIELYTKKCEGPKKSGIRQGVISGSGFGISSGALFFVWAVSFYAGAHFVAQGITTFEKVYRVFFALTFCAISISQSSSVASDSYKARTAAASIFAIIERKSEIDPNEESGVTLPNVNGDIQLHHVSFTYPTRPDTVALVGESGSGKSTVIALLERFYDHNGGNITLDGVEIRTLQVKWLRQQMGLVSQEPALFNDTIRSNIAYGKGGDATEAEIIAAAEKANAHQFISGLQQGYDTVVGERGIQLSGGQKQRIAIARAIVKSPKILLLDEATSALDAESERVVQDALDQVMVNRTTVVVAHRLSTIKGADVIAVVKNGVVVERGRHEKLISITDGFYASLVALHTSNTREEIN >KZN00367 pep chromosome:ASM162521v1:3:4537399:4541439:1 gene:DCAR_009121 transcript:KZN00367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATHFLSQPLKTHQNPLHKSLKTPFFSNPKIIPFKPTFKNLNLRAILSETPAKTQKFEHCFTKSEQDGYLYCEGLKVQDVMESVERRPFYLYSKPQITRNVEAYVEALEGLNSIIGYAIKANNNFKILEHLRQLGCGAVLVSGNELRLALRAGFDPTKCIFNGNGKLLEDLVLAAQEGVFVNVDSEFDLDNIVSAARISGKKVHPYVATGNKSSKFGIRNEKLQWFLDAVKSHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDQIRAQGFEIDYLNIGGGLGIDYYHAGAILPTPRDLIDTVRELVLSRKLNLIIEPGRSLIANTCCLVNRVTGVKTNGAKNFVVIDGSMAELIRPSLYDAYQHIELVSPAQPDAEVSTFDVVGPVCESADFLGKERELPTPARGAGLVVHDAGAYCMSMASTYNLKMRPPEYWIDEDGTVSKIRHGETFADHMRYFEGL >KZN01243 pep chromosome:ASM162521v1:3:13597459:13599383:-1 gene:DCAR_009997 transcript:KZN01243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLTAILFVSFLVMLCFGILVTPLLDTMNSTQNLTDGDTIVSSGGIFELGFFKPGESNKHYLGIWYKTVSVKTVVWVANREAGVNGTTSVLKLTSSGTLNLHNSTNGFIIWSSNSKRLGGNPILQLFDNGNLVIREKDDNSPDHYLWQSFDYPTDTHLPEMKLGLNLVTGFERYLSSWKSNDDPAPGVFNYHLDPAGYPHLVLRNGEAETYQTGPWDGFRFTGRPKISNNGIYNHSLVYTKQEVYYTFELLNSSVFSRFVLNQSGEGQRWTWVDRNHKWELFLKLPTDSCDTFKRCGAYGSCNIDRGPICGCLDKFVPKNEDDWGKADWSSGCVRGKLLNCRKGDGFKKYSRVKVPDTDSSLFNDSMSLQECHTACLKNCSCMAYSILDIAGDGRGCLQWYGDLVDIRELSGGGQDLYVRVALSDSDESKGKDGSKLLIILVVLVASVLLVLVLAFYLWKKRTNKLLTRPDGSLESNSMKEFNSESHKEDLDLPFFRLSTLAEATDHFAISNKLGQGGFGPVFKVTSSCSLNCTALLLIHVMCFNMNMLFNYLAR >KZN00289 pep chromosome:ASM162521v1:3:3878639:3880433:1 gene:DCAR_009043 transcript:KZN00289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFQVYLVYFFISLIPTIILGALYKSRTPSGLPPSPPKIPVIGHLHLLAPIPHQALHKLSRRYGPLFHIYLGSKLCLVVSSPEMAKEFLKTNEATWLNRPQTEALDYLTYGSQDFAFATYGPYWKFIKKLTMSELLGGQTLGLLQSVRVQEVGSMVKEILKKAEAGETIDVGSRLVRLTNNVISRMLMRKRSSENEDEAGDITKLFKEATEISGRFNVSDYIWFCRNLDLQGIRKIFVDIRARFDNMVDRIIEEHLDVKRKWKENGDGGHAEKNLLNILLDISEDQSREIKLSREHIKAFMLDVFSGATDTSSLTLEWALAQLINHPHIMEKARQEIDTVVGKNKLVEESDITNLPYLQAIVKETLRLHPPGPLVAREASKDCIVAGYHIPAKTRLFLNLWSLGRDTDYWENALEFKPERFFLTSEDIRNGKSPPDIKGLHFQLLPFGSGRRGCPGMSLALQVVHTTLAVLIQCFEWQVKGEKHNGENTVNMEERLGLTLSRAHPFLCVPVARLDPFPSI >KZN02253 pep chromosome:ASM162521v1:3:31335652:31356453:-1 gene:DCAR_011007 transcript:KZN02253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAVLVEAVFADKSPADLHRRLRSDSSLKLGFEKLYLILKDGVQVDSNGKLGFELWDLSQIQAVASIGYAIYSSVNSVSVEQVEPIIVAVVQQLVEFALCGLEKSITNGDGLNFQDNMIQMLEMALLDGMDKEFELIQPCPLKALVDSLAMLPVKSGNAKLLDYSKCLLQGGNCTKQEKQVDRLLLTLASDNMQLEKATFGQGISSNIVRHEFNKVVSLTQHWALFHLGCVQRLVMFCKEVLLLSDIVDDKTVQYNLRKRLSCLTRIFKLLGSVTKDVLYVEYDSVLFQAVASVLSVLPNLFKPGFEFISNSASVESSVDSLIISVLQEFLQFVHVIFCNSNVFRNIQAFLVASVLDNLDAEVWRYNKSSGIPMPPLSYFPQIVTYVLKLIGDINQQNYQDFELGNLHADGGEVLISKQTESFSCQIHSEKFFLLKKYSVQELMSIIFPISKQWLDNLMHLAFFLHSEGVKLKSKLEAAHSSGTKVSSIPEPENALCHEDEALFGDLFSEGGRSVGSVDGHDQPSGGPIAVSSICNMPLQAATEVFSFLKIYVFSPRWHPSLYEDGCRKLMDGHVDILLSIINCQACFSEDRMSNNDAAVTGQRNITQINELCFELLHNFLASHLLSDILEEYLVMKILSADNGCFVYNDQSLALVAHALISKVGSDGSQLRSDIQKVFVDFIFEKIKTVCLGSPEIKEVIESLPSVFHIEVLLMTFHLSSEDEKARAANYILSSLREINALPSGFSTVQLSCWGLLVSRLILILRHMIYYPRACPATLLSELRFKLRDAASFGSHHCVDGDRSPWASVAVETMIATFINEGRVDRSFLEQLIDVGPLPAAICRDDKAVDYLGLKWTEICSTFAWILEFWKAEKAATVEDLIIERYVFVLCWDIPSVDFKLEHMNPFWADFQNPEATNVEHLLHYSHSTLCHPGDFHKTINISDVVLALLQHIDSVQVSDDIRDLSWDFFRHGSWLALLLSLLNTGISRYHNTTGSEVQMLEEHTPRDAEFLIFAESLVSSVFGTDRVGTLVKLLSSLLERFLQVYEKAFILTFDGNHKFADACLTLLFHKHAGIDKPVQDALVEKCELNFSDLESVYQLLSKVTNNIDKTAPGIRTKAYWELLLHGFPCHTQTSSGTLLSCILNIRIIVSVLAGLFKIKNAREVIFADTGLLRRILNSVFNIKFDKTFEPIYGKCDTIYDSLGEGLEGFDYSSFYVMHDVENLLRKVNGRELMDSSVYECLITKAIDTMNNIGKDHSKNGLFKFLLSAEDASEQIMDLCSRSVDLFVLIDSLDKCNSDASNVKVLNFFAELLSGEVSLNLKHKLQQRFASMDLLCLSRWIESRLLGSTTEPTTGVTGFIGISPSLREATRNFIACLLSPSLEMQSQELHHHFFEALLVSLDSAFLQFDVNTAKSYLGFVAQLSRSEIAMKSLLQKTVALMEKMAGEERLLQGLKFLFDFVGTILSDCGSNKNSVEKSSRKSLSSNSSGVGSVASRSLATRKNSETLLFSANQEGNSTSIDCDATSVDEDEDDGTSDGDVGSMDKDDEEDSNSEKTLASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGTNSEPSHGGSNFQSFMPFQEDGDQLPDSDSDVDDDILVDMDNSVKLNISREVQDGIPQLLEDLNVEDRLLKIFNSLLPSVTSRRDSNISQDKKVALGTDKVLSYNGDLLQLKKAYKSGSLDLKIKADYSNAKELKTHLASGSLVKSLLSVSTRGRLAVGEGDKVAIFDVGQLIGQATIAPVTADKANVKPLSKNVVRFEIVHLVFNPLVENYLAVVGYEECQVLTVSHRGEVADRLAIELALQGAYIRRVDWVPGSQVHLMVVTNRFVKIYDLSQDNISPLHYITISDGSIVDATLVLATQGRLLLVVLSEAGCLFRLEITMKGNVGARPLKETIDLQERDIKAGGSSLYYSSTFKLLFVSYQDGTTLIGRLDPDTTSLSEISYVYEEQEGKLRAAGLHRWKELLSSTGLFVCFSSMKSNSALTISLGENDVFAQNMRQAVGSTSPVVGLTAYRPLSKDKIHCLVLHDDGSLQIYSHVPVGVDSAATAVSDKVKKLGPGILNNKVYGSSKPEFPLDFFEKTMCITADVKLSGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKVTISNSNPDIVMVGVRVHVGNTSANHIPSDISIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFTVCMGPTFNRSALPRIDSFEVYGRAKDEFGWKEKMDAVLDMEARVLGINSWVAGSGKKSRDAQSASVQEQVVADGLKLLSRFYSLCRPPMGCLGVEEGKPELGKLRCKQLLETIFESDREPLLQAAACRVLQTVFPQKEVYYQVKDTMRLFGVVKSTTMLSSRLGVGGPTTGWIIEEFTTQMRAVSKIALHRRSNFAAFLDMNGSEVVDGLMQVLWGILDVEQPETQAMNNIVISSVELIYCYAECLALHGKEAGLQSVAPAVSLFKKLLFSPNEAVQTSSSLAISSRLLQVPFPKQTMLHADDAVEGAAPVAVRSDAASAGAGNTQILVEEDSITSSVQYCCDGCSTVPILRRRWHCNICPDFDLCEACYEVLDIDRLPPPHSREHPMSAIPIEAETYGTEGNEIHFSANDASDTTLLPVAPNSSMQNSAPSIHVLEPNLSGDFSASMVDAVTISASKRAVNSLLLSELLEQLKGWMETTSGVQAIPIMQLFYRLSSAIGGPFVDDTKSDSLDMEKLIKWFLNEINTSSPFAAKSRSSFGEVTILVFMFFTLMLRNWHQPGSDGPKSSGGTDTHDKNPSPTPNSASIVTPSTLSDQEKSGFLAHLLRACGCLRQQTFINYLMDILQQLVHVFKSPAISYDASHGPGTGSGCGALLTVRRELPAGNFSPFFSDSYAKSHRADIFADYHRLLLENAFRLVYSLVRPEKHDKVVDKEKLQKIPSGKDLKLEGYQDVLCSYINNPHTTFVRRYARRLFLHLCGSKTQYYSIRDSWQFSSEVKKLYKHIHKSGGFQSARSYERSVKIVKCLSTMAEVAAARPRNWQKYCLRHEDILSFLLNGVFYFREECVVQTLKLLNLAFYTGKDGHVLHKAEGGDAGTGANKLGSQPLDSKKKKKGEDGTDSGSDKSYVDVEPLVDVFTNKTGDLLRQFIDYFLLEWNLSSVRAEAKCVLHGVWHHARQSLKETVLLALLQKVQSLPMYGQNITEYTELVTFLLGTFPDSSEKQKRLDIVDRCLTFDVIKCIFETLHSQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQSVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWALWKRAKICHLAFNQTELKVDFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDRHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKRGLAAIESESENAHRRYQQLLGFKKPLLKIVSSVGEIEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKHSDTTVASRFVVSRSPNSCYGCASVFVTQCLELLQVLSKHLNSKKQLVAAGILSELFENNIHQGPRSARVQARAALCAFSEGDINAVNDLNSLIQKKVIYCLEHHRSMDIAVATREELLLLSEVCSVPDEFWEARLRVVFQILFSSIKLGAKHPAISEHVILPCLRIISQACTPPKPETLDTEQAAVKTTSVPQDERNSNLSGSLSSIVSGSKSASELDKNLDGSHKAQDIQLLSYSEWEKGASYLDFVRRQYKVSQAVKGVQRSRPQRYDYLALKYALRWKRHSCKTGKSEATSFELGAWVTELVLSACSQSIRSEMCMLISLLSSQSSSRRFRLLNLLMSLLPATLAAGENAAEYFELLSRMIESEDARLFLTVRGYLTTICKLITQEVGNIESLERSLHIDISQGFILHKLIELLGKFLDVTNIRSRFMREQLLSEILEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIQACINGLQIHGQDKRGRTSLFILEQLCNLICPSKPESVYLLILNKAHTQEEFIRGSMTKNPYASSEIGPLMRDVKNKICNQLDLLGLVGDDYGMELLVAGNIISLDLSVAQVYEQVWKKSTSQSLNAVSGTLSSNGTSTKDCPPMTVTYRLQGLDGEATEPMIKELDEDREESQDPEVEFAIAGAVRECGGLEILLAMIQLLRDDLKSNQEQLVVVFNLLMYCCKIRENRRSLLRLGALGLLLETARRAFSLDAMEPAEGILLIVESLTLEANESDNIDITQNALTVSTEETGSGEEAKKIVLMFLERLCHPLGLKKTNKQQRNTEMVARILPYLTYGERAAMEALVQYFDPYLQNWSEFDRLQKQHQDNAKDESISQQAAKQRFAIENFVRVSESLKTSSCGERLKDIILEKGITGVAVRHLRETFAYTGQAGFRSSNQCALGLKLPSVPLVLSMLRGLSMGHLATQSCIDEGEILPLLHALEGVSGENEIGAKAENLLDTLSDKEGKGDGFLADKISGLRNATKDEMRRRALRKREQLLQGLGWRQELASDGGERIVIDQPVLEGLEDVEEEEDGLACMVCREGYSLRPMDLLGVYTYSKRVNLGVGTSGSARGDCVYTTVSHFNIIHYQCHQEAKRADAALRAPKKEWEGAALRNNETLCNNLFPLRGTSVPVTQYMRYIDQYWDYLNALGRADGSRLRLLTYDIVLVDYPNAVLINYIYVYLHLESVIPEEATSVVNLIINGTIN >KZN03322 pep chromosome:ASM162521v1:3:43590505:43590853:-1 gene:DCAR_012078 transcript:KZN03322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKQEKKIWFDLNEPPQTPKIFFDLNEPPQIPRVVDINEPEPPENMSIEKQQSIMQMLLLRAGLDDYTACREDGDIN >KZN03024 pep chromosome:ASM162521v1:3:40260847:40263557:-1 gene:DCAR_011780 transcript:KZN03024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVSLNLFPIISSRQKLNRNRVSTDQRLLRKKSITDTSLRVNQISAKISSLYSCAYNGKNSGVLEKDSVSLTDGQLNSEMHDDGIGIVDFFCGKKLLITGATGFLAKVLVEKILRTMPEVDTIFLLIKAKDEEAAMERLMNEIIKTELFKCLREKHGMLFENFILSKLVPVVGDMRKTNIGIEEEVANRIATEVDVIINSAATTTFDERFDVALDINTKGAARIVNFAKKCEKLKLYVHVSTAYASRQRQGKIIEESFGKGHYVVSGAGKNEAPDKHVLGLNIQAEIKLASEMVESSKENEMDRNLKDLGMRRAQKYGWQNTYSLTKAMGEMVVEDTKGELPVIIIRPSIIESTLREPFSGWIEGNRMMDPIILLYGKGKLPGFFSNPDMALDVVPADIVVNSTLAAIAKHGGKESKVEDNNSNDHVYQITSSVANPLITRDLLDLAFQHFSLSPCFDREGNPIQISAFKFFSSIEDLLSDMKSTSSNDEISPRQEIIRRKSIEHFKYMANLYQPYTFFDGRFDNNKVEKLLECLSEEERKDFGFDVSSIDWEDYITRVHFHGVRKHVMKETALK >KZN02044 pep chromosome:ASM162521v1:3:28749154:28755402:-1 gene:DCAR_010798 transcript:KZN02044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCVIKPTCLLPPKLLLLSKSTLHIITLKHTHAAAATTSSSSSYYNYKPPAPNVTTTNKRVLGNRLKHHQQQQDHLYCSTSVPQLIKNKKNTMMIHTNYIAVTLFAGLLGFLFLFVLGRPRSRRRDVSSVAGDSYRTCNDPTRTDHPGHNGFCADVIIVGAGVAGAALAHTLAKDGRQVHVIERDLSEPDRIVGELLQPGGYLKLVELGLEDCVEDIDAQRVIGYALFKDGRNTRISYPLENYHSDVSGRSFHNGRFIQRMRKKTATLPNVYMEQGSVSSLLEENGTIKGVQYKTKTGEEAKAYAPLTIVCDGCFSNLRRSLCKPKVDVPSCFVGLILENCNLPHPNHGHVILADPSPILFYPISSTEVRCLVDVPGRKLPSLANGEMAKYLKSMVAPQIPLELHDAFISAIDKGNIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLQDMNDAVSLCNHLESFYTLRKPVAATINTLAGALYKVFCASTDQARKEMREACFEYLSLGGVCSTGPVALLSGLKPQPLSLVLHFFAVAVYGVGRLLLPFPTPQRLWAGVRLILSASSIIFPIIKAEGVRQMFFPATLPAYYKAPPVDGISNGAAYKNGFY >KZN00449 pep chromosome:ASM162521v1:3:5365424:5366137:-1 gene:DCAR_009203 transcript:KZN00449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGDWMCGSCQYLNFKRWDSCQRCRFPKVGGEACNMSSYGMSKPEGLPGDWYCHEIRCGAHNYASRASCYRCGVPKEDYSGHGAGIMGSGGYEYNNTVPPGWKAGDWVCNRVGCGIHNYASRTECYKCKTPREYGKQSRPLDLLVHDISCLNVITLQNVFFQVVQSNKVD >KZN02208 pep chromosome:ASM162521v1:3:30905297:30907822:1 gene:DCAR_010962 transcript:KZN02208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQNFIVLLTITLFQILFGLDKVLVSSQCLADQKSLLLQFKDSLNFNSTLSTKLVTWNPSTDCCDWEGVTCSISKNSGHVIGLDVSSEYISGCQLNITSNSLSGLRYLERLNLGFNNFNASEFPEGLSSLTSLSYLNLSTAGFGGNFPSSIANLTQLVYLDFWTNNFSGTISSTHFENLVNLEYIDLGLNLLSGTIPSSLFGLPSLRKLFLSYNDFHGSLPNFTNTQSSQLNTFYASWNNLSGPIPISLFDLKSLGSSSLSHNQLSGEFQLQKLHRFKNLTYINFSFNNLSVESRHDNSSIFLPPLLKEFKMASCKIQHFPDLRHLPSLVTVDLAENQISGDIPNWIWNVGNGGLQYLNLSLNQFDKLQEPFVIHNVSWIDLRSNNLRGDIPVPPNNVLFADYSDNFFNSTIPTNINLTSAIFFSVSSNLLSGTIPVSVCNAPNMKFLDLSNNYLVGGIPSCVFEFGQTLGVLRLGNNSLTGNISGIFRSDCGIETLDLHGNLLQGKVPESLANCLKLEVLNLGNNQISDSFPCFLQDSPRLHVLVLHSNAFHGEIMCQERDQSWENLQIYDIASNYFTGNLSQNFPAWKAMKDGSQIQTFPESSFEENKGLCGPPLHKCSGVPVITEDYDDEDPGNNIKWEFVAPEVGFAVGLGIIILPLIFNKRWRYFYYKHVDQILSRVFKMKHPSEKFSDRIRSRGSRIQRDRS >KZN02769 pep chromosome:ASM162521v1:3:37060229:37061492:1 gene:DCAR_011524 transcript:KZN02769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPLQYSFSPLSRGQKLTSDRLLLLQPLLIPSLSSNRRCFRLLATQTTSGQPKPKPGEDTRIHWENEDEGWIGGGYSQTRKKLEPEEKKRNLLDDNFSDLLNSSTDSHYQFLGVSAEADIEEIKSAYRRLSKEYHPDTTSLPLKAASEKFMKLRDIYDTLCDEEKRRFYDWTLAQETASREAEKMRIKLEDPYMQQIRNFESVPDMVDRLGGRNMELSGQAKSALTFDILIIIFSICSIAYVLIFKEPYY >KZN02621 pep chromosome:ASM162521v1:3:35260174:35263267:1 gene:DCAR_011375 transcript:KZN02621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPLQAVILQMFPQAFLLQTKMWRNIVPAWGTFETRMGFFVLGQSFNSCFNNTKEQSADYMRYRRQLESMHNAELAQPIVMPGNKRYRILILGEDSTKAYKFVLTDRAAKRLIGSSATKLIAYMAWSFRLYDFCDQFSMALRLDHQLCGFPV >KZN01738 pep chromosome:ASM162521v1:3:24020267:24021773:1 gene:DCAR_010492 transcript:KZN01738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTAPAASQIIAKLNLQPHPEGGFFTETFRDTSIILSRSHLPCQYKVDRAVSTNIYFLMPSGNVSHLHRIPCSETWNFYLGEPLTVVEMNEADSSVKLTELGSDIIQENQVLQYTVPPNVWFGAFPTKDFNITTNNTVEKNPPRDAEKHFSLVGCTCAPAFEFVDFELAKGSDLISQFPAHRDLVSLLTLPG >KZN02776 pep chromosome:ASM162521v1:3:37186372:37193738:-1 gene:DCAR_011531 transcript:KZN02776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVFALVILFFSGFLDFPTAHSSIPSAYNKSLLLPKSASHPFKDLFGAYKSWDSQVGCNKFRHTHQESNNDSVSFSSLQDVFGEIKCSVLKIKHVAVLVKGWTWVPDNLDNLYSCRCGLSCLWTKSDVLADKPDAVLFETTTPPLMRKSGDPLRVYMDLEAGRKRSGLEDLFISYHAKDDVQATYAGGLFHNNRNYQLSSSKNNDVLVYWSSSRCLPKRNQLAKSLLRLLPHHSFGKCLNNVGGRNMALSFYPECAKDPNEPPKWWDHLHCAMSHYKFVLAIENTETESYVTEKLFYALDSGAVPIYFGAPNVWDFVPPHSIIDGSKFGSMEELASYVKALANDPVAYAEYHAWRRCGVLGNYHRTRAASLDTLPCRLCEAVSKKGGINAKPF >KZN01860 pep chromosome:ASM162521v1:3:26216918:26217091:-1 gene:DCAR_010614 transcript:KZN01860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTRSCIEVCVTQPNDVEDEVSNGGGANDVVDVEWKTCGEESNGEYENRAIGDETT >KZN02765 pep chromosome:ASM162521v1:3:37018852:37021825:-1 gene:DCAR_011520 transcript:KZN02765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVRNLQVPLITPYKMGNFHLSHRLVLAPLTRMRSYGNVPQPHAILYYSQRTTKGGLLIAEATVISDTAIGYPDVPGIWKNEQVEAWKPIVDAVHAKGGIFFSQIWHTGRVSNTGFQPNGQAPISCTDKSIGPSSNGSHYSPPRRLSTKEIPQIINDFRLAAKNAMEAGFDGVEIHGAHGFLIDQFMKDQVNDRTDEYGGSLENRCRFALEVVKAVSEEIGADRVGIRLSPFSDYMESGDSNPEALGLYMAESLNKYSILYLHVVEPRMKTMEEKFKCSESLVPMRKAFNGTFIVAGGYDREDGNNAVAENHADLVAYGRLFLANPDLLKRFELDAPLNKYDRNTFYTSDPVAGYTDYPFLEEATSA >KZN01393 pep chromosome:ASM162521v1:3:15402129:15403252:-1 gene:DCAR_010147 transcript:KZN01393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTQTESSAEMVIETLTLKQLSEKTDTKYLKFETTKSYPADIKQLKGKDIILKIELSDDNIMLKSSIYNATDAYDCGASLTSESVSVTASGSSSENVEINAEALVGNENTPGSAKSTSKKIKMSGNMKKAM >KZN03390 pep chromosome:ASM162521v1:3:44240128:44240910:1 gene:DCAR_012146 transcript:KZN03390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQEVKLFGVWESPFSKRVEIALKIKGVEYENVEEDLSNKSPQLLKYNPVHQKVPVLLHNGKPIVESLLILEYIDETWKSGPSILPSDPYERATSRFWANLLDDKLIPAAMKFIKSKGKEQEVIDEICELLSKLENELGDKKFFAGESIGLVDIAANIVALWLDVIQEAVGTKIFTKEAYPKLFKWIDEYMNCSVIKETLPLKADLLVHFAQSGHA >KZN01752 pep chromosome:ASM162521v1:3:24543137:24547376:1 gene:DCAR_010506 transcript:KZN01752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKQKWTAEEEEALRAGVAKHGTGKWKNIQKDPEFNHHLYTRSNIDLKDKWRNMSVSAGGQGPRDKSSRLIKPKSESDAADASYTAPTPLLLTNIHTPAASSTPPVTASSTAPAPASSISPTHDALPAPANDASKCSLDVKTASKYDNMIYDALKNLNDPNGSDATAIVSFIEQKQEVPQNFRRLLVSRLRRLVTQEKLEKVHNCYRTKNDAAPGAKGPTPKPKDIRPRQPQPTSYLPDTVHDAAKNAAFKIAVADNKESVAAEAGKESDRINKMTEDAEMLLKVAEDIFDRCSRGEIVMVS >KZN00616 pep chromosome:ASM162521v1:3:6958538:6959444:-1 gene:DCAR_009370 transcript:KZN00616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYCFTIKNLAVFALALTFCIQGTLGSIACEDLSKESCAYAISSSGKRCVLEQRVRRSGEEAFTCGTSEIVADKLKDWIETEECIRACGLERNVLGISSDTLLEPHFTRKLCSRRCYKSCPNIIDLYFNLAAGEGLYLPKLCASRVKNARRGMAEIRSSGQVAAGPVTAGKFMVAEGPNAEEFMEEEAEAPATDTMFMEEEEALAPAF >KZN02129 pep chromosome:ASM162521v1:3:29994248:29996960:-1 gene:DCAR_010883 transcript:KZN02129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKYLLFSLLIICSIGCALSLQIGETCSSSDDSTCDEGLICGTCPANGNTRPRCTRVQPINPTSKVKNLPFNRYSWLTTHNSFAIVDSKSYTSSRILAPTNQEHSVTTQLQNGVRGLMLDMYDFNNDIWLCHSFAGTCLNVTAFQPAINTLREIQVFLEANPSEIITIFIEDYVTSPQGLTKVFNASGLSKFMFPLSRMPKGGADWPRVDDMVRQNQRLVVFTSKSSKEKTEGIAYEWRYVVENRYGRSGMITGSCPHRSQSSPLNTKAISLVLQNYFPSNPNITKACVDNSAPLLSMMDACQKAAGRRFPNFIAVDFYQRSDGGGAPEAVDQANGQLTCGCASIAYCKENATFGTCDIPRLSPPPPAALTPESVSKSPSSAASDTMLAKRRWLIAAILLTVLLCWS >KZN03952 pep chromosome:ASM162521v1:3:50140404:50143977:-1 gene:DCAR_012708 transcript:KZN03952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQMQMQTQTHLIFLLLFLVLSSSTTTAHPQQHRRILHQPFFPQDSIPPSQPPNLPSTTTTTTTPPADQLPFFPTYPSPPPPPTPTTTTTSSSVPANVSSLSLPRPSSPKPISKKLIFTAVAAVIAAISVVAVVILLHIRKRQNQNLPNTHQKPQTPSNLSSVSSIPTNPIPRIPPRKSPQTSSEFLYLGTLANTNISNNTNTQHSNDTSSRKMESPDLQPLPPLKLSGQQPQQQNFQNADYSGPLIADEEDENDEFYSPKGSIGTGSRRTLYEPKSFQDERREIESTTSSSTSYTPSDSGGSPVRSVSLSISPPASLSPKHFSTTKSPELTPLQMKDLSDVSSQASELSSVDRVTSTLHPQHLGFTAASSPVSSSPERYISESQASSPTASHVSSPASSHRPSSSPARIHNFADAPSLPPPPPPPLPPTRQSESPKTPGTKMAQPVLRPPVLAAPSRPVTLATPALISPIEMPPQSTDNVDEDTPKLKLKPLHWDKVRASSDRETVWDHLRSSSFKLNEEMMETLFVVNKPTPTVTPNETTWRPVLPSSGQENRVLDPKKSQNVAILLRALNVTIEEVCEALLEGNADNLGTELLESLLKMAPTKEEERKLKEYKDDSPFKLGPAEKFLKSMLDIPFAFRRVEAMLYVSNFDSEVEYLKKSFETLEAACEELRTSRMFLKLLEAVLKTGNRMNVGTNRGDAQAFKLDTLLKLVDIKGADGKTTLLHFVVQEIIRTEGARLSNANQTVQPTSADDAKLRKLGLQVVSSISLDLTNVKKAASMDSEVLSGDVLKLSRGIGKIAEIVRMIQALESDENSRGFSKSMGNFVKMAEEEIIRTQAQESVALSLVKEITEYFHGNSAKEEAHPFRIFLVVRDFLTILDRVCKEVGLINERTIVSSAHKFPVPVNPTMPPGFAGFSERRQYDSSSDESSSP >KZN00458 pep chromosome:ASM162521v1:3:5433859:5439357:1 gene:DCAR_009212 transcript:KZN00458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSTSNGVVANRSNGGRPIVDKEVDFANYFCTYAFLYHQKEMLSDRVRMDAYYNSIFRNKSHFIDKVVLDVGTGSGILAIWAAQAGAKKVYAVEATKMADHARELVRANKLQDVVDVIEGSIEDVILPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPTGAMYPSHARMWLAPIRSGLGDHKMSDYEGSMDDWQYFVDNTKNHYGVDMGVLTKPFSEEQKKYYLQTSLWNNLHPNQVIGTAAIIKEIDCLTVTVEDILVVTASVSSSIIKEETRLCGFSGWFDVHFRGSKENPAEQEIELTTEPSENNTTHWGQQVFLIHPPTHVRSGDDLNINFAMSRSKENHRLMEVDLGCEVKQSSGKLLQSFKHKFFIEMYRSSSAARVSDEFLINLSPAGKGSPSLKPSAADQDLPLYTPVPEGTKKEGGLHQKSSGENAIHLIPVILVLCALVLWLFSHPSTTTTAAERLNS >KZN00748 pep chromosome:ASM162521v1:3:8125832:8126935:1 gene:DCAR_009502 transcript:KZN00748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRKQRESNLANKLNVNEEYKEAFRTQSYAEICSKIQDHIELKSLDNKSTSSSCSSSAIPQFVHFSENLLEPRSHNLDDITKGYDLHPLLIDYFKVTAESCHLCELLLHSIHQARANHDIIARVIMLSKREGEDHDQYCRAIIRELASFALKRNPLSSLSSLQFRDNQDSRNILLHRLMLQCQKITRRIKMRNFFKKIVGCSIVVAYTALTIALLVLAFHAMIGIVATPALLTWFLASDTVNKRIRAIMNEKSEVLFKRNSAERVLAQLDIAAKGVFILINDFNTMSQLVRKLNDEIEHRKAIANMCVRNGKIELLKKVVREFRVEEKGFLEHLQELEEHIYLCFLTINRSRRLVVEELMHGSTIKL >KZN01324 pep chromosome:ASM162521v1:3:14475053:14476285:-1 gene:DCAR_010078 transcript:KZN01324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVLPVYCQLSSANKATAQPQQADTSSVPVQKLDAGRIVLQPRVCTLRSYAAAPAMMKSGNKTNGGDALEDGEVSRFFGTLSNYIESSRKSQDFEIISGRLAMIVFAGTVIMESMTGNSTFRKMDLQGIAEAAGVCVAAITCAATFAYFSSARNRVGRIFTLGCNTFIDALIDQIIDGLFYESELSDWSDET >KZN03284 pep chromosome:ASM162521v1:3:43167619:43172456:-1 gene:DCAR_012040 transcript:KZN03284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAVAVASGFISGTCDHVGGCTAPGSRCSGEVSPVHLFGVYDGHGGSQVAKFCAEKMHLVVAEEFYREAVDGLEWQRRWEETFSSSFKRADSEAQTDGLAPEMVGSTAVVVVLSGCQIIISNCGDSRAVLYRGTETIPLTVDQKPDREDELKRIEEQGGRVINWNGARVFGVLAMSRAIGDWYLRPWIIPVPEITFATRSEDDECLILASDGLWDVMSNQEVGEVARRLLRRRRRLMVDDEGSAAQYVADSLTEMAMGRNSSDNISIIVVDLKPKRKRQQRI >KZN01480 pep chromosome:ASM162521v1:3:16955005:16969555:-1 gene:DCAR_010255 transcript:KZN01480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGNGQGHGPGHDHPTMPAAAAATTTAQPSLMRRASRSSAATTFSMEVFDNEVVPSSLQSIAPILRVATEIQPERPRVAYLCRFYAFEKAHRLDPSSSGRGVRQFKTGLLQRLERDNASSLASRVKKTDAREIESYYGQYYENYVRALDKGEQADRAQLGKAYRTAEVLFEVLCAVNKTEKVEEVAPEIMAAAKDVQSKKEIYAPFNILPLDSAGSSQAIMQLEEVKAAVGALLNTRGLNFPSSFDKQSKSGNLDSDSVRNQREHLILLLANMHIRLHPKPEPLNKLDDRAVDAVMTKLFKNYKTWCKYLGKKHSLRLPQGQQEVQQRKILYMGLYLLIWGEAANVRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDNESFLRKVITPIYRVIDQEAKKSKNGKASHTAWCNYDDLNEYFWSSDCFSLGWPMRDDGDFFKSTRDTHGKHGSQKNPGTLGKSYFAETRSFWHNFRSFDRLWTFFILGLQILFIVAWPEKPLNKVFTSRVLYKMSSIFITAALLRFLQSVLDLILNFPGYHRWKFTDVLRNILKVIISLAWSIALPVCYFRPKNSVNIGSFQDYLSNYDQFNSIPQLYILAVCLYLVPNALAALLFIFPMLRRWIENSDWLIIRLLLWWSQPRIYVGRGMHESQFTLLKYTFFWIALLCCKFSFSYFVTIRPLVKAIKDVMDIKGVKYAWHEFFPNANDNLGAVVSLCAPVVLVYFMDIQIWYAIFSTLCGGAIGAFDRLGEIRTLMMLRSRFQSLPGAFNTYLVPSDNAKRKKFSLSKSFSEITPDRRSEAAKFAQLWNEFICSFREEDLISDREMDMLMVPYTSDPSLKMFQWPPFLLASKIPIALDMAVQFRSRDSDLWKRICADEYMKSAVIECYESFKLVLNALVVGETEKRIIGNIIKEVESNISRNTFLTNFKMGPLPTLCQKFVKLVEILKAADSSKRDTVVLLLQDMLEVVTRDMMVNENREITEYGNSSKDSGRQLFDKSDSRSAIVFPPPVSAQWEEKLRRLYLLLTVKESAIDVPTNLEARRRITFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSRNDLEMENEDGVSIIYYLQKIFPDEWDNFLERVNCSDEEEVFESEENILQLRHWVSLRGQTLCRTIRGMMYYRRALRLQAFLDMADEKEILEGYKAITIPSEEDKKSKRSLYAQLEAVADMKFTYVATCQNYGNQKRQGDRRATDILNLMVNNPSLRVAYIDEVEEREGSKIQKVYYSVLVKAVNNLDQEIYRIKLPGSAKIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKSSRGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSFHFTTTGFYVSAMIVVFTVYAYLYGRIYLALSGLEAAIDKFARHKGDQALKTAMGSQSVAQLGLLMALPMIMEIGLERGFRSAIGDLIIMQLQLAPVFFTFSLGTKVHYYGRTVLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFTKGMEMLVLLIIYLLYGKTARDSVTYMFITFSMWFMVISFLFAPFLFNPSGFEWQKIVEDFDDWSKWISNHGGIGVPAVKSWESWWDEEQEHLQSTGFIGRLIEVVLAIRFFLYQYGVVYHLDVANNNKGIVVYGLSWLVILAVVVVLKIVSMGRKKFSADFQLMFRLLKLVMFFGIIVVLVLLFLFLNLTVGDIFASLLAFLPTGWALLQIAQACRPIVKGLGMWGSVKALARGYDYLMGLLIFLPVAILAWFPFMYDFQSRLLFNQAFSRGLQIQRILAGGKKQK >KZN01722 pep chromosome:ASM162521v1:3:23856985:23858121:1 gene:DCAR_010476 transcript:KZN01722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHRIIYLLMFIAVIRAQTPGRPRGDAVTNFKPSLSVVVGVLAVMFTLTFIFLLCVKFCRHHSSVQNQLNQGRFAQSRSRNSGIDKTVIESLPFFKFCVLKGSRQGLECAVCLSEFQDSEILRLLPTCRHAFHITCVDQWLERHSSCPLCRHRVSSEDLALITYSDSLRLLMNPSDLRQDSNLELFVQREEDNNYFSRGSSFRNSIRDEEVAIQKRSDQSEDSRGVLHKKNHKIIVADVVLLQNRWSSVSTSDLLFLNSEMISEASSNRFPSKEVKYKNFARARDGHGRNGKISFTGVAQNAVKISDLDEKRSMSEIIVHPRFLASTRTDEPLSSKINGNEERQRKLWLLIARRTVEWFANRERGSELSENTIQSHDI >KZN02118 pep chromosome:ASM162521v1:3:29840742:29841371:1 gene:DCAR_010872 transcript:KZN02118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSESAASQSCWDLIDWLKLVPMPKGLRDKLLIWAFFMFLCCYAWERFLRWAFLGKMPVLKKRQRSDK >KZN03011 pep chromosome:ASM162521v1:3:40136120:40147561:-1 gene:DCAR_011767 transcript:KZN03011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIKHGVDVNAADHTGQTTLHWSAVRGAVQVAEILLQEGASVGAADMYGYQLMCLADLELDSINLYDLATRINKVVMLEFLSHGSICVLSLLSRHWFRLDPCNTRGHQNSLEWMPLFLTFMTLGVIKHPDISSVLGVVYIFSRYFYFKGYSTGDPKKRLSVGLWAVDERKLNSVVFSQSVHDDDDDDEDDNDEFFRESASDEYVEKEFSEFSFGFKKYDQDFRETECSVSSVSNYQFMSSRDVSGFVQVPETMSFSVEESFEGFIEKDLILFNGVTRNKDFVEEDLEGLRKEESDQFIDLKVSDLRKDQQVTSQVEFVQQDQGEDSLEESGLKEKEELQSEIDELSQNLEEGTKGSSLESSVDSREIISTRNSGSSDEENLTYNKFSFNDIDDDDEFIELEPRLHHSVDGICNESPADGLDKESPHDNSHNNETPPLNGLENSEERCSEESKSMEWESDEDDEEDDILLEHKYLIEQMKMEAKHSRNGGLPTILEESETTTKIPDDLKPLQLDESFDHSDRMEEIQKFYKSYSEKMRKMDILNRQTMHAISFIQLKEPVKRISSQKSAVSAMKSIFLNNFNQGKLRKIYADQTLKKSMMDLHRDSERVYVGQICISWEILHWQYLKAQELQEHDSQDCHTYNQVAGEFQQFQVLLHRFIEDELFQGPRVQHYVRKRMAILSLLQVPIIKDDRFKNKPVKEEDAISIAVLKQIIEESMRVFWEYLHADKHEDSYVLKRFHSTKLNHQDAAAHIELLMDIKSKLQKKEKRLKEILRSSNCIVKKIQKHQGGRVKHDLLICQVELRLVSRVLHMSRCTTDQLIWCLTKLNKINIVNRKVSVEPSFLLFPC >KZN00831 pep chromosome:ASM162521v1:3:9063015:9063686:1 gene:DCAR_009585 transcript:KZN00831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTVDKLVVFLAKRDGIDKLVKTFQYVSKLVNYQVEVSNPDIASRAKKWEVAAGLSRKAFRTGRFLTGFNAIRRAPGSSKTFQVLAVLANAGEMVYFFFDHFLWLSRIEVLDPKLARRMSFISAFGESFGYIFFIIADLILIREGVRKQKNILMEKPSDTEEEVKKLRIDRTMRLMGVAANVADLIIAVADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >KZN03117 pep chromosome:ASM162521v1:3:41404747:41405871:-1 gene:DCAR_011873 transcript:KZN03117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIMQVLIISILGALMATEFSGSSLIGTVPWLKYLVIGDEAPLRVIQDTVQLLGVTATIPCITLILGGNLAQGKLVT >KZN03698 pep chromosome:ASM162521v1:3:47045331:47045945:1 gene:DCAR_012454 transcript:KZN03698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKNEMNIKNSRKVSICYRLYSFILNTLFSPTLKPVTMGHSLNSDNGHQMLLKDQARNGQDSNLVNSSEILVEFRHHIGSSNQRETGDNSRVDIIRPKEVREAKGGGDFEAISLIKVKGKEPKKIVTIKEFTGKYQKDSSVQQQQEDKSKLPVPKDQPHSVKKHVVPRLLTVDKNINERSDAFIRRKKAAMERGNSSTDDENL >KZN01492 pep chromosome:ASM162521v1:3:17285092:17286600:-1 gene:DCAR_010243 transcript:KZN01492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDLGFDDISFEDHDEKTNFRVLKVSDIEKIQEDAIASVCSLLSVPKDSACILLYHFKWNVESLMETWFSDEERVKKNVGLVKKASFANSLDFTCGICFDVFPAHDKMACSCDHMYCHSCLGTYISISIDEGPGCLSLRCPDPSCKAAVGVDMVSLLVSDEYWKKFRKYFVRSFVEGNSRVKWCPAPDCDAAIEYYGDDDGERGSYEVVCSCSFKFCWRCIEEDHRPIDCDTVVKWIQKNKCEAQNVEWILAYTKPCPKCKRAIEKNMGCMHMTCNRSCGHEFCWTCLGPWSNYHHCNTYKSGQKNKTENAEDKRRQNAKESLERYTHYFERWDANHKSRMKAQTDLEKMQEKNLNILCEKFALTKLRLKFVSEAWEQIIECRRTLKWSYAYGYFIPENKCAKVALFQYLQGQAEVGLERLHQCAEKELIKLLEDHEATADQFDVFRVKLVDLTSVTRNYFSNLVTALENDLCESEHEGQAKRKGRNKVEKRDGKKRKTLV >KZN01156 pep chromosome:ASM162521v1:3:12341305:12342051:-1 gene:DCAR_009910 transcript:KZN01156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSQNKISGAIPAELGNLQNLRVLQLEPNELTGPNEMGNLNQLLKLNLSSNHLTGDIPKSLGKLSKLNYFDLSTNKLKGSIPKDLGNCESTLSLNSFSEEIQSELGNLVQSQITLDLSSNSLSGTIPSNLAKLKVLENLNISHHQLSGKISSSLSTGMISLETIDLSYNNLSGPVPSFPHKVEKVPSIQYLGVGPNRFVQEGFQKRLNINEKIGYEERFLMETHFCVETLKDCPHLRLCLSSHLIPV >KZN02601 pep chromosome:ASM162521v1:3:34973733:34975546:-1 gene:DCAR_011355 transcript:KZN02601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYSPLSLSPISFSLSLPVCFNPEELPSSNPKRALIVWICREIKERETKMKRCAYNNSSSNNSNAAYGCSGGSGGVVCPKPRRVRLLNLSNFQVENSDSRGGSDLLDMILSKGSYGAEKSNNQIPSSPPFFMGSPPTRATNPVVQDAHFGTDKPGSFSPAEASPSSQRKNGGCSRGKFGQTPAAVRIEGFNCGNCSVSAVA >KZN01484 pep chromosome:ASM162521v1:3:17096117:17097696:-1 gene:DCAR_010251 transcript:KZN01484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKLASVLTIVFMVLVACSSVGATTALDVEAPAPSSTTESAEMGTNWLPSEDLQLCVSWARQSVDPITGRYSNKNNLWGRIHQDYAKHWCGTPENPHAKPHSKVALDSHFAPLNRSLKNSNVPKIKLTTQAQGLYFKEMNKTFDKWECYEAVAAHPQYVDVPTTSHPFQRNFPTQVESTINLNSDDCIDEEGFTTPDFNREMESPSSPVRPMGTKASKHAKKKGKQAMTQKEEMFMEIFNSMQCNQKQLVEANIKSDEETLQLSRTKT >KZN01460 pep chromosome:ASM162521v1:3:16510683:16514823:1 gene:DCAR_010214 transcript:KZN01460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATADSMPEALRQSRYHMKKCFSRFVGGGRRLMKHQTLMDEIEESIEDKSERSKVLEGSLGQILSSTQEAAVNPPDVAFAVRQNPGCWEYVKVKANDLSVDAITSTEYLKFKELIYDEKWANDDNSLEVDFGAFDVTTPCLTLPSSIGKGVHFISKIMTTKFSGNPESAMPLVEYLLALNHRGENLIINETLNTVAKLQAALLLADVFLSVLPKDAPYQNFEQKLKEWGFEKGWGDTTERVRDTMRIVAEILQAPDPISMELLFQRLPVTFNVVVLSIHGYFGQSDVLGLPDTGGQVVYILDQVKALEEELLLRIKQQGLSIKPQIIVVTRLIPDAQGTKCNVEMEPIDNTMHSHILRIPFKTDNGILKQWVSSYMILLRSSHHFFSDEQDATEKILKLLDCKPDLIIGNYTDGNIVASLMASRLGVTQGTIAHALEKTKYEDSDLKWKELDPKYHFSCQFMADLIAMNSADFIITSTYQEIAGSKKKAGQYESHAAFTMPGLCRVVSGINVFDPKFNIAPPGAEQSVYFPFTEKEKRFSKFHSAIDQLLFSRNNNNEAIGFLANRKKPIIFSMARLDTVKNITGLVEMYGKNKRLQNLVNLVIVAGFFDPSKSKDREEMVEINKMHSLIEKHQLEGHVRWIAAQTDRYRNGEIYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATIHGGPAEIIVDGISGFHIDPTKGEESSNKIADFFEKCTVDREYWNRLSQDALKRIDECYTWKIYASNVLTMGAIYGVWRQMNEEKKQAKQRYIDMFYSLQFRKLAKTVRFTLEEPSKSAIATTLKPEQPTLTVAEVPSPVELPRQTNITPRKDKPRLTFPSDEPVICPCWWWLRVCLASFITVYWLMKIVAYTRGD >KZN02291 pep chromosome:ASM162521v1:3:31735987:31740260:-1 gene:DCAR_011045 transcript:KZN02291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRHLLTLARRSRRPLESLRPLSTAAIANVTDAAATSTADSAPTLPSPPAPDVMIYDRLAEGLKQKLSKLDSPDSRFLKYNNPHPTLTDHTAVLAAPETRVTTLPNGLRVATESNLSSKTATVGVWIDAGSRFETDTTNGTAHFLEHMIFKGTTRRTARQLEEEIENLGGHLNAYTSREQTTYYAKVLDKDVPQAMDILADILQNSKFEEKRIERERDVILREMEEVLGQTEEVIFDHLHATAFQHSPLGRTILGPVENVKSITKEDLQNYISTHYTPSRMVIAASGAVKHEEIVKHVEGLFTKLSDGSATTSELAAKEPAIFTGSEFRSVDDDVPLAQFAVAFDGASWTDPDSTTLMVMQAMLGAYSKGSSGGKHMGSHLVQRLAINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYSIMYEVTKLCHKVSEDDVVRARNQLKSSLLLHMDGSSPVAEDIGRQLLTYGRRIPYPELFARIDAVDASTVKRAANRFIYDKDIAIAAVGPIQGLPDYNWFRRRTYWNRY >KZN00232 pep chromosome:ASM162521v1:3:3275877:3276512:1 gene:DCAR_008986 transcript:KZN00232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNHEISPQHCAKKGLSIHKRYKKLLYALFTSIFSILSIIFIIYFLLHPSKPEFSLKEVDIYQLNLFTHPQLVNSSIQFTLLSNNPNQKVGIYYDKLVVYASYKKQQITDYTSLEPFYQDHDESNLLTASVTGNGVPVTTSFGHEVLRDTTAGKVVLNIKVNGWLRWKVRSWVSGKYRLNVNCVAIMPLGPSIPSGPLSSRQGTQCSTTM >KZN01923 pep chromosome:ASM162521v1:3:27006269:27008132:1 gene:DCAR_010677 transcript:KZN01923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRVPTRYGERDQARMLEEGYKPDETDEDSTSEPPANTVIQRTSFRDLIENSVTYRDKVVLRIVIFKFSITKVEEEDNWFRICVLADDSTIVTNVILLDRVVKLLAGTTVANILNESKKDSSVSAPSTVFNRIIGREVTVLLQLSKTNVNGDSNLYNVVDLCDATMYETAMVVGLPSRATTSASVDGVSVDAGLELFQTPGSSQSVTKKIKVVWFLSLISKRMSSYDVSSDNVMQESAYTAVDYLQRVDVLFVFLRVC >KZN03061 pep chromosome:ASM162521v1:3:40733668:40737119:-1 gene:DCAR_011817 transcript:KZN03061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGKGWPEPIVRVQSLSDGGATSIPPRYVKPPSDRPSDSSSTSNVNIPIIDFSGLHGDSRIKTLAQISDACRDWGFFQAVNHGVSPQLMDQAQQVWREFFHQPMEIKQSYANSPSTYEGYGSRLGVQKGAILDWSDYYYLHCLPCCLLDQNKWPALPPSLREVVAEYSRQVVKFGGELMKVLSINLGLSENHLQNAFGGEDIGACLRVNFYPKCPQPDLTLGLSSHSDPGGITFLLPDQHVAGLQVRKNDSWITVKPSPHAFIVNIGDQIQVRSLWMRKTIYAFIVKEVVAEYSRQVVKFGGELMKVLSINLGLSENHLQNAFGGEDIGACLRVNFYPKCPQPDLTLGLSSHSDPGGITFLLPDQHVAGLQVRKNDSWITVKPSPHAFIVNIGDQIQVLSNAIYKSVEHRVIVNSNIERISLAFFYNPKSDLPIEPVKELVTSERPAMYMAMSFDEYRLFIRTRGPQGKSQVDSLKSRN >KZN00383 pep chromosome:ASM162521v1:3:4797754:4799980:1 gene:DCAR_009137 transcript:KZN00383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTARASTFFTFTYSPKPNQTYPVYPAQRAGYADLAYSPLLLKHHTHTKLQCISKSIDVKEETTPPVSQDDPTPLEIEQQQEQEELELQSLDKRREEKFAVLNTGISECRSCGYLYDQAAGDPSYPIPPGFSFDKLPEDWRCPTCGAAQSFFENKSVEIAGFAENQQFGLGETYQNIVQHFTCQPSIPAGKITYINPDKSVVVDYAVIPC >KZN03371 pep chromosome:ASM162521v1:3:44098911:44099093:-1 gene:DCAR_012127 transcript:KZN03371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCLSCTLENLPTICRVARIKALIMKAAWSRSYTTPTIPSVPPTTHFGFSYLLVALVTMQ >KZN03890 pep chromosome:ASM162521v1:3:49669677:49670555:1 gene:DCAR_012646 transcript:KZN03890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEVAKNGDALNGAATKTATFSAMKPQLFVEASKANDAVEFYKAAFGAEEISRVTHPKRKADQELPLVISAQLKLGDSVFIVSDDSAAPLKSVTGSVFCLESEEIDVAVEKAVKAGGVSVGEQTEGDGACCGGRVGTVKDPYGNIWMICSPAAKKAGADVEA >KZN02065 pep chromosome:ASM162521v1:3:29115920:29119246:1 gene:DCAR_010819 transcript:KZN02065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRNKFRKPTTLRCNAGSRCTLSVILWTLVGFLIMFHLRYMVQHRAVQGGEDIHLHTSYHPVFRELENVEEESIKVPPPRKRSPRAEKRKPRRPTTLIDEFLDESSQIRHIFFPYLRTAIDPRKDAGNDSFYYYPGRIWLDTDGNPIQAHGGGILYDEMTGTYYWYGEYKDGPTYHAHKRGAARVDIIGVGCYSSKDLWTWKHEGIVLPAEETDENHDLHKSNVLERPKVIFNKQTSKYVMWMHIDDVNYTKASVGVAVSDSPIGPFEYLYSKQPHGFDSRDMTIFKDDDGMAYLIYSSDDNSELHIGPLSSNYLDVTHVVVKALVGQRREAPALFKHEGTYYMITSGCSGWAPNEALAHAAGSIYGPWEIMGNPCMGGNKVFQLTTFFSQSTFVLPVPGVPGLFIFMADRWNPADLRDSRYVWLPLTVGGAVDRPLDYNFGFPLWSRVSIYWHKKWRLPSMWTAVSGQK >KZN00417 pep chromosome:ASM162521v1:3:5187989:5190658:-1 gene:DCAR_009171 transcript:KZN00417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPQHICMKRFFPLGYYMVMLLLIITTFNSWGVADTDSLELDIGLLSKQLLVLAKEEDFFGWLKRIRRRIHEYPELAFEEYKTSQLIRSELDSLGIQYTWPVAKTGVVASIGSGKPPIFSLRADMDALPIQELVEWEHKSKIDGRMHACGHDAHTTMLLGAAKLLQLKQDKLKGSVKLVFQPAEEGRGGAYHMIKEGALDGSQAIFGLHIDPSMPTGTIGSRPGPFLAGAGRFIASIRGEGGHAASPHRTKDPVLAASMAILALQQIVSRQTDPLEAMVVSVGFIDGGQAGNVIPEIVKFGGTYRSMSTDGFAYAQLRIREIIEMQASVHQCTAVVDFMLETMRPYPVTVNDEQMYEHAKSVGEHLLGETNVHLVTGSMGAEDFSFYTQKMAGAFFDIGIQNETLKSGGLHTPRLIIDEDVLPIGAALHAAVAMAYLDAHVGRQSKS >KZN02187 pep chromosome:ASM162521v1:3:30654378:30658683:1 gene:DCAR_010941 transcript:KZN02187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKYGPAFTIKLGSHKVMILSNWEMAKECFLTHDKNFIGRPIVLASKLLGYNLAMFGFAPYSSYWREIRKIVSLELLSVRRIETLKGLRVSEVQTSIREVYKLWVESGSSRIGVLVDMKQWFSNITHNLIMGMVAGKRYYGGSANGKEGEAQKFEKMVRKFGFLFGAFLFSDFIPSLKWLDSKAHKRDMKRTAQELDDFIQGWLEEHKQRRLLRGEGPEENEDFMDVMLTILKDAGIAGFDADTINKATSLNLILAGSDSTTVALIWAVSLLLNNRCMSQSCQVFFSSKPGLEVSAKAQGIVLPEAGGAWPFIGHMHLLGPNQLTHYTLGTMADKYGPAFTIKLGSHKVMVLSNWEMAKECFHTHDKNFAEKPAVLASNLLGYNSAMFAIAPYGSYWRKIRKIVSLELLSVRRIEMLKGLRVSEVQTSIREVYKLWVESGSNRHGVLVDMKQLFANITHNLIMAMVAGKRYYGGRANGKEGEAQKFEKMMRKFGYLFGVFLFSDSIPFLKWLDSRAHKRDMKRTAQELDDFIQGWLEEHKQKRLVRGEGPEGDEDFMDVMLTILNDVEIAGFNADTINKATSLNLILAGSDSTTVALIWAVCLLLNNRHILDKAQAELDNIVGKDRHVDESDIKNLVYLQAIVKETLRLCPPSPVIPLRSAINDCIIKPGYNISAGTRVMVNIWKIHRDASVWSEPNEYKPDRFLTTHKDIDVKGRNFELIPFGSGRRSCPGSALALQSLHLALASFIHCFDMTNISSEDVDMTESFGLTNFKATPLQVFLLPRLQPGLYL >KZN00962 pep chromosome:ASM162521v1:3:10342608:10344086:-1 gene:DCAR_009716 transcript:KZN00962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFWTSLASLMGVWAFCQSLLHTLFPRELRFASIKILQRILHWASSYCYYDITEIDGVNTNELYNAVQLYLSSSASITANRLSLTRGLNSSAISLGISNNDRLIDTYGGIQVIWEHVVKQRQPQTISWRPLPEEKRGFTLRIHKKNKKIVLDSYLGFIMERASEIKRLNQDRLLYTNSRGGPLDPWESVPFKHPSTFDTLAMDPCKKYRIMSDLKDFADGEAFYQRTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDIYDLELTEVQTNSELRKLLMKTSAKSIIVIEDIDCSVNLTNRKKSNGNVVREIGLDGPRPKKMRGEEGANTITLSGLLNFTDGLWSCCGNERIFVFTTNYVEKLDPALLRSGRMDMHIFMSYCSFDSLKILFKNYLEYEEGDIDEDLMKELREVIDEAEMTPADISELLIKNKRDKKKAVWELLEALRFKSEKKKEGNVIQDTRALETSPRQCGGGDQLVKQCQEISVENII >KZN02672 pep chromosome:ASM162521v1:3:35718570:35724616:1 gene:DCAR_011426 transcript:KZN02672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKTDKPTGVGKPDLTPQTTTTLSSILSLRTFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTEKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAALIYWVLRFLSFAVHIREVCVLTAPFFASNTTLVAYFFGKELWDSGAGLVAAALIAVCPGYISRSVAGSYDNEAVAIFALLTTFYLFVKAVKLGSLAWGLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNSMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHQLNDIKLFQAFLRITVTCAVGVGAVALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTQLLRVKNRVASSGPGKGSTGTKASSKGLGDQSLPFQKNAATALLVGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGQRVIFDDYREAYFWLRQNTPQDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELTTEYGKPPGYDRARGVEIGNKDIKLEHLEEAFTTSNWIVRIYKVKPPNNRW >KZN02772 pep chromosome:ASM162521v1:3:37133332:37135724:-1 gene:DCAR_011527 transcript:KZN02772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNGLRSASKLISSTQSSILPKSVNRSFHATSVKRMGGHGHDEPFYMHSKHMYNLDMMKHQALKMSLGVFTAFSIGVGVPIYAVIFQQKKTASG >KZN00527 pep chromosome:ASM162521v1:3:6120591:6121262:-1 gene:DCAR_009281 transcript:KZN00527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIPLKAVTLTHVRYQKGDYFGYFLAWVSLVPVFISLCGFTCHLLFRRELQGLFFAIGLVISQFINELIKSTVKQARPITCELLESCDSHGWPSSHSQFMFFFSVYYTLLTQTKFGILSRNQMGMALFVVWPMAVLTLISRVYLGYHSVVQVFAGATLGALLGGGWFYIVNYKLRSYFPMIEESPIGSVLYFKDTSHIPHVLKFEYENARGARKHSSYKRSE >KZN00969 pep chromosome:ASM162521v1:3:10380950:10382407:1 gene:DCAR_009723 transcript:KZN00969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLGKELVFIASPLIGHLMPFVQLAKLMLNLEPKLSITFILIKLPAQSEVNTFIDTLCSAMMHKRLCFVTLPPLEHKWSSTNRGVIFDELIQYHKPRILHTISQLIVQHGVDAVVVDLLSSTLIELVADEFGIPGYVFFTSGAGFLGVMLRFQTLEDELKEDTGKLKYATTDLRMPGFVQPVPPAVLPSLLADYATWTARFLRYARGCRKAKGILVNTFQELESYPLSSFSVLDSSSWYGKSSIPEIQAVGPVIYQSTPQASDGLMKWLDDQAPSSVVFLCFGSMGSFGVDQVREIAYGIEQSKCPFVWVLRQPPTANQPDFPSEAGNFEDLLPEGFMTRTSGIGKIMGWVPQLAVLSHGAIGGFVSHCGWNSVLESLWCGVPLLAWPLYAEQKLNAFQMVKELGLAVEIPATVPDHDYKDKASVDLLIKAESVEKGIRRLILGEDGKVIRRKVAQMKEKSRENMQEGGSSYESLGNFIRNVM >KZN02733 pep chromosome:ASM162521v1:3:36702933:36715035:-1 gene:DCAR_011488 transcript:KZN02733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKVIDIRILGMIDMKQLIILGLEETIERTLEVIAGHEGDMIEAKKWTVTKMGVIVTIDSTTMSLRGREADMKVLGVLQGDMMLGVHQTDLTGIVADGNGKIHHTGIVVLVAAGDINLHQPLCCLEHLLMYDREEGNTMFDEDKSSFFLGDEATFKKETELAKKLQLLRFGAVRGTEVQTEFDNEEERKVILLVHDIKPRFVDGRIVLTKQAEPVMPLPIKDPTSDMAIISRKGSTLVREVHEKQSMNKSHQRFWELARSKLGDILGVEKTAEHRVVRENQAVVVVGETGSGKTTQLTQYLHEDGYTTNGIVGCTQPRRVDAMSVAKRYMTDGVLLRETLKDADLDKYHVVVMDEAQEKSLNTDVLFGILKKVVAQTVVPIFHIPGRTFPVQTLYSKSPCEDYMEAAVKQAMTLHVTSAPGDILIFMTGQDEIEAACYALSERMEQLVSTSKQAVSKLLILPIYSQLPADLKAKIFQKAEDGARKCIVATNIAEISLTVDGIFYVIHSGYGKMKVYNPRMGMDALQVLPVSRAAADQRAGRAGRTGPVPSVFFSPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNSYRGDWCNDHYLHSKGLKKAREVRSQLLDILKTLKIPPTSCGPDWDIVRKAIFSAYFHNAARLKGIGEYVNCRNGMPCHLYPSSALQGLGYIHVGAQKESKSTMEEQKEKMKKDEAEKGRRSREENNRKDQSSSKFQCQVNAKLRCSLIGEKLECLNEVLTVVSMLSVPSVFFSPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNSYRGDWCNDHYLHSKGLKKAREVRSQLLDILKTLKIPPTSCGPDWDIVRKAIFSAYFHNAARLKGIGEYVNCRNGMPCHLYPSSALYGLSYTPDYVVYHELNLTTKEYIQCATSVEPEWLAELGPMFFSVKDSDTSMLEHKKNQNPPWRSRRRK >KZN01775 pep chromosome:ASM162521v1:3:24967968:24968960:1 gene:DCAR_010529 transcript:KZN01775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGKKIRIGYALRPRTSECLIKQSLLDHANNQGIQLVPIHATQPLIQQGPFDAIIHKLYDEEWNRMLTTFSAENPDVVIVDSPSAVEKLHNRVSMLEAVGRMNNRANTTTPVTIPEQVVVHDSILLSDLSVFQALKFPVIAKPLLANASSNSHQMSLVFNNNGLKELEAPVILQQFVNHGGVIFKVYVAGKHVKCVLRNSLPDISQEKLNNSTGNVMPFSQISNLCPNLSGEVEMPAKGLVEQVGQGLRQALNLNLFNFDLIRDSAHKDRYFVIDINYFPGYEKLPSYEALLTDFFKDLITNIHHAPFKGSSEPALFADAAEPAVLCQP >KZN02447 pep chromosome:ASM162521v1:3:33559824:33565326:-1 gene:DCAR_011201 transcript:KZN02447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLICRVLESSYNLLFGKLALQCLFDDYFDEARHFSTRFMLKPIDDPHVDMVATVSGPLDHKPDDNIVGNAEFRWQSDVDDPHTFMDLFVSNSDPILRMRSCAYYPKYGFGAFGIFPLLLKSRESFGDQGLMGLRYGSTNLSFGTTFKPSILPGDIPNSAWLVSKIGRLTAGLQYEPQIGKKDEAKINDLTNWSCAVGYGVGSGCPLSPSFNFCLELAKDSEFIASFYQHVVVQRRVKNPMEENEVVGITNYIDVGFELKTRVDNDKAKSGMHDSTFQVAASWQANKNFLLKGKVGPLGSSLALAFKSWWKPAFTFSMSAKRDRADGKTAFGFGFRVDNVREASYQRADPNFVMLTPNKEHLAEGIQWKMGERPMFESDVSSGNFAGIPRELRPLAKIL >KZN03838 pep chromosome:ASM162521v1:3:48859413:48868429:-1 gene:DCAR_012594 transcript:KZN03838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLLLEINQAISDLSAKRRRFSATNSPSEALHGLPILELSSICINLTLLLVFLFIVSAKQVYLCLGRIRVDKEGSNGNSVPIRRRDSEIQSIVIGNWYKATAFCCFYVLFVQVLVLGYDGIGLIRESTEKRSRNWTLVLSPAAQSLAWLALSFSTLYCKFRPSEKFPLLLRVWWGASFVICLCTLYADGNKFLTKGSKLFNSHVLANFALTPALAFLSLAASRGDTGIQVCRNSDLQEPLLVEEEAGCLRVTPYNEAGIFSLATLSWLNPVLSLGAKRPLELKDIPLLAPKDRSKTNYKVLNSNWEKLKAEDPTGQPYLAWAILKSFWKEAAVNAIFAGLNTLVSYVGPYLISYFVDYLGGIETFPHEGYILAGVFFAAKLVETLTTRQWYLGVDILGMHVRSALTAMVYKKGLRLSSAAKQGHSSGEIVNYMAVDVQRVGDYSWYLHDIWMLPMQIVLALAILYKNVGIATVATLIATIISIVATIPLARFQENYQDNLMSAKDERMRKTSECLRNMRILKLQAWEERYRLKLEEMRGVEFKWLRKALYSQAFITFIFWSSPIFVSAVTFGTAILLGDKLTAGAVLSALATFRILQEPLRNFPDLVAMMAQTKVSLDRITGFLQDEELQDDAITVLPRGSTNVAIEIKDGEFCWDGSSSSITLSGIQMKVEKGMCVAVCGMVGSGKSSFLSCILGEIPKISGEVRVCGSSAYVSQSAWIQSGNIEENILFGSPMDKAKYKSVIHACSLEKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYIMTALATKTVVYVTHQVEFLPAADLILVIKEGRIIQAGKYDELLQAGTDFDALVSAHHEAIEAMDIPNQSSEDSDDHHPLEGSILLSKKCESIGGNLESLGKEVQEVGSTSDLKPIKEKKKAKRSRKKQLVQEEERERGKISMKVYLSYMAAAYKGLLIPLIILAQTLFQVLQIASNWWMAWANPQTKGDQAKASNMVLIVVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLRNVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLIGIVGVMTQVTWQILLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNIYLLDCFARPFFCSLSAIEWLCLRMELLSTFVFAFCMFLLVTIPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCNIPSEAPPVIEDNRPPTLWPEEGTIELIDLKVRYKENLPVVLHGVSCRFPGGMKIGIVGRTGSGKSTLIQALFRMIEPEGGSIVIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRANLDPLSEHSDAEIWEALDKSQLGEIVRHKEQKLDAPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDSATDNLIQKIIRTEFKDCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDAPARLLEDKSSMFLKLLLLIPETTAREAASFGLLRNMEGQEYRIIIEQTSSNRLIMTLETSHGELAGIGMESRNSGATMVARRSSSSDDSGDYQCININVNNNVQGINNSIFVGSKVNIGDSGVWLALKDVKVGTRETSELGYFCIAIIVVAMIAFLWSF >KZN00027 pep chromosome:ASM162521v1:3:1226385:1231879:-1 gene:DCAR_008781 transcript:KZN00027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPKISRPTPPEDSPPANVIVAVKAEKVISRTALAWTLTHVVRSGDCIILLAVFPAQKTGRKFWSFPKLRGDNRNDDKSKLPDQVLQISESCSQMVLQFRDQIEVIVRIKVVLGTPSGVVAAEAKRNEATWVILDKKLKQERAHCIEELQCNIVVMKGSQPKVVRLNLASSDDIQTPFISASSSPDSSMLQGYRKRHSTPSSSPEEPRTSYTRSSGEISVSSTDTMAYFVYEQNPLFERPYKVKHAQLRMNESESPHTTVYSAEERVIALSTAQKSYINGTPKKVIWIPQNHVIDQQEPRIKLSRNYPQTGPANNKVELHKHFQFNQDASVARAKLQQTQDHVDISSIREAVSLARTFSTPPPLCSLCQHKAPAFGKPPIRFHYEELQEATSGFSELNFLAEGVFGLVHKGILKNGQVVAIKQLKFSRSQEDADFCKEVRMLNCAQHRNVVLLVGFCIQGKRRALVYEYICNNSLDFHLHENKKMPLQWHTRLKIASGTARGLRYLHEDCRVGCIAHRDMRPSNILLTHNFEPLVADVGVLRLHTELDIFCEEQNIETLRYLAPEYFNGGNITEKADIYAFGLVLLELITGQRTVELQCYKGEHHSCSEKIYPLPSLEVVNLFTNIHQLLDPSLHSHQHGDLPSEVQAMGQAAFLCLRQDPESRPPMSKILRVLEGGEAVMPLGLDLQSVGTRSAHMSSLISNTQPKSRMSHSRQLSQ >KZN01911 pep chromosome:ASM162521v1:3:26798758:26798979:-1 gene:DCAR_010665 transcript:KZN01911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFNPSYPQKIHPQPNYAQDPQIQTIIPPHHRFVELRRVHKNTLDRIQICDRDLSTRSGGVLDQAAERNRIA >KZN03671 pep chromosome:ASM162521v1:3:46777751:46780361:1 gene:DCAR_012427 transcript:KZN03671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAKNKWWLVENNNAMKATRSSWLQSTLSDLDEKTKAMLKIVEEDADSFAQRAEMYYRKRPELISMVEEFYRSHRSLAERYDQLKSDSGSRLATPWAPSLSFTKYRGDKLICSTERSYDSYSETYEPEESSGDSEVDDPEPVEESKCDNEVDNEVSSEDRSESEEVLKLREEIAKLKEENKLQEESLLLKDEEKREAIRQLSFTVDMLKEDNMKLRKLVAAKETPKKQSPIDFIKSNEGFWGKIFNGSPQRQVSVVRSLELV >KZN00811 pep chromosome:ASM162521v1:3:8903322:8903708:-1 gene:DCAR_009565 transcript:KZN00811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWWWSVLGAAVPAVVGGQAWRMKNRRAEVQRLKSVRGREKSSDEIFVCERVCTSKRMLKKVGAFSKEPTPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDTCLKRCQSECLRLSSSASTTS >KZN00064 pep chromosome:ASM162521v1:3:1569094:1569478:1 gene:DCAR_008818 transcript:KZN00064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTCCCMYEYYGYCFAWGCCPLEGASCCDDGYSCCPHDYPVCNVRAGTCSMSNNNPLGVKAIQRILATPNWQHGSKGKKVTA >KZN03751 pep chromosome:ASM162521v1:3:47652716:47655307:1 gene:DCAR_012507 transcript:KZN03751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLTSLTEEASCASFASLYPLAPSNIVSPATDQQPQKIKKKRNLPGNPGNTIFASKKDSFVTHRAFCDALAEETARLSAANNVPILMSSSTTSPLLATPPPPLPDQPQQHHPFSILSNPNFFSTKLPEEHKQKNVENDPQFRFSLSPCDDLLTRNPNLSPNYGLNNMIKRETNEISHINSSPLSTFYQDITTPPAYTNSMMTSSPFRSLPQGLATSSAHLSATALLQKAATMGPKPATMSRNVGHVGSPIAPDYADNYATWQHKSEHGMTRDFLGLTGSGNSRNVDVNVNVNVNGRNLVSFTRGIELPPYQHDHSRMRTHLGFGAERRPGSETWGNY >KZN03766 pep chromosome:ASM162521v1:3:47917053:47918144:1 gene:DCAR_012522 transcript:KZN03766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDLADITTGMIKRSTMSFTCSSYNSMSTSDHSKIPQVEQCDVDVEADERNSCSQLEFSQDTKKPAAAELERSDDEVFEITDKFMNLQLCATMVRDIYKNLRASEVQKRPSTDYMVKVQRDISITARAILVDWLVDVAETYRLDSETLYLTVNYLDRYLSGNTTDTETFQLLGVACLMIASKYEDIDSPSLTMFCDITDNTYTEDEVLQMESSVLNFLKYELTVPTVRSFLSLFVCADQAVTEDQWIEFELECLSSYLAELSLLDYRMLCYAPSMIAASSVFLAKYMLFPSRRPWNSELQQYTLYKPSDVLKCVRALHCLCCDSEVRSSLPAIRVKYSRHEFECVAMKFCRPWIPQEYFQDL >KZN00940 pep chromosome:ASM162521v1:3:10112923:10119472:1 gene:DCAR_009694 transcript:KZN00940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLFCCFRGRRKKIHPKDGLRVGSPVIHNSLSSVNSFSAESEDSLAVDLEAEALEVSQEDTSTILPVPPGRVRGPTPKFTAGKRFFGRSANVNRYTIKPDNSLTEEKVPEEKAAGEKAEEEKASEEEVSEEKVSEEKVSEEEEKVSKEKESEPTDAPPPDSPAKLPLPPGKLPPPPGKLPPPPGKLPPPPGRVPPPAPTSDPKSPAAPKPAPPVPGSAATTTEPASRGPQPAAASPPPPPPSNNLAPRAPPPPKIGQAPPNPPKAGNMPKPPNVKGRSSANKETSDSGSQKAKLKPFFWDKVLANPERSMVWNELKAGSFQFNEEMMEDLFGYNKVENKKNDHDSKKQPAKAAPKFIQIIDPKKAQNLSILLKALNVTTEEVCDAIQEVDVLPNYCIVREHRNSSLVLLQLRLCERGVGDSACIMNKCCAKGDGGLVASTRMGFRRRSPIRRVRGRRHIGAGAGKSPKRSGGNELPPELIETLLKMAPTSQEELQLRLYSDDISKLGPADRFIKILVDIPFAYQRLEALLFMCTLQNESFSIKEYFAVLEVACKELKNSRLFLKLLEAVLKTGNRMNDGTFRGGAKAFKLDTLLKLSDVKGADGKTTLLHFVVLEIIRTEGKRAARIVRENGIMNGIKAEDLIEETPENLFNLGLDVVSNLSDELAHVKKAAVLDVDMVTSTVAKLALSLNQSKKLLNNELSGLEEECEFRQTLAEFIEQADGDILWMLEEEKKMTALVKSTADYFHGTPGKDEGMRLFLVVRDFLVMVDKVCQEVKKSGLDQLKTPKKEAQSASTSQAQQKQQK >KZN02995 pep chromosome:ASM162521v1:3:39982477:39985694:1 gene:DCAR_011751 transcript:KZN02995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLLLTLCLMMIMTSSIARASSDDDRKAYIVYMGDRLKADLHGPSIHRNMLEQVLGSNASSSLLHSYTRSFNGFVVKLSSEEMLQVAAMNGVVSVFPNRKKQLHTTRTWDFMGFPQEADRTNTGSDVIIGVFDTGIWPESDSFDDTGFGLPPVTWKGICQTSNITCNNKIVGARYYKSDGVYGPQDFVSPRDSEGHGTHVASTAAGGVVNTAGLIGLAAGTARGAVPSARLAIYKVCWSAICEDADILAAFDDAIEDGVNIISISAGGEPSLNYFNDSIAIGSFHAMKHGILTSTSAGNDGPDLGTISNYSPWHLSVAASTIDRKFFTKLKLGNNKVYQGVSVNTFDMKNVTYPIIYGGNAPNVTGGFNGSSSRYCETDSLDSTLVKGKILLCDVLNDGEPALQAGAAGIVMQGDEPAKDFAVSFPLPATFLSADDGLNVFNYINRTSNPIGTILKSNEENDTFAPYVASFSSRGPNPVTFDILKPDIAAPGVDILAAWSSVSPVSRVKSDQRRVPYNIISGTSMACPHATGVAAYIKSFHPSWSPAAIKSAIITTAFPMNATTSLDNEFGYGAGHINPARAINPGLVYDAGEIDYVKFLCAQGYSNKHLQIVTGDNSTCSEATNGTVWDLNLPSFALAASLPARYVNQTFQRTVMNVGSPGCTYKGTVTGSSTLNIQSEPSVLSFTHIGQKLSFVVKIEGRLIESIVSASLVWDDGLHQVRSPIVVYATS >KZN01176 pep chromosome:ASM162521v1:3:12623868:12627064:1 gene:DCAR_009930 transcript:KZN01176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGKCRGWFGWILVLIVLGVIAFAIYMVIHEKNLFSDKDSAAPVPGAPGAINRKYSDALKVALQFFDVQKSGKLVDNKINWRGDSALDDGKEAKVDLSKGLYDAGDHMKFGFPMAFTATILSWSILEYGDQMEAVGQLEPAQNSLRWITDYLVNAHASDDVLYVQVGDPEADHKCWNRPEDMTEKRPLSQVNTSAPGSDVAAETAAAMASASLVFKSSDSTYSSSLLKHAQQLFTFADKNRGAYSESLPDVQTYYNSTSYGDELLWAAAWLYHATGNQTYMAYVTGKNGRSFARWGNPTWFSWDDKLPGAHVLLSRVGFFGDESRSDNVKKYRETAEAIMCNILPKSPKASDSRTESGLIWVSEWNSLQQPMASALLTVIFSDYMLSSRTAKITCDDDSFSPLDLRQFAMSQVDYVLGNNPMKMSYLVGYGDKYPKYVHHRGASIPADEKPTCSEGWKWLDSKEPNPNVAVGALVGGPFLNETYIDSRNNSMQAEPSTYNSALIAGLLSGLVTTSSVVRSFT >KZN03401 pep chromosome:ASM162521v1:3:44282612:44284155:-1 gene:DCAR_012157 transcript:KZN03401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEMNCVDLERGGGQDSSVLDDDDEESICFSEADEGSCYSQFYSTADGSIDGYNYDSEIDEVLHSRRVSSVVGSDCSVDMENGVHEFKIFLERMERDCRICQLSIRGEGDGSDLESGDAIELGCSCKNDLAVAHQHCAEAWFKIKGNKICEICHSIAQNVVGPIETESVQQIREVSAIPTNAASVPVSSRSDSRAFLIGHRFVNILLACIVFAFFLSWLFHFHMPS >KZN02726 pep chromosome:ASM162521v1:3:36526522:36534779:1 gene:DCAR_011481 transcript:KZN02726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNKELTPLQSPSTSTSPTQLQVEDPHHLSKSPVPPNSSTRKDTYANSSKIYRLIPGSQNENQSGNKNTIKNSDQKCVVLFPVAVTFFVTWWFIQFFDGFFSPIYERLGVEIFDQNTTAFKEVAIIRHPRLGEYAFGFITSTVVLQKENGDEELCSVFVPTNHLYIGDVFLVNSAEIIRPNLSIREGIEIIVSVGMSMPQVISPLERVRRQNDRIPPNGMI >KZN00160 pep chromosome:ASM162521v1:3:2561055:2575855:1 gene:DCAR_008914 transcript:KZN00160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIHNAIVAAGLQNQIKVSTATYSGLTSGFPPSQGAFRDNVQGFIVPIIQFLAQNNLPLLANIYPYFSYLGTPQGDLQYALFTAPNVVVTDPVGNRQYRNLFDALLDTLYSAVEKSGGPNIEIVVSESGWPSSGGTEASVQNAGTYYRNLINHVKGNGTPKRQGRPIETYLFAMYDENLKGGAETEKHFGLFNPTNQQPKYQLNTDNDEEEEEYVPTTPWFTEETTSNKFANSSGASCGRCGQEGAQSIGVCYGRNGNNLPNAQATINLFRANGIGRMRLYDPVQPVLQALRGSNIELILDVPNTQLRSLQNPAAARTWVRDNVQNYIPGVRFRYIAVGNEVDPNNGGTSQYVNLVLPAMRNIHDAIVAAGLQNQIKVSTATYSGLTSGFPPSQGAFRDNVKGFIEPIIRFLAQNNLPLLANIYPYFSYLGTPQGDLQYALFTAPNVVVTDSVGNRQYRNLFDALLDTLYSAVERSGGPNIEIVVSESGWPSSGGTEASAQNAGTYYRNLINHVRQNGTPKRQGRPIETYLFAMYDENLKGGAETEKHFGLFNPTNQQPKYQLSHLGAPQKNLQYALFTSPNVLVTDPFGNRPYWNLFDALLDTIYSAVERSGCPNVEIIVSESGWPSSGAQSVGVCYGRDGDNLPNEQRTIDLFRDNGIGRMRLYEPVPAALQALRGSNIEVILGVQNPQLQSLQTAEGASAWVRDNVQNYMPGVKFRYIAVGNEVDPNSGNAPFVGLLLPAIKNVHQAIVDAGLQDQIKVSTATYSAVVQGFPPSQGSFKNKDFMEPIIQFLAQNNLPLLANIYPYFSNLYNSKKDLPYALFTAPNVVVTDPVENREYRNLFDALLDTMYSAVESSGGQNIEIVVSESGWPSSDGADATPQNAETYYKNLIEHVKGNGTPKRQGKAIETYLFAMYDENLKGGDATEKFFGLFNPENQQPKYQLSF >KZN00248 pep chromosome:ASM162521v1:3:3423789:3431205:1 gene:DCAR_009002 transcript:KZN00248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIKGFRISRAREEIWKCASLYSGMMKLESFLVDVVKVRSFHCRGQGDGGGVAGVSNGDLDVGKLSVAIKNLKQRFVVSSSYEVKGGNFFRGYSSRSGKDPNISRDFLVQLWVDDRKQSKIKQKRKQIRESSVNVNDEETVYDNPASLQQVFGKLFSKAFVTDEKTSEPEKPILKQPPPSQRMTGPLKPATSLEAQVAPLLARSTLLITRDIEWANLMLGFEQENRYGIVDVSYPGTLLRTRRPFVAHITDASGNELFRVRRPFWWINSSIYAEINGKEVGVVHRRWHLWRRIYDLYLGNEQFAVVENPGFWNWTFTLKDVNGEVLAQIDRDWRGFGFEILTDAGQYVIRFGTSDIVLKSGPASLTEELQVVRPLTLSERAVAVALAVSLDNDYFSRHGGWGLPFIGIDE >KZN00372 pep chromosome:ASM162521v1:3:4585736:4588269:1 gene:DCAR_009126 transcript:KZN00372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPAVLQQNREAAGGAVKPKNMAGGEVRNRRALGDIGNLVTVRGIDGKKQPIPQASRPVTRSFCAQLLANAQAVEKNKKQRAIVGDGALEVKHVTKAAVPKAVVHQKKVNVKPKTEDVTVISPDTEEEGKKVDKNLNKKATEGSSKEKKGQAFTSTLTARSKAACGLTKKPKVQIVDIDAADANNELAAVEYVEDMYKFYKLVENETMVFDYIHSQPEINEKMRAILVDWLIEVHNKFDLMPETLYLTINIIDRYLARKTVPRKELQLLGISSMLTASKYEEIWAPEVNDFTKISDNAYTSQQVLVMEKKILGGLEWNLTVPTPYVFLVRFIKASLPNEPAVENMTYFLAELGILNYATILYCPSMIAASAVYGARCTLNKTPFWNDTLTLHTGFSEPQLMECAKALVRFHSCAAENKLKAIHKKYSNAERGAVALLPPAKALLTVAAAAPH >KZN03163 pep chromosome:ASM162521v1:3:41859434:41860078:1 gene:DCAR_011919 transcript:KZN03163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHGRGKVHGIRHIVRLKEILHKWHHATTGHKVGHNNSADPTSPGGISPAINRRLKGCNVYVDLDEENCQSPDPPCDIPKGFLAVYVGPEQRRFIIPTSYLSDPLFKKLLDKVEEEFGFDHCGALTIPCETETFKYLLNCMENHQKEQCEHENAERSLENKD >KZN03769 pep chromosome:ASM162521v1:3:47932210:47932545:-1 gene:DCAR_012525 transcript:KZN03769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSIALLALFVIVLALVRPYQACRLLYEEEILAKNARNFVNFNDNLHLQALQKGSVRPPGPNGCSYVRGSKNPCKTNGQKFAGKRTTALPPSLQRHAYPQALSEFGVAS >KZM99965 pep chromosome:ASM162521v1:3:523204:525362:1 gene:DCAR_008720 transcript:KZM99965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSECTSGINVVAMTVAMANSSLFSPVAAALPKPSFGGGGGYIAISRNNSIKNIDINNGERVKRVHAWVETMRASSPSRKSSLSQTDDHKTWMIQHPSALGKFEEIIEASKGKQIVVFLDYDGTLSPIVEDPEKAFMTSEMREAVRDVAKYFPTAIVSGRCRAKVHNFVKLSELFYAGSHGMDIKGPANSNHGILFQPPKEFVLMMNNVYKILLEKTKLIPGAKVENNKFCLSVHFRRVDENLWVDLAETVKSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLQSLGYENSENVLPIYLGDDRTDEDAFKVLRKRGQGLGILVSKFPKETAASYSLEEPLQVMHFLQRLVEWKRSSAT >KZN01210 pep chromosome:ASM162521v1:3:13099230:13099724:-1 gene:DCAR_009964 transcript:KZN01210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSGNSSGSTQIQNSGSEGDKMDERKRKRMQSNRESARRSRLRKQQLLDELSGQVSQLKKENERILTKANITTQHFMNLESENLILRAQMDELSQRLESLNDIVKYMNMNMNIVNTNINNNNAYNNSGEMLECDNVFGTESFMNPWYLNQQPIMASVDMFHH >KZN01584 pep chromosome:ASM162521v1:3:20966735:20967544:-1 gene:DCAR_010338 transcript:KZN01584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHQIMATMLFLLAFARADHSTAQVFKGSVSCLDCKKDYDFSGIKVALKCKQAKKLSITMTQKDGSFKTALPLNILASTPSANCLASILGGPEQLYTSRKNMVTNIVKIHESYSSSYTIFHPLSFYTSCPLSHGKCGAASSAIGSSKTVDLPLPREWGLAPSSYYVPFLPIIGIP >KZN00750 pep chromosome:ASM162521v1:3:8132234:8133507:-1 gene:DCAR_009504 transcript:KZN00750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRANISLLVFTSLLFSLCSALSPNYYDQTCPSAEMVITNAVKKAMMNDKTVPAALLRMHFHDCFIRSGGPSWEVPKGRKDGRVSKASETKQLPAPTFNISQLQQSFSQRGLSLHDLVALSGGHTLGFSHCSSFQNRIHNFDAKHDVDPSMRPSFAASLRNVCPVHNKVKNAGATLDSSTASFDNMYYKLLVQGKSLFSSDQALLSEGKTKGLVSRFASSKEEFESAFVKSMIKMSSITGGQEVRLNCRVVN >KZN01099 pep chromosome:ASM162521v1:3:11669621:11672363:-1 gene:DCAR_009853 transcript:KZN01099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLFHAFRRVRLRSLPNPSLFNSNLHRPQSVPPLFKPLNSTILSNTWWAFKNFSHGSVNLVISEGDIPRFETHKTDPPKKHRFLSKKRLKEKRKKEKQIRKSANKRDPRRLGVKGKKGRQRFANAEERIKFKLEKAKIKEGLLLERLQRYEVPKVQGPLVQPHELTGEERFYMKKMAQKRSNYVPIGRRGVFGGVILNMHMHWKKHETVKVICKPCKPGQIQEYATDIARLSGGTPIHIIGDDTIIFYRGKDYVQPKVMSPIDTLSKKRALEKSKYEQSLETVRHFIAIAEKELELYYRHIALYGDPTNRSPNSTYGSPVKGTERSKRIKGGLPDTELTGTSGTKIDSGNNDSSENDYDDESGGLLLSDLDEDDGISEHELDSCDEEAENNTTNIEEN >KZN03532 pep chromosome:ASM162521v1:3:45485262:45490788:-1 gene:DCAR_012288 transcript:KZN03532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRIGPKRELKFALESFWDGKSSAEDLERVAADLRASIWKQMAEVGIKYIPSNTFSYYDQVLDTTAMLGAVPTRYSWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVHEYKEAKALGVETIPVLVGPVSYLLLSKPAKGVEKSFSPLSLVNEILPIYKEVVSELKQAGATWVQFDEPTLVMDLNSDILQAFTHAYSELESSLSGLNVLVETYFADLPAEAYKTITSLKAITGFGFDLVRGSKTIDWVKDGFPSGKYLFAGVVDGRNIWANDLTASLYTLQSLEGLRNDMVEYFGEQLSGFAFTMNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSIAQSMTKRPMKGMLTGPVTILNWSFVRDDQPRFETCYQIALAIKDEVEDLEKAGINIIQIDEAALREGLPLRKDEQAFYLDWAVHSFRITNVGVKDTTQIALAIKDEVEDLEKAGINIIQIDEAALREGLPLRKDEQAFYLDWAVHSFRITNVGVKDTTQIHTHMCYSNFNEIIRSIINMDVDVITIENSRSDQKLLSVFREGVKYNAGIGPGVYDIHSPRVPSKDEIVERINKTLGVLGSNILWVNPDCGLKTRKYSEVKPALTNMVAAAKHLRAQISGTK >KZN00837 pep chromosome:ASM162521v1:3:9125076:9128724:-1 gene:DCAR_009591 transcript:KZN00837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIYFEAIKAILNVVLRSFPHSGAGAEEQAFNIVGAFFNKTYKNGRDTPLHLAARKDNPIAVKWIAMLDPQYITGEEDDVYGGAVTKNRELSVLIYELAESRESSSVVVQQLCMLYELDNILGHFGQVALRAAIMMHDERGRSTGDFSNFSTATTSAAAKAHRSGFQTSKKQQRTWEEVIYISTKEFEAIKEEALRLKDIILEDGMTAALVNNNFNIIRYAKNRIEIVKRCVDAETITKAIEGNIEAQEKALEKIMLCNWEGPIIRFSDKEMQDRLEKGEVEHRDFILRCEEWVDENVLNMVKDGCEEVVENDEENGNLADNSVNDSAIDESINTGDLHVIDEGLQSSVCNLIQKIKLCRKKKQNKKVFKGNPFDIGRCKFSKINKNNRKNAHRALPATSKAEKGNDALEKEAAEIIQMAEAMGLKLKKSKEETIKEIKEQLRNSVI >KZN01671 pep chromosome:ASM162521v1:3:22842743:22844579:1 gene:DCAR_010425 transcript:KZN01671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKRTASSSERSSTFASDLFGTKDSSSSSASSPFSSASSGIFGSIFSSNPPNLIVGREALRSHAVEKKHDSANRAWNSKIGQSDSMHLNKQGESQGTWKDPNNTYYTEQNVQPCHLSSSIYYGGQDNYTTSYNSQGHDYSTFKKDQGEDDSGSASRGNWWQGGLYY >KZN03490 pep chromosome:ASM162521v1:3:45050536:45051186:1 gene:DCAR_012246 transcript:KZN03490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFQIVFHIFPYTTFRVLSFGTQWRAFMKKHECILTSLYFRFCCCTPILIYFDNLRVYLTLYLLKITDHNLLLSIPWETNELNEDIYVDSYKYTSPDGEEYWIPKCDKKSKPYVNQMFPDVEAVFEFYTEYGRLCGLVVRKSSAKYKGGVMTHKYVECSSAGRFEGKTIKRRRTNTRKCECEAKIILKNCPTGYYIGTFLHDNYIFIHQDFEVLH >KZN01596 pep chromosome:ASM162521v1:3:21194366:21194560:1 gene:DCAR_010350 transcript:KZN01596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLHKNTGCLLVRAKPIFLKRIVDNLSDAQRQYVVETGFEKVLLFNIKEYPQPLSFLISKS >KZN03237 pep chromosome:ASM162521v1:3:42783004:42783311:-1 gene:DCAR_011993 transcript:KZN03237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQMESNSSGRKEAWPELVGEKGDVAAAKIEKENRRVHAVVVLEGSPVTLDLNPYRVRVFVNKYGLVVDPPRIG >KZN02078 pep chromosome:ASM162521v1:3:29291982:29293715:-1 gene:DCAR_010832 transcript:KZN02078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDCLIREIRPQAVIAQLGDETLNDVFEGKDDKCEDGGGNGTVVDSSVNALKRLFVQGISKENDDSLPTSSFEVLKRCFLHKINREKYESIAGGLVLKEIFGVGWNKHFVTAKRVAEEVGSSFLLLESPIVKCNDNGDSSGEVESGNRFQGLAFLPISLVPQKMGSYAMSTSRRFSLTNDVQVQMVKSLSSYVVHPSSAAEVSSADIHPKPDYEPPEFAHSIYPLLVDLHKVFVDIPSIGRGLAHAQKMLYDVNRGEVVDSQILSEVYIFRIAVEGLRIALNNAGRLPVDKIKNPSRAQTAFSDLPVEDRSHALLAHALKSQTEKFKSVVAIIDSGALYGLRKHWNTPVPAEVKDMVEQLAGNCKYDEENNNGVGKRRLLTDKPVVAVGAGATAVLGASSLSKVVHASAYMKTVPASLKLMVTQSQKVFAMVLSKTFGSSNLVHAFTGSGAKSSALKATVSAEKIRAVTHSLITSAEKTSFSAMRAAFYEIMRKRRVQPIGLLPWLTFGGSVATCTGLIMCGDGIECAVESFPSAPSIACLGRGIQNLRQAAHTAAEAQSSKIQKSIDSLLYRFRR >KZN01325 pep chromosome:ASM162521v1:3:14477363:14483264:-1 gene:DCAR_010079 transcript:KZN01325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREITVSLFVFHLLLIISANMEGDALHSLRTSLQDPNNVLQSWDPTLVNPCTWFHVTCNNENSVIRVRLNNNSFSGPIPMSLTNVTTLQVLDLSNNRLSGPVPDNGSFSLFTPISFANNLNLCGPVTGRPCPGSPPFSPPPPFIPPSTVPPPEENGPTGAIAGGVAAGAALLFAAPAMIFAWWRRRKPREHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSEPPLNWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKSLLKEKKLEMLVDPDLKNNYIDTEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAEKWEEWQKVEVINHDVELAPHRTSEWILDSTDNLHAFELSGPR >KZN03530 pep chromosome:ASM162521v1:3:45475340:45478972:-1 gene:DCAR_012286 transcript:KZN03530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGAVSSGNGKAYPGKLTKRVLVTTIVAAMGGLIFGYDLAALLSSLVASWVTWRLGRRRSMLVGGTLFCAGALINGFAQNIAMLIVGRLLLGFGIGFGNQAVPLYLSEMAPYKYRGALNFCFQLSITAGILIANLLNYWFVKIEGGWGWRLSLGGAVVPALIMIVGSWFLPETPNSLIENGKKDEARAELLKIRGVDNVDEEFKDLVAASEASKKVEHPWRNLFQRKYRPHLVMALLIPFFQQITGINVIMFYAPVLFKTIGFGGTASLMSAVITGLVNVVATVVAIYLVDKLGRKFLFIEGGIQMIASQVAVAILIGLKFGTSGNTSNLPEWYAIVVVLFICVYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSITVSVNMFFTFIIAQLFLMMLCLMKFWLFIFFSFFVVVMTLFVIFLLPETKGIPIEEMAIVWKSHWFWKRFVADGVDMEMAKGGSRVNTI >KZN01610 pep chromosome:ASM162521v1:3:21778588:21787084:1 gene:DCAR_010364 transcript:KZN01610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDRKIVKFRITDGRYSHVVTVWGDLAVEADQLYHKNTESPVIVILTSNKLRTYKETVQLNTLPASKIYINLDDDVVSSMMTEGYTTALEESHSVPLLSSPVPVIENISLSTLSEKTNTCDEKLNFICFVKIDNIEAGQKWWYYSCPHCHNEIAKSEGRFSCTTYQKFFTVGERRYKFLVLASDSTKAFDFLLLDRAAKRMIGKTATKLLSENLKLETGEYPETINQLIAREFNFQVEICSDDVLINSKIFKVTNAYGNESSGTSSSAAHTGFSATDPLEHGAVELPKIEDTPRSSKSVSKKPKMHYIVYMGHHSHPNVDLDGAKKASVHHYTKSFRGFSAMLTPDQAQDLEENDSVVSIFESRTNQVHTTNSWNFLRVDKIKQYNQLPMDLKSDTIVGVIDTGVWPESESFNDHGLGPVPTKFKGECVTGDNFTLSNCNRKIIGARFYYGGIEAVFGPLEKSKRTFFRSARDSDGHGTHLASTVAGSMVENASYYGIGKGTLRGGAPSARLAIYKACWFGICIDADVLSAMDDAIDDGVDIMSLSFGPSPPLRDYFMDPVSIGSFHAFQKGIFVSASAGNGHFPGSVANVAPWIMTVAASSMDRVLQSFIYLGNSKIIKGTGVNPPTESTKFYSLIAGSFAAFPGIPPQNASYCKNNTLDPSLVKGKIVICAMESAADARAEKGLFVIQNGGVGIIIIDPAAKSDAFQIQIKAIFIDLEEAKELLAYIAAERNPIARISQTRTLLMTEPAPEIAEFSSRGPNTLTPDIIKPDITAPGINILAAWSPLAIESAGGRSVDYNILSATSVDNSHDLIGRISSTTHATPFEYGSGHINPVAALDPGLIYDFTITDAVDFLCSNGANSSQLMNLTGEQIYCKNQPIPSYDLNYPSIGVSKMKGSLSIWRKVTYVGKGPSVYVSQLDYPSGVHFTVVPKELKFSTTGEELSYRIDFKAHKSSNGSFVFGALTWSNGIHRVRSPISLNVVSV >KZN00332 pep chromosome:ASM162521v1:3:4283512:4286478:-1 gene:DCAR_009086 transcript:KZN00332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESCSRKLYVEICNAKNLMPKDGQGTASAYVVVDFDGQRRRTQTKSRDLNPQWDEKLEFLVHDVAAMPSEVLQIIVYNDKMSGKRSTFLGKVKISGSTFMKVGSESLVYYPLEKRSVFSQIKGEIGLKVWYVDEEPAAPPAPAEGEKKAEAVAEEKKPEEVKSGEEEKKIEEVKKEDTAPDAKEGEKTGDMKVEEAAQSATAAAAVAVVENPPVAEKPKAEEKAVEKRVNLNVTDLELRRLGSDRGRTAYDLVDPMPFLFVRVLKAKRGDKDQADSSVYAKLVIGTHSINTRKQTDNKDWDQVFAFDKEGLNSTSLEVSVCVEKKGAEDSVIESSLGTVSFDLLEVPKRVPPDSPLAPQWYTLDGGSSEGTTDVMLAVWIGTQVDEAFQEAWQSDSGGLVPETRAKVYLSPKLWYLRLTVIQAQDLQLGSGSEIKVKNPDLYVKAQLGPQLFKTSRTTVSLSSSSSNPTWNEDLVFVAAEPFEPFLLINVEDVSNGHTVGQAKVQMSSIDRRNDDKSEARSRWFNLVGDETRPYTGRVHVRVCLEGGYHVLDEAAHVTSDVRPTAKQLSKPPLGILEVGIRGATNLLPVKTADGTRGTTDAYVVAKYGPKWIRTRTILDRFNPQWNEQYTWDVYDPCTVLTIGVFDNGRYKRSGEEGKPGKDVRLGKLRVRLSTLDANRVYTGSYYLTVLLPGGAKKMGEIEIAVKFSCSSWLCLLQAYASPMLPRMHYVRPLGPSQQDILRHTAMRIVTARLSRSEPALGQEVVQFMLDSDTHMWSMRRSKANWFRVAGCLSRAATLARWLDGIRTWAHPPTTILVHVLLVAIVLCPHLVLPTIFMYAFLVITLRFRYRQRVPITMDPRLSHVEAVGPDELDEEFDGFPTTRSPDHVRMRYDRLRALAGRAQTLLGDVAAQGERLEALFNWRDPRATGIFVVVCLLASLVFYVVPFKAFVLVSGFYYLRHPRFRYDMPSVPVNFFRRLPPLSDQIL >KZN01179 pep chromosome:ASM162521v1:3:12665419:12665853:-1 gene:DCAR_009933 transcript:KZN01179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCSKIRHIVQLRQMLRRWRKKAAMSASRIPSDVPAGHVAVCVGINCRRFVVRTTYLNHPVFKKLLVQAEEEFGFNNSGPLAIPCDEFVFEDIIRRLARSDSKKSAPRFSKPEENCHVGHRGLDFWPESRPLLNGINDKSVWY >KZN00401 pep chromosome:ASM162521v1:3:5016664:5019259:-1 gene:DCAR_009155 transcript:KZN00401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHGRLLQLFSYLLLSARLFIHTYSDEDFIRQPPRQLILTSHHGKDSDPQQVHISLVGKDHMKISWVTSHEHVKSMVEYGKTPGIYEASATGEHTSYQYFLYRSGKIHHVTIGPLDPKTTYYYRCGGSGPEFKLRTPPSAFPIEFVVAGDLGQTEWTASTLTHIGAKDYDVLLLPGDLSYADAQQPLWDSFGRLVEPYASQRPWMVTQGNHEIESFPIIFPRGFKAFNSRWQMPYQQSGSKSNLYYSFDVSGAHIIMLGSYTDFDANSDQYKWLRADLAKVDKSKTPWTIVLIHAPWYSSNTAHKGEGESMRLAMEEMLYTAGIDIVFAGHVHAYERFTRVYDKKADQCGPVHVTIGDGGNREGLAMLFEKPRPSISVYREASFGHGRLRILNQTHAHWSWQRNNDSNSFAADEVWLSSLSYSHACCKNSSFECTPLTTQNDEL >KZN00041 pep chromosome:ASM162521v1:3:1364733:1368129:-1 gene:DCAR_008795 transcript:KZN00041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFNPVSKALSRYLLRRIGGRELVNCGMNVGELGNYVRVRWLSNVGGIPAVSDSVCSEKKEVWFPTGGRGFVQRRGFLGCGDGDEGNVLAKVHEERRVMGYSPEQLFSVVSAVDFYSDFLPWCERSEIVRRFPDGSFDAELEIGFKFLVESYISHVEVKEPTYVKTTASESRLFHHLINMWEFSPGPVPGTCSMYFLVDFKFHSPFYRQMATMFFTEVATRLVGSFNDRCGLIYGPEVPVSKYSYQKQDA >KZN00283 pep chromosome:ASM162521v1:3:3715334:3717733:-1 gene:DCAR_009037 transcript:KZN00283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPPWLRSLVFGLIVFCLVSQIWSVEAQESGDEGDESDVDLVNKLQFENPRIRQAYIALQAWKASIFSDPFNFTANWNGPNVCSYSGVFCTASLSDPSIRVVAGIDLNHADIAGYLPSELGLLTELAVFHINSNRFCGIVPKSFKKLKLLFELDISNNRFVGGFPKVVLSLPSLKFLDLRFNEFEGSVPSKLFDKPLDAIFLNDNRFQFGIPENLGNSPVSVLVFANNNLGGCIPGSIGKMGKTLNEIILLNDNLTGCLPNEIGQLKKLTVFDVSFNHLQGSLPSTIGNMKSVEQLDVAHNSFTGVIPAAICQLPSLQNFTYAYNYFTGEAPVCAAAGANEVVDGSQNCIPGKTNQRSSKECSSDAARPFDCSKSSCSSRGSGGGGGGGGGGSSPGSGGSPPATSPSSPPSGGTSPGSKPPQHKPGKKSPPSPKTSIPPHHKPGKSPPSPTTSTPPPPSSKSSGSSRSHPPPPSSHISPVSPPTSPSPSGKPHYAPPPPEVTTPNPPSPVHHTPSSPPSHHYTPPPPSHKVSPGSSYAPPPPQTPTYHYNSPPPPPQTPTYHYNSPPPPPPQTPTYHYNSPPPPPPPTPTYYYQSPPPPPPSPTPTYYYQSPPPPPPSPHTYQYHSPPPPSHNVSPGTKYAPPPPPTHHHQSSPPPPPPPHHQSPPPPVEFTPPPYHYSSPPPPPPHKHSSPPPQHTPHHSPPPPPSAVCNESPPPPPPPSPPPCEQSPPPTHQHPKSSPPPPPPATHHHSPPSPSPPHSSPSHHSHSPPPPAFENTPLPPITGVSYASPPPPVIPYY >KZN01522 pep chromosome:ASM162521v1:3:18803190:18806048:-1 gene:DCAR_010267 transcript:KZN01522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDDKENGDRTPVFRGTPYPATREVLSTIVNNVNRVPSFTPSKGNKLSLSGSILKRTRNNTENNANNETSIPVNVNSSVTPSKKRVRILTPLEEVAQLKSTSLEKQLIPVYPNQKELFKDGLVGEQFQEYVMKGKTYVEVGKIVEVPKHQIKKSALITVRTRKRNKKDFEHWLLVRYQPKVLVDAVRSLSPIQKKWVKNTEDVDEVLGLPLGGVNVQIMRSTHIEDRWRDQFKELVKDGWKVTANMLCDAIKNSNEADRLFKVNFLVLMYNVLFEGPTNPYVKQNILGFSGNLDNCSSYNWCDYLVENLRNAVLAWSEKPDSKYFTGSIPMLVYLYVDRVVNGKRQVRRKKPTFLGWSDSLILDRQISELATGSLKTFFNGRVALPLRSKHSMMRAAIRTTHGTLFQQHHRMTTRYNVIGMVNMVLLTVKDVGDILTGNFVQSIGEQKILGKKVPEYVLSELEDSIVGYENIQRKCIMYLLSSKELFSNNNLVDGLQKRFVDLIVKASEFVEKELNIQNYKTLFNNSVLNYMSMRRENTGSSNEKVDASDVVINSTTKKSVCPDNLVTTNDMVEENKSELFRSMESQLKFLSIQEKCLSNDLKTPGDGRFFKVNLDATIPTFDLGEEFKNQEPNPDSSRVPGLAMQKPERDRKIANIFRSPYIDRITNINGKNFSKEETELWEWLHKNEQYPK >KZN02585 pep chromosome:ASM162521v1:3:34793429:34797486:-1 gene:DCAR_011339 transcript:KZN02585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSVSSHSRLFNWRLNPSHHCALHFLERKVGEEWAGRSEIQRTTTCTHLESISITLAADRANGCEKQVKTRKGGKSKEKVVEESGTTQPIEPSQRPEVENPTPAGQGAGGSQGGVFNKQPPRDKVLNTPLGIQPQKFSSKNQGTTTSRQNIEERRRAMQAKLRENPVWKI >KZN03774 pep chromosome:ASM162521v1:3:47985626:47990119:1 gene:DCAR_012530 transcript:KZN03774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLDDSSFKVSAEMEELLCERLLDQNQPISERFRALFSLRNLKGPVPRKALIQATRDPSNLLAHEAAFALGQMQDVEAIPALENVLNDMSLHPIVRHEAAEALGAIGLRSNIPLLKNSLDRDPAQEVRETCELALSRIEELPNGSENVDSSATGASPFLSVDPAAPSGSPVHQLREVLLNEDKKMYERYSALFGLRNNGGNEAISAIVKSLGANSALLRHEVAYVLGQLQNKTATDALSGVLKDVHEHPMVRHEAAEALGSIADGQCIALLEEFVQDPEPIVSQSCEVALSMLEFEKAGKTFEYLFMQTPKVPQA >KZN00229 pep chromosome:ASM162521v1:3:3250079:3254690:-1 gene:DCAR_008983 transcript:KZN00229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVPHVGPACKLRIEEGRYIGSLLWDPWDGERVAEESDQGIDDATEKEVRSPVPDAESDSDPEDSVPLNKRKLTTKADTSAVTPKRVRRRLVKAHVGPVFADVSGVEPETNRPEEERTRVVADDGEKTVVGIMGEKLKGRQCNVGAPLDVVDESMPPPSRGAPEKGEKGETQLSEREEMLRVVQESVVAIETKEREAVGKFREQLELNSSLEVKLEESAGSLMGVEKTLAEMQKQNDDLRATLDSLPSRDVIISENRRCVVLACERRCGPLFSICMSFVVRVLSPAM >KZN02003 pep chromosome:ASM162521v1:3:28112767:28119898:1 gene:DCAR_010757 transcript:KZN02003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVIEKYQKAKDGDNQLLSPDKEVKFWQKEATILRQQLQALQDNHRQLMGKELHGLSIKDLQNLENQLEISLQGVRMKKDEILTDEIQALHTKGNLLHQENIELYKKVNLICQENMEMNKKVYGRDATVGNRNTAIPYDLGIIEDPRVPVHLQLCLPEQPSVKALETGPKLR >KZN02235 pep chromosome:ASM162521v1:3:31184887:31187173:-1 gene:DCAR_010989 transcript:KZN02235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNWPITIISLQGRKEGDSSFQCGSDRLIAEDSSTDLSVIIGAMYAAVLFVGINNCSTVQPIVAVERTVFYRARAAGMYSAIPYALSQWIWYYYLYPVAWTVYGIIVSPYGDVTDTITVPGMSTKPTIKWYINDHYGYESDFMAPVAVRTEDQREVCECSIMEKLDSMKKNSTNGKKDYDGDEGVERRRARRERSKLRTKKTENGINGGVTVCTSDEKVIDSAGKMYCSKY >KZN01405 pep chromosome:ASM162521v1:3:15679329:15684310:-1 gene:DCAR_010159 transcript:KZN01405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLTEENPDLSNQIGCMTGIIQLFDRQNLVTGRRITGHPRRLPPGDYSPTETNLNHTSHRHPVGECQPNKNIHDKRRQSAETSRPSFSSSSRSSSFSSLDFTRTAQPESLYFDSMISSEVPSKELTMRQLCASPHSERQNVNLRDVVRDCMYRELREMSVKTTTKEATSEFLVGRKDLPRPLNSSKVNDAIYRNCRNERQNLSVDLEESLKDFAEARDIRPWYLDEPSILSRSSSYQLRDGSLYSTPKDAPRYSYDERGRIHSSYGSHDSVKQTLKLKELPRLSLDSRESSMRSFNSDTQSSLSKSMQKDSGRLNEHLLPGLHQTYKSQQRPPSVVAKLMGLESLPNSASNTEYMSGYDPVKEFEASSRSSKVTDVYRPIQAFDSSRNARKEPTSPRWRNPDPGMKPISRASVEPAPWRLLDGTRGSQKVVPRNSKSLQRAPSPFPSVYSEIENRLKDLEFRQSGKDLRALKQILESMQVKGQVNTSKEGHGSKFPNMQDHEHLTKGMNGKQKRNDQVHSHTSQRSNSSRISESPIVIMKPAKSVKKPANRASDIPLDRLSNESRRHGFDFSDKKNGLARTAKDQIPKSDRREHIEASNDMKSNFRTPRTQKSTRQQQLPAESTASSVKCSGSISPRLQQKRLELEKRSRPPISPSDSSKSRRQQSDKQQPELSSPGGRCRPKSSNLQPCDDQISEISSESKNSNFGDNDNSLVSDEVNSSVRSGEINGNKSPLRQTSKYTQGLVAKKRILVRNDDESVAELGTASPEYPSPISVLDGAVYSDSAPSPSNQKLETQDNSVWNSNDKSAKEQRHAYDSNIPTTVESGFKSEVSRKKLQSIDQLVQKLRRLNSGHDEARTDYIASLCENTNPDDRYISEILLASGLLLRDLGSNLTTFQFHQSGDPINPELFLVLEQTKASNLHKESYRAGKIVQSKSDNEKCHRKLIFDAVNEVLNVKLACLGHPTEPWLKPLKVTRKTINAQKLLRELCFDIEQLQAKKPGCSLEDENDGLKSISWEDVLHRSESFTDFQREISGLVLDIERSLFKDLVGEIVAGESSNLRQKASRPGRQLFVSR >KZN03895 pep chromosome:ASM162521v1:3:49687416:49696790:-1 gene:DCAR_012651 transcript:KZN03895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVSASGQPGSGTSWSLFEDQALVVLVHDMGPNWDLVSDAFNSTLKFKCIFRKPKECKERHKVLMDSPAGEGADSADDSGSSQPYRSTLPGIPKAGSARQLFQRLQGPMEEDTIKSHLEKIIAVGKKQHHKRAQDPRPLQQPHNSHTFALSQVCPNNLNGGPTLTPLDLCDATVSSPDVLSLGYQSPQSSGIPNSNQGSIAPMHPASGANLSAQAFAGAVPGSNLSSASSQISNSVRDVRSGIPRSASLSTDEQQRLQQYSQMVPGRNFQQSNIPVSGVHSGTDRGVRMLTSGNGVGIPSGLHRSIPVPRAGLQGIASSSMVGPGSMLSSGMMGMPNPVNIHSGPAAAQGNSMMRPRDPMHMMRPTQNVEHQRQMMIPELQMQVALGNSQGIPPFGGLSSSFPNQTTAPSVPSYPLNQQLHPISTQQSHMLTNSHHPHLQGPNLANNTQHQAYAIRVAKEKQRVLQQHQQQQFAPSNSLMPHVPGQPQQVSSPQNGSPSQSTPQVSMSPLTVSSSMSSMSSNPKKHHIPTHIVVRNPQGGGSGSINQASKQRQRQPQQQQVQQSGRHHPQQRHLSQSQNQAKITKGVGRGNLMHENLLTDNTVLNGPSTTPGSHIAEKGEENVQVIQGEELYSGSGLNSVQPQKQSAPSHFSPQPQAQQKQNQQTRAHSENSHQNHVPSVVAGPTSNSSQAVPSNQQQHKLSQPFSKIVNQTQSPVQILLHQNRQVNHDHANKLQARDVHISLEPASTVLRKASEHVSDSCSSNPVTAIGSADSPPLTNPPIEPLDQHGQGTEKIQSLVSLPHVAHDGDMKLIVSSWQYKWQGLIIVISTARIDSIEMIGFVSGGPADDVSFDQNYFSLWGLNHITRVDNDKEVQLLLDQYSGGAGFRSISEYGSGKFGIRMKLPDANSTSGIIICFYLTSAPDSSNPGNHDELDFEFAGGGLQTNIFAGDSGSREERYQLWFDPRKDFHLYEILWNPYMVVFYVDKIPVRVYKNYTDKGVNYISNPMHTEASVWTGDWAGTVDWKQGPFVSSYRRFGIHGCKSQNTSMNQECLSPNLHWNLQKDLTPHEQKMHQIFREKHVVYDYCLDKERQQNHPECQLPRN >KZN00860 pep chromosome:ASM162521v1:3:9342987:9347641:1 gene:DCAR_009614 transcript:KZN00860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSKRVVYKPADQVDVSFTSDEVYVEANVKAPRMAGFLVKLFVWFLELRILKPFLLYILKRENQIHKLVSFVEIEEAPLYVPLHPYEEHKEPETKHLESDLSPSEQVQQATECINSLESVRENENISFRHWTILDYSRAYLSRETNPKKVADQFIASISKSCNSAIRMSFFISCDVEDILRQANESTLRYERGEPLSALDGVPIAVKDEIDCMPYPTTGGTKWLHKVRSCTDDADCVKRLRLCGAILVGKTNMHELGAGTSGINPHYGSTRNPYDVNKITGGSSSGSAAVVSAGLCPAALGVDGGGSVRIPAALCGVVGFKPTFGRVSHAGVLPLNWTVGMVGVLAGTVEDALIVYSAISAQLPSDQRVTQMHPRVSLPLLNLPSSMPKVKLAKYDKWFSDCTYDIKLCCSNALNQLQKIYGWETMEVTIPEIEAMRLAHYLTIGSECTSALACHLEKLNMNEVGWDARVALSIYGAFDGKEYLNAQRIRNRQFQIHQKIFDQADVIVTPTTGVTAYTIQDDALKTGELDYINAAALVRYMIAGNFLGLPAVTVPVGYDKGGLPIGLQFIGKPWSEASLIYIAYAVQVMPSNSNSYLNHINFDQTYPLNKGCYRHGDQVSTCYPLDHESVYVEKHRQPEKPYALHSAFIANLIKILCQALCAAEYRKPRVFYDHLSDLKRDKTA >KZN00208 pep chromosome:ASM162521v1:3:3043854:3047443:-1 gene:DCAR_008962 transcript:KZN00208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFYYMVFGVLGMIVAATELSKSNKDRINTSQPFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYTTYGYGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCFTKHSPHYKVLMLGRILGGIATSLLFSSFESWLVAEHNKRNFDQQWLSLTFSKAIFLGNGLVAIISGLFGNMLVDTLSLGPVAPFDAAACFLAIGMAIIMSSWTENYGDPSESKDLLTQFKGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSFASRLMARSSLKVEGYMQIVFVVSAASLTLPILTSFLVPPPEEKGGGISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITVMFGMCSIFLFVASILQRRLSVIADKTSKYSIPGDLM >KZN00528 pep chromosome:ASM162521v1:3:6122128:6123007:1 gene:DCAR_009282 transcript:KZN00528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSDSDGLDHGWMSADFSFDSSWQSGSVLPSFQVDHTGLAAACGHCSGGKHGRTPLYDVSNQSLSASRQKSPGPSRKFCLDSGRVFSDENSPFSCRSTTKSGTAKKSSFKRKCRFPADEVNEKLAFGNSLCYVA >KZN03743 pep chromosome:ASM162521v1:3:47568437:47571636:-1 gene:DCAR_012499 transcript:KZN03743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHFGVILVMIHGAQANESNNKFETRDDFEQRIFGGFTGNSLNDSPSQQSFFRKLDRAERAHNNSDYGSSGGRFNLDNRSEIFDDLDESFNTLSDGMDDKLKEAATCYDAPEILEDPEYEYRPDVNWSQIGDTYELRDLDIRKPGIWEPNKREEFETTTEEVLRKANFRNVRFLANFITNAGIIIKRSQTKISAKAQRKVAREIKTARAFGLMPFTTMGTKHFRYGKTMESLDADYEFETYDTSYNFVNEEASQAL >KZN03232 pep chromosome:ASM162521v1:3:42741225:42747893:-1 gene:DCAR_011988 transcript:KZN03232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSSSALSRLIKKQLQHRPSLPISLTNITLKRFTSTLTCEKNPGGKSPAFIHPAAIVHPNAVLGQGVSIGPFCSVGSTVKLGNACQLYPGSHVFGKSELGDNCILMTGAVVGDELPGSTAIGCNNRIGHHAVVGIRCQDLKYKVSQDVPKYIMVAGERAELRGLNLEGLRRHGFSSSEMRSLKSAYRKIFMASDGTSGSFEDRLAEVEQHQEWALVPSVCFMVQSIRNSFKEDRRGICKFRSRSSAGSV >KZN00408 pep chromosome:ASM162521v1:3:5098183:5103261:-1 gene:DCAR_009162 transcript:KZN00408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSDDLSIKVWGADIVRVQSGEGYAPWKHLCTLSGYHDRTVFSVHWSREGIISSGAADDAIRLFVENQDDLGDGPMYRLLSKKEKAHEQDVNCVQWGSKESGLLASSSDDCSVKIWKLGPRH >KZN00463 pep chromosome:ASM162521v1:3:5503091:5507058:-1 gene:DCAR_009217 transcript:KZN00463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPKIPFRGIINDLKGRKACYKQDWTSAIFSGCRIFAPTTYIFFASALPVIAFGEQLVRDTDGSLSAVETLASTAICGVIHSIFGGQPLLILGVAEPTIIMYTYLYNYCKDTPDIGEDQFLAWAGWVCVWTALMLVLLAIFNACTIINRFTRIAGELFGMLIAVLFMQEAIKGLLNEFKTPKGEDPSEEKYSFQWNYVNGLLAIIFAFGVLYSSLETRRMRSSQFGPGWVRSLMTDYGVPFLIVKWTAFTDLVPERVPAGVPRRLRIPLPRDSGLFNRGPVIQNMGTVPTVYIFAAIIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDLFLLGIMTFLCGLLGIPPSNGVLPQSPMHTKSLAFLKKQFIQKRMAKKGKECISQQGSNSEIFGKMQEVFVEMDKTPAPQASEELKDLKDAVLRVENGENGSRTHDLEKNLDAHLPVRVNEQRWSNLLQSILVGMTILAVPIIKRIPTSVLWGYFAYMAIESLPGNQFWERITMLLIPPARWYKVLAEAHASFVELVPQKTIAMFTLFQLVYLGICYAITWIPIGGILFPLPFFLLIIIRERVMPRLVHPEYLQELDAAAYEELTGSIIHNRTIPGQSSADDGSSELPTDDDYEILDELTTSRGELKLRAASRRQHQQACPSYSRHYFPPNPILHMNMLSTISDKT >KZN01264 pep chromosome:ASM162521v1:3:13847853:13853604:1 gene:DCAR_010018 transcript:KZN01264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGTFVSLFTVFYGLTFFVVMFEWCLVIGYKALKRRKIAKAPKKRGHGTSTCYSTEIATQPGYIKPLTMVDDRSRNSSNTEDMDTDSPMSAVTYLQETLQIPQNTNECNKVQESESFRAVAANMVVGSLTPDSTPSSVQETLTTRLGGRLFQQYVVDAFSSIEQTRLYWFRKNQRILRNELYSHICDSVRKGDLSGSNVGKGVILPAGFVGSKRYMQQNFQDALAVCRQVGHPDIFLTMTTNPLWDEIQKMMHYLLGCKSENSPDIISRVFRFKLDQLTNDIKKKSLFWCLCWR >KZN03223 pep chromosome:ASM162521v1:3:42672797:42675544:1 gene:DCAR_011979 transcript:KZN03223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLDMKQHTVTFAFLSLILMSNVSGQSAATLLKGDEKLGGRKAQAGESITSKLGIEDCTFLYYVDCTQGICYCHLSSVTASEGCEQVSDMKAQSRDTFNPNAFLFLSSSASSLPPSRLLLGRQLNKLPHPVVILISCDGFRFGFQHKTPTPNIKRLISQGTEAETGLIPVFPTLTFPNHYSIITGLYPAYHGIVGNSFIDPKTGDSFDQQNFDSKWWLGEPLWETVVNQGLNAATFFWPGSEVNRSSWTCPSKYCRQFNKSVLFEERVDTILSYLDLPTSDIPSFMTLYLDDPDAQGHKVGPDHPQITEAVANIDRLVGRMISGLEKRGIFEDVHVILVGDHGMVGTCDQRLIFLKELAPWIDIPENWIERYTPLLSIRPPPNVLADDVVAKINKGLNSGKVGNGKYLKVYLKENLPSRLHYSDSDRITPIVGLADEGFKVEMNISVARQCAGAHGYDNAFFSMRSIFIGHGPRFAKGVKVPSFENIQIYNLVTSILNIKGARNNGTSSFPKKVLLPRHN >KZN01495 pep chromosome:ASM162521v1:3:17323520:17324110:-1 gene:DCAR_010240 transcript:KZN01495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYSCVDRSEYGEKGGSDNIEFNIDHGDAESGGGACSSPPLWGDSKMSNNKKMMRSARVEAIARGQKELMEMVKSMPDSSYELSLRDLVDHHQKLGFQQNNNKKKKQQASVRKLQGSSRQTSTSKNYKTGGGLLLKMVFPALPLGATQKSKEIPTYSHTTTAAGGFSSHGSTGSSDTTVTVSSNSTRYSLRPIHF >KZN01501 pep chromosome:ASM162521v1:3:17474916:17475184:-1 gene:DCAR_010234 transcript:KZN01501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTEETFKVTNEENLEATKENPLNLEDDKEDDKDVRKRKKRTSQAWEHFDEMPLLMT >KZN02453 pep chromosome:ASM162521v1:3:33593949:33601540:1 gene:DCAR_011207 transcript:KZN02453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYCKDYQMFDNYNYMGRSSQDLRFSKHLYDNVHGNIYVDPLFLKFIDTEQFQRQVVLFKPPISVSNLVLLRDLKQLGTTHMVYPGAVHSRFEHSLGVYWLAGEAVHKLKAHQGSELDIDNFDVQTVKLAGLLHDIGHGPFSHLFEREFLPRVIRGSKWSHEQMSVEMVDYIVDEHNIEIESDTVKRVKEMILAGSDFASKNSAREKQFLYDIVANGRTGIDVDKFDYIVRDCRACGLGCNFQFQRLMETMRVMDDEICYRAKDYLTVHKLFATRADLYRTVYTHSKVKAVELMIADALVQANDYLEIASHIQDPSQYWKLDDTIIKTIETAPVQELKESKDLILRIRRRDLYQFCNEYSVPKDNLENFKDVTAQDIVCSQKNGGKTLREEDVAVSNIRIDLSRGSNNPLACYAASYSCLNSKLFQDYDSDEKFPISDDKISHLLPTSYQDMIVRVYSKKAELVGVVSEAFENFQLKTYGIKAQVHATPQKKRRRASNI >KZN00984 pep chromosome:ASM162521v1:3:10491425:10494410:-1 gene:DCAR_009738 transcript:KZN00984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFERFLRPRSSSSSTRNPRDLEAQIIKLDYPSPKMSIYAKGVSVLMPGEEIPTFIAHPAPAPCHPPPELVSRPLHECSVISIPSTGLAAS >KZN03755 pep chromosome:ASM162521v1:3:47710953:47712363:1 gene:DCAR_012511 transcript:KZN03755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPLKIQPVDFNSPVDSAFSKPVKSRFKRLFENVLRSSAPEKAVTDAGTGVTKDISDEFEPSSVCLANMVLNFIEESNDKTTSVKCSKSRCYCFTGDRSDCDDDDVDSNACELLKSLVMCASVFERNLLADASRIVEKNKIFECKDNSSRKLVTDGLLALGYDASICKARWEKAPSYPAGEYEYIDVIREEERLIVDIDFRSEFEIARSTKTYNRILETLPVIFVGKDDRLQKIIYLVSEAARQSLRKKGMPFPPWRKADYVKSKWLSPYTRTPPPTPSPSPPPLTLENPTDLTTDSLIPMIRHKNPFSTMNEGADSRLRITPSSTVNEGADPRSRITPSSIVNEGADSQTMITPSSTVNEGANSKTVNEDADSKTKITRSSAVNEGADSPNSSDHGGDTVFTMSEDDEDN >KZN03424 pep chromosome:ASM162521v1:3:44431626:44434589:-1 gene:DCAR_012180 transcript:KZN03424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAATKGKIVKQQKIEKTLETCADKYKNPRIAQALAASSIASVISSIITHKVCVYVADLCVFTFAGSKMKVVAAYLLAVLGGNTCPTAEDLKNILGSVGADADDDRIELLLSEVKGKDITELIASGREKLASVPSGGGGVAVAAAASGGAGGAAAPAAEAKKEEKVEEKEESDDDMGFSLFD >KZN00605 pep chromosome:ASM162521v1:3:6849288:6857059:1 gene:DCAR_009359 transcript:KZN00605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKHLWDILDSCKKTLPLEHLRDKRVCIDLSCWIVQLHNVNKSHCSTKDKVYLRGLFHRLRALLALNCTIILVAGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKQVSQDETNSDKASSLQRNMGSEFSGMIKEANILGMALGIPCIISLEEAEAQCALLNSESLCDGCFTSDSDIFLFGARTVYRDICLGEGGYVVCYEMNDIETKLGFGRNSLITLALLLGSDYTPGVRKLGQEAACQIVKSIGECNVLQRVASDGLSFANKPKVSKKQNKAPRRTNKENYSHPINENGPVHDLPNENQYLAVIEAYLRPKCHTADSSVVQRALGGLPFDRLKLQNICARYFEWPPEKTDEYILPKIAERKLRQFANLRSTSSALGLGPPLDKMPVRCPLLEIIKHRKVLGRESFEVSWEDIDGLKTSVVPADLIECACPEKRVEFEEKRAQAKKQNIRKPRIKKSEKEAPISEIDKKLQDLLLDIENEHIATQKAVLYRQSVTEKASYLNEVCQTDQSMPLSTGSKSNLEKVDQIYNSSALAVQQAEVIDLLSPSPPMRARKVAKCQEANVPYIPNVAAKCQEAKVPSIEFIDLSDTENELSPEHTRKARELRIFLNSIRGTP >KZN03555 pep chromosome:ASM162521v1:3:45759271:45760710:1 gene:DCAR_012311 transcript:KZN03555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETKGVRREWAFRLEESNQLRNDLIGLGAKLPVRDSLGVYPARNFNGSWGDYRRLVIEAVGLIREENNRMLLRRCRFYMLKLVKDSATASCREMTFEEECQLLQNPNYLSDEPMSDEEATDDDDSE >KZN02035 pep chromosome:ASM162521v1:3:28638936:28640861:1 gene:DCAR_010789 transcript:KZN02035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLLSFHHFISLLLLLILSFQSSNGLPLKSTRRSPRLLGRFSKPTKPLLKNYKYETRYFDQNLDHFSFADLPKFRQRYLISFEHWVGPEKAGPIFFYCGNEGYIDWFAENTGFVWELAPRFGALVVFPEHRYYGESMPHGSTSEAYRNASTLSYLTAEQALADYAILLTDLKKNLSAEACPVVLFGGSYGGMLAAWMRLKYPHLSVGALASSAPVLQFEDIVPPETFYDIVSNVFRHESRSCFNTIKTSWDALLSEVHKEDGLLQLTKTFHLCQKLNSSVDLSNWWDSAYTSLAMANYPYPTEFLMPLPGDPIKEA >KZN02485 pep chromosome:ASM162521v1:3:33852263:33859341:1 gene:DCAR_011239 transcript:KZN02485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNASNDESCNIDWDTDDEREIGNFPPSSSSSFSVPNGDVNAGSGEGCSSAGSAKYRLIHDMKFPEELVIKAIEQNGETEDFESILETVLVLQALENSPEPQQVVDSDQCSSAYDDGFLDGLSDSDSWCASEIADTTNSSSLDDKRVLALTNMEYSIEEALIAIDRCGPEASIAELTDFITAAQMAKTDDTFFRELPDESKKHLERKRKLYELELLKKKKRGRGAYDAAEDNDTIRLPIPMVGFGLPTAEGSLVIHRNLPEAAIGPPYFYYENVALAPKGVWDTISRFLYDVQPEFVDSKHLCAAARKRGYVHNLPIDNRFMLVPLPPRTIHDALPMTKRWWPAWDTRTKLNCLQTCIGSARLTDRIRKALEKYEDDPPESVQKYVIDQCKKWNFVWVGRNKVAPLECDEVEMLLGFPKNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLRDLFPGGINMLSLFSGIGGAEVALHRLGIRLKNVVSVEISEVNRNIVRSWWEQTNQKGTLIHIADVQKLNADRLEHYMASFGGFDLIVGGSPCNNLAGSNRHHRDGLEGEHSSLFYDYFRILDLVKCMMSRR >KZN00623 pep chromosome:ASM162521v1:3:7001787:7003068:-1 gene:DCAR_009377 transcript:KZN00623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSKTAIKLPIFDMSQPLSSSSLSSLSLACREWGFFHIINHGVSKDLFKKLKSLSRNHIFDLPAEVKLKAGPSSAQCNEILKEYGSNMTRLSRSITDIILKCMGNDFEVKFKSEFDNCHGYLRIINYSPHQGVAEKRVEGLGMHTDMSCVTIVYQDEVGGLQVRSKEGEWMDINPCEETLVVNIGDLMQAWSNGKLRSSEHRVVLTQPVNRFSMAFFWCFEDEKEISAPNEVVGEENLRAYRPFVCADYMRFRQSSEKGKFEKVGFTVKGFAGLEG >KZN03526 pep chromosome:ASM162521v1:3:45410521:45411150:-1 gene:DCAR_012282 transcript:KZN03526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASAVGGDGAAPSSSAANTAADGGGSSAAPPSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHVAGCPYFGHPNPPAPCACLLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRIYLREVKESQSKARGIPYDKKKRKRTSTVKATAAPTVSSSTLLVAEGGGGGGDASGTITATIPPPTSAV >KZN03320 pep chromosome:ASM162521v1:3:43572535:43573902:-1 gene:DCAR_012076 transcript:KZN03320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDYYIDLNASPEENISAQGENSSEFENNHSYDFNHINLNEPPFESKNSSEFENNHSHDFNHINLNEPPFESENSFKLENNHSQEFNHINLNEPPFESENSLELENNHSQEFNHINLNEPPFEIIS >KZN02339 pep chromosome:ASM162521v1:3:32215252:32215902:1 gene:DCAR_011093 transcript:KZN02339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTEQSVGIRVYSSSPQQNLDPTVTVDPSANNAGGRKRRIVAQGVQNTISKTSMLVNFLPTGTLLTYEMVIPSIYHNGECSSVTTMMIYFLLALCSLSCFFFHFTDSFRGPDGKVYYGIVTPNGLSVFKPGLDVEVPKEDRYKAGVTDFVHAFLSVLVFVAIAFSDYRVTNCVFPGREKDMHEMMESFPLMVGIICSSLFLVFPNSRSGIGCMTA >KZN01842 pep chromosome:ASM162521v1:3:25943034:25943792:-1 gene:DCAR_010596 transcript:KZN01842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLDPDMIYYACIAKETTILAEFNSKDADLGSVALKCLEKAPELHSDFYHSVHNRTYMFIFERPYTYFAIFDENLDRPSCFLFLNSVADSFNLILDRNPVKRLTPISSHCFQGDFSPVFHQLLEPHSDLDVVPPSAGKTGADDGSVRNGSTPLLGGSAKKRFLGHFTGANKNKKKSGGAPKESKVDVSCEHHDFLGGSFGSHNKNGLFDDDKAKRVWRKHVWMVLSLDFILCVMLFIIWLCICRGFECLA >KZN03504 pep chromosome:ASM162521v1:3:45171980:45176906:1 gene:DCAR_012260 transcript:KZN03504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAKKIAKLDEEEELNPPIKLSSDDDEEANEDLTLKIVRKAMLRACGQKLESEEPEEVTEVKKKKVKKVRRKSKRIKTQSDSVTEGVEALVTEKETMVVEKEPMIAEKEAIEAEKEALVVEKETDKAEECKSVETDNLVEPENKKDDNAVLRKLLRGPRYFDPPDSSWGTCYNCGEGGHTAVKCKAAKRTKPCFVCGSLEHNVKQCTKGKDCYICKKGGHRAKDCREKKVAGGPQSSNLCLKCGEFGHEMFTCRNDYLSEDLKEIRCYVCKSFGHLCCVNYGDSTAGQVSCYRCGKAGHTGLACTRLRSESNGTNTPSSCYRCGEGGHFARECTSTQSSTRSRSESYGTGTPSSCYKCGETGHFVRECTSSAKNSKRNPGFSSSKVKSWGQDDEWFKNKSLSFDPDMAPVNGGFSGSSKGKRKNDWISENSGDYTHIKEKHYKNSPLRPANKIKKFSNSSADNGYASTPDSLGSARHHRFNYSPPDTAGGGYSSTPQAYGSARHHRFDYQHFHPVSEYSVSRDARFYEQASPASKRGNSTTGKMRNYYN >KZN00031 pep chromosome:ASM162521v1:3:1278301:1280467:-1 gene:DCAR_008785 transcript:KZN00031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPPKQTPLICFKWPWDANATTPQNPNTTTTSSCNFETPWIFKSMLSITSLASNLITSISKPQFQLKNDRKKLSGDEQAELEQRAFACALASDKEATVLEFYARNCRLCNSLVAFVGEVEKRNEEWLNVVMADAENDKWLPELLHYDVRYVPCFVLLDKNGRALAKTGVPSSRLHVLAGVSHLVKMKSPQRDS >KZN03739 pep chromosome:ASM162521v1:3:47543999:47544514:1 gene:DCAR_012495 transcript:KZN03739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVFKRSKHRIAYRPLGSAVDWWTFGIFMYELLYGKTPFKGNGNRETLLNVVGQSSKFPDGAFSFAAKDLIWGLLVKDPHKRLGFKRGATEIKQRPFFESVNWALIRGTTPPAILRPVDLSCYNQTLKSSLYSNNKGASDSERSSGPYLDFEFFWRKFSRRIRGVTHIN >KZN01356 pep chromosome:ASM162521v1:3:14843189:14844176:-1 gene:DCAR_010110 transcript:KZN01356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLGSSTGQAPGDDSEVIVNVQDEPVKPIIIGPIPMIQVYTPADEPILTNNRCNAAKIFSHPDLQLMFLGSVLPIEERGHLAYWVAHRRISGPQGPYYCGIGADKAFGRDIVDAHYKASLHSGINISSINGEVMPGQVSLTSCNLVASLGAN >KZN02669 pep chromosome:ASM162521v1:3:35662662:35670489:-1 gene:DCAR_011423 transcript:KZN02669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKPPRGFSIFGMGGGGRKSSDPEVSAPVMKLETDREVYRPGDPVVITIEIENPAANCSLLVESLRFEMKGIEKCDTQWFTTHKSLDNKTKRGEYIFMDSSVPSIVANQIISARATRTYVVRTLLPNIIPPSYRGATIRYLYYAKSILSGQYLILENGQFPGESIRDIKKLEARIPIQLWVSQKTNGLLYEHGRTDGIVPHSTILLDVFWKEINTDTDWARAHETYDGAEDGYDSSRDEVSSISSYNPSKESLHKAFGSSLSLQSSATRSSIKDYPYLEDRSSISSYMPLPRLSVAEVFYDSAGEVLSPLKSLSTEFPLKQLQHAKSFKMDDESGTPSGPGADEPFASEGFVRGRSYNIRLDDEVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGSRRCLELSVTLELSETINRRSAHQSRRHSPTITKVQSDHYEVVADLLQTSFLFSIPMDGPMSFSTRHVSVQWALRFEFFTTPKNIDWTRLEHPLLVEGRDKCEWVLPITVHAPPQTTSAAHIQNDKSFSLEPLWIRT >KZN00823 pep chromosome:ASM162521v1:3:9003591:9009594:1 gene:DCAR_009577 transcript:KZN00823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMEAKTHVSPPQQTQPLSPSMKRTLLIINCIVLSIGNCGGPLIMRLYFIHGGERIWLSSFLETAAWPFILIILLVAFYYQRTTTGNSTPKLFNMKPRLFFASAVIGILTGLDDYLFAYGIAKLPVSTAAIVIASQLGFTAAFAFLLVKQKFTSFSINAIVLLTLGAGVLALHSSSDRPEGESKKEYILGFVLTLAAAALYGFILPLVELTYQKARQAIDYMLVMEIQMVMCLFATLFCTVGMFINNDFQAIAREARNFELGETKYYVVLVCSGLIWQCFFLGAIGVIFCSSSLLSGIIITVLLPVTEVLAVIFYQEKFQAEKGVALVLSLWGFVSYFYGEIKQSRKLEKNRRAAEMELPLNQIVAS >KZN01553 pep chromosome:ASM162521v1:3:20423244:20429722:-1 gene:DCAR_010307 transcript:KZN01553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENTKQRHHLLHEGSERIIFKHLKDTILSLPNFNLCSSTRSSSIFYYFRISVFIFLLFFGAFISTRVLHSSLTSIAGIRIELPINCSAGNATRTCPANYYPGKYDRRDRSLMLSPPADQCPEYFRWIHEDLSPWRKTGITLEMVEQARRTANFRLVILNGRAYVETYRKSFQTRDVFSLWGILQLLRLYPGKIPDLDLMFECADWPIIKSSLYSGPNATAPPPLFHYCGDDSTLDIVFPDWSFWGWPEINIKPWGSLLKDLEKGNAKTSWVDREPYAYWKGNPVVAKSRMDLLRCNVTDKQDWNARVYSLNWREEARLGYKHSDLASQCIHRYKIYVEGATWSVSEKYILACDSVTLLVKPRFYDFFTRGLMPMHHYWPIRDDDKCRSVKFAVEWGNSHQQKARSMGKEASNFIQQELKMDQVYDYMFHLLSQYAKLLKYKPVVPRRAVELCSETMACPAEGLTKKFMMESLVKGPKDESPCVMQPPYEPATLQSVLQRKQNSIEEVEGWEKHYWDNQVQKERGQQLQMKCRKRGDEIMVNIRHSICQSIPRRWGRDTILSLLNFNLLSYTRSSAIYYYSRLSAIIFLLFLAAFAYSSLLHSLLTSIDGISPLKNEYPITCWLGNATGICPANYYPGKFDKKNLDLVSTSPAECPEYFRWIHEDLRPWRETGITEEMVERASRMADFRLVILNGKAYVESYRKSFQTRDVFTVWGILQLLRLYPGRVPDLDLVFQCADWPVISASLYSASNATAPPPLFHYCANDSTLDIVFPDWTFWGWPEINIKPWDSLLKDLDEANSRTSWMDRDPYAYWKGNPLVSLSRIDLLKCNVSDKQDWNARVYLQDWSREAQQGYKHSNLASQCTHRYKIYIEGWTWSVSEKYILACDSVTLLVKPHFYDFYSRGLMPMHHYWPIRDDDKCRSIKFAVDWGNSHQQKARAMGKEASNFIQRDLKMDKVYDYMFHLLSQYAKLLKYKPVVPRRAVEICSETMACRSSGLTKEFMMESLVKGPKDKNPCVLQPPYDPAVLQSALWTKQKSIREVERWEKQYWDNQNRND >KZN03950 pep chromosome:ASM162521v1:3:50130802:50132827:1 gene:DCAR_012706 transcript:KZN03950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTSRAHVVRIGIFTWGFLIMNFSYGAQSDINCLRSIKDSLKDPLNSLYNWNFNNNTNGFICKFNGIECWHENDDSVLNIKLSDMGLKGQFPRGVGDCKAVTGVDLSSNGLNGTIPTDISKLLPYVTKLDLSANSLSGTIPVNLANCSYLNVLKLDKNQLSGQLPLELGLLDRINTFSVTNNHLSGQVPQFKAGVVSADSYSGNPGLCGKPLPDCKGSSKKNNSAVIAAAAVGGVIVAALLVGVSLLFFCRRVVRKRDDDPDGNKWAKSLKGAKKIQLSMFEKSISKMRLSDLMKATNNFNKDNIISTGRTGTVYKAVLEDGSSLMVKRLQDTQHSEKEFESEMATLGKVKHRNLVPLLGFCVAKKERLLIYKYMQNGTLHDKLHFVGDGEKILEWPLRLKIGIQAAKGFAWLHHSCNPRIIHRNISSKCILLDVECEPKITDFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGERPTHIAKAPESFKGSLAEWITELSRDSKLQDAIDKPLLGKGYDSELFQFLKVACNCVISGPKERPSMFEVYQLLRAIGERYNFTSEDDIFLLSDSGGDAAFEELIVAQDTKEKH >KZN00051 pep chromosome:ASM162521v1:3:1471622:1473885:-1 gene:DCAR_008805 transcript:KZN00051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLLFACLAFQAILISGNGRSTYIVHMDKSLMPEAFASHDLWYSNTIHSVKAQDLESSPSLVYTYDHAFHGFSALLSKDELETLKKSPGFVSAYSDKNVTLDTTHTFEFLSLNLVTGLWPASDYGKDVIIGVIDTGIWPESASFKDDGMSEVPSRWKGTCEVGQEFNASNCNLKLIGARYFNKGVFAANPNITLSMNSARDTEGHGTHTSSTAGGNYVEGASYFGYASGTARGMAPKARLAMYKVIWDEGRYASDVLAGMDQAVADGVDIISISMGFDDMPLYEDPIAIASFGAMERGVLVSSSAGNAGPDPGYLHNGIPWVLTVAAGSIDRTLGGNLILGNELSLTGWTMFPAKALVNDIPLFYDKNISSCDSAENANAVAGVIISDDQSLFEFNDFPYPGIVITPKQGLDVIKYAQTTSKPSATITFQQTFVGTKPAPAVAAYTSRGPSSSYPGILKPDIMAPGTLVLAAWNPTTPTSSIGANIQLSSDYTAVSGTSMSCPHASGLAALLKGAHPEWSPAAIRSAMMTTANPLDNSQHQIKDIGSDFNIATPLSMGAGQVDPNRALNPGLIYDATTQDYVNLLCSMNFTINQICTITRTNISCSSPSSDLNYPSFISLYNSTLATGGITTVQYYSRTVTNVGDGAATYKAKVSAPKGSVVTVSPDTLVFGKMYEKLSYSVAIAFTGDKNGTVTFGSLTWTDENSKYSVRSPIVISPMVNAWE >KZN01023 pep chromosome:ASM162521v1:3:10887839:10891300:-1 gene:DCAR_009777 transcript:KZN01023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRGEEEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKTLNVDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITRNITFENIERWLKELRDHTDPNIVVMLIGNKSDLQHLAAVSTEDAKAFAERESLYFMETSALEATNVENAFKEVLSQIYHIVSKKAVETGNEAASLPSKGETINVKDDSSAPKKSGCCSS >KZN03703 pep chromosome:ASM162521v1:3:47089148:47096872:1 gene:DCAR_012459 transcript:KZN03703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKGSKNRKRQWRVGDPVLAKLTGFPSWPAKVEAPEKYGFPVDSKKVLVLFFGTQQIAFINPVDVEAYSEEKKNYLIVNRHSGGSDFHRAVLEIIAYSMKSRNEDKGTNCPLMGEVNMTNGSNSIDSLADSSVKDEASIAISIDSKKFRSDDDFLFGGASAADKQDPFRIKEAVPSEDPLCNVNAKEMFLPTGEDNKVAEEPKLFTEKRLMSNRRSRNSSQVNSYKLRNSILPSSKIIEGGGIGGRYGMRSPSCRRRRTKKSPDVSKLKDVDSPASAFCGNYEKNDLEIGTVGSGSLSFNDGSTMVSGYGLTQTESAVKCTEGDTELINKLDLPSSAVIVKKKRKPIRKRANSGTNELTGLEKEPASEIEEHKACQTPPSSNKDWDVDYINDDGDEHLPLLKRARVRMGLLSSEVEESDSPVHPEKSSEVSSVHPEKSSEVSFCRMMPLKAPLDSEEDSPFDRFRSRGTEEVDNSSLANKFPVNKPPHQGFSPILGRPVDVEAALPPSKRILRALEAMSANVAEDVQGTSKGPASMRTFVNASCSSALSDSYNMSPANKSEHDTMLHNIDYCGRISSQDDILGCSADSISLEADKHPASHAEVAACNISLSSNHIPKRTSCGTALAVDSADFSDCKDPGVSSFSKNLREPNVISQKPPSVAVFDGGLISHKGKQEDLLQHSVGNYQITNLEVENYFEENDLAEISTKKSDLVLASVGIIHCSTHDDSDPLLCNLRSNCATTNLSNLDKDKDDNNDGRFVLKKETIVKDFEVVPSSTKEASPTSLQDVPHLLRSSSYPEDHVSCKEVSGVRSSPPSNGGSDTPLVPLDNTSACNVSASENIVLYSNNGCSSLDVPSPHEKLKHAGKDDVQASAVLESFKLVLRFLKRTIDGIGCATRIAIKCGKLGIASEVVEVLAYSLEREPSLPKRVDLFFLVDSILQCSQGMKGNILYDNGHAVFATSNVCLFLGEIGGLYTSAIQAQLPRLLLAAAPPGSNGQENRRECLKVLRLWQERRVLSESVILSYIQDLDSASNPASGDPNCPRLKRNERAFDDPLRKVEGIIDEYGSNSSFERPKDEDGGNDSDMENFEAVTPEHNSEKPEGPIPISLNGKRSCILDAVDGEVEMEDVAPCEAELHSTGNVQVHVSENRFEQNSPVIPLPLPKNVTPLSLPRVAPPPVLPPPFSVLRNPVATTNDGKQLVTAPCSIARRVDPTSVDGCRYHAPDNGDLRMSMQIPETAKTGSFGSVPVPHSPFRPFNSSPQVDNALSRKKASHLKPHVAESVNSRPSGVVPVSRLPIQPVNSAPQVNGTVSQKKAFNLRPPQPATSNQFSYLRADQRKVDHRIPTRDIPSQSYSNRSHLLQNRDRENSYGVHDRYGAGLHNVGNNWRGTQPYFPGVNYYDKRRLPHPYGIYGGLSREPLLPSRSWVFPPSPINHRGAMLRRQPLGSTTPVTSRGVNISMMVSGLSGPNYWQPSPR >KZN02568 pep chromosome:ASM162521v1:3:34668217:34672086:-1 gene:DCAR_011322 transcript:KZN02568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVVRAKADNEIYAPNECPDYTDRAKGCEKQVKVRVKKAKAPKEPTSEAVEDGAREEGAAGEDGPREEGAATNLQEGATNKSAPKQKRPTSKTPAMGDASQGGIFTKKKKTTSAKNTVHGIEAEDFGDGRSAPVTSLRKLEVARKAKQDNRKKFAQAAAWKI >KZN02127 pep chromosome:ASM162521v1:3:29974748:29979342:1 gene:DCAR_010881 transcript:KZN02127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKRKSLWDSKEETENSVEFGKHDARHGREIHSSHHAKWSDVEAKNASNSNNGSRWSSSEIWQDNNGRKDYNEMPKTERAGDGDKSYSRSPGLTGRGKHNHSPPSEIYWSQSHRYQRSRSSSRSKGRAGSRSRSRSRGRDREMDKDTEGIQSWSSGRDRGMDKDTHDIRSWSRGRDRDMDKDTEYIQSRGRDRDMDRDTNDTRSWSRGRDRDMDRDIDGIRSWGRGRDRDVDKDRDTNWSRSTLSDHRREYNGMGDRKSVVRASSQLCRDFSVGMCRRGDQCRFLHDDNLNLSHEKLERERAEFRGRHETNEAFSADNGRPRYRTLDKVSNYDRSNRSSSFDHDHRRQPYKNVNIPCKYFAMGRCHRDDCRFSHDHPGGGAVKEKLQYDRYDQHADDENTYWNGPKWGDAHGVSDMNNNDRNNLDTRKTNYVDRTAKKQASGILDQDWKDEKITWDGPTWEHVTSVSDVARPNQWVDSNAGGVSFPEPPVARTKTDERCGNSMDLWNRTQNGSNTKNVDTVESTHVATFDGGVWVDAQGSHVQTAGGSSLQTCEKVIEGASTQQLINNVGHPLVSENSYVQQSDGMRGNNIMPNDNSNAVKGVNISGNTRQFLLPEQILNHNSGSSISMPSNINEADQRNVIPVSPVDGHEADPNRSDKQLLYPNQQDESKNFFKDCTNKQQVFESEVCQVDPSLPQNDMTNYQVAAITNLSASLNEIFSNGKQLPELYAVLNPKSSTDLLTSLSCSASVNSSVAATIGQPNQTTMFQGQHVPIGAIELGKHDRTDVSDEQRNHGTDSKHCEEKPAPFENTRSSTDRITEGANNNKIYELGEPKPLDQFLLPSINGPDPGKIEKIGSTEKAFSPTEKLLKPDINIAAHQNVSVKSESCKPEEGIPFDNANPEGRVREGNSGKDEKEMRLFKVALVEYVKEILKPVWKEGQMSREVHKSIVKKVVDKVTGTIQGGHIPKTQEKVDQYLACSKPKLTKLVQMPSINGPDPGKIEKIGSTEKAFSPTEKLLKPDINIAAHQNVSVKSESCKPEEGIPFDNANPEGRVREGNSGKDEKEMRLFKVALVEYVKEILKPVWKEGQMSREVHKSIVKKVVDKVTGTIQGGHIPKTQEKVDQYLACSKPKLTKLVQAYTERLLKS >KZN02309 pep chromosome:ASM162521v1:3:31899377:31906545:1 gene:DCAR_011063 transcript:KZN02309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKPQRSPAEIEDIILRKIFLVSLLDSMESDSRVVYLEMTAAEILSEGKDLRLSRDLMERVLIDRLSGNFVAAEPPFTYLLGCYRRAYDEGKKVLSMKDKNLSADMVAVIKQARKLAVSYCRIHLGNPDMFPNWDNANGSNKSSVSQLLPLIFSEVSSSVDGFGGSGSAAGVSCPPGFLDEFIKESDFDSVDPILKQLYEDLRGSVLTVSALGNFQQPLRALLYLVNTPSGAKSLVSHRWWIPKGAYLNGRVIEMTSILGPFFHVSALPDQTIFRSQPDVGQQCFAEASTRRPADLLSSFSTIRSVMNNLYDGLSEVLMCLLKNTNTRENVLQYLAEVINKNKSRAHIQVDPISCASSGMFVNLSAVMLRLCEPFLDANLSKRDKIDPQYVFSSSRLDLSELTALHASSEEVTEWFTKNNPGKVDVSDANSDGENRLLQSQEASSSGSNLGGSAKYSFICECFFMTARVLNLGLIKGFSDFKHLVQDIQRSEDSLASLKAMQEQAPSPQLNQNIARLEKELELYSQEKLCYEAQILRDGGFIQQALSFYRLMVVWLVRLVGGFKMPLPSTCPREFASMPEHFVEDVMEFLIFASRIPKALDGAILDDFMNFIIMFMGSPEYVRNPYLRAKMVEVLNCWMPRRSGSSATSTLFEGHQLSLEYLVKNLLKVYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTVEWERRPSQERQERTRLFHSQENIIRIDMKLANEDVTMLAFTSEQITAPFLLPEMVERVASMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKHLLKQIVNIYVHLARGDKHNIFPSAITKDGRSYNEQLFSAAASVLQRIGEDMRVIQEFVELGNKAKVAASEAKDAEAALGEIPDEFLDPIQYTLMQDPVILPSSRITVDRPVIQRHLLSDATDPFNRSHLTADMLIPDIELKAQIDEFLRSRELKRHGDNLSMQSSKATIQTTDDTPLIE >KZN03845 pep chromosome:ASM162521v1:3:49103438:49104217:1 gene:DCAR_012601 transcript:KZN03845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFVKPSHLLLFVFFLSSLESILATRREGEGEALVKWKNSLAPSSFLDSWSLTNLDNLCNWTGITCNSAGSVTEINLFGKKLDGRLSEFGFTSFPNLNNLTLADNFFLGPIPPAIENLTQLQYLDLSFNYLDGPIPFQALKHVSKNSQALKHLDGFFGSQDAQVIMAMHKMQYKKKMNTLREIALGLPKPSRLIPSLLRTTASRFQRCDGSSGVI >KZN01992 pep chromosome:ASM162521v1:3:27824309:27826050:-1 gene:DCAR_010746 transcript:KZN01992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKGMKITGKPLKVRKGAWGSDEDALLRKCIEKYGEGKWHLVPRRAGLNRCRKSCRLRWLNYLRPTIKRGDFDADEVDLMVRLHRWSLIAGRLPGRTANDVKNFWNTNFQKKLATTSYHGQKQVVEGEELINRKQTCSATTHEVVKPLPRTLSKGASVPCCNLNTHCDMHSPLPGEIIYDKISSSNINNDNNNNIIINKITSPGTPLQDQDGIEWWKDIFAEIGTQGQEEGSLEGQLMASSGGLKNLEAESGLIWKKDESFDPATATTALPDEDVRSCWSDILDLLNQDYS >KZN01592 pep chromosome:ASM162521v1:3:21106772:21109551:-1 gene:DCAR_010346 transcript:KZN01592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEITTAPEPKNVSEKDSGAVEELPKSIVRRLVKEKLSEHGEISVLREAVEAFSESSRIFIHYLSAAANDICKESNRQTINAEDVFKALEDIEFSEFVGPLRASLEDFRQKNAVKRSGSSKAKAPKKSKKETLTNNGTEEEQISNEGDEKSATERQMENETEEELNNDEENEDGNENRTTNGTKEEEDNNDEGNEDDISAPNDIEIRT >KZN02924 pep chromosome:ASM162521v1:3:39080849:39096597:-1 gene:DCAR_011680 transcript:KZN02924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRRFKAYLVGGCVRDLLLNRQPKDYDIITNAQLEQVKEQFRRTQIVGRQFPICIVHLKNTVIEVSSFETKVRGTIEDKKKKFLIVQRPIKCKKPDLLLWRDCMRRDFTVNSLFFNPFENKIYDYANGLRDLRSLKLQTLVPAHTSFTEDSARILRAVRIAARLCLSFSEEIETAVSDLSFSVRRISQAAYLTQQESKYGENSTMLMKLLHNLDRVSSCEQPSDSGLWVGLFAFHIALVGNPQHPLVVLCLASLLYYGNWKEAIHHARLQIDAPNCFEPEFLEATAFLSDHELAERVDQLAFLIHQSVHVLTDADSLHEMMENFPGCTSSGLVFVPKNMRSYIENVFGGIDGLVHNSKGRMSFDIDYELLQKRDVKESRFVIGKIVMETLCCAGDHEVTSQRDNDPIEKKIVEKQETSSSCQSLTARNMMSNEDVFTGVKQELQQKKEEKQQKTKKQKVVKIEDNTYVKSKVIGEGRHLLSESQVAEMQKAVENCEEITHSKCNFREADVAAKHNKKRKLHANHADTSLACSKKTVLDPSERQGFMTSYLLAMVSLTESVSMQSYGAAENGTVFAFADCRRDLSKNDCILCVEHCKVKLHSCLFFQSVFLGGRVYNNFDGCFLRYDGYRFFGEISDDEVFCAGNEFAGNKSLLRESVGELVRNLGVKGAKNEGFSVGNYNKGDFQVYGLVQCWRFLNKTDCEKCLEGLVAKVGSCLPKTEGSVLNSGCYVSHILGYSEIHNEKVKRQCHSVIHMIEVACSVVEKEQYDYLASVTDSKLIFSYEMLEKATKYFHDSNKLGEGGSGHIYKGRLPDGRTVAIKRILFDTKQWAEHLFNEVNLISGINHKNHVKLLGYSVTGPESLLVYEYMPNQSLHDYFFGKKNVEALKWDVRYNILLGTAEGLMYLHEDSNLRIIHRDIKLSNILLDKEFTPKIADFGLARLFPHDKTHLSSAIAGTLGYMAPEYVFSGRLTEKADVYSFGVVVIEVVCGRQNYAFSESTIPLLEMVWNSYQKGKLCEEIDPVVKASFQEDAERVLKIGLLCAQASAELRPSMSKVVKMLMNNQQLPQPTQPPFISSRYVEKIPLKI >KZN03152 pep chromosome:ASM162521v1:3:41777499:41778780:-1 gene:DCAR_011908 transcript:KZN03152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITEQFRKLETGRSEQGDEENFKGQSSSSIQQVEEIIGYSFKDKKLLEEAYTDPSCTKYGSKTSYERLEYIGDTVLNFLVGTEQFALYPDLSPGELTQLRSANVSTEKLARAAAQHGLFRFLRHDRPLLGAQIEEFISTLSKYHLSSVGLIDAPKTLANIVESTIGAVFLDSNNCNETTSKVINKLLQPIITPSTVTRHPVTLLFEICQKSGFNVEVLDTWEENGQIEIIVANTYVGRGQYKIRKMIAYNRAAADAYNDIVKKSI >KZN00716 pep chromosome:ASM162521v1:3:7864866:7867493:1 gene:DCAR_009470 transcript:KZN00716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQMDSKVRFVRCPNCRQVLPEYGDVLIYECGGCGTILQAKNQKTDTGDTGSIVHEADPTQKNGLNYLMSPSTDESDLEKILERCGNGISCRKEENGDPNQSHECHISEVISFHENTESSPETSGHAGAEQDEFPLVRYGTNCCNEVGDDDTELPGEASATNNMLSSPDLNRHEDKSVVQELGNLSPTKKDENLHSSKNISCGSQKYSQNCLKELPTSTGFSGDLSSCYESCEDGDLSSLSGTDKELDENLRSHSRSLAAVSFLLENPEDQKAKSSPVASESFPLTEQRKQPQVETSLVFQRLSSTDTLNNVPQVASRTKLGVTLRSSTAKLYYDHGVSTSCEETENKTSIQNLRPSRRNFRDAESRSANGMPKRDELPPRPINSSATLLAKKHNTTKGSKGSQNEPQESTERSRRVSSKMTTETGEYWSRPPIYSKASQVLRNGNFTSYEPKGLPARSIDPESKTRLLRMVYELQDQLKRVHSPEGMPHSRISSRAAKKEQLPAYNGEIYPELRYAFNTRHLDQIRTSFRQGKAPRMAFSGDAIHFRHEVDCSCLRCYRQKWHYSAQLPRHAYYDEGNTVIYSRGPRDSGHSPHHSAPSSPYQYKSSEFSWSHDTMLDGIRQNNEVKKKQQSAKQHFRPIAGGAPIIGCYCCKEILQLPADFLLFKRKCHRLRCSACEVVLKFSLVKRIHIIEYNPEPRTPPPSEAGGHTETTNLASASGATDHQRVDPVSSCDGYGQSSHRSSTEMEHSFLAPPSETLSGIYLRQKMSADNPFETKKDGKKPMEQDSTNIYNSRKTFELGEPSSKVYKPENMISEIEEVPPEDGSLLHQLMGYSSPGRII >KZN00186 pep chromosome:ASM162521v1:3:2847138:2847380:-1 gene:DCAR_008940 transcript:KZN00186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIPSLMQKLVKSVKGDKLVEAVSKKRSKASGHVYGSVEVGRRFYAESGRKDFGGSEVPVVKTLSLKKQELKETYEESQ >KZN02476 pep chromosome:ASM162521v1:3:33765781:33770334:-1 gene:DCAR_011230 transcript:KZN02476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIEGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPIEFGELPVLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYAHGSTELLGLQIDAAINAGNSGGPAFSDKDKCVGIAFQSLKHEDAENIGYVIPTPVILHFIQDYEENGNYTGFPVLGVEWQKMENPDLRMSMGMGLKQKGVRIRRIEPTSPESLLLKPSDVILSFDGVDIANDGTVLFRDGERIGFSYLVSQKYTGDKALVKVLRNSKTLEFNITLATHTRLIPAHIKGKPPSYYIVAGFVFSAVSVPYLCSEYEKDYDAPVKLLEKHLHGMAQSVDEQLVVLSQVLVADINIGYEYIINTQVHAFNGKPVKNLKGLAYMVEKCDEEFLKFHLEYEQIVVLQTEKAKAATPDILTTHCIPSAMSDDLKA >KZN00045 pep chromosome:ASM162521v1:3:1422360:1424426:-1 gene:DCAR_008799 transcript:KZN00045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINVSNIVERISDAAVDGVFRGLRYMFLYKSYVNDLSSEIEKVDIELHEVSARAEVERNNGKIIKDHVLRWLDNVIEIQESSKEFLNRTSRRPNPDFVSRFRIGREAVKKTKILVALGYSGKEILARDIAYLPPVTNIPQLDTSLLNIRSREYEKVWQGLTTEGSPLIHGIYGMAGVGKTRMAEQICKEAHEKKIFDKVVMIVAVGNFDDIQWRIARHLGLNFNPQDSVETRASQLKNSLTEVSKILIILDDVWSEIPLDAIGISFGDHEGSKILLTSRDEYVCLQNNCQHPVEIGTLECNEAWNMFRDIVGIGTLDSLRDKSLAKDVCTKCAGLPLFIQLLGTALKFRPQVRWVDALNILKKDDGIEWNIDNVWEVLFDNLVEDAAPCLFLCSLYPEGDDIPIRSLIQLAAGSQLVHSGRSRVSALVDILKSSSLLFDGKDDEHVILSATLRKVARSMAETDPKHAFLFATCGSRLPDRDDYNTRKLIHLDLEKNDFQFPENLVCPALHTLSLRSIGVAEPNLFPEGQKFSSGMCVNLRFLVLVNFSWQEQIPFKPLGKLESLFLDRCDLSSFLETGVSYFPRSLRTLGIRDCLLPKPLDLSNLRYLTKLEILCEVEFAPNTISGLPSLKELHVPEGFEIQHGVRQSISSFCTPIKKI >KZN03605 pep chromosome:ASM162521v1:3:46244303:46248208:1 gene:DCAR_012361 transcript:KZN03605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLSAVAKSLKPSPIQELSLLAQRCNAINLAEGFPDFPAPLRIKHAAIQAINSDFNQYAHVQGICDHVANKMKHIHGLDVDPATDIVICCGQTEAFAATMFAIINPGDEVILFDPSFETYDTCITMAGGVPVYVSLEPPCWTLDADKLMKSFTSRTKAIVLNSPHNPTGKVFTQDELEIIAGACRTWDSLAVTDEVYEHIIYDNEKHISLAKLPGMQRRTIITSSLSKTFSVTGWRIGWAIAPSCIASAIRNIHVKLTDSAPAPFQEAALTALTSSPEYFETLKNDYLKKRDFIVELLESVGFDFKFKPKGSFFLFVKLPDSCTLSDVEFVEKLIKQAGVVAVPGRGFFHQVAEKSQTAVCYQDRYIRFAYCKSNATLNAAAQKIKRLFNKGFSADC >KZN01561 pep chromosome:ASM162521v1:3:20579132:20585639:1 gene:DCAR_010315 transcript:KZN01561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTSPRSTSESGDFILPSPPSRHNGGAADLSPTGLLAYAASTSVSIVDSRSMQLISVIPIPPPPSTPISSFITSVRWSPQPLRRDILAHDPSTSLSHLLLAAGDRYGGIALLDLRIKAPLFFLETPDYIHANKPGIQDLCWIQARVDSWILASLSGPSLLSLYNTSTGRCFFKYDAAPELFACVRRDPFDSRHFCALGLKGFLLSAKAHSDGSEEDIQLNELRINTDSSEIQRLEKDAYTNPAAAMSPPLAIFPTYVARVAFSPHWRHILFLTFPKELLVFDLKYEVALFVTSLPRGKFIDVLPDPSTELLHCAHLDGKFSTWRRKEGQQVHTMCMTEELMPLIGSSVPSPTLLAVTLSSTESVMQNFGKHCSNVLNTVPVLDIKHHFEVNDSSIIICNTHLIAISDDGKIWDWLLTAEGNTESLKEKLNSTNMSEANDVNVSRSNIEVASTHDSTLVHPEDTRRCTTRFSIHCVTQEKITFKINLVGQLHLLSSTITVLAVPTPSLTAIVARGGNYPAVAVPLVALGSQSGTIEVIDVSANSVAASFYVHNNVVRGLRWLGNSRLVSYSYTLANEKSGGYINKLVVTSLKNGQNRPFRVLHKSERAPVRALKASSSGRYLLIMFRDAPVEVWAMTKTPLLLRSLALPFTVIDWTLPTVPRPGQTAQSRHLPVVSEDSATVAQMGATSPRTSTDSLEGSQDESAESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSTDGLVTALCYRLPHVVTGDKLGNVRWWDVTTGQSSSFNTQREGIKRIKFSPVAPGDRSRGRIAVLYFDNTFAVFDLDSQDPLASSLLQPQFPGILVLELEWLPLRTDRNDPLVLCIAGADGTFRLTEVNISDKKGGYVPHPRFVKERFRPVPLCSPVLLPTPHALALRMILQLGVKRSWFDAATNVDQGNSKLTTTTTTDDLRSYLIDLPPVVGDSVVPELLLKVLEPYRKEGGILDDERVNIYTTLVDKGSIMRFAFAAVIFGEYPEALFWLLLPRALKHWITKIVSKSHQKAQVSAPTSDVNNASMLVMLASKEKAASENKNVVASFKMNFGQLKQMAFEHGELWEMANERITWHEKLEGEQAVQKQIHELVALGNLEAAVTLMLSTPPESSYFPSNALRAVALSSAVSKSLFELSVNVVAANMVRTDRSFSGTHLLSAVGRYQEACSQLQDAGCWTDASTLAATHLKGSAYARVLQRWADHVWHVEHNIWRAMILYVAAGAFPEALVALREAQQPEAAAMFIVACHEIQKAFTSDSESHDESGPAAKEPVPPLPGLNSDNEDVIAVGEYYGEYQRKLVHLCMDSPPLAD >KZN00082 pep chromosome:ASM162521v1:3:1737903:1738193:-1 gene:DCAR_008836 transcript:KZN00082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQSWFNKLWDVPHGADAFMDETRRKVENRGTLRHLFSTKNSVLYRSKVAHKIKSIHTSLDNGDISLSDNTRKIQEGKWGGMVQNPTHQTNRWT >KZN00944 pep chromosome:ASM162521v1:3:10155058:10156380:1 gene:DCAR_009698 transcript:KZN00944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEIRGSTSSLPLQSSSHFNSSSQNSLAGALHGCLGNLDGACIEKLLLHCASALEQNDVTLAQQVMWVLNNVASASGDPNQRLTSWFLRALVSRASRVCPTTMDFNGSSTIKRRLMTVTELAGYVDLIPWHRFGFCATNSAIFKAIQGCPKVHIIDFSITHCMQWPTLIDALAKRPEGPPSLRISVVASWRPPVPPFLNVSSEEVGQRLANFAKFGDVPFEFNVIEDLSNFSNDNTSSNFHYDMLLSMLNPSALGLRDDETLVINCQNWLRYLPDDQKGNASRDVFLCMIKRLNPRIVTVVDEDSDLGALSLTSRITTCFNFLWIPFDALETFLSKDSTQRAEFEADIGHKIENIIGFEGGQRIERLESGIQLSQRMSNSGYLSIPFSEETVKEVKEVLDEHASGWGMKNEEDMLVLTWKGHNSVYATAWVPSCGFDEE >KZN02706 pep chromosome:ASM162521v1:3:36300140:36300960:-1 gene:DCAR_011461 transcript:KZN02706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKRNSSDLGLAAILCTSYAALHCYWEASSYYTSRKLQTQNSISEEMDQFGLPFSPILNELIFPRQEQEVGCLNLVGAPYIFMLV >KZN02773 pep chromosome:ASM162521v1:3:37138551:37143905:-1 gene:DCAR_011528 transcript:KZN02773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKEFGLRNVSSICSMSEMDDYDLTKLLDKPRLNLKREKSFDERSLSELSIGLARGLDNFESSYSPGGRSGYDTPGSSARNSFEPHPMVAEAWDALRRSLVFFRSQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTIQLQGWEKRIDRFKLGEGAMPASFKVLHNPDRKTDSVVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGMHCQSSLSPSQSTTLGDLCIGIYGYPIEIQALFYMALKCSLAMLKPDAEGKDFMEKIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSAAIMDLIEERWEELVGEMPIKICYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLVTAACIKTGRPQIARKMIELAENRLLKDSWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDRQMKPVIKRSSSWTC >KZN02151 pep chromosome:ASM162521v1:3:30261915:30262127:1 gene:DCAR_010905 transcript:KZN02151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEFFFPTYVVSHCESPYLTRMATKFIRGKATINQKEKNQNKYFGHVTPVVFCCFRLFVKYDRRIYINK >KZN02740 pep chromosome:ASM162521v1:3:36786782:36787058:-1 gene:DCAR_011495 transcript:KZN02740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNKPRRFKTNHSHRAAAQSSRSHQIPGDADSLPTEPDAEEQPIVPKIQLAMWV >KZN03383 pep chromosome:ASM162521v1:3:44210336:44210725:1 gene:DCAR_012139 transcript:KZN03383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFTVSSESIAALNTSSSSNNKTRKLGKHNLQIVKFYADAPHLGPSKPPQGIDKHSNSNKETSQSPQSALGDKIHGDKGHHVKGSGNDSPAGGEVILGGLATTFLIAIFCYIRATRRNNARLQEASPLE >KZM99923 pep chromosome:ASM162521v1:3:104215:109602:-1 gene:DCAR_008678 transcript:KZM99923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEIGSLEEARLESLIKELRVEYGILDKLVYKNKNQHRRSSYFQYLLKVRRDLRLLLQSDNLETTLNSSFLVVHGKRPKQKVQLLESLKRRKCDGKHTFLDQLLASARLLSQIIEPMVKAAMEISALIARSFFMGFSLTVMALLARLRVLVQQILLDVVSVFNAVSSLSRKEQSVKLTQDGLEVYRDLYPAIEQSVYLDCVWKTDKFVLFESINVPVNKNQDANLLDVYPGESAVQYQRTETLLGDTDEPVKVDLSFTCEHETSDIKANSLCLLEGYTDRTYEGKIAGDATETQCSVGAAEMCSKKRLSDSAFLKDKPESRNKVAFVSVKMPIPLAPGAVVPVEDVERCRGSRENSTFCLIADRNLKGSLL >KZN02889 pep chromosome:ASM162521v1:3:38671947:38672306:1 gene:DCAR_011645 transcript:KZN02889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKGDLLVCSSVTRFFENLGEMTVTEDELRAWRAKSEGEKDTFILKTYAEMLIHSKDREGSKALQTAHILNLEKENKDAKNQRKALRKSEDGRVVMEKELEKERLCLSILEKEASDL >KZN02223 pep chromosome:ASM162521v1:3:31072415:31078136:-1 gene:DCAR_010977 transcript:KZN02223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQESKSAAAASYDYENDPRWADYWGNVLIPPNMAARPDVVNHFKRKFYQRFIDPELVVEPISTSSTSQTTRPSTSNPSNQTRQQTSESTTRASGTYVSPASLRWDRQTIQFSLNAWVFVVAVLAVFPLSPQNLSNRAYRLSLTGTASTISMLSSQAEIGIGFLLIVSLVSWQRNIIQTFMYWQLLKLMYHAPVTASYHQSMWAQIGRIVNPLVASYAPFLSTPISTIQRWWLR >KZN02684 pep chromosome:ASM162521v1:3:35953106:35953616:-1 gene:DCAR_011439 transcript:KZN02684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLYSSHDFVHVKFVAEDYILSHLSTILFAACAE >KZN00409 pep chromosome:ASM162521v1:3:5104323:5106287:-1 gene:DCAR_009163 transcript:KZN00409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSEDNLELQEIQKLEGHTDRVWGLAWNPTSGADGVPAVLASCSGDKTVKIWQKSPSTSSFHLKATIEETHTRTVRSCSWSPSGKLLATASFDATTAIWEQVGDDFECLATLEGHENEVKSVSWNASGSLLATCSRDKSIWIWEALPGNEFDCVSVLQGHTQDVKMVLWHPSEDILFSCSYDNTIKVHMMRNPIRVAASALVLTVEDDTKSENNERYYMQVWAEDGDSDDWHCVQTLKEANKYYLVLLYLYYTIKPKH >KZN01373 pep chromosome:ASM162521v1:3:15042917:15046642:-1 gene:DCAR_010127 transcript:KZN01373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCPLDQNSYKLSILKDAPRIAAVKIFKQERVLQTESKVRVLTADNSPNDIQNFTYDWIARTRGDGYWSSSLSPDNFLKGRYVVPPGSSYGGLNDCFRTDDFDSSVVVLSCLSLIPELNFSGFHQLNSERASVIHERLALENQNLKIRAQKQEPEVLTVYTGHAY >KZN02455 pep chromosome:ASM162521v1:3:33615855:33618368:1 gene:DCAR_011209 transcript:KZN02455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGHFFFNNPPCNGNMSFLGNCDSVFRGARSVINMEDSSKRRPFFSSPDELFDEEYYDEQLPEKKRRLTAEQVRLLEKSFETENKLELDRKTQLAKNLGLQPRQVAVWFQNRRARWKTKQLERDYDHLKSSYDSLVSDYDSIRKDNEKLKAEVVSLSEKLQAKEIVREPTPDKNPEEVVSAEAAGGGVSVTVKVEDRLSTETGGSAVVEEDGRQVMDSGDSYFPNDNDQVNDYSRCLSMDQVHSDEDDGSGDGRNSYFYHEFVEVDRVEPEAHHRDEGEALGWWVWS >KZN00790 pep chromosome:ASM162521v1:3:8690222:8695373:1 gene:DCAR_009544 transcript:KZN00790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSLPEGSLFLGFDTSTQSLKATVLDSNLNIVTTEVVNYDLELPHYKTESGVYRDPLINGRIVSPTLMWVEAFDLMLKRLQKKLDLNKVTAVSGSAQQHGSVYWKRDSWAILSSLDPKKPLVDQLRYAFSVNESPIWMDCSTTKQCKAIEEAVGGALELSKLTGSRAHERYVGPQIRKIYETQPKVYKDTERISLVSSFMASILIGAYACIDQTDGAGMNLMDIKQRIWSKKAIEVTAPNLEEKLGKLAPAHAVAGLIAPYFVERFHFNKDCLVVQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITKEHQPGLEGHFFPNPVDTESYMVMLVYKNGSLVREDVRNRCANRSWETFNTFLQQTPPLNGGKLGFYYKDNEILPPLPVGFHRYITSLDVNTLSVLEQEVKEFDPSSEVRALIEGQLLSMRAHAERSGMPNPPNRIIATGGASANNSILSSIASIFGCNVYTVQRPDSAPLGAALRAAHGWLCNKKGSFIPIADMYESKLHKTSLASKLAARAGTKELIANYAFVMEKRMEIETRLVQRLGRV >KZN03717 pep chromosome:ASM162521v1:3:47284862:47285845:-1 gene:DCAR_012473 transcript:KZN03717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVVCQGLQSCLEPRLAEPCVSRHKISPPLSLAFQPIEENPKNNNNGNDDTNLKSVEKCNKGGWNFIEALINPSQNTKDVTKNEEVYVHPLVKSSSSALSSKGLEMCTESLGCETGSDTSSDEFSSFSWEREKMQLTGEYSTLYMEKSRQLDEFSSPSFNKNTSSYRKFTKVSTSKCSFPPPLTSISGSDGVEVRPRREGGRLIINAVAVSSCRTYFKADRTNGRLRLSLQKECSVDCDCEMNKMEEVVYEKEDEDEEEVLEHENVTELLSDNEANEDGWHEELDENNRTGQEFGIGEFARPSRCKEGGRGGKEIPNWGPLWVAIS >KZM99963 pep chromosome:ASM162521v1:3:500762:503658:-1 gene:DCAR_008718 transcript:KZM99963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMSGTLAVAEAGEGGSRPPLMCRREGFLQQIKDEEGEGCNMYGFIEVNKVAGNFHFAPGKSFRQSNVHVHDLLVFQMDSFNISHKINRLAFGDYFPGVLNPLDGVQWTQEPPSGMYQYFIKVVPTVYKEASGSTIQSNQFSVTEHFRTADHGLLNTLPGVFFFYDLSPIKVTFSEENLSFLHFLTNVCAIVGGVFTVSGILDSFIYHSQKAIKKKMEIGKFS >KZN00273 pep chromosome:ASM162521v1:3:3576197:3584472:-1 gene:DCAR_009027 transcript:KZN00273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEGIDDKIESVSDLNQDKIDKVGVRSEPPAVECPKVEAEYDSDDLPTKALNSAQQKKELEKAGELLSSTDAKETVTHHGSPNESDASDIVEQDVKVCDICGDAGREELLAVCCRCSEGAEHTYCMRDMLEKLPEGEWLCEDCKFDERKAQEKAKYNTVDGDELEDSSHVKLSRKRKSDDAEVSSVAKRQVLEPPIRSPKTSSPRRVDALFRDSSFKNLDRGKVKPAHQNTTTLQTGNSAIHAAGPQDARLNTSRGNLFKSKSFSSASAKPKVKLVDDIVPQKQKSNREAAPLDAKGGLCRSMGKSMSFRSVNSGRLNSTDSKVKMLSPKFSHGQDIKGQKHTKERSLFERKNSIRLERPLVNSTGASSTSSSPRVDRSASIRDETVPVSSTSNKRELKAVSSDSKLTQLSRPANKVMCKDSEVSIPSGEGTRQLSSASGIGSRVEKSIQARVRDDSSSTSLSTERQISIPDHARESTNVVENVKEISVSHPKHSTAGGKITPCQKCKDVGHSADFCTIDSPKQSLASDVHTSRSSKEAIYKDNKLKAAIEAAMLKKPGIYRKNKAPDQSVELGVSGNVNSELCTQDQLSNTRNPKKLVSAEGQSKEVASTWNFNTEFPKLTTGTNVKQFTNSAEAVTALSHRPIPHADGKSITMELPTPWSISGLSVVPAIPEHDYIWQGCFEVHRSGKLPDLYDGFQAHLSACASPRVLETMKKFPSTVLLNEVPRWSAWPIQFEETGVNEDHIALYFFARDCESYEKGYKSILDTIMRNDLALKGNVDGVEILIYPSNHLPVKYQRWNMMFFFWGVFRGKRNSCLNQVLGSPKKIANSRDMPTASMSSIEATSPLVSIEKELPTCNRTLKLASDDNSLINLQRLPAAKTKNGDGDCKAVSDLQQNDCVTSPKEQGSGLDCKSVPTYQMKPPLARQDNRSRSASLEGPVDKECKVNEEPKSAVQVASSSSCSDASVEKKTGRRNTRFDMRPLTSIEHLGEVNNKEQLIPKNSKIEGPSEVIEERGAVRFSSNKEFNSWPLSHRKRSGFDPPASESEATFVGSNLAVHGINRNRRFEDEDINKKQKLDYSDLYGLGDRTSSSTDGPQLQVSASSFMKKRYDEASNETLISRTPGNAERHFFPVDPNHVKHIDLGDNSVLGKSALSVEHEPLKSKIPNLNLALGDDTQAENNNQDQPSGRTVMTSEEDASAALSLSLAFPFADKEQAGQQVATKGLPPPGRQQELNFLKGFSAK >KZN00029 pep chromosome:ASM162521v1:3:1259671:1264909:1 gene:DCAR_008783 transcript:KZN00029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLKNVFHSKPSSSTSSSPSESPLSSTRSLGSKRKLTRQRKLRHVTEFDLGLQPVDDRSKSLPVSPNSGSLSPPNFRHWPVNAVPQPLPLPESLSGFKTGDSSQSNGRGRGGEGTSSSFVNAGQSPNHVARKSPTVPTYHRRGFRQENASDAQSFRLNVPARSAPTSGFSSPAVSPQRFHSVNFLHSSYDLPRESKSSNDGNAVSTSHVLPERITASPDHSPLHSPILLSPHRGTRNPSGIARHSIYKSLPESPVAWPEGNNSIVHPLPLPPGAPVPSHSPSPSHSLKPSHTTNGSSTKGQWQKEKLIGRGTYGSVYIAINRNDGAMCAMKEVDVISNDSKSAECIKQLEQEIKVLQQLDHPNIVQYLGSEIIEDRFCIYLEYVHPGSVNNYVREHCGSITESVVRSFTRHILSGLAYLHSTNTIHRDIKGANLLVNASGVVKLADFGLAKHLTKYAVDLSLKGSPYWMAPEVLQSMMRKDSNPELAFAVDIWSVGCTVIEMLTGKPPWSEYNGVQALFNVLNRSPSIPETLSAEGKDFLRKCFQRDPADRPTAAALLDHPFVRNKQDQVSSCRREFSGLKLHVSLQTPLVVSIHLFHLYVVFGWGE >KZN02758 pep chromosome:ASM162521v1:3:36954343:36955810:1 gene:DCAR_011513 transcript:KZN02758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLILRRSASRVINGGNQVHGKLIVPGASVPWLRQFCSSPCLSSEVNEFHAKRPLDESVVNNLISQVQILQRELESLRKSYGKPPGYELGQGMDESQSINKGKDDDSSERDKGDHDHSNDSQSNENDSNSSDDGRGTNDDDHSNDEALSETDGNNSDKEEDYPGSSSIVLSQEYEGELVEVTVENPTIFGESRYYGYNERKSCSFSMDLTVVVSSINSQSKKVELKCGARPNGITVYAAKDPQDGDCNAGVHMSEFNENLKVEFGKYLEARGIKLSTIDFLYKYMLDKANKERLRILENILKMVV >KZN03171 pep chromosome:ASM162521v1:3:42010841:42016098:1 gene:DCAR_011927 transcript:KZN03171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPCLGKIVERVSDATVDSLFRGCGYMFCYKDLVKVLDKEIQKLNIQEERVSAKTVEKRADGKIIKGHVLEWQKEVEAIQKNAKEEAEHKAKRVTELYDSGKDLLSNEIAYLPPVENLPTTDTMFQDFESRKDAFRKVWEALVTEGSSRILGIYGMPGVGKTRMMEQIWKEAKEKKIFDKVTRADVGNEKLDVIELQKQIASHLDCHYESSDDKKHRANQLKNSIVNGGKILVILDDVWREIPLDDIGIPTDDGCRVNILLTSRKEDACLRNNCKHPVNITTLEVDKAWDLFRNTVGACQIDSLQDESLVKKVCKKCGGLPLLIHAVGKALQFTSHDSWKDALRQLEKGKFENIAGIDSEVYACVKLSIDRLPDDAKSCLFLCSLYREDADIQIKKLIQIATSSLVDDGESRIRAMFNILRSSSLLLDTQEDHVIKLHDLIRDVARSIAARDPKYAFLLVKSSSRLPDTADYCTRNEGTLQLSVGVISVFVNLKFLLLEGTLDTLMLQFSLQPLCKLRSLILDFCDISHINVRFFPENLETLSIWNCNLPLPLDLPKLKYLRKLEIEEGRPGVQLVPNSIYNLPSLQELRIPNGFEDLDVGPGISWDAVSAPILVEISKFTGLKSLQMYFPDFEPFQDTNVFTNLLEYNICVGHPGEHTRYYPDYIVSSKKSIELYGTWPEILGGLIEKAEEVKLHGTDMNVSSIHNRNKKAFTDLRNLYIEECNTMEYLARISEDEICYSSQSQTSFCKLTTLEIKNCSGIKYLFNDFVAKSLVQLQNLYINSCPVMEAVIMNEGTSDKDIIFFSKLKSLELINLPRLKCFYKQKDMNSSATSILDKSVIPLVQPQPLFDGMVAFQSLEELFLDYMEDISEIWGKCYNDNVSSFSKLKRLKVDGCNKLETFIQLSMLHRLRNLECIELSYCDGLRNMFLPSIAKDLMHLKEILLEEIVEDVEVDKTSFTNDQIITSFQLEQIVLRDLPNLKSFSRTLNYALNMRNLHLFQMHVCPQIENFTSLKMSTGLVSVNTEWHNFERFPDLNAFIRRYRERGSSLSD >KZN01760 pep chromosome:ASM162521v1:3:24660347:24670868:1 gene:DCAR_010514 transcript:KZN01760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIDGENPLLKDLDFPPFDCIQADHVVPGVRALLNKAENELAELESNLEASWPKLVEPLEKLVDRLQVVWGAVNHLKAVKDTPQLRTAIEQIQPEKVRFDLKLGQSKPIYNAFKAIKESSDWDKLSDARKRIVELMRMMYISEHHMIFDAYLQEFKPHTSFSTQSFFFLYDVLLNDISQQHAFPIYAARIKEAVLNGVSLEDEKRDRFNKIEQELVKLSEKFEEHVLDATKKFEKLITVKKEIEGLPATALGLAAQTAVSKGHENASAENGPWVITLDDPSYMSVMQHAKNRDLRKQVYLARLTLASSGDLDNTGIIDQILKLRMEKAKLLGYHNYAELSMATKMATVAKAEELLEKLRAASWDAAVKDMEELKQFSRDQGALEADDLTHWDTTFWSERLRESKYEINEEELRPYFSLPKVMDGLFNLAKTLFGVVIEAADGLALVWNKDVKFYCVKDSSGSPIAYFYFDPYSRPSEKRGGAWMDEVIARSRVLSRDGASARLPVAHMVCNQMPPVGEKPSLMTFDEVETVFHEFGHALQHMLTKEDEGLVAGIRGIEWDAVELPSQFMENWCYHSSQHNPLSPCSLLVLTFTTFYMEELKQFSRDQGALEADDLTHWDTTFWSERLRESKYEINEEELRPYFSLPKVMDGLFNLAKTLFGVVIEAADGLALVWNKDVKFYCVKDSSGSPIAYFYFDPYSRPSEKRGGAWMDEVIARSRVLSRDGASARLPVAHMVCNQMPPVGEKPSLMTFDEVETVFHEFGHALQHMLTKEDEGLVAGIRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYENGESLPEDMYLKLLAARTFRAGTHSLSQIRYASIDLELHTKYVPGGSESVYDVDQSVSQKTLVFPPLPEDRFLCGFSHIFAGMYAAGFYSYKWAEVLSADAFSAFEDAGLNDIKAMKETGQKFRETILGLGGGKAPLDVFVEFRGREPSPEPLLRHNGLLPVSI >KZN01782 pep chromosome:ASM162521v1:3:25047531:25050386:-1 gene:DCAR_010536 transcript:KZN01782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPYISPKFRYESEFYLNVVHGRDIVSWGAVDRNRSDGLSDQLIGFVTARLVMAKGSDVEDILRFETSEANQTLVYILTLGVVESYRNFGIATSLIKEVIKYASNITMCQAVYLHVISYNNSAIHLYEKMSFQCVRRLHAFYFINGQHYDSYLFIYYVNGGRSPCSPLCVSRKTLETQKITFSGGQLPFPLPKKAISWQRELATIFIAYIRCGFKAAASKLWRKKDKKVPVWMKCKESSGLLPTTQNKRILTSHN >KZN03806 pep chromosome:ASM162521v1:3:48335141:48336245:1 gene:DCAR_012562 transcript:KZN03806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKMKGLLKGLKQISNKFDNKGEEIQIGLPTDVKHVAHIGWDGPSSLESPSWMKEFKGGAAQSEPLDFSAPPAEDSEKKWVSQDSSRKKSIKSRNLPELPKSSRRQFSESPATSPTPSRDPSKSRNPRRHPRKESDESSRGNRRSKELSPDAPDQGDVPKKTRRKKPKDSSAHRSPKPKDQDNEPAVDGSSQESLPSNTVSDPFSEPGSVDIDSKPMAAGAELLPASKLQSFVPEVQKPNVEVA >KZN00330 pep chromosome:ASM162521v1:3:4267699:4269256:1 gene:DCAR_009084 transcript:KZN00330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQISKLVCLVVIGLLTALLEPPYSVTSAGKLNTADGSEFLSLPDVPVRPKGRIGATLVSASYSSSRNMFKNLSSPVQLTERHLDPQNSNEAINGQQEGHSVSAGCCGDEQTDKESHVPGLPKESPKTSEQLIPGNMKFLYPASGAEELTVQVLRKPTGAFGALPGNSAAKRKFDLEKIVKGEVKLRQNKSTVNLPFRSFSGRGNGLQPAIPAKPLEVSCHKAPDTVVATDSRLQNTILLNEKLGDEQVHEDPMPEQKISNISASFFDVDDGDETVSLSDLSSSFRKCFQSTHRERKAPQVWKTHEPLHQFKPFDYEAATKQAVYEDSKRRKSKDDSENRNKHAENMKKKSIIGHSEGGEESGGFQLGRRRQAFPATGNRSATFH >KZN03391 pep chromosome:ASM162521v1:3:44241565:44242743:1 gene:DCAR_012147 transcript:KZN03391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQEVKVYGAQESAFSKRVEIALKLKGVEYEYVEEDLLMKSPELLKYNPVHGKVPVLLHNGRPIAESLVILEYIDETWDSGPSILPKDPLERANSRLWTKLIDDKLLGAVWKYVTSQGRDQDAIDKINEVLGVLENELKAMKFFGGESIGLVDIVANLVALWLDVIQEVFGTKIFEKEKYPKLSTWTEDYMNCSIVKDTLPSRAHLLAFWRSRFPSAPASK >KZN00261 pep chromosome:ASM162521v1:3:3511465:3512925:-1 gene:DCAR_009015 transcript:KZN00261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRPLEIYMLPFLSFSHMIPLSEIGHLFSSAAQNVTILTTPHNASSLLKKTTDSPNFRVQTFPFPAKQVGLPDGLENFLSAKDIPTASKLYAAMTLLQADLESFMISNPPDVIVSDMFFPWTADFAAKISVPRIVFQGVCMFAQTLKHEVRKSDSPHHSVESDYELFVIPNLPHKITMTRSQLPDYIRTPNGYTQLMEQWREAELKSYGIIVNNFSELDSVYTDYYKDATGGKIKIFHVGPTSLLNSNSNNKMERGHETVVTDNDRLNWLNEKNFNSVIYVCFGSACVFPDLQLMEIACGLESCGKDFIWVVFGKDEEKDDDMIKWTPSGFYQNVIKTKRGMIVRGWAPQVLILNHPSVGGFVSHCGWNSVIEAVSCGVPMVTWPLYAEHFYNEKLLTQVYGIGVEVGAEEWNLWVDSGKKIVRREKIEEAVRKLMDGEDETVKEMRRKIEELGDVAKKAVQEGGSSHKNLMVLIEELKKLRDQ >KZN03880 pep chromosome:ASM162521v1:3:49580114:49580622:1 gene:DCAR_012636 transcript:KZN03880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTKNSRNFDRKFLEVSTSTFSEKSTKPNHHLHILIFTVLSILLILFCAVSISSDDSSARAASMIIPISRRQLLSSTMNSFNTKKVNGDKSTDLPASKSNRKAKFEGDAHEVPSGPNPISNRYHLWRSSSITKLVLIS >KZN02088 pep chromosome:ASM162521v1:3:29403027:29409175:-1 gene:DCAR_010842 transcript:KZN02088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDLIPSIFILFMLIIYPFFTSTSTTNRRAIHLPLTRTLCGSNSTAVRNHLRSRLLSLRMPLYDDLALDGYYTAHIMIGTPPKEFALVVDTGSTVTFVPCSTCQHCGSHHQDLKFHPELSTTYEPIICDIDCTCDNDGVLCIYDRQYADMSNSSGVLGTDVISFSNQIGLAPQRAIFGCENGETGSLFSLSADGIMGLGRGDLSIVDQLVDRGVISDSFSLCYGGMDIGGGAMVLGGVSPPKDMIFTHSNVINSSFYNVELKEFHVAGKPLSLDPYVFDGKDGRAVLDSGTTFAFFPEAAFIEFKQAMIKELHSLRQIPGPDPHRNDICFSDAGSDISELSKYFPTLDMVFERGQKLSLFPENYLFRHPEVHGGYCLGIFQNGKDSSTLLGAIVVRNTFVTYDRENQQIGFWKTNCSEVWERLNATGAPTPGPSTLEIPKPSALLPPATNPTEASPYVTIGPKVGLITFYMFFNVTHSIIQPHIEELVQNMAEELDLHASQVQIINFTSVGNESLIGWTISPAGSDGYISGTTARSIISRLAEHHIHLPEIFGSYQFLKWKAGPPLKRTCWQKHHTTVLFSMIIVAVVLGLAVSATWYIWGRKQQTVVPYKNFSCRKLRSSAVIN >KZN00119 pep chromosome:ASM162521v1:3:2077478:2080457:1 gene:DCAR_008873 transcript:KZN00119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSSSALCPLQQHQNPLFPKYPPGFRPLHRASSTNQKLGLSIRASSAIALDPVSSITSQEDLKDDLFACPICYEPLIRKGPSGFNLPAIYRSGFKCSKCNKTYTSKNTYLDLTVTSGTKVYSESKPSRTELFRSPLVSFLYERGWRQNFNRAGFPGPDEEFTMAQDFFKPAEGGILVDVSCGSGLFTRKFAKSGTYSKVIALDFSENMLRQSYDFIKEDDTVLNSNLALVRADVSRLPFASGSIDAVHAGAALHCWPSPSNAIAEINRILRSGGVFVGTTFLRFSPSTPSFLRPSGRSLSQNYNNLTAEEIEDLCTSCGLINYSCNIQQSFIIFTAQKA >KZN01978 pep chromosome:ASM162521v1:3:27659445:27661872:1 gene:DCAR_010732 transcript:KZN01978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANINNKDEPSTAPTPDRWYNLNLGSSFKDHHPSSKFCTLRYEFKPASIDKNQTGTLTKNKDNRVTVEFQNNQQGKPKVTFEGSSEEYKDNDAVLFFDGETFRLERLHRAVKRLRHVRTRGESAASVAGANTVGMNAVDASSPPVGKANKFQSANKGTNLPVSVEVERIEIGDFKSLDSKPRTERTFGSPTSRPSLQNTSPDMKSPDAKIDDLDEQLDILNNDDEDAAEESNRRNVFEKEFHSGIDINMPQQNDTDDEIAEIDVSDDDVDKGPNAAEALREQDNAEGRAAQTSSSSSSESGSSGSGSKSSSESGSGSGSSSSDGGSSDEVTSI >KZN00763 pep chromosome:ASM162521v1:3:8244288:8247092:1 gene:DCAR_009517 transcript:KZN00763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFQETGLMTAPSIDASFYNHSVGNDTVININESEIILTKMQLDSSYDPHFVTDCPAAHRSSWSEIPTSSDLYGQKSPGKVSFIEKLRRRGRHGGSAFSSNKSFPRESELVSMRSKSRLIDPPEQNDSFKRIMKSVECIEEGSDVHDDDPYVEEDFPEEFKMIKFSKWAVIQFFCMIFIIAALVCSRFLNIFNENKLRGLELWRWSLLLLALLCGRLVAGWVIRVVVFFVELNYMLRKRVLYFVYGLRHAVRNCIWLALILISWRFVLVDRVENLVHGKALNYVTKAWVCLLVGTLIWFVKTLFVKVLASSFHVSTFLDRIQDALFDQYVIETLSGSPLVEHHLEPVEEDQIMAAVPQLQNAGATVPPDLRTTVSSTNTKPKRMAKTRKSFPSFKSSRFSTVATKEEKEGITIGHLHRWNQKNISAWNMKRLMNIVKKGTLSTLDEQTGEDESAVQIRSETQAKFAAKKIFCNVAKPGSKHIYQEDLMKFMRDDEALKAITHFEGASGWKGISKKELKNWMVNTFRERRALVLSLNDTKKAVNKLHQMLNIFVALFIGAIWILILKVASIHFFVLLSSQLLLVVFVFGNTCKTMFEGIIFLFVMHPFDIGDRCEVDDVQLVVEEMNILTTTFLRYDNQKICYPNSLLSNKPISNYYRSPDMGDAIDFSIHISTPVEKIALMKEKITSYIEKNSDHWYPAPMIVLRDIEDMNRLKISLWLSHRINFQDMGKRWARRALVLEEMIKTFKELDIEYRMVPLDMNVRSMPAMASNRLPSVWTSHTN >KZN03597 pep chromosome:ASM162521v1:3:46133859:46134050:1 gene:DCAR_012353 transcript:KZN03597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVAHATLTGPSVVKEIVIATVLGMCAGGLWKMHHWNEQKKTRTFYDLLEKGKIGVVVEE >KZN01145 pep chromosome:ASM162521v1:3:12180511:12183508:-1 gene:DCAR_009899 transcript:KZN01145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKNVTIRTRKFMTNRLLSRKQFQLDSSIALGLIIDVLHPGKANVSKAELKQKLAELYSVKDTQAISVFKFRTHFGGGKSTGFGLIYDSVESAKKYEPKYRLIRNGLDTKVEKSRKQLKERKNRAKKIRGVKKTKAGDAAKGGKKK >KZN01557 pep chromosome:ASM162521v1:3:20459370:20460868:-1 gene:DCAR_010311 transcript:KZN01557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGYKLIFCNTGQCRPRMCKSEVLTLSHEALLALIRQVSRINVLFHLCSIGDSDPKAKLPCSNCLRPGFVGVHKVSALSIITVKYICDWFFVFVYKRRCFEADNCVVISDIHGSRNTGYDNHHEPDSGKDTCHSDSSNLFNIHSTQGDITVVVPGFEDMGVRSPGNLRSE >KZN02283 pep chromosome:ASM162521v1:3:31620033:31620416:-1 gene:DCAR_011037 transcript:KZN02283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKSLVQTLRKYIKKPWEITGPCASPEYKLAVPLATEYRLHCPASFPQQAIVPTSDPETVYDIKYFSRDQRRNRPPIRRTVLKKADVEKMMKEKTGFDVSEFPPVYLTKIVEEDYNARGGGYTH >KZN00253 pep chromosome:ASM162521v1:3:3459009:3459362:-1 gene:DCAR_009007 transcript:KZN00253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISRIACLVMVFGMAINLMVERGEALSCGDLGASISQCSPYATGAVGQPSPGCCSAVKGVYAMAKTTQDRKVLCNCLKQSSSAVRGVQLSNVAAIPQKCGVPVSFSPDPYFNCNS >KZN02914 pep chromosome:ASM162521v1:3:38983886:38985945:1 gene:DCAR_011670 transcript:KZN02914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDLKLRAFYDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLVRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLTKDDDVRKYVNTYRRTFTTKAGKKISKAPKIQRLVTPLTLQRKRARIAKKKQRIAKAKSEAADYQKLLASRLKEQREKRSESLAKKRSRLSAASKPSIAA >KZN01555 pep chromosome:ASM162521v1:3:20440543:20442579:-1 gene:DCAR_010309 transcript:KZN01555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIMKAFVWRSNQIYYGSLQGACRGKGEKPKYEPKPTVWQLQSKLSSQTGIMKEFILGFKHLIEPSVFIDAMNNNEKALSLAVGQIHHKTLPEDTKSFKEAVLSPPPTQAPKFLQVKQNLPPRTSPIRGPCSIFFTGIDDVVNVATLWQLFKKAGAIKDIIMPRKRDKFGNRFGFVVAQNEREAEKIIGHLNGRNQGRNTLYLAKTKRKPGTKLSHSPTKSNPPQRQVNLNIPHVESKGVEIKNPSPNKNRVERGSPNQDTTIRPHPTTLSHDSGLQEELDKCVLLVTVKKETIGNVEMIVGGLGCKDAIIRGLLNNKFMAYFPEVESLEEVDLDFLGIGFLEVRKIQMDDLVVPHQACVDIRGLPILGWTEENYASLLRPWGEIIHYGRTLDEDAYYITPKLLIETAHLENINETKKIDLLGKQWSIRIVETYGVGSDLHADSDSDNDPEEGNVGPIFEEGHSDEAPILHDMNLEEAEDRVTENHDGTDGAVSEGDDGSCINPVTPRSFIPEEQDCHKEVTTLNTHHTIVNEPPVVEESPEFDLHTANWKPRERDSSTSFPKSTSDENKSNVEDNSDKEDSDSFTLTSGVLKELQNMKVQVKRGRPRNFKKNQFNKHFKLPRRKKSKGEGLQQISHQFLNANYDEAEAIYETCMMMGLLPSGSKDHSIELIKKNLK >KZN01729 pep chromosome:ASM162521v1:3:23946183:23946930:1 gene:DCAR_010483 transcript:KZN01729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFACLNEVLKRRMNPVEKTKETTQNSSPSVHNDVADHQVSASSENKDPTTEKISGGQIHRLLVVMKHSWMSQILR >KZN00850 pep chromosome:ASM162521v1:3:9247824:9248448:-1 gene:DCAR_009604 transcript:KZN00850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTIATDLTRQINQKSEEDGFGGVMGMGRGMMSLVSQLNVSKLSYYLPSIDDGLLLMMIRKMNATVDDTSSDGYVACFDLHSSVVVPKLVFHFENADIELDNYMINDRRSGSGA >KZN00187 pep chromosome:ASM162521v1:3:2849319:2850371:1 gene:DCAR_008941 transcript:KZN00187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTTAIMNGMIIEKVQSLELVVYIVLQQEKTYDVELPEASGRLILGTNKGWLVTLGRDLQISLLHPLLRQEIPLPHMGTFLHQHYAMSPISPEDASEEFILRVAMSCKLHPSKNNGMYSSNPIVMAIYGARRYLAYARLTDKVWTEVFFPIMAPFIEDIACYKGKFYALNGRGDLFVCDIDDNSETQGRAKGTKIFSRPTDLDIGINYDNSRTYLVESEFGFWLVVREFKAKYFKAPHRARVKYRTCNFTLWKMELKYSDHPSELPSCTCIPENNLGDQALFIGRATCLSLPSSQYIRPNCIYFTDDTEVSFHKGGGHDMGIFNMETHTIEPFFQGKSIHPISPPLWYI >KZN00743 pep chromosome:ASM162521v1:3:8053930:8063181:-1 gene:DCAR_009497 transcript:KZN00743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGGGAFEDEEKWLAEGIAAIQHNAFYMHRALDSNNLREALKFSALFLSELRTSRLSPHKYYQLYMRAFDELRKLEVFFKEEDRHGCSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKDVSAKDVLKDLVEMCRGIQHPIRGLFLRSYLSQVSKDKLAEIGCQYEGGEDSVMDAVEFVLQNFAEMNKLWVRMHHQGPPRIKEKMEKERSELCDLVGKNLHLLSQIEGVDLEMYRDTVLPRVLEQVVNCKDELAQYYLMDCIIQVFPDEYHLQTLETLLGAFPQLQATVDIKTVLSRLMERLSNYASSSPEVLPDFLQVEAFNKLSGAIGKVIEAQIDMPIVGVITLYVCLLTFSLRVHPERLDYVDHVLGACVQKLSGKPKLEDSRATKQVVTLLSAPLEKYNDIATALTLSNYPRVMDHLDSSTNKVMAMVIIQSIMKNNTCVSTSDKVDVLFELIRGLIKDLDGTPVDELDEEDFKEEQNSVARLIHMLYNEDPEEMLKIIVTVKNHIMTGGPKRLPYTVPPLVFTALKLVRKLQYQDGDVTGEEVPATPKNIFQLLNQTIETLLSVPSPELALRLYLHCAEAASDCELEPLAYELFTQAFVLYEEEVADSKAQVTAIHLIIGTLQRINVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDNPDGIKDGERVILCLKRSLRIANAAQQMANATRGSSGPVTLFVEILNKYLYFFEKGNPHITSAAIQDLVELIKTEMQSDTTASDPATNAYFASTLRYIQFQKQKGGVMGEKFDAVKV >KZN00022 pep chromosome:ASM162521v1:3:1160829:1161161:-1 gene:DCAR_008776 transcript:KZN00022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLDMAASWIQEASMFFHEMKLDEGNKQELGKLLVGIKDSCRNASDYELLMRSDIVEQILDMYRKGDDIGVIVLSELVGKTVVLPKNNARVGLRFLTRIMPNLVKGDDL >KZN00594 pep chromosome:ASM162521v1:3:6712027:6712590:-1 gene:DCAR_009348 transcript:KZN00594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTSRRRNGPVLRSHSPSGRFYSPSPASASSSAFASSTFRSTSPTRVSLYSTPSASSVKFSLDRPGSPSRSISTTSRNQIVKKPSHNPLSNQKRTCMCSPTNHPGSFRCSYHKNLANQTASYPANRLNARRSAMTNSLVRIGTVEGDLVKRALAALIRPSSHHQKRRGSFESRPSRLSVMSKAEED >KZN03712 pep chromosome:ASM162521v1:3:47242920:47244224:1 gene:DCAR_012468 transcript:KZN03712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLQITLFSLLFIFTITQAQPSFRPSALVLPVKKNASTLQYVTTIHQRTPLVSENLLVDLGNRYMWVDCETNYVSSTYRPVRCETSQCTMSNPDTCSDCFNRPRPGCNNFTCGVFLENSVNNIAMIGEVAQDVVSVRSTDGSSSGRVVSVPHFIFSCAPSYLRRNLSSGAVGVAGLGRNKIGLPSQFASAFSFKRKFAMCLSGSRSSNGVIIFGNDPYKFLPGIIVSDKTLTYTPLLTNPESTSASSHGEPSVEYFIGVKSIKINSKIVPIDTSLLSITNGNGGTKISTIDPYTVLENSIYKAVLKAFIKESAARNITRVASFAPFGACFSTDNILSTRVGPSVPSIDLVLQSESVVWTITGSNSMVYVNDNVVCLGVVKRGSNPRTSIVIGAHQLEDNLVQFDLASSRVGFSGTLLGRQTTCANFNLTSSA >KZN03158 pep chromosome:ASM162521v1:3:41832299:41833273:-1 gene:DCAR_011914 transcript:KZN03158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKPLVEIINDSDDVDDEVTILHSFSPSVRKKKGANKDTAISVEDYYMQQAIMASVTTKKTNFVDLDEYPDHKFDVQIISPFDMSSSSKGNSVFEVGESSNSKKFNLEIVDPDDDPNDDPVIPFFVCEICVEDRLFDDSFSVKGCCHFYCTDCVCKFVDSRIQENVTRITCPVSGCNGVLELEDCRAVLPREVSDRWGDALCEAMILDSAKFYCPFKDCSAALIIDDDDMSGIVESECPFCRRLFCAQCKVPWHSGIECGEFQKLHKDEREKEDIMLMQLAKNKKWGRCPRCKFYVERSEGCLFMKCRLMSSYNSYSFALV >KZN03497 pep chromosome:ASM162521v1:3:45095154:45099644:1 gene:DCAR_012253 transcript:KZN03497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYIGISSSNIVQTGDNRPVEVLKEENFSRAVEVSVSRLEEPGEPSIDKGKIAEVGFGDLVSPSLGPRVDSPAVKQRPGFGSASLFELLSRYERGGKRLSDHENLGNPSCESSSRQKLSTEEIIRVAAERYIEFPSKDLDCVTTFIHPYGSALSSLSLEETSGADLAHLLLSAAEKIWDGKIDSARRMLAFCQCKASRSGNPIERLTSYFSAALQERISRETGSRRISTISDNARTPNFNGLFTGVDQTAVAIHEYIPFSKVLHFASTQTILEHVENETDIHLIDLHIRSGMQWPPMIQALSERKDYPIKYLKITALDTVDKQIVEDIGKRLESFANPLNISFSFNVVSVDDISNLNKELFDVQSGEAVVIYAPTILRTMLPRPDKMENLMRVIKELNPLIMILHEIEANDNSPSFINRFVETLFFYSTWFDCLEECLDRDNQHRKTLERHYFGRGIINTLATEGEERITRSVKLDVWRSYFVRCQMVEVEVPESSFHQAEVVLKKGFSCARFCTLSSDGKCLMIAWKGTPVFSLSTWKFEQNSDTVESVKKQIANENGVAVADVEELRLAFAGRELEDHRVIGDYPIHNVEAQVGAGFQGLGIIKRTLSFGFLYLVISAGSLAVCNKRLVLYIVVVRQVLIGTGWKFEL >KZN02057 pep chromosome:ASM162521v1:3:28954975:28956189:-1 gene:DCAR_010811 transcript:KZN02057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQTIAWPYGNNKNKVRTWLSCVIAVTGALLLTACVYYSRSSDDYLSSHTFYDDPNLSYSIGDETENWDEKRAQWLNLHPSFVTANRVLLLTGSQPSPCESVIGDYFLLRLFKNKVDYSRIHGYDIFYNNAFLHPKLDQHWAKPAAIRAAMLAHPEAEWIWWVDVDAVITNMEFTIPLEKYKNHNIVLDGLPDEIFGKRSWLGINSGVVLFRNCQWTMEFLNAWAAMGPMTPDSDSWGKIFQSTFTDKTDSKSTDQSAIGYMLLKQYDKWGSKIHLEHEYCFQCYWGMAVDWFPNATKTYATIEKSASELRRRHAELETQSYGELREKYLKEGGYEKKTGRRPFITHFTGCSPCKGNHNPIYDGNSCREGIEKALNFADNQVLRNFGFVRPDFLSASSPVSPI >KZN00328 pep chromosome:ASM162521v1:3:4232434:4235769:-1 gene:DCAR_009082 transcript:KZN00328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSDIWKQMSSAGIKYIPSNTFAYYDQVLDTTAMLGAVPARYNWNGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHYIVPELGPEVKFSYASHKAVTEYKEAKALGIDTVPILVGPVSYLLLSKPAKGVDKTFNLLSLLDSILPIYKEVVSELKAAGASWIQFDEPTLVKDLEAHQLEAFTKAYSQLESSLSGLNVLFETYFADVPADAFKTLTSLKGVTAFGFDLVRGEKTLELIKSSFPSGKYLFAGVVDGRNIWANDLASSLTTLESLEKIRNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALSIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNVGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVNPALKAMVDAAKSLRTKLASAK >KZN03632 pep chromosome:ASM162521v1:3:46483413:46490774:1 gene:DCAR_012388 transcript:KZN03632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDSRTMRSNKRLRDEGSESGDFIDGPKEMKGIDKYLKMSVKQLQQEAAARGVSAIGLKKELAERLCGADSSNPIGLDGEEKMFVDGSKYTSMTLKQLREEATARKLSAIGTKKELINRLSVADNPEPNLLDGEEKNYVDNSKYPSMTVKQLRQEATARGISATGSKKELIDRLFVANNSEHITFDGEENKYVDDNKKEKLVTATKKGAAVLDQWLADEIKSQYHVFQRGDEIYDAMLNQTNVGDNNNKFYVLQLLESDDGVRYMVYNRWGRVGIKGQDKLHGPYTSLDCAIKEFELKFFAKTKNQWSSRKDFVPYPKCYRWLEMDYTETDNQTDVQAKPTSLTDIQPRETKLEVRVAKFISLICNVSMMKQQMIEIGYNAEKLPLGKLSRSTISEGYKVLRKIADVIGQSDRKKLEQLSGEFYTVIPHDFGFRKMREFVIDSPQKLKHKLEMVEALGEIVVATKLLEEDMGMQEDPLYSSYQRLNCELLPLDVDSKEFSIVSKYMKNTHAKTHSNYTVDIVQIFRVSREGEAERFKKFSGTKNRMLLWHGSRLTNWTGILKQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCCSTPTATSGVLLLCEVALGDMAELLNAKYDADKLPVGKLSTKGVGKTAPDLSEAETLEDGVVVPLGKPKQHSDVQASLLYNEYIVYNADQIRMRYVIHVNFNFMR >KZN00785 pep chromosome:ASM162521v1:3:8599055:8605585:-1 gene:DCAR_009539 transcript:KZN00785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPSTSNANDVSHSEEFKEGSKKSRDNLAANLILNHDFSNGLDSWHPNCCEGYVASNSGYPQNISASPGNYYAVITNRKECWQGLEQDITTRVSPGLTYTVSATVSVSGPLQDIADVSATLRLEYRNAATSYLFIARTSVSKDGWEKLEGTFLLSDMPDQVVLYFEGPSPGVDLLIKSVSVFSPSEFRKFEEGVKTSWKNLVDNIIKNHDFSGGLDSWHPNCCEGYVASATLDYSGETSDRSRNYYAVITNRKECWQGLEQDITSGVSPGSTYLVSAIVSVSGNLQDFADVSATLRLMHQDKTTSYLFVARTSVFKDKWENLEGTFSLPDTLERVVLYFEGPSAGVDLLIKSVVVSGPTFSEIRTGSIEYVSGGKEITLNPEFDDGIQCWSGRGCKIVLHNSMVDGKILPASGKCFASARERTATWHGIEQDITARVCRKLAYEVSATVRIFGNNVSNADVRATLFVQTSDRREEYIGIANAQATDKDWVQLRGKFLLNGFFSKVVIYLEGPPPGTDILLNNFVVQRAERRPPLLAKVSERVDYGANIMTNSNLGNGTNGWFPLGNCTLSTGIGSPNTFPPMARATLGPCEPLSGRFIIVSNRTQTWMGPAQIITDKIELYRTYQVSAWVRIGSNAAGPQNVNVAVGVDSQWVNGGQVEINDQRWHEVCGSFRIETKPTKVMVYVQGPVAGVDLMVAGMHIFPVNRHARFRHLKDQTDKIRKHDVVLKFSRSDSVSTQGIPVKVRQVHNSFPFGSCVSRSNIDNEDFVDFFKQHFNWAVFGNELKWYWTESEQGKINYKDADDLLNFCASHNIETRGHCIFWEVEDTVQPWIRALNENNLMAAVQNRLTSLLTRYKGKFRHYDVNNEMLHGSFFEDRLGKDIRTNMFKKANQLDPSAMLFVNDYHVEDGCDSKSSPEKYIQQILDLQEQGAPVGGIGIQGHIDYPVGSIVCSALDKLAILGLPIWFTELDVSSSNEHVRADDLEVMLREAFAHPAVDGVMLWGFWELFMCRDHSHLVNAEGEINEAGKRYLALKQEWMSNAQGHIDEQGQFGFRGFYGTYEVEVDISHSKKKIIKPFVVEKGESPLVLSIDL >KZN03106 pep chromosome:ASM162521v1:3:41332747:41334015:1 gene:DCAR_011862 transcript:KZN03106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSHEFQSTVSAERSSIEFDSQEDENQQRIDCIRPRDRSFSEPVQTSQDENQQGIDNIRERLRSYSEDVQPSEYVNQLEEYNQPRLGPISEFEQANESSTSQVVQVDEYEYQQTIEDIQPRMRSMSRLDRAIEYENHKVDFIQSRPSPIPELEQANADEYEQGIEHVQPRQRSISTHMQPDEYENQQGMDNIHSRLSSISELEQINEYENQQGIDNIQSRLSSISELEEYENQQGTDNIQSRLSSISELEQVNEYENQQGIDNIQSRLSSISEYDDQEVIEYAPKLRSSTISESEQANEYEKKEIEYDHVKINSTTYTEMRKAQEVLENLQQVDFIQTKLRSTEVTDAHQQEKQGTDIPSEHADQDEHHQGIIYVPATESSTEKRSEEEIQQEKHDEVLNEFPEHKFIFRDLKSDEQLHW >KZN02424 pep chromosome:ASM162521v1:3:33386159:33386734:1 gene:DCAR_011178 transcript:KZN02424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQDEQQLHAVRFAAGAAVLMACLERATLVSFFEQWRVFVFIALNLLLLAILFTSGNYHTHPSITNEVIETDAQGEKTGPEDEAPTLQCSTLEKTKYQDVSKMLKSENKTETVDQKCKFDEGEEIEDEDDNNKASGLSKEELNEKVEAFITMFRQKYLVSEQPKIRRRNSFQLPAHNPHISVPPRISVG >KZN00169 pep chromosome:ASM162521v1:3:2682678:2684648:1 gene:DCAR_008923 transcript:KZN00169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKPNLLXEDAAADRRRRKRRLRIEPPLNSLRQQPQQPRPSPTNPNAPKLPEHASVLTGKRLELHNNILKLIRVNDLEEAALLTRHSIYSNCKPSIFTCNSVMAAQLRQSRYSDLLSLHRFITQAGVAGNVVTHNLLINAYLDCRKTDTALENYKLLVNEAPFNPSPTTYRILVRGLVDNDRIEKGVELKDEMLEKGFEADKVVYATLMWGFAKKGDADGVFGLYEELKGKVGEDFVGGGVYGSLMRGYFLKGMESEAMGCYRECAGEGSKVKMDAVAYNYVLDALSKNGKFDEALRLFDRMLGEHSPPKTLTVNLGSYNVIVDGYCAEGKFGNAIEVFKSMGEKRCAPDTLSYNNLIMQLCKNGMLAEAEELYRGMAEKGVSPDEFTFVLLMDTCFEENRPDDAAGYFKTMVEAKLRPNLGVYSRCVEGLVKVGKVDEAKSFFDLMVGKLRMDDASYKFIMKSLFDIGKPDEVLAIVGSMLRDDPSDFTTELQEFAKEELGKLGREDEVVKLMEDIEREKAEAIAAAAEAAEKAKASARAAVSSLIPSKLFGNKGLDEDSSANTENAEATPENGELQVGKEEDVLAQVGDIGSGNSDSTEAIVGNGEVQVGKEENALDQVGDIGSGYADSTEAMKADDVIEAEVVSDSKIGAA >KZN03492 pep chromosome:ASM162521v1:3:45063636:45065965:1 gene:DCAR_012248 transcript:KZN03492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSKYYDVLGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKEISQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGGSPFGGGGSSRGRRQRRGEDVVHPLKVSLEDLYSGTTKKLSLSRNVICSKCNGKGSKSGASMKCTGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGESISDRDRCTQCKGEKVVQQKKVLDVVVDKGMQNGQKITFAGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLSLTEALCGYQFVLTHLDGRHLLIKSNPGEVIKPDQFKSISDEGMPMYQRGFMKGNLYVHFSVEFPDSLTLDQVKALEAVLPPKPAQQMTPMELDECEETTLHDVNIEEEMRRKQAQAQQEAYDEDEDMHGGAQRVQCAQQ >KZN02775 pep chromosome:ASM162521v1:3:37175723:37175962:-1 gene:DCAR_011530 transcript:KZN02775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKVEVETTKIAPKGHFVVYVGTEMTRFVVPLSYLKNALFQNLLHKAAEDYGFHHQSPIVLPCDESSFRNLVSFLAKH >KZN01377 pep chromosome:ASM162521v1:3:15126620:15129651:-1 gene:DCAR_010131 transcript:KZN01377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAEMETPVTTGCSQDQFFNPILTMGYQVAAILVLSHAFQLGLKSIPGPIAQILAGFVLGPSVISNIESVKKFFFQAFATDYYETMAMYARIIIMFLIGLEFDVAYLIRNLRRAHVIAFGGCIMCTIFAFAITTFIYEETTAEGSHFLMGCMIAVVLSNSGSPLVIRLAAQLRFATTDVGRLAISSSLIADLYAVCVMLIVSRNKKEKSKHWVSDGVLSLTIIVAVAIINIYLAKFMNRRNRNKKYLSSIEVCIILVNIFGAAMAIESIGYSSIYACFIIGSLFPRGGKTIRTLLIKLTYTIHNFVFPIYFGYAGFKADLSVVYKHVWRVGIIFLVILLSVGGKITGTLAACHSVKTPLSEGVLLAFLLNLKCHVDLVTLTVGQQNGMVTSQTFYTLMICSVVISSIISGPLIAYLVKRESDTLGYKHVALEAQDPDGELRILACVHNPRPVATMVGLIATSRGADNVPITPYLMHLIELPKKTKTKKKMMHSQKENEEFSDEDDYGGNEVVEINEAVDDFTADTGVMIHQVKILAPFTSMHEDVCEFAEEVRASIIILPFHKHQRIDGVLEKGKAGIRTTNQKVLRHARCTVAILVDRGLTAGSLQGSGSDSLQHVVTLFFGGTDDREALGFSERMGSHHHINLTVIRFLPRVPPKDPNVEVNVAQKDESVLMAISDNERENEIDNSVMTDFYNKYVTSGRVGYVEKRVNDGTDTASALRDISDMYSMFIVGKGGRGHTTITTGISDWEECPELGTVADFLASSEFDIGGSVLVIQQHIPRNDEEEDVDIDEDR >KZN01378 pep chromosome:ASM162521v1:3:15132553:15135279:1 gene:DCAR_010132 transcript:KZN01378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNNIGDKRPLAKVYRRPDNKVIKATAGRNIKTLVGNKLVDEVEGDEHNCNNLVDEQDGDQDNDKQSDEDMQEGEEDSAQEDSAEDMEQDDSAQEREEGDEDSAEEEDDEQDDVLNESEEENEDEEEEDEQEEDETENQAQVNNAQPKIKITKYKRKKEAAFETHIPRKRIAGTLYPLLKFMNKDVKKTEGAKHINKKKDEVKIRISPRHFSKMVGELTKEQRDWVTRAGFALLLDFELDILPTKIAYNVLQIFDHHSISLKLKDGDINITSEDVYDVLGLPNGGHPIILASPGKYSQRIKDWHAQFTLSDQITTQMIVQVMKNQEVNDNFKLNFLLVMSNVLIGTKGASYVDKQLLQLDDNLDNLKKYNWADFLLGYLVIGMESWNRTTTTFFRGSLIFLTLLYVDRVRYKGMNLVDRQFPSYNGWTLEMLRQRQEIEVIDGAFGVGSIQPSLKEYLQKIDPSEPPKTKVNDNENGAWDTWQYWSEVDRIEKDYLKRKESTSQQPHESTQCQSPQNTQYYTPPTEAADGNVEQTEEGRGTCGHKVQI >KZN03359 pep chromosome:ASM162521v1:3:43998112:43998681:1 gene:DCAR_012115 transcript:KZN03359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFELSGVADFDNWGKKEEEGRKFGAFDSLRERRGGGFERDESWGKKKEDVGSVRPKLNLQPRKVPIGEEVQNGGVVKPKGLNPFGDARPREEVLREKGQDWKEVDKKLESLKLKENEAISDGPGFGKRSFGSGNGQSGGNGDRSERSWRKPVDVDVHPQRLVNCIF >KZN01142 pep chromosome:ASM162521v1:3:12161790:12162110:-1 gene:DCAR_009896 transcript:KZN01142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFMLRKKNAELVASRIMKQRVSKTKETPEPTKTPDPIPQIEVQGYNQGGVFTSYTQPSRVENAPLGIQPQRFLVRGQYMTTLKQIEAEASARKSALGKRPPWRN >KZN03377 pep chromosome:ASM162521v1:3:44156506:44156664:-1 gene:DCAR_012133 transcript:KZN03377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVSGEDLIEALREVDWSSPPRPINEFFSRFTFPRSYAKWASRLKCNLY >KZN01510 pep chromosome:ASM162521v1:3:17632595:17633767:1 gene:DCAR_010279 transcript:KZN01510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMHDFILGIFMGGFMFMGLLGVKVARADHALPGCQQTCGNLSIPYPFGTREGCYLDELYFINCNNSRPYLRHGVSGVLDISYDGQLRITSQVAYDCYDREGNQTLHYQHELALLRFSISSKRNKFTAIGCDTNAMIQGSFGQKYMTGCMSLCESLRDVKNGSCSGIGCCQTSIPEGVRDFFIQIDSFYSHYGIYDFSRCSYAFVVEEEGYNFSSTDLYKLRKESQFPLVLDWAVGNSSCLEAKTNASSYLCQKNSVCYDSENGPGYLCKCLEGYSGNPYLEHGCEDVNECLDPSLNVCTDICINGIGNYTCSCPKGYNGDGRRNGTSCILDKRDQSVTPVLTAGSQIEC >KZN03212 pep chromosome:ASM162521v1:3:42509152:42520797:1 gene:DCAR_011968 transcript:KZN03212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEVKDEGLKKLEYLSLVSKVCTELESHLGFGDKVLAEFITELGRDCESVDVFDAKLKENGAEMPDYFVRTLLTIIHAILPPSVGKSKKGGEGKKGEEGGDSKFPALNISDSRERVKELEREIALEAKEKARKDGGDDERRGRDREGGGDERDRRGDRGERRRDDRGDFRGKERHRDRGDRNRRDGYDEDGEDDRGDFRGKERYKERNDRNRRDGYDEEGERGRDKSMSGEPELYQVYKGRVQRVMDTGCFVQLNELRGKEGLVHVSQMATRRISNAKDVVKRDQEVYVKVISISNQKLSLSMRDVDQNTGEDLLPLKKSSEDSRMNPSGSNNGGPTTRTGLSGIRITDDDAPLPSRRPLKRMSSPERWEAQQLIASGVLSVKEYPMFDDETDGLLYEEEGADEELEIEMNEDEPAFLNGQTRYSMDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGSALTFGQRSKLSIQDQRKSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTSGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLMREILIDENLSQYSIIMLDEAHERTIHTDILFGLLKRLVKRRPDLRLIVTSATLDAEKFSGYFFSCNIFTIPGRTFPVEILYTKQPESDYLDAALITVMQIHLTEPEGDILVFLTGQEEIDHACQCLYERMKGLGKNIPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIYYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHNEMSPTSIPEIQRINLGVTTLNMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKMGRKMAEFPMDPPLSKMLLASVDLGCSDEILTIIAMIQTGNVYYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDRYKLDIVSAGKNYMKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFFKVADPTKMSKRKRQEQKQHQRIIVRNNYGEKLVGVLSESGTLEIAVLCHGFQSTKESSTIASIAGALEKEGISVFSFDFAGNGESEGTFEYGNYWREADDLSAVIKHLNGMKRVVTAIVGHSKGGDVVLLYASKYHDINRVVNVSGRYNLERGNEDRLGDTQRVTKKSLMERLNTNIHEACLNIDNTCRVLTVHGSDDEVIPVEDAMEFDKIIPNHKLHIVEGANHSYTSHQIELASVVVPFIKDGIEHKDA >KZN01554 pep chromosome:ASM162521v1:3:20432389:20433400:-1 gene:DCAR_010308 transcript:KZN01554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLWIVPEADLEKINVHCVVAENPMPNGNLNGVGAIIRGKQGENLWTAFGSLSDLTEEQALVTAIHAACEHALAKGWDAIHIETTSPRVYDTISLQEHIPLNDDQLERNTWTYGLANSTEFGEVEHSVGELDFHLARDMGKTLIPPYVAVTQILGDGEVEDGPPPLKRCKLSQYLKTVKANTLHAIKGKEKIYAHFSFNDAGALHPKAVKLIDDGKLVRFSGEFSKQVINLDAEVGNGVFARDVLHHAMLGTMHAIIPKLHVPKKLVNLEDFKGLMSVEEVLELTGFAPSSAFPQTSADDGIA >KZN02036 pep chromosome:ASM162521v1:3:28645036:28652872:1 gene:DCAR_010790 transcript:KZN02036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEEETNRERAVGIWVCRKIDSCPYGTGVLECIFEGLNVYYNYTGSVDCFNLDDDPHGENGWNWQACTEMVMPMSSNRYSSMFPEFYYNYTEYKEGCWEDFKVTPRPTWITTEFGGHDFKADLKNFGSNIIFSNGLLDPWSGGSVLEDISESIVALVTEKGAHHLDLRAATSEDPNWLLEQRAKEIMLIEDWIKSYNDRKHLAEI >KZN01949 pep chromosome:ASM162521v1:3:27340116:27340623:-1 gene:DCAR_010703 transcript:KZN01949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRSAARNGITLVILVTATIFLVIDAGVVCTITELAPCTGDFASPQEPSSACCVKVKSQQTCFCQYADDPVSTGCFLAMYYNSLPESLYDSDCVKNCDYHCV >KZN03394 pep chromosome:ASM162521v1:3:44253810:44254259:-1 gene:DCAR_012150 transcript:KZN03394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMSMPMSPPGSTNMTPGWPNMHHMTMHMNFYWGKDVLILFQGWPKDNLGMYILALAFVFFLSAAIEVLSIPHAVKPGSSPMIGLLIQVTVYAVRMALAYLVMLSVMSYNLGIFIVAVAGHGVGFLLVKIRALAAAAAANQAGPDPKV >KZN00695 pep chromosome:ASM162521v1:3:7665214:7676715:-1 gene:DCAR_009449 transcript:KZN00695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKESSSVSSTVIPEDEDHTADLPRTFKYLMATQFLARGIPFIFNTWIVRHLTEEDYALYAVQFHLFVTCVLFLSREGFRRACMRADFRCDDTSGGANAAKLLKLAWMTIPLGVVISVSACLLIFWWQDLNYSNPYAQAILIHEPLYILSQNLLLLKLRLIVEAAATLLRCVTTYVLIVKSTNMEKAIVFALAQTAYGGCMFIGYWGYFLLVHAYRISDLFPFRFGTMLNLDKQLSSMCMLFTFQSFRKLILQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYTTFARSAAGEHAQKRTKLGKCLIDALKLVLLIGLIVMAFGPSFSYCLIRLLYGQKWSDGEASKALQLYCLYIVLLAMNDFEFLDHNSDMLLRIIYSAVFIRKYFQGTSSFSFRKCLPSGWIILPVFCLATLISERIFLDRDKFWPTFSIHFSVGVTCFSIAATVIYRGERTFINNVVRFRKHAD >KZN02569 pep chromosome:ASM162521v1:3:34674739:34674987:1 gene:DCAR_011323 transcript:KZN02569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVLVGVVMFILVQPGLLFQLPGNQKQLEFGSMSTNGKSIAVHTLIFSVIYAILILAVHVHIYISQPQLILQIGLCLI >KZN01256 pep chromosome:ASM162521v1:3:13742470:13743896:1 gene:DCAR_010010 transcript:KZN01256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVTFRHPFRYKHQKELFVAAEGMYTGQFIFCGKKANLMVGNVLPLRSIPEGAVVCNVEHHVGDRGTLARASGDYAIVISHNPDNGTSRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGKKVGLIAARRTGRLRGQAAATAAKAD >KZN03916 pep chromosome:ASM162521v1:3:49866217:49867422:1 gene:DCAR_012672 transcript:KZN03916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELCMSSSTTFKPQASLVYAYFTRISSFILSHPLYFSYLIFFSPYALKTLSFLWPLFITTSFILLVLVLGQSNSAFHVIRENLSEKFGFDSQEACPDLEECEAYKIVFAFDTQVSDMVEHLTGEEYLLQSFDDKEVVYDLVEPAKSSDSQFVEEKRLQDFFDVVDDFDDNVVEKNVDLICTKSIEVVAEDVDESKLRKIDDSVIVKSGKVVEENADEAKDVNVIGWNNGGHTRKVRSLGKPFSAEGIIDSGEYNSSLGSYGSMRREKEWKRTLACKLFEERHNAVVEGDERIDALWERHESDELSKSMRMASETNKKSVKKSSIMSFYGDVEEEEEEEGDDDNEEFEITKAQLCCLQAFKFSAGKMNLGMRRPNLVKFSKALKGFGWLHSAKRHGRKEKA >KZN03294 pep chromosome:ASM162521v1:3:43276037:43281313:-1 gene:DCAR_012050 transcript:KZN03294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDSDTHFTTTSGLASPFPQLGLHFSDSELRETAYEIFIAASRSSRGSRPLTFVSASSTKEKKEWGLKNSVLTQQNSLGSGKRVGAVGELMRVQMKVSEQVDSRVRRALLRITAGQLGKRMESLVLPLELLQQLKASDFSSAREYEAWQKRILKVLEAGILQHSHVPLDKTNASAQKLREIIDGGLERPIETGRSETMQALWNNVMSLACRSFDGSVSDICHWADGVPFNLRVYQTLLESCFDINNATVVIEEVDELLELIKKTWGILGLNQAYHNLCLLWVLFDRYVATGEVEKDLLLAAEKIFLEVEKDAKATKDPSYSKILNSALSSILSWGGKKLFAYHDTFYRGNTDLMQIVLSLNVLTNNILGEDISHEKNTDVGISCRQLNTYISMTFILTLRQKKEKIYLSRRSARHQQSPLPVLSILAQDISDLAYNEKEIYSPILKRWHPLATGVAVATLHACYANELKQFVSGISELTPDGIQVLISADKLEKDLVQMAVEDSVDSEDGGISIIQEMPPYETEGVIANLVKSWINTRIDRLKEWIVRNLQQEVWNPQANKERSAPSAVEVLRMADETLEAFFLLPIPRHPILLPDLMRSLDKCVQSYIITTKSHCGSREGVQQLCVATAYKLIFRDLSPVFWDGLYLGEVSSSRIEPFLQDLKQYLEIISSTVHDRVRARLIADVMKASFDGFLLILLAGGPSRAYSVEDYIMIEEDFDFLQNFFCSSGYELPPELINRFALIVKRILPLFHMDTQSLIEQFRASISNEYGPSASSSLPLS >KZN01291 pep chromosome:ASM162521v1:3:14133614:14139076:1 gene:DCAR_010045 transcript:KZN01291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAVHINKFHWMDLCIKCDKGGKLLTCHQNGCPVVVHEDCLGSEAQFDDIGNFYCPYCVYKQATEDFTRAQREVVLAEKVLSKYLDGEGLGTEAFGTVNVTKSSDVGNWRVELEKDCHQMTGRGCIIGDHEADVNKESDVLLNRFGDDGRISDDINRVKSLEKQNELEGGVSRCGDECCSGGGQVDQQNMDRRCQSGDKIQYDAILTDTPSKQHQDAGHLEAHKIMKESVEAVEESEETMDEIQNQEQAHKSGRCQSGDKIQYDAILTDTPSKQHQDAGHFESHKIMKESVEAVEESEETMYEIPNQEQAHKTTEKIMCKESAPQVHTEAKRKVGDTTASTCMDNNTISEKVIGAQPPGVNAPSRSLRKNKSVHIEKGSTRCKPSKVATPPTIFTKLPALKEKRKRLAWKVEEEEMLKDTRHFEAHKIMKESVEAVEESEETMDEIQNQEQAHKTTEKIMCKESAPQVHTEAKRKVGDTTASTCMDANTISEKLNEAETPGVNTPRRSPRNKKSVHIEKGSTPCKPSKVAKPPTIFTKLPTHKEKRKRLAWKVEEEEMLKKTVQKYSKTVNIKLPWQKILEEGRVVFDETRTPTDLKDKWKNILSKEPRFKIS >KZN01028 pep chromosome:ASM162521v1:3:10939477:10940705:-1 gene:DCAR_009782 transcript:KZN01028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFFTQFKPSPTKLNFQFGGQNDTEPSTNQTYASLLKNLTFVKTFSSGILVQKTYIWPLDKDLYLEPHTSAVLDAHKEGLKIYASDFSNDVPLAYDNDYDPVAEYLNFIENDNFSVDGVLSDFPITPSEAIGSQVKPDFSWLQTPPRLPGPWWWFIPPHKPGSATASHGKWPGAHPPYPQEPRDQSSSSVGTQQEKDSAQVHSDSFFALPGRMLPWWWLIQPGGLPHNSPKRKWAHPPLPHSPTPAKPPGHSPASAGLEATGDNDIEAAATAAIEKCWSPLAGVGNCVYDILSAFTTGTAEFDSACCSAINNMAEECVASFHNQEFADTLSNYCSIH >KZN01190 pep chromosome:ASM162521v1:3:12841008:12842560:1 gene:DCAR_009944 transcript:KZN01190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGYGASQGFFFRNFEFLSKYFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFIESLEEWRKSSNLSSFILLGHSFGGYVAAKYALKYPKHIQHLILVGTAGFSSETEHRSEQFTKFRSTWKGAIINHLWESNLTPSKVIRLLASTVIAAGWPFCICG >KZN02231 pep chromosome:ASM162521v1:3:31142811:31145350:1 gene:DCAR_010985 transcript:KZN02231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGASSISTTSKLLLQNYRLLKPLTTLTSTTTPPSTIISPQTFSEKPAPTYDASGFGSGSGSGSGSRLTFENGQDLFASVPTAKLLKSTLTLKMAAVEPVVDLGTWVINSKLMNVRVFKDLVMGFTKRTFYDHFVAGRNVDEAGETVKMLWDDGFRGMLDYGLEHVDDNESCDRNSDAFIKTADSTKSLPATSVSSVVVKITAICPVSILKRVSDLLRWEYKTKSINLPWKQSTLPIFSESSPLYHTLTRPEPLTPQEEQDFQLAQQRLKNIIDKCLESNVQLVIDAEDTQIQPAIDYFTHSAAVLYNKGDKPLIFGTIQAYLKDAKERLVLVKAAADRMGVPLGVKLVRGAYMSSEARVAASLGHESPIHDGIKQTHDCYNDCASFMLDEVANGPGALVLATHNFESGRLAAMKAQELGIGKGNQKVQFAQLYGMADALSYGLKNAGLEVSKYLPFGPVDQIMPYLLRRAEENKGMLSTSAIDRQLMSMELKRRLTAAVL >KZN02374 pep chromosome:ASM162521v1:3:32767819:32768651:1 gene:DCAR_011128 transcript:KZN02374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFTSHRHASSHHFQSTPPLISPRSLFSAATIVDGGSSTPPLQSLCQLHMSFSPDLTLGHRSRDVHPMRALDDDTGYDSNASSSSFEF >KZN02111 pep chromosome:ASM162521v1:3:29716812:29725359:1 gene:DCAR_010865 transcript:KZN02111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNLSFSTAILLVIVSQSFFHNSLTCYAFSHDKTREALEIIIGGGGYASPAQPPECPPPPPPPCLPPASPAVQIKPPPPPLPPSQPPKPKYTPPSTRPSPGIEDPSGIKSMKYFATVIRGVGKKIGYDPQHITDSWTGDNPCTFKGVVCHQFMNRSAVVGVDFNGNHFNGYGGQPFSDKDTVLNSSSPNNCSPFDVFDYDSWLRRERMRNHESTFGAAQCTCLHLSFIFWIFVR >KZN03861 pep chromosome:ASM162521v1:3:49431378:49432830:1 gene:DCAR_012617 transcript:KZN03861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTAMDQTDFLARRCVWVNGPVIVGAGPSGLAVAACLKDQGIPCVVLERADCIASLWQRRTYDRLKLHLPKQFCQLPKLPFPDHYPEYPSKKQFIDYLESYARKFDIRPQFNESVLSAKYDKACRLWRIKSVSTCGSVSSEVEYICQWLVVATGENAERVVPEIEGLDEFKGEVIHACEYKSGEKYSGKKVLVVGCGNSGMEVSLDLCNHNAKPSMVVRSSVHVLPREICGKSTFELAMVLMRWLPLWLVDKLVLLLSWFILGNTESYGIKRPSIGPLELKNIHGKTPVLDIGALEKIRSRDIDVVPGIKKFSKGLVQLVNGQELEIDSVVLATGYCSNVPYWLQETDFFSKNGLPKTPFPNGWKGNAGLYAVGFTRRGLSGASLDATRIAQDISQVWKEDLKQKKQKVPTLRRCISTF >KZN02659 pep chromosome:ASM162521v1:3:35601885:35602490:-1 gene:DCAR_011413 transcript:KZN02659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKATLLFLFSLLCALNSVNSATNNPVLDIAGKKLVTGTGYYILPVIRGQGGGLTLAATRNKTCPLDVVQELHEVKNGLPVTFTPVNVKKGVIRESTDMNVKFLGATICVQSTVWKLDKYDESRKKYFVTSGGVEGSPGVSTTGNWFKIEKNGDDYKLVFCPTVCKFCKVICKDVGYFIENGRRILALTDDEPFRVMFKKA >KZN03857 pep chromosome:ASM162521v1:3:49338688:49344822:-1 gene:DCAR_012613 transcript:KZN03857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSWVDDSNAAQVHRNCRNVFHILAQRETTPRTKCLARKHWGEAFKSDVESYLEPKVERLKDARRELFSWVEAESLQHLSAKYCSLVPAPRSTIAAAFSPDGKTIASTHGDHTVKLIDCKTGNCLQVLNGHRRTPWVVRFHPLHPEVLASGSLDHEVRLWNANTSECIGSRDFYRPIASIAFHAEGELLAVASGHKLYLWNYNRSGEHSSPTIVLKTRRSLRAVHFHPHGAPLLLTAEVNDLDSSDSAVARPTSLGSLYYPPPSIFLANVHRSDSISLAAELPLMSSPSSYVPIIARDDSETDLQLGNPPVDSSSIQAEFSAPVQFEIDLNAIGHFDHRALPAETSTALHSNVQSTAADTLPSYNPSRVPNLVHDGSNNIADVQLGEANLSNVDPYGANSSAQPYTPQHAVESGFSVTRPIRVPADLRTQLLPSTNPTSWELPFLQGWIMGQRQAVMHPELPHVGRRQEGSSAYPRSHVEEDHHSRSSLNNGIGATSFSLISRIQSELAASISATAATELPCTVKLRIWLHSLKNPCSVLDNERCLLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPESEADPGLLTQFYHNGTGAATSPTRHPISARQIMYELRIYSLEFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRGLAYGTKEGRLRVLECDRVHDHQNLARPDIISPEDMVEVEQLF >KZN02348 pep chromosome:ASM162521v1:3:32330948:32331208:1 gene:DCAR_011102 transcript:KZN02348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSEITDALINRLTSVTDSYLEVLSCIALICGANVLIQRRKLAKFEKKLAKIEKLQEETKKLREDHDQLSNKLEEFIKLEKKRQK >KZN02371 pep chromosome:ASM162521v1:3:32706333:32711294:1 gene:DCAR_011125 transcript:KZN02371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIPDQIPPQTWCVASGSATDAQLQGFIDFACGGKIDCRFIQPGGTCYQPNTPRGHGSYCLDAYYRIYKSCNPIGTIVYKDPYQTEYQMLQTRSEHKPSNLRPNKQSACRHRAVGCIFVQNTKT >KZN01442 pep chromosome:ASM162521v1:3:16302934:16304255:-1 gene:DCAR_010196 transcript:KZN01442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVFPLGSLIRNVQAQELLKNEIRVILGPQTTPETNFVVELGAKSQVPIVSFSATSSYLSHVRSPYFIQMAGADSSQLKAIASIFKGFGWKEVIFVYEDTGYQDGLIQYLSDAMREADAAGMMSEGYAWLTTDGLSNTFAFMDATVRDAMQGVLGTRPYVPKSTKVTTFKMRWGRELQCTKPKAELNIFGSWAYDAVWALAMAVERVTPDHNADHKENLGISELGPTLLKELLRTKFKGLSGEFSLVNRQLQISDFEILNVIGNGEQSIGYWNSDRGLSRDPDSLNISSTYSTSVNVLRSIIWPGDSTVKPMYWAVPTSGRRLKVGVPVKDGFTEFAKLQQFQNTTEKRWELR >KZN00904 pep chromosome:ASM162521v1:3:9759894:9763384:1 gene:DCAR_009658 transcript:KZN00904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSGAVDRIIGRPPPKTGDIVLVADGTEKPIGWGLYNSVSMFCVRIMQLEEEAIGDPLCALNMEKLVERRIDAAVKLRKNLGLPSKNTNTYRLVNSEGDRLSGLIIDIFGDVAVIASSAAWVEKYKPQIEGCIGRLDAITNICWRRSTEILKEEGIDLSNLVEVQPSASTESVKVVENGISYVISLEGQKTGFYADQRENRQFIRNISEGQRVLDMCCYTGGFALNAASGNATSVTGVDSSSPALELANQNIALNHLDTRRISFLKQDATLFMKDALSRNELWDIVIMDPPKLAPRKKVLQSAAGMYRNLNLLAMKLTKRGGLLMTCSCSGAMTQSGKELHQCPAEKSQLYDKLEQHVIIPLTPLIQKELTLPISCLEYSKHNCLLLFPPNQY >KZN03132 pep chromosome:ASM162521v1:3:41602437:41604116:-1 gene:DCAR_011888 transcript:KZN03132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTGSGEESGGGDDFNGSKMKGRVPLTILTAGTEVISSEEPQGQSDGSSSMANAKRARFLSFGSLESPSAKFQKYALVMDELSRKVPSSGSQNFRDRLHRVFSRKIDWHCLKKICKEWIRNPMNMVLLVWIVCVAVSGAILFLVMTGMLNSALPRKSQRDAWFEVNNQILNALFTLMCLYQHPQRLYHLVLLIRWRPEDISRLRKIYCKNGTYKPHEWAHMMVVIILLNLNCFAQYALCGLNVGYKRSERPPIGVGVTISVAIGAPAIAGIYCIVSPLGKDYDSEVDEEAQMQITTAHASRTNQLRSKSLERRFSFATRDEEKGEENRPQWDGGIFDFWNDISSAYLSLFCSFCVFGWNMERLGFGNMYVHIATFLLFCLAPFWIFNLAAININNEIVRQTLGLFGIFLCIFGLLYGGFWRIQMRKRFNLPPNNSCCGKPAIADCASWLFCCWCTLAQEVRTGNFYDILEDKLCRKHLDKSMQSPISPLPRENGDFHIRSAASSSVMISKSKSPSPTRFSEEYIDPGRELHDREEDGTNGNPDLMTPPAPSLIQREHR >KZN01587 pep chromosome:ASM162521v1:3:20983141:20983831:-1 gene:DCAR_010341 transcript:KZN01587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEPKLDVGGDIDYIDFCNTDQISLIEIYSMFKEIDVEGGFHYFEPTPTKKPTPQRTMPINLIDEDEYDFDNTMPINPNAEDEYDFDNTKFLFSTQCEIETEEQVREFEGLDKSFSDPDSDEDFVLKSQDSSDDIFRCDSSDQDNSDDDLLFDTNVDQPQQAELFNC >KZN02497 pep chromosome:ASM162521v1:3:33967586:33968265:-1 gene:DCAR_011251 transcript:KZN02497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSFGSKPTDNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTQATPRTKGAAPAS >KZN03691 pep chromosome:ASM162521v1:3:46964515:46965420:1 gene:DCAR_012447 transcript:KZN03691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSYKIVSMISNSFEDSVNVPLPSSYLAPDHGSSSCNGLVCLSLNKYRLPNMYIWNPATRQGKALPLPKILQSAFLVCTGFFFDSVSDDYKILRLLFSRGSRPRVELYSTKSDSWSEIQLEEADISSVGYDRNKAVTSGPFIKGVLYMTGNKGLISFRLNRRVLKRVPWPSNYRTNDKLYLKKYEPKVFDYEGCAALVLQGNNEELLSLWTLDDDDEDGGQGIWTKKLNLEGDIGMNWTSKYFGVGQLVAWEDYKRIILYDCNKKEAKEHRLQLPSNWYIDSFFRHTESLVSLEGFRKL >KZN03060 pep chromosome:ASM162521v1:3:40718619:40727075:1 gene:DCAR_011816 transcript:KZN03060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIASNPHSVEEIFKDYNSRRNAIVHVDEFYSLCDPEKENLCLYGHPNETWEVTLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHTDSWLLSVAYYFGARLNRNERKRLFSLINDLPTVFEVVTERKPINKPSVDTGSKSRISSTKRSSEGQAKSNQRLNDESYAEEDDEHGETFCGSCGGNYSADEFWIGCDICERWYHGKCVKITPVKAESIKQYKCPACSTKKGRQ >KZN00172 pep chromosome:ASM162521v1:3:2693311:2696739:-1 gene:DCAR_008926 transcript:KZN00172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDNIECVSSSDGVEDDEIHSSVAAHNHHHRKYPLKPQNISNNIAGLVQGIVPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKVQVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYTLGCPEIFPYYSKLKHEELCNFRPYSCPYAGSDCAVNGDVPFLVSHLRDDHKVDMHTGSTFNHRYVKSNPREVTNATWMLTVSQLLFLSLLLAFPAPYSSITSYLRMDLCIVLAVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDEADARNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEEQNSEAGVCIPNLCT >KZN02720 pep chromosome:ASM162521v1:3:36469596:36473244:-1 gene:DCAR_011475 transcript:KZN02720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNNAQGSGNKPGKVALNERILKSMSQRSVAAHPWHDLEIGPGAPAVFNCVDRILYSSVVYPHNYGFIPRTLCEDSDPMDVLVLMQEPVLPATFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKELPPHRLAEIRRFFEDYKKNENKSVAVNDFLPADAAVDAIKYSMDLYASYVVENLRH >KZM99958 pep chromosome:ASM162521v1:3:473242:477583:-1 gene:DCAR_008713 transcript:KZM99958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGRIFISAIEVNFEFVTFALKSRVLDLVQKVETVIGFSEIGSRETNPISHHAGNELATGKLDAMATDLKKSTSSGRENCLTSEEQQKKINEVRSLIGPVPDRLSQYCSNQTISRYLIARNWNVKKATKMLKDSLKWRLEYKPDEIRWDDIAHEAETGKIYKANYKDKQGRTVLVMRPRCQNTKSTKGQIRYLVYCMENAIFSLPEEQGQVVWLIDFHGFNMSHISFKVTRETAIVLQERYPERLGIAILYNAPKFFEPFWKLAKPFLEPKTANKVKFFYPEDPSSTKAMEELFDMELVETAFGGKGDAEFDINKYAERMKEDDKKISASLANVSNSDPVIPESYLETSEDKVVDESSSGNADMDDIDEAGEKLSIDTVDKHELSPNKSPGITIST >KZN01614 pep chromosome:ASM162521v1:3:21885936:21886656:1 gene:DCAR_010368 transcript:KZN01614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPSRKRGRPRIIVTEDVIEARRLAKQQRNDRRARGGASAIRSSSLGSQPASTLARGGQGEAGPSSAIVGATTSQPSERPHGGEASTRTSSATAGGAASQPSERPQGGKIPPIRTISALF >KZN03764 pep chromosome:ASM162521v1:3:47905209:47908546:1 gene:DCAR_012520 transcript:KZN03764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYITSWDDFVERSVQLFRAHPDKTRYVMKYRNCDGKLVLKVTDDKECLKFKTDQAQDAKKMEKLNNIFFTLMARGPEVDFNEVAGKEQAEAQPAKKGRGRKQ >KZN02892 pep chromosome:ASM162521v1:3:38699356:38700418:1 gene:DCAR_011648 transcript:KZN02892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGSSSGSDSTGFTSTLADKLWEGNGGPRGLPSELLPAPAGSMTSAVPYHVYAALGREYDFLRGQNAEIRRLMDTLLQERRIPVEDAETRSRIGTIEHIARQRLADSSRPLVSGMLRHAV >KZN02518 pep chromosome:ASM162521v1:3:34170464:34174084:1 gene:DCAR_011272 transcript:KZN02518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIKQLVPPPAATNASTLQQLSNAMSSAQQPSNTMQPSSSVGNMQGSANALNKMQQGNTMANLQASANALNKMQQGNTMANLQAAANAMANMQQQQQQQQQQQQQLQQTGNPMSGMQTGNAMTAMQPSGSSMATMYPTNTMATNMYPTNTMTNMYPTNTMANMYPGNVVTNLLPVNPMTSTMPPVGNALALRHPMNSMFNQQNIIKGDRNMFMSSDDNVMMRQIYDTHTPDGRVLYVKPLLHIVEDILSFTHMTSPLDAANAHGAHMDDKTHQSEVISMLEALSHLIDRISCEVHLDVLRRKNVLLLISGLDISADELSILEQIYSESRIHGTRMESLYELVWIPIVDLSHVTHPGTTPGIYGTTTGPYGTTTGPYGTTTDTFPLQKHTGNLPEDHSLHSMKKRDDHLDPLMQEIKKLLSYDKSGSWAMLCKGSYIVTSGHAQTVLSTLLEFDTWKEYVPLRGFDVAFKEYHDKLHVANPPCCRFEFLTTAGRIPDGMTCPECLQHMEKYSSFLCCHPENAAYLPYR >KZN03809 pep chromosome:ASM162521v1:3:48356949:48360762:1 gene:DCAR_012565 transcript:KZN03809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERRDGGVNMSHVLLRGGTRKIYSHGLSLSQIRTLASITEAFVPNDLCPHSVSTSKVPAPQSPVSHEIAETMKTRLLPEAMMLVKLSLMLLSTRLGSLLLCGFVCLDWNWPFIHKFSELSLKKREEVLFKWSSGTNKVMPLRLVFALFKAYCCYTAFSWTDENSRNQACETIGYHVDNEEIPTKSQKERPLENGIIETKDCNDISFKKSLIQKGLEVTEDETAFQIKCDVVIVGSGCGGAVAAAHLASSGQKVVVLEKGNYFVAQDYSQIEGPSNNELFEGGGMLGSDNGRVMIKAGSTVGGGTAVNWSATLRTPDDVLKEWSEEKKLPFFGSSQYQEAMDAVSKRLGVTYGCPNEGFSNSVIRKGCEKLGLKVERIPRNSPEDHYCGLCSFGCKKGDKRGADTTWLVDAVQKEAVILTGVKAEKFILGDKQTKKRCFGVVANAVSNNITKKLQIEARVTVSSCGALSTPPLMISSGLKNKNIGRNLHLHPVIFAWGHFPEQSSSIQGKSYTGGILTTLHKVESENSNGGSVVEAATMGPATYAALFPWTSGNDMKNNMEKYTRTAVLFSLVRDQSSGEVKSEGRVSYDINKHDEENLKHGLRRVLSIMIEAGAVEVGTFRNDGQRMKCGGIKREDVEEFLDGVAAVGGPKSNEDHWTVYASAHQMGSCKMGSSEEAGAVDENGESWEAKGLFVIDGSILPSAVGVNPMLTIYSTAYCISKKLAESLKKGNF >KZN02268 pep chromosome:ASM162521v1:3:31464648:31467511:-1 gene:DCAR_011022 transcript:KZN02268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSILERYERYSSAERQLTGPGDEVSQENWTLEFPRLTAKMEVLQRNIRHYMGEELDPLSLRELQSLEQQLDTSLKRIRTRKNQLMQESISELQKKERALQGQNNQLAKKMKENEKITTAEREPENPGQTSSQPLPPPPFPPLSMSGTFQALGTMKEARVQASASTSSLMPPWMLSHLNR >KZN00337 pep chromosome:ASM162521v1:3:4328501:4329911:1 gene:DCAR_009091 transcript:KZN00337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLFTAINPHISSLSSSSSSSILPFSSLPSSRLSLKFTSSRSKLSEGGDICTPFVARQRDSFVVKASAEIDGTGSDAPETPSESKEETVPVENLPLESKLQLKLEAKIRMKLAKKIRLRRKRLVRKRHLRKKGRWPPSKMKKNKNV >KZN02629 pep chromosome:ASM162521v1:3:35317062:35324345:-1 gene:DCAR_011383 transcript:KZN02629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRYAMVCSSNQNRSMEAHFVLKREGFDVASYGTGAHVKLPGPSIREPNVYEFGTPYKHMFDELRRKDPELYKRNGILPMLKRNLGVKTAPQRWQDNAADGVFDVVITFEEKVFDMVMEDLNSRDHILMKPVLVINLEVKDNHEEAATGGRLTLVLCQEMKMKMKKPFMFFLILSVCLIQCSHGLSRESFPKGFLFGTATSAYQVEGMASKEGRGPSIWDVFIKTPGLEPNNATGEVSVDQYHRYKEDIDLMKKLNFDAYRFSISWSRIFPNGTGKVNWKGVAYYNRLINYMLKRGITPYANLNHYDLPQALQEKYNGWLGHEVVKDFADYADFCFKTYGDRVKNWMTFNEPRVVAALGYDTGFFAPGRCSKTYGNCTAGNSGTEPYIVAHNLILCHASAVQRYRTKYQKIQKGRIGILLDFVWYEPLTKSKADNHAAQRARDFHVGWFLHPIVYGEYPKTMQNILGERLPKFTKEEVAMVKGSFDVVGINQYTAYYIYNPNPASKPKELGYQQDWNAGFAYARQGVPIGPTAYSSWLYKVPWGLYKAITYVKERYGNPTMILAENGMDDPGNLTLSKALNDTSRIEYYKAYLKELKKTVDEGANVQGYFAWSLLDNFEWRLGYTSRFGIVYVNFKTLKRTPKSSAYWFQNMLSRKKE >KZN00302 pep chromosome:ASM162521v1:3:4029831:4031880:1 gene:DCAR_009056 transcript:KZN00302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKVTKRDPHQRYELRAREEPPWEEEDEEDEEDEGKTPVKADAKAKGKTPVKADAKAKGKTPVKADAKAKGKTPVKADAKAKGKTPVKADAKAKGKTPVKADAKAKGKTPVKADAKAKGKTPVTADEKAERKKTVASGKLKIGDSGESETAQKRKVISREGNTEAGGSQVKKVKTRNKNETEKGAVGTTRVLRSNSAKKGGEAGKEAIMPSAAKESTSKRATRVGASRQVALQKNVKGKNILKRNEAEEASNDEDMHISGKKKKDSLTIGSSKPKKIPPAKKEVPLTIGSPKPRENLQAEPSKRTTRRVGKSSEALSQESAGGSTAVASSSKTVGKQVSKAEKKKGGVPASTPSRKNPPRKGRAAAKTECSLKWGIFGHSHQMLEDQLTTNFDSYHL >KZN03272 pep chromosome:ASM162521v1:3:43073771:43076973:1 gene:DCAR_012028 transcript:KZN03272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGEWYNSLPPISKAYGTLCLATTIAVQIGVLAPGFIALAYEYVFYRFQVWRLFTNFFFLGGFSINFGIRLLMLARYGVQLENGPFQRRTADFLWMMIFGALTLLALSAIPGFQSYFLGISLVFMLLYVWSREFPTGNINIYGLVTLKAFYLPWAMLAMDVIFGSPLVPDLLGILAGHLYYFLTVLHPLAGGKTMLQTPMWVYPFVCLVRSKNHKFMSSTK >KZN03366 pep chromosome:ASM162521v1:3:44052955:44055042:-1 gene:DCAR_012122 transcript:KZN03366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLKHKHPLILDENFHGGEEDACYLCEEPLRSPLIHSVYRCSSRSDGADENVDCVNLFVHKSCAELPLKMTDYYKHPQHPITLVCPKLMPNNYRSQSCSLCYRPPPHTVVYSCNSCDLTVCLKCVTSPIVYHPSHNQHALALVQRRAAFPCDACGRGTSDLSSCKCSTCPFWIHTSCAILSSSKKFQFHTHPLLLAYSFPQQYLNFKRKCLICRTSDVSGDMVHLPSRDESSLNLLRQLLIHQMMIKQTEGDALKWSMYPISTVSAEVAGPSKEVIHHWSHNRHPLILRNPNIQLMSVKDSSEDSDDDTIIACDGCTNPINSIHTSYYECQPCKYFLHRYCAGFPKEMQPYSFNSYHILYSEISSSNLFKCDGCNYLKSGIYVASHDGNYKLDIGCAMLPGRIKHEAHEHPLDQAIGSWNYYQQDNSCSACRGFEHPAAPEASPAPPHTCFK >KZN00696 pep chromosome:ASM162521v1:3:7681583:7684845:-1 gene:DCAR_009450 transcript:KZN00696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEKTELNVSVRYPSIRSLCNYLSLNKMSSTDIYPFLDEVFVMGTHLAGKVLSRQISAQEFDDNNYFEGFWLIKNSPEPAALRGIFNKETTTPLMSQLKSNGMVRWGIRRQVKFINRQGDSSTSHPQSSTSFVKGSKEKLEHESDEDNKDENDDEDNEDGEEEREYTDEDDKKAVKRKPYCLRENTRKAKKVKRETQSNKKSRRNKCKKMIVGSSINRWSAERYKLAEKNLLEVMKSKGALFKSPILRPDLRLEARKRIGDTGLLDHLLKHVAGKLAPGGVERFRRRHNADGQMEYWLESADLVKVRKEAGVQDPYWTPPPGWTPGDCPSQDPLCAKELRLLKEENAKIKRDMEDIVSNFKEELKKVRREMDEQFTKKIQEEIWSLQVQSSAPWKSDFESALVQVNKQEKQLTDISDTITGMKDQMGTLSSMVELASKTTDCCAEKERNHAALERCHALALKESASTGEKTAAEEKAAKLQKLKSGFRICKPQGTFLWPNMGASNGSSPLVFEVEDLFMVHTPPSVSSSTALAPPQLPYHHHHPPTSPIRPVPERRAVTVTVKTARTNDQQIDNHGYSSTAIVASPKSKSNTSLINLNDIPDTDGAFSTAATKRHFLPSTVKRETASAWECIGSSRCSNMSAFVAECTVNAGETSQQGKRECTSSTLCLSSAAGHRMPLSAANSTRG >KZN00893 pep chromosome:ASM162521v1:3:9632335:9632724:1 gene:DCAR_009647 transcript:KZN00893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLIQRFSRVTDSTNYSLLRSESRASTRPCRLMKPRRSGGVPEGHLPVYVGDESERFVVNAELLNHPVFMKLLNISAQEYGYEQKGVLRIPCHVFVFERVLEALRINQVSQDVLELLDSFSKGSVYLA >KZN03345 pep chromosome:ASM162521v1:3:43863656:43864021:-1 gene:DCAR_012101 transcript:KZN03345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENKREKHVKETVGACSTGDVIQHGRPAIAVIVTIASGNGEGADRMLGNDPWNLAPTNMVYSPSCLEDDTIIHEIGAKVHAGEKLMVQTGSRFLRGSVLVKGFEDEISEEGERVIVRNGV >KZN02997 pep chromosome:ASM162521v1:3:39994573:39998210:1 gene:DCAR_011753 transcript:KZN02997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTGVWPESKSFSDEGFSPPPSKWKGSCQTPSNFTCNNKIIGAKFYRSDGEIGVGDFKSPRDSEGHGTHTASTAAGGIVDEASLFGLGSGTARGGVPSARLAVYKICWSDGCYDADILAAFDDAIADGVDIISLSVGGSFALDYFDDPIAIGAFHSMKNGILTSNSAGNSGPDPATITNLSPWSLSVAASTIDRKFIAFVQLGNKKLYEGFAVNTFISGSHPIVYGGDVPNIAAGYTGADSRYCFPDSLDNSSVAGKIVFCDELSDGEGALQSGAIGTIMQDGGFKDHAFSFPLSTSYLTLEDGAEVLTFINTTSKPTATILQSIDVKDSLAPFVVSFSSRGPNPITSDLLKPDLTAPGVDIVASWSEATTVTGLVGDKRVVPYNIISGTSMSCPHATGAAAYVKSFHPTWSPAAIKSALMTTASTLSAAKNTDVEFAYGSGQIDPIKAAQPGLIYDAGEADFIKFLCGQGYSSKSLQIVTGDNTTCTSATNGTVWDLNYPSFALSAESGTSFTRTFHRTVTNVGTPASTYKAVTEAPSGLSIKVEPSVLSFNAIGQKQSFTVTVAAKVGSKVLSGSLVWDDGAFQSIDVKDSLAPFVVSFSSRGPNPITSDLLKPDLTAPGVDIVASWSEATTVTGLVGDKRVVPYNIISGTSMSCPHATGAAAYVKSFHPTWSPAAIKSALMTTASTLSAAKNTDVEFAYGSGQIDPIKAAQPGLIYDAGEADFIKFLCGQGYSSKSLQIVTGDNTTCTSATNGTVWDLNYPSFALSAESGTSFTRTFHRTVTNVGTPASTYKAVTKAPSGLSIKVEPSVLSFNAIGQKQSFTVTVAAKVGSKVLSGSLVWDDGAFQVRSPVVAYSTSS >KZN03087 pep chromosome:ASM162521v1:3:41127524:41130628:-1 gene:DCAR_011843 transcript:KZN03087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRASAPIFSPAGETAKTQIGGVVAPAKVGTGVVCAAAKNANHRPLTGVVFEPFEEVKKELMLVPSVPQDSIARHKYSDDCESVINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKDSSEEEREHAEKFMEYQNKRGGKVKLQSIIMPISEFDNAEKGDALYAMELALSLEKLTNEKLLHLHEVASRNNDVQLADFVESEFLTEQVEAIKKMSEYVAQLRRVGKGHGVWHFDQMLLDGAAA >KZN03047 pep chromosome:ASM162521v1:3:40564099:40564551:-1 gene:DCAR_011803 transcript:KZN03047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLWRAVTGASPTPSEYSNIEFWSTPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKESNITRYSVPRGVVPVATCLTVKGAEDVLHKQFAFELSTREDTMYFIADSEKEKEDWINSIGRSIVQHSMSVTDNEIVDYDNDDSNMGK >KZN00693 pep chromosome:ASM162521v1:3:7662057:7662686:1 gene:DCAR_009447 transcript:KZN00693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYSHPNILKLRKSKLVINVRPTRYYDHRLPCPSSCSASPFHITFITHLTDRFLDPRHLSLSSSFNSSPRLIGEYQEVVGKTTICQDGTLFFKSQQKANSKLAVKAALRSLNVKKSRHAKIFKQVMAFGRRYINKSNDGRGFKKLRTFGRGYKNRSNDKEALKGLVVAITIEQSFIGDREAVNQVMEKSWEESKSQARGDGDTLFLVN >KZN00256 pep chromosome:ASM162521v1:3:3473163:3479476:1 gene:DCAR_009010 transcript:KZN00256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKQGYSMASNLLKTLIRSPNLPSSSPLSSLHKLRFVSGVVNSEVATSHTAKWMQATDKKSPMELINEVPPIKVDGRIAACEGDTNPALGHPIEFICLDKDEPAVCKYCGLRYVQDHHH >KZN00313 pep chromosome:ASM162521v1:3:4128594:4135172:1 gene:DCAR_009067 transcript:KZN00313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIAAAAHPVGIPLSHRRPTCASPSYIPSLHRTLPSSFLLGQLSHTRLNSVVSPRFFRHRVNSAVTASASSVPQDQTLAPAEGIENVVIIGSGPAGYTAAIYAGRANLKPVVFEGYQIGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRNQAERWGAELYQEDVEFLDVKTSPFTVQSSDRKVKCHSIIFATGATARRLNLPREEEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRKDQLRASRAMQDRVFNNPNVTVHFNTETVDVVSNTKGQMSGILVRKPDTGEESVLEAKGLFYGIGHSPNSQLLEGQVDLDISGYVSVEEGTAKTSVDGVFAAGDVQDYEWRQAVTAAGSGCIAALSVERYLASNNLLVEFHQPKTEEVKKELTTRDVQEGFDISITKHRGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEYDENVHFVEIDIEEDQEVAEAAGIMGTPCVQFFKNKEMIRTVSGVKMKREYREFIESNK >KZN03499 pep chromosome:ASM162521v1:3:45126365:45128773:1 gene:DCAR_012255 transcript:KZN03499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKKSENHLTSAAAFVEGGIQDACDDACSICLEVFSESEPSTVTACKHEFHLQCILEWCQRSSQCPMCWQAISLKDPNSQELLDAIERERSIRTTPSRNTAIFHHPTLGDFELQHLPVGATDAELEDRIIQHLAAAAAMGRARHFARREGQRNRSSAQGRPHFLVFSTNSNAPSATASSSPTEAGDGETNPSITVSGSSHIPLGINDEPVQPNTPQRPIQSVQASGSRVITENQHGTSPYRSPSRSSPNSQDRAGPSEFQSFSDTLKSRFNAMSSRYKESITKSTKGWKEKLFSRNSSATDTGGSEVRREVNVDVGTVSRMMGNLETNDNVPSPSISVSNTSEGRPVPEHGHQHTGGTNGATLNESNVQPSCAATSASD >KZN03372 pep chromosome:ASM162521v1:3:44102785:44103030:1 gene:DCAR_012128 transcript:KZN03372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFVYRAIVQYRNGGDMGSFLSESPSAAYMRLPGDSGRFQALQANHRGKSPCSSPSKAAKQPAVGVQTRGIHGPVIM >KZN00466 pep chromosome:ASM162521v1:3:5539579:5540625:1 gene:DCAR_009220 transcript:KZN00466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIRLDAAKQHYATSSLVIGYALCSSLLAVINKFAITKFNYPGLLTALQYLTSALGVWVLGKLGFLHHDPFVLDIAKKFLPAAVVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAVADTAFRKQPCPSKLTFLALLTILGGAVGYVATDNGFTLTAYSWAFAYLVTITTEMVYIKHMVTNLGLNTWGFVYYNNLLSLMMAPLFWIATGEYADVFTAVGSNDGNLFNVVAFSAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHATPFGLVCLLVTLAGGVLYQQSVTGASNVPSQRESSVPNQIDDDSDDYENGKEGKGITGKISGV >KZN03004 pep chromosome:ASM162521v1:3:40077710:40078183:-1 gene:DCAR_011760 transcript:KZN03004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFFGGRRSNVFDPFSLEVWDPFKDFPLVPSSASEFGKDTAAFANTHIDWKETPEAHVFKADLPGLKKEEVKVEVEEGKVLQISGERNKEKEEKNDKWHRVERSSGKFLRRFRLPENAKVDEVKAGMENGVLTVTVPKVEIKKPEVKAIDISG >KZN01431 pep chromosome:ASM162521v1:3:16173405:16174965:-1 gene:DCAR_010185 transcript:KZN01431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGPPAWPVVGNIFDLGTMPHQSLYQLRQKYGPVLWLKLGSVNTMVIQSAKAAADLFKNHDLTFSNRAVPDAMTVWSYNQGSLGFAPYGAYWRILRKICSTEFLVNKQLNKSIELREKCVDKLVHWIEEASAASRDQGGSGEVEPAHLLFLMSFNLVGNLMLSRDLLSVESKEGEDFFRAVNRVMQRAGKPNLADFFPLLKWIDPQSVRRKMKKDLGTAIDIVASFVQDRVQENTAGSHKVKKDFLDMLLEYRGEGKEGPRIISQKNITFIIVEMFVAGSETTSVTVEWALSELIRSPQCMKKAKDELERVIGARRKIKESDIDKLPYLQAVVKETLRLHPAVPLLVPRCSMEDANYMDYFIPVNTQVFVNAWAIGRDSDAWEDPLCFNPQRFLGSSIDYKGHDFEFIPFGSGRRICIGMDLAHRVVHLSLATLLWSFDWELDVSVSLETLDMTERMGITLRKLVPLRAIPQKRELCVDH >KZN03496 pep chromosome:ASM162521v1:3:45085720:45092308:1 gene:DCAR_012252 transcript:KZN03496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSGQYGDPGGKAYVPAQMQHMSGQRVEQKSNNYQGRQESMTSDKEHPYGAPRGDGHWRWERDGSSHMFNEGQGGDRPRSYYQGQRSDPRMVLERQGNNDPRSHPGDPRMGSDRQGNNDARSHPGEEDMEIGYEDKPMLQTFEGLEQRFLDDIMKLAKEQTDAEDAENARHRESINSINCQYQEQLDALRARHAARRDEILKRETEARQQQYQTVVLDNYPNSGMVHSDPHGYPAAEPHQYDPYVERARFPVGYRDHGLDQREATLYLGARLVGGKGATQHYRNTDPKLVELAKKFNQCKLICRNCYARLHIRAKNCRKKQCGHSNQLRPKSVLDSRG >KZN01700 pep chromosome:ASM162521v1:3:23286327:23290461:1 gene:DCAR_010454 transcript:KZN01700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASPPGASPAPTSPPSTNSTAPPPTTTTPPPAPATSTSPPPSATTSPPSPSTPAPSTSPPAPINAPPPVTPSSPSPPAPAAPSTPGSTPATRSPPVSSRSSPPPPPKTQSSTPTPSSSSSSSSVSSGLVIGVAIGGVVILVLITLVFICCRRKKRRDYGPPPNYYFPPTSAPPKEDPYGGPQQQWQNNAPPPGDHVISMAPKPSPTPIVSRPPQSPLRSPSPQPPPPPPFMSSSGGSGSNYSGSTNPLPPPSPGMALAFAKSTFNYQELAMATDGFSDANLLGQGGFGYVHRGVLPNGKEVAIKRLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCMTGAERLLVYEFVPNNTLEFHLHGKGRPTMEFTTRLRIALGAAKGLAYLHEDCNPKIIHRDIKAANILLDFNFEAKVADFGLAKITSEVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGIMLLEMVTGRRPVDSAQTFMDDSLVDWARPLLNRALEEGNFDDLVDTRLQKDYNPSEMSRMVACAAACVRHSARRRPRMSQVVRALEGDMSLSDLNEGIRPGHSTVYSSHGSSDYDTMQYNEDMKKFRKMALASEEYASSEVSRPTSEYGLYLSGSSSEGQQTREMEMGKMKKDSRGFSGSSGF >KZN02209 pep chromosome:ASM162521v1:3:30912005:30914530:1 gene:DCAR_010963 transcript:KZN02209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPLFTKVFLVPFLSILVGFGIISVSSQCLADQKSLLLQVKRNLIFNPSLSTKLVQWNQSTDCCSWEGVTCNTSSNSAHVIELDLNNESISGCLQNSTLRSLSGLQHLERLNLAFNNFNSSQFPAGIFSLTNLKYLNLSTSGFSGEFPSSIVNLTQLVYLDFWTNNFSGTISSIHFENLVNLEYIDLGLNSLSGTVPSSLFALPSLRKLFFSYNNFHGSLPNFTDTDSSQLDTLYAGWNNLSGSVPNSLFDLKSFSRLTLSHNKLTGESKLQNLHRLKNLTYFNLSFNNLSLDPRHDNSSSFLPPLLKELKLASCRLQEFPDLRHLPSLITLDLAQNQISGDIPNWIWNIGNGGLQYLNLSVNQLEKLQEPYVIRNLIWIDLRSNHLRGKIPLPPKNVYFLDYSNNFFSSRIPTTINLTAAIFFSLSSNRLSGTIPASICSATNLQLLDLSNNYLSGNVPSCLFEFSDTLGVLRLGNNSLTGNISGIFGNDCGLETIDLSGNLLEGHVPESLANCANLEVLNLGNNRLNDSFPCFLKDSPRLHVLSLHSNAFHGVITCQERNGSWENLQIYDIGSNYFTGNLSQNFPVWKAMKDGSQIQTFSEASFQGNKGLCGPPLHINCNRIPVITAYDDGDLGDTIEWEFVAPEVGFAVGLGIVILPLMFYSRWRSFYYKHVDNFLFKFFGIRHQKKNIHGRIRSQGSRIQRAGS >KZN02123 pep chromosome:ASM162521v1:3:29929812:29930570:-1 gene:DCAR_010877 transcript:KZN02123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHWIPPPQGSLKINVHGVALCIPSPHTKDSRMALALFDQLEILALGQVAGPQMTKTDVDSDEEQHDLDGVVEEQFQDGGAANPGLLFMVEADLD >KZN00892 pep chromosome:ASM162521v1:3:9627900:9628523:1 gene:DCAR_009646 transcript:KZN00892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLATCFSFLIILSQLILLVPAAEPGTHIPQTGILHNVTNVSSSTAPPSSKSTSSRWLKKVSINQRPTGCWNKPWICNQGEFPPKIKKLCCLNRCIDVTSDVNNCGLCGIRCPYTWQCCRGICIDTNINPFHCGKCDHRCPFFSLCIYGMCGYAQPLPPFPFPPKPPKPFPPKPPIPPKPFPPKPPKPFPPKPPHQPVASQLRPSE >KZN02534 pep chromosome:ASM162521v1:3:34264943:34266733:-1 gene:DCAR_011288 transcript:KZN02534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGEASKDYSYIQNLKKSVREAALSMQACKTESSQDSHSDDGSESFFLPLSGKGFSRFGAENKSAAVKSKKMASHADTNFLETRATDSQYGNYNADLDMLNDFDLLHDYNHIDDFLSASASNEVSDAQGSMFDLDEAQVFSPPLLMETSMLSDSYEDLLAPLSDTEAALMNH >KZN02863 pep chromosome:ASM162521v1:3:38175862:38178590:1 gene:DCAR_011619 transcript:KZN02863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFVRTNTKSLESLLDMDQSKVKKNLVRNKTRKLVAGQGLEFNNLSYSVIKKVKKDGVWINKESFLLNDISGQALRGEVMAIMGPSGAGKSTFLDALAGRIHQGSLEGSVRIEGRPVSTSYMKMISSYVMQDDQLFAMLTVYETFMFAAEVRLPPSISRSEKKTRVYELLDQLGLTSSAHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAFSVVEKVKDIARSGSIVLMTIHQPSFRIQMLLDRITVLARGRLIYMGIPTALPAHLSGFGRPIPESENSLEYLLDVIKEYDESTVGLDPLVLYQCDGIKPDQEAQTPVQRTPKTPKTPRGKTSGPKHISLRSHQFTAGKSTTRTESGRAFNYDDDDDDDDDDFDNSLERSKTVKTPMHMQSSGVYNPRLASQFYSDFSVWIYHGVKGTPHRQPSWTPARTPGRTPGITPVSGARSRFSTPQQSRPQSQVTHIPIYWRWLHYISAITYPFEALLINEFKNEKCYNGDAGDLSPGPLGQVNISSAHTASGIFQNCTLIGEDVLFSMGITKENIWYDIGILLAWGVLYRLLFYVVLRFYSKNVRK >KZN00304 pep chromosome:ASM162521v1:3:4039475:4041959:1 gene:DCAR_009058 transcript:KZN00304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPAFPDLGKHCSVEDCKLIDFLPFTCDCCNKVFCLEHRSYSKHQCPKGNKHDVTVVICPLCAKGVQLNPEEDPNITWESHVNTDCDPSNYEKVTKKKKCPVRGCRETLTFSNTIKCRDCTVEHCLKHRFGPDHSCPGPKKPDTGFPFMSFLNTSRKEDTRRNKAPISSSSKWTSTLLKAASSVRASAEAGMTKLGNEFSQALQIGEGSSSNNGNAAASNGQIEACPICHVRFASATSLVDHVKKTHEKDSTRPSVMKTTLDICPRCSKGFRDPVALVEHVEKDHAGYSKA >KZN01689 pep chromosome:ASM162521v1:3:23181070:23181264:-1 gene:DCAR_010443 transcript:KZN01689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTRHSIHESYAKLMEGSWWSQFRQGSNPWMARYVYSFMFLASNLLAWAVRDYGRSALTEMKS >KZN03889 pep chromosome:ASM162521v1:3:49663274:49667646:1 gene:DCAR_012645 transcript:KZN03889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQFLVWFEILFLFGCCKFAFCDQNGFLSLSCGGTENFVDSSNITWTPDSTYVTTGTTSTANFPEGNSSSLIPIRFFPESKGRKCYWLPIKNASSLVLVRAKFVYKNYDGLAKPPTFSVSLGTAMATTINLANADPWTEEFLWPVNKDFLSLCLNSIPDGGFPVISSVEVRPLPEGAYASSLQDSPNKSLRKCYRINCGYTNGSLRYPLDQYDRIWEADQDYTPFHLSTSFDIQTAFNLSSDDLSLPLSVLQTARVLTRREDLTYNLPLSSILGDYSIVLYFAGILPVSPTFDVLIDGSLFQSNYTVRSGEVSSLSFTLFGTNNMNITLKSTSFYPLLSAIEVFEIVYIPAPSSTTTVSALQVIQKSTGMNLGWEDDPCYPMPWDHIGCTGSLVTSLDLSNIILRSIGPRFGDLLDLQILDLHNTSLGGAIQNLGSLQQLEKLNLSFNQLKSFGSELEDLVNLQVLDLRNNSLDSTVPDSLGQLKNLHLLNLENNKLKGTLPQSLNSRALDIRTSGNLCVSFSMSTCNDADVSRNSSIEAPQVTLFTTPKKRSGHIHIVVVLAAVGGVIFALVIVSIAVLMYVKRKDKGVAYKESMDMRDCIAAKSYSYREIKAATKNFKDVIGRGGFGSVFVGKFPSGKQVAVKVRYDNSQLGDDAFINEVCLLSRISHQNLVSLEGFCHESKQQILVYEYLPGGSLADNLYGAKSKRVTLGWGMRLKIAVDAAKGIIQFFFGYYATQQLTEKSDIFSFGVVLLELICGREPLSRAGAPDSFNLVLWAKPYLQAGAFEIVDEQLKGTFADESMHKAAAIAARSVERDAAQRPNIAEVLAELKEAYSIQLSYLATAGTGS >KZN03360 pep chromosome:ASM162521v1:3:44005728:44007555:-1 gene:DCAR_012116 transcript:KZN03360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVISHRLCGSLGVDRGKLVLQNASAAGTSVLSSNFKGKSLRKVSLKNSCPENYSSNFKIVAEVDEEKQSSKDRWKGLAFDISDDQQDITRGKGMVDSLFQAPMNSGTHNAVMSSYDYASAGFRQYNMDNYMDGLYIAPAFMDKLVIHITKNFLDLPNIKVPLILGVWGGKGQGKSFQCELVLAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQDNPRVPVIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGICIGIFKTDNVPTKDIIKLVDKFSGQSIDFFGALRARVYDDEVRKFVSDIGVENIGKRLVNSKEEPPKLDQPKMTIQKLLEYGNMLVEEQENVNRVQLSDKYLKEAALGNANDDAIKRGTFYG >KZN00761 pep chromosome:ASM162521v1:3:8231651:8234686:1 gene:DCAR_009515 transcript:KZN00761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSKLSSYAQSVDNDTVIKINGHSNETELASTKMLPDNSTNNVKNGDSVTESPAAQRSPKDVRVFFSGNLRRRYSGSNQSGGSGAGEREEVVACNSNKWFRRDSQLMRMKSKSRLLDPPEQDGKSRNLMKSGFIGKGSECDDEDPFLEEDFPEDFKKRNFSYWAAIQFASLILIIVALICSISVNIFKNNKLFGLEVWKWCLLFLVLICGRLVAGWGIRVVVFFIELNSMLRKRVLYFVYGLKNAVKNCIWLALVLIAWRSILGERVENLAHGKVLSYVTRTWVCLLVGTLIWLAKTLIVKVLASSFHVSTFFDRIQDALFSQYVIETLSGPPFLEIEQAREEEEQIMNGSSGATVPSEHRASGLLRSGKLNGTAPKLASVKSSRFSTVMTVKENEGITIDHLHRLNQKNISAWNMKRLMNIVRKSTLTTLDEQIKDMTGDDETALQIRSEIQAKQAAKKIFCNVAKPGSKHIYQDDLMEFMREDEALKTIGLIEGASEIGGISRRALKNWVVCAFRERRALAFSLNDTKTAVNKLHHMLNIFVGIIIVIVWLLILKVASVQFFVFLSSQLVLVAFVFGNTCKATFEGIIFLFVMHPFDIGDRCEVDGVQLIVEEMNILTTIFLRYDNQKISYPNSVLATKPISNYYRSPDMGDAIDFCIHISTPVEKIASMKEKITSYIENKSDHWHPAPLIVLRDMEDLNRLKISLWPSHRMNFQDMGERWVRRALLVEEMIRIFRELDIEYRMLPLDMNVRNMPAIASDRLPSNWTACDD >KZN02028 pep chromosome:ASM162521v1:3:28559448:28559994:1 gene:DCAR_010782 transcript:KZN02028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDPPTTYEVGATIDAWRNNDWWQDFAFTVESVFNCGNYHLFLLGNPDYAPDYWCKCKIMEISEKRWKVHYKDTKDGDGSGILEMHPLCDVLASVQSCYTNTSLTSNIVGYKQKPVSCYDYWTSINHGFDVTYLTNNAKCYSKKIRV >KZN02412 pep chromosome:ASM162521v1:3:33252617:33258541:1 gene:DCAR_011166 transcript:KZN02412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFLSSLLGGDAATAAEYPPSTEPSRVLEFHSADRWRLHFNSAKQSPKLMVIDFSAKWCGPCKMMEPALRGMADKYPNVDFIKIDVDELSDVAREFGVQAMPTLVLMKQGKEIERIIGAKTAELESKINKHRELPKFAA >KZN01435 pep chromosome:ASM162521v1:3:16216461:16216823:1 gene:DCAR_010189 transcript:KZN01435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVSPVQIQRFWIRQIISFCFTASIEIAVQSAQWPSPCSPLISWLALAISLAFAALFVATSIDASRFVVLIHVLEHLSVFFAATAFFIAISIPFPMYIKCVSWTVYVISLLAISVCHLY >KZN02961 pep chromosome:ASM162521v1:3:39578504:39578764:1 gene:DCAR_011717 transcript:KZN02961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGELFLDEKWKLSRKEGSRSAASGLIRRVSFSSKSRASSDEQSRRCGFTRKCASLVKEQRARFYIMRRCVTMLICWRDNLSDS >KZN01347 pep chromosome:ASM162521v1:3:14716906:14717439:-1 gene:DCAR_010101 transcript:KZN01347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVSALAAGRQAKLIVEITTQGVTPLTLALAVAATQTRGHLICIVPHDKTKNTISNHLKQHMDLEKVARIVIGDPCEVIKQYKNIDFAVIDGKYEDHLRLFEIIDMKQKGSVVVVNSKVCRKSFGEVVKGKRGSVESVVSFPFGEGMELTRMGSSNSCGGRSCRSGKSRRFYVVDE >KZN00832 pep chromosome:ASM162521v1:3:9064517:9068681:-1 gene:DCAR_009586 transcript:KZN00832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPLVDVDKGQKERDQCYKPILEELELQFPNRSKESPPSCLVPGAGLGRLALDISCLGFASQGNEFSYYMMICSSFILNQTERANEWTIHPWIHSNCNSLSDNDQLRPILIPDIHPASAGITEGFSMCGGDFVEVYGDASQVGAWDAVVTCFFLDTAHNITRYIEIISRILRDGGVWINLGPLLYHFADLYSQDDEMSIELSLEDVKKVAVHYGFQLEKEKTIETTYTANPRSMMQNRYYTAFWTMRKGATANLLP >KZN01150 pep chromosome:ASM162521v1:3:12232315:12243692:1 gene:DCAR_009904 transcript:KZN01150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTADELRSTRVAVRSSLIDSIKACTFAGARISKEQLRAKISMPQHLRFAIRDAVRHKNVDAGMSHHRDAAVDPPEAPVVVFISSKSGGRHGPKLMTRLQELMSEEQVLDLSQVKPHEFVQFGLGCLEKLASQGDTCAKETREKLRVVVAGGDGTVGWVLSCLGELHKQGREPVPPTGIIPLGTGNDLSRSFNWGGSFPYNWKSGIKRTLDRVASGQTARLDSWNLRVLMPAGEEVNTPHCLKRQAVDDLSLDLEMEYGQELPEKVSCYEGVFYNYFSIGMDAQIAYGFHHLRNEKPYLAQGPISNKVIYSCYTCAQGWFCTPCIANPGLRGLNNILRMYIKRKSMGPSQPEVYGKERFRRGPN >KZN00778 pep chromosome:ASM162521v1:3:8498206:8498929:1 gene:DCAR_009532 transcript:KZN00778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMMRSDRKPPLARSPIRLRSRRNLQSATNIPMPPVAQTPIAKPQIPKRTWDAEELEVRPEYNTISCELRALAKMVQGGHKDAEMEDSVNVKRSPLFERGRFYEEYSARRNERLRRKKGGFEEKKAPSYDLGVRVSSAKKTDAKKQGSVRKSVPATPVTERGQMSRYSLRSSSCKENNKPPVVPVTYNKSVGMSEKKVTVRKTRKL >KZN02211 pep chromosome:ASM162521v1:3:30920879:30922681:1 gene:DCAR_010965 transcript:KZN02211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVILLSLLNFFFLLQRVFAQSAANSGTNFSCFSDSPVSCETYVSYRARSPDLMNIGNISDLFGVSRLSIVNANNLPSDEAKLRQNQILLIPITCSCNGSSFFSNVTYRIKAGDSLYSVSINTFQNLTDYHDVQEMNPLLIPNRLKVGMQVVFPLLCRCLSKELEEQGLKYLITYVWQPGNVLLAVSSAFNASPVDFLKENNYRNLSDAVCLPLLMPVTKLPINLSSLGDLHMTRRLNLIIAILGSAGAFVILSALLVYIHRLYVKRRLLEPNVSYTEFFESKGGTIEPQLFKSNLLLKVSGCLDNPIIFDEKAIKEATMNLSDKHRIGRSVYKATIDGEVFAVKKVKDATEEIKILQRLNHANLVKLMGISFWNDEDCFLVYEYAENGSLDNMLFSSTPSSSSSMAFLTWTQRLDIALDVANGLQYMHEHSQPSIVHMDIRASNILLNSNLKAKISNFYSARPATCAKQLKEDVFAFGVILLELFSGRKVMQQSKNGETVIEWDQMRELLEVDEKRVERLEKWMDQNLQGLYPTDSALSILHLANACTLDIYLARPTMTEIVFNLSFLRQSSSEMYEGSWMSGIEPVESSETFSPIIAR >KZN00394 pep chromosome:ASM162521v1:3:4957770:4960610:-1 gene:DCAR_009148 transcript:KZN00394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSGTGEIVELDEEHYPHQYIQGIRQSNAQSKPKKPPMLRQGRVSPIEDDINKLFEALIIKTSSKGESPVNKLASAVPSKKDLKKPMRVSTSTSGIGFSEPVSLKQALRGLCISQASEMAVRRLSKSPGSPAMSGSGNGNSPYRSLLFETGGSGLSRSEGKDYEISQLPEVMTVGSWAKEPQRLQEFKLQSSDYRSHLSSQSALPLTAKKKVSTLGEIEAVSASMEGGNKSAKVEPVHEEVSSNSTSEILLKQSRIISASAKLKKDVEENMSKLTKLSAKAAPKVRQKGKFQTVRPSSNKVSGIKLGKSTKYVSRAFKPVIRKKTFALKKPKQKSTSNAHYDVNYDLDIRPSKLVCEKCQCSLVDPKKEPEKDYPIPSTLCVSSESSSNNMNNAASKTNFSLNSCAVVSKSNKNSRSRDKGEFSQSSKSSIGEFSSSTSLSEESYLSGSSFGNRPHMSKVSRWEAVRHVMKQYGFLGLGHFNLLKKLGGGDIGTVYLAELIETNCLFAIKVMDNEFLAKRKKMPRAQTEREILRILDHPFLPTLYAQFISDNLSCLVLEYCPGGDLHVLRQKQPCRYFPEEAARFYVAEVLLALEYLHMLGIVYRDLKPENILVREDGHIMLSDFDLSLRCSVNPMLLKSYSLVTEPPRVSGPCAGSKCIDPFCMKPSCQLSCFSPRILPVTGKLRKAKTDHAIRMRSLPVLVAEPTEARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLYELLYGKTPFKGIGNEETLANVVLQNLQFPDTPIVSFQARDLIRGLLVKEPEYRLGYSRGAAEIKQHPFFEGLNWALIRCAVPPEVPEPYESLPKILRAGKGANQLGATGENLELENFESF >KZN02132 pep chromosome:ASM162521v1:3:30027347:30027766:-1 gene:DCAR_010886 transcript:KZN02132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSPPNIFTTTLLLLLFLLPSISPSSAQILLHSDNALSNDLASTVSLYDDNELEDEDLDLGEKEADEESVSGGRSLLWQRIYRRRSRYYISYGVLMANKIPCPPRSGRSYYTHNCYKHKHAANPYTRGCSAITRCRR >KZN03182 pep chromosome:ASM162521v1:3:42193092:42197135:1 gene:DCAR_011938 transcript:KZN03182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNISAYRSTYSDLYQPAVIFAGSSVLIALVSSFSLIRQHLVSYTKPEEQKWIVGVLFMVPIYAIQSIISLVYPKFSLALDISRNCYEAFALYSFGSYLIAVLGGEERVVELLESESRKSLNKPLLEEDDERQLQKRNFIDFFRRPNMLGEHLLTIEKFGLVQYMILKTLCAFLALVLEIFGVYGDGEFKWYYGYVSLTNVQVSDWGHFHFAVIEPEMAVAALAHIFVFSAKPYHLVPASENSRIETEATQAMLKKHKGDEENPALYERTSVRESVQDIVVQGGHHVVEDVVLTINQAIEPVEKGMTKIQETFHEITVRDDEKESEVKVEEHEQDVTVDDTHVSTSEDKVTIESSGRTGS >KZN01040 pep chromosome:ASM162521v1:3:11093195:11094731:-1 gene:DCAR_009794 transcript:KZN01040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKSQQASVLSFTLIIGLLSQSLVIPVISAASFEDQKTYYPPGDPHADESSHHTPSHGSHGHKSSPPSNCGTPPSGGHYDPAPPTGDSPPTIISPPTSPSIDPGTPLTPPTTISPPSSPSIDPGTPSTPPSTISPPTSPSIDPDTPSTPTYGSPPTYGSPPTSPSIDPGTPSTPTYGSPPTTLSPPSTPIDPGTPSTPTYGSPPTTISPPSTPIDPGTPLTPTYGSPPSTPIDPGTPGSPIFPVTPTTPGISSPPFSFSPNSPPFPWTYWLTHPTIIWGMLGYYGATLGGAFGLTSNGSPAAVSQLSLEQALSNTRTDGIGSLYREGTGALLNSMVIKRFPFTSEQVRDRFVSALGSNKAATEQARVFKMANEGRLKPRL >KZN02488 pep chromosome:ASM162521v1:3:33884589:33886263:1 gene:DCAR_011242 transcript:KZN02488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTESNNYRQNFGFGPNSSMFGNNQMNPMNQQVAYNMSQRAPMNLHFQNPGRQIPAIGGSSVNPPAQNFFADPPLFAAPGGHGSAAGYMTNNGLVPAASGNDYPGLFHSSASQNPVAVQPRAYPVPANPGVGAGRAPGNRKAKKRNQRNPGNNIKGHWIPAEDRMLLDLVAKYGSRKWSLIARMMPGRLGKQLRERYNNHLKPGLKKEAWSPEEDMKLIKAHEKIGNKWAQISKLLPGRSESDIKNRWNATKRKCINAISKTHTNPKPSSLFENYVKNMFNRMVLNSSPVSAESTVMTGSSSGGSNYQMAVTDADGSGGVDDGLMDYGLQSSFDPNVLANHGQSSQFESMSYMEMLLQ >KZN03767 pep chromosome:ASM162521v1:3:47919651:47922059:1 gene:DCAR_012523 transcript:KZN03767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGYSSMNSGRNLNGFMTILSSAACEWFLMFLILVYGGFSHLLTKFAWYCELETPCLLCSRLDHVFGEGPGYYRILLCTNHREEISSTIYCQIHDKLCDLGEMCEECFTSTAMQNRLSSGSYKIMMGRSGIDFERGGFQSPYIRNNFLPLARECVCCNKPLKTKTKAQRLLQKTPVGLGASRANVRPPLPRMPGRSRLSYRDNLKKIRENMSDSATSPRSRTAEKMMSYAGYAELKFNSNPNSESESDFPYSDDDDGSSTSQYKFEPSDSFFAQLSSDYHARKAKPSSTPPPELTFPDDVTSSSNIVKGPRGESAETLKSSLSRISSMNRLSDHMLPKVVPPKSNAAETPLEVSPDKASTMIATQADLIKETASSNDADISDLSKTGVTNKGRDVPSPLPAQEANSDSVKVDNTENLQPPVSNSSSPEGIRVIKKSASIDKFDSDYESVDISIVPEIQGEDIVDRLKRQIDYDKKILSSLHKELEEERNASEISASQAMAMITKLQEEKSELRMESAQRLRIMEEQAEYDMEALNKADELLVEKEMLILELETELEYYRNNMDESILGNQTGETSKRKEGNKKLLNYEVPEIDADANSPSESRSVQTYEGGDKFTYDMSSFFDFVDEKKYITQCLKNLERKLHQLSANAASSGTLNGMHSAHITDAKESTDEKERVEGNFTDREAKADRQDENIGIDATRTHGNPSTHDENTPSVEDRHHISEESHSDHQKRVEHGEQIDLGTLEHEISDLNERLEALEVDHEILEHSVNSLRGGKEGVQFLQEIAGQLQELRKVEFGEK >KZN01755 pep chromosome:ASM162521v1:3:24606315:24610343:-1 gene:DCAR_010509 transcript:KZN01755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANNHAYEKWEERILSKENGKREIRYYLVSTSASPLLAVVGMEKRDRHYSYVVSEEFLQAIGPNPAINTNEKWTSRKNVKEWLESLVRENNQPPTNSNHYVPAHEGNGSDAITTSEVSGIPGPCGVQLEEPTPTEQASFQVNDDVELLIQDLDMPDCWCKCKIMDISKRRWKVQCNDMMDADGSGKLEQYVPPPRLARLDKLSMRHCKRLTIRPCPAEEDSPTTYKVGAAIDAWRNNRWWEGFILTGESLSNSDSYHVFLPGLGDPMFMTLHRRNLRPSRDWVNNIWVAVQPLPDVYGAIQSCYTQRGE >KZN03198 pep chromosome:ASM162521v1:3:42373041:42378453:-1 gene:DCAR_011954 transcript:KZN03198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSTHLVINIVMCCIVLSHPVTPTRSNHTEIKVGLLLDLNSRLGKMMESCMRMAHFDFYKSHPLYRTRLLFRTKNSHDILDAASGALELLNNDEVRAILGPQTTPEAKFVVELGIKTQVPIVSFSATSSSLSPARSPYFIQMAGSDSCQSKAMAAIVEGYGWQEVVIVYEDNGYHDEFIRNLSDAIRKAGIQILLTSAVSLSANDSQILDEVYKLKTVSARVFLVHMTSLLGSRFFIHAKSAGMMSEGYAWLITDGLSNLLGSMDATVIDAMQGVLGLRPYVSKSKDLTTFRERWERKSQYTKPKAELNIYALWAYDAVWALAMAIERMGPINFKETEQHNAQNMNDLSSSKAGPVLLKEILSTRFKGLSGDFNLVNGQLLISTFEILHVIGKGERPIGYWTANRGLSRYPDSVGRSSKYSTSVNVLRSIIWPGDSTVKPMYWAVPSSGKRLKVGIPVKEGFTEFVKLKEFHNSKEYNVTGFCADLFRELLVRLPFKIDNPQYIPFGDPATGKSAGSYDDLLTQIEDKKYDIVVADVTILAERAQKVDFSTPYLGSEVVMLRQVKYDGVNYMWIFLKPFSSDLWLTIGISCIFMGAVVRTLEHRANTQRQLGMLVWFPLASLVFPERNMVASKWSKFVLVIWLFTAYIVMQSYTANLSSNLTVSRLQRPTDKLYCIGFQEGSFVKEMLTKRLDFNVSRIKSYASVEQYHDALSKGCHNGGVDAILDELPYIKIFLDTYGETNYILQGSSYKTGGLGFAFPKGSALAPHISMALLNLTGSGEMHAILSKNFGPEYSDSDYSFNSASHVSSIGTKSLAGLFIISGTMAIFALLFSAPWFQQRFSDVSTRYKQSCVFPSPPSDVIELSVHSALDIRVDFSTTAVTENSFTMPNQSDENGHTGVAISEDDVNLNEAGSC >KZN02250 pep chromosome:ASM162521v1:3:31315946:31319849:-1 gene:DCAR_011004 transcript:KZN02250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRSALVIIGVSVGVAVGVVVASLVYFGIRWFRKHANLQQQANERSLSTLPIRRNGQDKSFDSSASFSDSVTVKIIDHPAQKSQHLWWSHHSKDKIAPTSGIPRYPYKDIQKATQNFTTILGQGAFGPVYKAKMPSGEMVAVKVLASDSRQGEKEFQTEVSLLGRLHHRNLVNLVGYCVDKGAHMLIYEFMSSGSLATFLYDEEKRTLNWEERLQIALDISHGLEYLHDGAVPAVIHRDLKSANILLDNLYRAKVADFGLSKEEKFGRSNSGLKGTYGYIDPTYISTNKFTAKSDIYSFGIILYELITAIHPHQNLMEYINLAAMSSDGIDEILDKRLVGTCNVEEVRSLAHIAHKCLHSTPRKRPSVGEVMQAISKIKQRHMGKKNVLSLSEDDFSSVVSRIESQHIEMGRLTSIAERA >KZN00419 pep chromosome:ASM162521v1:3:5199896:5201554:-1 gene:DCAR_009173 transcript:KZN00419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYKGYYHLFYQYNPQGAVWGNIVWAHSVSKDLINWLALETAISPSKPFDKYGCWSGSATILPGNKPIILYTGIVSPEPESTQVQNYAVPANYSDPFLREWIKPDNNPLVTAHSENSSAFRDPTTAWFDGGHWKMLVGSRRKHRGMAYLYRSRDFMNWAKSPHPLHSKANTGMWECPDFYPVAQESTKGLDTSERGENIKHVLKVSLDETRYEYYTIGTYDRVKDRYVPDNTSVDGWAGLRYDYGNFYASKTFFDPLKNRRILWGWANESDTRDDDVKKGWAGVQLIPRTVLLDPSGRQLIQWPIEELEQLRGARVQMGKQKINEGDHVEVKGITAAQADVDATFSFESLDKAESFDPKWMNLDAQDVCNLMGSTVIGGLGPFGLLTLASEKLEEYTPVFFRIFKTQEKHKVLMCSDAKRSSLAKGLYMPSFAGYVDVDLTDNKISLRSLVSPVF >KZN02456 pep chromosome:ASM162521v1:3:33621306:33626126:-1 gene:DCAR_011210 transcript:KZN02456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFIRGKLMSERYLIIFMKAELKQKLAELYSVKDTQAISVFKFRTHFGGGKSTGFGLISVESAKKYEPKYRLIRFSSWDEKDSEDLGKKIAEFKSEVRLKKRSARGVGGSKGVSSDDKSRESFDSLEERSNMYGEVRKVTKRILDFDDEIGGDAEKRDGKEEEMKVRKAEKKRTSEGEGSEKKEKKERVNGTSEEMKLKEKASNKRKEEKERKAHLEQLHAESQRLLRGSYLVCNGAGFKPVPVVQKPISSLLEKIRQRKLEVSKKMSLLHNSGYATENDDSLMETVDVADSDKVSTGGGGEDNISAKSKMSFREDSEDTGSWYRMGSRQSSMMSSSQGIRDNSISVLACVLIVALGLVILHLHRLLYPEILDLLCLRLVLIVSLSNVGAMLGAIASGQIAEYMGRKGDSSFLYTRRLLEGFGVGIISYVVPVYIAEIAPQNLRGGLGSVNQVYANMDEESNAVVTDNENKVQVKETVEPVDLD >KZN00180 pep chromosome:ASM162521v1:3:2798140:2799513:-1 gene:DCAR_008934 transcript:KZN00180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRADWDGLPGKILIVIAHMLTCCEDLYRISAVSKSWNSVVSGLSRDEIKPLQLPPESPLLFLAEQVAEGAAFSCDFDDEYHEEGMVENVDDEDDADEEEHYCYHELYDYRKNSVSDTRGLQRLATGKTYYAELPEASGRLILGTNKGWLVTLGRDLKINLLHPLLRQEIPLPHMGTFLHQLHSEEFILDYISPEDASDGFIQRVAMSGKLHPSKNNGMYSSNPIVMAIYGARRYLAYARLTDKVWTEVLFPSIAPFIDPFIEDIAYYKGKFYALNGRGDLFVCDINDDSETQGRAKGTQIYSWPTDLDIGMNYNNSRTYLVESEFGFWLVVREFKAKYFKAPHGARVKYRTCNFTLWKMELKYSDHHSELPSCTCIPENNLGDQALFIGRATCLSLPSSEYIRPNCIYFTDDILDLSYHVGGGHDMGIFNMETNTIEPFYQGKSIHPISPPLWYI >KZN01169 pep chromosome:ASM162521v1:3:12581008:12585257:1 gene:DCAR_009923 transcript:KZN01169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDASPNNKKAEIDTSAPFRSVKEAIMLFGERVLAGEIYTSRLKESLCCMYLQVWVWYCLLGLWLALLLALCLLVLWTLRFLSSLGGLKIVSMLDRGKVNAKIQSERCEDECDEAVLLKCGFRMLGADLLNDTKMLEINNGAKELNIPTSDANRKLVASDNGGLQNPSYLIFNPVWDSKGAPSPNKRFNYPSVPGVQKPISDEDIAFMTVLELGQLIKTKQISSEELTKIFLKRLKRYNPVLEAVITFTEELAYKQAKEADHLLSQGVYLGCQLLSFLDCLY >KZN00968 pep chromosome:ASM162521v1:3:10379577:10379843:1 gene:DCAR_009722 transcript:KZN00968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASKAWLVAASVGAVEALKDQGFCRWNYTMRSLHHHVKNNLKSSSSSFAHQTKKHLSSNITDQSNKAVQSEESLRKVMYLSCWGPN >KZM99974 pep chromosome:ASM162521v1:3:695877:699170:-1 gene:DCAR_008729 transcript:KZM99974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHLSLEPFILYIITAFSIISAFAAAAASQEATSLLEWKATLRTPKNSALSTWRLSSGANSPCSTWYGVSCNSFGSVTRLNLTASIEGTLDSFPFSSLPNLTHIDFAVNDFYGNIPPQIGGLSKLIYLDLSTNQFSGKIPEEIGMLKNLEVLHLVENQLNGSIPQEIGNLSSLVELALYTNNLEGPIPASFGRLTNLNNLYLFENQLSGSIPAELSSLLNLVKLFMDTNYLMGPVPSFFGNFTNLTYLNLFDNYLSGSIPSELGNLKFLKSLSLYSNNLTGSIPSSLGNLQSLRRLHLYDNRLSGLIPDALGSLQSMKDLELSENRLTGSIPASLGNLSNLEYLYVRDNQLSGPVPQELGNLNLIMFEMGANQLSGSLPENICAGGKLTNLTVNDNMLNGSIPRSLRNCSSLVRLRLDNNQLNGNISEAFGVYPDLDFINLNNNKLYGEISENWSKCKKLKSLLISGNSITGSIPREISNIANLQKLDLSKNHLAGMIPKDIGNLSNLLDLRLSNNQLSGNLPPELGLIPKLEYLDLSRNGFNGSIPGTIGSSQQLHYLNLSNNGFRQEIPPGITKLSHLSSLDLSNNALMGKIPSQINSLGSLELLNISHNELSGFLPKAFDNMPGLLIIDISFNKLQGPIPISKAFINASILSVQGNDGLCGNITGLQPCTDPSLVDRNTTKKDHKIILLIILPCLGASLLLWMAIWVLVIYIRKKRSAEIQQNDQEIHELITVSSLNGKELYSEILTSTKEFDDFYCIGKGGFGSVYKATLHSTDTVAVKKLYPSSELADPSGFFNEVRALTEIRHRNIVKLLGYCSQPPHSFLVYEYLENGSLEAALSNEVLAKELNWHMRVNIIKGVAHALSYMHHDCSPPIVHRDISSKNILLNSEYEACISDFGTAKLLKTDSSNWSAVAGTYGYIAPEYAYTMKVTEKCDVYSFGVLTLEVIKGKHPGASASPFTPSRQTIELKDYVDERISPPSKDMEEVLLQTIKLANECLHSNPHARPTMYTVSQFETKKKYHQQDS >KZN01797 pep chromosome:ASM162521v1:3:25251948:25252406:1 gene:DCAR_010551 transcript:KZN01797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHSGSLKHGFLERSKGRALCRSYSDLGSGRFYPQQSRFTWFKNLCDEVIKYLKNDVGDVALKINKMGRSDPRKVIFAAKMGLALALVSLLIFFKEPLSDMSQYSIWAILTVILVFEFSAGMQLDPTPKCFCKYNYSIFDEYFAYEIDVVE >KZN03684 pep chromosome:ASM162521v1:3:46880196:46881605:-1 gene:DCAR_012440 transcript:KZN03684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVKPDIKEANIIFTRLEKCSTTFRLTNLMHTMTVAVSLTTTNPSLLSVSSPLSILPPLGTSSFTLWLSKPSDHPPISTPLESIIVKSSVVVTGKANQEQLHRLFSRPGPQIFKDAIIPISFVGPQVVEFLLSPVIKQDNAYVLSKAISVCDESQLFALLRSAVKCGNCYITSTLIECGADLNKRDSSQRSLMSLAIQSGKADMLDLLIDSGYVVDNSVDRLLHDAAAMNRVDLLEILCLGYLDIDVNLVDLHGRTALHVAAIYGHIEVIQFLVSVGGSPDIADQHGWTSLHCASIAGQEEAVEFLMNCSVYVKYALTKDGKTAFDLAVEKGHSDLYDMLQLGDALHRAARLGDVSEIKRCLVEGAKVNGKDQNGWTPLHRAAFKGRVESVKVLLNNGANVDMVDGSGYTPLHRAVEAGHVQVALALIGNGAKANMKGLKGVVPLHLDSFKNHLSLVKPLCKDKERA >KZM99917 pep chromosome:ASM162521v1:3:3197:5194:1 gene:DCAR_008672 transcript:KZM99917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPQFPNHPYSKNSNFNGRNSQFSLKSGLRPNALSGGQKKKSNPSFMGMDEKRVAGLSQSAGIHQNTSSNRSGRLEIVSGAKGEIPGSTNPLFDVKHDSELVHGDTGNIGGHDQLFGVAGNNGDSSLVMEEAPVGDSRVSNPSANGVIGLVDGTAQLKLERGPGVSVGAEKSLDNLRKPWTEVLQPKGGPSRINFEYHTPEIIDGKVLIKPPLQVDIQGRKAWENCLVGYFFERRVAYHVMQYNAKRRWAKRGLVDVIMNDENFFFFRFSTEQDLLAVLEEGVFMVDGKPLILQRWYPQIVLSKDVPKFIPLWVKIFNIPLQYWNKEGLSRIGSGVGNILMADSLTEQMCRDATGRLSFAKLLIEVDAHRQLPDNLYVLIPGDKGGKDVEVCLRVEYPWRPTWCAKCSKFGHSVHECPILAGIREQEKAQAKKELSGGAAVNDQEDGFTVVQRRGKEKMTDLRGAGQQGKRKGNQMKKQFNYVNRGVVFRNKQERVDGMLETSVFHKEVKDKDAVPVKVQNSFELLNDDNIVQKSIMESGTEPIIRFTGDLGDQDSGGTMEVERMKSATEVFDDRVKEYVLSGSKLSPAEKALMERRIDEREKLQNRVNPSDFTACETNTERDYNSEPDDTDLFMMQGTTDQGKDGEVGEWYSEDEDRPVDRVM >KZN00796 pep chromosome:ASM162521v1:3:8785185:8785986:-1 gene:DCAR_009550 transcript:KZN00796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMEYNGHDFLEELLTFRSEPWESITNLIPTQPHEFYNFTNAYCNVPTLPETPLSNIPQTYCFEDLPALPFDQYQPSFNFSSYFGEFCVPFGDDQAISAPEHTDSSNNMLDTPDPFLPCQEEYSVIEDFEVQAAAEACKMEPFQSPEVPGFNMGAGMEKRSKVKKLDGQPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERINKMQEGNESDSNDHHX >KZN00155 pep chromosome:ASM162521v1:3:2527492:2529066:-1 gene:DCAR_008909 transcript:KZN00155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNLAVLTALDNAKTQWYHVMAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYYDPTTGKPGKLPHHTNNLVTGVALVGTLTGQLFFGWLGDKLGRKKVYGVTLILMVVCALCSGLSFGYSANAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGMGIIFAGLVSMIVSKLFLDFDHSPHFADNPVLSTQPQGDYVWRIILMMGAVPAGLTYYWRMKMPETGRYTAIIEGNAKQAAADMGRVLEIEIQAEPDKLSDYKAANSYTLFSAEFLRRHGFHLLGTTTTWFLLDIAFYSQNLTQKDIFPVMGLTSKDVDVSALREMFETSRAMFVIALVGTFPGYWFTVFFIEKLGRFKIQLMGFMMMTIFMLTIGIKYDYLKNKEHKWTFATLYGLTFFFANFGPNSTTFVLPAELFPTRLRSTCHAISAASGKAGAIFSAFVVQNYTLDGSVPKIRRAMYFLAFTNLLGFFFTFFVPETKGRSLEEISGEDDGTGAADKSPDNNVKESI >KZN01727 pep chromosome:ASM162521v1:3:23940599:23940853:1 gene:DCAR_010481 transcript:KZN01727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNRILKHGKKSLAYQIIYRAVKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGESTHQVPIEIGSTQGKALAIRWLLADT >KZN01009 pep chromosome:ASM162521v1:3:10780762:10782082:-1 gene:DCAR_009763 transcript:KZN01009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKIVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSITVEDKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRNVTFENVERWLKELRNHTDSSIVIMLVGNKADLRHLRAVSTEDAMAFAENEGTFFMETSALESMNVEDAFTEVLTQIHQVVSKKALEVGNDPAAVPKGQTINVNDDVSAVKKAGCCAA >KZN01498 pep chromosome:ASM162521v1:3:17405753:17414258:1 gene:DCAR_010237 transcript:KZN01498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGPPTPNGGGGQSIPPSLLRSNSALMGGQGGSVNSPQNGFNMQQRNQFNSMNMLGNGANVSSLLHQTFGNGGPTAALSGPGSSQRGVIDNGAESDQLSSVGNGMGFNPHSSSYSVSNMGNPNNPAQLHPNNSVQVQGQQFANPSGNQMFHDQQGQQLESQNFQHNQQVLQQFHAPNSIHQQQQVQYQSVRGGMGGIGPVKLEPQMTIDQHGQSSLQLQSMRNLGGVKLESQQMQTMRSLAPIKIEGQHSDQSYMHPQQQQQLLQMPRQPPQAAQMNLLHQQRLMQQQQQQQQLLKANAQQRSLLQSQFQPQNVPSKPVYEPGTCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFTPNAKKKWCVSLYGNGRQTTGVFPQDVWHCEICNRKPGRGFEATADVLPRLFKMKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQTSVFEQLRVVRDGQLRLVFSSDLKICSWEFCARHHEEVIPRRLLIPQVSQLGAAAQKYQAATQNASANSVPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMRDLIQYSINNGIGPMESLVKFPRRTGTSSGSHAQTQQPEQLLQQQTVAQNSNNVDSVQATIMQMSSINNGIQSVNNSLNSAPATSSTSTIAGLLNQNSMNSRQQNPVHSANSPYGGGGSQIPSPGSSATIPQVQSNHSPFQSLTPSATNNPSQTSHNGLTASAQTNTVNSPNISVQQPAVSGDPDPNDSQSSVQKIISDIMMSSQHGGTGMAGGGSLGNEMKNVNRLLNSSNNAK >KZN01673 pep chromosome:ASM162521v1:3:22858585:22858791:-1 gene:DCAR_010427 transcript:KZN01673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEELEQLEVALAAPHGPMEVGLAANFLAGAAFQLAPQAPENQEAEIHDFVFEDDLDIEEGGAVMQD >KZN02278 pep chromosome:ASM162521v1:3:31567379:31567618:1 gene:DCAR_011032 transcript:KZN02278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATLKLVYKTSEHSIRTRSCFQTSDDKLGGSNQSCEHWNIRIQSISSRIDGLQNLMYIWSRRNIRSAVWKAWYGYENS >KZN01073 pep chromosome:ASM162521v1:3:11324064:11324486:-1 gene:DCAR_009827 transcript:KZN01073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSCNTKRGIQHGIKCRCGIVAPCWEAWKDGTLDPGRRFYGCSRYKDPGRSCNFFQWAEPAFSERAREVIHELKMKVDARSEDLRLAEDEIATLKHELSMADDKILQMEKKMMGKDGGKKICFLFVIICFIMWVSLK >KZN02148 pep chromosome:ASM162521v1:3:30221264:30222098:-1 gene:DCAR_010902 transcript:KZN02148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASKVRVRAEALDMSEDRPGKLKRRKVGSGELAMSSSLTHELNNIRKNSTASDSLGDGIAANNESLYPNFGSHGGASCCSSNGSDKASFDTFDSTDMVERGGTEASSSTKYNSSERGEETTLKLKARETPLQRESTFKTSFQRLVTTEKFMPSEAQLEEFFAVAERGLRNQFADKYNYDISKDEPMVGRYEWLLLKP >KZN02603 pep chromosome:ASM162521v1:3:34993438:34994874:-1 gene:DCAR_011357 transcript:KZN02603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYTSDMQTFNSPLSLLEFDPNMDLLMNQLMQLNPSVMHTSNSNFNSLAGFSFENFIAQVPEFPGNLADNFPEFSEDYKNVMQESAPVAENDFHKSKKRAALHEVESCSDNSTPQASESGSKRKNSCGRGKRLKSSDKEEEKPKDVVHVRARRGQATDSHSLAERVRRGKINEKIKCLQDIVPGCHKTMGMAVMLDEIINYVQSLQNQVDFLSMKLTAASTFHDFNSDTDAFEPLQRAKAYEAQKMQVLGRRGYEEVAGTQFGPLDLTFGSYPTLPYNS >KZN03177 pep chromosome:ASM162521v1:3:42071300:42071563:-1 gene:DCAR_011933 transcript:KZN03177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVYMDVCLALGILVSELNEEPWSGKLITFNTNLELQKFEGEDLRLTVNFVRGLEVGSATNFQKGFHVILKLAEAGKLKEEQMIKR >KZN00589 pep chromosome:ASM162521v1:3:6675450:6687339:1 gene:DCAR_009343 transcript:KZN00589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVSEVESRDAAVAATPSADEDNLKNDVYTAAAYGDMEKLERLVRIEGCSVTQPDSLGYYALQWASLNNRPAAAQYIIQHGGDVNAADHTGQTALHWSAVRGAVQVAELLLQEGASVGAADMYGYQATHVAAQYGQTAFLYHIVTKWNADPDVPDNDGRSPLHWASYKGFADCIRLLLFLDAYRGRQDREGCTPLHWAAIKGNLEACTVLVQAGKKEDLVVTDNTGLTPAQLASDKNHKQVAFFLGNAKRLLDKRWDVNSRLGQLSKLGLAPILWCLIFLLLVTYVHSVIMVSNLPKLTTGLGFFAWLGVLLSTSGLFFFYRCSRKDPGYIRMNVHDSQNMKDDEPLLKIEIGNPALLDGNWSLLCPTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKWDFMLFLVLECLAMMLTGGIALTRVLTDPLAPSSFGAWLNHAGNQHIGAIAFIILDSFIFPGVAILTIVQASQISRNITTNELANAMRYNYLKGPGGRFRNPYDHGCRKNCSDFLRTGYNEDVEYKEESAQPEGISLMHMNRNSLLQNGVSGLSKPTNGNSHVAINMNGQSNHRHGHVHSSQCNHSDDSKNDSVPLGLGLGLGRNSTRSILAV >KZN00737 pep chromosome:ASM162521v1:3:8023435:8027339:1 gene:DCAR_009491 transcript:KZN00737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMDSDSDLKFHDDSVSDEDIVLHLDSVSDDDTDFHDDLVSNDDDGNFDAHYDDDGDDDNANFYDNSVSDEGQEKQSYRILNASDIRRIQEKDIASVCSLLSVTAPSARVLLYHYEWNLESLMEQWFADEERVRKEVGLVQKGLNTSIGSTFVRFTCEICFDEFCAGDQIMNRFCDHKYCKTCLVSYISTAIDDGPGCLSLRCPDPSCNAAIGVDVVNLLVYRQYMKKYNEFFVRSYVEGNSNVKWCPAPDCDAAIQYNGDGESGSYEVVCDCSCKFCWRCTEDGHRPVDCDTVARWIKKNKCEESNVEWILAYTKPCPKCKRPIQKNDGCMHMTCNSMCKHQFCWTCLHPWSDSHNCNAYEAELNGETIKEAKRRKEARALVERYTHYYERWDANQRSRMKAQSDLEMMQRKNTHVLCERFAVTELQFTCVIEAWQQIIEYGYRRECPIVVTRLGANTHLAYKTASYDHSSYPVSCLKDHDSSRGSVRLFITRRTSLFAAARCGTDYTEQEDEMNFESSTRMYSFTFLAICFS >KZN01526 pep chromosome:ASM162521v1:3:18836647:18837360:1 gene:DCAR_010263 transcript:KZN01526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLEKMCVRAAEENTRLKDTIKRLEDDNKQLKGKIKLLEIYRSNNERLIDLLKRHKDEQQAVARDIIDPTQFGPPNIAERCAQGDEEDIEAIE >KZN01441 pep chromosome:ASM162521v1:3:16295400:16295968:-1 gene:DCAR_010195 transcript:KZN01441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMILILEHRANDPGKLEKAIWFPFGSLVFAENGSFVKEILIKRLDFNILRIKSYASVDQYHDALSKGCQNGGVDAVLDELPFIKIFLDKYGRTILYCHLFHHFM >KZN02649 pep chromosome:ASM162521v1:3:35542311:35544122:-1 gene:DCAR_011403 transcript:KZN02649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTSTTASVAPFSPPILTKVCRYKEAAVYGKLGSRSRRVVSVKASSCNSSDEPILREALKEPVAFLGGLFAGLLRLDLNEDPLKEWISRTVEASGLTAEEVEAKDDEQDNVPEEIEIE >KZN00803 pep chromosome:ASM162521v1:3:8852481:8852834:1 gene:DCAR_009557 transcript:KZN00803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDLLGFKTAAIEAIDVALSPLAVKSLETEERADALLKRAELRIGVSGKERLDDSVMQDLVESVKLKKENWKAFVLLGECYEKKEMKDEAVEAYESAIRVEPECKVAVKALDRLRD >KZN02094 pep chromosome:ASM162521v1:3:29491274:29495120:-1 gene:DCAR_010848 transcript:KZN02094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLIAFLGQQSGLAAFKSFTHSAFTLGCEAGLSDCPIDGNMVPPGALVKCITKGLQYLEMEANLSKIESSSFCSTVKGKGGIESSAMVIDRKADLDEDFSFIRPLDLITKNVNELRQMITERRKNRQKHVVKVINRKDGSRSRGVSEKGKLANEKEHEKYKHLGQSSGNAFVKDTEMVSAEEDKQLEIFGE >KZN02510 pep chromosome:ASM162521v1:3:34117516:34118308:-1 gene:DCAR_011264 transcript:KZN02510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPQILADIPNIITLFANAVQHLYGSGARAFWIHNTGPIGCLPMKTVDTEPNLKPALLDEHGCIKNQNDIAKEFNKQLKDRVIKLRAELPQAALTYVDIYTAKYKLISNARHLGFEDASNICCGFRGNGTKIWCGYSGNVNGREMHAGSCPNPSKVISWDSVHYTESANHWIASLIMNGSLSDPPISIAQAFQ >KZN01858 pep chromosome:ASM162521v1:3:26169288:26170163:1 gene:DCAR_010612 transcript:KZN01858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSIPVVQNGTAAYLARYGQENMKFFAETPGSFGEKQFMIGIWASCSTHTLQPILGWEKLSTVKHLRNPVDVTTREVLDQAVMGWSSRRYGASSSGVHGIAGENSNLMDVAEVMAEWDSFVSPNAEDLNEE >KZN03822 pep chromosome:ASM162521v1:3:48583594:48588820:1 gene:DCAR_012578 transcript:KZN03822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRNCSNLSRVRLDNNHFSGNVSEAFGYHPNLSFITLNDNEFTGELTSQWGKCENLTKIEMSRNKISGVVPIELGNLKNLQASQLGSHAMTGEIPAELGNLDLLLKLNLSNNHLTGEIPQNVGKLSKLNQLDLSTNKLKGSIPKELGNCESLLSLNLRQNNFSEKIPSELGNLGQLQINMDLSSNSLSGTIPSTFGKLKFLENLNLSHNHLSGRIFGSFSTDLSSLQMIDLSYNNLSGPIPLLQQQVKKFFNENSLLCGDANGLSPCPSTTSKSSKKSDSSKKVIIGVVVAVISELGLSDNFLSGELSPHFISNWTKLTSLQVQNNNFTGTIFPEIGMLANLTYLFLYGNSLTGPIPREIGNLKELLKLDLSGNHLAGSIPSTIGNLTSLVTLNLFNNILSGPIPPEIGKLALLETLDLNNNQLYGEVPDSIVDLSNLQALVLFNNRLSGSIPKNLGINSPHLSFVGFSKNSFSGALPEGLCSGFAFEDLSVNENNFSGTLPMCLRNCSKLNRVRLDENRFSGNVSEAFGFHPSLVFISLSGNKFTGELSSEWGKCKNLTNMVMSRNKISGAIPAELGNLKQLQDLQLESNELTGKIPTELGNLVFLLKLDVSNNHLTGEIPQSLGKLSKLQHLDLSTNKMEGSIPKELGNCVSLLSLSLGQNSFSEEIPSELGNLSQLQIIMDLSSNSLSGTIPSDLGNLKLLKNFNLSQNHLSGPIFSSLSTDMSSLQTIDFSYNNLSGPIPLFQRDMEEYFIGNSLLCGDAIGLSPCLSSAFMQVQ >KZN01988 pep chromosome:ASM162521v1:3:27779143:27784382:1 gene:DCAR_010742 transcript:KZN01988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAYTMISALNPHSARVSILVRATRIWEATNKENGSLLHTDVVFIDEEASSIMVAIWNNQKQDYFPRIKEGDVYKITDFKIMPAQKKFRPVKKDISLSFYHKTKVEPMEDNGLIPKYKFDLTSFEVARTLLWDTTNFIDIMGMVKDVSSLETTSKGSKKLDVLLVDDRNHDMVISLWEEKATHFMESMAPLQDAAVFVIITGLLAKQYSGNSIILSSGDPTKCYFNLDYDPLKELMGNIQAITGHSSTSLPPPTKKRFVSTEDNIIADATIQTILDAQLPDDKKVMRFVCEATIVDISKYDGWYYNSCPTCPRKIRFEHGNLYCDGCTKETGDYVQRYKITVHVKDDTAKTTFTLFNKEAQRLIGAPIQTIIAEIGQDKITSDIPVLVKNVKGKKCVFEVKVTIFNLDGREGYTVARLSEVTDQPPSTSNPPETLMDSPRKKKKLA >KZN01743 pep chromosome:ASM162521v1:3:24141167:24142999:1 gene:DCAR_010497 transcript:KZN01743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIDFFNGFYYNKQRKFRGAFNAVCASGLLILLFYQKGFSTSRNLGDDGTVIRRNLNQGGLDLFSAEEPDACTGLYQHTGYESQCDYLNAFPLCTSGGLFDYIRFFSCDCQDVQILAYLVLGVWLVSLFYLLGNTASDYFCCSLEKLSDLWDLSPTVAGVTLLPLGNGAPDVFASIAAFVGSDDGGVGLNSVLGGAVFVTCVVVGTISLLVANQRVQIDKECFLRDVAFFLFALLTLLVILVVGELSIVGAIAFVAIYVVYALSVVAYEIWRRDSGRGSVPVAGDGDEESSLCATLLDSGNEVPHLETKVPHWMWNSSVAIYSNESMDSSLQETPKHLWGWNDQEAVESSYLTYSKICSVLELPLTLPRRLTIPVIEDDRWSKGYAMASATLAPVLLAFLWNTQDNLKFWNGEIAYVIGTVAGCVLGVLAFTFTTPDQPPHKNLLPWILGGFFMSIIWFYIIANELVALLVSLGVIFGVNPSLLGLTVLAWGNSMGDLMSNVALALNGGDGVQIAMSGCFAGPMFNTLAGLGISMLLGAWSVRPESYIVPRDSSLYYTLGFLMLGLVWSLVVLPKNDMRPSKLLGIGLMTIYVIFLSVRMTMSIWDGTL >KZN01920 pep chromosome:ASM162521v1:3:26959978:26964044:-1 gene:DCAR_010674 transcript:KZN01920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQLLPELRLGFRGCGAARAMTSPSESKHVHYNHNDACELTRWNARECYQFMYASRSWNKVNHFYSQLMHRVDHEAHLLDASVQTEEVSSPTTKKTGRWARVTFKIVLSYNGASFDGWQKQPGLNTVQGSVERSLGKFIDEKKHLLLKDKGLPIEGCVVVAGRTDKGVTAYQQVCSFFTWRKDVKVEDIEEAVNDAGPGKLRVISVSEVSRAFHPNFSAKWRRYIYVFPLNNEKEESSQHQDADNDVSKGGNRNDFDDVRMVVEDSDVLADNYNTETRFVKKPNSFCVKKVDLLLRQLEGKLLSYKMFARDTKASRNM >KZN00460 pep chromosome:ASM162521v1:3:5452449:5456130:-1 gene:DCAR_009214 transcript:KZN00460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQEDSFNKLYFDIKVVALCSLVGMFLLKIMVIFWWRPRKIEQHFGKQGIKGPSYKFLIGNAKEIVSLMMKASSKPMPFPFSHNILPRVLSFYHHWKKIYGPTFLVWFGPTVRLTVADPDLIREIFTTKSEFYEKNEAHPLIKQLEGDGLLSLKGEKWAHHRKIITPTFHMENLKLLIPAAATSVVHMLDKWLAMSDSGDVEIEVSKWYQTLTEETVTRTAFGPSYEDGQTIFQLQAQQMALASEAFQKVFIPGYRFLPTRRNLKSWKLEKEIKKSLMKVIDERRKNWDSEKMLESGPKDLLGLMIQASMKRPTTTTEFNSASSPPITVHDIAEECKSFFFAGEQTTSNLLTWTTVLLAMHPQWQVLARDEVLKVCGPHDIPTKDDVSKLKMLGMILNETLRLYPPVVATIRRAKADVELGNCKVPRGISI >KZN01027 pep chromosome:ASM162521v1:3:10936045:10936341:1 gene:DCAR_009781 transcript:KZN01027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFLEIAIAIAVVAATTVAWRVFNWVWFMPKKFEKHLKKQGFHGNSYRFLYGDSKENMSMLMATRSNPVPISDDVPSRTTPFLCDLVRNYGTYLAT >KZN03866 pep chromosome:ASM162521v1:3:49458161:49459498:-1 gene:DCAR_012622 transcript:KZN03866 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MTEVFMNGKRNKNLTVLMFPWLAHGHISPYLELGKKLSMRNFNVQLCSTPANLTSIRKKIGEESCIQLVQLDLPSLSNLPPCYHTTNGLPPHLMDTLKTAFDRAKPGFSKILEAVKPDLLVYDFLRPWPAEAALEQRIPAVQFIATSATMTSFMLHAFKNPSVVYPFSTIFYRGYENAQVGKSGECGELRKNIESLERSNEVIFIKGVKEMEGKFTDYLSTLSGKRVVHVGPLVQEPDSKDQTNSEIIRWLDKKKKCSTIFISFGSEYFLSKEDFEEVAHGLLLSTVNFIWVVRFPVAEKIRVEDKLPSRFLEKVGDRGRIVEGWAPQARILGHSSIGGFVSHCGWNSVIESMHFGVPIIAMPMHLDQPINARLVKEFGTGIEVLRDGDGRLEREGIASVIEQVVFKKNGRYVRKKTKKLCANIRRKGDEEIDEVVRELMQLINS >KZN00943 pep chromosome:ASM162521v1:3:10149004:10149729:-1 gene:DCAR_009697 transcript:KZN00943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFFSELKLCYGGGSNTDSTAEDTTMTLPQPEKVVPLSIYYATGKKEIDTYASSGKISRRLKKLHSAANWKPVLSDILENNVIVSDVAFPRTRKQTTVVRSEVKASGKRKSAGSSASFYSDKYRKSTDPASLPAFSPTPYVF >KZN03577 pep chromosome:ASM162521v1:3:45973126:45974635:-1 gene:DCAR_012333 transcript:KZN03577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSSSTNRNGCSFALHRACLELQGAATNIIKVIEQEKNGSEAAGKEQSEYQESIINALTVENFQLKEENGAAKNKLISNLPEYFGDEYGIEIKLLGEIDISPFISIFTRRQTAKLSNDSTIMKATEMCTRWQEKIKNPAWSPFKKVTSGGIQKEIVNDDDAELKKLRKEMGISVFKAVKKALEEINEHNSSGRYPVAKLWKVEENREARLEEMMQCMLEKTTPKRRKGDNTDGQNAGCTK >KZN02220 pep chromosome:ASM162521v1:3:31033173:31038583:1 gene:DCAR_010974 transcript:KZN02220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLPCYQLFDYQYNMGLLLIEKKEWTSKFEELQQVYTETKDALKQEQEAHLIAISDAEKREENLTKALGVEKQCVLDLEKALRDMRSDYAEIKFTSDSKLAEASALITKVEEKSLEVESKLHSADAKLAELSRKGSDIERKSHELEARESALRRERLALNAEREALTDNISRQREDLREWERKLQEDEERLAEVRRLLNQREERANENDRLYQQKQSELDGEQKKIEIIMVSLKNKEDDISSRIAKLNIKEKAINLVWVQEADAVKHSLEVKEKDLTEFEQKLNAREQSEIQKLLDEHKAILEVKKQSFEMEMDKRKNDFENDLQNRAVEVEKKEVEVKHLEAKLAKREHALDQKHEKLKEKEQYLASKLQDLNEREKSMKLEENKIEDERNQLLSDKQEMLCLKAEIEKDRASTEEQRLKLSEEIERLKITEEERLELARLQSELKQEIENCRHQRELLLKEEDELKQEKMRFEKEWEDLDERRTALMKDLKDITVQKENFEKLKHSEEDRLNNKKLDTESYVQKELDALRLTKDSFAATMEHEKAVLAERTSSEKKQMLNDFELWKRELETKLFNEREDMENALRLREKQFDEEREKELNNINYIKEVISKEREDIKLERSRIAKEKQEILMHQKHLDEQHVVMQKDIGQLVSLSEKLKDQREQFFKERECFIRFVESQKSCKNCGEMTSEFVVSDLQSLAELENLKALSVPQLAENYLRQDLQGTPDKNLSTVTPGAVGLGSPASGGTKSWLQKCTSKIFIFSASKKNNSPDQNTSRRLHVEASPNKLLNTEVIPELPSGVAGETLEMQNMQVSNSNREMESNLNLSGTEQSNIDSKALDVEDSQQSDVRAGNRKPGKRAKGRVRRKRSAKEVAEEAKTVLADPIELNENEHSNGLASAYTNESRGDSSLVGKRTRNSRKRNPSQPSQSAAGDVGADSEGHSDSVTAGGRQKRRRKVVPAVQAPTGRYNLRRHKTAAPLVANGALSDPNKGKEKEIDDGGGIGEEIPDEVDGNTHLVQVTTLKKRINVVNEFSSAGFHGINATSESQDRDAANQLVSDTMLSEEVNGTPEQSRGYQNQGDTSGAEGEDEDGDEVEHPGEVSMRKKVWKFLTT >KZN00184 pep chromosome:ASM162521v1:3:2840004:2840168:1 gene:DCAR_008938 transcript:KZN00184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVHSMLETLGTGLSGLVEVTEKYHQTLDASIAKNFSDNGCIDFMSHSTDSLY >KZN03592 pep chromosome:ASM162521v1:3:46095031:46096585:-1 gene:DCAR_012348 transcript:KZN03592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTRSTLTLMNKEACHEKANDSSYVCTTCEFWIHKTCAFSPLSIPSPTYHNHPLTLVYSIPDIHLVFNQYCGICRRFVYRRSWVYYCDSQESMFNLIVTQCCKSQVNFEGEDEISVAMSVTSNDPHIIEKHWSHQIHPLKQLQFPTCDNDSDDSDDDRRELICNGCIQPITVSHPSYYACIECGFFIHSFCATKLPQKLPVGALHFHPHHSLMLQMKDKFYDTVVCEVCGFWTNGFYYHCQTCDIYVDIRCAFLPTRIKYKSHKHHSLVQRPALNSTCSITRYRNEFGVYGCETCNNFQIDICCIIIPSRMEHKYDSHPLTLRYPPFFYEGAFYCEICEERVNNQDLLYHCSESEHSYHYTCVFWLNNVKLGGTIKVIISDKPHTLALVMKTPTRKKPIHTCSQCFSFSDSFSFLLECDGCGLLACFYCLLSGKSQQIALI >KZN02040 pep chromosome:ASM162521v1:3:28713162:28713323:1 gene:DCAR_010794 transcript:KZN02040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFHNISRHQVDNPSLMYTLQCLTRPISQSRKIIRCHNITSLIIPMPGRATK >KZN03134 pep chromosome:ASM162521v1:3:41615535:41617479:1 gene:DCAR_011890 transcript:KZN03134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKNATGPHAPLLGLRPAKRASTSGAVFNVSTSIIGAGIMSIPATLKVLGVAPAFILIVLVAVLVDISVEFMLRFTYAGETMTYAGLMKESFGRVGSVAVQICVMITNFGCLIIYLIIIGDVLSGNLQDGSVHLGVLQEWFGIHWWNTRAFALLFTVVFILLPLVLFRRVESLRFSSAVSVLLALVFVGICSVMAISALLEGKTNTPKLIPELDSRASFFNLFTAAPVIVTAFTFHFNVHAIGGELGEASKMTSAVRISLVLCSGIYFTIGIFGYLLFGDSINADILVNFDQGSGSAMGSLLNDVVRLSYAFHLMLVFPLLNFSLRSNLDELLFSKKPTLATDNRRFLVLTLVLLVLTYLVAIAIPNIWYFFQFLGSTSAVFLAFIFPGAIAIRDVHGISSKRDKITGATMIILAVITSLIAISSNIYSWAGDSS >KZN00431 pep chromosome:ASM162521v1:3:5262346:5264293:1 gene:DCAR_009185 transcript:KZN00431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYEDAWSNVVPQPLVVDSSIPPFLSKTFDLVDDAALDSVISWSVAGSVLRRRSLPGSSLLLEWEFIILQPKDQLSIMSPRTAKKFMKHQQTEQAMLSLSEAGQIVKYRDDLDQNLATPSRNPHLIPVAAEQIAHFISEEKVDSPGLGAEGPPCHFENIGSYAFDIPDDLPRPLEQGRMGTPKLEPKECFQEYSVSPGFEELARPENWNMGFEAGISGSNTEVWGNFNNFVAPEFRVTSGMSDIWEVSSSEVAVGPEFDIWPADEF >KZN00710 pep chromosome:ASM162521v1:3:7824038:7826149:1 gene:DCAR_009464 transcript:KZN00710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRQSTSLFFKHLPIFQHNYSSQTLNPTPIKKSKKASTKSKTARSLAQLINTHNPTWESHLSPTSLSQTTVLHTLRLIKTPSQALHFFIWAHKNGFSHTHQSYFLMLQILSQSRNFNIARNFLFSVEKKSNGAVKIDDKFFNELIRSYANAGLFSESVKLFEKMKSVGVFPSVYTFNSLFVILMSRGRIGMVYELFDEMLKTAGNVDEAVKVFEKMAELKVQPDSATYGILIGSFCQNKDYEKSEKLLDELLKKEILLQDGGCKPLVAAYNPIFEYLCGIGKSATADRLFRQLMRRGTQDPVSFKTLIMGHCREGKFNAGYELLVIMLRRDFMPDAEIYESIINGVLQQGEPKLAHDTLEKMLRSSHLPPTPMFHSVLTELIKNNCVSQSASLLMLMLEKRITPNIGLSTDVVKLLFKNGTRDKAIEILRVVYENGYTLKMSELIVYLCQSKRLPEAHETLMICLGKDQTVDSDICSTVIAGLCKIHKVSKAFELYYELLEKGFGKSLSCLNDLRDALEAEGRSKEAKFVTLRMPKQH >KZN02921 pep chromosome:ASM162521v1:3:39053981:39055148:-1 gene:DCAR_011677 transcript:KZN02921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFLKVFILLLVLPVVLTQPVVNNVLSYGAIGDALKDDTRSLLKAWEATCKSSSSSATMYFPPNHVFLTHPLLLKGPCKAEVVNIRLSGLRFKDNPKMHIVLNGVQTAYFSYLKIEAPGHSPNTDGIHIGESTDIHIEHCNIGTGDDCISIGGGSKKLRINDIICGPGHGISIRSLGKHGDNDEVDDVEIIGAVFTGTTNGARIKTWQGGKGYARNIRFEHILCQECDNPIIINQFYCDHEKWQDHDSAVKVSNVKFINVMGTSKRETAVKIECSKTVPCEDIVLDTIHLRSSQEKKKATSYSSNARGEVVPKVFFN >KZN01504 pep chromosome:ASM162521v1:3:17508953:17510083:-1 gene:DCAR_010231 transcript:KZN01504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSPNIQDIEAFSKAYRVRLDEAEVSGSIPGNVSLEVSSPGLERIFKVPQDLERFKERNMYVKYVSTVAETGAPCECDGIFRLVSYDLETKCCTWGIADVRANREKAGKGRPLNKKQREWRLETPFETLKLVRNYPEF >KZN03817 pep chromosome:ASM162521v1:3:48487703:48488070:-1 gene:DCAR_012573 transcript:KZN03817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCARIVYSILIIISILSLISSNHEDENRKLLTYGKPAASDFPQAGQKESPSPTSTWLQIPGLP >KZN00364 pep chromosome:ASM162521v1:3:4516578:4518462:1 gene:DCAR_009118 transcript:KZN00364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSPVVIFLSCFLLAWFSVGFVAADSNTDECRAARCFPYDPEIRFPFWLKHKQPKHCGLPGFQIFCHRGKTEINIQYLANTSLHGTQLLLSIKAEVDKINYTSREIELDLAYESETSSLKLVPMSNSFFSSTIYPSPFRLISPPKNVTFVSCSSELKGGYFGSTKRLTSLSGEDFPVYTFTKGETISVSPIISCVKIFDSYGILQSYQTFNWSIPPQQPTGGQESIKPIAGIISGSVLIAVLFVGLSFYTVKSFKQKKYDQLKIEMFLADYKAMIPTRYTFADIKKITSQFRYKLGQGGYGSVYKGKITNEITVAVKVLHSDPKANGEDFINEVGTIGRIHHVNVVRMVGYCADGCNRALVYEFQPNDSLAKFTYSGKNHGKKFLGWEKMQEIALGIAKGIEYLHDGCAQQILHFDIKPHNILLDKNFSPKVSDFGLAKLCSKDQSAVSMTVARGTIGYIAPEVYSRNFGKVSAKSDVYSFGMLLLEMVGARENGEIDTNENTSETYFPEWIYHRLEEGGEIAIQIDNEEDLYIAKKLTIVGLRCIAWHPVDRPVMKQVVQMLERAEYPAMPPNPFGSLNARPFTNHLEAISESD >KZN03713 pep chromosome:ASM162521v1:3:47247997:47249235:1 gene:DCAR_012469 transcript:KZN03713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFIHFILLSSLLLSLSSAQKSPRPPALLLPVLKDPKTQQFLTEISQRTPLAPTTLVVSLGGRQLWADCYNNFISSTIRRPKCGAAQCSLAEADGCRNKICGASPENPVTRTVTYDDVITDTLSIISTDGSRPGPVFTVQNFVSLCAPSSLLEELASNAVGSAGLGRTRVALSSQLAAKFGFKRQFAMCLPSSTTSNGVIIFGDVSYKFLPNVDASEILTFTPILTHPSPDMSAEYFIGVKKIKINGNSVPINPALLTIQKGGTQLTTGNPNTVMRTSIYNAVTSAFINEAAAMNISRVASVAPFGACFDEKTVTGSRLGAKVPSIDLVLQNENVVWTITGSNSMVRVGNNVLCLGFVDGGKDPRIPIIMGTHQLEDNVLQFDLATSRLGFSNTLLARQTTCSNFNFASTG >KZM99985 pep chromosome:ASM162521v1:3:831438:833672:1 gene:DCAR_008740 transcript:KZM99985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSLQLSAQSRQRTPEAQSRQNATVVQSNPSSRNILSTTDGNDKVCWKIGSTSGDGRMRVEVINGVLEPSYDCSARIRKILYQELEPTGYNWKAISQLTKKFYFDEFKKIQLKRDPTADELFRETHIRHLKKNKNLIGGDDGNCLEDDNNVDEEEEILWIDKKSQQTYDSIVGIYGSGLTPVALVAIRF >KZN02275 pep chromosome:ASM162521v1:3:31527597:31528109:-1 gene:DCAR_011029 transcript:KZN02275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQYFNLEGHGSVSRHDLVNSGSFLRLGAPHQPVDDYEMTANSSDDNGYVSLSPNGSVQNSGFLSSTMTSLSDDRNSGDSMEKKSHPLVGLLNSPNQSITKEALRPTACLDTATSRKGLEILDANIPSSKDNVQESSNAKFVTQALSAPLGNINLEVEQTAMQQQIVDM >KZM99964 pep chromosome:ASM162521v1:3:522247:522438:-1 gene:DCAR_008719 transcript:KZM99964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCRNRFGDWIGCLAGFRRVRQGEYIGRNIRPKPGLSVHILHIRTLYDLRKYSKRNLTDFCS >KZN03725 pep chromosome:ASM162521v1:3:47449709:47453776:1 gene:DCAR_012481 transcript:KZN03725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSSSTAAIYQAAYLKPSPPKIQQSLRPKGPNCVKCNLTSGCVKSWAASANYVKLQMGCGEFAERSMAVGRLSQKRQVNCLGNVKCATLEDIEAEKSLIEKNVKERMEKTIETVRANFGSIRTGRSNPAMLDKIEVEYYGTSTSLKSIAQISTPDSSSLLVNPYDKSSLKAIEKAIVNSDLGITPSNDGNVIRLSIPQLTADRRKELTKMVAKQAEEGKVALRNIRRDAIKSYDKLEKEKKLSEDIVKDLASDLQRVTDEYIKKVDSIFKQKEKVYYCSQKRTLVKFEHSVY >KZN00559 pep chromosome:ASM162521v1:3:6367555:6367786:1 gene:DCAR_009313 transcript:KZN00559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVEKKEQVVSMELPAPSGWIKKVFLNKKCVFCLDFGLLN >KZN00641 pep chromosome:ASM162521v1:3:7140667:7144200:-1 gene:DCAR_009395 transcript:KZN00641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKSDPPADNPAKLEVLLVEKETRERLTYVVAAVMSSLGIISLTVLAVYYKFSYQMEEGGEIPYLEMLGTFALSLGAAMSMELMSKWVHKALWHTSLWHMHKSHHKPREGVFELNDVFAVMNAIPAIVLLAYGVFYKGLLPGICFGAGLGFTVLGIGYIFVHNGLVHKRFPVGPIVDIPYFRKVAAAHQLHHMGMFKGVPYGLFLGPKVYSCTWSFLLLF >KZN02788 pep chromosome:ASM162521v1:3:37313951:37315390:-1 gene:DCAR_011544 transcript:KZN02788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIFSVYIILLLNTPSIFSIKTTTFDVSSSLHQTQTLFSNSQNSLPDSLITRSDSTHSLQTNLSHLILPLHSHLSLYKPTHKNYTQLTLSRLAHDSVRVNSLTSELNHDSGRVNSSNSLTAQKNLQTPVTAGTRQGSGEYFTRIGIGTPAKEYYMVIDTGSDVIWIQCEPCTNCYQQSDPIFNPIDSSTYETVSCNSPQCNSLDLPSCTLLTATCLYQVDYGDGSTTVGNLATETVTFGRSGTVPNVAIGCGHENHGLFSGAAGLIGLGGAALSLPSQINAKTISYCLVNRDSVDSSTLEFNSASPSDSVTAPLLKNHKLPTYFYIGMTGIIVGDEEVAIPKGCFEIDRLGRGGIILDSGTAVTRLTTQVYDSVRDSFAKAASQLPSSSGFDIFDTCYDLGKLKKVEVPTVSFVFTGGKTLWLKTSNYLVPVDGKGKYCFAFAATPRSLSIIGNIQQQGIRVSYDIANSLVSFSPDSC >KZN01396 pep chromosome:ASM162521v1:3:15451560:15453217:1 gene:DCAR_010150 transcript:KZN01396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTSCVKDTETSHAKKQKKMKETASTSHMSRHNQTCDIPRVELTEDAKPKNSDADNVVFSVKEFYIKAAAEEPLPTDPATIASWTGSFILKDEKTSISFQAHPRSQGLSKVKEFCRKMPEVLEFELCLYEDFLRTVFKGCDPDDQDIGLYFFPDDRIRFVLAPPNSVF >KZN00533 pep chromosome:ASM162521v1:3:6160572:6162770:-1 gene:DCAR_009287 transcript:KZN00533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNIITTPPWPTTPTHPITAALRRISPPLKTPNPFRVQTSTQPNSSNLSLSNPNNINISSNNNNPQDQTLILLLRQRKTEEAWLAYSNSTKLPNPTCLSRLISQLSYQNTPSSFARAQSIITRLRDEQQLHRLDANSLGLLAVSAAKSGQTLYATSIIKSMLKSGYLPHVKAWSAVVSRLASSGDDGPAEAVRLFQSVVKRVRRFSDPNVVVDSKPDTAAFNAVLNACANLGLSKRFLELFDEMQEFKCEPDVLSYNIMIKLCARVNRKDLLVFVLERILDKGIPLCMTTLHSLVAAYVGFGDLEIAEELVQAMREGRLDICRVLRECSDTEFVRKTENHVFAKLLPNSVSSNDHDPPMLKKVYRPDSRIYTTLMKGYMKQGRVLDTMRMLEAMRQQEDSGSHPDHVTYTTVISAFVKVGAMDRARQVLAEMSRIGVPANRITYNILLKGYCEQLQIEEAKELIRVMADGDAGLEPDVVSYNTLIDGCILIDDGAGALTYFNEMRARGIAPSKVSYTTLMKAFALSGQPKLANKVFDEMLNDPRVKVDLVAWNMLVEGDCRLGLLEEAKRIVERMKENGVHPNVATYGSLANCIALARKPGEALLLWNEVKERCGMGDGENSKASDVPRLEPDEGLLDTLADICVRAAFFKKALEIVACMEEYGIPPNKTKYTRIYVEMHSRMFTSKHASRARQDRRKERKKAAEAFKFWLGLPNSYYGSEWRLEAADGDD >KZN03403 pep chromosome:ASM162521v1:3:44303915:44305345:-1 gene:DCAR_012159 transcript:KZN03403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRLALILFLAYSFISQTSLGEIICEELPKDVCAFSISSSGKRCLLENSETKKGVEDQCSTSDVVVQGMSEHIETEACVNACGVDRRTIGISSDSLLEPQLTTKLCSPDCYHNCPNIIDLYFNLAAGEGVFLPDLCEKQKTNPHRAMLDLMNSSPAFAPSSNDEAEEYFVSPAPSSEVDENVEIAPAPSSN >KZM99991 pep chromosome:ASM162521v1:3:908335:916134:1 gene:DCAR_008746 transcript:KZM99991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGGGRFVIPAKVKKVIQNIKEISGNHDDEEVYYMLKECNMDPNETAQQLLSQDSFREVRRKRDRRKENVKESAGSRWKPGMQDQGSRGERGNHSSRYITHDVGGAGKNFVSIKENGTSHVSVDKVAIPTQSNSQDVKNKEVTHASSNVDVDAYAAVTSESKSVGHAVHAASGFTELNDPLQSKSTADENNTYTKVSSGVGSLSFMHETISNISPVVHKDQHSESFEAVASTHSHPTGILPLIEFGGQSPVVIGPQKVVGPNKEWKPKPNPSLPEGAESADTTLMLEVPAIRTESEGQVANQATFGLVKKLEETCISESQQVIIPKHIHIPEVEKLGFIFGSFDANFGLSTSNPVCPENEKSSPIPETPEGMEENVDQKSNHDELTSVEEEDNYPAPPEVSGSLISDAHDVSSRVVTEYSESKQETDLPPGYQQYSTVHAYPNFGFGIMPPIFGSQVLPTESNKSQAHDAPRLPGFVVQQPYDLNSYYAQFYRSGVDNEGRLSSFYEPGVPTKVNGNVTMAPAETFQSTQEGGDTSIHPTTASTPPVTHAAGAVPSSVAATQQAIPVFGQPAGLHLPHYPNYIPYHYIPFYVPPQALHHYLSTGVLPPPQPQAGSGHPAPVPANKFPLPQYKPGTTTANTNNVAAPGSYGSYGSTPASFSASSATTTGHSSSSEDLLAPQLKENNLFIAGQQSEGPGLWFAAPGRDISGLQANSFYNLPQAQMAFPATQVSHGNFAGMYHPAQPVTGAAVHPLLQQSQAMAGPIDLNGPAPSVYQPTQPAPINWPKNY >KZN01075 pep chromosome:ASM162521v1:3:11335626:11337412:-1 gene:DCAR_009829 transcript:KZN01075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSVVSEQTHQLSEQTHLQNGDGEEPVLTSVQQQQQSRRPHLSSLEIPMRSIENALPSFTRIDIPSPGSARAGLPPRPHSTKLRPSMRNLVFQKSLIKEKNSVQEGEKAVLIVPDTPPSDKPTTSRSCSLNKVLFSTPTKSAHSLPVTPISNSGIDPIQERQLDHVTKTSHHMTRSLSVPINVKLRSLKRMDSMGGLIRVIPATPRSTLVNSTSIDISETETGSEDAAEDIPEEEAVCRICFVELGEGGETLKLECSCKGELALAHRDCAVKWFSIKGNKTCDVCKQDVRNLPVTLLKIQNPQTVIRRPPTIVQQRVVSRYRQV >KZN03314 pep chromosome:ASM162521v1:3:43503147:43507443:-1 gene:DCAR_012070 transcript:KZN03314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAPTSPPAPSTNTTSPPLPTPSPPSTSPPPSTPPSPPSSSPPPSSSPPPPDTPPPSSSPPPPSNAPPPATPSSPSPPSPPSPPSNPGSPPVTKPPPSSPSPPSPPGTSRSPPSPPPPKSQASPPSSGSKGGSVSTGLVAGIAIGGVVIVVLICLVWICCRKKKRREYGHGPPPGYYVPPPSGPKDGPYGGQQQQWQNNAPPPSDHVISMGPKPSPPPVMSRPPQSVSPQPYSSGGSGSNYSGGSNNPLQAPSPGMALGFSSQSTFTYEELAMASNGFSDANLLGQGGFGYVHKGVLPNGKQVAIKRLKVGSGQGEREFQAEVEIISRVHHKHLVSLVGYCMTGAERLLVYEFVPNNTLEFHLHGKGRPTMDFPSRMRIALGSAKGLAYLHEDCNPKIIHRDIKAANILLDSNFEAKVADFGLAKITSELNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGIMLLEMVTGRRPVDSAQTFMDDSLVDWARPLLTRALEEGNFDTLVDSRLQNNYNQSEMSRMVACAAACVRHSARRRPRMSQVVRALEGDLSLSDLNEGITPGHSTVYSSHESSDYDTMQYKEDMKKFRKMALASQEYSSSEVSRPTSEYGLYLSGSSSEGQQTREMEMGKTQKDSRGFSGSSGF >KZN02945 pep chromosome:ASM162521v1:3:39419947:39420258:-1 gene:DCAR_011701 transcript:KZN02945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKFKSRAVAVMALFFSLTTPLGICIGLGITNSYDENSTTALIVEGSFNAASAGILIYMALVDLLAVDFMSPKLQNDGKLQLGANVSLLLGAGCMSLLAKWA >KZN00488 pep chromosome:ASM162521v1:3:5752951:5754174:-1 gene:DCAR_009242 transcript:KZN00488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYSYNMRNTVKDEKIASKLSADDKKKVEDAIEETIQWLDTNQLAEADEFEDKMKELESICNPIIAKMYQGAGGEGGVPMDDDDIPSGGGSSAGPKIEEVD >KZN01536 pep chromosome:ASM162521v1:3:19200529:19203555:-1 gene:DCAR_010290 transcript:KZN01536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSEEAAISAKKGGAAAANLRQWWGWMRQSGCRAGGATVPRRCGDVGVVVGVCSSASVDANPVLAEPEEDPEEDPVVGSVQEVVVNPALVRSVGKTVEAVKAGRVPISQMEPGEARVMRIIEEAKQEVGIETVADGRQRIVHRQIRATASVSATSRAPVVRVTQAVPYHVYAALGRDCDFLRGQNAEIRRLMDVLLQERRVPVEDSEACSRIGAIEHIARQRLAEFPSTSEWDVEARRVTRLI >KZN00534 pep chromosome:ASM162521v1:3:6165955:6168902:-1 gene:DCAR_009288 transcript:KZN00534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIVENAAVSPGRGSSENGNRSFESVRDQDMLLPIANISRIMRKAVPQNGKIAKESKERIQECVTDFISYITGEASDKCREDKRKTISGDDVLWAMSRAGFQNYIGPLTLYMHKFRAGEKRAATKGGRGSANMNGGVGGSGIGNGDNGLAQQISHSQVVIDANSQILFPSQAVNDANSQGLFPSHGVNDVNSQAHGEFVTAFMQGRQE >KZN02507 pep chromosome:ASM162521v1:3:34091851:34101733:1 gene:DCAR_011261 transcript:KZN02507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLHLSRRFSFTALLRPNSVINSAATPPHFPTTSLYRPTFKSLKLISISRQYSTTATPYPLQYDMIISRPVNTPPRKPRSKLPTHLTKTRPSNSESDSELGFNDWVDKKLASNQEPGSGQNDVMDKSKRKYYNKKMKRLYGSDSEDEKSGQKGDDFVELKPEVVDFHRLHKREEELYFYDAFAYPWEKEKHYKMVYQLEKKYFPDQCFDKAFLDPGDTNNSKVRSKKGKEGVKKEDKEKEKGLVFFDEESSNVVENVNDKEVEKNVDVTEKKVEEFFNTLKKVPRKDNEVASVEPFISSRSSGLPPKWDSPTGTVVLVNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKVVDSYQGMIKGYSGVFRLGEATSTLDADSPVIQREPWEHVKDEDLKKTAASFCGEIWQVPPMFSAIKVGGEKMYEKARRGERIELAARRISILQFDVERSLEDRQNVIFKVTCSKGTYVRSLCADFAKTLGSCAHLTALRRDSIGQYTANDAWEFKELEEAITKEYL >KZN01865 pep chromosome:ASM162521v1:3:26316336:26317364:1 gene:DCAR_010619 transcript:KZN01865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFEALTDKSKLDAQPELFIRIVPDKVNRTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDVDGEQLGRGTKITLFLKEDQVLISVP >KZN01089 pep chromosome:ASM162521v1:3:11546785:11552466:1 gene:DCAR_009843 transcript:KZN01089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKESDYYDVLGVSPTATESQIKKAYYIKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPGQRQAYDTYGKSGISTDAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFTENEQFDAKKLQEQMRVVQKEREEKLVQKLKDRLNLYVQGNKVDFIKNAEEEALRLSSAAYGVDMLNTIGYIYARQSAKELGKKAIYLGMPFVAEWFRDKGHFIKSQVTAATGAIALIQLQQDMKRQLSAEGNYTEEELEAYMQSHKKLMVDSLWKLNVADIEATLSCVCQMVLQDNNVKKEELRARAKGLKTLGKIFQRDKSSNSNESEKATSSNTFKLNGSESVNDTGSPNKSTMGERNDTAYASQAPKIAGTQNNFNFPVPTAPPGALRHS >KZN03062 pep chromosome:ASM162521v1:3:40743774:40744560:1 gene:DCAR_011818 transcript:KZN03062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSADLGYVLLQMSQAAVFRALNALKVLCAAELEGLHGQQPELLRISILDKQSDIHQPSEWNRSTDEDIVHITTVRPQHV >KZN03942 pep chromosome:ASM162521v1:3:50084450:50087586:1 gene:DCAR_012698 transcript:KZN03942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRNYRFTTTFHSFCALLIFFSLNFRYSHSEKEFAVGVILDTGSWNGRMVNSCIMIAASDFYNINGHYQTRIVTQIIDSKGDPLKALEAVQDLLKKNVGALFLGPEMSLDGTLLALLSEKAKVPMFSLGGSLTSSDEYPYFFQLSHSEALQSKATAALVESFQWKTVNFICEDSEFKSGVLSHLFEYFQEKSIQIGHISALSSMISDDGIRAQLNKLMSMQTKIFVVHMSPSLASRLFLSVKSLGMMSEGYAWILTDKTRNFINSEELMQQMEGALALKPYVPPSIKLQNLTLRWRKEFQDEGPFMNVKELSPSCVWAYDAIWVLAGAVEGAYTKQYPKLAEEHSSESKKILMKELVKTRLKGISGDFQIVNWTVSLLKAFEIVNVIREGERRVGFWEKGVGITSEIMHSSLSSNDLKVIIWPGGSITAPRVAWPRGKKLSVGIPKKNSFTEFISLEYDIHSNTTRALGFCADVFEAALEALQYEVPIEYIPFVDANGESKGTYTDLLYQIYLEKYDAVVGDVTITNNRSHFVDFTIPYTDIGVGTITKVKENKDMWIFTKPVGADLCLITAVFFILTAIVIWFIEKPFNKEFQGSLYQQIGTIFLSTLFLSSRQKLSSNLSRFVMFIWVILVLILTSSYTATLASLLTVQQIGLASRGANVGYQTDSFVERAIASNLNFMDYSLRPYSSAEEYADALSKGSKNGGVDGIIDEMPYIKAFLSKYSPDYAMVDSASTTNGFSFAFRKGSPLVPEISRAIAKLREEGTLDTLEKKWYNKPSSLVNQELPPKPQVLKVDSFGGLFVIGGVSLGLALFARIFYIIRSKLNIYNYMFQTLASGNLAIMLRHMISSSELKETTHV >KZN00858 pep chromosome:ASM162521v1:3:9322989:9326193:-1 gene:DCAR_009612 transcript:KZN00858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVLVHLSVVLFALIVSSGSICKADESSESVIEHVLTLDHSNLTQVVAKHDFIVVEFYAPWCGHCKNLAPEYEKAASVLSSYDPPIALAKYDASDEANKELATQFEVRGFPTIKILRNGGKQAQEYKGPREADGIVSYLKRQTGPASPEIKSAEDASSFIDEKKITLIGLFPVLSGEEFDNFTAVAEKLRSDYDFAHTVDAKLIPRGDSKITKPTIRLLKPFDELFVDSQNFHVEAVEKFIEEASVPTVTVFDKDPSNHPFLIKYFDSSESKAMLFLNFSTEKFDDFKTKFHEVAVQYKGKGLNFLLGDTESSKGAFQYFGLSEDQVPVIAIQTSDSQKYLKPNVEADQIAPWLKDYTDGKLKPFIKSEPVPEVNNEPVKVVVRDSIQDMVFNSGKNVLLEFYAPWCGHCKKLAPILDEVAISFENDADIVIAKFDATTNDVPGDTFEVQGFPTLYFRSASGKLVQYEGDRTKEDLIEFIQKNRDSNAKPVSVKSEPGTKSEATAKSESTAKESPRDEL >KZN01798 pep chromosome:ASM162521v1:3:25256259:25261076:1 gene:DCAR_010552 transcript:KZN01798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNIGSLKHSFLDRSKERVLSRKNYSDLGYGRSYSSVDHPSRFTWFSKLISLKNDVQDAAVKAYQMGRSDPRKVIFASKMGLTLALVSILIFFREPLSYMSQYSIWAILTVVVVFEFSIGATLSKGINRAFGTFLAGGLALCNAELSLLAGKFQEVVIVVSIFIAGFCASYLKLYPAMKQYEYGFRVFLLTYCIVMVSGSEKFVQTAVSRLLLIAVGAGVCLLVNVCVYPIWAGEDLHKLVAKNFNGVATSLEGCVKGYLQCVEYERIPSKILTYQASDDPLYSGYRSAVQSSSEEETLLGFAVWEPPHGRYKMFKYPWSDYVKVSGALRHCAFMIMAMHGCILAEIQASAELRQIFSNEIQRVGTAGAKVLRELGKKLEKMEKLSSGVLLQEVHEAAEELQMMIDQKSYLLINAESWASSRMPKQFDNPEQFQELHDNENQNLVISSLSEVALHLKSTHTLKNWDPQNLHNPSAAQLDSSETEEVFKQQMHWPSRLSIHDDVIVNQREVRTYESASALSLATFTSMLIEFVARLQNLVNSFEALSEKAKFVEPVEQTAAPTEAVGFWTRLYKWIC >KZN00826 pep chromosome:ASM162521v1:3:9018659:9029147:-1 gene:DCAR_009580 transcript:KZN00826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVPATIEEQLILKAIKEECSWENLPKRLQSTFNSRDDWHRKVIEHCIKKRLSWNTSFARKVSKEAEYYEEMMRYLRKNLALFPYHLAEYVCRVMRLSSFRYYCDMLFEVMRNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCKSKKIMWKLSKSIAKELLPSQPVDFKIDPWWGVGLVNFTLEEFKKLSEEEMATIDKICKEEANAFVLFDPEVIRGLYRRGLIYIDVPVYADDRFKVSRLEGFISNREQAYEDPIEELLYAVFVVSSENSTVAELASTLQADLSQLQAAASFVCRLGWAEKIIDPASVLQESVVPGISSVTLGDDENNMGSAATDSSAFRQGDYARTENHDAHVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGYASIRDLCRDLSELEGAKFEGELQEFANHAFSLRCILECLSSGGIVSDDRDDSLMDSNIAECQTLSTDNYNLSVTVSEESDLSSETRMSGSSKFETPESGTPKSETTESDHSIHDNKSSVSTEGSATKTEAPRRIKKYRVDILRCESLASLSSATLERLFLRDYDIVVSMVPLPQSSVLPGPKGPVHFGPPSHSSMTPWLKVALYSAIGNGPLSVVLMKGQCLRLLPAPLAGCEKALIWSWDGSSVGGLGGKFQGNLVKGSILLHCLNSILKYSAVLVQPLTKYDLDDRGNITTMDVPLPLKNSDGSIADIGKELDLSAKESSKLNSMLTDMADKIDFWTIGYIRLLRLFKDKEAGQFLPDDQKYEWVLLSVEFGVPLFSPKLCNHICKRVVHSNLLQSNLKTVHHESMQELRKRLRDVCSKYNATGPTARLLYNREQPKESSKNLMTYASGKWNPLVDPSSPISGAMGEHQRLKLANRKRCMTEVLSFDGNILRSYALAPVYEAATRVVDESPSISSGKVDTKVEPDDMDSKDVIHPGVNLLFDGSELRPFDIGACLQARLPVCLIAEASAASSAIK >KZN02022 pep chromosome:ASM162521v1:3:28496459:28496746:1 gene:DCAR_010776 transcript:KZN02022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLREDDHSYKRSVLLLLILIGSVVNQVKAAEDYGDPEGPFDIGPWKPKENKKLTDCTNACKKKYNACVKGNCPKTEMNNLEVCVKACAFKTIEG >KZN00772 pep chromosome:ASM162521v1:3:8444823:8445743:-1 gene:DCAR_009526 transcript:KZN00772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKLKMVANFRRSLSFPNQPSPKPPQRKKTFHVRSTSLPCSTHPLVSQLKDSISDLKTSISKPDNRNSAWICDALCQLKSVHESLDDLLQLPQTREALRERSAWVEKVLEDFLRFVDVYGIFQALVLTLRQEHMAAQMAVRRRDDSKNALYVKALKKMSKEMCGLMSSLQTMGKSWNLEQRTLSMFDNGDAEIEQIIMDVNQVTIMVSAALFSGISASFSSQQTTWKGLRLPKKQVKMEENIQELEQVGAESSWALSKKGDDKVKTLVKKMHEMEDCLCGIECGAEKLFRSLINSRVSMLNVLTQ >KZN01975 pep chromosome:ASM162521v1:3:27639584:27643522:-1 gene:DCAR_010729 transcript:KZN01975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMGDVKQDEGDVPMDTESSTCSSQSEEEVIKKKYGGIVPKKPPLVSKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQPTRYRKSPYAPSDGEGSLCGHGLFHNKEMSRLGDILSGA >KZN02335 pep chromosome:ASM162521v1:3:32184506:32185102:1 gene:DCAR_011089 transcript:KZN02335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQESYLGQFPMEKLSLALHTIPLSFWFFGFLELFFSHMIYNWISRGISYFSRSSSSSIQYKSALSGSFRAKLPDSSVLVDDGSLSREDVETVMQNLGLFYQPEDLNLQGRFSSDEFINMFEENEASLDEVREAFDVFDENRDGFIDAREVQKVVRALGLNENSEMENCKMMISEFDENGDGRIDFQEFVRLMNESFN >KZN02555 pep chromosome:ASM162521v1:3:34405777:34408758:1 gene:DCAR_011309 transcript:KZN02555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISFASVSFTSPLINKSQFCGSFPVGIGSARKNRGVKFCRAAASSAVVFPRLDADDFRHPLDKQNTVLLRAIPGLNDIGKAFLGTISEQVMVLENIGTSVLVSENQLSELHHLMVEAAGILKTEAPDLYIRQSPVPNAYTLAVSGRRPFVVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTVANILTLGAYSIPGLGGIIAQRLEEQLFRWLRAAELTCDRAALLVVQDPKVVVSVLMKLAGGCPSLADQLNVDAFLEQARSYDKASSSPVGWYIRNAQTSQLSHPLPVLRAREIDEWSRSQDYISLLNSAARINGVQKV >KZN01853 pep chromosome:ASM162521v1:3:26122766:26126481:1 gene:DCAR_010607 transcript:KZN01853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVGSGMEDQTLMDVKGRNGTSTDQDEAKIPSCCVKARSLDPEFEANCHSTVVSGWFSEPQSSADKSGKCIYFNNPMWPGEAHSLKMDKILYKEKSKYQEILVFESAAYGKVLVLDGILQLTEKDECAYQEMIAHLPLCSIASPKNVLVVGGGDGGVLREICRHSSVEHIDICEIDNMVIDVSKRFFPELAIGYEDSRVQLHVGDGAEFLRNAPEGKYDAIIVDGSDPIGPAQELVEQPFFESAARALRPGGVFCSMAESMWLHTHLIDDMYGACQNAFKGSVHYAWTSVPTYPSGVIGFLICSTEGPPVDFRNPANPIEMLDGALNYRRELRFYNSEVHTAAFALPSFVKREVSRL >KZN03847 pep chromosome:ASM162521v1:3:49137191:49138726:1 gene:DCAR_012603 transcript:KZN03847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCQSITRYCRNRIKNYIGRSGKMGFNAGLLLLSFRSALLWSVFRTETVIGFGTDTSIYMDQCKAPDYHTLGFCSAFYDKILTSNIGAPNPNLATLAVEL >KZN01702 pep chromosome:ASM162521v1:3:23347866:23351264:-1 gene:DCAR_010456 transcript:KZN01702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEFSNEMREQKGGVLRIKDRYVRNKGSGSERKKDMGHGLKSNVPKNRKNSRVKGEDVVSSCKEGDGDKVGVVEKKGRRSKKTKEDSQEGMLKIGLDMCSKRGDVIGAIQLFDLAQRDGIQLGQYHYAVILYLCSSATTGIVQPAKSGKSSRSLSSVDVPISSPGELSEVEEDNSRGEIMNDGLGCVFVGKGKSNGSVDLRPQTLDELFHLIKNDVGFTNVKYVSGGQKDYQIQVSEDVQGYARERGFEIYKKMLSEQVPMNEATLTSVARMAMSMGNGEMAFDIIKQMQALGINPKLRSYGPALSVFTNNGDIENAFIVEKHMLEHGVYPEEPELEALLRVSIEAGSADKVYYLLHKLRTSVRKVSPNTACLIESWFCSKVAAKVGKRKWDPKLVEEAIANGGGGWHGQGWLGNGKWLVSRTSIGADGLCKCCGEKLVTIDLDPTETETFAESVASIAREREKNANFERFQKWLDYYGPFEAVVDAANVGLYSQRNFKPSKAGFLVNAIVNGIRQMLPSKKWPLIVLHNRRINGHKMDEPFNKALIEKWKHADALYLTPTGSNDDWYWLYAAIKFKGLLVTNDEMRDHLFQLLGNDFFPKWKERHQVRFTFSDIGPVFHMPPPCSVVIQESANGFWHVPLVSESDSEMERTWLCVTRAKSQTKQNLATKQQDSPRPRRKREDASSESLMKAQVKLPPFKHGNHQSGKPSPEELFDNIKEIVSPSALTNNATILQQINAAEKLGGCTLDFEI >KZN02458 pep chromosome:ASM162521v1:3:33638250:33639635:1 gene:DCAR_011212 transcript:KZN02458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSDNRSSSSPPHVVLFPFMAKGHTIPTIHLALLFLRHGARVTFFTTPSNRPFISEHLGATAADIVDLPFPRVPGIPAGVESTDKLPSRSLLLPFLTCTPLMKPNFEGALEALPDVTFMVTDLFLGWTLDSASKLGIPRLSFGGWNVFTTVIYDELVLRGHHVLLEAKGEEVFHHPHFGSIELTVKHFEPHLVCSEFFVEQLEATSRSYGTIVNSFDELEPRFLEFWNRDCKPRAWCVGPLCLAEAPVREAVSHEGYKWMEWLDEKKETPVLYVAFGSQAEVLEEQIEEIKIGLERSGVSFLWVVGQNEKLDEGFEERVKGRGLVVREWVDQRRILGHESVKGFMSHCGWNSVTESICAKVPILAWPMGADQPLNAIIVVDEIKVGLMVETCDGSMRGFVKGEGLERMVRELMEGERGEIVRKRVEEVGELAIKAVEEGGSSWVNMSELIAQMHVRKDS >KZN02630 pep chromosome:ASM162521v1:3:35329200:35331353:1 gene:DCAR_011384 transcript:KZN02630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATALGSSSVGVVSGSFSRSGFPISTRPDFVRFSSTSSWSKQHLPHSYSKSLRWGLSVGSKKRHGAIKASLSSDSTGPAPSIAPLKLESPVGQFLSEILNSHPHLLPAAAEQQLEQLQIDRDTEKQKEDPTKTGTDLVLYRRIAELKDNERKKALEEILYALIVQKFMDANVSLVPTLDPSSGRVDTWPRQDEKLEQLHSPEANEMIQNHLTFILGNRLGDSLSVAQISKLKVGQVYAASVMYGYFLKRIDQRFQLEKTMKILPEGNAEETHIEQVTGDETRPNANNVSNSFKNAPPHPEAPSWSGVMGPGGVADGIKPSRLRTYVMSFDAETLQRYASIRSKEAISIVEKHTEALFGKPEVVITPEGRVDSSKDENITISLGGLRRLVLEAVTFGSFLWDVESHVDSRYHFVAN >KZN03548 pep chromosome:ASM162521v1:3:45664702:45672004:-1 gene:DCAR_012304 transcript:KZN03548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGIDEFNTLRQQLMNNHRYREDEPYRIRPEFRPHSMYNGALVRAVFSAMGFLKGEKMIPTKENIQNFLRHSDIQNHRVINVEEALDHANKHNDVLTQELGEQNLYIKRLMKTEEVTTDEWSWEENKLFELAVAVTDDSKPNRWETVAAMVGGNKSVADVYSHYVLLLKDLELIESGKLDHKFTDCGTSYISEQEQDHKYLPLSLMQNLQLF >KZN01951 pep chromosome:ASM162521v1:3:27358082:27358489:-1 gene:DCAR_010705 transcript:KZN01951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFYKTDESKPIWKWRGIENKKKMNKMLRNKGKESTHIDFFQENIRAAMEGPGAGAGIGCGVGLGLGLVGGVGSASGWPWSHVKLVFGVGMGCGVGVGFGFGQGYGVGSPWESLKCSVFKPKNSHSKKRLNLPI >KZN00456 pep chromosome:ASM162521v1:3:5420792:5428020:1 gene:DCAR_009210 transcript:KZN00456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRRQCACTENEQLGDHLLKKRQEIVDSPKGISDNLDKTLHKAYSSICNSKTHITNLKELSKIKGVGKWIIRLVQDFFDTDSCASENEDMTKKGKENKRIRRYMPQKNSVAYALLITLYRGTASGVDYMRKPELIDAAEASGLSRAPIMHVINTLQKGAGKPRQFGSSPREWYSGWSCMKTLVTKGLVVKSSCPAKYMLTEEGKKAARECLSRSGLATSSSTVERSLDLDESHSTDQEISYIESVQDSRLPHVSTVHKNSVEVPLESLNRFLSMGYSKEKILLAYSDVQKSSPNKNIASLWPAVLCRLRETEVYGSSLKFQNNLECNRRPLPSDSYIKDGQVGAIKNNTSSGSVAAGEFCFPKSKSPTGSSQIDSSMRGFSTTVRRLPVGDAIWVARHKRFRSEYVLDFIVERKKVDDLRSSIRDNRYRDQKVRLLRCGLKKMIYVVEGDLNFSEAAESLKTACFTTEILEGFDVQRTKGLGDTLRKYGYLTREISNYYSLLNVNDRQDSLNVCTFGDFIKRCEDLDKMTISDVFAIQLMQVPQVTEEVAMAVLNLYPTVLSLVCAYSVLEGDISAQEEMLRTQTNGKVNGSASRNIFQLFWGN >KZN03926 pep chromosome:ASM162521v1:3:49945667:49947787:-1 gene:DCAR_012682 transcript:KZN03926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLMHVVQYSSYGKGAANLQHAEIPIPTPKKGEVLVKVEAVGINPIDYKIQGGFLRPFVPKKFPHVPGSDIAGEVVELGYGVTKFKKGDKIVAMLGSATGGGLAEYAVAKEEMTVIKPYEVSAVECAGLGTPALTAYQCLITAGVRLDKTGPPMNVLVTAASGGVGHYAVQLAKLGNTFVTATCGARNAAFVRSLGADEILDYKTPEGQALISPSGRKYDAVIHCSTGMSWSTFNNVLSLRGKVVDITPSPSALATFAMKKLSCSKKQLIPLLVSSKAEDLQFLVKLMKEKKLRTVVDSRYHLSKAEDAWAKCIEGHAVGKIIVVA >KZN01496 pep chromosome:ASM162521v1:3:17352683:17352983:-1 gene:DCAR_010239 transcript:KZN01496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMETSVAQEAGPSKGMKFMPTPSLIQLTSTGCTPPASTPPTPASQPAKKKTPRKSVKSFAPPRPKKQ >KZN00461 pep chromosome:ASM162521v1:3:5492548:5492892:-1 gene:DCAR_009215 transcript:KZN00461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYLPFRATTVRSAFRNHDSSRTQKTSNPKWWAPLVSWSSEPDYFDSDLSAKAEAKPETTEPIKSRIAPGSFTEEKARKLRLMTKDTAFHDAMYHSVIASRLAFDSNDRSDF >KZN02615 pep chromosome:ASM162521v1:3:35143156:35144532:-1 gene:DCAR_011369 transcript:KZN02615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARPRIESRVRLWRKQYFAIEEMRGPNCSGFGWNELDKSITCDKSIFEDWLKSHPNAKGLRNKSFPYYDELSQVFGKDRANGECVESPADAAEEIANEEENTPQQSEHRKENTEDEVSPRIDVQSADTNSRANKRLKADSLEIVKELTFGLQKISNVMETGNENIAKLTSCFQHESDGAKKRGLVNSELMKVAGLTAEEIIKAGRKIALDPLETDHFFSLPEDFRSTYVQALLLPD >KZN01032 pep chromosome:ASM162521v1:3:11009344:11010125:-1 gene:DCAR_009786 transcript:KZN01032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQPQPHTVNGQGLTLHILRNPVINLLPLLALNKKRIQIPSLVHVDESFRGGGLSSQVVYHSPTPAKPPGHSPASAGLEATRDNDIAAAATAAIEKCWSPLAGSVGNCVYDILSAFTTGTVEFDSACCSAINNMAEECVASFHNQEFADTLSNYCSPH >KZN03192 pep chromosome:ASM162521v1:3:42266404:42268439:-1 gene:DCAR_011948 transcript:KZN03192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITWQALVPRCGKIEGGTCKSQKKVVPKSGSTSRISMSDFSSSSVLSLDISNSLVGSNLHVFTQAELKVITHGFSSSNFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKHLDLEGMQGHKEWLTEVIFLGQLRHAHLVKLIGYCCEDEHRLLVYEYMARGSLENQLFRTVILTQNGVRIKICTKQGDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTEIGAIKVAALAYQCLSHRPKLRPTMSTVVKTLEPLKDFDATSAGPFVYTVSPQNDSPKEDQKDNRSAKASKSPNYRSHYLHKGHKL >KZN01586 pep chromosome:ASM162521v1:3:20975585:20980102:-1 gene:DCAR_010340 transcript:KZN01586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKVVVSALQFACTDDVSTNVSTAERLVRAASKKGANIILIQELFEGYYFCQAQREDFFQRAQPCKDHPTIIRMQRLAEELGVVIPVSFFEEANNAHYNSIVIIDADGTNLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFETKFAKIGVAICWDQWFPEAARAMALQGAEILFYPTAIGSEPQDQGLDSRDHWKRVMQGHAGANVVPLVASNRIGKETILTEHGKSEITFYGNSFITGPTGEIVAEADDKEEAVLIAEFDLDVIKFKRKSWGVFRDRRPDLYKVLLTLDGSNPAV >KZN03617 pep chromosome:ASM162521v1:3:46358092:46359619:1 gene:DCAR_012373 transcript:KZN03617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTHSLNSLMSFDYSEPIHVPVVSEVFNSAEAMRIPHTSPLARIRCDVRNDYPPEVAAVRVPLLKHLKYELYDGPELDARCYAIMVLILPLDGLRRWHRHELERVKVAADQAAVALSHAEILEDFMRTSNQLMEQNLALESARQEAEIEIQARTDYLNVTVLQSMK >KZN02314 pep chromosome:ASM162521v1:3:31932338:31934176:-1 gene:DCAR_011068 transcript:KZN02314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASIPVRAPTFVSQRRLFEQKLSELHKCNNLNQLKQLHALIYRSYLHQDPFISTKLLTSYSNCRQMVSAISVFNQVQTPNVHLYNTMIRAHVHNSQPLQAFDVFYRMQCDGVWPDNFTYPFLLKAFSGQSYVSVVRMVHAHVEKFGFYSDIFVPNALLDSYSRCGVVGVHAARKLFGAMEVRDTVSWNTMIGGLVKSGEVIEGRKVFDEMPERDSVSWNTMIDGYVKGGEMNAAFELFERMPERNVVSWSTMISGYSKAGDMETARMLFDKMPVKNLVSWTIIISGYAEKGCVKEAIELYDRMEEALLRPDDGTIISVLAACAESGLLGLGERVHETIERSRYKCSVHVCNALVDMYAKCGSLNRALSVFNGMRTRDLVSWNAIIHGLAMHGNGSGALQLFMRMKQEGFVPDKVTLVSVLCACTHGGFVDDGIQYFYNMERDYGVTPEIEHYGCIIDLLGRGGRLNEAFQLVHDMPIKPNNVIWGALLGACRMHNAVELAEEVLNQLVKLEPNDAGNLSMLSNIYAAAGNWDKVSDARLKMKNTGSQKLSGASLIELDNEVHEFTVVDKSHPKSVRIYQMINGLSQHLKKDGYIANEILKENYSGSLGSSL >KZN02414 pep chromosome:ASM162521v1:3:33290047:33297975:-1 gene:DCAR_011168 transcript:KZN02414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFLPRYILQFRFSSPHSTLLTNYSHTTIAINKKRRFSTLSLSISPKAELLVVVGGGAAGIYGAIRAKTIAPNLNVVVVEKGKPLSKVKISGGGRCNVTNGHCPDNMIMADHYPRGYRELKGAFFNTHGPVDTMSWFCDHGVELKTEDDGRVFPVSNSSSSVIDCLMSEVRRTGVVMQTGKVVTTASTAGGKFILKIEKRTIDYVESIEADYLFIASGSSQQGYALATQLGHSIVDPVPSLFTFKIDDTKLTELSGVTFAKVKAKLELETMKKNIQQLTQVGPMLVTHWGLSGPVILRLSAWGARYLSNSDYKGILQVDFTPDLHIEHMKSVLIQHKNQFAKLKMLNSYPLELGLVKRFWKYLLDREGIDGDILWASVSNNSIMSIASLLKQCPFKVKGKQATAPSIFCWRGTKY >KZN02738 pep chromosome:ASM162521v1:3:36765605:36769388:-1 gene:DCAR_011493 transcript:KZN02738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYPVLYNKPIDQWKVTELKDELRKRKLPIKGLKDDLIRRLDESLQQEIEITNASADQGFASQPKPDIQYQGATTVPGFDGKNSATTNKSENKNAELDVDVNMGSSIQEQFVGGDTKASEPVMVPETTADFDVQGATLDSSVQANSIKTSDDVTTVGTVQEVITVEAVQEVTTVEAVPEVTTVGFSPLVGVTGLYLSEQELLNNGAQNISEDVNIQPLNQNLNPPHEEAKLNSSELETQVSEVTEAKDNSNSIHNVPVAERIEDLNIQPQNEDSNPLHDANLNSFAPESQVFEVSKVTSDSISTDNVKINEQIEFKDNIIADDVKLDFDVKHDREEPSSGNIDLGDGNLQPMDVKEHQENEVKVEETANRAENPDIAKNDGGDVEFLEKLNLDRSSGDDSIEEDPLESKQIESKSLSDVAGDKREKVEVTVVRQDFPIDAMVVESVPDGIAENKNVTAVASMKRKPQDQETVGNFDTTKRQRRWNSEGLKAVEPISDNVSPSITPKGTFQSNAKRNFSRSDSMSSVETPKERTVPPSLKPPTSALRIDRFLRPFTLKAVQELLGKTGKVTNFWMDHIKTHCYVSYSAIEEAVETRNAVYNLQWPANGGRLLVAEFVEPQEVQMRIEAPPPIVAPVLPAVPVPMQSQPSPRVPVQRQVPQAQPHFPVSLPQLAPAPVSNPPAARERALPPPPPPPERVEPPIVTLDDLFRKTKATPRIYYLPLSDEQVNAKQSAEGKPPSSRA >KZN02097 pep chromosome:ASM162521v1:3:29515197:29522009:1 gene:DCAR_010851 transcript:KZN02097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSKDDDSATDSDDFNGDLPESSSGLFQEGEKVLAYHGPRIYEAKVQKAELRKSEWRYFVHYLLGYLANSWDEWVGKDRLMKNTEENVLKQQALDKKQGADKNPKSARAGHTKPKASTGTKGKKRKSDVEVEKEDDGQVGKPVKIQIPLTLKKLLVDDWESITQQDKLVKLPRSPNVDTIISKYVEYNSLKDGSHGMTTDQSQEIMNGLLCYFNKVLPVILLYKKERQQYIEAVVGDVSPSATYGAEHLLRLFVKLPDLLGKIKLEEDTLIHLKQKLNDFLKQLRGAKPRRNTTAAVGCLMGSRRNKGRVATDVAAVAAASPSDAAN >KZN01757 pep chromosome:ASM162521v1:3:24622537:24623476:1 gene:DCAR_010511 transcript:KZN01757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANQAVFDEPVGEHEEEIQPGLAVNGFQFEGGRPGANIQGLLSPTNEAKVGTSVIKPEAGVDLIDSGVNVTAGVPNMYGGLPSDGTWVTGGGVGWWPVKNDTLGRYTH >KZN01560 pep chromosome:ASM162521v1:3:20499454:20500873:-1 gene:DCAR_010314 transcript:KZN01560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMDNPAMAFMARKFKNFKFKENKPFKSQSHEDIIKFNSKNETLTADNDLLLLKTASLDSLRSDNEKLKNDLACAKKIEEYLGTKLAENEFKLKAYKNSSQVVQDISEKGTKNNKLGTGYEYGRRPGKEAVSDCVGDDVGKPHILRKVQKPVFKVIEYEFDEEALLIKKELNDEDSVYDNAATPINVVNVNGNLHGTPIMDRTMDV >KZN00922 pep chromosome:ASM162521v1:3:9899862:9900158:-1 gene:DCAR_009676 transcript:KZN00922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVVNILDKIPSLKRSHSRASSDGDNDVGDPMSLPDPAVWKHSHVRSCKQSKVSPAPSHQTEKLSKEAISAARTMSSRSEGSAPSTWQSSRGFATRR >KZN02258 pep chromosome:ASM162521v1:3:31393791:31396100:-1 gene:DCAR_011012 transcript:KZN02258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTINKNNTTTNRGEIPYLNICFRDKLAVERTWWFDENTGRKMLACPDRCQLSGARSVTVKRRRSFVLVVGTVYCDTCLQGKFSHASHFIPGASVAVECDATDSKPSIYKEVRTNKHGDFRVELPFSVGKHVKKILGCSVKLIKSSHPYCAVAATTTSSSFHLKSTSPRTQIFSAGAFTFKPVAQPELCKQKSDVQSSNNLNSAETSNSGPTFPNSFLDPQTPEFPPVDDIGQFAPTPKLPSLPPLPQLPPLPGLPGLPFLPPINPEPSDSSKGSDDQVSNQKTFTFPPNPFHPPIILNPYLHPPGAIITSPPSSIFPFIPSPPPPAFSLIPPFPFEPFGFPGTPPPVLSSLSNKISP >KZN03164 pep chromosome:ASM162521v1:3:41892200:41892577:-1 gene:DCAR_011920 transcript:KZN03164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGKLTKIKSVLKKWQSFGKLGRSNSSIAAANTSFSDEDSSFDQISSRDNFPVYVGKSRRRYLVNSEILDHPVFRELVERSGDEDDNIVVSCEVVLFEHLLWMLENADPQPESLDELVEFYAC >KZN01130 pep chromosome:ASM162521v1:3:12068838:12081146:-1 gene:DCAR_009884 transcript:KZN01130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRYTHNKNEGSRGAFNPRKQQFVPKNPNSSAQKLSDSLRHSDSGAVASSATAGNRLTRKDGASVPNQTRSGNFVKYLPHDEAVASGLAADEGGLDPIESQRVVDLLNRELSRLLKLNPREFWKEVLLQEKKLLDMPKLLDICAIYGHENEDLTRNLVLFSVHDGQDQGSRRLHTDYLEVMDFLNDAIISMDTFVGAYKHAAIFFSFSVETSYGNEELISTLAQLHDSLLPSLKRGFQLLFASESGRNDISADLRTNVAVSLKMLSIRIVNFGWKLLYLCYLSDDAFDVGLPLQSATKIFPAQVEDPLWIVMDDEQHKMLSSNIINHFGGNITSVLHVPGHVIELPTDEDSAILESKISQIKDLFPNYGKGFLSACLEVYNQNPEEVIQRILEETLHKDLQLLDTSLEDRPPPKASSLSAHDKGKGKLLDSTVTAAPSNISPAVVKQQNSSTQVSSSSSSTVGRYVRKSTDDLPDSHTLDSRGHKDVAKTAALVSQLEYDDEYDDSFDDLGLSVADSGYEDTELLGDKSDSTIEKPHQTSNKVPNTSNSKWGSRKKPQYFVKDGKNYSYKVAGSVAVANYDEAASFSQAQRESVYGLGRGGNVPQGGHRRFIEPAESKEDEEVIADAEEGGRGRGRGSQRGRGRGGGRNHYRKDQAMKKHFSPLTRY >KZN03287 pep chromosome:ASM162521v1:3:43211231:43211593:1 gene:DCAR_012043 transcript:KZN03287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDYGFDLDAALTMDYPNNNFVTIESEMKTTESQVEDTVNNLSIKMIPTDLSLPGVCTICMEALDSCTPASEQGGRRVRCGHAYHENCITQWLSLHNSCPLCRCILSGHSKTSVVSPSI >KZN00818 pep chromosome:ASM162521v1:3:8970669:8971548:1 gene:DCAR_009572 transcript:KZN00818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKLPAFKESNPQLEVETKHIGGQHPHLEGIYRNKNERNVCVRNMTPEEVLECATKLRNSLGRKVVKLKTRHVTKHPSVQGTWTTALKL >KZN03432 pep chromosome:ASM162521v1:3:44508671:44510232:1 gene:DCAR_012188 transcript:KZN03432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKVALAVAVCLVGWAYVAILKPPPTKVCGTPGGPPVTSPRVRLSDGRHLAYKEEGVPKEDAKHKIIIMHGYGSSKDFNLIFSQEVIDNLQVYLLSFDRAGYGESDPNPKRSVKSEAFDIQELADRLQIGTKFYVIGVSMGGYSVWSCLKYIPHRLSGAALVVPVVNFWWPSVPTSLYKETLGSLPFQDQMAMRVAHYTPWLYNWWLTQKWFPSFSILQPNSPLFSDSDNEAFKNLLSIINLDKVMNYKARQQGDYESLVRDMITGFGNWEFDPADIANPFPNNEGSVHIWQGLDDKIIPYQLNRHVSEKLPWVRYHEITDIGHLLAFKGNNSDVILQELVLG >KZN02837 pep chromosome:ASM162521v1:3:37942355:37946927:-1 gene:DCAR_011593 transcript:KZN02837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYNRLPGSGHNSPSSPPSSPSSLRSPRLRHGRSKALNRFTQPPVTLPQRLSFLILSLLLKRQGLFLFAPLLYISAMLFFMGTVSFDVVPVIKHRSPLGSIYRSPQLYARLKAEIHADNSSMDAISTIWKHPKGGEWRPCTKKSAGGLPESNGYIYVEANGGLNQQRTSVCNAVAVAGYLNATLLIPNFHFHSIWRDPSKFKEIYDESFFISMLKNDVRVVDTIPGYLMERFDRNMSNVLNFKVKAWAPIQYYKDVVLPRLLEEK >KZN03781 pep chromosome:ASM162521v1:3:48081099:48082521:-1 gene:DCAR_012537 transcript:KZN03781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-4 MECFHWSVGYNPNPEFSYGRKVLTAVTTFITTIDDIYDVYGTLEELELLTKLSKRWDVAELDQLPDYVKGELKKGDTPKLIQCYMNDNGVSEDAAREYVKRFLIEIWKEFNEEMQAAESIFSKPFIDVCLNLARITTAIYMYGDGHGAPNAKDIDRSTYLIVDPIPI >KZN01297 pep chromosome:ASM162521v1:3:14176877:14179216:1 gene:DCAR_010051 transcript:KZN01297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERVIRSVCFQNLKLISVISRSISRSFHLFDRLARVYTLVFRHLLFQPLHLSALSANEMWRRRGSGDNSPESDQISDGQSSSNEVQEVAVDTYRITTLQGLASRCCANLGKDQWPWYLSKQATQDHLWRYSLWRGHPWAGQMFTLAEWAIRINPWNVESTAEAMNEAIFMAEYEKQLRHEIHYRFCQKRPTYNKKLSKGFLYFIRGTGSYRSVIPLLAHSYCSGSKLCRCLFGLWLPNSIISTGEKMKCYRDVLRMFVVVVGPMQLYFLSKREDVKPPFLPRNPSR >KZN01787 pep chromosome:ASM162521v1:3:25086897:25090724:1 gene:DCAR_010541 transcript:KZN01787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLAISSDADAPDSHHNPSVPPTVTFGRRTSSGRYISYSRDDLDSELASSDFMNYTVHMPPTPDNQPMDIISQRVEEQYVSSSLFTGGFNSVTRAHLMDKVTESEVNHPQMAGSKGSSCAIPGCDGKVMSDERGVDILPCECDYKICRDCYLDAVKTGDGICPGCKEQYKTADQDETEQTGRTLQLPPPSGISKMERRLSLMKSTKSQLMRSQTGDFDHNRWLFETSGTYGYGNAIWPKEGGGFTNGKGEKTAGPSDLMGKPWRPLTRKLKIPAAILSPYRLLIVIRLVVLGLFLHWRITHPNNDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRSTDLNVLKEKFEMPNGKSDLPGIDIFVSTADPEKEPPLVTANTILSILATDYPVEKLACYVSDDGGALLTFEAMAEAASFANMWVPFCRKHAIEPRNPESYFSLKRDPYKNKVRTDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKQQRQKRDDEAAESVKIAKATWMADGTHWPGTWLTPSVEHTKGDHAGIIQVMLKPPSDEPLHGTIDEIGTLDSTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMKEGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRTKEHHPGCCSCCFGRKKRATVSHTPEENRALRMGDSDDEEMNQSLAPKKFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLATSKMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLDVTFLAYLLTITITLCLLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGGDDENDEFADLYVVKWTSLMIPPITIMMTNLIAIAVGFSRTIYSAIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVSINPPAGATEIGGSFQFP >KZN00153 pep chromosome:ASM162521v1:3:2521338:2524410:1 gene:DCAR_008907 transcript:KZN00153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KZN00423 pep chromosome:ASM162521v1:3:5226654:5228470:1 gene:DCAR_009177 transcript:KZN00423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLQQNLERSSAKKYSCQNISKLLAVSGLIFYLSFIFFLLHNQLSYLTQNILPPLLLNQPCPITSKYSKNSPTEINHLVFGLLGSAKAWKHRKSYIESWWRPNVTRGYLYLDTIPSPEFFPWPSSSPPLRISEDISKLLNETKHVAPIMARMVHGILEVFKQEHVGVRWFVMGDDDSIFFVENWVNVLGKYDHTKYFYIGGQSEDIWSNDNFSFDQGFGGAGFALSYPLAQALANNVEDCLRRYPHLTSADYITMTCIADLGVSHTAEKGIHQVDLSNDISGFLSSHPQVPLMSLHHFDVVKPIFPSKNRSESAMHLMKAADFDQSRILQQTICYDRKTNCPESEIVTSYVRDATQGPSSCSSGKKSADRINTIQVFSPATKHIETGRSECCDFANQLGRGKAKMKVNIRSCAADEIIA >KZN02423 pep chromosome:ASM162521v1:3:33381178:33383762:1 gene:DCAR_011177 transcript:KZN02423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNAYAEIIFNITKEAAARVMLSEQKALAFQKDLDFTKQEALRMLLRLKQMSDATTSEAHITSLNQSRRIEELEAKLNETEGKILDLRAKIGQAYDPLDAVKNNNVHLSNFDVKDDVICCEIAANEIQQIISPLTSSQFNKRHNLTVPTPKDIAQLEKSFNYERNLSSVILESKEPELYRNGCTHRIRAFEKNLLDEKQLCGNFGDELMPVKSDTLANEHNHNVETCALFSPSNDLGGMRNLVRLVELPDHSATVYNQPVKSCILQQEESQNGKAEATLHSLHGIKDLKIQQQCSDIPHQKNNSIMGNEYENYGLNLPQNKPDKMKLVEVFPKLDKKIDCDNNQAVFVPRRSIRKRKARYQDDDIDPSSVPPFLSRCKMNFINHDSTSDLDCLESEANAENNEEKDIVLASRHVTAEVKINDPVFGQNAEGKDDDFIEETEVVKHSGYATKSIRSGKELSTVSDTLGAKTEEASNGAASHADRSSVLQKFSRKHKKEAATKLVERSSPKKQTSAEDKVDMKKSSKESRRLSLSCSTG >KZN02539 pep chromosome:ASM162521v1:3:34327442:34327681:1 gene:DCAR_011293 transcript:KZN02539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERAPELLNLVACGEAFDSSVGRAAKMCVDAGVSFLGKVPLDPQLCKAVEESRSCFSDSKCAASAPALKQIIEKLVAT >KZN02013 pep chromosome:ASM162521v1:3:28312383:28314059:1 gene:DCAR_010767 transcript:KZN02013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEMPPNKWNSEQVREGVDFNGINHFKMESLHFDTLDDFTSEQYLLTLPESNFGKSLSSESYNATFFPTDHLQDPRDFRNDNMADESNISDSFERPAKQLKTSTWNPSPGKQANVIPSSSSSQIISFSNVSSQTLDHQGSCGYSRDDKVLLPYEDLMDSNASYDNDNYTVIAGKPIRRPNAVPVKAPSHVQDHVIAERKRRERLTERFIALSAIVPGLKKLDKASVLGDAVKYLKQLQQRVELLEEQIKKKKKFEESPIVSQNDSSKLPAASEGYSSSCDDNSGGYPNKTTAEIEARILEKNVLIKIHCKKQKGFVSKMLSVIEQLHLTVISSNVLPFGDYAMDITVICQMHAECSLTVEELVRKLQSALQQYPDRAR >KZN00052 pep chromosome:ASM162521v1:3:1477228:1484569:-1 gene:DCAR_008806 transcript:KZN00052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPIFPEVAIFSEVAFDDSLLLFIYTSLYDFFLFLFFRRSCHSLLITNTESCRGFLHVCGLHSSFCTSQGGLSLNIDVSTKKIFETRPVVDFLTANQNAKDPLSLDWEEAKGVLKNSKVETSPTNTEYKITGLSDKICKELVFPMKLKGKKDENGEPQIIRISVYDYFVHIRKIPLSYSADLPCIDVGKPDRPTYIPLELCSLVSLQHYTKALTTYPCASLVEGSRQKSQNRMITLTNALGIMSFADEPLLRACGVSLSNNFTQVQGRILAAPRLKVGGGDDILPCNGRWNFNNKKLVEPTTINYWAVVNFSARCDIDCLIKDLIRCGNLKGMNIAPPIDVVEESSEHKLSPPFVRVEMMGQSLFSKIKEKPQFLLCLLPERKKSPLYGYWKRKNLTVYGVVTQCLAPKEVNDQYLTNVLLKINAKLGGLNSNLSVELSPSNPSESEVPMLILGMSVFHGSPGQSDVPSVAAVVSSRCCPLISKYRAWVRTQSPKVAMIDSLFQKVSYRKDDGIIRDGVSESQFDKVLNVELNQIIKACKFWDANWSPQFVVIIAQKSLIPGFFGLNLLSILTLGTTRPTHYHVLLDQVGFSPDDLQELVHSLSYVSQRSTTAISVAAPVSYARLAATQMRKFMPEKSSSQIPQLPRLHKDVGESMFFV >KZN01730 pep chromosome:ASM162521v1:3:23960464:23964426:1 gene:DCAR_010484 transcript:KZN01730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPNPSSSFRKSPRLSPYLFSLLAFIVVVTILYGEDFRCILYQLDSGTKPNLSSISKTKKNSNNEKVAFAVRKTDQGGCDLFKGRWVWDESTRPLYEESECPYIQPQLTCLEHGRPERNYQYWRWQPDGCSLASFNATMMLEALRGKRMMFVGDSLNRGQYVSMVCLLHSLIPDHSKSMETSGSLTIFTAKDYNATIEFYWAPFLLESNSDDAVIHRVSDRVVRKGSINKHGRHWKGVDIMVFNTYLWWMTGLDFKILQGSFDDEVQDIAEVSTEVAYEMGMKSMLRWVKLNMDPNKTRVFFTSMSPSHAKSIDWGGDPNGNCYNETTMIEDPQYWGSDTRKSIMQVIDKVLSRTKVSITFLNITQLSSYRKDAHTSIYKKQWNPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFHKLFYP >KZN03786 pep chromosome:ASM162521v1:3:48140880:48141416:-1 gene:DCAR_012542 transcript:KZN03786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANHGSTKSYSNEVTSKINTTRKTGKMAEPYVPKEIKYRGIRRRPWGKYAAEIRDPNKNGARVWLGTFSTGEEAARAYDRAAYALRGHQALLNFPNENHYKTSPVQEISEFSKNLVDEISNHKAPASSSANYADAPGSSISFKREKGAEFIEFEYVEDNLLDELLGTQNPNKRSRSL >KZN00679 pep chromosome:ASM162521v1:3:7557647:7560036:1 gene:DCAR_009433 transcript:KZN00679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFALLPAYWCSTARAVCNHFRYIRGHMRRTTLNVQTLVHTESPVSLNIQQEGSRPVGTHAQPESSHARISQIPMPPQIHDEAADDDDVIISSAREFEQARRKKNRRRNHRRTLNSEGQSTKSSPNNLSKRIRGPSNLEIENAWSAPQKKLEFTCPVCLGPIVQEMSTKCGHVFCKDCITQSTATQGKCPVCRTKISMKDSIKIYLPMAS >KZN01249 pep chromosome:ASM162521v1:3:13648830:13660437:1 gene:DCAR_010003 transcript:KZN01249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNVVITCCFNMFKFLTACPYHFDMSKDYPGFMNEDWFDLDEFQNFYEDLTSVKEKEFSLSDTTIDKNKDGEGNSIQMIKNVNHDMLQTPPSHDLFKSNDALPALVCCDGCDVWVHAECADFSGKHSGKKSSPLPAFEESKIEGDGVKKKKTIKFKFKQGEQRKSPRLIEAKKNPNDYAKHDTKVAAEEGERNSTEDISFEPVVIVVVDKNEDERNLDSNNEDKDDEDDFIEDVGTIDNKVAFGVVIPSEDGLCNKIHGRDMPIGCLRVSVDGLLPDSNKDALLPVPVPGEMELVTEYVGSHVAWPENLITFPSVVFFYLDRSVNTEYRAEWTRPVVMGWRDSLIENRNRSESIDGTFMKGEIDVNQDDEEAAILKLRKTKESYELKILWIYFKKEMMSQVDKDIKEFEFVYKKCKNNLKMANDLFPNHPSLKLYEDTFAKIYQPPNQEEEEEHQRLEPENDRDTEWPYYTNKDWKTIDILALPKFDRAYNKMINIDDFLGDLTLGGERIDFDRFAREEDNEYIPGRLRREVKVGDSQKSPYLDRTIDFNRQKITKAEEEVWNWITGDTSDPTLTDVSHIDIIPTT >KZN01022 pep chromosome:ASM162521v1:3:10885244:10885918:-1 gene:DCAR_009776 transcript:KZN01022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQVLELYDSYWFHLDIFTSKHTIPATILAGSQNQVEEESCLCPQVEARSQSLYCLCSGTSFSPNSPSLDCGFFVPKLETVVSGKEGRDDEVEVPVRRRKVEKKQRRRNKKGSRSLTDLEFEELKGFMDLGFVFSEEDKDSRLVSIVPGLQRYGRRGDEETGNGQDHEYKVSRPYLSEAWGVVDQTKVRNPLIDWRLRIPSGESDMKDLLKVWAQSVASAVN >KZN00246 pep chromosome:ASM162521v1:3:3410548:3410840:1 gene:DCAR_009000 transcript:KZN00246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPWRISWFTGYICFGSFDSIHIPAVQFIFKWTSRLCVCFIDFWIIMQTLIGRTVVSVWRVKFSHHPFPT >KZN03729 pep chromosome:ASM162521v1:3:47489137:47495165:1 gene:DCAR_012485 transcript:KZN03729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFTEAFTHLANSSSSSLEFLFDRLVESSPSDEAQAEITEQAIRIAGVLREAGLRSSRKRDAAHNSRVWPLSVDLTINVFCWLDTLSVCNAAATCNFFRRCSSDPLCYARIDLLTTVPKVDNLVVSTMIQRAGTALRSMKLGLIPTRSALLGSSQPFVYSLKNPTYGSGTSWYDRYDKRSRQVKESCILSRSCLNSLTIDGGAPGAGLRCLHLYNIERIDDAALSTALFACPNLLDLVIVGLKLGGSLLEYIFLRDCMQLRKDISNHDGLAIENDWSHRCYSTSYMEIESDGDVIFPSQQSFRSSDESFSMNSGAGSGSEDSRGTSSLSYVESSDELEFFSH >KZN03119 pep chromosome:ASM162521v1:3:41446592:41447212:-1 gene:DCAR_011875 transcript:KZN03119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEEKKKEDLDVKEVHLKLLDDLVNVNSLLTIAVFVGLSLATPDIKSLDSRRECRSGPREAKRLILCEVIAFSCFLLSSIVAKVLKLHLYLDGVGNYSFTSPNLDLKEFMLALSACASVAGIVSVSLSIVYIIEIRVGKLSCGCAESTAAVVVLGVLVGFALLIYVVSVAIAIYASYKSDHKKPENGENENVLKSPSTKDLPKEV >KZN01461 pep chromosome:ASM162521v1:3:16520314:16523069:1 gene:DCAR_010215 transcript:KZN01461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEKKLLKKVNFLDWKREGGHRETHVTQRYHLTARDDYKKYNSICRMVQKLVNILKQMDQRDPERIQMTASLLEKLYNSICRMVQKLVNILKQMDQRDPERIQMTASLLEKLYNMGVIPSRKDLNLCKNLSVSSFCRRRLSTILVRLKFAEHLKEAITYIEQGHVRVGPETVTDPAFLVTRNMEDFVTWVDTSKIRRKVQEYNGQLDDYDAMN >KZN00538 pep chromosome:ASM162521v1:3:6205209:6208710:-1 gene:DCAR_009292 transcript:KZN00538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVGSSSNGRRRQGSRRNQHPPAPQPELPANQYVFAAATPYPSQYPNSNPNPNALPHPYYQYPSYYPQPPLPAPFDHHHRPPHVDPTHGNWVGGPYPYGPLVPPVAPYVEHQKAVTIRNDVNLKKETLKVVPDEENPGRFLVTFTFDATVAGSVTVIFFAKEGDDCNLTPMKESTYAPVTVHFQQGLGQKFRQPIGAGIDFSKCEESELLKEGDMDVYPLVVKAEALPSNQKGSADGSPEYGASNSQITQAVFEKEKGEYRVRVIKQILWVSGMRYELQEIYGIGNSADGDFDVNDPGKECVICLSEPRDTTVLPCRHMCMCSECARVLRFQTNRCPICRQPVERLLEIKVNDGSLN >KZN00885 pep chromosome:ASM162521v1:3:9572253:9576097:1 gene:DCAR_009639 transcript:KZN00885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSKDVPAPVVLKKELVKNSWDDEDVDEDDIKESWEDEEPAPVPVAEPPAEKPSKKTSAKANDKKGKGVEIVKEEPLDPVAEKLRQQRLVEEADYRNTAELFGKSGGDDKSLEIFIPKSESDFLEYAELISHKLRPYEKSFHYIGLLKNVIRLSMTSLKASDAKEVASSVTAIANEKLKAEKEANAGKKKTGAKKKQLIVDKPDDDAVVSNLDSYDDYDFM >KZN00568 pep chromosome:ASM162521v1:3:6442036:6442230:1 gene:DCAR_009322 transcript:KZN00568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYVLGLKARCTRKIKKGVMEIQGICTIYVRRRYHNQFCTVIVLSKTQSSEVKALDVFISYFR >KZN03253 pep chromosome:ASM162521v1:3:42907244:42910361:-1 gene:DCAR_012009 transcript:KZN03253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIRRKIHENPELGYEEFEISALIRTELDNMGISFMHPFAVTAGVGFIGSGDPPFVALRADMDALAMQEEVEWEHKSKFLERCIVVGMMLMPHVAMLLGAAKMLQEHRNDIKEGGGGAKDDGALENVEAIFGLHISSNYPLGKIASRPGPISAASGYFEAVISGKGGHAAIPQLTIDPILAASNVVVVAVGKFQGGGAFNVIPDSVTIGGTFRAFSEESFDKLKGRITEVKLLQFILVKYRARYYRTSCCAIVSFGKNFYPVTVNNEDLHEHFQTVVKDVLGAENLREMQPLMGAEDFSFFAEAIPGYFYFVGMHNETQGKPKSGHSPYYTVNEDALPYGAALHASLATRYLLENQPGKKALMLHLIFSVRRCWM >KZN01839 pep chromosome:ASM162521v1:3:25927149:25930043:1 gene:DCAR_010593 transcript:KZN01839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAESEQLVLPLFALGNLLIKEGKTTDAENAFLRILNIYKILYGEGDERVGMTMCSLANVKCAEGNVDDAILLYQNALLLLKDSKNVSSNNGRIEKVMIDLAELLHSVGRGKEGRELLEECLLITEQHRGKEHPDTVTHLMNLATSYSRSKNFVEAERLLRTSLKIMSKTVSPDDQSISFPMLNLAVTLYNLDQNEEAEQLALEVLLIREKSFGKDSLPVGEALDCLVSIQSRLGKDDKELLGHLQRVLKIQEKAFGNDSEEYSVLEVDHEIEEYASIIHSALAVDKELQPEKVTKQMLLVDGSF >KZN02609 pep chromosome:ASM162521v1:3:35038969:35041349:-1 gene:DCAR_011363 transcript:KZN02609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLVVSLNPITVIISIHLFLLINIPFLEAQALNHTKYYSDCSSATTVRCGEATYDQIHYPLWGENIRPKYCGLEGFELSCENTNLVIDIGYGSMPLEDLLASSFGVHYKINHTACSDCGKSGGECWKNTDLGVDPKCLRQPGYAVGSSYQVPQKGNNTVSGRKIIIAASAAGGGMLLLLSVLLLLVLLILCFRKKTKNGSSGVSQYVSSNNVLSESEKAGTYMGIPTFSYSELEEATNNFDSNYELGNGGFGTVYKGKLRDGREVAVKRVYENSFKRVEQFMNEITILTGLRHPNLVVLYGSTSHECKVLLLVYEYIPNGTIADHLNRDSAQPGKLTWNTRMSIAIETASALLYLHVSDVIHRDVKTTNILLDNSFRVKVADFGLSRLFPTNVTHVSTVPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISSMPAVDISRHRHEINLANLAIKKIQGDALHELVDPTLGFESDEEVRKMIMDVAELALRCLQNERELRPSMEEVHRSLKEIQRTDCAKEILRI >KZN02701 pep chromosome:ASM162521v1:3:36215337:36215564:1 gene:DCAR_011456 transcript:KZN02701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSQRNVTLFVFSLIILFPQIIISTVAFEQEKQTLSDRVRDIYSLVKTHSLTSWEKLKVLVNDAQKHYFPPNIE >KZN02548 pep chromosome:ASM162521v1:3:34361426:34362745:-1 gene:DCAR_011302 transcript:KZN02548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVEVVSRELIKPYTSTPLSLRDYRISLIDELAPVMNVPTILYYADDLRSSSSKCEQLKRSMSKVLARFYPFAGRYMKDSFKVDCSDQGAEFVEAMVDVRLDDLVGRGKNLKTELLNYLIPRPIGAGDEATDPLLAVQVNSFVCGGWAIGLMTSHRIADMSTTSAFVNEWAIEAKRLVEGFDGDCFPDKSPVWSSASLFPGRKMSGFPFGLSREKENVEDHKIVTKVFSFDGRAISRIREKARLLDNSGERLPTRVQSVFGMIGKSIIDMNAARFKEFWAIQAVNMRGRTIPPISKNQCGNLYLTTSTQIVAGEAGVELHSTVDLLMQTVKREVEKCKMILSVEGQMSISNGFYEMTKILAKPNIGVLGFSDWCKFPVYEADFGWGKPVWVSCVNVPVANNIYLFSDKFGKGIEAWVNLNIDDMLKFEQDSSIMEYST >KZN03300 pep chromosome:ASM162521v1:3:43343955:43345175:-1 gene:DCAR_012056 transcript:KZN03300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFWTLIVALFISAAAFQSGVVHATFPKSVYFNWGAQHSTMNGEDLQLVLDTTSGSGIQTKRSFLFGSIEMLIKLVPGNSAGTVTAYYLSSPGDKHDEIDFEFLGNSSGQPYVVHTNIYTQGVGNKEQQFYLWFDPTADYHNYTIHWNPSAIVWYIDNVPIRVFRNYNNEGIAYPNQQGMRVYSSLWNADNWATRGGLVKIDWTSAPFIARFRHFRARACKWDGSFSTAQCAFPSPANWWTSPIHKQLTAGKQGQMKWARDNYMIYDYCKDAKRFNGQMPPECSKAQY >KZN02827 pep chromosome:ASM162521v1:3:37793516:37808756:1 gene:DCAR_011583 transcript:KZN02827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGEVEDSKVLEMPVKDSLDADTVDINYENVEHLPMKNESHAEDVINAEENHTASSCENDAGLLTENKYLVEDATKTDINPIVANQKHDADLSKENGFQDTKPHDIHTQDQLLEMVLELSFQIDYMKSQFHELNRVQLNFDGHYPLEREIRQDEDAKTLNLKIDTLNKELMEERQTRGAAEEALKHLRAVYSEADAKSQELSAKLAEAQLKMDEQLKERDVKYSDLDSKFSRLHKRAKQRIQEVQKEKEDIEAQFRDVNEKCERALLQQSTLQEELERTRQQTNETLKAMDIERQQLRSANNKLRDNLEELRRSLQPKEDAIGALQNSVLEKEQLLENMQELLQAAEEKRKASMTELSSKHRKLVESYEAQVADMISERSKATETISSLQKMVAERESKIADMDAASSGEVARLRAAIDTVKGELAQLNQEHEKEKESWQAASKSLNWKLETAESNCIRAEIEAAKMKSLLESELSAKTRLLNTRDAELLTAKEEITRLDGEFASYKVRAHALLQKKDAELAAAKNNELLKDQEEALKEAEKEILAVSAERDKALNDLKNALDDHDKELSSRDSALYKAEQQIKNMETKLNSLLSSHASEKAKWEKNQQNLEEAWRLRYEALKAQNQLASSENPQKELENLKLNFKKLKEEHDSFRDLADKLIEEKDTEISRLLNDNKNLHQSLSSKTLVNSFDNQNTVPQKQDSASFSTSAAEHQILILARQQAQREEELAQSQRHILVLQEEIEELERENRLHSQQEAMLKEEVRNMERMQKRGGVDMTYLKNVILKLLETGEVGALLPVVAMLLQFSPEEMQKCQQAYRSSAEVQSTPGTDATGSGLSLFSRFSFS >KZN00181 pep chromosome:ASM162521v1:3:2818540:2831882:1 gene:DCAR_008935 transcript:KZN00181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLPGNVIEDLELEVFDVYGNHVKQDTEMLLDMVGFCYQHKTKRIQVDNRGCIDLSGLLKVSTGYAETVSLSVLSNEKTVFSEESQTEKMMIRVATEIPEKCFTGSQLENVVFEIVKSDGVIDETVNDNEKGDMSHALIEGSFSFLAAHSRYPKLNELMDKILKYATYIGEHEKTIKMLNSQREAFEQALAKMQGKQRPSSKCKIRAAECWGEGNALKLAILRIIVVTTHDPDDQTTSTGKQRPSSKCKIRAAECWGEGNALKLAILRIIVVTTHDPDDQTTSTETDGGFYPTQSKTGLRHHHPYTIALKNFGSKNSEKERDVHVAIYKDGKKLSLQQMEKQYQEWILDMHNIYDEESDCGEDEPVIIINPSNRKQLGISSDGTSEEKGCLLIDNENGNPSIDIRESKSLPINIIDSGKCLFVESAEWSLQLEKLQHKIPSSIDILCARHCEKLEVEEFLLQQSPICNMMHSLPFDTPVFAGHAAPDEVNAVVRPASFNCALVSENLDQKHIAPTDLNTQSAKLRFSLETALHFLHIPKVIAKVLSKEIVLFQSEKLNPDISSKNSVLTVENLLVESHNLDFIRPSYEAKLILYSEDEPFCVIIPCQVIPGSIHHLIAKPKDFMKQLVPGNVIQDLELEVDNRGCIDLSGLLKDSTGYAETVSLSVLSNEKIVFSEKSQTDKRMIRVAIEIPEKCFAGSQLENVVFEIVKSNGVIDETINDNEKGDMSHALIEGSFSFLAAHSRYPNLNMTITVLVEKAPEMVYDSSQPQCTDEDHLFLEKSSNLETPKFQHDDRLIELVTSPESAKDIENIAGSLNNEQNELKDKILKYATYIGEHEKTIKMLNSQREAFEQALAKMQASLDAISDGDSSYSPDKERMLKEIELSHTAASVVCKKSRNKRTCDFSKDIIVVVALLGNVETNELGRILAEFLGEEQMLGVVCKALKAPYNLETCDVDVSVKGSTGLQALASGCGISLDCRYSVICLEDTRPYEGDYDSGDPQRMLLLPNTTLPNGNCPPGFIGYAVNMIYLDANQLYTRTCGGKGLRETLFYHLLGEVQVYKTRESMIKALEKSCIQHGAVSLDGGIIRGNGVISLGRREPSIHFPVASKSQYRRSAKTNNQRIQRLIDAKKSMLRTTVDKLDKKNEMYEQDMKKYQKYRDKYTKFLDQKGPLLRYFNEVASNSPS >KZN03110 pep chromosome:ASM162521v1:3:41347660:41349788:1 gene:DCAR_011866 transcript:KZN03110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALRLLYGHCCKPTTADDHFAGHHSVSAGVSALAHDLYQFEITSQVPDGLSKHVVSSKKAQANWYRKISETWREAKPPPKTPEEASRLIIQTLKRHQKADVEGLLAFYGLPLPHSLVELSSEVPQSRPEGLKFELHTLPVDVKAVADGDTVTVYVSTMDPRESSSVPKEVQVAAAQRAKARAKRNYPEADALHKKITDMGYRMLPINNEEVLAKKYRIRLRGIDAPESKMPYGQEAKEEMVKIVAGKCLKVLVFTEDRYGRCVGDIYCNGVFVQESMLKKGLAWHYTAYDRRPELEKWEKEARAKRIGLWASSNPEKPWEWRKNRRDGN >KZN03288 pep chromosome:ASM162521v1:3:43214321:43214683:1 gene:DCAR_012044 transcript:KZN03288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDMSEYWTTSSVSSSEIKNSVSSETEETEDIYATVMMMPTLQITDSEDEITENSSSVCTVCMEGFNCNYVEGEGKIIPCGHVYHVDCIAKWLSLYSSCPLCRSVVSGGDLKMYICDDC >KZN01811 pep chromosome:ASM162521v1:3:25523639:25523953:-1 gene:DCAR_010565 transcript:KZN01811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDRPEGQNQHHRKHVRRNQISFSACLPTDVRGIYADSICAVKYSSDPFSDIRESVLEMIETVGVRNWNELEELVYCYIALNPTEVHHLIEQAFVSVFISYAT >KZN01964 pep chromosome:ASM162521v1:3:27444038:27455244:1 gene:DCAR_010718 transcript:KZN01964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDQVLLVSVNKESKICKWDGFMEEMKKMSCIAMPMVLVTVSQNLLRVISMMMVGHLGELSLSGAAVATSLTNVTGFSLMFGLASALETLCGQAYGAEQYKRLGIYTYGAIISLLVLCIPVSFLWLYMDEFLVLIGQDPLISHEASIYAMWLIPTLFPYAILQLLVRYLQSQSLILPMVLSSIAALILHIPLCWALVFKFNLGSSGAALSLGFSYWLNVILLGIYVKYSSSCEKTRVSFSSDVFLNIREFFRFAIPSAVMVCLEWWSFELIILLAGKLANPQLETSVLSICFTVTALHYYIPYSFGAAASTRVSNALGAGNPNAAQLATMTVMVLGAAEVIIAVSFLYCCRDVLGYAFGYEKEVIDYVKDMTPIICLSMIMDSLQAVVSGIARGTGWQHIGAYVNLGAYYLVGIPVALISGFVLHLGGKGLWSGFLGGALVQCVMLSVITSCTDWQKQARKARERIFEDEFKYEHQHFAFRNHSSYNIGMSSCGDFSNDFDMQLIGNFLSFASRGDRVGLNMMLREGISPNVQDYDKRTALHLAASEGHSSIVELLVQYKADVNLQDRWQRTLFFVNIKPLTDARLYGHRDICRILEVNGGRDTINHHSMTVRPEEESNEVDIDMSELNMQYSTSIKQGVYGDSEKVKWRGTWVVKTIFKREISHPVNMVLTATDNTNLRELRHPNILQFLGSILHGEEMILITEHLSEGNLENILTKKTRLDLATAMRYALDIARGMNYLHGHKPFPIVHNHLDPRNLLQDEGGHLKIGEYWVQMVYKRIPPNQDSSQRTNISGIINNSSNNTERDVQSFGFIFYEMLEGRQLKRSNSGLINLTSVDELKFPLSRCPVRIQQMIQHCITKDPSIKRPTFDGIIDTLEEVSQRLGKAACPVC >KZN00530 pep chromosome:ASM162521v1:3:6147047:6148837:-1 gene:DCAR_009284 transcript:KZN00530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDQFTFGSVIRACANMSDDVELGRQLHGNFMKSEFGSSLIPLNALIAMYNKYDRIIDASSVFSRIKTKDLISWSSMISGFSQLGYELEALCCFKEMISLGVYQPNEFIFGSILSACGSLRHPQCGRQIHGMSIKFGLGGNLFAGCSLSDMYAKCGLLHCAQIAFFEIESPDLVSWNAIIAGFAYGGYVNDAISFFSRMRHMGFTPDNITIRSLLCAFTNPYTLNQGKQAHSYIIKHGLSSDVTVSNTILSMYAKCLNLSDAFGIFNEIKSNADLVSWNAILTVCMHHKQAAEVFKVIKMLLLSQNRPDHITLAIVLGACGEISSLEMGDQVHCYATKSAHKVDTFVANGLIDMYTKCGSLMLDAGFVPLRK >KZN01552 pep chromosome:ASM162521v1:3:20415608:20419372:-1 gene:DCAR_010306 transcript:KZN01552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSILNSNLLSSARSSSVPYYFRLSAFIFLLFFGAFISTRLLDSTLSSSPVNSPQSSIIVAKTTHDVPIAIATPAIPKSPPRRIEIPIKCSTETDNVTQKCPATYYPENFGRIDLGLLSNPPNECPEYFRWIYEDLRPWRETGITEEMVERARRTANFRLVILNGRAYVETYQKSFQSRDVFTLWGILQLLRMYPGKVPDLDLMFDCVDWPVIRSSFYRRPNATAPPPLFRYCADDSTLDIVFPDWSFWGWPEINIKSWGSLLKDLEEGNSRISWMDREPYAYWKGNPVVAETRMDLLKCNVSDKQDWNARVYAQDWGRESQQGYKQSDLASQCIHRYKIYIEGSAWSVSDKYILACDSVTLVVKPRYYDFYTRGLMPVHHYWPIKDDDKCRSIKFAVDWGNSHKQKASAIGKEASKFIQQDLKMEKVYDYMFHLLNQYAKLLKYKPVIPPKAVELCSETMACPAEGLTKKFMMESLVKGPKDGDPCVLQPPYDPATLQSVLRRKQNSIKQVERWEEQFWDNQKQNKQI >KZN01171 pep chromosome:ASM162521v1:3:12592498:12594458:1 gene:DCAR_009925 transcript:KZN01171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEFEGTAAKECIQQLEYSGSRTDEGRFEEQKMGEVEICTTQMRVKENENADLKSFKIAGTKDSNKNSFDQSAVVENCSVIGQKVTGAQECNVNISHSVHNNSDDIHDARRSGNQVIPKVDSSKERLSATPGHLQNRRTEAEHESSENNGTIAVELEETKQNLEKAKDESMLMATYILSLQKDLERTRQELQHIKEQRMENLQLKPEVEEDVKHVETKSATKYDEMITSHDQIQKVEFLSKKYVTLRNPQPVAKIHEVPQEEEAVLKRHPSLRKKKKKSIPLFGFLFSRKRGDTDAKGATAEEA >KZN02342 pep chromosome:ASM162521v1:3:32225334:32226977:-1 gene:DCAR_011096 transcript:KZN02342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSETHENGYSSVTIPFLHATDEKSQKEEAEVLRLHSAGRTSFFNTCFNGLNALSGVGILSTPYALSSGGWFSLPLLFIIATMAFYTALLIQKTMDADPSIRSYPDIGERAFGRKGRMLASSFMNLELYFVAIGFLILEGDNLHNIFPDGFQLNGLKVQGRESFIMIVALVILPTILLKNLSILSYVSATGVIACVIILGSIIWTGTFDGVGFHQKGVAVNLNGIPIAASLYAFCYCGHPVFPTLYTSMRNRKNFTKVMFLCFFLCTLTYSSMAVFGYLMFGADVQSEITLNLPKSKVGSKIAIYTTLVNPIAKYALMVTPIVHSIESRFRSYCNKRSFSLLIRITLLISTIIVALAVPFFELLMSLVGAFLSMTASIILPCMCYLKISGAYQRLGIETGIIWAILLFGVLIVIAGTYTSLVQIIGQM >KZN03618 pep chromosome:ASM162521v1:3:46371851:46374632:1 gene:DCAR_012374 transcript:KZN03618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTCDRIEPPTPDGVLMQYQYFSDIMISFAYIFITLELLYFANRSKISSYTRVLLLFGAFILLCGATHFINLWTVSNHSKTVAKLMAVTKFSTAVVSSVTAIMLVHIIPDLLSLKRHEVILKRKAEELDKEKCLIIRDKESARHVRMLTRRIRSTLDKHTILETALTELGRILDLVECALWMPSRRNLSMELTHSLSSLMCFGYCVPIHVPAVTEIFNSAKAMRIPHTSPLAKIRCDVRTDYPPEIVAVRVPLLKHSEYEFYDGPEFNANLYAIMVLILPLDGLRRWHRHELELVEVAADQVAVALSHAEILEASMRAGNQLMEQNLALESARQEAELEIHARTYFLTVTKHEMMTPMHAVIILSSLLLETELTPEQRFLMEAIQKNCNLLSVLVDDVLDLSRLNDGSLELDTNIFSVHDLFVEGMDFIKPIASAKKLSVELNLDNDLPVNAIGDRNRLFHVILHIVGNAVKFTKEGQVSLEASVATPEYLELCRTREFCPLQSDSHFYLLVQVKDSGQGIASQDLNRVFSKFLESQRALPHDRGHPGLGLAICKRFVKLMGGHIWIKSEGLGKGTTVTFIVRLGV >KZN03565 pep chromosome:ASM162521v1:3:45837770:45845783:1 gene:DCAR_012321 transcript:KZN03565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHCINAFRNPTILSHSKSIQLIGLVDRNLRGYYEFRQDDPRFIETLIQAFLDEVASTFHSMFMTFFGATRMRRACTNLFHASENASKPT >KZN00994 pep chromosome:ASM162521v1:3:10590733:10592275:-1 gene:DCAR_009748 transcript:KZN00994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPIRNLRSPPALITLRWQLLKNSEILVALQEQQLQDVLCQRIQII >KZN03871 pep chromosome:ASM162521v1:3:49511107:49511556:-1 gene:DCAR_012627 transcript:KZN03871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWLSSFMLLFVALVANVAARDIAKSGLNDQKNVVNFGGLGSYSGVGNNGLPFGGVGGGVGTGADIGGMTGLAGVGGGIGTMPSAGGGLPAFGTGIGGGIGTMPSGGGAGGGLPAAGGVPAVGGGGGYPAVGGGGGYPAAGASTGGLP >KZN01135 pep chromosome:ASM162521v1:3:12095086:12096000:-1 gene:DCAR_009889 transcript:KZN01135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYKQFSRILFWFVLCLGFYSCAAGGTRERKLWIRVKDRWYRNGGSGSIGSGRMGRVTEEMQDTNDGAAFNAVGPQGAVSPGGPVVPGGVVSNSGVDASAGGAGKGTGSGVGVGIGNCGKDWRGNTRCIPGVGIGVGVGGGNGGGGGGGGGVVGVVGNGGAEGGGIASGGGGLGYDLGAKGGYGSVGGGLGYDVGPYKGGSFGNSGGYAEGGGMSYGSGSGGLGYGIGGNKGGNFGNNAGFVPGGGGEGVGIGKGYGEGVGIGSGKGYGGGYGSGGGGGYGNYGGGRHEEAQKEHTLDHSGKN >KZN02191 pep chromosome:ASM162521v1:3:30686966:30691050:1 gene:DCAR_010945 transcript:KZN02191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIITTIITTLFLLSSSSSQASHNSSCSRVCGAHKSTQIHVQYPFGFSPGCEIQLACLNSSVKIEQFRVQNVTNDHILVDIPAQCDRNISAIRPLFGRNFAPSSRNSFLLQNCSRPVKECLIETSLLEDRFQVDTCQSVRSNVSCYVEDRDVEFMSFETLEKTGCSELFTAIAVDLFDNRSVDSSVAIEIQTLELGWLLEGPCKCVAQADCVNLTLGGLQGFRCRCKSGFEGDGFVDGGGCRKVSGCSASGYMSGRCGGTTKVGVLFGGIAAGAGIVAAVALIYYCIRKRTSHLKNQLSAKRLINEATGSSTVPLFPYRDVEKATHGFSEKHRLGTGAYGTVYAGKLHNDEWVAIKKLRHRDADGIDQIMNEIKLLSSVSHQNLVRLLGCCIEKGEQILVYEYMPNGTLAQHLQGERGKGLPWTVRLTIATETAHAIAHLHTATHPPIYHRDIKSSNILLDVNFNSKVADFGLSRLGMTDDSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFTRPPTEINLAAIAIDKIGKGRVDDIIDPFLEPNRDAWTLSSIHKVAELAFRCLAFHKDMRPSMTEVAEELEHVRLSGWAPIDENIGMGSSVSSSCASPFNGSELSVGGTNIKKAGIGSRRVIVTQREVACLTTMEEVSDSSPVSVHDPWLSDQSSPSTNSLLGNITH >KZN01198 pep chromosome:ASM162521v1:3:12984280:12987981:1 gene:DCAR_009952 transcript:KZN01198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQKSSNNNNGSVNKSKLETGKSERSVWLMKCPVVVSNSWKTAADNEPISKVVVSLDPLCPESPSSLQFTMEMANNEAGKMPKSYSLNMFKDFVPMTIFSEVNQGKVAVEGKVEHKFDMKPHNETMEEYSKMCRERTNKSMIKSRQIQVIDNDRGVHMRPMPGMIGFIASNSSSKGKRPAVPVKAPDVKRTRRDRSELEDIMFKLFERQPNWTLKQLVQETDQPAQFLKEILNELCVYNKRGSNQGTYELKPEYKKSAEETAAE >KZN03720 pep chromosome:ASM162521v1:3:47327768:47334449:-1 gene:DCAR_012476 transcript:KZN03720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAVDRNAWASYYANQGTKVVFANGKLGMGASKMGRLAKTLAAGVNIKRRAKGLLPRPVRAGIVGYPKCWQIIFDQPFAEAAHVSSCSKTWCYQITEATKYCVAKTLEMLERKINGRVLSHLLYLMCAADRSIKRRIALALARLCSPEDQESIFIKNRGLHILLDLLESWNLELRQLASEALYKLADKAGSLFPVDTSKPFHAHKIWLLRASDAFQEFFDSGYMEREAQDIEISDIRWEVFELMMRFFHLLHRMIPKMHNYFVKELSRPVQA >KZN01389 pep chromosome:ASM162521v1:3:15364088:15365452:1 gene:DCAR_010143 transcript:KZN01389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKYFHPFQNQNSQPLKVYHKKQKFHHFNNTSQNNNPRQPHVRNPNTSQNTQNAPTGPFQNVYYGVPMAKPSVTAPKCTLVDVSNIGKLDKMLNQVQPGMMVCVPQKGTIHGVLMAAKWDNMIFYRGQNFFVHLLGEFYANLVIQKGLDDVFLLHTVVHGKNMLIDTNTFTRALKLGIKTPYQPCVNIYEKFVFNTKEMELFLGFFCDCDVPKGLCDQNIGIDYRHFTTLYQQLAIIIRANIMPKSKDVHIFDFVDLKVMFQVVTNQIEFNVNYVIILNMIIAFQENYMPYGLLLTSVFELYHIPMPRILSDKVDYCNLMNIVRPQIPLKDCTAMLVKPVVIAAPAVVLIDKPSNNMDVKTEIEELKSELKDLKDSHEKLLARIELLEAKGNNDSTAGNLEGEDDRIASLFEDGMVHEMVVVEASGKDVDSLPDINVLSDDLGFVAVEGPTEK >KZN02380 pep chromosome:ASM162521v1:3:32858105:32862048:-1 gene:DCAR_011134 transcript:KZN02380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSSLAHTLHLPYAFPPQRRRLIGRRQLCFYSICSNHDTQSVGEILMHSIPRRKLFTSLFIYSSCLHSSSYSSAYALGDPSVTIEQVTPPVFPSGTLFPPEQRIVQLFEKNTYSVVNIFDVTLRPQLNVTGVVEIPEGNGSGVVWDEQGHIVTNYHVIANSLSRNPSSGQVVARVNILAADGVLKNFEGKLIGADRAKDLAVLKVDASEDLLRPIKVGGSSSLKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIYTQTGTSAGVGFAIPSSTVTRIVPQLIQYGKAVRAGLDVDFAPDLVANQLNVRNGALVLQVPENSLAAKAGLLPTTRGFAGNIVLGDIITAVDDKPVRSKAELYKVLDDYSVGNIITLKIQRGSENLEVPIVLEEKSS >KZN03585 pep chromosome:ASM162521v1:3:46027353:46029080:1 gene:DCAR_012341 transcript:KZN03585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDFGLSSPNIIQTDNRPLEVLENDIYTRVEHISTSKVKEPSERNPLCSGIMHGINEGKMEDKGLIVSENGMNNRNKAIRAQHYVGAYGEQPSSRAPEVYFPVKNKADFNSASLFELLSRYERGGKKLKDENLSNRSKIATSDQKLTTEEIIRVAAERYIQFQNKDLEGITTFIHPRGSVLSSLSVEETRGANLAHLLLAAADKILDGNFDSARRLLTNCDCKASKSGNPIERLASYISEALQERIHREKGSRKIGIASEKDRAPNNGLSTGLDQTVLAAHMKIPFSKALHFASTQTILEHVTTETSIHIIDLHIRSGIHWPPMIQALSERKVHQVQLLKITALDTEDKQKVEDIGKRLESFADSLKIPFSFDVVTVDDMSYLRKELFDIQSGEAVVIFAPTLLRTMIPRPDKLETLMRVIRELNPLIMIIYEVDANDNSTSFINRFVDSLFFYSTWFDCLEDCLDRDDQYRMNLEKYYFGSGIMNSIANEGEERITRSVKIDVWRSYFARFQMVEVEVPGSSFHQAEMVLKKEFSCARFCTLSTDSKCFIIGWKGTPMFSLSTWKFKQAPSYF >KZN02133 pep chromosome:ASM162521v1:3:30032243:30034666:1 gene:DCAR_010887 transcript:KZN02133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDEECNKAFASDYLLLDPQKFGIFELYHILFSSDLEKRDFMERHPEGKDCKEENEKHRWIIFASLVAQKLLLCTAKPMAWLGSKIEYWLNLVQANRSLFGLIKNFVQCNVTYPIEGSASFLSFTGCMDTRVELDQSIKPGDCRYYGALAVMASKASYENKAYLEKTVKDHWNMELLDSFDFYNHYQNKRTTQAFMCRDKNPDNELIVVAFRGTETFDADAWSSDIDLSWYELRSGTELRPGTGKVHGGFMKALGLQKSKGWPEKLDQIDPEKPLAYYVIRDKLKELLSANENAKFIVTGHSLGGALAILFPAILAFHDERLLLERLQGIYTFGQPRVGDKLFGDYMEGLLDSYKIQYLRYVYCNDMVPRLPYDDKSFLFKHFGRCLYFNSFYKGQVVVEEPNKNYFSPLYAVPQTLNAMWELVRGFYYAYSKGPNYKEPGILQVLRIIGLAIPGIPAHMLKDYVNACLSASSELYMQLDLHKTNGSSLDQDQLSN >KZN01558 pep chromosome:ASM162521v1:3:20491171:20491743:1 gene:DCAR_010312 transcript:KZN01558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTDDKSKKKAMEAAADCYGIDSISVDLKEQKITVIGEMDSVGLVKRLKKVAKVDIISVGPAKEEKKEEKKVEKKEEKKEVPKEEKKDVKKEEKK >KZN01937 pep chromosome:ASM162521v1:3:27190893:27197660:1 gene:DCAR_010691 transcript:KZN01937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSSCFSKKKAEPDESGKVKRKYNMGIIFRYVEWSDLWLMFLGTIGAIGDGMSTNCLLVYVSHLFNTLGYGKNPEKNANFMEEIQKCSLYFVYLGLAVMVAAFLEGYCWSKTSERQVLKIRYKYLEAVLRQEVGFFDSQEATTSEIVNSISKDAFLLQEVLSEKVPKFLMNTSVFISGLAFSIYFSWRLALVAFPTLLLLIIPGLIYGKYLIYLSKKSFEEYSKANTVLEQAFLSIKTIFSFTAERSIVDKYSAILDQTMKLGIMQGIAKGLAVGSTGLSFAIWGLIAWYGGHLVMYKGESGGRIYAAGISFILAGLSLGVAIPELKYFTEASVAASRIFQRIDRIPEIDSEEKDGLVLEKIRGEVEFKHITFTYPSRPTCIVLKDFSLKIAAGETVALVGASGSGKSTAISLLQRFYDPDGGVVSVDGVDIKKLQMKWLRGKMGLVSQDHALFGTSIKENILFGKHDATMDDVLVAATAANAHSFISRLPQGYDTKVGERGALLSGGQKQRIAIARAIIKNPVMLLLDEATSALDSESEKLVQTALDQASMGRTTLVIAHKLSTVRNADVIAVMSAGCITEQGTHHDLINKHNGHYAKLAKLQRQLTIDEQEQVDQSARSSTAISSASRLSPGLFSSPLQTIDDKPCPKFNNPPQSIYRLLSLNSPEWKEGLIGSLSAAVFGAVQPVYALTVGGMISAFFLTNHQEMNARIRTYSIIFCSLSLISIIVNLLQHYNFAYMGEKLTKRIRIRMLKKIFTFEAAWFDEEQNSSGALCSRLNNEAALVKSLIADRVSLLVQTISAVMIAMVMGLIVAWKLALVMIAVQPLSILCFYARKVVLSTMSANVVKAQNQSTQIAAEAVYNHRIVTSFGSIGKVLQIFDEAQDEPRKEARKKSWLAGIGLGSAQGLTFMCWALDFWYGGTLVNAGEISAGAVFKTFFILVSTGKVIADAGSMTSDIAKSSTALTSLFSVLDRQSLISRTHNVGERGALLSGGQKQRIAIARAIIKNPVMLLLDEATSALDSESEKLVQTALDQASMGRTTLVIAHKLSTVRNADVIAVMSAGCITEQGTHHDLINKHNGHYAKLAKLQRQLTIDEQEQVDQSARSSTAISSASRLSPGLFSSPLQTIDDKPCPKFNNPPQSIYRLLSLNSPEWKEGLIGSLSAAVFGAVQPVYALTVGGMISAFFLTNHQEMNARIRTYSIIFCSLSLISIIVNLLQHYNFAYMGEKLTKRIRIRMLKKIFTFEAAWFDEEQNSSGALCSRLNNEAALVKSLIADRVSLLVQTISAVMIAMVMGLIVAWKLALVMIAVQPLSILCFYARKVVLSTMSANVVKAQNQSTQIAAEAVYNHRIVTSFGSIGKVLQIFDEAQDEPRKEARKKSWLAGIGLGSAQGLTFMCWALDFWYGGTLVNAGEISAGAVFKTFFILVSTGKVIADAGSMTSDIAKSSTALTSLFSVLDRQSLISRTHNVEDGTNGIKSDNMTGKIEMKRVDFAYPSQPDNLVLREFCLEVKAGTSVGLVGKSGCGKSTVIALIQRFYDAERGTIKVDGVDIRMLDIEWYRGKMALVSQEPVIYSGTIRDNIVFGKLDASENEVVEAARAANAHEFIACLKDGYETECGERGVQLSGGQKQRIAIARAIIRNPTILLMDEATSALDVQSEQVVQEALDRVMVGRTTIVVAHRLNTIRNLDSIAFVSDGKVLERGSYSQLRNKRGAFFNLANLHNL >KZN02675 pep chromosome:ASM162521v1:3:35743948:35744601:-1 gene:DCAR_011429 transcript:KZN02675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEPTMKLNDEKIVNEQLEEPCDMETENVVNSATLLPVSAPSRVESTDSPLVLSSLPTEPQKVSGSDTGEHDSGLKILSEGGPCTPKDALFDPLASGPDKLLAAPFWKRCRSSACILSSSLKCMETELSEGDATRKSENGMVDAVDEDSLGAMMSNQVKGISVSDDGRVTPTSVNPLSDVAETCPDAPERNGGNSKKTSKKKLIFGTAGLCRRLDF >KZN03566 pep chromosome:ASM162521v1:3:45852692:45854666:-1 gene:DCAR_012322 transcript:KZN03566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLDNPNGPFLAKPLFRHDRAYVTFLAGNGDYVKGVVGLAKGLRQVKAAYPLVVAVLPDVPQEHRRVLAEQGCIVREIEPIYPPDNQTQFAMAYYVINYSKLRIWQFLEYSKMIYLDGDIQVFDNIDHLFDLPDGYLYGVMDCFCEKTWSHTPQYKLGYCQQSPEKIKWPVAELGQPPQLYFNAGMFVFEPNYSTYERLLETLKITPPTPFAEQDFLNMFFRDVYKPIPLIYNLVLAMLWRHPENVELDKVKVVHYCAAGSKPWRYTGKEEHMEREDIKMLVNRWWEIYNDESLDYNKKAAVANADPVNILAVALSGSAAVLGAPAAQPKRAANYLMAPPAA >KZN02930 pep chromosome:ASM162521v1:3:39280196:39280798:-1 gene:DCAR_011686 transcript:KZN02930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTIFLASFLVILVTSPLVASAETNKVLDIDGHELRRDTNYFILPVVRGNGGGLKLYSGRTSRCPMDVVQEPNELNRGIPVKFSPVNPKESTIRVSSDLNVKFSGASICAQSTVWKLDGQRFVSTGGVVGNPGGATVSNWFRIEKLPGRNQWYKLVYCPAVCNTCRPVCGDLGIVIEKSGTRRLALNAGKPFQVFFKKA >KZN00989 pep chromosome:ASM162521v1:3:10536685:10536923:-1 gene:DCAR_009743 transcript:KZN00989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLVLKLNGGLGTTMGCTGPNLPISKFPVEGSQQLCP >KZN01803 pep chromosome:ASM162521v1:3:25372038:25377737:-1 gene:DCAR_010557 transcript:KZN01803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCLQALAGGPLVQARNFAVMTGVNAGITCVMKRIRGKEDVQSSMVAAFGSGAMFSLVSGMGGPNQAANVVTSGLFFALVQGGLFKLGEKFSKPPVEDVLYNKTRGMLSSLGLQNYEKNFKKGLLTDNTLPLLTDSALRDVRIPPGPRLIILDRIKRDPALKETRGR >KZN03251 pep chromosome:ASM162521v1:3:42897532:42898934:1 gene:DCAR_012007 transcript:KZN03251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTKDVRVDVKLNKQIWSRGIRSVPRRVRVRIARKRNDDEDATEELYSLVTVAEAPTGLKGLGTLVIEEDDE >KZN02051 pep chromosome:ASM162521v1:3:28878709:28879324:1 gene:DCAR_010805 transcript:KZN02051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPPSLRELRGTHAEVYPSLVKQAWKTEGMAVVLIHGVRQAIQDMNINKVLHMCLHRPSSEVQLTFTKDTSTYAAF >KZN00126 pep chromosome:ASM162521v1:3:2173050:2180478:-1 gene:DCAR_008880 transcript:KZN00126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGWGLATDGKFLFGTDGSSTLYQIDPLNMKVIQKQVVTYNGYEVHNLNELEYINDEVWANVWQTDCIARISPKDGVVREWILLQSLREGLLAAGRKNFDVLNGIAWDKENDRIFGEMKPIFCGNFEYDARQSDLERLFKKYGKVDRVDMKSGFAFVYMEDEGDAEYAIRKLDNLEFGRKGRRLRVEWTKQERSMRKPENSRRSAANSRPSKTLFVINFDPYHTRTRDLERHFEPYGKILNIRIRKNFAFIQFEVQEDAIKALEATNMSKLMDRVISVEYAIKDDDDRVRDRSPRRRNDDRGRSPSPYRRERGSPDYSHGPGRGHSPSPYRRERASPDYGRGGSGTPNGKARKSSGRGGSASPNGRDRKSGYGRGGSRSPNGRDRRSDFGRGSGSPVGGDRKPEYARGGSRSPNGRERRADFGRGSRSPVGDRKPDYGRGGSPDRRGRKAAYDVVQSPSPPNGRERISKHGHGRITSPEREVVNPEPVRRSIPKERLTPEYDHGHSPSPPTEKLSPDGYNREASPDAKPEIGDSPGYSGGDSPMHERYSR >KZN02828 pep chromosome:ASM162521v1:3:37811657:37818227:-1 gene:DCAR_011584 transcript:KZN02828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAAAHLVSCSVSSRSNNANTRRGARTRSPPQIHFFHTSIHKKRFINKCALSSDSDQGLAFTKGSSIVIPAEAEASYDIAPSVQTELIMLSLPAIAGQAIEPLAQLMETAYIGRLGSLELASAGVSISIFNIISKVFNIPLLSVATSFVAEDISKHSTQGFSSAERMQLPSVSTALVLSIGIGLLEATALYFGSGTFLSIMGISTASPMRISAHHFLQLRALGCPAVVLSLAIQGIFRGFKDTETPVKCLGLGNMAAVFLFPILMYVFHLGVTGAAISSILSQYIVTLSMIWHLNKRTVLVPNLEKLQFGGYLKSGGFLLGRTLAAVMTVTLSTSMAARQGPLSMAAHQIILQVWLSASLLADAQSSSSQALIASSFAKGDYSRVREITYISIKAGLFTGIMLAIILSLSFGSLATLFTKDAEVLNIVRSGLLFISASQPITALAYIFDGLHYGISDFMFAACSMMVVGALSSAFLLWAPPLIGLSGVWSGLTLFMALRTVAGLMRLSSKNGPWWFLQDVHGTEVAV >KZN00839 pep chromosome:ASM162521v1:3:9151442:9159168:1 gene:DCAR_009593 transcript:KZN00839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRRKGNADGDAPAKKFQKKSYGKESNDNDADTTVVCEIANNKRVSVRNFNGMVLVDIRETYVDASGEKCPGRKGISLTLDQWNILREHVDEIDRAVAENSVQLTASNRIFPGRIRRAVYSRPLFKYLRASFSASVARPALENRGSSSSDFLRDKSMVPDADPPSTKDINLLYQFFDRSTKLVVLTGAGISTESGIPDYRSPNGAYSTGFKPITHQEFVRSSRARRRYWARSYAGWRRFTKAQPSAAHLALAALEKASKISFMITQNVERQSFYCILLHHRAGSSPLELHGTVYTVGCLDCGFSFPRQLFQDQVKTLNPKWAEAIESLDYDSQSDNSFGMKQRPDGDIEIDEKFWEEAFNIPTCSKCNGILKPDVVFFGDNVPKDKADAAMEAAKGCDAFLVLGSSVMTMSAFRLVRAAREAGAAIGIVNLGVTRADGIVDLKINARLGEILPRLLDTGSLSIPASAL >KZN02894 pep chromosome:ASM162521v1:3:38710582:38711082:1 gene:DCAR_011650 transcript:KZN02894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPPFTCSSFPLASTQLLPIPVSKPGTTTATLSSVPCNTPSTPSSATPTIKTSILSNLSTQQPSLPTSTPCDVVLPYRKSPHQPPYSVSILPCCDPKSQPFSLTYNPHTDQSPSLSSLDHNNQPLSNPSVCAHQQSLSLTRTPPPATQHHLRQSTVQTQHSSHPN >KZN03688 pep chromosome:ASM162521v1:3:46933784:46935502:1 gene:DCAR_012444 transcript:KZN03688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNVNESQRVVPLNTWILISNFKLAYNMLRRPDGTFNRDLAEFLDRKVPVNTNPVNGVYSFDVVDRVTNLLNRVYRSAPNNETQRGIIDLQTPLSTTEIVPVIIFFHGGSFAHSSANSAIYDTFCRRLVGICKAVVVSVNYRRSPEHRYPCAYDDGWTALKWVHSRPWLRSGMDSKVHVYLAGDSSGGNIAHHVAVMAAESGVEVLGNILLHPFFGGEERKDSETRLDGRYFMTLRDRDWYWRAYLPEGEDRDHPACNIFGPRSRSLNAIQNFPKSLVCVAGLDLVQDWQLAYVEGLREAGKEVELLYLDEATIGFYFLPNNDHFFTLMDKIRDFVNPSGQ >KZN02043 pep chromosome:ASM162521v1:3:28741165:28748171:-1 gene:DCAR_010797 transcript:KZN02043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAISHIRNSISQTLTNPTQLPSCYLLTSHSQARLRHRESKSDESNTDPNTPPRLFVVQPKIRPDSVLKLKLEEALNLANSLEEQRDGFYATEFSDKDLPSHLVVQNPAARTTRADTYFGLGTVNNVKCHLNAADSGDGFDAVFVNAILSGVQQRNLEQAWGKPVLDRVSLIIEIFHAHAQTKEAKLQAELAALMYKRSRLVRVRGPGGRYTFGGEVEVVSARGRGSGGRGFISGAGETELQLQRRRIIERRNQLLSEIKEVRRTRALQRASRKRQGRSDGQDMATIAVVGYTNAGKSTLVSALSDTYLYSDDRLFATVDPKLRSVVLPSGRKVLLSDTVGFISELPVQLVEAFHATLEEVVEADLLVHVLDSSAPNLDEHRQTVMQVLEQLGVSKEKLQNMIEVWNKIDIGEKQIDGDETGAGEDVEFEHLSDDQEDNSNADVEELSLGNDEGDYSDGWLASENGQVTLSDNDGSSLGCKNTNDLQENPCMIIDNGSQCQPENVGPHVKTSALTGVGLQELLELIDERLEVQKVVHRSMFNSKWRPLNADEAVVAAEQ >KZN00963 pep chromosome:ASM162521v1:3:10345821:10346093:1 gene:DCAR_009717 transcript:KZN00963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAASKAWIVATSVGLVEALKDQGFARWNYPIRCLHQHAKANLPSLSQAKSFSHDPIIGRLLNTTQDKAKQSEESLRKVMFLSCWAPN >KZN00292 pep chromosome:ASM162521v1:3:3903262:3905523:-1 gene:DCAR_009046 transcript:KZN00292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVNHNVAARLLPCALVLLSVTLNLAITAFSAPTEAEVLLKFKASLGDSVAALSSWDPAKPPCPGGSWAGVLCQDASVWGLKLQNMGLKGKFDMEILKDLPLLRTISIMQNEFEGAFPNVRAISALKSIYFADNKFFGEIKADAFDKMTGLKKIDISHNQLTGSIPTSLTTLPLLTELKLQQNSFTGQIPNFQQDRLTLFNVSANQLDGPIPDKLTKLDATAFMGNDELCGGPMPPCPSPTKVPVGTIILCVLLAVAALASIFVAIGILRRRKEDPVAQNQNVPPASQVHKKGPSVDMDSVEKGVPPAESEPVKNSNQISVRLTFLREDVEKFDLPDLLKASAEILGSGVFGSTFKAAIGASRRVMVVKRFRQMNNVGREEFQEHMRRLGRLRHNNVLPLVAFYYRKEEKLLVTEYIDNANLSVYLHGNRGRGVPSLDWPKRLKIVKGVARGLLYLYNELPSIVAPHGHLKSANVLLNDSWEPLLTDYALSPIVNQDQAHELMIAYRSPEYKQQSRITKKTDVWSLGVLILEVLTGRYPSNTSPKERGNETDLAAWVQSIDRADWKAEVFDKEMAGKKNREDQLIKLLEVALGCCEPDMEKRWEIKEAVERIEKIKE >KZN01571 pep chromosome:ASM162521v1:3:20761602:20761754:1 gene:DCAR_010325 transcript:KZN01571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSGGEVGGSVGMGVTAKVEICAGGGRGGGGGGVGVDVVGSDGGGGLEG >KZN02778 pep chromosome:ASM162521v1:3:37216341:37216922:-1 gene:DCAR_011533 transcript:KZN02778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAPSSSRAQKLVHDPPDHSLFSPLIPRPSSRRTLSLSSSPSFSSSNSSLASFSLDENNSPFSPSTPLHSGQVPFSWEQIPGIPKNKHLSNKKCNDISLLPPPPAANLAQKTKSFRKDPFFAALVECSKGNDGQGSIAHFWKSSRITRTLSDRLGFVSMYTNSCKSTCAVSKSLVYLPRSSHNTYHTPSSG >KZN02926 pep chromosome:ASM162521v1:3:39133170:39145081:1 gene:DCAR_011682 transcript:KZN02926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGEKKSKANAPVNPEAQERNRLKNLAISNNILTTHASTSEPLNVSKLVAKHHGTDILKKSHRKNKFLLSFPGLLAPLSGGKIGDLKDLGTKNPVLYLDFPQGKMKLFGTIIFPKNRYLTLQFSRGGKNVMCEDNFDTMIVFSEAWWIGNKDENPEELRLEFPKEMNMGPHAECDFKGGAGAACRRKQTIKQTGSDNIAKGISKTELHDDLSDSSNDLTHLEDQIMLTPSRHSARTAKKSYKFVEASSEDDSVEDITGTSEGKKEIADPEYSKTKCTSKKTDDSDPMSHDIDNADAAVHTSAKSVTKSKDISNSNKSSLVQATISTLFKKVEEKKVPSKKQDLSSKESGKSLPQKGSKRKSDQVRRVQQGRKSSRMKMTLKSSQVHRRMIQLEVTVIGLPDIKSLQRRQDAGTIFKFIYYLHAAAALSQSVYSYFGATLELLRLTNMEIEFAGYKCGKRRLS >KZN00707 pep chromosome:ASM162521v1:3:7799774:7802752:-1 gene:DCAR_009461 transcript:KZN00707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSLSREKSFHKVPGKHSLEDGGGEPPYCLRSFKRHKVSASPQFHTKIGAVASELHGTCNAGVTIVESSFETLKGEPRNLPLKTSSIDLISGSMKPSVLQCKASAMNVEMEILRVPEKVELVNTARFSDTSSMACVLPRKYPPPKIRKGVTVFREFPQNCGRGKLDMFPTFKVNNSEKKQMMFEAEKQQIRGGSCNVGSEFANKLEEKMSEDIDDKVAAESEIATQANKAELFDKVCYSDCKLNQEASIDTIMIASFPPSNSLQANSSSKLTSNTYGVRMERDENDPDKDSINNSLAMHLVNNEYVDMAYSVGGTSISQQKVKTSYFAAATGATVGYGDKPYELMGHEGSYYLLLKHNDAKSNDGGSATRMGVTTSNYEAATGATDECSKKVYEVMGRKGYYYLLLIPDNIIFDDVTTAAQESQKGVKTSDPAEATVATDGCNETMYELMGQKGSYYLLLKQDDTNNHVPYVEADVHNVEAGIHNVEPALDRKENNSTHSGKPSINLTVNSNQEALRRSKVREVLNLFRTTLKERLLEEQVTRKSRSKTRTISSHIYEDVAMLLKQQNEWIYMNERVLGAIPGVEVGDQFQYRAELVIVGVHIQFVTDIDYMEKDSKKIATSIVSTRFYSDDEELTDVIYICEGGNRTLAQGRLALKNSMDERSPVRVIRGHRCLEGKSTSYTYDGLYIVSKFWQERWQNGNLVYMFQLNKMQGQPKLRVNKQGKHGKSKACFYRTLIDDISYGKEKMSIPVVNDIDNDKSPTFNYITKMVYPPLRKSANARGCRCIGGCSDDVQCSCVVKNGGTIPFNEDGAIVKAKSIVYECGPSCKCPPSCTNRVSQHGLKIQLEVFKTEMRGWGIRSRNFISSGSFICEYVGELLDDKQAEERIGFDEYLFDIGDEDGFAIDAANFGNIGRFVNHSCSPNLYAQDVLFDHDDKMMPHVMLFATKNIHPLQELTYDYNYKVGHVSDSNGNVKEKACHCGARKCTGRMY >KZN03674 pep chromosome:ASM162521v1:3:46788614:46796810:-1 gene:DCAR_012430 transcript:KZN03674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFVLVFVVILFVFWLICRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRDYYMQFEAQQSQFLVDQRIKAHLGQTPVFQQVGGVYNQMRPNFPVLPTPMMPPMQGNMQFPGNAPFVPGMRPPVLYRPGYAPAPGMPPMAAIPGPPSFSTQTDGKLPMAMNPLPMFQGSIPASSGGPPMFAPGMHQSNPPPSSGGLDSPDKDVQTQLPEVSH >KZN00690 pep chromosome:ASM162521v1:3:7653231:7656025:1 gene:DCAR_009444 transcript:KZN00690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGNPSRYVKLTKEQAAPTEDIRPGELNQPIDVHQLNVKKCNECGQPLPESFQFPADEPWSTGIFGCTQDTDSCWTGLFCPCVLFGRNFEALKEEVPWTGPCICHAIFIEGGIALAAATAVFHGIDPRTSFLICEGLLFSWWMCGIYTGLVRQQLQKKYHLKNSPCDPCLVHCCMHWCALCQEHREMKARLSDDVVMPMTIVNPPPVQEMKSDAENRDVPPHSGNDVPPSGNDVEQQTNLEMVAL >KZN03454 pep chromosome:ASM162521v1:3:44765671:44771308:1 gene:DCAR_012210 transcript:KZN03454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVDMIGRRIPFSYLEDVHMRFMKNYGRVALHAPAYAMNDEFSRVLHQQMEFFSSNPSADTINRVRGEVGEIRTIMVDNIEKILERGDRIELLVDKTATMQDGAFHFKKQSKRLRRALWMKNAKLLALMTCLIVLLLYIIIAAACGGITLPSCRS >KZN02171 pep chromosome:ASM162521v1:3:30450824:30456098:-1 gene:DCAR_010925 transcript:KZN02171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNSELVKRLHEILSTSDLNTTTTGIVRRKLEEELGVSLPKKQKKKVKRKGGGGGFAKVCSLSPELQNFTGVSKLPRTEVVKQMWNYIREKELQEPSDRRTINCDDALRALFNVDSINMFQMNKALAKHIWPLESNGEEQEEGEAEVADEEGSDDEKATIKSKKQNKNVKGKGSGGSGGGGFKKVCSLSPELQKFTGVPELPRTEVVKQLWSYIKENNLQDPSDRRNIICDDSLRVLFNVDSINMFQMNKALAKHIRPLDSDASVNSTPKEKQLKHEREDSDVPKRKEKVPKRKEKRQKSCDTEEVATIPLSDALDPFDTGRIISDEKLKELFEVDTFNGLAVTKILKSHFVKAEK >KZN02091 pep chromosome:ASM162521v1:3:29422146:29426143:1 gene:DCAR_010845 transcript:KZN02091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKCFTVSSESSSLKSNGDFMQKHLTIVTHQSSGQTGLISPKLRMKLMDHRREKMVYSGRAEVTFCLRCYQPTDKRLDSFLLFLKDKGVRLDEVEIRKANEDAENNGDGKSSGDDSVEDAASEPLQPDNIPTPPPECPNSRLGAGFMA >KZN02598 pep chromosome:ASM162521v1:3:34949046:34949198:1 gene:DCAR_011352 transcript:KZN02598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNYVLLSNETVNIVAKSCKAEAISPKEPMSGCLLIIEIAKLVALFKAY >KZN00351 pep chromosome:ASM162521v1:3:4417271:4418927:-1 gene:DCAR_009105 transcript:KZN00351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAEKRVQAVSSHLSPPAQLRQPSVTMSEKEAALTAAPSDSPTIFDKIINKEIPSTVVYEDDKVLAFRDIAPQAPTHILVIPKVRDGLTGLSKAEERHCEILGRLLYTAKLVAKQEGLDDGFRIVINDGPNGCQSVYHIHVHVIGGRQMNWPPG >KZN00582 pep chromosome:ASM162521v1:3:6619756:6620154:1 gene:DCAR_009336 transcript:KZN00582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASHPSPHEGHLRSFILVGQPYIRVGVYGGEVDFFDQMVADVISPNLIARIELFLLRHRVPVEAFHADRQQVLLVDRFHETCHFLNPSIYGSARVIHIATGFVHELPRHDCRIILVAFCAFVFMFTDEYII >KZN00971 pep chromosome:ASM162521v1:3:10406268:10407554:1 gene:DCAR_009725 transcript:KZN00971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNLNYPQALNSLTDLQIYNNAVFDNVEAMGASPCSPVKSVIFSDFSKENQSPLSPFDPNPNGANGGSKINLMKEAVRDEKMIDIEIEEIEKEINRLSGRLQVLKLEKEQKNVKIIERRVRIVPAKFMESKQNVRSDKIGDVKKSDESLLLNAKPKGLRRGVSMCPGEILAGSSRRGLSLGPSEIGAGSKFRQMYKPEVTPVESMKNRRKSCFFKLGEIDEMRAIKERGKSCSLSPKSRKALNKTQGSRQVLTTVGSRKGVKKDDGVLAAIQPKNLFKDGEKSVAAKKPVKSGRVIASRYNQSTILSAMRKRSLPENDKDEGRKDVRKRSLSVGKSRESMPEISGIQGTKSCVKKRWEVPSETVVCGQLVVPDVLPKIRTVRHTIDTPRDSGAAKRVAELVGKKSFFSNSGDVDSTVCQELSFVEEE >KZN03486 pep chromosome:ASM162521v1:3:45009920:45010231:1 gene:DCAR_012242 transcript:KZN03486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTRSGTEVHVTHPKDAEDEDEGTNGGGANDARDVEMETCGEDENQTTGDETTNNLYNVTVYYEGHFVMSLISPTQVI >KZN00561 pep chromosome:ASM162521v1:3:6374372:6377228:1 gene:DCAR_009315 transcript:KZN00561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATQIAIWNTTTEAVMNMVKSASDWITFAIDAPSARTVVFGVHIGGHLFVEGLLFVVILFLLSQKSYKPPKRPLTDKEIDELCDEWVPESLIPPITEDMKCEPPVLESAAGTHTVINGKEVVNFASANYLGIIGHEKLLESCTTSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGLSTMFSAIPAFCKKGDIVIADEGVNWGIQNGLFLSRSTIIYFKHNNMESLQNTLEKVTRDNKRAKKLRRYIVVEAVYQQCIILTSTSHLMVY >KZN00065 pep chromosome:ASM162521v1:3:1570586:1572866:-1 gene:DCAR_008819 transcript:KZN00065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLPMLNCLLQQTLRSLCSFNSDSYSSRWVYAVFWRILPRNYPPPEWDHGDGSLERAKGNKRNWILVWEDGFCDFSECERAGNGYLDGRFGADVYFKMSHEVYNYGEGLIGKVAADNSHKWVFNDSPNVNDPSFTSSWNVSLDLQPRAWDLQFNTGIQTIAVISVREGLEYKSNGDNNASQNVRFDECGRPTEVRSCLKVEPSYAMDQMAAHQETTNFLNHNLQLTDVIAAESGFIGPTSASKVP >KZN01733 pep chromosome:ASM162521v1:3:23973532:23975558:1 gene:DCAR_010487 transcript:KZN01733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQDFDLIEERNKITRRNKTRKIITISVVVAVAIIGIVVAAVFVTMNENDGKNDKSTSKNQHNQHHKQDQHKQQDQQKQKDQHPKQDQHPKQDQKPKQDQQPKQDSPVSSKLVSTICAATDFKETCENHLQKVAQSNSSAEPKDFLKSAITVVSDELDKAMDEASNITFDSPKMQAAFEVCKLVMEDAKEEITSSASSLDVDDLGKLASETNDLEIWLSAVMSYQQLCIDSIPEGEQKTKIENAFTTSKELTSNSLALVSHMSSASDKFSSRRLMSNDNLPTWTSEEDRRLLKADAEKPTPNVTVAKDGSGNFTTINDALAALPKDYQGRFVIYIKEGTYEETVEVTKKMVNITMFGDGSQKTIITGNKNYVDGVPTFKTATFAAVGEGFMAQSIGFRNTAGPEKHQAVALRVQSDRSIFINCRMEAYQDTLYAQTHRQFYRGCYITGTIDFIFGDAAAIFQTCNIYVRKPMDNQKNIVTAQGRADKRETTGIVLQGCHIMADDDLKADQSQFKTYLGRPWKEYSRTVVMETEIEDVIDPAGWLEWQGDFGLQTLYYAEYNNKGPGAETKDRVKWPGVKVIEKDEANQFTVSSFLQGESWLKGDHIGVPVRFTLSE >KZN02713 pep chromosome:ASM162521v1:3:36402742:36408094:1 gene:DCAR_011468 transcript:KZN02713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEPVHFDKITARLKKLSYGLSFDHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIVVSNLHKNTKKSFSETIKDMYSHVSQRSGQPAPLVADDVYEIIIKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIDSAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAVISKSAGGIGVSLHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKVQSNGEWSLFCPNEAPGLADCWGEEFENLYTKYEREDTCNRKSNQQNLGTIKCSNLCTEIIEYTSPSETAVCNLASIALPRYVREKGVPDESQPSKLVGSLDFKNRYFDFDKLAEVTTIVTANLNKIIDVNYYPVETARRSNLRHRPIGIGVQGLADAFILLGMSFDSPEAQQLNKDIFETIYYHALQASSELAKKEGPYETYVGSPASKGIVQPDMWGVTPSNRWDWDALRQMIADNGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLSDLTEMGLWSPNLKNRIIYESGSVQKMSEIPNGLKDLYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSRGLKTGMYYLRSRAAADAIKFTVDTSMLKEKVKQQDDDDTTKLAQMVCSLSNRDECIACGS >KZN00438 pep chromosome:ASM162521v1:3:5293629:5294009:-1 gene:DCAR_009192 transcript:KZN00438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSKKKILKAKTMKNIVRKIAAIIEREDREEELEVCQKEDDYWREAGEGIMSRAAKKREEKSKKLEAAAARKAENRLLAKLEVEKLTATRHTEKLKKQEEEAARKAEFLAELEKERLESTVEWDW >KZN01384 pep chromosome:ASM162521v1:3:15228689:15233619:1 gene:DCAR_010138 transcript:KZN01384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFVLSQRGDNIVFRDYRGDVQKGSAEIFFRKVKFWKEDGEEEAPPVFNVDGVNYFHVKVVGLLFAATTRSNVSPSLVLELLQRIARVTKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTEVLKASVFNEPIMIDAGRLPALGPASIFMQGTKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRVALNDDLSIGRGGRSIYDYGGSSGAGAVILDDCNFHESVHLDSFEVDRTLSLVPPDGEFPVMNYRITQEFKPPFRINTLIEEAGSLKAEVSLKIRAEFASSITANAIAVQMPLPAYTTRQILTCASMDPLLNSLGSPRVSFELEPGAVGQTTDFKESNKRLEWNLKKIVGGSEHTLRAKLTFSQESHGNITKEAGPVSMTFTIPMYNASKLQVKYLQIAKKSKTYNPYRWVRYVTQANSYVARL >KZN03949 pep chromosome:ASM162521v1:3:50128277:50128735:1 gene:DCAR_012705 transcript:KZN03949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTPQRQNGSGSDKFDACNRMRLLISDDDDFDENKRKRMQSNREAARRSRMKKQQHVHELITEIGQLQNQCKVIMSKINQVTNMFLGVVSENNASRAQLSDMTKRFHLLKSVVQFVEEAEDLGIDVSDVLMESPKFPCPKQQVPTSANMFDC >KZN01750 pep chromosome:ASM162521v1:3:24537548:24538034:-1 gene:DCAR_010504 transcript:KZN01750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQVGKARKKDLFYLYKVALPAMYALQSENENANLFNCVQRGHQNSMEWMPLFLTFMTLGGIKYPVISSVIGIVYIVSFYFYLKGYSTGDPKKPLSVG >KZN03072 pep chromosome:ASM162521v1:3:40916463:40921068:1 gene:DCAR_011828 transcript:KZN03072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEIVIASSPTDAGISCFDLHSGAEHLRYRTCASPPHSLISVGGRYLAASQLRDAAATSGSVFYWSWNKPQVEVKCFPSEPINALVCDDDGSFIIGGGVSGDIYIWQAATGRLLKKWHAHYRAVSSLVYVDQTTLISGAEDGCVRVWSLLMIFDDFRRNEAKHLFEYSFSEHTLRVTDIVTGHGGCNAIIVSASEDRTCKVWSLAKGKLLRNIVFPSIIDAIALDPGEHVFYAGGRDGKIYIAALNAQLTSTSNYGLYIIGTLSDHSKAVTCLAFAVNGHHLVSGSEDGMIRVWDTRSRNIIRILKHAKGPVNNLLIIRRPPSLYPRTSLHSQSPSLRRPGALVPPPLEKYTNSTDDNADVKAVIIPQAESEIPFHASYISTYTMNSQIKELEQQGSSGATEMEIERLKLDCKRSMHMLQQWKKMYENLNEFCVNELLDGDKSRGPNA >KZN03302 pep chromosome:ASM162521v1:3:43377194:43383760:1 gene:DCAR_012058 transcript:KZN03302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVIPSPTSNVSIIPVANFIRRKNNCSTRCYSLRKYTKQISYAQELPFPLSTSVRYFPHIRSRRLNHPRICSVSATGTDVAVEEVNPPVADEDSSGALENSSGTETSEISSSKEVASPTQGQTKRTRPTRKSDYPPLKNEELVPGASFTGKVKSVQPFGAFVDFGAFTDGLVHVSQLSDSFVKDVAAVVSVGQEVTVRLVEANTETGRISLTMRSSNDANKSQQSPGDGDRTRTPRNAQKSGQRRDDGKKASKFVKGQDLQGKVKNLARAGSFISLPDGEEGFLPTEEEADDGLGSLMGASTLTVDQEVSVRVLRISRGRVTLTMKKEEDVASLDSKLSRGTVHTASNPFLLAFRKNKDIAAFLDEREKDETSVKDAEPIKDVASSTDENVKQDKISKDEVGIVEDAITDASVPVADEQKEPEIIGSDVLDVVDPAVEDAVTDASVAIDEEQKEPETIASDTSDIVDPAVEDDVTDASVAIDDEQKEPETIASDTSDIVDPAVEDDVTDASVAIDDEQKEPETIASDTSDIVDTAVESSEVEAEASTDVLSTEESISSTSVVTDAAPTTEIDIAESEEAIVKEESQVETHSVDSPVETETVELNADESSGGTDSNEQIDAPTTEESAKEEIQATEVVANQIGTSSEADEVPVQIPSTDAIVPPAAPVVGSDVESIPVENGSINNSDGQSNALPEEDATKAISPVLVKQLRNDTGAGMMDCKKALLETGGDVIKAQEYLRKKGLASADKKASRATSEGRIGSYIHDGRIGVLIEANCETDFVSRGDIFKELVDDLAMQVAACPQVEYVNTEDVPAENVEKEKALEMQREDLLSKPEQIRSKIVEGRIRKRLEELSLLEQPFIKDDKVAVKDIVKQTIARIGENMKVKRFARFTLGEGLEKKSVDFAAEVAAQTTAKEIPAPPKEQPAVVETKETNESAPKATVSASLVKQLRDETGAGMMACKKALSETEGDLEKALEYLRKKGLSAADKKSSRIAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSKEFKELVDDLAMQVVASSQVQYVSVEDIPESFVNKEKELEMQREDILSKPENIREKIVEGRVSKRLGELALLEQPFIKDDKILVKDLVKLTIANIGENIKVRRFVRFTLGESTDEETETEVAVEEKN >KZN03702 pep chromosome:ASM162521v1:3:47078809:47080476:1 gene:DCAR_012458 transcript:KZN03702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLMGRRKGSKNRKREWKVGDPVLAKLTGFPSWPAKVEDPEKYGFPVDSKKVLVFFFGTQQIAFINPVDVEAYSEEKKDYLIVNRYTRGSDFHRAILEIVAYSKKI >KZN01479 pep chromosome:ASM162521v1:3:16948066:16950164:1 gene:DCAR_010256 transcript:KZN01479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPESTIGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQNSSVMTIGIIAPVCCVQFHSKSRICLPLVVQTTIHTACIFKILNKHGVFAYHRSFSMPITSHKFSLADDDDGRFVSAVCSQKQSEMLVAANSVGSIKLLHMV >KZN03574 pep chromosome:ASM162521v1:3:45956998:45957606:-1 gene:DCAR_012330 transcript:KZN03574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSSSNHSVSFSGKFIETTVTNNPSVADHWLRSVLLHQKTAQKIIPVGFDCKFNPHPIPSMSNKIATLQLSIETKCLILQLVHMDCMPHCIKNLFDDKRVTFFGVRAKANAAKLCRDYGLKFWRIIDIRDLVKIWFPIRYSGECSLKAIAYDVAGLKMRRRKKNCGIDWEARVLDVELIECACVDAYALYKIAHVCCNDLV >KZN00700 pep chromosome:ASM162521v1:3:7714810:7726544:-1 gene:DCAR_009454 transcript:KZN00700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVDETSTTSQVYMACIVHGHRVGVSYYDSSIRHLYVTDFWEDGSSDFSLLDIADSTSVKYQAKPQVIYTSTKSEEGFLAALQQNDGTSEAPTVKLMKSSIFSYEQAWHRLIYLRVMGMEEGLNIKERVCVLSSMMDVGSEIQVRASGGLLAILENERIVDTLEQQEGGVSITIDSIAEISLNNFLKIDSAAHEALQIFQIDKHPSHMGIGRAKEGFSVFGMMNKCVTPLGRRLLKNWFLRPILDLDNLNNRLNVSICSLLHINKIFEVRTSETLHEQVSLLDLDILEKVGSSISGDLAYVYELVIGVIDVNRSKDKGYETIIKDGFCDELDEMRQIYEELPEFLEEVSALELSRLPHNHVQKHRPCIFYIHQIGYLMCIYEEKLSDTMLEKLQDYEFAANMERAITRDLVSRLQLFSQDLLKAVKFSAELDCFLALALVARQNNYVRPILTSESLLDVRNGRHVLQEMTVDTFIPNDTKILDQGFSDLTIHRVALIVFLSHIGSFVPADAATVGLTDRIFCSMGSKHMTAEQSTFMIDLRQVGLMLRHATSKSLCLLDEFGKGTLTEDGVGLLGGTINHFISMYDPPKVLVCTHLTEIFDEGCLLESDKVKCHTMSVLRPDKNCTDIEDIVFLYRLIPGRALLSYGLHCALLAGIPEDVIRRAAYVLDATGHDRHIERLSCDNISTQDQQYKDSADKMLAFDALHGDLSLFFQDVFPTTS >KZN00196 pep chromosome:ASM162521v1:3:2960462:2961334:-1 gene:DCAR_008950 transcript:KZN00196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEVKLLRSWSSPFALRIVWALKLKGVEFETIFEDLANKSSLLLQYNPVHKKIPVLVHNGKPVCESLVILEYIDETWNAAHPLLPKDPFGRAEARFWAKFSDDKLMPLIKKATIGQGEDKEEARVQTAENLKYVEELLKGKKFFGGETIGYLDLAFGWMAYLINVLEEVSGATLIKKEEFPLLSKWMENFYELPEFKESWPDRDRLITKFKNYG >KZN00251 pep chromosome:ASM162521v1:3:3453947:3455405:1 gene:DCAR_009005 transcript:KZN00251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFSHCTGAKDSNVAPDSPLYTHCLTQLLKPMYRRRILLKISVINIEVQRI >KZN02352 pep chromosome:ASM162521v1:3:32379247:32379696:1 gene:DCAR_011106 transcript:KZN02352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCGSCRKLGHNKRTCQKSAEIGESSANSRTSEMEVIAEASPKTGHVMEPHVTSIQERNVERAPWNFNVNTAELHNTNIGPMKLAKTLPVRKSGYKTTVGGVVVKSAPFSRKNGANVITSSQLKEALAVAKAKIGEGINKNLTMEKEK >KZN01309 pep chromosome:ASM162521v1:3:14292644:14293128:-1 gene:DCAR_010063 transcript:KZN01309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTIKNIRAASLSERDLVAKKLLNKIPPTDCSAPAELQSLMSKEYVFKLSRNKYNIVDGRQNYGVSAVYVSLQELESAYAEKSLPQGELQQLMTTWMLSPMNARESLNLKIFKLFFRAGPCTTLKALLGPSML >KZN01544 pep chromosome:ASM162521v1:3:19738082:19740247:-1 gene:DCAR_010298 transcript:KZN01544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLWKLVYLLEPAPVTLILTAVAVTFGSAFRALNYGKDMEKNRDMSEATITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTTIASASSLYFFLSPFIAHLKSRFGLADPHVCRCCSKAFTRIEGLLLLLCSAIVVAWLISGHWLLNNLLGISLCVAFVSHVRLPNIKICAMLLVCLFVYDIFWVFYSERIFGANVMVSVATQQASNPVHTVANSLSLPGLQMITKKLELPVKIVLPRNLLGGIVPGNSASDFMMLGLGDMAIPSMLLALVLSFDHRKGKVSVSPLDIQSSKGHKYIWYALSGYAIGLITALSAGLLTHSPQPALLYLVPSTLGPIVVISWMRRELTELWEGTPPNMNDKVHLTEV >KZN01397 pep chromosome:ASM162521v1:3:15487563:15487838:-1 gene:DCAR_010151 transcript:KZN01397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDPVRSSPIPEQTRPETSPVAIGNAGSSDSKPRMLRRLSEFRGSVHNQAFQFVKRIEHGKREATKKMEKQWRRWRRCRRIEDAVTQISRN >KZN00400 pep chromosome:ASM162521v1:3:5003594:5013402:-1 gene:DCAR_009154 transcript:KZN00400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITMLRNRKAMVRTVQSLLLGQLVSLVLSVMSFTSSFVASLGVNTPLTLSFTAYLAITLVYGSIFLCRRQKLLVPWYWYLFLGFIDAQGNYLYNKAYQYSSITSVTILDCWTIAWVIILTWIFLGTKYSLRQFLGAAVCLLGLGLVLLSDAGVGGGGGTKPLLGDTLVIAGTLFFAISNVGEEFCVKKKDVVEFLSMIGLFGLLVSGCQAAILEKRVVESVNWSPEVILAFAGYAVASLMFYILTPFVLKTSGSTMFNLSLLTSDMWAVVIRIFFYRQQHFPGSAPAPPVPAFSSSAAPPVSTLPQRGGIAIGVPATPPPNFTNMTPVQPPQFTGQQFSGLARNPVAMPDTGPRSITNQLRQPIQGIQGTGMMGAPSAMRPGGVPAQHQQRPVQPSLRPQANLNTQPPNSQNFQNHSLLRASAVGSPSSSQGLQPHNQPWLPSGSQGKPPLPPTQFRPPSSTQPPQQRSILPPHHLPIANTQQQQHQISSGQQSQAALSHQQQEHYGQQYPPSRIQQSVPHQQQIARGQGIGNQKISSQPMAQSSAIQQNPSGATAVVGSGESCTRILSKRSIQELVAQIDPSETLDPEVEDILVDIADEFVESITTFGCSLAKHRKSNTLEAKDILLHLERNWNMTLPGFSGDEIKGYRKPSSSEIHRERLSAIKRSMLATETTNTKASGGQAGGNVKGHLKGAANIVGSPNPRMRDAS >KZN02639 pep chromosome:ASM162521v1:3:35429349:35431733:1 gene:DCAR_011393 transcript:KZN02639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKEIQHCNSHRHPLTLKENYHPNGDFVCLHCNKAILCKSSVYTCEFNTDKTTINAKAKDADCAGLFLHKNCTDLSIRLMHPTHKHILTNNRVLYEIQRDECNVCSTAIGHYSFFYCCTEKCEFKLCLRCALTPSQNERKIQHWSHQHQLRVVERCATFECDAWNKVATESSYMCDICPFWIHKSCGSLPRLNILIHHKHPLWLSDSLPDIYRQFQQFCKICKVEVDPRHWLFYCANCRFFAHIQCALSRTLVLRHEGADDDAKIMHVPAADEQSLNYMKQTCIKDMISLSVDISVSPPILIDHWAHEHPLALEHKSASVITMDYSNQESSVGPILCDGCTKPISSSSDDANVLYKCNQCSYALHRYCALIPQEMNHSKLGMLKVHKRRALSNKLKCCESCNIFSNGFMFRSEDEIYDVGCVCLPEKIKHITHHHPLKQRSSVNGAVCKACSSECWGWSTIVYGCEICDFSLHSDCALKPRTVPHRWDPHPLRLILSIEDDVEDHPHDFECEFCSQVIDTNTWFYHCNICDLSFHMFCIDPYFVYANVKFGATGIKKEDRDLTLVLNKKGLPALKRRSGRLWKLRD >KZN02254 pep chromosome:ASM162521v1:3:31376988:31378453:-1 gene:DCAR_011008 transcript:KZN02254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEQINTCDLNSDFCIQIANHALLEEADKASNFVISPVSFQIILSLIATGATGRTLDQLLSFLGSKSIDDLNSLSSKVVEITTRQVGDDNNLAASPLASEVTEEVNKWAQDATKGLIKELLRSGCLGSDTALVFANALYFKGAWESKFDAKRSRNKAFKLLNGQIVQVPSMTTKKGERNLYRESNGYKILKIPYQNGEDTRKFAMYFFLPQEINGLKSMIQTFNSTPGFFNQDFYFRKEYLTDGIWIPKFKFSFEFEASRIIKELGLVLPFMDVAEFTELVHSFHGEKVSVSNIFHKAYIEVNEGGTEAAASTAVRLRRGCRIVEPRGFVADHPFMFMIREETSRIVFFTGVVLNPLLAT >KZN02300 pep chromosome:ASM162521v1:3:31823111:31831091:1 gene:DCAR_011054 transcript:KZN02300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPATFFSAIHRPPSNKTSLVSHSSTLSVNLSSTYPPLQALTCSLSFKFNRHSASLTRKTRPVFSPANPRPSLVRCQASSGKVSQEEFTEMAWQAIVSSPEVAKENKHQIVETEHLMKALLEQKNGLARRIFSKAGVDNTRLLDATDKFIKRQPKVIGDSAGSMLGRDLETLLQRARDYKKEYNDSFVAVEHLVLGFVQDKRFGKQLFKDFQISMKALTDAVQAIRGRQTVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQTILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVLVDQPTVGDTVSILRGLRERYELHHGVRISDGALVDAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRAVLKLEMERLSLTNDTDKASRDRLSRLEGELNLLKETQAELNEQWEHEKTVMTRLQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLETAEKELDEYMKSGKSMLREEVSGSDIAELVSKWTGIPVSKLQQSEREKLLHLELELHKRVVGQDPAVTSVADAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLSETVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDDSVSKETTYETIKQKVMDAARSVFRPEFMNRVDEYIVFQPLDRDQINSIVRIQLERVQKRIADRKMKLQVSDAAIKLLGSLGYDPSYGARPVKRVIQQNVENELAKGILRGQFKDEDTVFIDTEVTAFSNGQLPQQKLVFKRLDSDSDTTAEKAETFSKTV >KZN03066 pep chromosome:ASM162521v1:3:40828267:40830779:1 gene:DCAR_011822 transcript:KZN03066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLRRKTSFSSGMKHGGRKNSNLSVFVVVFSVFLFGCFMYNEDVKSIAEFPFSRPRVQENQGSVDGLRTGESGKSNEPVSLKVSSVKEKDEDRVIEPSVVFVEEQEDEDDEEIELPPEDCDLFSGRWVFDNVTHPLYKEDECEFLTEQVTCMRNGRQDSFYQNWKWQPNDCSLPKFKPKLLLEKLRNKRLMFVGDSLNRNQWESMICFAQSIIPPGRKSLNKTGSLSIFRIEDYNATVEFYWAPFLVESNSDDPTMHSILDRIIMPESIEKHGKNWIGADYLIFNTYIWWMNTFSMKVLKGSFDEGATEYDEIDRPTVYNRVLRTWADWVDKNVDANRTTVFFSSMSPLHIKSLDWENPDGIKCAKETEPILNMSMPLSVGTDRRLFVVAANVTKSMKVPVHFLNITTLSEYRKDAHTSVYTIRQGKLLTAEQKADPATYADCIHWCLPGLPDTWNEFLYTRIISRS >KZN03692 pep chromosome:ASM162521v1:3:46970231:46970774:-1 gene:DCAR_012448 transcript:KZN03692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLALPSNDVWKQSALPRFFILSRVPVSDTQHSDIDFRTEEGQREQDEKEYVDPKHRGTNLSI >KZN02481 pep chromosome:ASM162521v1:3:33820973:33823124:-1 gene:DCAR_011235 transcript:KZN02481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFNRGGPKFLIVTALLIVLSIEQKVSSARQGRQFQRQQDSNTPMSLLREDGDGEFTGQRIPRVVREVYQAKFTALNAARDNTVFNVLDYGAKGDGATDDTKAFEAAWIDTCKVEASTMMIPSGSVFLVKPISFSGPNCQPNIVFQVDGKIIAPTNAKAWGSGLLQWLEFKKLKGITVRGKGIIDGQGSVWWKKSPTYELTEDNKLTSNSTKLASDNSSLPDSVSTEAVRKLPHTKPTALRFYGSSGVTVTGITIQNSQQTHLKFDDCTVVQVFGITVSSPGDSPNTDGIHLQNSQNVLIHNSNLACGDDCISIQTGCSSIFIRDVICGPGHGISIGSLGKDNTRACVSNITVRDVKMHDTLNGVRIKTWQGGSGSVQGVMFANIQVSEVERPIIIDQFYCDGKKCSNHTSAVGISAVSFQNITGTYTKSPVHFACSDTMPCTGVTLTSIELTAKQEIEPFCWQTYGKLETETIPPVNCLKAGNKPNYDQC >KZN02535 pep chromosome:ASM162521v1:3:34269036:34276122:-1 gene:DCAR_011289 transcript:KZN02535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKEREIELESTMYTNCLLLGLDPSVLGAGATHPDFDKVWPIFDSAQSRDFRKVVQGIITELXRGVIQEFHLLLRVVDLADVASNPLPASLTDVAFSHAATLLPVTKAKIALERRKFLKNAEIAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLQDVRSKVKMEGEHWDELVSSSSQNSHMVQRATRLWDSLLSRKNQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSTQLPSTDVTSAQPNKSDQTDGQQEDVIRGIQEDISDSSLLQGNEVSRVDDRNGRGHTTVDIAEVLRRWTHALQRIHKQSLLLAKTNDGEGPELLRSTHDGGTTGHSESLVATLSEHRQHLTSIQVLINQLKEVAPAIQNSISELTEEVNSVSANIPMMTSHLGRSSSPNHAQSSGRPLESSSDEVLEMTSRLSTFQIEKNSASPPTLKLPPLFSSTPNSGKGGNMQKRDIIPQTNQSELVSEKKLVDKPHSNNSMDSSPQGISVYFNLIAQFFQ >KZN02624 pep chromosome:ASM162521v1:3:35275125:35278299:1 gene:DCAR_011378 transcript:KZN02624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPLIDISDNDDINGGITRKDIVEEVQKQLWLAGPLICVSFLQSCLQLISVMFVGHLGELALSGASMATSFATVTGFSLLMGMSCALDTFCGQSYGAKQYDKVGIHMQRAMFILSLVSIPLAVIWANTGIILKALGQDPAISEEAGLYAQYMIPSLFAYGLLQCHVRFLQTQNIVFPMMVSSGITTLLHVFVCWILVFKSGLGSRGAALANSISYWINVVLLAIYVKFSSSCARTWTGFSKESLQNIPSFIRLAVPSAVMVCLEMWSFEMIVLLSGLLPNPQLETSVLSICLNTTTNFWMIPFGLSGSVSTRVANELGAGHPQAARLAVYVVFAMALTEGILLGMVILLIRNIWGYAYSNEVEVVQYVAIMMPILAISNFFDGLMTVLSGVVRGCGRQKIGAYINLGSYYIVGIPCAVLFAFVLHIGGKGLWVGIICGLILQGFFFFVLVARINWNEEAMKAKDRVCDTTVGIIS >KZN01785 pep chromosome:ASM162521v1:3:25067278:25070883:1 gene:DCAR_010539 transcript:KZN01785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDPLITEFLTIQAKIMDKTGKGCTSTPRRSPRLQPAPDQDTIMANSDDDFQQSAPTIFMKSKTRIKTSAKRPPENPTTDVELDDEDFCLKDLYQQDNESNSDSQNAEDMIVEEEDQPIKPKFTKWKRKANQNEDNQDADDPLNKKKPILKFQIRKGYPIEEVQEEQKEWVKDAGFEALLDFDLVMIPSKLAYNVFQIFEHNSLTLKLKNKDVNIVEEDVFDVLGLPHEGQTILLGSHEKHKERIDNWLAQFSTNNITVSMIVEIMNGKKDTENFKRPEVTENFKLNFLIVMSNILIETPTNSYFEKQLLRFDDDLDNCCYYNWAEYLINRLVAGTESWNRTSSTFFTGSLIFLTLFYVDRVRHKGIKLVERHFPSYKGWTEQKLKERQRIEVIDGVFGIGCVLRPLREVLSQDSQPVNNNSPNKTDWDDWNHYQQPTTDNDWDWNINQGDENNNDAAAEVPPVDIMDTDNPHNATENEDPSESLRLRAQNLIEEKLLFDTDLKIELQKNPESYTLQTIQKVIQDVFGYKVTEDVCLQSPQRETEYQSTPNTPTHDIDEDFDLTIHATADIDLVQYVQTMQLTNDHLSQKLHKEYSYVPSFSLGIENDIIQQGAIILV >KZN00588 pep chromosome:ASM162521v1:3:6671171:6671509:-1 gene:DCAR_009342 transcript:KZN00588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNSWVSNSMIFIAMLMFVLVSTTAEHKPDAPYDYVKNCYHPLSAVDKCTIQIIEFLFNEDRFNISAKCCKAIAVTPLKCWKGMFPNHPKFPHLAKEHCRVYAHPPRPSI >KZN01379 pep chromosome:ASM162521v1:3:15145437:15148069:1 gene:DCAR_010133 transcript:KZN01379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLMSLKSHSAAEKSVSNMYSNQSTNPDTRPSTYTTTSGTLSNTNGEGSSVTSSSAGQQSQSQFSAAASCGTGTSSMGEILATPNLKVYSFADLKSATKSFKSDTVLGVGGFGTVYKGWVDGDTLEPCKVGTGIMVAVKKLNHESVQGFQEWQGVLLLKYCHLYVKSDVYGFGVVLLEMLTGLRVVDMKRPSSQHNLIDWAKPRLLHKRKLKTMMDARIQGQYSTKAAVQAAQLTLQCLEIEPRNRPSMKEVVEVLEQVDSMTVRQ >KZN02611 pep chromosome:ASM162521v1:3:35051413:35056995:1 gene:DCAR_011365 transcript:KZN02611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRNPGTPVSKIDRTPASTPGGSKSREEKIVVTVRLRPLNKREQSAKDQVAWECIDEHTIVYKPLSQERVAQPSSFTFDKVFSPASYTENVYEDGVKNVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVYDIYKHIVNTPERDFRIRISGLEIYNENVKDLLNSDSGRNLKLLDDPEKGTVVEKLVEETANDDQHLKSLIRICEAQRQVGETALNDTSSRSHQIIRLTIESTLRENSDCVRSYVASLNFVDLAGSERASQTNSDGVRLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPASSHVEQSRNTLFFATRAKEVTNNAQVNMVISDKQLIKHLQKEVARLEAARTPDPLSEKDYKIQQMEKEIEELRIQRDLAQSQVDELRQKLQEPQVLQLTESRSPNVKKCLSFSGALSPNLMGKEPGYPEKTKNTMARQAMRQSSTAPFTLMHEIKKLEHLQEQLGEEANRALEVLQKEVACHRQGNQDAAETIAKLQAEIRDMCAVRPAPKEVEVESVVSVNKSISANLKDEITRLHSQGSNIAELEEQLENVQKSIDKLVMSLPSNADQQCNAETSLKTKNHSKKKKLLPLASSNTANRQNFIRSPCSPLSSTRQVFDSETENRAPECEDNCSNETPLVSGKDAPLKSEDGGDVSSKEGTPYRRTSSVNMKKMQKMFQNAAEENVRSIRQYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYDLENDENMPEIQEESPISWTVTFREQRQQIIELWDVCFVSIIHRTQFYLLFKGDPSDQIYLEVELRRLNWLQQHLAEIGNATPAHTGDEPTISISSSLKALKREREFLAKRLSARLSEEEREALYIKWEVPLEGKQRRIQFINKLWTNPHDSNNVQESADIVANLVGLRQSGNLSKEMFELNFVPPSDKRPWIMGWSNPISNLLNFLIFYNEGEFYMFLVAITERQEKVYNFLYQISGHKVFLAIDWMNGDNALHLAGRLAPSHRLNKITGAALQMQRELQWFKVILTVIHTLCQIL >KZN01867 pep chromosome:ASM162521v1:3:26342615:26343037:1 gene:DCAR_010621 transcript:KZN01867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACSKIRHIVRLRTMLKRWRQKAASRIPSDVPSGHVAVTVGASCRRFVVPAKYLNHAVFRDLLVRAEEEYGFSNTGPLAIPCDELVFEEIIKCLSWSDSKNPTRFAKIEENCHVDVRNNAEFWPESRPLLNGVTEKSIW >KZN00493 pep chromosome:ASM162521v1:3:5785842:5788435:-1 gene:DCAR_009247 transcript:KZN00493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTDLSNGTFTILAVSDTEMLHPWSLPHDFGHPVPFSFRLKSPSTVSFAYSPQQFTSVSSSSSSSVSTTPPASARKLSGDFTPAELLSTLRREKDQTSALQLFNWALEQSNFKPTLSIYEEILRKLGNVGKFDSIRSVLDDMKRSRCEVVEGTFFILIESYAKFGLYDEAIGVLDIMEQEFALEPHTFTYNFLLNVLVDGNKLRLVETVHSLMTNKGVKPDVSTFNILIKALCKAHQVRPAILMMEEMSTYGLVPDEKTYTTIMQGYIEEGNFKGALRMRDQMIAAQCPSSNITINVLINGYCKEGKIAEALNFVEEMTTKGFPPDQFTYNTLINGLCKAGYLNHAMEILDIMLQEGFDPDIYSYNTLISGLCKSSKIDEAMEVLNLMLSKNCSPNTVTYNTIISTMCKENQVEEATELARVLASKGVFPDVCTFNSLIQGLCLSSNYEIAMDLFRDMHNQGCQPDEFTYNMLIDCLCSRGRLDEALSLLKQMESSGCARSVITYNTLIDGFCKNDKIEEAEEIFDQMELHGVSRNVRTYNTLINGLSKRKRMQAVSHLMDQMIMEGFKPDRYTYNSLLNHYCREGDVKKAADIVQTMTSNGCEADVITYGTLINGMCRAGRIEVAAKLLRSIEMNGMVMAPPSYNPILRALFDRKRTDEAMRLFREMEKKGDPPDSGSYVIVFRGLCSGGGPVAEAVDFVIEMTDKGHIPEFSSFFMLAEGLCALSMEDTLVKLVDRVMKTAKVSGNEATMIMGFLKIRKFQDALLTLEGVLNSRKPKKGYGNYAKEKLSRAGRVWTNA >KZN02766 pep chromosome:ASM162521v1:3:37023784:37024620:-1 gene:DCAR_011521 transcript:KZN02766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPKTQTPLVNLKLILTGSFVLLLLILILRSKSSSTSLNNYVPAAAQDIPTKCTKIPPSLSQALVHYATSNITPQQTLEEISVSLRILDRKSPCNFLVFGLGHDSLLWTSLNHGGLTVFLEEDESWIKQIQAKFPSLKSHHVIYDTVMTQADDLLTASLSDKECSAVTDPRDSKCRLALKGLPKQVYEVEWDVIMVDAPTGYHDGAPGRMSAVYTAGLMARNREQGETDVFVHDVNRVVEDKFSKAFLCEGYLTQQEGRLRHFSIPSHKTRSRPFCP >KZN00324 pep chromosome:ASM162521v1:3:4210745:4212569:1 gene:DCAR_009078 transcript:KZN00324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQNHEALCVEIHETNHFMEGLASRTQLECRKIGEKYKEMYGEELVHLLENRTRSHKDETRISGEACAGLCMLVVNPHERDAITAKEALDQQSGVNYKALIEVFVGRKSSHIVLIQQAYQKKFKRQLDHDIISIEPSHPYKRILMALAASHKSHHADVSQHIAKCDAQRLYQTGEGRLGALDEAVVLEILSKRSIAQFKLAFSSYKKIYGHDYAKSLKNENPGGFEDAFKEVVSHICNPSKYYAKALYASTQGTSMDTRALARVMVSRAEIDLDQIQSIFKQKYGMKLKDAISESTLAEDYKVFLIALANKTDTDTSCK >KZN01537 pep chromosome:ASM162521v1:3:19228102:19229058:-1 gene:DCAR_010291 transcript:KZN01537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGNLKAEWDVFFDTLAKVFAPTNRKNFGNISLMLQIFGFSIAYNRRINFGKILLREIIRKMGSVTQRSVHQNEKVECFYPMFLMLFLNDKMNEADRNMYVDSPVVPIQRICAKIQTRMVNKKKHDNVPLVVTPLMLEQFSAPFQPIQVPEPLQQQQYQPQQQQQAHPDIQQEQLQQQSPPQNPQPLQLLQDYQSSSQSSHYSPYNPPYNSPHQSPHQSSYNSPHQSHNQSPSHYNFFPDQQASIFPSQSEPIPSPTHIHTIPQPQSTSQPLPADSAINPDVQDFRTDLQVAQVLSNLTDTFNIDIADFDCDIGFDF >KZN02753 pep chromosome:ASM162521v1:3:36925807:36926766:1 gene:DCAR_011508 transcript:KZN02753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMNFYNSSPDLSSEDQLMKALEPFMKSAPLPSPPPTNTTAPSTSNSFSPFSSQPCNLDPSLSPAQIFSQDFSTSTQMNFDQTGSLGLNQLTPSQILQIQSQLHLQQHQNYWASNSSSAQKPLNFLGLKPVAMKQGQTPAKPKKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEEAALAYDRAAYKLRGDFARLNFPTLKLDPHYKSLHSCIDAKLEAICKNLTDVPQDKKSKTPLKAAVDSVPAEADIPKVDDTSNQNLIFSGQDLSGGSSPESELTYLDFAEPRFDELENFKSQEIPSMEIDWEALYSLL >KZN02786 pep chromosome:ASM162521v1:3:37303343:37304149:-1 gene:DCAR_011542 transcript:KZN02786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDSSEKCRSSAKVRGKDCKVEDDENKTTNEKRFTGVRRRKWGTYAAEIRDPIQKKRLWLGTFPTEEEAYEVYRAKKVELEKRIMLNKNNLSAASACASEDTTGLYSLPSPSSVLDVSNPLQTANISGDVVKETAGTSLQIVDRVQESTKESMRLMRKLVLRDELPINEFEVDPVLSPFPDHSCDMDSRDGFREPTVKEIFDIPFASLPISDNLKCSFGENMLDHVHILGDKFIQTEEPVYYPDDQKLELPLDPELGKEEFDWLNGNL >KZN02901 pep chromosome:ASM162521v1:3:38757251:38759598:-1 gene:DCAR_011657 transcript:KZN02901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPETEHPVKAFGWAATDTSGHLAPLKFSRRETGEKDVRFKVLYCGVCHSDLHMIKNEWGMTRYPIVPGHELVGVVTEVGSKVEKVKVGDNVGVGVLVGSCRSCDSCSGDSENYCPKMIQTYNSPSVDGSITYGGYSESMVADEHFVVRWPENLPLDSGAPLLCAGITTYSPLKHFGLDKPGIKLGIVGLGGLGHVAVKLAKAFGAHVTVISTSEGKKKEALEKLGADSFLVSRDPEQLKGAMGSMDGILDTVSANHPVVPLLGLLKTDGKLIMVGLPDKPMEVPAFPLVLGRKTLSGSAIGGLKETQEMLDFAAKHNITADVEVIPVDYVNTAMERLMKSDVRYRFVIDIGNTLKSE >KZN01209 pep chromosome:ASM162521v1:3:13095700:13096981:1 gene:DCAR_009963 transcript:KZN01209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPLTSNLMNPIYSCNPEYAISDSCFPSSSASQYQLSSNFFGTESYMIAIGESKYLKPAQALLEEAVSVGEKAVYLNNEKNIRKLSPTDKKGSIGLCSELRSELFNSVLSLEKQELEAQLSKLISLLEEVERRFEQYYHHLEEVVSSFELIAGAEAGKSYTALALQAMSRHFCSLKDAIIHQICVARRKHMPRINMGLSQLSLIDQENRVSLQQLGMIHNTRQTWRPIRGLPENSVTILRSWLFEHFLHPYPNDSEKLVLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFGDDSVDPALDSNSISNERITDDVDEPSV >KZN01344 pep chromosome:ASM162521v1:3:14697348:14701686:-1 gene:DCAR_010098 transcript:KZN01344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGSDLVENTNLSLPADMILQAAMALKNQVVKKTWEEGSGISDPTVYSGVLGTAFTCLRSYEATGDEQDLLLCAQIVDACAMLPPLPSRRDMYLKLFLQLAEDGALPVGPQEGGLGMSYDLLYGRTGFLWAALFINKYLGETAVPFDLLMPIVKAVLAGGRAGASDKTACPLMYRWHGTRYWGAANGLAGILHVLLHFPLSEEDAEDVKGTLRYMIRKRFPHSGNYPSCEGNPRDKLVQWSHGAGGMAITLSKAAEVFACDREFQNAAIEAGEVVWKRGLVEKPGLSDGASGNAYAFLSLYRLTGESIYKERAKAFASFLYHKSKELQMVGRPEEPNHKHSLFLGFAGTVCLWFDLQRPENSRFPGYEI >KZN02618 pep chromosome:ASM162521v1:3:35215259:35215732:-1 gene:DCAR_011372 transcript:KZN02618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQTMRQSSTAPFTLMHEIKKLEHLQEQLGEEANRALEVLQKEVACHRQGNQDAAETIAKLQAEIRDMCAVRPAPKEVEVESVVSVNKSISANLKNEITRLHSEGSNIAELEEQLENDQKSIEKFVMSLPSNADQQCNAETSLKTKNHLQKINFSV >KZN01617 pep chromosome:ASM162521v1:3:21960039:21961207:1 gene:DCAR_010371 transcript:KZN01617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQLLLSSENDGFLCPIPQYHLYSASITLHGGTLVPYYLDEATGWGLEMSELKKQLEDAKSKGITVKALIFINPSNATGQDVNLLYNSHHKSLHVLSEENQRDIVKFCKNEGLVLLADEVHQGNVYVPEKEIHSFKFQESCQVDELQRD >KZN01199 pep chromosome:ASM162521v1:3:12988523:12990816:-1 gene:DCAR_009953 transcript:KZN01199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKSYTEPSMANIEPSMSAFVFDDLKQQSMQDEGRLESTTLVSNNCVNYKGMIADKQRTGGWNASPFIIVNEIAERLAFFAVGVSMVGYLVREMHESLPTAATHVTDWIGAAFVLTILGAFLADAYLGRFLTIIVFSCVYAVGMILLTISASIDSLRPALCTARPCIPATDGQSAFLYCSLALIALGTGGIKPCVSSFGADQFDEADEKEVQKKYAFFNWFFFAINMGALLGITVMVYIQQEKGFGWGFAVPTVIMFCSILILAVGFSKYRYKKPMGSPFTRFIQVIVASVRNHMKGVRVGNEADLYEVRTRESAILGAEKLVHSSQYRFLDKAAVISDPEAFNATNRWKLCTVTQVEEFKCFLRVLPIWASTIALSISFAQLSTFFLSQASIMDRKLGSSFVIPSGSVPVFSAINAIILVPIYEKVIVPVLRKRTGHRRGLTSLQRMGVGLFISIFALISAAVIEKNRRDDPTATWSVFWLFPQFFLMGSAEVFTYVGQLEFFYDEATEGTKSISSAMFLSEIGIGSWLSTALVKIIEGATGGQKEGWLRNDLNKSKLDYLYWILAGINVVNFFVYVFIAWRYRGKDGASASGMVRHEVMTEKASPVLRNPVYSGKTKDDEVSLTSMAF >KZN01613 pep chromosome:ASM162521v1:3:21848151:21867910:-1 gene:DCAR_010367 transcript:KZN01613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIVKAYSIPLLLFSLSLYCQLIMVPRCFPSSHYDVLGINMHSSVEQVTHAYHHLTANWNSTTHPLDTVQFIQIRYAFELLTNNLWKRDYDIHGIDEQYHVIHKMEKQYAASNISHVTLPLLEPNSFDTLDPDFHVIRLEDFLSEGTPNPMLIQVFSLGSNRCAKFADTWKRIRIAKTGVVELGDVKLAAYLSEKRSTGQPLFRNGLPSLLAFPPGCKTSECLVRYPGELSVDAVTDWFSTIILGLPRIMYYTKESLVQNFLQRSGRHKVKAIFISKSGERATPFVRQAAKNYWAYVSFAFALWREEESSFWWNTVQENLSNDGDAAAQDKLEAAIALKDKRLTFTWLDGEAQNRRCLFYIHSEYSYETCGARRDLRDVPKLIIIRYARNGTEDSDKKEKDSNNYLAAYLAKDIDPTSQLVAHYNGSDDIHQKTETPELVPEDVDPIWSTGTEKILSSGKGIMRRIISLTTGFSDLLCDPRCGPFLLLGALMSFGGVWMRRSQAANLIESQTKKEPCTVDNEVIKKRRPPLEPGSKRYKLPSMTDEEPKDAYQVPFSDSDSDDGICEK >KZN00965 pep chromosome:ASM162521v1:3:10350335:10350598:1 gene:DCAR_009719 transcript:KZN00965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDRMSYLNLFWLVSSSVALVLNNKNGHHRSMKFGLLACFRDSLHADSDTSFCSGEIWKEKYAGQERRNHVINKSIRQVMFFNSWV >KZN01219 pep chromosome:ASM162521v1:3:13202835:13204119:1 gene:DCAR_009973 transcript:KZN01219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQDTDPLSQLSLPPGFRFYPTDEELLVQYLCRKVAGHHFSLQIIAEIDLYKFDPWVLPSKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIIMSQGKKVGIKKALVFYIGKAPKGTKTNWIMHEYRLSEPQRRNGSSKLDDWVLCRIYKKNSSAQKTLSGGIVSTEASHSHGSSSSCSSQFNDVMEALPEIDDRFFALPRMNSLSDKLNFQNLGSGNFDWAALAEQVSGAPAAAVTQTQGLMSNRNNVDNTNGLYVPCNLVSQPGGVDTKIERSIDEEVQSGLRNHGSEFMSFNNYSQCFTNATDPFSIRYPSQPGNMAFRQ >KZN02617 pep chromosome:ASM162521v1:3:35201957:35209587:-1 gene:DCAR_011371 transcript:KZN02617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFLIIEENKERLQSTSKKSFLRGFTEMKTINETGCLPGNLTENLKETFIVDVQKAEGKALNVPLISPITAATVRIEKVGNVAIRIELFDGCVGWGEAPILPPITAEDQPLAMSKVAEACESLKRSPPMTLGLVLGKVGSILQGHAFASVRAGVEMALIDAVSYSVGKPLWRLFGGVSNTITTDMTIPIVSSVEAAQLASQFREKGFKTLKLKVGKNLKADIEVLQAIRAAHPDCAFILDANEGYTSTEAIQVLETLHEMKLTPALFEQPVHKDDWEGLGRVTKIAKEKYGVSIAADESCRGLADLKKIVEENLADVINIKLAKLGVLGALEVIELALASGLHLMIGGMVETRIAMGFAGNLAAGLGCFKFIDLDAPHHLSEDPVVKGCEVSGLELMICGMAESRLAVGLVTLLQALDVSTIHVLQAIRRAHPNCSFIIDAKEKYTSTEAIQLLQELHEMKLTPVLFEQLVHRDDWEGLGRVNQIGREKYGIFVAADESCRGLADARKN >KZN00726 pep chromosome:ASM162521v1:3:7925565:7929503:1 gene:DCAR_009480 transcript:KZN00726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLHISLAILCTLTLNAIVIHAESTQLTQSPPPFSCDSSNPSTKSYHFCDTSLSISQRVDDLVTRLTLDEKISQLVNSAAAIPRLGVAAYEWWSEGLHGISRHGRGVRFNGTVRSATMFPQIILTAASFDSLLWYRIAQAIGREARAIYNVGQAKGLTFWAPNINIFRDPRWGRGQETPGEDPLVSGKYAVSFVRGIQGDSFEGGYLKDGHLQISACCKHFTAYDLDNWTTFNRYAFNAHVTLQDLADSYQPPFQSCVEQGQASGIMCAYNQVNGVPNCADYNLLTKTARGLWGFQGYIASDCDGVATIYDPQRYAALPEDAVADVLKAGMDVNCGSHLKKYTKSAIQQKKINESDVDTALRNLFSVQMRLGFYNGDPRKQSLGKIGPDQVCSNENLQLALEAARSGIVLLKNSASFLPLSKTKISSLALIGPSANSTEVFLANYEGPPCKNITLLQAFQSYVKSTAYNQGCDYVNCTSVMLSETMKLASSSDYVVLVMGLDQSQEREKHDRVDLVLPGQQQYLITNVAKVSKKPVVLVVLSGGPVDISFARDDPNIASILWAGYPGEAGGTALAEIIFGDHNPGGKLPVTWYPKDFVRVPMTDMRMRPDQSTGYPGRTYRFYSGPKVYEFGYGLSYSNYSYKFTSVSPKTVSLTQLSNTLILKDSNSDPYVPVSKISNDACDKAQFRAIVKVQNNGQMQGKHPVLLFLKTLEVKNGDPIKQLIGFQSVDLRAGEKAQVEFVLNPCEHLSKATSDGLMIIEAASYNLIVGDKEYPFKVVI >KZN01634 pep chromosome:ASM162521v1:3:22112045:22112448:-1 gene:DCAR_010388 transcript:KZN01634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKRSNSEKNRGRMYYICPKAKKTETIGKWDWGCKHFLWEDVLTQSQSCGASSSGSSGKGKGTDGDDSSTPTPTTEEKRIKALSKALEISQTANRALVDLIHDLTLDDY >KZN01450 pep chromosome:ASM162521v1:3:16364507:16364794:-1 gene:DCAR_010204 transcript:KZN01450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNGKLIHGYGVMDNGIMDPKNVHKFYNDYHQYLASAGVDGVKVDVQSIWRVLALVYQVVLRSLSNTIRHLMLLAKNFSDNGCIDRMSQNTDSL >KZN03405 pep chromosome:ASM162521v1:3:44314334:44317648:-1 gene:DCAR_012161 transcript:KZN03405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQTKATNSASSIGKGKVTPVQIAFLVDRYLADNRFTTTRSSFRIEASHLFSRSPVQEAPRSLLSLGELIDEYIVLKGQKVILDQENLRVQNLMKGMQDVMNAYNNFGANVAAGPQPVIANSGALVPRVNSTIGSTAGFPVNNTQAVMPESRPFNTPATSKNLATPSTNAATSKRKIVSDNSGTAKRSRSQPPNTNRQQQSNVSNCSLQSLKASAHVNMSGRSSVQGSGVAKCLFNQPSKQHPYNSSSPNTPPQAMSIPTQIIASPQEITNATCNNVAPQPITTTNSTLIASETITVSPSKQITYSVERNCRISSSSPIKTDIRRANTRDHVKGRLDFDGSEAAPSIDKPISWEVSASEIRNEVDNLDFDFPDLDALGPDFNFSELMSELGLDGEDMNGSFQPVMNSSSGSLSGSANTPEDGSAGGNQVISEFSSTVTEVLSEKNMNVQGPDSVTSMKSITKSVKILSPVKKQRILSKDQENVSMRNRQL >KZN00635 pep chromosome:ASM162521v1:3:7090035:7093908:1 gene:DCAR_009389 transcript:KZN00635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGINRKGKATCGESPGRALVDGIEPESASMLGRVDLGLSSFINPELTWKNRRSRNSLDLKLNVGAELGTRNCKRDPDSSESEEIGVAVLGQHFDEKIVNVPIKKRRFSIRPPLPPSPPPRTLSPDHKDSLLPPLQTPQQAIESEQIVDIKPFGAGIQLSQGFHHNSKKRVSDNFVAPKFEGKVDEVPNDKKYEMLKEGYASYDFSGIELLAATACCSSIDNDVKLEETCALKAFAKVEAVDYPNATIPFIEGIASSVTSHVSGNELVNEDDRTTIAAVSKNVHDGVVRNRAHWDLNTLMEEWEDPGDVTPIDCQINYSEMVTGGTHCEKINTGQSNINVLANTDRDQKSILFKEALSDVGCFKELADGTGVAVGRSCIDEDALKLCSSPDGTDMKQYCSTTERVKEVSNAPVHDTIAYLPLTSIEASGNYLCPEVQHTASLHVFEEKMYASKRELEQVGGISCGFKVEENEKLSSECLEVGKLNIFAPNLPLLNISPLEIDETQIKDCNYFANTTGSPNSKTPKREVISTATFKVSDAINPMVEIYRTNHSHLSPKSEALSASSTSVAVGEVKLLSNKVSAADSNVIDDAVNYGPIGLVVKYDCPSKFDQDNNMSDCYDKDSKKAYNNYVSEFETGYDSSFEDGELREPGVYTWEDDDLEGEIECVDYGSEYGDKDYLDTVNSNSAHVENGHDGYQSYRKRTLSLNNNGITKVGDNTVAVVGDSKPLKQCFGGNFRDDRHLAFTEAKTFGGNWYNKHFAEGKVNGYDDKSLYAGESGTRTFRGNQLSFSKGPSPFDSVEKKSYLGVHRNRFDNSNYSNSRAERGSFLEKSRGRGRFSYKPSAGRNETDGQRVDCPTSYRGTRNRYHGPEGHAYSRPRDLTACFATRIGEPKYEDDRRSIIYSSNIGRRQSSMGRRSPANREDSYVVQREIPPVRGIDQDKSRGRWGQYTQGIRRIPKPEYSEDIPPNDAARCRIRREPYFGREKGFSPYYGSGNFMRRNSCSQSPTRSLVAWSSQRDCNMNTRRRNPDFRSDSRSEKIGFPFRNTYRVDNKEIYMSPPKSRVSPHSNSRWINDRNYTDNHFRDKRSPFREFRGGRQRMEYKGYFGKRSDGIFQANIHHGRFQQVSSRREPELEVNIDEKSNHDDRYEIKHQVRHCDTGGTVGKFRYNANDCNANDDNLEHAIKRDIPRNGAGEERGLRFKSGDDMGAGALFAGQLDFSEDAATREE >KZN02825 pep chromosome:ASM162521v1:3:37766181:37775344:-1 gene:DCAR_011581 transcript:KZN02825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAAGDNSSSDKMIYLHGDMELRILEARSLPNMDLITGQISRCISLDSCRRAPSPADRKIGHHRKIITSDPYVTVSVPQAIVARTRVIPNSQSPIWDEHFHIPLAHPAVNLEFQVKDNDVFGAEIIGTVKIPAQTVASGEHISGWFPIISSSGKPPKPDSALKIELKFTPCDENPLYKHGIAGDPDHLGVRHTYFPVRKGCQVQLYQDAHITDDIKFPHIELDGGRNFEQGHCWEDICYAISEAHHMIYLVGWSIYHKVKLVREPTRPLPRGGDLTLGELLKYKSEEGVRILLLVWDDKTSHKKLFINTEGVMGTHDEETKKFFKHSSVTCVLAPRYGSSKLGFLKQQAGLNFGSVVGTAFTHHQKCVLLDTQASGNNRKITAFIGGLDLCDGRYDTPQHRIFKDLDTVFEGDYHQPTFPAGTKAPRQPWHDLHCRIDGPAAYDVLLNFEQRWKKSTRWREFSLLKKKIARWSDDALIKIERISWILSPSMSVSKDGKHTVVPGDEPILHVCREDHPDNWHVQIFRSIDSASVKGFPNTVDVAQEQNLISAKNQVIDKSIQTAYIQAIRSAKHYIYIENQYFIGGSYAWPAYKDSGAENLIPMELALKIASKIRAKERFAVYVIMPMWPEGVPTTATMQEILFWQSQTMQAMYSMVAQELKSTQVVDSHPCDYLNFYCLGNREEIPKEASQNSTDCNQVSSAQKFQRFMIYVHAKGMIVDDEYVIVGSANINQRSLAGTKDTEIAMGAYQPHHTWAAKKHHPQGQVYGYRLSLWAEHLGMFDDCFVTPETLECVKRVNDVAEDNWKRYTTEAFTPLQGHILKYPLHIDVDGKVGSLPGHENFPDVGGKVIGAHSAGIPDILTT >KZN00085 pep chromosome:ASM162521v1:3:1787086:1788284:1 gene:DCAR_008839 transcript:KZN00085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAYWCFIFFIFFLYLLVKNQQKKNKNNPPSPRSLPLLGHLHLIKEPLHQTLETLSSKYGDILLLRFGLKKVLVICSPSAIEECFTRNDTVFANRPVSMATIHLSYDFTTMTVAPYGDLWRNLRRLAALEIFSPNRIAFFTDIRDNEIMLLINQLVKKCQGGGEKVELKTTFNELAFNILSMTIGGRRYYGENVEDAEEARNVRYVMREMLDISVNSNVGDLFPVLRWFDYGGVEKKMKDIMRRLDVFLQDLIDKRRGDRLVDDSDDDEDRTEHRKNWKKKNMVDHLLLLQDSEPENYPDKIIKGIILLSWQSSN >KZN00604 pep chromosome:ASM162521v1:3:6844936:6846432:-1 gene:DCAR_009358 transcript:KZN00604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGAFSRRWEDLDFDVLMKIIESFTKYELTPGIAQVCRAWRFACSDPLLWETVDLSHMKSNFIKTSLEPFVYVDNQSDKTLTRILRLSLSLSHGNIKTLIFHFNLYLTDEQLTYTAERCPKLKRLVMPAWNRIKRTGICRAISMWKDLESMTMPSIGTPRYVMEEISKNCKNFAELKIMGSFNVLCATSIVQFLPNIRVLSLRCSMVVKEALIIILDSLKHLEVLNISHCHIADFTSPPPRKRILKEIDEDILQKASGLRQFITCMNDSCIMCQRSRADEGLMRWYRYEENSWKADEVQSLAL >KZN02038 pep chromosome:ASM162521v1:3:28699683:28699994:1 gene:DCAR_010792 transcript:KZN02038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIIQDHQLRRLRIKYNTAILSFGLNAFQKGIVDEAAKLAEKAATYKDLKFAAFEKNPTVPKSILKNTSTSAKKKVIFATNLNTIFEAAAEEQGTQEEQHNDN >KZN03191 pep chromosome:ASM162521v1:3:42261984:42262600:1 gene:DCAR_011947 transcript:KZN03191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVGPTFKTYGTSWDHQGKTEIAQIFISSTPYRINSLHFVYVENGRHVLSERIGGDGSASMNTVTLDYPSEYITRVSGKYQSSNFLVSITFYTNKGTYGPFVPNNLEKPVYPPIEFNYEIGGKFYGFFGTYTSNEIESIGLYMKPIQTLSNTRRLPTI >KZN02070 pep chromosome:ASM162521v1:3:29171215:29171976:-1 gene:DCAR_010824 transcript:KZN02070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIAFFSAIFTILTSNHTAMKHSNSASLSLPIQQTLPILIQPQLRDHHFRGIHPDVDRGAIDFLASDSLDVDDPLAAIDLDHFSLAALVGATDDLDLVVLADRHGPDVVLGAEVAGEGGGHEDAAHAGGCGEVGLAVLPARAGDAGIVLHFGEMGSIGFGLSCG >KZN02854 pep chromosome:ASM162521v1:3:38081362:38081996:1 gene:DCAR_011610 transcript:KZN02854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGSEILSKTGISKTLVEIMVCPLSKQPLRFCQKSNSLISDAIGVSYPIVDGIPHLVPHDGKMIDSDTATVPNDSVDSSDSKKDS >KZN01128 pep chromosome:ASM162521v1:3:12028544:12029940:-1 gene:DCAR_009882 transcript:KZN01128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTLLDALNVRVIGSGRRVLFLAHGFGTDQSAWNRILPYFLPSYKVILYDLVCAGSVNPDYFDFRRYTSLDAYVDDLLSILDFLGVDRCFYVGHSVSAMIGILAAIRRPELFAKLILIGASPRFLNDPEYHGGFEQGEIDKVFSAMEANYEAWVNGFAPLAVGADVPAAVREFSRTLFNMRPDISLFVSRTVFNSDLRGVLGLVKVPCCIIQTAKDVSVPASVAAYLKNHLGGRNTVVMLNIEGHLPHMSAPSLLAHELLRALSR >KZN02368 pep chromosome:ASM162521v1:3:32630780:32631432:1 gene:DCAR_011122 transcript:KZN02368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTVSHLCCISILLGLIAVSSCEISTLTANEKDDIIPDQIPPQTWCVASGSATDAQLQGFIDFACGGKIDCRFIQPGGTCYQPNTPRGHGSYCLDAYYRIYKSCNPIGTIVYKDPSYGDCLYI >KZN00121 pep chromosome:ASM162521v1:3:2091745:2096664:-1 gene:DCAR_008875 transcript:KZN00121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTRNRKQEGCFFSSSKEDHLKSSIFTRSPSHSLHFSLITENSYHLTRTEMERSIELDVQDHYLTENIPAEIAENWEEYFPEHEDLSREAVLFQQECVYRSIQENERNRGSTSVCSDGSVDGHIEEQEGEFSHGGSTSSQVALDEALARSLQELGDDFEDFYLHEQNESRTTEANTTENPAGATTEDLSQDDVDLSQDDVDLSQDDVDPDNMTYEQLDSLGESIGSENKGLPEELISRLPSFEYNSGIFSRNEEEECVICCMVYSSGESLINLPCAHRYHSECIKQWLSLNKQCPVCQTEVQDE >KZN01900 pep chromosome:ASM162521v1:3:26733921:26735665:-1 gene:DCAR_010654 transcript:KZN01900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEILKPTPVVVTLPHKGSTKPTAPNVEDWQRILFISRDIWLKSLSQNRELTIKFLFTYVTMSDGDWDLYAVVRSCTTSASVVDQNRMEDEQEEKEQPFADQFSFQNDDFTDPLTYGLQNTTADYGLEEIHQPFSNFNAEFENEQVMNYPPQQEPQSNTFTLPISNPSSSTIRSRRRKNQHLKMVYQMTQEELSGDTWAWRKYGQKPIKGSPYPRNYYRCSTLKGCPARKQVEGSPTDATIFIVSYTGEHAHPRPTHRSSLAGSTRSKFSAAAALNNIIKSTATSSESTSVAPNFSPSTSPHSALTFSPSSVRYENNPDNTVDDTDMVDVDEDDYNEDNNLIHDEEIYSGLDKFDGDDRFFD >KZN01691 pep chromosome:ASM162521v1:3:23184632:23193387:-1 gene:DCAR_010445 transcript:KZN01691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQKSILSFLKRPPQNDAVDSSDEVRGTDTPPEKAPRQILSTNRSDISKGPSIFENIMHKFVRVESKPISSTRNIEKDCSEAVYSAPKESKCPERLSTRIAASGCIRKDNFLNAETETVDREAKGPQLSVESDTDNLGPETPGMRPLVPRLKRIQEDTASNSTVKSDCSLRSSTKRVKLLDETVTNDKNREELREVTSKFEWLNPSKIKDANGRRPNNPLYDKRTLYVPPDVLRNMSASQKQYWSLKSQYMDVLMFFKVGKFYELYELDAEIGHKELDWKITMSGVGKCRQVGISESGIDDAVQKLIARGYKVGMIEQVETSEQAKARGATSIIQRKLVQVVTPSTNVDGNIGPDAVHLLSITEDNCGIDNGSTSYGFAFVDSAALKFWVGSFNDDSSCAALGTLLMQVSPKEILYESHGLSREAQKALKKYSLTGSGICHLTPVQSSTTLADQFEVRNLIQSKGYFKGFCNNLDYAFDGVMHCDLSFRALGGLISHLSRLMLDDALRNGDILPYQLYRGCLRMDGQTLVNLEIFSNTADGSSSGTLYNYLDKCITPSGKRLLRHWICHPLKDIQEISRRLNVVEEMMAQSDASTLVQYLRKLPDLERLLGRIKSTVKSSALIMLPLIRSKILKQRVKEFGSLAKGLRVGIELLKLLHTGQHAISSLNKFVKLPQLGGDNGLDRFLSQIEAAIDSDFPDYQDHNPNDSNPEMLSILMELFSEKCTEWSEVIHAISCIDVLRSFAVISKFSPGVMCRPIILPLSKPISVSRESRGPTLELKGLWHPFALGTHGGLPVPNNIHLGEDTHGYHPCTLLLTGPNMGGKSTLLRATCLAVILAQLGCYVPCESCVLSLVDIIFTRLGASDRIMTGESTFLIECTETASVLQNATQNSLVLLDELGRGTSTFDGYAIAYAVFRHLIEKVNCRLLFATHYHPLTKEFASHPRVILQHMAFTFKSKSEISAKGEKELVFLYRLTNGACPESYGMQVALNAGVPQLVVEAASKAGQVMKRMVGESFKSSECRSEFSTLHEEWLKTLLAVSATKVSTLEDNDAFDTLFCLWHEMKCSGKI >KZN02320 pep chromosome:ASM162521v1:3:32019195:32025963:1 gene:DCAR_011074 transcript:KZN02320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAGQGGSSVDMFLRNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIFVVMEYVKSGELFDYIVEKGRLQEDEARKFFQQIISGVEYCHRNMVVHRDLKPENLLLDSRCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRLLVVEPMKRMAIPEIRTHPWFQAHLPRYLAVPPPDTLQQAKKIDEEILQEVLKMGFDRNSLVESLRNRVQNEGTVAYYLLLDNRFRVSSGYLGAEFQESMDGINRFSLNEPVSPVTAQRSPRHIDYQGTASRPQFPVDRKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWVPGIPGHYDGLLNKSMHSNHFFGDDSTIIENDAAVRSPSVVKFEVQLYKTREDKYLLDLQRVQGPQFLFLDVCAAFLSQLRVL >KZN01821 pep chromosome:ASM162521v1:3:25737169:25738782:-1 gene:DCAR_010575 transcript:KZN01821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTMHIHFLTPPAPFATPPSTLHFSFAPYSWTTFLSVRSFTHRTPLFSIMGFQSPINLIAHCSKKPTIAFIDTGIMLFSVLQDIGIEEKGAKVLLDSHPVLNSMPIESIRARIESLRAIGFDGLALSKLIVKRPDVLTAREMDSFTYYLCNKLKGDVELIQLQRLFNTTEPRFLVGFEEKVSLLLHHGFPEEKLVYVLNNVKINKAFCLKSREEIERMLTYLDRFGGVEFILGRPAVLNYDLDTQIIPRIGFLLELSGGNEDATATVLNKLPFILAYTVEHLKDHVEFLISFAGLTDQEIFRIILVYPNVFSASKDRKLKPRIEFLKECGLSSNDIFKFLIKAPLFVSLSFEGNLAHKLVLLVKIGYANKTKELALAMGAVTRTSYKNMQAVISLFLNYGLTCEDILAMGKKHPQILQYNNKSLDEKMEYLVEDMGREIGELLAFPAFLGYQLDGRIKHRYEVTKHKLGEGMSLNKLLSVSTESFSSKKKKKKLPVKASSKPTKMKVR >KZN02710 pep chromosome:ASM162521v1:3:36330498:36335273:-1 gene:DCAR_011465 transcript:KZN02710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKGQVDTRLVINNLRHGLHNLPASGMRISLVALLGAAGAVLILKDAIARNFKIVPCSTSQDNLLVVPGLQNLGNNCFLNVILQALASCSGFRGFVRKRVAEHQGFSAEELEEIMPLAVSLDSLLEDMCTPQHEIKVLSPLKVMMAINYYNQHFNLTNQQDAEEAFLHILSSLREELVELYVYDYGSLADAVCLVDNRILGLESRATQIELQRWKEYSLGPFDGILRSILTCHSCSNQISLDFHYFHSLHLPVMAGSSVEGCLKHFFAAEKLDDYFCSRCWHIAAVKYLSITNKYKKDTVEVGNCSNQDSCDCRNLSMLRALPWSNSYSRTLKQLNVARSPEGHISFPLTLNMSRFMNSGGGLKSCMEEDKPVWPSKPYCQPAFTFFEKHDMQIDTIKINHTVGQTEMTSLIPEQIQAPCGVPKNTCTGGEEGLSDQLDDKGIQDSQGRPNLSSIGGYSGIGADNALLQSNKKFCKKCNRYNQGQREGAGLWVHRITPWF >KZN03575 pep chromosome:ASM162521v1:3:45960024:45960353:-1 gene:DCAR_012331 transcript:KZN03575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICPKSFLVTVEKSFVTKRNTGKQGQSSTRTLMKRSRRRAGGFEIVRRRNHEVRRKVRTLKKLVPSSSSSKGLDGLFRDTADYILALQMRIQLMQVMFNGLSSAPDDI >KZN02228 pep chromosome:ASM162521v1:3:31125956:31126174:1 gene:DCAR_010982 transcript:KZN02228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMGFGRLMGSLKSKLRCLKTKKPAAGGGLYDRIEKSESMRVEIRSRKAQKLIQETLKVADSPKINKTYAF >KZN01021 pep chromosome:ASM162521v1:3:10881647:10883753:1 gene:DCAR_009775 transcript:KZN01021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRQKILVTVSAIRTQDQDRSTWKLDGLGRNGKQKAYKTGEKKAQKLSIFIRASDRKLANPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRKNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGN >KZN01447 pep chromosome:ASM162521v1:3:16327935:16329512:1 gene:DCAR_010201 transcript:KZN01447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSMDEDCDYLFKAVLIGDSAVGKSNILSRISRNEFQLDSKPTIGVEFAYRNVKVGDKLIKAQIWDTAGQERFRAITSSYYRGALGALLVYDITRKNTFESLKKWLHELREFGNPDMVIVLVGNKSDLSDSRQVVVEDGQTLAQLEGLCFMETSAKENIHVEEAFLNMINKIHEITSQKSLDGKLISPGTRTNVSLQGAKEIIIVDDQEVSATKHSAGYCCT >KZN00291 pep chromosome:ASM162521v1:3:3895116:3897698:-1 gene:DCAR_009045 transcript:KZN00291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKGTKRRLSINLSFLCLNDQTQTPLNLPTKSSKKFENGQDGVVGLGIVAAMTQLDQPQDPLISTRPGFLGVSPRSNPIPIFGNMGDSKPAGSNFDDDMELSEEYTCVISHIGNNLVKKREYFDGKLTNKCESIVAKKGGSYWVSSGIFTEASPPSMSNAGESAAMAVFGTADFLSSCYLCKKKLHGLDIFMYRGEKAFCSAECRYKQISSDELKEKRRSGVKKQQEYSVSPCSGSIQFSSGVAAA >KZN01296 pep chromosome:ASM162521v1:3:14172061:14173077:-1 gene:DCAR_010050 transcript:KZN01296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSNTAGILRILCYDLFYDVPEDVAEKKRLAESLDFRIQKMVHWEGGELARKLSTLQYKAYRKATLHVHPDKLQQQGASIREKYICEKVFDLLKVCIAS >KZN01989 pep chromosome:ASM162521v1:3:27787981:27788436:1 gene:DCAR_010743 transcript:KZN01989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKINGVVAGDMEEVKKVFNKFDTNGDGKIDLTELGSILRALGTNAPHDELQRIMSEIDTDGDGVIDLKEFSDFHLGGSDDAANLKDLRDAFDLYDLDKNGLISVSELHAVLKRLGEKCSLKDCKKMVSSVDVDGDGNVNFEEFKKMMNKA >KZN01229 pep chromosome:ASM162521v1:3:13335425:13336555:1 gene:DCAR_009983 transcript:KZN01229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFRFGLSTAAILFMAAVVKFSALLRKEFTVSQVPLIWNLVVSWFEPPYLYLVINCIIITIFASSKLQKHGTSHNSELNKFLEDDYNGNQNALQSKLVEVMQANSSREIKFSYKYSDEFDLNGNADDSVTETKSPIIVKDVHIDDSNAPGGLYSGKTTSFKEINDKIILEHTVNKMSEPKPPSSGKFVHQKVVEDNKEGKGRLRVLKPKAQELDTLETTWKSITNGQPLQSTCKHTSYGNLQDEHKMILSETFNEQNSNHRIDNMSKRLAGSSGPGKLRRDPSLSQDELNKRAEAFINRFKEDMRLQRKESLDRSVETINSIIC >KZN02613 pep chromosome:ASM162521v1:3:35074983:35080919:-1 gene:DCAR_011367 transcript:KZN02613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLGQLMSMIVIVVHAEIKDLGVSLSSSFTCTALWARKIRNFAIRIQLANGGVGWGEAPTVPLMAVEEPLAMAKIAETCDFLKRSANMSLGSLLEQIGRIMPGHAYASVRAGMEMALIDAVAYSIGMPLWKVFGGASNTIITNITIPMAGPFRSAQLASDYRGQGYDTLKVKVGENLIAAIHVLQAIRRAHPDCSFIIDANGRYTSAGAIQLLQELHEMKLTPILFEQPVHRDDWEGLGRVTQFAKEKYGILVAADESCCDIADARKIVERKLANVINIKLAKLGVLGALEVIELARSSGLHLMMSAMVESRLSICFAGHLAAGLACFKFIDLDAPLHLTENPVVGGYEELFSSYFSGAPMIHIPLSSELHVMYLNNKGYPVRSHFLENILEMTGYRLTTDNRIMAKTRCGKCRNKLLERGKAKLPLLSRAPIDRMISEFFERSVKAF >KZN02992 pep chromosome:ASM162521v1:3:39942036:39945008:-1 gene:DCAR_011748 transcript:KZN02992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPLKVDHASASSLHRTMLHGVIGSKASTSLLYSYRKSFNGFAAKLTEEEKHRVAKLEGVVSVFPNRKLQVHTTRSWDFMGLTQQVNRTALESDIIIGVLDTGISPESDSFRDQGLSPIPKKWKGSCQSSSNFTCNKKIIGAQYFRSAGFLDINDVKSPRDEQGHGTHVASIAAGGYVSNANLTGLANGTARGGVPSARIAVYKVCWSDGCNDVDILAAFDEAIADGVDIISISVGGAATDYFQDSIAIGSFHAMKNRILTSASAGNSGNEPGRVTNVSPWILSVAASTYDRKFLTKVQLGNGLNYEGVSVNTFTSKKGKYPLVYGGDVPNVMAGFSKFDSRYCRKNSLDSKLVKGKIVLCDELSNGESVFLSSAAGTIMRDAENRDNTKPFPLPATFLGVDDGDKAFKYIRSTRNPTATIFKSVEAVEKRTPYVASFSSRAAYIKSFFPSWSPAAIKSALMTTAFPLNSTTNPDGEFAYGAGHIDPIKALNPGLVYDADEIDYVTFLCAQGYNTTTLRSVTGDNSTCKKTTGASGDLNLPSFAIPTAPLQTFSHNFTRRVTNVGSPVSKYSVKITAPPSLKIRIEPSILSFTSIGQKLTFKVQIAGQIDRAKVSASFVWDDGKHKVRSPIVVYDSST >KZN03934 pep chromosome:ASM162521v1:3:50014678:50018202:1 gene:DCAR_012690 transcript:KZN03934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLQFLRYSILLVQISFPFSHSLICTSQNLTNNHFLYKNCIDLPTLNSYLHWSYETSNSFLSIAFLSSTTPSGWTSWAINPSAAGMIGAQALIAFRRSDGTMSVKTYNISSYETVVESDILFNVSNLSADYSNGIMRIFATLALPENTKAVNQLWQAGGSVVDGETPGKHDLEDANLNAKGKLQLLDHAKTINYATSGDVNGDIAKHSSNSGSRSLEFVSTKVAVFSTVRMETGLPIRAYRKECFTKYEWSTFFFTQEQRKIHENNGDHHITLQLGLRIRNSNQNRNRNQCPILYSWASPQRVLRGSVAPENDLQVHDKEWIRSNARRLMIGSVAPTCTYNECRGCKFRCRAEQVPVEGNDPMNSAYHYKCICHR >KZN01323 pep chromosome:ASM162521v1:3:14455595:14466274:-1 gene:DCAR_010077 transcript:KZN01323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQVCLTGFHPPPAVTANTYSSPSISTGGGRKRTMLVKSSSSSSSSLSTSTLPPVGQNLPDNYAEWVPVSDRRRAGILLHPTSFQGPYCIGDLGEEAFRFLDWLHLAGCSLWQARPLLLPLVPPDQEGSPYAGQDANCGNTLLISLQELVKDGLLTQDELPKPLEMDRVNYSLVADIKHPLIAKAAERLTLSNGELKTQLEDFRKDPNIGSWLEDAAMFAAIDDSLKTMSWYDWPEPLKNRHLAALEEIYRSKKDYINIFIAQQFLFQRQWQKVRDYARGKGISIMGDMPIYVGYHSADVWANKKSFSLNKRGFPLLVSGVPPDAFSETGQLWGSPLYDWKAMEKDGFSWWIHRLRRAQDLFDEFRIDHFRGFAGYWAVPSEAKIATVGKWKVGPGKSLFHAIFKDVGQINIVAEDLGVITEDVVQLRKSIGAPGMAVLQFGFGSDAKNPHLPHNHEPNQVVYTGTHDNDTVRGWWDHLQQEEQSSVLRYLSISEEDDISWALIQAALASVARTSIIPIQDILGLGSSARMNIPATQFGNWGWRMPTTKSFDKMNNEAKKLRDLLSTYGRL >KZN03256 pep chromosome:ASM162521v1:3:42922002:42924673:1 gene:DCAR_012012 transcript:KZN03256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGTGTRSSQGSTQATSMRPPRPNTLEPGSVLPSFQVDHTWLAAACGHCSGGKHGRTPLYDVSNQSLSLSRQKSPGPSRKFCLDSGRVFSDENNHFSCRSTTKSGTPKKSSFKRKGRFPADEVNEKLAFGNSLCCVA >KZN02954 pep chromosome:ASM162521v1:3:39506132:39509386:-1 gene:DCAR_011710 transcript:KZN02954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPPRYSSPPRRGYVARPRSPPRRGYGGERGRHQEQNNGSLLVRNIPRDCREPRGFAFVQFVDPYEAAEAQYRMNGQLFAGREISVVVAAESRKRPDDMRRRTRTSRGPSGYEGQRSSYYGRSRSRSRSRSPRYPTGSRSRHRSRSYSPAPRRRSDYSLSSERRKDPRSPRDLPPEGDGRHARRSYSPISRNDAADRNDKEYAERPAYESDGAGAHWKSSPGRAIRSPSGSRSRSADISPKRSR >KZN01588 pep chromosome:ASM162521v1:3:20987657:20988385:-1 gene:DCAR_010342 transcript:KZN01588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFICSYLQKIEKEKLEKLARKGPNPEEKPPTATADSGSVTDAKAASQSSNSGVSTDIHRNYGVLAGTVTLLGALGWYLKSNKKQEEVQD >KZN03336 pep chromosome:ASM162521v1:3:43692310:43694403:1 gene:DCAR_012092 transcript:KZN03336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILAKLPKKSSKSNSEDSSYGSISSNNLVDVINGFQFINSCNAITSRLNAVKRMSSSIFPAGTEMLEPHISFKDIPNSDKQNLFVSKLNFCSLVYDFSDPEKQSAEKGLKRQILVELIEFLASDSAKFSEQAIAAMCTMCANNLFREFPPKYTTRRVRGETEADEPLFDVAWSHLQLIYDILLRFLSYNSLDAKIAKKYVDNCFILKLLDLFDSEDPRERDCLKAILHRVYGKFMVHRPFIRMAVANIIYRFVYETDHHNGIAELLEIFGSVISGFALPLKKEHKLFLTKALIPLHKPKSVGIYHHQLTYCIVQFVEKEPKLAGIVIEGMLKCWPVSNSQKQLMFLSELEELMEMISLEEFERIMVPIFRRIRFCLRSSHFQVSERAHLFWNNDSILGLIMKNRQVIMPLIFPALEQNSQNHWNRAVLNLTENLKKMFSEMDSELLLECQDKFEKENSNLSLVTERRKLTWERLENDAGLQPAANNISTRAESSPCVVVC >KZN02537 pep chromosome:ASM162521v1:3:34288314:34293520:1 gene:DCAR_011291 transcript:KZN02537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTWTLEKRAPLRSDSVLKEVNVPEIGCLSIVVLGASGDLAKKKTFPALFNLYRQFMVSCMSFILELIRNSDGYAQGFLQSQEVYIFGYARTKISDEELRDRIRGYLVPSKNTAEGHEEDLSNFLQLIKYVCGSYDTEEGYQSLDKEIAEHENLRNSKEGSSRRLFYLALPPSVYPPVSDLGGWTRIVVEKPFGRDLESAEQLSNQIGELFEEPQIYRIDHYLGKELVQNLLVLRFANRFFMPLWNRDNIDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQCVIPIKDEEVVLGQYEGYLEDPTVPDDSHTPTFATMVLRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKSNKQGRNEFVIRLQPSEAMYMKLTVKQPGLKMLAIQSEMDLSYGQRYQDITIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDNGEFKSIPYKRGSRGPEEADELLKRAGYVQTHGYIWIPPTL >KZN02247 pep chromosome:ASM162521v1:3:31296477:31298294:1 gene:DCAR_011001 transcript:KZN02247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSEKSESGLRVDYRAPLLSRIDDDVPVEKRKGASFAGSVFNLSCSIVGAGIMSLPSTFKLLGIVPGTLLVIIAAFLTEASVEMLLRFSKPGSSFSYGDVMGDAFGTSGKVLLQICIVINNIGAVIIYLIITEDVISGSTSSGIHHAGILEGWFGEFWWTGRAFVLLVLTTFVFIPLICFKRIDSLRFTSAISFVLAVLFIVVLIGVTIYKLIEGSIKTPTWFPEVDSATSFLNLFTAVPVLVCAYLCHFNVHTIENELGDSPRMQAVVRTSLVFCAAVYLTTGFFGFLLFGDSTLSDVLSNFDTNLAVPYSSLINSIVHISYALHIILIFPIIFHPLRLNLDGLLFPSARHFLSHNIRFAFISMGLLAVCLFGAIYIPSIWIAFEFAGATVGVMLLFIFPAAITLRQALPSLHICFGSKETP >KZN03601 pep chromosome:ASM162521v1:3:46191845:46192924:-1 gene:DCAR_012357 transcript:KZN03601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIMRFSGFFSAAMIMIVLSPSLQSFPPAEAIRSSNAEYDKYHLSAQINNKFSFRRASHFRNADECSSSKLGKTSVCDPSLIHVAITLDIEYLRGSIAAVHSILQHSMCPENIFFHFLVSESNLESVISSTFDQLNFKVYYFNPDLVRTLISSSVRQALEQPLNYARNYLSDLLPSCVKRVIYLDSDLVLVDDVSKLWTTNLGKKTIGAPEYCHANFTKYFTDKFWSNSYSRTFSGRKPCYFNTGVMVIDIAKWRRIGYTRRLERWMEIQKSERIYELGSLPPYLLVFAGQVAPIEHRWNQHGLGGDNVKGSCRDLHPGPVSLLHWSGSGKPWLRLDSKQACPLDMLWAPYDLYGQSR >KZN02272 pep chromosome:ASM162521v1:3:31511865:31514324:-1 gene:DCAR_011026 transcript:KZN02272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICIFVFVAVLGVCLLSTILLRWNEMRYVRKDLPPGSMGWPVFGETTEFLKQGPSFIKNQSARFGSLFKTHILGCPTIISMDPEMNRYILMNEAKGFVPGYPQSMLDILGTYNIAAVHGSAHKNMRGAVLALLSPAMIRDQHLPKIDDFMRKHLSNWDNKVIDIQQKTKEMALLSSLKQIAGIESGSMCKEFMPEFFKLVLGTISLPINLPSTNYRGGVQARQSIVSMLRQLIEERRACQEIKKDMLGYYMSSEENRYKMSDEEIIDQIITILYSGYETISTTSMMAVKYLHDHPRVLQELRKEHLALRESKGPEDPINWNDYKSMNFTRAVILETSRLATIVNGVLRKTTKEMELNGYVIPEGWRIYVYTRETNYDSNLYPDPYSFNPWRWLNKIMDAQKYLFIFGGGSRQCPGKELGIAEISTFLHYFVTRYRWEEIGGEELVKFPRVEAPKGLHIKISALPTEERK >KZN02904 pep chromosome:ASM162521v1:3:38817940:38819880:1 gene:DCAR_011660 transcript:KZN02904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFADEHPVKSFGWAARDTSGHLSPFNFSRRATGEKDVRIKVLYCGICHTDIQNATNGWGASTYPVVPGHEVVGEVTEVGSKVEKVKVGDKVGVGYIVGSCRSCDDCASDLESYCPQQKLTCGGVYYDGTLTFGGYSDSLVADEHFVIRWPENLPLDAGAPLLCGGITTYSPLRYFGLDKPGMHIGIVGLGGLGHIAVKFAKAFGTKVTVISTSLAKKQEAIEHLGADSFLVSRNPEEMQAAAGTMDGILDTVSAVHPLQPLLMLLKSHGKLVLVGVPTKALELPVYPLVQGRRVIGGSGTGGMKETQEMIDFAAKNNIIADVEVVAMDYVNTAYERLEKADVRYRFVLDIGNTLTKST >KZN01744 pep chromosome:ASM162521v1:3:24145813:24148695:-1 gene:DCAR_010498 transcript:KZN01744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLKKKDGDEVLVTIKDNQVVSNSLQDLPSGSYSFSEYLPVDTSLGLLQSPSSATQVPALTSFSSVEIMNLSPALNTSPRVQQSGLTRRRSLARSVYSSRSKSRLAEPPYPRQPPRNLSHTASPVSNLHYTNTAPTTPKTPLLASPAGEEEDDVYNAKILEVIKKKGKKIRVMILLEWVVFICIVGFLIASLYIPKLRNCTIWSLAIWKWSVLVLVIFCGRMLTGWFTKVLVFGIERSFILKNKVLYFVYSLKKGFRVFLWFALILLAWGLLINRGVKRSRETTKILNYITRGLASCLIGAALWMVKTFLVKLLASSFHVTNFFDRIQESILHQYILRTLSGPRLMRFSGRTDSCRTSGCLCLRRLKKGKEVSKEEVIDIEKLKKMRRKRVSAWTIGGLIKVIRSSGLSTVSGALDEIDDEEEHGQKQGSITNEWEAKAAAYRIFNNVAKHGSKFIQEDDLLDFMTVEEVDKLLLLFGEEGEKGQIKKSSFRDWVVNVYNERKILAHSLHDTKTAIEELTKVTSVIVFIMIIIIWLLLMGFATTQVLVFISSQLLLLVFMIGNACKTAFEAAIFVFVMHPFDVGDRCVIDGVQMIVEEMNILTTIFLRYDGEKIAYPNSVLATKAISNFNRSPEMGDFVNFSVDVSTSAENIVALKARIKKYLESKPQHWRPDHSVQIRDIEDINEMKMALYMTHTINFQNYGEKASRRSELLYELKNIFEELGIKYRLLPQEVQLRYLESSGPPARNNSQTQMASS >KZN03363 pep chromosome:ASM162521v1:3:44026636:44029024:-1 gene:DCAR_012119 transcript:KZN03363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIEHRHPLILNEKYYGAEGDVCYICKDALHSRLMHSIYRCCNSNISDSSSSGDDIKPFFSSQMVKKSLGNTEFCVRITENEIDCSKLFIHRSCAELALTISNYHMHPHHTIFLKSEMRASCNICSQGLTGLLGYTCRECWYYFCVKCVTSPRVHHPGHNQHELTLVQHPASFRCYACQDDLYPPSSSCQCTICPFWIHLKCAQLPSLLKYKFHRHPLLLSYSLPQQYLRFRHYCSICHATLSPTQWLYHCANCRFLAHISCATSTTKTSQRLSSSDDEYKNLVHLPMPDETSVNLLRQLFIEKMISNHNASNDTDSSFASSSSIKGAARENVLINHWSHEHHTLVMINKHDAADDHLIVRDNNGDNSIVCDGCTKPIYATEVSYACHLCKYFLHRYCAKMPKQLQSTRYQDGLMLPDISSYFFFICNGCKFPSSGMRMMTEKGDFQLDIGCASLPRSIKHEAHHHPLTQHESGLNKECTACLRDIDDYEIVFGCDKCRFYLHGRCALKPYKIIHRWDRDHPLSLNLNLDNIEDHPHDFNCEYCSEDIDPSAWFYHCRYCDISFHIHCIDLFYRYSHIKFGISVDIDHQLHEHSLTVVLNERKRCCGICHRQLCNPLSYAAPVLELSSRPVQYITDS >KZN03368 pep chromosome:ASM162521v1:3:44070392:44072511:-1 gene:DCAR_012124 transcript:KZN03368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKKKLAISVKGDYALKSYTLSIGAAAENLSSYRLCYKKSEDDNEVLKMAPDALVGFGLLDPVQKLSSGGCDNTVQLGLPTWDFQSLQFQANRGMMQWSFGMGQCIVLRDFKKPIWCGAVVIWNGARYSVA >KZN01563 pep chromosome:ASM162521v1:3:20593631:20596225:-1 gene:DCAR_010317 transcript:KZN01563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFAHNPILSTSLFNHCEFNNRICKFNSISKNPNQNHQYTTRVRAIKEKTEQVSSPDEVTQKYGLEAGLWKIFSSKEDENENDEGKKSKGDQAKDLLAKYGGAYLATSITLSLISFSLCYVLIGAGVDVQALLQKLGIATNETGQKVGTFALAYAAHKAASPIRFPPTVALTPIVANWIGKKVKKED >KZN00601 pep chromosome:ASM162521v1:3:6760007:6761362:1 gene:DCAR_009355 transcript:KZN00601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASSILLTSYLNLFLHASLSLLLTLLISLLNIPSLFLYALNTYIHPDDVSPNNSNTRAAIRRPGAPEAELRPRKKGKQSFEFDENKAQIFRLKLSHAHLQSRLHFDQFHKAFSSAFVALFSVVMHLFLKVDRDNGVLENATIVPVLLCFVGVFRVCVLVFLVSFERSASKRLDKQCSVVLGILGFVIGVMFVLDVFPKWAFDIRLGFLDGFGRFVVSVAMGCLAGLLYMPAARNARAFWLGTDQSRSYLSIISCGWIERLLLYGSSLLAIFTALLWISPFADLLINKNIASGRKGSMSDELVGNVGMSSSDFDKFRQLCLLVVGVLQMVTLRSNLQIFLNEAVLSWYQRLHASKVPDLEFSRAKVFLHNHYLCLVALQFFAPPALVLLFLGLSRIGDDLHKNIQLLCGLLPCTALVNEVALFMAWWVVFVWSIFTAGSLALYRRGILNVS >KZN02589 pep chromosome:ASM162521v1:3:34834587:34841581:-1 gene:DCAR_011343 transcript:KZN02589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNAISINFNKTSIDSFNRLVKLTARAFYDDITTKGDNQPKPGRTDNKGLAVVVLDALTRRQWVREEDLAKDLKLHAKQLRRILRFFEEEKLVTRDHRKETAKGAKIYSAAVAATADGHHTGKVGGDKIKLHTHSYCCLDYAQIYDVVRYRMHRMKKKIKDELDDRNTIQEYVCPNCKRRYNALDALRLVSLEDEFFHCEHCNGELVEESDKLAAEEMGDGDDNARRRRREKFRDMLQKFEEKLKPLMDQLNRVKDLPVPEFRSLQDWEVQAYAAARSNADANDNDPSKSTQSYNGIPMPSLGETKVEVAFSGVGNDGALTNSESTSTPAKVLPPWMVRKGMVLTDEQRGEVKQESKMEDASAAADLSDDKKSIDQNNENNLQDAYAKAYYAALLQRQREQEECINTEQEPLNTTGDTSSRQVGMKSKRGYNGDGEEDEYVEWEEAPKTGNTGGTLDLNVEADASGDEEDDIDWEEG >KZN01303 pep chromosome:ASM162521v1:3:14246823:14250604:-1 gene:DCAR_010057 transcript:KZN01303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSY-2 description:15-cis-phytoene synthase MSVAMSWIVTPSLEVSNCFGYLETAREGTRVLDPSRLGSRDKNMRCGGRLEKGKLRKWSSKSFNAEYSYSCLGGSELENGSIFPVHSSMVVRADGDMAVSSEKKVYDVVLKQAALVKRQFRSDEELEVKPEMILPGTLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPSALDRWELRLEDLFKGRPFDMLDAALSDTVMKFPVDIQPFKDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGLMSVPIMGIAPNSQATTESVYNAALALGLANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRNFMKKQIKRARMFFDEAQIGVRELSPASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKPKKILALPVAYAKAFAPTARTSSTFLKT >KZN00952 pep chromosome:ASM162521v1:3:10268678:10271062:1 gene:DCAR_009706 transcript:KZN00952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHLTFGADSIVTNQAISGNQTIVSSGGNFELGFFQAGNSSKYYVGIWFKKVSDKTVVWVANRERPVMDKYSSELKVVDGNLVLLDEKETEVWSTDTNFKAYDVEAVLLDEGNLVLRNGSKRTLWQSWDWPSDTWLPGSKIGYNKRTNRKQILTSWKNPEDPTPGMYTLELDPIGNQYIIRWNRSQQIWASGAWKGQIFSNVPEMGKKFLFNFNYTTTETEVYFTYSLINTSPYIARFIMDYTGQIKQLTWLDNVQKWFLIWSEPKTQCEVNAYCGAYGVCNAMVFPVCDCLPGFKGRFEKSWSLREYSGGCERITKLEHGEANTGNLKADIFGEYPYMKLPDNPQLVSAVNATDCKSICLSRISCTAYAYYADACSTWRGDLFNMQQLAGDDINGKVIYIRLHASKLSKNNKGIIPGAIGGSIAVVIVMPENILLDTHMCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWLSGASVTAKADVYSYGMMLFEFVSGRRNVQHTNGKINFFPTSAAKVIINGGDILGILDPNLNQVADVEEVTRICRVACWCIQDDETIRPSMGQVVQILEGVLVVDLPPDPRVLQVFLDNEEDVVFFSDESSSTVQKQYFKRKIQVPLKTPG >KZN01974 pep chromosome:ASM162521v1:3:27606720:27607970:-1 gene:DCAR_010728 transcript:KZN01974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPEEMIEEILMKIPAGYVWDIRRVCKSWKALITHPQFASKHFERNKNNASSFLLIAFCQHLRRKSLSLFRLNHHPHTLVDINSIAARRLDYFYFRNNFPDLFKELVVAGSINGIVCVSTFCKSITRFLALWNPAINRWKPIPLLPYKKNDGEYSSVGLAFDYLTNDYRIIRLVSVLTDIQEPSSRIEMYSVNQDSWIEAATSSPFPYFTTQPNCTVIVKGIPYWSKNYQEFFDYWNERFQSNVIATVDPHTGLYKEISYPQIVTNENTTVHPFNFMDSLAVLIFENSNPKMFHVYALDEKSHGANWINIYTSAPTSLQSTNMCIFRCFQDAGKIIVVGWNYDDCRRSFLYNLQTDSLCHTIGMDALRPRWDETYYHVESLVCLDGMEPIQREEDINNKDCANLLYLGRDLGPLL >KZN00684 pep chromosome:ASM162521v1:3:7603320:7609204:1 gene:DCAR_009438 transcript:KZN00684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKESVNLDSSIEKKVKKEKKKRALSDSDDFTAIDSEKKSKKKEKKRKLSEDEDERSETSSERGEPVNLKEKKSKKAKLMEEAEEEEENPNAVSNFRISEPLRNKLKSKGIEALFPIQAMTFNIILEGSDLVGRARTGQGKTLAFVLPILESLTNGAFKGSRKTGYGRAPSVLVLLPTRELATQVAADFETYGGSLGLNSCCLYGGAPYQPQHTQLIRGVDIVVGTPGRIKDHLERGNLDFSSLKFRVLDEADEMLKMGFVDDVELILGKVEDASKVQTLLFSATLPIWVKQIAARFLKPDKKTADLVGNERMKASTNVRHIVIPCSSSARAQLIPDIIRCYSSGGRSIIFTETKDSASQLAGILPGARALHGDIQQATREVTLSGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGKSGVAVMLYDPRRSNFSRIERESGVKFEHMAAPQPADIAKAAGLEAVETINEISDNVIPIFKSAAEELMSTSGLTPVELLAKALAKTTGYTEIKSRSLLTSMENFTTLLLEAGRPIYTPSVAFSILRRFLPEEKVETVKGLALTADGRGAVFDVSAADLDTFLSGQENAAGVSLTVVTELPELQEKEQSRGGRFGGGGRGGRFSGGRGGGFNDRRNDRFSGGRGGGRNRW >KZN02030 pep chromosome:ASM162521v1:3:28581384:28581557:-1 gene:DCAR_010784 transcript:KZN02030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRHDSYIRILLYIYLCRRPSNQWAMAFAKQVIHPIRFARYFSYILRVVFTTPTPS >KZN02489 pep chromosome:ASM162521v1:3:33899730:33900463:1 gene:DCAR_011243 transcript:KZN02489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQIHDQVPCLHCHPESFIRMVQHLIERCLLLNMSREDCIAALQEHANIDPIITITVWEELRKENSSFFESYDVHAASSENQSMISSSSGDSGSNELESSLTKEEKTWGDQEELSCCQY >KZN02480 pep chromosome:ASM162521v1:3:33813993:33819867:1 gene:DCAR_011234 transcript:KZN02480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPASLLHSSSAFLLRRNIPSRCHFRQLHRLTSTSGGFIFPSRRFKLPGVSVYAQAKRSLSTKEVEVATAADLFFESPLEIVEYPDPILRAKNKRIDTFDDNLKKLVDEMFDIMYKTDGIGLSAPQVGLNVQLMVFNPVGERGEGEEIVLVNPRVTKYSRKMVLFDEGCLSFPGIYADVKRPESVKIDARDVTGVRFAVSLSELPARVFQHEFDHLQGVLFFDRMTSEVLDRVRPQLQALEKKYEDKTGQPSPERIDTFKVAKVPVGFGTR >KZN03961 pep chromosome:ASM162521v1:3:50224535:50228183:1 gene:DCAR_012717 transcript:KZN03961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPLGFNNSTSDYGTPTKEFSVLAAIVCCKIVYQATGVVSLSLFKGYAKLNSAQKLEWNNRRPFFLSFFSLGFSTFHAFFVALASSYILLVSDLFKDTSEDVPMTFRTSTLSDTTLGISFGYFLSDLAMILWNFPALGGMEYVLHHGLSMFSIIQSLVSGQAQFYILMVLFTEATTPFVNLRWYLDVAGKKNSKLYTCNGIALFLGWLGARILWFLFFFYHMFNHFDQVQKVYPLGFYSMLSIPPVLAMMNVFWFWKITKGMIKTLTKARHSV >KZN01217 pep chromosome:ASM162521v1:3:13184319:13184579:-1 gene:DCAR_009971 transcript:KZN01217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFMTEVIDRHDFHGFDSDLKVIMGSHLWSIVQNTQVKTNQMETSVYIQIIFKSLSCLSKKEGFNSDIFQRLSNFDCVCRCRMAFN >KZN02407 pep chromosome:ASM162521v1:3:33177770:33179500:1 gene:DCAR_011161 transcript:KZN02407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLYQVYQVVAATVPLYVAMILAYISVKWWKLFTPDQCSGINKFVAKFSIPLLSFQLIASSNPYKMNLRLVGADVLQKILAFLVLAVVIKWSSRGNLKWMITGISLSTLPNTLILGIPLIKAMYGNEAAGLLVQIVVLQSFIWYNILLFLFELSATREAYVTPSPEVAEELEAAREEEEEETEGKKRSPSKNVLPLVLLTVGKKLISNPNTHACLAGLIWALIKFRWGLHLPKIADKSVTILSDGGLGMAMFSLGLFMASRAGIKSSEIRRVVLALSLKFLAGPAIMIASSYAIGLRGTVLKMAIVQASLPQGIVPFVFAKEYNVHPDILSIGVIYGLLLALPVALAYYFLLDL >KZN02500 pep chromosome:ASM162521v1:3:33990492:33998824:1 gene:DCAR_011254 transcript:KZN02500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLTLKPTTHPIYHHTHTQSSNIFIPTTNTKFQSPSTILSTKHNCFLSSKLVALSYSSNQSTQRNEDKEENDVEFVTVLKNWLGCVQSALPGGSWWNLNEFKQVESIGSTTKEITVWDFLRRMWGLVVDDKWIVFTAFGSLVIAALLEISIPNLLATSIFSAESGKASVFLKNAHLLALLCIASGVFSGLRSGCFATVNMNLLRRLREALYSTLVFQDILFFDKETVGSLTSRLGPDCQRLSHVIGNDVHMILRYSIQGMGALTNLLILSWPLALSALMICSFLSAIFLIYGQYRKEAAKVTQDFTASSNEVSHETISLIRTVRAFGMERHEIGRQYKLWLDRLASISLRESAADGIWNLSFNSLYRFTQVLAILLGGLSIMTGHATPEQLTKYVLYCEWLVYAAWRSTGASEKVFQLIDLLPSNQFLAKGKVRLNISGNTLDLAEQRRINSLTYFTLSGLKLKKLMGHIEYKLWLDRLASISLRESAADGIWNLSFNSLYRFTQVLAILLGGLSIMTGHATPEQLTKYVLYCEWLVYAAWRSTGASEKVFQLIDLLPSNQFLAKGKVRLNISGNTLDLAEQRRINSLTYFTLSGLKLKKLMGHIEFVNVSFYYPSKVHPVLEHVNIYVHPNEVLAIVGLSGSGKSTLVNLLLRLYEPSAGQILIDNIPLKGLDVRWLRENIGYVGQEPHLFHMDIKGNIMYGCSKDIKREDVERAAKKAFAHEFISSLPNGYGTIVDDELLSGGQKQRIAIARAIVREPAILVLDEATSALDAESEYHIKGILQGLRNDTKSMRTTIIIAHRLSTIRAANRIVVMDGGRVVEVTLSTFRYRSNPDQNIGQSIVW >KZN03824 pep chromosome:ASM162521v1:3:48602258:48610248:-1 gene:DCAR_012580 transcript:KZN03824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNARVLKAFRAMKDLGIAEEVTKPVLKKLLKVYDKNWELIEEENYRALVDAIFDTQESEEAEKKKTDDPRRVEALEEENQVDDDSIRPLKRLRLKNQENQASPSMISPGPSSGVAMLKKPKVEADEVGLAEADPQHQMQLVTTPQKTNSETLRTGTHSVPEQRITRSRAKQPVTSQSLTVQEKSVPPQTAPVNESCPDVTKETPLNSISSPMRLRARGKTPQSAQKENISISERSSGGTKMQKPMADGGNVFLTKQKIRSNLALIKPKDEPTDDISQSEVPVANPDPLAQGNSASGKTCTAAPDGQQLVVPQSADQTAQDNDIAVSTAEKRIPCKTVEVLEKSIEDIEVASTALGEVKISVSCKSAIGRPDFHMPSLDDVIRTVEAQCLRSYKSLDPNFSLKKLMKDMCESFLELGTSSSNELQENINVNPDIGMLESNTELDSANATDRQVVPLNAPIYITCDPEMALPEVPSLPPPCSGVADIVQLDAGNKNQCIVNLEREIDNLDHSNSQSIVVFQNQQSTEETKFVDDVYDIAKGHERVVISFANDVNSECPPSFRYIPRNVVFQNAYVNFSLARIGESGCGTCSDNCLLSLTPCACSHETGGDYAYTLEGLVKEELLDECISMNRDPKKHCLYYCKECPLERSKNDGILDACKGHLVRKFIKECWWKCGCSKQCGNRVVQRGISRKLQVFMTPGGKGWGLRTLEDLPKGAFVCEYVGEVLTNAELYDRVSERESLNNHSHSYPVLLDADWGSERVLKDEEALCLDATDYGNVARFINHRCFDSTMVEIPVEVETPDHHYYHLAFFTSRKVKALEELTWDYGIDFDDHEHPVKAFRCRCGSKFCRNIKRSSRSRSAAARKVTG >KZN03045 pep chromosome:ASM162521v1:3:40532754:40537081:1 gene:DCAR_011801 transcript:KZN03045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALSFSPRLFPSTHRQSLPPATSFSIGKSCLVSSPSLSLPAFSQCRAVQSPHEVAGEVKFMEASQLGNVIPLHRVIFADHLTPVLAYRCLVKEDDREAPSFLFESVQPGRESSSVGRYSVVGSHPAMEIVAKDNTVTIMDHEEGRLTEKVVDDPMEVPRSISEEWRPQLIDDLPDAFCGGWVGYFSYDTVRYSEKKKVSFSKAPLDDRNLADIHLGLYDDVIVFDHVEKKAFVIHWVRLDRYESVQKAYQDGMDRLEALASKVQDVVPPRLSPGAIELCTHQFGDSLKKANMTKDEFKNAVIQAKEHILSGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMTYLQARGCILVSSSPEILTSVKKQKIVNRPLAGTTRRGKTSKEDKMQEMQLLNDEKQCAEHIMLVDLGRNDVGKISKSGSVNVEKLMTVERYSHVMHISSTVTGELLDHLSSWDALRAALPVGTVSGAPKDAEQRQEWVAYLQAGAGIVADSTPEDEHQECENKAAGLARAIDLAESAFLS >KZN03598 pep chromosome:ASM162521v1:3:46136436:46148240:1 gene:DCAR_012354 transcript:KZN03598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDAPIDDKAKRMRDLLSSFYAQDNSTSNSDSVDASNASVRYVTLDSINTTGFDADQYMNLLVQKSNLNGLLQKHVEMAAEIKNLDTDLQMLVYENYSKFISATDTIKRMKSNIVGMEVNMEQLLEKILSVQSRSDGVNTSLYGKREHIEKLHRTRNLLRKIQFIYDLPTRLGKCIESESYADAVRLYKGAMPIFEAYGDSSFLDCKRASEEAIHVIIKNLQGKVFSDSESIQIRAESVMLLKQLDIPVDSLKDKLLEKLQQFLVDLDLESAEATQEPTNLDKDPEQKNTPNLSSSAHGASTRELVEAVRAYGAIFPDSKEQLINLLKDLCMKHFDAIQNHIMKHVVVEDLLEMLRAVWTNVLLMDEVLPEASLPVFAMEAAHTAIKKYLASAFSCLLLDILEPVTKLQSRPKENSEEHYSLQAALDASKKAVLQGSIEKLLDFRQLLEETFGLLLNMKSLIIDWVQEGFQDFFGKLDKRFHSLSGKYSLVSQGLADGLQGDKVLPGVVLVMAQLSLFEIAAAFSGGSARGHEYGPAFVPAEICRNFHLSSEKFLNLYIKMRTENISGLLRNRFMTPNWAKHKEPREVHMFVDLLLQEVQVIGTEVKQILPEGPHRKHRRNDSNGSTTSSRSNPLRDDRMGRSNTHRARSQLLETHLAKLFKQKMEIFTKIEHTQGSVLTTILKLCLKSLQEFVRLHTFNRSGFQQIQLDTQFLRTIIFKEITEDEAAVDFLLDEVIVAAAERCLDPIPLETPILDKLIHAKLKKSSE >KZN03443 pep chromosome:ASM162521v1:3:44689139:44689705:1 gene:DCAR_012199 transcript:KZN03443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFSIVISISLLLTLFIACSLEVDALSCSPSGKIRGRKAPQGQCNRENDSDCCVQGKLYPTYTCSPRVTDNTKATLTLNSFQKGGDGGGPSECDNQYHDDDTPVVALSTGWYSGGSRCLNKITISANGRSVEAMVVDECDSTMGCDDEHDYQPPCPNNIVDASKAVWKALGVPKDNWGELDITWSDA >KZN01292 pep chromosome:ASM162521v1:3:14139948:14144041:-1 gene:DCAR_010046 transcript:KZN01292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGMIVGCGGLIGFIRKGSTASLGGGLATGLLLLLAGYLSLNAFHKKKNSFLALILETVCAAALTWVMGQRYAETSKIMPAGMVAGISMVMTLFYLYKLATGGNHFPTKTE >KZN01329 pep chromosome:ASM162521v1:3:14542808:14547352:1 gene:DCAR_010083 transcript:KZN01329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATSSKAERSEALRLCKERKRFIKAAIDSRYNFAASHVAYIQSLKNIGVALRHFAEAQVINDSCLSTDVDKTMSPSSYPSLSPSHNAAAFDSPLPAETPVERLSYMRSGGTSVVTVSVSLPSSAGYVDDNESMSFPVRPPPPPFHDSASTWDYFDPTDESFSFIRQNMENVDFDDVKMYGQMNERDGEVDVDNGDSQVGKKEQQVSCSSTPPSDRLGRAKSEGSDLFKAQQIRDEVRVLTDDNNMGGSAAMLSGRAAAEQSGSTMDKALVGKATCSQREDPSDFITHRAKDFLSSIKDIENRFFRASECGREVSRMLEANKIRIGYSEAGGKSSGMGFLEMLTPNCCGGAPLVSHEHSRNVTKVITWNRSTSARSSSSRSPLATASKDDNDDSGSEFAEEFCMIAGSHSSTLDRMYAWERKLYDEVKASECIRKEYDRKCDQLRHQFAKDLSSSIIDKTRSVIKDLHSRTIVALHAVDSIAKRIEKMRDEELLPQLIELVQGLVRMWKAMLECHHAQYITISLAYHAKNSTRTPQGVTKQEIMDQLQHEMECFGLSFADWINSHNSYVQALNGWLQNCIMQPREKSKGRRAFSPRRVVAPPIIVLGRDWCAGIQNLPSEELNEAIKSLLYDLRHSVEHQEEEPQNKQPILDTSGNIEADVNEVNSAASSNLSSIHSSLTKVLDRLTKFSEASLKMYEDIRQKNETARNAYMNYRPPRSISL >KZN03455 pep chromosome:ASM162521v1:3:44772594:44779735:1 gene:DCAR_012211 transcript:KZN03455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKAITLPEPPGSPRKSEADIFETGAYSVIRRAVIIGNGVEDFENQSIGLVHALGMSEKHVLHRVTRPRGGINEWLLWLPVSVHKQVIKIISQLSLYSKVVSKRSEDKFLPLNTKDGNSAGLSSILEADVKNIVTMAQDSSGDGPLLIVACGRDTISITRSIKELASDKVFIVQIQQPRSRLNRFDLVITPEHDYYPLTPSAEKKVPNFMQKWIPPREPPDRNVVLTVGALHQVDSASLRTAALTWHDEFVALPKPILVVTLGGPTRFCSYGADLAKQLVASLHNVLSSCGSVKISFSRRTPKKVSDFVLKELKSSPKVFIWDSEEPSLYMGHLAWADAFIVTADSVRMISETCSTGKPVYVVGGENCSWKHAEFHKLIRERGLVRPLTGLEDISKSWSYPPLNDTAEAANRVREALAERGWRLLT >KZN03579 pep chromosome:ASM162521v1:3:45990037:45995253:1 gene:DCAR_012335 transcript:KZN03579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLRALSDFESTGALKKGRSSLLIQFLVACYVVCITYADLPKKPLIVHIVSPPTKLSLLAPDLSLPADFPVFSRPYYKGLYTNPVPNLVVETAQPPYYGPLIPYDHTPSINPRMSRRSMNEKAVVPHSAGLAPPDISAISPTQSGDDCCGSDMVLKRGTRGCHCVYPVKIDMLLINVSSNPNWNLFLKDFAYQLGLRDSQINPVNFYVVTLSNWNISLDITPYKGISFSASEASGINSSLSSHRVHLNPNLVGDYKLLNITWFKSLAPSQAPRTAKPPVEVPPHKRPSPAAPVTSQKGTRPSLILLVAIGAGILIIGIIMLLIVCLCISHKGKKEESPVKTETAKTITSNAPPSAGPVPHPTSTRFLAYEELKEATNNFDSSSILGEGGFGKVFKGILSDGTQVAIKRLTSGGQQGGKEFLVEVEMLSRLHHRNLVKLVGYYSNRDSSQNLLCYELVPNGSLEQWLHGPLGLNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRVNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGKRPVDMSQPSGQENLVTWARPMLRDKDSLNELADPRLEGKYPKEDFVRVCTIAAACAAPEANQRPTMGEVVQSLKMVQRTMEYQDSVSTSNNRPTIRQSCTTFESDGTSSIFSSGPYSGLSAFDNETISRTAVFSEDLHEGR >KZN01314 pep chromosome:ASM162521v1:3:14365289:14371935:1 gene:DCAR_010068 transcript:KZN01314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGKSRSVNSSASPPDFTSKISLSSHQIKYCSQALTFFKDKIINHRRSLSQEFDMLQANRMRALEAQNRCSVAYNSNNISKNRYTDVIPFDDNRVVLNPYKDYRPPTEGYINASLITTSTSESVSRFIATQGPLPHTYEDFWEMVLQYRCPAIVMLTRLVDNYKTQKCGDYFQAEDGSREFGNICISTKWIKTTKTSLVLRNLEVKYKESEEPPLDVLHILYPEWPDHGVPQDTLAVREIWRRLCNVPPTAGPVVVHCSAGIGRTGTYCTVHNTIQRILAGDMSALDLVKTVSLFRSQRMGMVQTKVYRLLCLVAHCSVLDIPP >KZN00474 pep chromosome:ASM162521v1:3:5617446:5631041:1 gene:DCAR_009228 transcript:KZN00474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPASIGYLELYNLFAFYEVPLLYNILLIRLALQGVPEENFEQLQPGLVAYVKENKFRVPELVSAILPSDDEALETAAAEAQHESTKNRGGPSLQDQFRESMIWLQWLMFESEPDIALNYLAELNVGQRGVCGAVWGDNDIAYRCRTCEHDPTCAICVPCFQNGNHQDHDYSIIYTGGGCCDCGDVTAWKRDGFCSKHKGAEKIQPLPQEFADSVGPVLESLLLCWKKKLQLAENIFLQSPIATGYTKLTDELTCAVVDMLLNFCQFSESLLCFVSSRVYSLDNLLDILVRAERFLGDAAVKKLHNLLLKLLGEPLFKYEFAKVFLSYYPTVVNEAVKECNDKILKKYPLLSTFSVQIFTVPTLTPRLVKEVNLLSILLECLGEIFCFCEGDDFRLQVSTWGNLYEITLRVVEDIRFVMSHSVVPKYVARDRHDISRTWMKLLAFVQGMSPEKRETGIHIEEENDSMHLPFVLGHSIANIHSLLVAGAFSDSSIEDADCELFCDTYSQDFDDQDSQRHAKVGRLSQESNVSSVSGRSSTADYAHKTAEITSDIFPVPTSASLLLFECLRAIEHWLVVDNTSSPFLNVLSPKITSNSGKKFFALKRTLSKIKKGKLRPNHTHFPTGNDTSTSNVQSKQNSLRSDCINGLNLESAVGMEQETGSTSVGDNALEGEYMNEAFRALSLSDWPDISYDVSSQEISLHIPLHRLLSLILQITFRRCYGDKSPHAITAGPDQLSVIHHDFFGHVLGGCHPYGFSAFVMEHPLRIRVFCAEVHAGMWRKNGDAAILSCEWYRSVRWSEQGLELDLFLLQCCAALAPSDLYVNRIIDRFGLSSYLSLDLERSSEYEPVLMQEMLSLIIQIVKERRFCGLTPAECLQRELIYKLSTGDSTHSQLVKSLPRDLSKIGTLQEILDKVAVYSNPSGINQGMYKLRLAYYKELDLYHPRWTSRDLQIAEERYLRFCNVSALATQLPKWTNIYNPLNGLARIATSKPVLELVRATLYYAVFTDKSTISRAPDGVLVIALHLLSLAIDICYMWKESGEWSNSSADSVPILAFAGEEIKTGTSTGCNGHSLLSLLVSLMKIHRLENPENLAEAGSLNLSSLIDNLLKKFAELDHGCMTRLQRFAPEVVNKLLQAKSNSDKSITALDSESDKRKAKARERQAAVLEKMRAQQSKFMASVKSSTDEGLDASKVVQEVSSSDGGPELEDAEQVICSLCHDPKSKSPLSFLILLQKSRLLGLVDRGPPSWDLLEKKCVATSIHTTSTSSPRSNVSTSSELSSSQLTHLIQNAVNEFASHGQPREVNAFLEFVRSRFPATNIQLPDSLDVRREGDLLSLESLEERMYVLIRGAMHDNLMHSDLVDKMGSSAARDDVMTRNEEAKTLLLGKYIAAFSKESLDNPSPSGSTSSHNKKPQSKSTTASQAYDGFSPSDCDGIYLSSCGHAVHQACLDRYLSSLKDRYIRRIVFEGGHIVDPDQGEFLCPVCRGLANSVLPALPGDSEKLCRLPIAPARDLSDSAVSITPCNAGVHSLHLQQASALLLSAAKISGNDEIIKATPMQHNGRIRPDPESIFRVLCGMYFPGKDKIVSSGRVSQSMIMWDTLKYSIMSTEIAARSCRTSLLPEYSQTALLKELKSSSGFILSLLLKNVQNTRTKDALSFLLRLKGIKLFAESICSGFSVEKFPSHPCRQGGHGVSGVSDMENRGNMLCILENAETELRYPDIQFWARASDPILARDAFSSLMWVLFCLPWPTLVCEESFLSLVHIFYAVTITQAILTYRGKRQCSITELGYHDCLVSDIYKFMEESGVPQQYFVSNYTDTYSDIKDYIRSLSFPYLRRCALLWKVIHSSMPVPFSHGAHVSESSSNATDVTLGYETNCSREELTEVEELEKMFKISPMHFVLRDEVLRSLASKWLRHFSQECKVRSLQCTTKLTPTVPYKLMLLPHLYQDLLQRYIKQTCSLCGKVPDDPALCLLCGDLCSPNWRPCCKKSGCQAHAMICGAGTGVFLLIRKTTILLQRSARQAPWPSPYLDMYGEEDIEMHRGKPLYLNQERYAALTYMAVGVHGRVLSPPCRSRVEGKSTALCLSKHHMASRTCTQPSWCQVAESGKSHMLTAPSLKGPCFLDGPLGVSSG >KZN01793 pep chromosome:ASM162521v1:3:25219691:25225755:1 gene:DCAR_010547 transcript:KZN01793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDTGTSTGVVDCAVGSIVWVRRRNGSWWPGKILGEEDLSGSHILSPRSGTPVKLLGREDASVDWYNMEKSKRVKAFRCGEFDDCIDKAESSQGMPPKKREKYARREDAIIHALELEKQFLEKQYGKLGSSSYHKSSKSSDAVKKELATSAESMRHGNGKCVDVKYRQLSEELDLSLKGITSPHLQTQKVKEEKQLSGDDENSNILPRMRGLQDLGLNITSSKLKNPSIESNGPSQSPLHDSTQAPVNGGGASTDNTGHVNDKASSAKRKRSYDERLAEDSIAKRRDRRRPLVQVLESSAMLPAPPSLKPEDSIVSLHSKGEEQTKLQGSVKTSKLVDQTKSGGSAYDSQIHPKDMEGSQYKLEENSKPAAECSQNNHPAAEHSQEAQDNNPAAECSQENNLAAECSQDNTSGSTEDTETDSSETDSLESDTDEAMAEISDESVEFRPKSMVKSKVQEVDGNRGSEEADDPATTSDTSHPSNDDVLASMGVSKWQQKGKRNSRALTKRYADVGAEKDSRESNQGTKFKGKGSNEMDNVNRKYGTRRGGYRSRGGDGIGHNITSWEDLTWNTQSVSKGLWGDSIEYKDPVSAGRRSGDRRKCMLVEVDLKVQSNYQREHVPMISLMSKINGQAIVGHPIQIETLEYGSSEALLGAADDELNQDPDASLPPMWRTARRTANCRVPRPRSSSMIDGDEGAEHLLHVRQERKSLTNKLDGGKLSHKRSTHRSGPSTRKKSVKKRGRKTSIASNQKTRTLASIATQQKPNNHLKHSSNSCQVNGIVKSESAPTAVACIPIKLVFSRLHEELAGRHQ >KZN03586 pep chromosome:ASM162521v1:3:46030773:46032497:1 gene:DCAR_012342 transcript:KZN03586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDFSLFSVFDSQDVHKPLEGLLNDMLVTQQTQVSSFGSDIPGGVDHSTTGIRSGRHPIIIEEPRVHPSGDQEKCIFSNSNVSTIPEPDQLILKGQKYEQSSKDSDFIHLMPHKNCTESFRVLGNYGKGKERCREDIFSGHSSKNTVTDHKLTTEEIMRVAGERFIHFSNKRFDGITSFIHPDGSALSCLSSEDTRMVDLAHLLLDSAEKVGNKQFDAANKLLLHSEGKVTDSGHPVERITYHFSKALRERITAETRTSVNQRETDQGRDYSGLFSGVENTCLVLHQAVPFSQVMQFASIQMILEHVATRKKIHLIDLQLRIGVQWAPLIQALSERETFPVQLLKLTALQTTDKEKAENIGKRLQDYAKSLNICFIFKAVSIVNMKDLKVELFNIRPGEAVVVYSPIVLRAMIPKPENLQILLRVIQRMRPKIMVVNEVEANHNSPSFVNRFTEALFFFSAWFDALEDCIDRDNQYRMNIERSYFGRGIQNILATEGEGRIIRCVTMNVWRVFFQRFKMVEIDISKASINQANLVLEKKFCCGNSCNIYKNGKCLIVGWKGTPLHSVSAWKFK >KZN01695 pep chromosome:ASM162521v1:3:23224749:23225177:-1 gene:DCAR_010449 transcript:KZN01695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYADSVSQKRPASKQLPPKTTVALRFYKTQSILHTQWIMAATKWSSSTSKTMKSMNDTEQASSSKSLGKWKVMWMKLIKQKNKMLQRSSVHNNQHQLPYDEYNYLQNFDQGFIADSEEPDILSRSFSVRFANPSTVFRRMQ >KZN02155 pep chromosome:ASM162521v1:3:30294777:30300968:-1 gene:DCAR_010909 transcript:KZN02155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICVSKPPPEPNLSNHIEIQENPEPKNPENPAKKSREDGELAKKSPFFPFYSPSPAHFLFSKKSPARSPLNSSSNSTPKRFFKRPFPPPSPAKHIKAVWARRVKPNEAAIPEGEEVEGGGLDKSFGFSKNFASKYEVGEEVGRGHFGYTCKAKFKKGDSKGKDVAVKVIPKAKMTTAIAIEDVRREVKILRALTGHSNLVQFYDAYEDHENVYVVMELCEGGELLDKILARGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLFMSKDEDSPLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVISYILLCGSRPFWARTESGIFRAVLKADPIFEEPPWPSLSAEAKDFVKRLLNKDPRKRMTAAQALSHPWIRSNNDIKVPLDILIFKLLKAYMRSSPLRKAALRALSKTLTVDELFYLKEQFALLEPNKNGSICLDNIKQALMKNATEAMKESRVHDFLASLNALQYRRMDFEEFCAAATSVHQLEALDRWEQHARCAYELFEKDGNRAIMIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSSRSLAKAQ >KZN02281 pep chromosome:ASM162521v1:3:31591268:31591655:1 gene:DCAR_011035 transcript:KZN02281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFMIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >KZN02428 pep chromosome:ASM162521v1:3:33429431:33431029:1 gene:DCAR_011182 transcript:KZN02428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKILTFEIGWFDQDENSSGAVCSRLAKDANTVRSLVGDHMALVIQTLSAMSAKAIKSQDQISKLAAEAVSNFRTVTAFSSQARILKMLKQAQEVPRRESARQSWYAGLGLGISQCLLSCTWALDYWYGGKLISNGVIDSKAFFETFMVLVIFAVLDRCTLIEPESPNGYKPARVIGHIELLDVDFAYPSRPDVTIFAGFSINVESGKSTALVGQSGSGKSTIIGLIQRFYDPLKGSVKFDGKDIRSFHLKSLRKHIALVSQKPTLFAGTIRDNITYGAPEETGVMEIIEAAKAANAHDFITGLKDGYDTFCGDRGLQLSGGQKQRIAIARAILKNPAVLLLDEATSALDSQSEKMVQDALECVMVGRTSVVVAHRLSTIQSCDTIAVLDQGKLVEMGTHSALLAEGPTGAYYALVNLQRTNTNN >KZN03380 pep chromosome:ASM162521v1:3:44192054:44194379:-1 gene:DCAR_012136 transcript:KZN03380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKKEETLDDNEDKFVHDYSVDHKGKVPLRASTGVWKASLFIITIEFSERLSYYGIATSLIIYLTKVIHQDLKTAAKSVNYWSGVTTVMPLVGGFVADAYLGRFSTVLISSIVYLLGLLLLTMSRVIPSWRPCASGLCHKPRKLHEVLFFLAIYLISIGTGGHKPALESFGADQFDDDHPEERIQKMSFFNWWSCGLCSGLLTAVTVIVYVQDHAGWGTADIILTCVMASTIVIFCLGRPFYRFRIPSGSPLTPMLQVFVAAITKRKLPLPSSPDQLYEAPKSANNQGRLLCHTTGLSFLDKAAIIEGGQSLVDKQNNPWRLTTVTKVEETKLILKTIPIWLTSLTFGICQAQISTFFIKQSATMDRNITHSFIIPPASVYSLNALGVIISVIIYDKLLVPLLRRATGNERGLSILQRIGVGMTFTFATMVVAALVEKKRLNRHYNDPINGSQSMSVFWLAPQFVIIGLGDGFTLVGLQEYFYDQVPDSMRSLGIGLYLSVLGVSNFLSSFLITIVDQVTERRGKSWFGKDVNSSRLDYFYWLLAVLTVLNFVAYVAVAQRYSYKNVQKRGGKAIVDCDQGDRMQATP >KZN03630 pep chromosome:ASM162521v1:3:46457688:46458855:-1 gene:DCAR_012386 transcript:KZN03630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIHVEDAYTISSMRFLLTMKAFQAIIINSSTDPDIAELDVIIAQNPHLAAPLTRFRRSFLENKARRVEAIFSCNLVDAPYLEVNDVSSSEYVRYLREKCRWTGMACYDENLDGNLKNDVRKVLGDVPCRVKRVMEDGRIVLVPLPPEQMEQHSQDGSRKRYETRSKKSSVGCLEFGYTNRYKKCSGN >KZN00631 pep chromosome:ASM162521v1:3:7045006:7047327:-1 gene:DCAR_009385 transcript:KZN00631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIGQVIRCKAAVAWEAGKPLVIEEVEVAPPQKEEGQTPVFPRILGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMLSDGKSRFSINGKPILHFVGTSTFSEYTVMHVGCVAKINPLAPLDKVCVLSCGISTGLGSTLNVAKPKKGSTVAVFGLGAVGLAAAEGARIAGASRIIGVDLNSNRFEYSKNFGVTEFVNPKDHKKPVQEVIAEMTNGGVDRSIECTGHIDAMISAFECVHDGWGVAVLVGVPHKDAVFKTSPMNLLSERTLKGSFFGNYKPRSDLPSVVEKYMNKELELEKFITHEVPFAEINKAFDLMLKGEGLRCIIRME >KZN00879 pep chromosome:ASM162521v1:3:9526105:9527141:1 gene:DCAR_009633 transcript:KZN00879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVMNSVPLSLKPSFAVEKTAVRGVPSLARTCNLTIKASKVKKIKTDSPYGINGGMSLKEGKDASGRKAKGKGVYQFADKYGANVDGYSPIYNPDEWSPSGDRYAAGTTGLLIWAVTLAGILGGGALLVYSTSALAS >KZN03770 pep chromosome:ASM162521v1:3:47937770:47939467:1 gene:DCAR_012526 transcript:KZN03770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLMQQQQATRGGAYNTTSITTDHIQQYLDENKSLILRILENQSSGKLGECAENQAKLQRNLMYLAAIADSQPPPSPMYSQGGMNYMQHQQSQPMVPDPAIAARPSMFYGQQHPYMALHQQQALQSQLGMSSAGSSAGHSLQSDITLGSGGSAMFPSFGRSSGEGWQAASRAAGGSKKEDNGSTGSVEDHSGKS >KZN00072 pep chromosome:ASM162521v1:3:1665005:1665208:1 gene:DCAR_008826 transcript:KZN00072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYFKKNAQQVSRWQRTRVTHQNGTESQNLTIKTPPFVSFVFVSDILNLEHKINNYFVQQVLTRKLL >KZN00102 pep chromosome:ASM162521v1:3:1956365:1959111:1 gene:DCAR_008856 transcript:KZN00102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDIQTKFTYLGRTFNSLNIGDSSAAFSDCNSDRSGEFSTTSTQSRRLLTATLAGNSDDYVIEQLVSDLQSSSVDDQKMAAMELRLLAKNKPENRIKIAQAGAIKPLISLISSIDPQLQEYGVTAILNLSLCDENKELIASAGAIRPLVKALRIGNSTAKENAACALLRLSQIEENKVAIGRSGAIQLLVSLLETGNLRGKKDASTALYSLCSVKENKIRAVEAGIMKPLVELMADFGSNMVDKSGFVLSLLVSVAEARVAVVEEGGIPVLVEIVEVGSQRQKEIAAAILLKLCEDSSVYRTMVAREGAIPPLIALSQSGTSRAKEKAETLVELLRRPRSGNTAARTSDVSD >KZN02888 pep chromosome:ASM162521v1:3:38630882:38632201:1 gene:DCAR_011644 transcript:KZN02888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGLLLASISRRQLHVISKSKCIVKPASPTPPKLKQYNLSSQDRMIRNLYMPIILFYPPQQLANTTLSKISSTLKSSLSQTLSIYYPFAGRLRSGSYIHCNDEGVDFIEARIGSPFSEALEKEAEKSEAGLGHLFRHESIWDEVSGEDPSLLLVQLNYFTGGGMAVAVSLSHRIGDACTLCTFITYWAHLTRHSGDHEKIGHLSPHFSQMPPYCEGDSVIPVSSLPKKFWITKEIVFRNSMIRNLKAKIGSSCQEKYTRNELVTALLYRSVVTVLAKQDSGVFATTVLAQAVNVRSIVDPPLPLSSVGNWFTINHIPTSTQSELMLNSLVERMRKGKMKIKGMKSLDGNEVMPILTDYRNRNCRVISFTSMCNFPIYDVMDFGWGKPAKVTIVDTPFVDCIVMMDTPSGDGIKAIVSLEEEAMGHFLGDTELLTCAPF >KZN01598 pep chromosome:ASM162521v1:3:21358907:21360723:-1 gene:DCAR_010352 transcript:KZN01598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGVSQSGISSANGTMMPTPGMGQQGQYLNFFTVLVLASAPKPLFGLAGIMWVAFAESKQKLPQFPLPTHNVVVRGHSPLEFEEHPSYGTALSSVIEGKNEEMSNT >KZN02110 pep chromosome:ASM162521v1:3:29712604:29714197:1 gene:DCAR_010864 transcript:KZN02110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRPLFVQFCANDPDTLLEAAQRVAPYCDYVDINLGCPQRIARRGNYGAFLMNNLPLVKSLVEKLASNLSTPVSCKIRVFPDLEDTLNYAKMLEDAGCALLAVHGRTRDEKDGKKVRANWNAIRAVKNAVRIPVLANGNVRHMDDVQSCLEETGADGVLSAESLLENPALFAGFRTAEWAVNSSDRNENGKIDQGTLLEEYLKLCEEYPVPWRMIRAHVHKMLGEWFRIHPHVREDFNGQTKLTFEYLYDMINRLRELGVEKPLYVKRLA >KZM99978 pep chromosome:ASM162521v1:3:740974:744264:-1 gene:DCAR_008733 transcript:KZM99978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRXGRAGPPDIKDTYSLLVLNITFRTSADDLFPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVERLDGKVVDGREIMVQFAKYGPNAERISVQFVSVVLDFSSKGRILEPVEKLGRSRSRSPRPRYRDEHRDKGRRRSRSRSRDRSSREYRGRDRDYHHRSRNRSISPDYRKDRERSRYDDARRSRSRSPGSASPRRSLSPRRSLSPKKTPTRDRSSDGRDHKERSPVARSVSPRPRAADSRTPSPRNSDAEE >KZN03749 pep chromosome:ASM162521v1:3:47637879:47640113:-1 gene:DCAR_012505 transcript:KZN03749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDQSQALPYPLNSYCGGSLDLYSDQNMCLRYGMTNDGHRDQCPEGIASFLSLLESIPIYNVGSDYGSNEHTVPYSRYILKKRFDRGSYGEVWLAFHWNSSIGTNASRWRFPSSTFDPIPCDRDVDGSFTSDCGAGIPDDIKFILKRIMREEMLST >KZN02311 pep chromosome:ASM162521v1:3:31910377:31914319:-1 gene:DCAR_011065 transcript:KZN02311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACKTLIQAWVSSCTECNLRRLNSRTSLSSLFLPLLPPRFLSLRSPPGYVSLGVSRKQYSRCASTQLAVSEYATELPLDEQLSDEFDNKDTVEQILNKTDDVTTFMKMERRPEGESRFSDSSRWFPYLDEFRAGDAVLNSDQVLESVDSYIMESRKSRFEDAVRNRSYAVCLVVEGLSDFGNVSAVFRSADALGIQSLHVVSCNSSKRYRENRHVSMGAEKWLDIELWDSTKECFEVLKSRGYRIATTHLGMDAVSVHDMDWTCPTAIVVGNEKRGISDEALSLSDLHCSIPMKGMVDSFNVSVAAGILMYHAVCDRNSRMGSHGDLTQVESKILLAEFSLRHSRSALSIAHEYAKRKLKPTPKL >KZN00210 pep chromosome:ASM162521v1:3:3091562:3093093:1 gene:DCAR_008964 transcript:KZN00210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLGKSTLAGKLYHSSELGHFKRRAWVCVSEDYDIINVLKKLLKCFGADEQDSLTNMNEFELRQNLRKIMLNIDHYLVVIDDIWDVEVWEKIKNAFPDKDDGSRVIISTRNKTVAEGADDTCFVHQLRFLSEEESWQLFCKRAKPTPNLEVIESRVDDDFIDVDVEEYRYILESISNLTSLHTSVLNLIYRISTLQPLLSCKHLKSVMVRSMIEDTAELRFLPDSVTVLALSFSCFEQDPMPTLGSMPNLTMLSLGISTYGGDTMVCSKDQRPVNNRIGLIRISVLDYGLWTCTWDSYNFVIEEMCNCIWTMDLHLG >KZN03160 pep chromosome:ASM162521v1:3:41846220:41849825:-1 gene:DCAR_011916 transcript:KZN03160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLERRISYSSIASLEKENKKVHLINDYNGPVWDEPDIQDVCTSDSRSLEQDYAYEFPSGSEDFLDEEYGSSQDYSRYVQHRAPEVNLKNVLSGIAAIVTGRNKDPSSCRDQQSPSSDVLFLRSEKNGDTFLQSSVYIPSAPPLLEHNGTNYIAYQKVLEAEPPEWLPDSSSTSCMQCNFPFTALTRGRHHCRFCGGIFCRACSKGRCLMPVKFRERNPQRVCDSCYDQLDPLQGVLINTISNAAQVSKHDVVDWTCTRGWLNLPVGLSMEHEIYKSSSTLRSYSQVARLNPEKSIPSAVLKGAKGLAILTVAKAGALLTYKIGTGLVIARRSDGSWSAPSAIVSVGLGWGAQVGGELMDFIIVLHDYKAVKTFCSRMHFSLGAGCSAAAGPIGRVLEADLRASEKGSGMCYTYSCSKGAFLGVSLEGNIVATRLDTNLHFYGDPYLTTADILLGSVDRPKAAEPLYAALEGLYSKFG >KZN02757 pep chromosome:ASM162521v1:3:36949728:36950914:1 gene:DCAR_011512 transcript:KZN02757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLLLGRSAKCLVSATHLFSNAAKRRYLLVPTAISSLRQFSCDVNNVSNINQQPAVDKDKLVSDILSQLGSMREEIEFLRKAKEESRESPREEKTVVVKEKHPLLKQIELAMSKDETSSRADILPGGFEVECDKPGVAKVTLKKAYKKETIKIEVFSPCYCDDEYELKVIVKVSFSRIFSSIVSEFHCTATNGQLIIDKIKDPSDGPNCEGVIFERLPLGLQTEFYMYLVTRGIDDDTSDMLHEYMVDKMKRENMRGLGKFKKFVEDA >KZN02858 pep chromosome:ASM162521v1:3:38119371:38122681:-1 gene:DCAR_011614 transcript:KZN02858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPIMGLLTESKSPETRVEEIEDTKKNEYPSEITVKEAMSKRAAYFKANSENITMAGVRRLLEKDLKLEECTLDPLKKFIREEIDKVFASNSSTLSTGNKKKSAPRKTLKNVSSGGSSNSTDSGSEDMEEVKPRKKIVRKEKVPTSKMSNKRKRPAEAKASAKKQNKLAKLTSEEVSGDDGGNDSEDDQSHSSEEKPVKKKEVSAPAAYGKDVERLKSIIKACGMSVPPVIYKKAKQVPDDEREDFIVKELEKILEKEGLSRKPDEKEIKEVRKRKERAKELEGIDMSNIVQSSRRRSTMSFIPPPKPQIPVESDAEESENSDDGTDDDNSDEDKSDGDVDDIDMDEQNDSQTDEPHEGTSTIPYELYHAKVLAYLMQFNKGNVNL >KZN00282 pep chromosome:ASM162521v1:3:3697868:3713579:1 gene:DCAR_009036 transcript:KZN00282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTGNLSKNNSLKLTTQHSARRLGFCSQVATGQHSSPTVFPEKRSKVKKSKRSEISVSIDDLKKSKTEEHRIDIGDEKSDLLGYDVFSGKLVLDKRKTNKSSDTNTSTDITNQDSVDAKLTSKALVWGSQLLSLGDVVSVSYTFGLRQFTVHAYPIKRASRGLSCFKKSGRSRKDFRFLASTIDEAVQWVTGFADQQCFVNCSRHPLVSSKKLGSDFLFSDFPLEPYIKCKHPPTMLVILNPRSGHGRSSKVFHGLVEPIFKLAGFKLEVVKTTAAGHARNLASSVDFNTCPDGIICVGGDGIVNEVLNGLLSRENQREAISIPIGIIPAGSDNSLVWTVLGVRDPVSAAIAIVKGGLTATDVFAVQWIHTGAIHFGMTVSYFGFVSDVLELSEKYQKRFGPLRYFVAGVLKFLCLPKYGFEVEYLPALKEKTDRDAEASANREVVDMSDLYTDIMRRSSKDGIPRASSLSSIDSIMTPSRISGADLDTTCSSTEPSDYVRGIDQKSKRLSSGRRNVTEEPEVIHPQPPLSSTPNWPRTRSRSRADKGWNGLTATHDATRSSWGTTAANDREDISSTMSDPGPVWDAEPKWDVESHWDMENPIELPGPTVDNEDEVPRFVEEWVTKKGQFLGVLVCNHSCKTVQGLSSQVVAPKAEHDDNTLDLLLVHGNGRLKLLRFFLLLQFGRHLSLPYVEYVKVKSVKIKPGKTTQNGCGIDGELFPVTGQVMSSLLPEQCRLIGRSPGAPGVEKKKNWIDMEKNSGFLAKIKRAACLDSSTPDTGKGKSKSSGNKVAHGFHLVEGQAGHDMEDYHVAEYRYKKNHMLGLFAIFDGHLGDRVPSYLKDNLFDNILKEPNFWNNPEMAIKNAYRSTDRFILENSMQLGPGGSTAVTAIVIDGKDLWVANVGDSRAVLCERGSANQLTVDHEPHTERRRIEKQGGFVTNLPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDIRHIPIDATIEFVILASDGLWKVMSNQETVDMVKSVKDPQAAAKRLTSEALARKSKDDISCIVIRFG >KZN00323 pep chromosome:ASM162521v1:3:4201475:4201843:-1 gene:DCAR_009077 transcript:KZN00323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEISTEGDVYSYGIFLLEMFSGKRPTCSSIVTDNVNNLHDYVRKALPERVTDIADPRIILDQEDHGSTANQSQKRATLEVCLALIFEVGILCSLEMPRERMNITAAVKQLYTARDKLLDK >KZN00764 pep chromosome:ASM162521v1:3:8258047:8260765:1 gene:DCAR_009518 transcript:KZN00764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPSMSSSHHTQSFDNYTVININNETEFHPNNVWRDSSFDFSNDVKSVDSETNAAQRASLSEVPESPDLYGQISPKAEKFSFSESLQQRRGRNGGSGGSSNRSVPRESELMRMKTKSRLIDPPEHFEDFRRSMKSGGCIEEESEYHDDDPYVEEDFPEEFKMIKFSKWAVIQVFCMIVIIAALVCSLFVKFSDKNKLLGVELWRWGLLLLALLCGRLVAGWGIRVVVFFIELNCMLRKRVLYFVYGLRSAVKNCLWLALILVAWRFTLYEKVESLAHGKVLVCVTKTWVCLLVGTLIWFLKTLIVKVLASSFHVSTFFNRIQDALFDQYVIETLSGPPLMDIHIEMEEEQIMAEVRQLQNAGATVPPEFRRTISSSSEKLDRSTKSRKRFPTFKSSRFSTVATKKENEGITIDHLHRLNQKNISAWNMKKLMNIVRKGTLSTLDEQTRDCIGEHKGAVQITSENQAKLAAKKIFCNVAKPGSKHIFQDDLMQFMRDDEALKTISLFEGASGWRGISRRELKNWMVNTFRERRALVLSLNDTKKAINKLHQMLNIFVGLIIAGLWLLILKVASTHFFVLLSSQLLLVVFVFGNTCKTMFEGIIFLFVMHPFDIGDRCEVDEVQLVVEEMNILTTTFLRYDNQKICYPNSVLSNKPISNYYRSPDMGDAIDFCIHISTPVEKIALMKEKITSYIEKMSDYWYPAPMIVLRDIEDMNRLKISIWLSHRINFQDMGERWVRRALLVEEMIKIFKELEIEYRMLPMDVNVQNLPAMASNRLPSNWTSCAN >KZN02392 pep chromosome:ASM162521v1:3:32990100:33000526:-1 gene:DCAR_011146 transcript:KZN02392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKWPSLTLLYLVVPLSIILPLLILACCVFICRTRNTPPDIETGYKNQNMMNVTRGAMCSIWNFDGNIAYEDIIRATNNFDFRYCIGTGGYGSVYEARLPSGNTVALKKLHRLEAEEPAFDRSFRNEVHVLSSIRHKNIVKLHGFCLHRRCMFLVYEYMEKGSLFCALRDDAHAIELDWSKRVNIVKGIAHALSYMHHDCTPPIVHRDISSNNILLNSKMEAFVADFGASRLLDLDSLNHTTVAGTYGYIAPELAYTMVVTEKCDVYSFGVVALEIIMGKHPGDFLTSFPTLKCTQNAMLNNVLDARLPRPTRQQELDIILVLKQAFALIVSLVVAGDNGDSSASLSETREAQREALLTTGWWTDQIPSSNTSKWQHCNWRGIYCSKVGEVLSIDLRLIELYTWGELGKLNFTSFPNLQTLDLSGCDLNGSIPYQIGTLLKLNHLSLSGNYLKGKLPSSLGNLTQLQFLDVSDNQLSGFIPLELGNLSNLVSLYLQSNMFTGSIPWELGNLSNLVYLYLGQNQLRGSVPSSLGFMIKLNHLDLNFNQLNGSLPFQQANLTQLTKLEVSSNALTGSIPVMSSCNNLRYLDLSNNSLSGHIPEELGDCSSLEHHPTAIQNKIHVSNGDTDMFSVWNFDGNVAYNDIIRATNNFDSKYCIGTGGYGSVYEARLPSGKTVALKKLHHWEAEDPASDRSFRNEVHVLSNIRHKNIVKLYGFCLHNRCMFLIYEYMKNGSLFCALRNEAHAVELDWSKRVNIVKGTAHALSYMHHDCTPPIVHRDISSNNILLNSEMEAFVADFGASRFLDPNSSNQTMVAGTCGYIAPELACTMVVTEKCDVYSFGVVALEIMMGNHPGDFISSFTTCKSTQNSTSTSNLKGISVRESDQRLERTENKTLVKYIADATNWKHTLLDWHAFKTQLSLLTVKHLTGKLPSSLINLTYLHILDVSRNDLSGPIPSGISNIKNLLSLNLQYNKITGYIPWDVGNLSKLHMLDLHYNILREDSSNPSSVSSTSTSETEREALVTSGWWGNQISISKTCNLKGISCSAGRVISIDFRSTGTHIADGLEKLDFSAFPYLQRLDLSYCGLNGTIPYQIGMLSELNYLSLNNNYLTGKLPSSLSNLAQLQVLDVSHNVLKGSIPSGVGSFKNLVYLDLGYNEFTGLIPKELGNLSNLLDLYLRNNNLNGYIPLKLGNLSNLVNLDLGQNTLTGTIPSALGSLPKLKRMDLSCNQFNNTSPFQQANLTQLRWLDVSNNSLVGSIPVFRNCDSLRFIDFSNNKLSGHIPKELRNCYALEQVILSHNELTGSIPRELAKLSNLAILDLKRNQLTGDIGSTVSSLTKLTQLDFSYNQINGSMPVFKNCNELYYLDLSHNFLTGHIPKEIEYCHALRHVRLGYNNLSGGIPTRLLYMDLDISQTNLSRTIRYIYNDGLPPPPLALSPPPVHLSPSPPKFSHNNGPDTNLNSRPKKQDTHVLYIVLPLTIGLLLLILALVFFCRGTPKKIENIVDVQNGDFFSVWNFDGKVAYQDIIRATDNFDIVFCIGTGGYGSVYKVRLPNGQTVALKKLHRLEAEDPDFDRSFRNEVHVLSNIRHKNIVKLYGFCLHNRCMFLVYEYMNNGSLFCALRSEAHALELDWTKRVNIVKGIAHALCYMHHDCTPPIVHRDISSNNILLNSEAEAFVADFGASRFLDPDLSNQTMVAGTYGYIAPELAYTMVVTEKCDVYSFGVVALEIVMGSHPGDFISSLPSLKSTQNGMLNNLLDTRLPRPTRQQELDIILVFKQALACLCVNPKLRPSMISLSQKFLQTPKTLAGDSIYTTSIEQVC >KZN01326 pep chromosome:ASM162521v1:3:14491040:14494870:-1 gene:DCAR_010080 transcript:KZN01326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKKSRGAQKATKKKLTYLDDSPVLLEVSTANEISDLNLVALFPTTGDVNQEPVNQSDDDFVEPFPQVVKCISTVERGTCSNVGVLTTSDSLIKKLQRQIKTLEGNEKRILSQVEELKNTVQQQRAYFDSELLKLRDMLTEKVRTENENQDRAFSDGINDGFVDDRSVLNEALNEEGVEITFEQSTPVCGEACGKESAGTSLPAQAANDVVLDELSPNTINQLEVEMKKIEDSSSAKKRKMVKVDSQIIGDKVASEPVLCFVDPGTDDLQEDAQANEKDAGFSVDNDNEVDDVFTSCDLFSLDRDLKNLKFKELLESEVAVPIPESAEDFVLQVARDVAKLCALDEEEDVEDAFKKSMDVDMIDAGMHKSKVVVLHNSSIPGTTDLANVTPAVPKRIVKLPAYLQSPFLQHFGSSSKANEDDSKMKRLKAVYPLDDKIGELPDMDASSEFLNWLDTGLIMNKRKKNFYSKQDNIISPPMMLGSNAISQKTWFHILEYGDSDLSTSHVDVFFYYLRKMSKYNEQCPVNFTTTDCTFMNDIVTKYEKISGEADIESKIRGDDCILDVIKGNALQYGKPWSMVEYVFFPIWLPEQEHWLLGILSLSMRQMFVFNSLTCQGFVQIIRTAVLPLADLLPHYLKLTDFYSRTDINFTTDLYSEYSKDDPKRTVLKNKFPRAASK >KZN03003 pep chromosome:ASM162521v1:3:40070827:40073397:1 gene:DCAR_011759 transcript:KZN03003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSMLSALGDLYLYKFSGVLFGDHVAQWALFAQITNWFMFYCTTRTLSNSLETVLTLVGLYYWPCMRNSTSNKVSMVPRKYGLAAAALACAIRPTSAITWIYVGLLELIVARDRLKFIFLEAAPIGGLVLGLTCLLDRLMYGSWVLVPLNFLRFNFLSSGGDYYGTHVWHWYFTQGFSVMLFTFIPFSIYGVILSKQWKLSGLIIWVLGLYSVLGHKEFRFVLPVLPIALMFSGYHLSVISASTPDIKRKISPSKFGKRSLKSRLAIFFLLATNVPMALYMSMVHQRGAEDVMNYLSREANYGKVKSVLFLTPCHATPYYSTLHENVPMRLLDCTPSDTKGIPDESDRFMIDPAGFVAAFAKNWSVPSHIILFDSQERLLKDFLALHSFQERRRFFHSHFKVDRDLQASIVVYTLSREGQ >KZN00295 pep chromosome:ASM162521v1:3:3927231:3931764:-1 gene:DCAR_009049 transcript:KZN00295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLATKRFRPDQVLGEGGFGIVYKGVIDENVRPGYVKTEVAIKELDPEGIQGDREWLVVYSLVACRSFSASLIQQFIVGVSVTMTWSRRIKIALDAAKGLAFLHGAERSIIYRDFKTSNILLDANFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTDPRMEGQYSNKTAIKVATLAYQCLSQNPKGRPLMSQVVEILESLQTRARREDVSIHTGASVTLYEVPKSVPQNPTDNQSEPNENVREKDAETSMCKPVKQRSKSEPPKENDLYDPCDDAIFDDERSDSLRI >KZN00481 pep chromosome:ASM162521v1:3:5708520:5709837:-1 gene:DCAR_009235 transcript:KZN00481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSTISAYLDPANWQQQPNNYQTNASLPPSIPAPPPLPQLHGGSGAGSIRPGSMTDRARMANIPMPETAQKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSSKTSSGNASKSPSRSETASGSTSSALPPNTSTATSFLGSLTSQFPQLRFMAPMSSTTHLNDQYGEIGMNYSANEMNFPIGSTSNYLFGGGGGGGLASVLSSGGSGVEQWRMQQGGNHHFPNFLGGFDPTVTSQQGLVYQGGGVEVASSGGGGYAAMADKFLNNSMLSQMALVKREGNNANANNNNNNSINRDDDHQTNLARQFLGSAGQVGISDHQQQWNPSGGTPAWTDLSGFSSSSTSNAL >KZN03867 pep chromosome:ASM162521v1:3:49461682:49472052:1 gene:DCAR_012623 transcript:KZN03867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDALEMARSKDTKERMAGVERLHQVLEASRKSLSSSEVTALVDTCLDLLKDNNFRVSQGALLSLSSAAVLSGELFKLHFNGIVPAVVDRLGDGKQPVRDSARRLLLTLMEVSSPTLIVERAGSYAWMHKSWRIREEFARTVTSAIGLFASTELPLQRAILPSILQMLNDSHPGVREAAIVCIESWSILIEIPIFILFSCSVFSRFQYFLVATLNQVILTLFLLMQEMYTHIGPQFRDELLRHHLPTSMVRDINARLERIQPKTSISEGLNSNYASGDVKSSSNNLKRSSPKAKSVTRETSLFGGDNDLTEKPVEPIKVYSEKELIREFEKISLTLVPEKDWSIRIGAMQRVEALVIGGATDYPCFRGLLKQLVGPLSTQLADRRSSIVKQGCHLLCFLSKELLGDFEACAEMFIPVLFKLVVITVLVIQESADNCIKTMLRNCKVSRILPRVADCAKNDRNAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVGDAMSEVRSTARACYRMFAKTWPERSRRLFSCFDPVIQRLINDEDGGMHRRHASPALRERSSQISTNPQISVSSTLAGYGTSAIVAMDRKASVNSGPSFSSGLFSSQASVGKSTERSLESVLNASKQKVTAIESMLRGLDISEKGRSVSLDLGVDPPSSRDPPFPLAVPASTSLASSLSLDSTSGISKGNSQSGGLVLSDIITQIQASKDPSKLYRGSMGSEPLSTFSSYSMKRPSERHQERGHLEDTDVREARRSSNLQSDRQYPDTSYRDTNIRDSHNSYIPNFQRPLVRKNVAVRMSAGRRRSFDDNQFSQGEMSSYVEGPASLNDALSEGLNSSSDWNARVAAFNYLRSLLQQGTKGVQEITLSFDKVMKLFFQHLDDPHHKVAQAALSTLADIIPACRKPFESYMERILPHVFSRLIDPKESVRQPCSTTLDIVDKTYGVDSLLPALLRSLDEQRSPKAKLAVIEFAIGSFKKHALNGEGSGNTGILKLWLAKLTPLVYDKNTKLKEAAIICIISVYSYFDSAAVLNYILSLSIEEQNSLRRALKQKTPRIEVDLMNFLQNKKDRQRSKSSYDPSDVVGTSSDEGYVGASKRSHLYGRYSSGSVDSDGGRKWSSGQDSTHNSNIIGQIANDTQDRMYHDLERGSNTGRNLRSSDVNYGVSIPGDNLQSWNIRQDNINGVNVEDSSTPHNDMNGLADTEHLWVSTGNNFGNGSPGHTKLASNSKSVPDTELSIPQILHLICNGNDEGSNASKRGALQQLVETSVANDHSIWTKYFNQILTAVLEILDDSDSSIRELSLDLVVEMLKNQKDSMEDSVEIVIEKLLHVTKDPDAKVANEAESCLTSVLSQYDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMAQLPSFLPSIFEAFGNQSADVRKTVVFCLVDIYIMLGKTFLPYLEGLNSTQLRLVTIYANRISQARTGTPIDTNNE >KZN01195 pep chromosome:ASM162521v1:3:12943044:12947299:-1 gene:DCAR_009949 transcript:KZN01195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRCSGGHKPIMQKQFYDQYQKAKPCDLWSNHYQAMQEQLRKLREVNRNLRNEISHRKGESLNGLSHEELYILEQKMQEAVDITRAQKMKTITNQIEKSKKKVRNGEQVQRTLLQDLELFKEEDPHYGLVDNGGDYETVFGCSNAYPGLLALRLQSNHYNFHGGAGSDLTFA >KZN02031 pep chromosome:ASM162521v1:3:28586596:28587230:1 gene:DCAR_010785 transcript:KZN02031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPKSSVKINSQQLIIGRIKPAGPGIPERSTKKACTRTPLSNITNTPLSNITNIPTGAKLQPKRAKRKGKAVHNDWENIPLNDWSRNLFDEELSEKPNTAPHLYDDKDETATEGVYFSDDGLFDSECSDEHILPYAADDMLGSTMHQQDYSSDSASGNITVTS >KZN01348 pep chromosome:ASM162521v1:3:14718739:14719203:1 gene:DCAR_010102 transcript:KZN01348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDFTGVPTNMLTVNGTLRLSVYNPATFFGIRVSSTPVNLIYSDVVAATGQLKKYFQSRKSHRTVLVNVEGLRVPLYGAGSAINETKTGYQVPPELKFDL >KZN02842 pep chromosome:ASM162521v1:3:37967997:37969741:1 gene:DCAR_011598 transcript:KZN02842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTPTCSPSSSRLWRPAAQRNLRNQWANLSSYKHKWASLSSSARSHATSLVNSSLSLRYMDARDLGVLTDLPGIKNKASLKLFKQQELHRSKLLASYKNMVAIVNQMVSAGASMRCFLKGVDNSSILQYSNSALNSTDRLLVIEFFSISNEDARKDNELSWQDELYSGEFDDLSKCNLYSTEACAPLLPTIKGRKPGKLKLQAEHQPDEDVLQVMSSF >KZN01669 pep chromosome:ASM162521v1:3:22824760:22825711:-1 gene:DCAR_010423 transcript:KZN01669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVFIHQKQDVLVLLVNYEMNDPSDWTGGCKPTFSITCNASNSEQVKFVGLPRTDFYGFGAVYMGVLADDRVEVELTKFVRVMKSRILDLEEPWPEDIIDPRLQGKFNKNQAAVLIEIGLACVEEDRTRRPTMDSVVQTLLKYEDKFITYQSR >KZN03877 pep chromosome:ASM162521v1:3:49550841:49553867:1 gene:DCAR_012633 transcript:KZN03877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYRKVQKAKAEGSTNENEIRITSQGFENDGREIVLKAMGPAINKAVAITEIIKRRIPQLHQDTVISSVNIVDVYEPIEEGLLPVETSRNVSMISITLSTKELNKNSPGYQAPATAEQVQQQQQSRKQRTYQQQQPPRQHNNQHRRQNNYQQQQTSSPQNNYQQEQPSKPRSNYQQQQPPRPQNTYQQQQQSRTQNNYQQQSRTQSNYQQQPVARQAHQGYDVADEDSYGRGRGGSRGRGRGRGWSRGGYGNYEGNNHGNYEGNYQGEGDGGARGGGRGRGYGRSRGTGRMGSYARDDSYQR >KZN03929 pep chromosome:ASM162521v1:3:49976691:49979012:-1 gene:DCAR_012685 transcript:KZN03929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFITGCGAPIVDESLDKELEESDDKEPEDRKGWLASWTEDEDFKKKEEIKILVMVTSINEILETNNEENAPKRIILSRAARAAPKNIISSTSGNHVEESYQYNAEDDESSEDEEDDRHFKTRPSRYVAVEEERHFSRGSKGREKGSHGSHSHKRRVFILMFETSCHVKSYYLLELISFTMHRPMPYF >KZN03444 pep chromosome:ASM162521v1:3:44693155:44693721:1 gene:DCAR_012200 transcript:KZN03444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLGIIISLSVLLTIFIACSLDVDALSCSPSGKIRGRKAPQGQCNRENDSDCCVQGKLYPTYTCSPRVTGNTKATLTLNSFQKGGDGGGPSECDNQYHDDDTPVVALSTGWYSGGSRCLNKITISANGRSVEAMVVDECDSTMGCDDEHDYQPPCPNNIVDASKAVWKALGVPKDNWGDLDITWSDV >KZN00852 pep chromosome:ASM162521v1:3:9270590:9270859:1 gene:DCAR_009606 transcript:KZN00852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSNNNHMNPSHPLLPLLLPQPPYYSPAPSPFSASLTPTSLYLFISLPAATLELQHLAAPTPRCHRFISMSQSQPICYRKQLRLPQNL >KZN03433 pep chromosome:ASM162521v1:3:44515836:44517777:1 gene:DCAR_012189 transcript:KZN03433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKVALAAVVCLVGWAYVAIIKPPPAKVCGTPGGPPVTSPRVRLSDGRHLAYKEEGVPKENAKYKIITMHGYASSKEFNLIFSQKLIDDLQIYLLSFDRAGYGDSDPNPKRSVKSEAFDIQELADILKIGSKLSGAALVVPVVNFWWPSVPTSLYKETLGSLTFQDQLAIRVSHYAPWLYYWWLTQKWFPTFSIGQPNSPLFSYSDHEAFKNMLSIIGSKKLTNDKARQQGDYESLVRDMITGYGNWEFDPTEIANPFPNNEGSVHIWQGFDDKIIPYQLNRHISEKLPWVRYHEIPDSGHLLAFKGNNSDVILQELVLG >KZN00271 pep chromosome:ASM162521v1:3:3568492:3569909:-1 gene:DCAR_009025 transcript:KZN00271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIENGVKEDDDNKWPPWLKPLLETRFFGQCKNHPSAHKSECNMFCLDCFDGALCALCLASHQDHRAIQIRRSSYHDVIRVSEIQKYMEITEIQTYVINSAKIVFLNERPQPRPGKGVTNTCVVCDRSLLDSFSYCSIGCKIVGASKNFRKKKTRLTETCGSDYFEEESMADIGYGGVRNVFRGFTPSTPPQTTGIYRSAKRRKGIPHRSPMGGSLILEYC >KZN01824 pep chromosome:ASM162521v1:3:25751673:25755846:1 gene:DCAR_010578 transcript:KZN01824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCCSKSVTIAVDDVKRPEAASAVDAGTNGATNSSKDTPAHSFSSSPFQTHYPAGVDPSPSWTPKKFFKWPFPPPSPAKPIMSAIMKRQATKKVKENPVADSSVEERVEPELDKTFGFSKNLCAKYELGKEVGRGHFGHTCAGKAKKGQLKNQPVAIKIISKVKMTTAIAIEDVRREVKILKALSGHENTVKFYDAFEDAQNIYIVMDADERLNDIVGSAYYVAPEVLHRSYSIEADIWSIGVIAYILLCGSRPFWSRTESGIFRSVLRADPNFSDSPWPNISPEAKDFVKKLLNKDHRKRMTTAQALGHPWLRDEKHPIPLDIQTYKLVKSYIRATSFKRAALKALSKALTEDHLIYLRAQFNHLEPKDGFITLENFRLALAKNMTDTMKESRIPDILIAMEPLSYKGMYFEEFCAAAISSYQIEALEEWESIASTAFGYFEQEGNKATTVEELAQEMNLGASAYNLLKDWIRNSDGKLSLVGYTKFLHGVTVRSSHNTRRQ >KZN03350 pep chromosome:ASM162521v1:3:43897510:43898858:1 gene:DCAR_012106 transcript:KZN03350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMNFATLRGLSQSSRSILQKASRSNIRVVLGSSGSHEFIVKAGYAKLKKKYGINSNRKCSESRSTPENREENYTDDQAVNCTNDQVANCTNEQANCTSEQVVNCSSYQAEEFRGEDFCGEDFCGEVFSGGEEFHGEDFDREEFEGDKIFRSVEFTTRVPISSKTATRVDDFVSFIFNNAHQDEEK >KZN01990 pep chromosome:ASM162521v1:3:27796074:27800817:-1 gene:DCAR_010744 transcript:KZN01990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRVVDGRGGGGAGCGGGRVGQVGCDGNDDPAIGRDRSNGGSGGGHRGGRGYAEDPNVDLMDDDNDAARGDDHSQEQDEQCNNNPEEQSNSNQEEQASNNEEPRVVLPNIHRGRGYSAGLFGNLPSAPIVTRIANNEIEFGVASRTLLAIMRRYWPDDVVSMTDMDRMHPGWWNLVLTDFGAYINKHQKEPPLLEHFKELHTLRGKDTLISPEAKKIMGLDDKCFLSLSGSLS >KZN00411 pep chromosome:ASM162521v1:3:5109875:5113898:-1 gene:DCAR_009165 transcript:KZN00411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRSLINRAVPFITSRIRENSRLMIISRRGRCYSSSSTEPLSEPQSSSSSESIQMTDNFVRRMKELQVDEDKEKMLRLSIEAGGCSGFQYNFTLDDKTTSDDRIFERDGVKLVVDKISYDFVKGSTVDYVEELIRSAFQVASNPSAVGGCSCKSSFMIG >KZN02317 pep chromosome:ASM162521v1:3:31958255:31963067:-1 gene:DCAR_011071 transcript:KZN02317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACSSRPYSKRIASLPPSDIKSRKRHHRRHKRVGKKFYKHVLYGPKKRSKSVGSVDDFAVSEFVQTTTTHIICQEESWYDTLSILESESDDEFNSVYGDFIVDRLSNFKDYHDKILKIDGGRMDMCLNSGPKETNEVQENVKRKSTVIRLSFKRKSVDGDETNEFCASKKFLYRPRAGLQIPCCKTDMSTPGCWSKIEPSTFKLRGDNYFKDKKKIPAPNHTPYIPTGVDLFVCPKKVNHIAQYLELPSVKKDGELPPLLIVNIQLPTYPTAMFNGDSNGEGLSLVLYFKLSETFEKDTSAQFQETIKRLVEDDMEIVKGFAKESTVPFRERLKIMVGVVNPDDLVSSSTERKLLNAYNEKPVLSRPQHNFYQGSNYFEIDLDIHRFSYIARKGLEAFRERLACGILDLGLTIQAQKPEELPENVLCCLRLNKIDFIDRGQIPTIVTS >KZN01049 pep chromosome:ASM162521v1:3:11143431:11160070:1 gene:DCAR_009803 transcript:KZN01049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSGTKDEVGPPRSLSRTMSRMPTMIDPYPDEPAVDSELVPSSLASIAPILRVANEVEKENPRVAYLCRFHAFEKAHGMDPKSSGRGVRQFKTYLLHRLEKEEVDTKPQLAKNDPREIQKYYQYFYENNIREGQYTKKPEEMAKIYQIATVLYDVLRTVVPSAKVDAETQKYAKEVEEKKEQHEHYNILPLYAVGVKPAIMELPEIKAALRALRNVGNLPVLRMPEDTDKSVTDILEWLSTIFGFQKGNVANQREHLILLLANIDSRNKNSDNYELLDNNTVDLLMDKIFKNYRSWCAYLHCPSNISFPHDSARQQLELIYIGLFLLIWGEASNIRFMPECICYIFHKMSNEIHGILYSNVQPVSGGAYQSAPRDEESFLREVVTPIYEVLRKEARRNKNGKASHSSWRNYDDLNEYFWTDKCFKLGWPMDTKADFFVQPDEPAANSAMSGTLAEAGNHPRESNQVTTGKRKPKTNFVEIRTFLHLYRSFDRMWIFFILALQAMVIVAWNHNGSLAVIFKADVFKSILSIFVTLAFLNFLQATLDIILSFNAWRSLKWSQILRYLLKFIVAAFWVVLLSPKLYVGRGMHEDMFSLLKYTIFWITLLISKLAFSYYVEILPLVAPTKVIMRVNVGNYEWHEFFPNGTHNIGIVIAIWTPVVMVYFMDTQIWYAIYATIIGGVNGAFSHLGEVRTLGMLRSRFDSVPSAFRKRLVPYNKEIARIELMDDPTGKKSSAKFSQVWNEFIFSMRMEDLISNRERDLLLVPYTSNTTVSVVQWPPFLLASKIPIALDMAKDFKKKEDADLFRKITADHYMRSAIIECYETLREVLYGLLEDQGDKMIIRQICHEVDLSIQQRRFLNEFRMSGLPLLNDKLEKFLNLLLEDYEDVEQYKSTIINVLQDIMEIITQDVMYSGHEILEKSHSDHLDNQDSKKEKFESINIRLTQNRSWREKVVRLHLLLTVKESAINVPMNIEARRRITFFTNSLYMSMPSAPKVRDMLSFSVLTPYYKEDVLYSEDELNKENEDGISILFYLQKIYPDEWKNFEDRIKDPKLGYSPKDMAELTRQWVSYRGQTLSRTVRGMMYYREALELQCFLDFAGDNAIFGGYRTIDMTEHKNLKEHAQALADLKFTYVVSCQVYGAQKKSSDSRDHSCYSNILNLMLTYPSLRVAYIDEREEPLDGKSKKVYYSVLVKGGDKLDEEIYRIKLPGSPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFLKTHHGTRRPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLADPLRVRFHYGHPDIFDRLFHLTRGGISKASKIVNLSEDIFSGYNSILRGGYITHHEYIQVGKGRDVGMNQISQFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLIVYVFLYGRLYMVLSGLEKRIINNPDLHISKSLEEALAPQSVNQLGLLLVLPMVMEIGLERGFRTAVGDFIIMQLQLASVFFTFQLGTKAHYYGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLEMAILLIIYRVYGESYRSSSLNLFITLSMWFLVGSWLFAPFVFNPSGFDWQKTVDDWADWKRWMGNRGGIGIAPDKSWESWWDGEQEHLKSTNLRSRVLEIILALRFFIYQYGIVYHLDIARESKNIAVYGLSWFVMATILLVLKLLSMGRRKFGTDFQLMFRIVKVLLFFGFVTVMTILFLVCGLTITDIFAALLAFAPTGWAFLLIGQACRPALKGIGFWNSLMELARAYECMMSLVIFMPIVILSWFPFVSEFQIRLLFNQAFSRGLQISMILAGKKDKKSNT >KZN01786 pep chromosome:ASM162521v1:3:25080581:25085635:1 gene:DCAR_010540 transcript:KZN01786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLIEKVNALGERLKIGGSEVGQKISAGVTSVSYKMKEFFQGPTEADKLVEEATAETLPEPDWARNLELCDMMNHERVNTLDLIRGIKKRIMLKIPRVQYLSLVLLETIAKNCDKAFSEIAAERVLDEMVKLIDDPQTVTSNRNKALVLIEAWGESSDELRYLPVYEETYKSLRSRGIRFPGRDTESLAPIFTPPPSVPTVEPNSGLARGVHQEVPVRGVQHEVPVQSFTAEQTKEAFNVARNSIELLSTVISSSPQQDALQDDLTTILAQQCHQSQYTVQRIIETSGDDEALLFEALSVNDEIQKVLSNYEDMKTPSEVRTAPEPAVIPVSVEPDESLLEGREDSLVRKQSGSQAGLGGNNDEMMDDLDEMIFGKKPSGTSQTGTGYAYQKEQSSKDDFIKF >KZN00407 pep chromosome:ASM162521v1:3:5095911:5096378:1 gene:DCAR_009161 transcript:KZN00407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTPANLSHLEKSPCSSKYDAGYHEPQPEKHKQDMFTLEICSEEEVFQGDQPPKPSKVGALQTVAGSSRRDAEDESGRERLKRHRMEMGGRVWIPDTWGQENLLKNWIDCTAFDASLGNSSVLSARAALMQQRATTRSANNLPLRRRILENRC >KZN02415 pep chromosome:ASM162521v1:3:33300005:33301993:1 gene:DCAR_011169 transcript:KZN02415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASSSLLAPALHFYSITSLPSPFLPTNTNISNTSLSATSSSKHVWRKPTNSLPQSSHQPYRKRPQLGLLDHSIDMDELVASIKQTTDEHQLFALMSQYKTRQLSIRFMVTLLTRETDWQRSVALLDWINEHALYSPSVFAYNVVLRNVLRAKQWELARGLFDEMRGRGLSPDRYTYSTLITSFGKEGLFDDALSWLQKMEQDRVSGDLVLYSNLIELSRKLCDYSKAIAIFSRLKQSGILPDLVAYNSMINVYGKAKLFREARLLIGEMREVGVMPDTVSYSTLLSMYVDNQKFMEALSVFSEMKEVKCSLDLTTCNVMIDVYGQLDMTKEADKLFWSMRKIGIEPNVVSYNTLLRVYGHAELYGEAIHLFRLMQRKDIEQNVVTYNTMINIYGKSLEHEKANNLIKEMQSRGIEPNTITYSTIISIWEKTGKLDRAAMLFQKLRSSGVEIDQVLYQTMIVAYERAGLVAHAKRLLHELKRPDNIPRGTAIQILARAGRIEEATWVFRQAFDAGELKDISVFGCMIDLFSRNKRSTNVIEVFDKMRAAGYYPDSNVIALVLNAYGKLGDFEKADAVYREMYEEGCLFPDEVHFQMLSLYGEEGQFEMVESLFQRLDTDPNINKRELYHVVIGIYERANRLEDASRIVHRISDAGTMKPRML >KZN00469 pep chromosome:ASM162521v1:3:5558350:5559546:1 gene:DCAR_009223 transcript:KZN00469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRRNITTNTVGFCLLPSELIQYIIANLVLPDIFVLRTVNKFITSVISDRDFVRDYNIRSSSATWLFVYKKRWHRDAMLHGFTDCSNRWFGILIADVLRSVVPPGEDLYFLTAAGNYFLFALNTSQQVISVNPMTKTVKKIPPSPLGRRGTSSWRRSGIKLLSGSDSFRFLFAELYEDYPTLFVYSSDTDKWRSIRAGERETDNEIPRGNIKTESDFIYLSVVNGQSESILIAVGVEDFDRPVVVRPRFDGGGIERFAVGFSSGHTSDRFHVYGDGNMMIVRSDSSNGDTDRRVRMLKGVELWGMSQSGRQWELVSRVPEGLIEEIKKPYGVMMGCVMLKNGTFRAVLMSNYEGVWDIIWLCYDTEEKIWSWVPLPDCKMKNSNMAGITFSSGLTLA >KZN00279 pep chromosome:ASM162521v1:3:3635719:3651425:1 gene:DCAR_009033 transcript:KZN00279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHKSSPPSHLLRAFELRLLRCSFSPSDLPSIQSPPSISSPPPPPIQTLADELLQFIESGDYAKALASPAARQIFDFDESSKACLENSKESAKRFYSETVRERVEVFLRGDDEERLLRGFVVMAVGVAAFLAFTQCNFTGPLERFPLVPLLTMNLKEADDGDWLEWEIWARNELMITGSELQGKFSNLQYIVFAKILLMRIKDWLLDESLAPPYGLQSISWWLVRLLLIQQKVLDELSSYMFDLLQVFMRESSNHFGTMEKVVSYWGANFPEEEAQTIVAMLYLEMGIVEHIYGRVDSSSLHFKSAEVASGLHLSVSGALGFRTVHQVEPKAQLVLSVGGDKVENNMSERHNVTCENSDILLTPRLVDVNHESCNGTRTIPNSGVAILKAVQQAVILAQCLSIEKSSRHNEMQRWEMAPYIEAIDSQQSSDFIVRCFCDILRVRWESSRSHTKERALLMMDKLVQGVRDTSLGVAERIYCCFGVHMPSIPKLRKEYGDLLVRCGLIGEAVKVYEDLELWDTVIYCYCLLEKKASAVELIKKRLAERPSDPRLWCSLGDVTNDDACYEKALEVSENRSARAKRSLARSAYNRNDYELAKILWESAMAMNSLFPDGWFALGAAALKARNLEICFQDKPTCVAFVERICHNSQLNKKTATTSL >KZN03796 pep chromosome:ASM162521v1:3:48207932:48211225:1 gene:DCAR_012552 transcript:KZN03796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMPAQNGYRNIASSLKILKLILDNVVESKVSSDEILCKECEILDVAVNEAREFIENWSPQMSKFCSVLGGEPLLLNIQGSSVRISHILCRLLESSPSSSSLSEVQHCKQEFQCAELKKISEPIEDALKRQQRGLAPDSDNLSIIIESLSLTADLELLKESIALEKERMKAVTRKSQGDINLISQLVNLISDIRNCLVKYEIFKSINGIAVPLYFRCPLSLRLMLDPVIVATGQTYERTSIQTWLDHGLTICPITRQMLSHTNLIPNYTVKALIANWCEENKINLAECTRVVAKTPKMEALYLQDAIRDDRHHSRDSSNSSSRSSLEVNSFEKPRVNGNPGFSEKNSISNDSNKFDHSSPEQSCHHSRSGSASSQITSAGYVPTISSDGSRTSSKNKDERDLSGEMTSECQSSSSSKRNVSPAPSLTGKQYHSSNVLVERSAGGDRNYPRTLSMPLDSGSNDLTTNSHVKKLIDDLRNPSSEVQTTATAELRYLAKHNLENRNIICNCGAIEPLISLLYSDVKQTQEHAVTALLNLSINGNVKTIIAEAGVIEPLIHVLETGNSGARENAAATVFSISVIEEYRIKIGRSGAVKALVGLLESGTLRGKKDAATALFNLSIYHENKARIVQAGAVKHLVKLIDPESEMVDKAVAVLANLSTVTEGGLAIAREGGIPSLVEIVETGSQRGKENAATILLQLCISSPKYCRLVLQEGAVPPLVLLSQSGTTRAKEKAQQLLCHFRNQREGGSGRGKT >KZN00222 pep chromosome:ASM162521v1:3:3196472:3196996:-1 gene:DCAR_008976 transcript:KZN00222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLLVCGHNRVSCLAAVETSKNANIVQLLKSDGEILEFSTPVLVKDVLTNFSGFNIALAEKTPLHLPPDFWLELGNKYHLIPSVETLETVEEPRFVEKDSGVKRIKVVITKKQLQDLLSQEVLAVDIISVLRSKTCDDYDDDDEDDSRIWKPKLESIPEEHETGSLSSVITS >KZN03705 pep chromosome:ASM162521v1:3:47113219:47113806:1 gene:DCAR_012461 transcript:KZN03705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRFSGRERPLKVEFEQESVIVTAMDDIFNLNSVLTFAVFVGLSQASPGARSLENRDDCNAGPGVAKMLIVYEVLAFSFFLFSSLFAKLIKLNLRLQSRRFSFVRAPAFSLKDYMWILTAMTSVIGIVLLMLSVVNLVQIQIGLYSCGSTEARIAIWGVCTIVGSALITYVLSVCVGIVGLYAQNIEAQMFEEV >KZN02762 pep chromosome:ASM162521v1:3:37002628:37004622:1 gene:DCAR_011517 transcript:KZN02762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDCLVLGAGQEVGKSCVVVNMNGKKIMFDCGKHMGHHDHQRYPDFSRISRTGDFDSSLTCIIIAHLEFNFCAFLILGPRHHFLRNLVLIEKLEQLDLKSVETVVDEKVEDKFGYFKVPGKDSGMKPIVVKAKLVSKIAEKKIEMGGAVVLTA >KZN03265 pep chromosome:ASM162521v1:3:43023069:43026186:1 gene:DCAR_012021 transcript:KZN03265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKYLEKGMKKSNINQMGSSMGSSHDLIDAKLEEHQLCGSKHCPSCGHKLEGKPDWVGLPAGVKFDPTDQELMEHLDAKVEAKELKSHPLIDEFIPTIEGDDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEREGELVVSKIFYQTQPRQCNWSDRINSTGTLAAGEGNSSEPTSRRDSGSGSCSSSKEMVISSHRIDDHHLSVVGGVPLASYNPMDIQQLKDHYSFVPFRKSFDDQLGSTGLEASTAMETPVSMGLSQDHDLQMSHHHQQMHHHHQSHTHDHPHHQQLATSTAAFHISRPCHSISTIISPPSLHQASIDILDEGSFHVSRMMLQNENFQESAMSNPQEAEWLKYSNFWPDPHDPDNPNHHG >KZN03710 pep chromosome:ASM162521v1:3:47150695:47157119:1 gene:DCAR_012466 transcript:KZN03710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNHHKLTTEVIWVLKNFLTWIKSDLGPWGPLVLAVAYIPLTILAVPASVLTLGGGYLFGLPVGFVADSTGATLGATAAFLLGRTIGRPYVKSKLKNYPRFQAVTVAIQKSGFKIVLLLRLVPLLPFNMLNYLLSVTPVRLVEYMLASWLGMMPVTFALVYVGTTLKDLSDVTHGWGEVSTIRWIFIASAFLISVIILVYIFKTAKASLERALEEGAGTDGILASPVLPIVAEAPLGPQSPLVIKIDSSAVDHVQ >KZN00197 pep chromosome:ASM162521v1:3:2962203:2965798:-1 gene:DCAR_008951 transcript:KZN00197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTQSCRNALRRASQSVFHHPILFSAQGVRYRKLEVILTTSVDKLGRAGEVVKVAPGHFRNHLMPKLLAVPNIDKFAYLVSEQRKIYQTEEVEEVKVVQKTEEDITKEYLTAAKRLDDAKLVLRRFIKVDNELREPVLKEEIVAEVARQLCVRIAPENLHLPTPLSSLGEFAVPLLLPKSIPLPAGKVQWTLDVKIRRK >KZN01902 pep chromosome:ASM162521v1:3:26744450:26744983:-1 gene:DCAR_010656 transcript:KZN01902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLDEGVDSIAMEMKEKRLTVTGDIDPFTIVAKLRKLCHTEIVTVGPAVAEKKKEDNAAGPGKKEDNKKPDAKKEESSGGAKKKEEPKKEEPKKKETPKKDENSSQQAAAIIPYHQMPYGPCYNYPPAPPPVYNYPPPQQQLYYNQQAYTYQQSPAPAQYYYHRSAEEDPNSCVIC >KZN02479 pep chromosome:ASM162521v1:3:33805085:33805450:-1 gene:DCAR_011233 transcript:KZN02479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSSNANSGDSREIPDLDLCFCDLRVVESYCWFEENASRKKVVCPKGWKGCAYSRWIEPPHEERSVAVMQKVLKELNDNKIRHSLQVSRIYGKHAKKIRDMKAMIQAVHTDDDDSDGEE >KZN03536 pep chromosome:ASM162521v1:3:45569450:45570165:1 gene:DCAR_012292 transcript:KZN03536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEADVGRKRMRQSVEDKQIMVTDAEETVGEETEDGVPLSEQMQLDIASIHEKINSFTNLVSELMESGKSLLLEISNQFGERLISIHKEQTDKWEQEIRELRLLDAANEETNSLLHDARYLLQNVHIDS >KZN02522 pep chromosome:ASM162521v1:3:34210954:34213064:1 gene:DCAR_011276 transcript:KZN02522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSKKRNTPTLSNIAAARKSRSKTRKPKFLSLRLQLSPETTSDMAETDRRHQLDLFPLHPENLVDDKDSHEDNNVAYFFSSVDNGGAATLTGLLGANTSSSGCDGDQTAEFSPESLYGGQDSEEVEQLVRTAMRKQSREESSEEKWVSCCSETKPVLSEKKRLALKLDYQEIMDAWSDKGPLIVNVESASGSQVVPDLLHDDLFVNPSINGWGSSGALWSVPEMSGSNSNGCIKVEEVHTEDWKIGRREASVLRYREKRQNRLFSKKIRYEVRKLNAEKRPRIKGRFVKRIEK >KZN01041 pep chromosome:ASM162521v1:3:11099681:11101375:1 gene:DCAR_009795 transcript:KZN01041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSINVSPSLALSVLGPLCALIMVLIHRESMRRRSKKKLPPGEMGLPWIGETIEFYKSQQKNRLYEEFVQPRVAKHGKIFKTRLMGSPTVVVNGAEANRFFMSNEFKLVISSWPSSSVQLMGKNSIMEKQGEAHRLLRGVISATLGPAGLEGIVPEVCKSVKLHLEKHWRNSETISLYRSTKVLTFTIVFECLLGIVVEPGMLEMFERVLEGVFAPAISFPGSKFSRAKNARMEIQKMLVDIVRSKREEMERRPESGQEEGMLLSQLVAGLIKEHVNIMSNKSHGETLTLDDMKKMTYTWQVARESMRLFPPIFGSFRKAVSDIEYEGFTIPRGWKILWTAYGTHFNQEYFPEPMEFNPSRFDAPIQPYAYLPFGGGPRLCAGHQLAKINILIFVHYVVMQYDWSLLNPNEPVIMDPLPIPSQGMPVKISPKLN >KZN02883 pep chromosome:ASM162521v1:3:38559665:38560134:1 gene:DCAR_011639 transcript:KZN02883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIWWSWSLTTSKPSRSGMSGSGFMNHENVIQQLNQRKSDPNLTLIVHPVEASQNALECYLAEIGPLQRHRLVVFKRLFGEGEYEDWLWASDKEDVQGEGVIEITDDEDEVQDASGLVAGADQPGMGGRN >KZN01420 pep chromosome:ASM162521v1:3:16004622:16008906:1 gene:DCAR_010174 transcript:KZN01420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILERYDRYSTAERQLVATDTISQGSWTLEHAKLKARIEVLQRNQKHYMGEDLDSLSLKELQNLESQLDSALKNLRSRKNQMMFESISQLQKRDKVLQEQNNILSKKVKSKEKEVAQPHTQEQQNQESSSSVLPMSLEPLNITRCSNIPQGRGNIVGDTEETSQQQNQTNTTMPAWMLS >KZN01439 pep chromosome:ASM162521v1:3:16260243:16260542:-1 gene:DCAR_010193 transcript:KZN01439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNDTTLANTKFLQWNSPIPYLYGGLGVVLGLITVALMFLACSPIKTSSSTSDDREEELQSKDMNELRATEPSFVVVMAGQENPTCLAKPKVVLAHKV >KZN00520 pep chromosome:ASM162521v1:3:6017800:6021297:1 gene:DCAR_009274 transcript:KZN00520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQRSKADISKKQKMVEDKTFGLKNKHKSKNVQKYVQTLQQSVQPKPDPSKLASKKKKEEDKAREKELNDLFKVAVSQPKVPVGVDPKSILCEFYKVGQCAKGFKCKFSHDLNVQRKGEKIDIYSDQRDEDTMEDWDQETLEKVVESKGKEYNQNKPTDIVCKHFLEAVEKKQYGWFWVCPNGGKNCHYRHALPPGYILKSQMKALLEEEAEKISVEEEIENQRAKVSTSTPMTPELFMQWKRKKIEARDAGLAAEMAERAKNDRMSGRELFLSNSSLFVDDAEAYDRYQREDESSATEEKRVLTGLICNVAAVNRLNFKVN >KZN00770 pep chromosome:ASM162521v1:3:8385404:8386081:-1 gene:DCAR_009524 transcript:KZN00770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRPNFAALRELQDSANNLLHSPTTKRALLQHEEKYVDEVCEASLQMLDICGTTKDVLMHVKDHLQELHSTFRTISVGETTVENRLGAYYVHRKKLKREMVNCLRSLKEMKSDHIYPIDHNLAVVVNVLREVRITMISTMESLMSLMSMPSPDSYKSYIGTFTSKFIRVNSLSLWENCDSTTFQTGNKRLEAVEVAIEDLEVELECIFRRLIETRVSLLNILTN >KZN00265 pep chromosome:ASM162521v1:3:3531024:3533703:-1 gene:DCAR_009019 transcript:KZN00265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLMEKVNAFGEKLKIGGSEVGQKISEGMSSVGFKMREFFQGSSEVDRIVEEATADTLDEPDWATNLELCDMINHDRINSLELIRGVKKRIVMKVPRVQYLGLVLLETISKNCEKAFSEFAAERVLDEMVKIVDDPQTVVNNRNKALILIEAWGESSDELRYLPVYEETYKSLRSRGIRFPGRDGESLAPIFTPPRSTTAPEPNASLAQQMYNEVPVISFSPEETKEVFDVARNSIELLTTVLSSSPEQEALQGDLTITLVQQCHQSQNTVQRIIESAVDDEALLFEALSINDEIQNVISKFEDMKVHIPVVPPVPERAMIPIAAEPADTPLEGKEDALIRKPSGSTGGSKGMNNNEVMDNLDEMLFGKSANGTSGTGHTGNKGSPKDDLISL >KZN01205 pep chromosome:ASM162521v1:3:13047093:13047785:-1 gene:DCAR_009959 transcript:KZN01205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTWVFPTKGFVKVNVHAFTLPEPYPNGNDSCIRIMIRDHKGTIIVMVYGTIRNLTERANELWAILAGLKMAFLEGEEKVELESDNAGAVKEWEEWMWDYDRNHENVIQQLNQRKTDPNLSLVVRAVEPSQNALARYLAHMGSLQRTRLVIIRRLFGEVKELWSLDMGLGTTKGNFEAMSEEEYENWLWEDEEEEDQEAGVIEITDDEDEEAVAMLMDGVGQPGMGGRQ >KZN02096 pep chromosome:ASM162521v1:3:29512897:29513163:-1 gene:DCAR_010850 transcript:KZN02096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRANPSKKPPTSTLAAESTFTGFGRAFVTCTAVLVISTNARKTNPKLIRDLAIISSMFGLIWFGIVPGERGEKDICSGNEMLVFVC >KZN00152 pep chromosome:ASM162521v1:3:2515761:2517332:-1 gene:DCAR_008906 transcript:KZN00152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCQKGVVVVNRVEVVAALLPLPEHRLALSNLDLLLPPFDVGILFCYNIEVGMKNEMMISMVKNGLSQVLVSFYSLAGEVVINHEGESEILCNNRGVDFLQASADVEVQHLDLYKPDGVYANFVPVKKQGVLSVQVTEMKCGGLVIGCSFDHRVADAYSINKFLVAWADMTRSNLSGGKSMALVSSPDYCHSLLHPRNPGHPVAVIDNFYMLVKAASSNLPQPPPLFHLQSRIYRIKARLISHLQSLAGHKRTKFEYFTALLWKLLAKASEEDQKRCKLGIVVNGRDYFRNSASVNHKSLENYFGNVLSVPYIDASVGELRSMPLSEIADEVHACVESASNGEHFRGLVDWVENHRPFQAMCKIFSFLPSDTEELAVLVSSGKNFSVTKMDFGWGRPSFGSFLFPWGDTTGFVMPMPSATDDGDWIVYMHLTEKHLDFLEKEAPRIFTPFAFISPLKVSKL >KZN01956 pep chromosome:ASM162521v1:3:27392113:27392523:1 gene:DCAR_010710 transcript:KZN01956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSSSSLSTGSSKRHHRKSSPTGYNATFEARRKTMKTVTLGGSPKRSWRMKSVPKFKFKLILSSPMRLWRKLKNGYMNLMLNIGSSSSANGLGEKRVPKARKSSRVSSSNTEFDNRLVFEIYKSLVTSHELAAN >KZN00857 pep chromosome:ASM162521v1:3:9319943:9321143:-1 gene:DCAR_009611 transcript:KZN00857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGEIEASPTTGLEPSDESSPLLSSHDSDQKSPPGPQVEISLYRRGRGPIAVFKSSLGGYEQDQLEVVDILDKYGFKSIYAFNSITGRGVPIRFNPKNGRSLLPYSDGAVISVDGEPKDSVINPITKILVGVAAMTLIIVFVVREYPHLAQKFNFSGGNFPPWVLALVVIVFTRLSKRTKNFLIKRGW >KZN00864 pep chromosome:ASM162521v1:3:9372245:9374974:-1 gene:DCAR_009618 transcript:KZN00864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDEVKRQLEQEGNSKNGSIVDSMPFKFFEPFIVQGIKVDLVEPGRVICSMTVPPRLLYMTELIIEFLMFLECGKNTANSLHGGATAALVDILGSAAIYTTKLASPGSGVSVEISVSYVDAAYAGEEIEIESKVLRVGKAIAVVTVELRKKKTGKVIAHGRHTKYLAVASKL >KZN00050 pep chromosome:ASM162521v1:3:1465465:1468919:1 gene:DCAR_008804 transcript:KZN00050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFVTDLASGLVFKLVSLAAEEVIQAWNLQENLVMLRERLETIDALLSDADSKKLNMSAVQSWFNKLEDVAREADAFMDELAYEVTRRKVENRGMLGHFFSTKNSVLYRSKVAHKIKSIHTSFDNIFQLARDLGLQPVAQLTTTVQPREMRNTPPFEYESLIVGRDDEISFLVDAVCTNHAEDLPVIAVMGMGGQGKTTLARMVYNSDVVTDTFKKRMWVTVSDDFDFMKILNQMGVKFLLVLDDVWNERPEQWDNLRNSLLGVGGARESKILITTRKQKVVEVMRCLITHRVEKLSEEDSWELFKRRAFSRGGVLETAKFAAMGRKMVERCGGLPLAIKALEGLLHSKKSEQEWLRIQDSATWDSNDDVLPSLRLSYDNLPHSSLKKCFAYCSILPKDSEIWKDEMVCIWMALGFLLPPKGSNKLMEDIGSEYFNILLWNCLLQDGERNYEGGIYSYKMHDLVHDLALDLSKHHSVTVKADHELNDISKAIYVRVDEGISNIKPPILRRNFEKVQVLYAESRIVRDLVPYPRHLIGLVLKHSYEGELPNSLSNLKYLKYLDISRCYNMNKLPDYIARLYNLQTLSVQSATQLPRNICNLINLRHILVFDSYYNDVESSDMFSGIERLSCLQTLPHFVVSRDHQCVIGQLGSFKNLQGTLRLFALGDVENMEEASKTSLHTKSNIEHLKLVWKKNEDVMGKKEYNHEDVMEGLQPHANLKELTVENFTGKKFATWITIMTNLEFITFRNCKRCEEFPQLGHLPKLRKIFIKGMDNVKVISSHLCGSQGGISGELNENGAEETVATMYPSLTHLYLRNSPKLEEWVDPAMDTSGEDPNNVLAFPKLEVLDIKRCSKLRRIPGSCYPLMKTLHIRDLDSSKLLESLSKKACGLTYLQLQNISGGVGCSSSSSMNCIMGELLENNSVSLKTLSVGKLQGLTYLTLGVGLKSLLVIDLPDLNTINVIKDLSIWGCPNYEVFAQSVSSAIECLTLGGFSEDLDEFPWPLSFSFPNVIELTVWGWEKLKWIVDEEQPDDYLSSIFPSLRELHIHWFQGVKSLPISLAKLPFLERLSIVKCENMESLPNFHDNLQFLWIGGCPIIKERYRKGSGPEWSKIQHIKQIDGLD >KZN01651 pep chromosome:ASM162521v1:3:22567238:22574335:-1 gene:DCAR_010405 transcript:KZN01651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFARIGRSFSRSSASTFQKSVGCGYYYGLRIDYTNTGRFGGGLGLVRGYLTSIGAASGRKIVSTSCVSEIDSVLKNYLFRRLLSSGAPKKGKYENYYPKQKKQVPKGNNQKAEYKEDSSNRDHGNFQESMKHNQNLFTAILFIGFVLTSLFMSPREQKQISFQEFRNKLLEPGLVDHIVVSNKSVAKVYVKSSQPSISNDEIHESSGTNDRGQQGQYKYYFTIGSIDSFEEKLEEAQEALGIDPHQYVPVTYVSDLNWVQELARIAPSAILLGVLWFMGKKMQGGIGVGGPGGKGARGIFNIGKANITKLDKNAKNKVFFKDVAGCDEAKQEIMEFVHFLKHPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPARVRSLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQIAIDKPDIKGREQIFRIYLNKLKLDEDPSYYSERLAALTPGFAGADIANVCNEAALVAARNETPLIKMEHFEAAIDRVIGGLEKKNRVISKLERKTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDDSMELTKPYSSKTAAIIDNEVREWVGLAYNRTVQLIEEHKEHVAQIAELLLEKEVLHQDDLIKILGERPFKSSEPTNYDVFKQGFGAEDKEKEDTSKSKAESEDKPVEPDVSPA >KZN02925 pep chromosome:ASM162521v1:3:39116496:39117995:-1 gene:DCAR_011681 transcript:KZN02925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFISTGTCAAITAGSTSFDDFNGSSSGVVPPADFGSQLNASDECEAVDSAFIQEEHYIVSIPQLANIYIKPLSDTAATESYFKIDYPPLKDLKKTLSHTSGKIRVELQPPIRVHFQVRCLAIKQKYLTERDGYITAARYVPKLYRPLKEDIHALLATMPPLQ >KZN01370 pep chromosome:ASM162521v1:3:14979212:14993510:1 gene:DCAR_010124 transcript:KZN01370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADPSHKLYTKLRLWEFPDQYVIEPTDGSSGSCLAISRVDGSMNMIEAIPHCSAVRVPKILTIFGIVGMLKLLAGSYLIVITQRECVGTYLGHPFYKVTSLKIFPCDHSLKNTPTEQKKMETEFSALLNVAEKTPGLYFSYDVNITLSSQRLHELGDDTKVLPLWRQAEPRFLWNNFMLEVLIDHKLDPFLLPLVQGSFQSFQAAIGKDIIDVTLIARRCTRRNGTRMWRRGADADGYVANYVESEQIIKLNGYRASFVQVRGSMPFLWDQIVDLTYKPKFEIVKHEEAPRVAERHFLDMRKKYGNVIAVDLVNKHGGEGRLSAKYADVMQHVSGDDVRYLHFDFHQICGHIHFERLSVLYDQIEDFLVKNRYHLLNDKGEIVEVQSGIVRTNCVDCLDRTNVTQSMIGRKMLEFQLRRLGVFDAAETISSYPNFDDNYKILWANHGDDISIQYSGTPALKGDFVRCGRRTFQGIVQDGFNALMRYYLNNFCDGTKQDAIDLLQGHYIVSVGRETIPASHKGGIESIASFRLALSLVLIGLFFAMMSLRRVRYDLWQLVFSIMWASMSLAIAAFVKTHGRLFCNRPSLHKPPR >KZN02666 pep chromosome:ASM162521v1:3:35634937:35635107:-1 gene:DCAR_011420 transcript:KZN02666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHARWCSQNRVLINEIGTWQPGKLNRNLNREGNNKRVMWKMEEEVLKVRWLYAYY >KZN01440 pep chromosome:ASM162521v1:3:16285671:16286205:-1 gene:DCAR_010194 transcript:KZN01440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQELLSQQAQLDHTAAYIKELKARIDELQVKKQLLTPMSSDSHMSSPASTSFIVPVVDLRELGSSNIEVVVISGLAKNFRLCDVISVLQDEGTDVISVNVSSVGDRVFHTLHAQVKVCRVGVDITRVWQRFQELMPLAYLCEDA >KZN01146 pep chromosome:ASM162521v1:3:12187999:12188846:1 gene:DCAR_009900 transcript:KZN01146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASAWKTCKFRIQTLMAGTPGILPPLGQLSPFCNIFCDSELRPAPLEVPSPETACNGTGPSTCEGPNRYKWLVPHTSQWVYNMTPVVTFSHQTEEGNGKQNPSASNLKRCRENEKNRRLQSKSVQTNDRVEQHKNRRGVPLERSRSRVWAVVKPRLSQQHLRFWEPSAAIQICARWS >KZN01848 pep chromosome:ASM162521v1:3:26064741:26065805:-1 gene:DCAR_010602 transcript:KZN01848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTWELPDENFIKINVFCIISEVPLPNGNTLGVGVIARNDGGENLWNAMGTMANLSEEQALLSGIQSACIEAKKKDWKLLHIETSNRDVFDTIIAQHHIILREDQREAYALFNTVHANNRKNGRTDRCISCVPASMNGTARYLAEYGMRNMSEFAEFKGMIGDLSYHLDRDMGMALMSPLLEVGSNMGEGEVIDAPKPPSPVRGHKRKFSTLDRATPTAHPSFVPTTLSLSILGSSLHANRDKGKSKLYKDYAFNDDGVVCPRAIKMMEEGKLAGFNDFFKHEVVDMDVPLLSGIYARDVLHHAVQGTLHSLLADRSSQWDSFSRMFLWLHAGGGGSSGDGVLYSPFKGVQKV >KZN02274 pep chromosome:ASM162521v1:3:31523368:31526313:-1 gene:DCAR_011028 transcript:KZN02274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMISVQPYTLTSWQLEMENINHSANDNINLSVEELEASVTFECLYNIIRQLEGCSPPSPNDRRTMESNKTERKRTDLSSFNAHNTSITKEATANFTMKAHAKIVLRAEMLPNVNNNTEEEKKSGSQDLTVSSAPNIPVKPTVSADILSMKEFLPAPDIKDITVKEIESCFLDLPIPSTPNAPVQNSSNTRAAAAALEMEALAPAIIVLRAKVLEEPWMLTGWLPQNDNIQPSAEELQADVKFEHLYNIIRHHDESPPPSPNNQRLPVFKDIYN >KZN00566 pep chromosome:ASM162521v1:3:6412351:6420939:-1 gene:DCAR_009320 transcript:KZN00566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETEFYDLDDDADYAAASVYQGSASVSSSDVSNRKDSTSEIDGGGAHLVYLKDNVAIHPTQYASERITGRLKLIKQGTSLFMTWIPYKGQSSNVRVSENDRNLYTIRAVPFTEIRSIRRHTPTLGWQYVIVVLSSGLAFPPLYFYNGGVRDFLATVKKHVHLVRSAEDTNVFLVNDFQDPLQRTLSSLEIPLAVVNGPSPSFSPSGSHSTTNKEKMSETFSSNQQNGRQRQKNHDPARDLSIQVLEKFSLVTRFARETTNQIFGENSEVFGYSEKRNHNQSTHVHPNKAAKDAMVPNKIPVVPDPLETSILVKQKDQCRKQNHDEEAVTTVGNFELVDSKEVNKLTLIWGKPRQPPLGPEEWTTFLDSEGRLVDAKALRKRIFYGGVEHSLRKEVWAFLLGYHADDSTYAEREYLVSVKKSEYETLKNQWKSISAEQAKRFTKFRERKGLIDKDVVRTDRSLSFYEGDDNPNVILLRDILLTYSFFNFDLGYCQGMSDLLSPILFVMGNESEAFWCFVSLMERLGPNFNRDQNGMHSQLFALSKLVELLDSPLHAYFEQHDCLNYFFCFRWILIQFKREFEYDKTMRLWEVLWTHYLSEHFHLYVCVAVLKKYREKIMGEQMDFDTLLKFINELSGQIDLDGILRDAEALCICAGENGAASIPPGTPPSLPIEDGSLLYQQDDDSVL >KZN02180 pep chromosome:ASM162521v1:3:30577004:30577219:-1 gene:DCAR_010934 transcript:KZN02180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKDINELIASGREKMASAVSGCGGGGVGSGAVEVKIAEKAEEKKEEKVAEQKEEEESDDEPILNLFGDD >KZN01578 pep chromosome:ASM162521v1:3:20899317:20900173:-1 gene:DCAR_010332 transcript:KZN01578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINITESKSTKGKAAAVAPATIIATTKGAENPKGGWKRGVGVFDFILRICAIGAALAATATMGTTDQTLPFFTQFLQFQASYDDLPAFTYFVIANGIASAYLVLSLPFSVVCIVRPHIVGARLLLLILDTVMIALTTSGAAGAASIVYLAHNGNPNTNWNAICQQFNDFCQRVSGAVVASFITAFIFMFLVVLSAVALRRN >KZN00636 pep chromosome:ASM162521v1:3:7098988:7101810:-1 gene:DCAR_009390 transcript:KZN00636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLATATMRMLFLILFLGWLFAWVMLPTKLYKNTWTPRLKAKLNSTYFREQGTNLLLFTFPIMFIAAFGGIYIHWQKISKKSGSERSAKKSSRLSFLKRPVLVMAPLGIVSMMELIFALMFLVLMVWSLANYLYVSFGSLHMHKAGEKVWEAKFRSVSLRLGYIGNTCWAFLFFPVTRGSTLLPLVGLTSESSIKYHIWLGHLSNTLFFAHSVGFFIYWAMTNQMVEALEWSSTYLSNVAGVIAFAVSLVMWILSFPQFRRKMYELFYYSHHLYIIYLIFYMLHVGVAYLCLILPGIFLFLIDRYLRYLQSRDNAMLISARLLTCDTIELNFCKSPELDYKPTSIMSVNVPSISKLQWHPFTITSNSNLEPNTLSIVIKTEGSWSRKLYRELSSTPEQLEISVEGPYGPTSSHFLRREALVMISGGSGITPFISIIREVIYQSTQQSKIPKLILVCAFKNSSHLSMLNLLLPLSATPLDTTKIQLHIEAYITQENDNSGEESQKHLQTIRFKPNPITAPVTAVLGPNSWIWLGAIITSSFIMFLLLLAFVTRYYIYPFDHYSDPIVYHYSYRILWDMFLVCVCVIVCSSAIFAWQKRRNAKEGKQIQHLEMSNPTSPGGLITNDEGMELESLPHQSIVEATQVHYGSRPDLKSILFGRKESDVGVLVCGPRKMRHEVARICSSGLAKNLQFEAISFNW >KZN02269 pep chromosome:ASM162521v1:3:31490282:31491777:-1 gene:DCAR_011023 transcript:KZN02269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMLKMLEKYQNCNFGALEVNRPAKDLEQNKYREYVNLKDKYESLQRYQRHLLGEDLGPLTINELEHLELQLDSSLKHIRSTKTQSMLDQLSDLQTKERMLLGANKILEDKLHEYHSESQLRPSWTPGDQYSSYNQQQQQQAQPWMFQHLDSNLQIGYNPVNSDDQMPTTTYQNQNNMLPGWRL >KZN03243 pep chromosome:ASM162521v1:3:42854587:42855939:1 gene:DCAR_011999 transcript:KZN03243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLAQLKSKACQAKQFVSTHGSAYYKQVLEQNKQFIQEPATVEKCNELSKKLLYTRLASIPGRNEAMWKEVDYLKQAWKNRKDLKVEDAGTAVVFGLECFAWYCVGEIVGRGFTFTGYYP >KZN01239 pep chromosome:ASM162521v1:3:13516174:13518832:-1 gene:DCAR_009993 transcript:KZN01239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTVSLKKKPILQYVLKLMERTSTTSASVTLIFCIFVFSLSLNSIATEDIIRPNQSVRDGNTIVSAGGQFELGFIGLGNSTNRYVGIWYKKIPLKTIVWIANRETPLNTTSGLLKLNTNGILVILDSFDNVVWSSNSSTPVNSPVAQLLDSGNLVIRDVKDSDPANYLWQSFDIPGNTFLPGCKLGWNLETGLERYLSSWKTDDDPSPGEYTNRIDPNGFPQLLTRKGSAIHSRAGPWNGVRFSAAPNFKSNPIYEFYFVSNDKELYYYYKLLDNSVSTRIVLSPSGSVQRWVWIEKSQIWRLYLSGPIDDCDRYKLCGPYGSCNINRSPKCECLDGFEPKSQKEWDVADWSSGCARKVELTCADGEGFVKQSGLILPDTQSSWFDRNMSLDECKRLCLKNCSCTAYANTDIRGSGSGCLLWLDKLIDIREQGENGQDLYIRMAASELVKIARIKRLLYLDDDGDFGNGDKDAELPLFDFSTLASATNGFSTDSKLGEGGFGAVYKSIRSSEVFVSEIIDDNVCILL >KZN02679 pep chromosome:ASM162521v1:3:35863395:35870077:-1 gene:DCAR_011434 transcript:KZN02679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIHRSKNQHELGMLVRKLLHLRNKTKNDHTAQAVEDDFDNTECDTEEVCVWPRESRFEDNKGEAVVVDPSANLKQSLPRSRRRNSETFRAQYITAKEIRVFAGTWNVGGELPPDDLDIEEWLDIREPADIYVIGQEIIPLNAGNIFGAEDSRPVPKWEHIIGETLNRIQPGKTKCKCYSNPPSPSRFKQSKDFPHIEDEVLLETDNDEQDETYSSNDESNFNENRDELVTGKDVLPSVGASSPTSRANLGKLVEEQSPGLITLNYLPLESEGNADASIPVHSNRIRKTLSKSEMVGLSWAEPPLDLLAQCILDRPDSLRSSKSFKASKSFNTCNSAKSSVSDDARVQSETASISKVGVESLVNRKRKSHYVKIVSKQMVGIFVTLWVHRSLRKHIQNVNVSTVGVGVMGYIGNKGSISVSMSIHQTLFCFICTHLSAGEKEAEAIKRNADVRAIHRRTHFNSFASIALPKSIHDHERIIWLGDLNYRINMPYDETRQLISKRHWSELVEYDQLAREFRRGRAFDGWSEGTLDFPPTYKYELNSDKYHGEDPKIGRRTPAWCDRIISYGKGMKLISYRRSEHRISDHRPVAATYVVEVEVFCPKRLQKALSYTDAEIEHDEAVAMTEYDEINTKL >KZN00426 pep chromosome:ASM162521v1:3:5241126:5245680:-1 gene:DCAR_009180 transcript:KZN00426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGIYNGKQCHLADISTVLSRAWSAGVDRIIVTGGSLEESKNALAIAETDARLFCTVGVHPTRCSEFEESGDPDKHFEALLSLAKEGVEKGKVVAIGECGLDYDRIHFCPAEIQKKYFVKQFELADRMKLPMFLHMRAAASDFCHILEQNKERFSAGVAHSFTGTAEDRDKLLSFNNLFIGINGCSLKMPENLDVVKGIPIERMMIETDSPYCEIKNTHAGISYVRSLWPSKKKEKYDEQCLVKGRNEPCLVRQVLEVVAGCKGIANQDELSTTLYHNTCRVFFPQDLDSVADALIARGQSPM >KZN01603 pep chromosome:ASM162521v1:3:21605133:21609549:-1 gene:DCAR_010357 transcript:KZN01603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKLAVAVVGGLLGCIYVVSKRPPSKVCGSPGGPPITSPRVRLADGRHLAYKEVGVPKETAKFKVIIIHGFASSKDIHLPISQELIEELQIYILSFDRAGYGESDPYPKRSVKSEAYDIQQLADNLQIGPKFYVIGISMGAYPVWSCLKYIPHRLSGASLVVPFVHYWWPCFPASLSKEALSLLLVQDQWAFRVAHYAPWLFNWWMTQKWFPSLSINEGNMAIFSEPDLEILKNAPETPSTDQEKIVQQGEYESLYRDVMVGYANWEFDPTDLQNPFPNNEGSVHIWQGLEDKIIPYSLNRYLSQKLPWIRYHEVPDAGHLLAFKRNFCEAIIRDLLLN >KZN03685 pep chromosome:ASM162521v1:3:46913375:46913764:1 gene:DCAR_012441 transcript:KZN03685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLNKIVYSSICHPTEVNFYSYDQLDKFYFVELFEDDFHVPKSSTGMVGGRVPVPDPTDIMSGVQEKDGTSGRPDLARHSYMLPNTPLLSLLSSTSLNPFKL >KZN02490 pep chromosome:ASM162521v1:3:33926762:33929712:1 gene:DCAR_011244 transcript:KZN02490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLDDDSTSLGYWLNWRFFLCAPIVIVSMCVAAFIIWKYEYSDHSEPDSKGNGQESSGLWYADEVWRPCVQEIHPICLMTYRIIAFCLILTALIVDIAVQGQSMFHYYTQWTFTLVAIYFGYGSLLSIHGCFQHHKSRTVYKFNNNEADAEKGPYASLACGETLNGVQEKKAQNYQEKYYVFRTALDCGHAFQVMFQMTAGAVMLTDFVYWCIIFPFLTAKDYKLSFLTVVEHSLNAILILGDTALNGMRSSRHPDGEADAMGDHVFFSLH >KZN03724 pep chromosome:ASM162521v1:3:47446910:47447930:-1 gene:DCAR_012480 transcript:KZN03724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAMRLMVVLVLFSALTSSLIKGEVYKVGDSAGWTSVMDVDYKHWAETKTFHVGDTIISYENYKSCNATNPIAIFTTGNDSITIKSPAHFFYICGYPQHCQAGQKVDIRVPRSVAPGPAPGPSLPPTSAPRIPPVPGNGTGSSSLNTKCCFYTIVLALLAIFAY >KZN00028 pep chromosome:ASM162521v1:3:1252004:1252807:-1 gene:DCAR_008782 transcript:KZN00028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTTNSSNAGPSLVCTVTQVEETKIILRMLPIVVSTIFLNTCLAQHQTFALQQANTMDRKVLGIQVPASSIPVIPLFFMFLIMPLYDRICVPALKKLTGIPTGIRHLQRIGVGLVLSTIAMVVAGYVETRRKHVAVKHNMVDSPGPLPMSVLWLGIQYGIFGMADMFTMVGLLNFFYSESSAGMKSLGTSLTWSSTSIGYFLSTVIVNVVDDVSGGWLSSNNLNRDKLNHFYYLLAALNVLNFGFYLLCSSWYRYKDEGVKENESA >KZN00677 pep chromosome:ASM162521v1:3:7508699:7512699:1 gene:DCAR_009431 transcript:KZN00677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVFCLFCGCIGQSNVGVVEKWGRFEKLAQPGLNFFNPFAGEFIAGVLSTRINSLDVKIETKTKDNVFVQMICSIQYRVIKENADDAFYELQNPEEQIQAYVFDVVRAQVPRMTLDELFEQKGDVAKSVMEELEKVMGEYGYNIEHILMVDIIPDPSVRTAMNEINAAQRMQLASVYKGEAEKILLVKRAEAEAESKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFLPHGPGHVRDISDQIRNGLMEAESGRVNGV >KZN02349 pep chromosome:ASM162521v1:3:32361316:32361546:1 gene:DCAR_011103 transcript:KZN02349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIINSVVVGLYYGFLTTFSIGPSYLFLLRAQVMEVGEEGTEKKVSATTGFITGQLMNILFSLLAKMNLYITVGTD >KZM99969 pep chromosome:ASM162521v1:3:622426:626907:-1 gene:DCAR_008724 transcript:KZM99969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASTEIFRTPVVSFLYERGWRQTFSVLGGFPGAEKEFEMMKGYLKPVLGGNIIDASCGSGMFSRLFAKSGLFSLVVALDFSENMLQECFKFIKEEENFPTENLILVRADISRLPFATSSVDAVHAGAALHCWPSPSAAVAEVSRVLRPGGVFVATTYILDGLYSLIPLLGSVRQVIGEVSGSHIFLSEAELKDLCTACGLIDFTVVRNRRFVMISARKPT >KZN00595 pep chromosome:ASM162521v1:3:6723216:6726065:-1 gene:DCAR_009349 transcript:KZN00595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLVSYLHHSQNQIVACMNADGREIRNAVDTRRIAAEIHLSNSTAPSPEAASTKLILAAKRTHRRCPADGFNYYDGGWNVSDSHYLYSVAFSAAPPLLAGIIWFILFGLWLLGMIIRFCCCCCCSCCRPRRQRNIDHNRTAYTITFSLLLLFTLACIVGGIVAYVGEEKLERSVVKVTLYVLDQADVAFGNLRNLINNLLAAKKIGVDQLGLPAEVQSQIDQIGGKINYYADKLHTVSKHSTVDIWMFMRPIRRTLISVAIGLLALILLGFFIAAFGLKFLIYCLVIIGWIVVTAAFILTAMFLLIHNVVADSCVALDDWLQNPMADSAIENIIPRLDNETSQKIYSTTRKVTYGVVNVINSDIINVTNVNMPPSAGPLYYNQSGPLMPLLCNPLHPDLSDRKCLPGEVTFDDANKGICTTPGRLTPNGYNQLLSLMDNNCPGLSNYSKMTYAGLLAASILLLLSVVAWIVHGEYARQRRLAT >KZN00704 pep chromosome:ASM162521v1:3:7740923:7755427:-1 gene:DCAR_009458 transcript:KZN00704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNCSHPRGVDQMYHDGSHVHLSEEDRIAAEQSFSIYCKPVELYNILQRRAVDRPFILQRCLRYKQQVKTNKKIQMTVYLSGTVDDRTKTQIPFPMHILLARPMSSNAENSAVYQFSKVCVLTSYTGMNEVNQSRVKFILPEMNKLSVEIDSGKICILFISCAESSSLESQDDLTETAVYTGGSGLVGKISLEMLHLSWEESPSLALGERVELFTTVDMKSCIVKPSFVDKKLCISFQNFSSSGDASTPLQLPVYISVKEVGAREKTPNGLRTAGSICFDAGITEDFSCPFCLVKCASFKGLRHHLPSSHDLFSFEFWVDEEYQIVFISFDTDKWISEINGDGLDPKRNTFIFCYKPLRRREPKSLHQYAKHVHPFFLDSDSPAALHGSREENDGVVDCMEYNTSSANATGCSSACASAHLYPDPECIQSVSISNTSPPSMLQFAKTRKLSLESSDLRNRTLLQKRQFFHSHRAQPMELEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTDDEKDMMHLWNSFVRKQRVVADGHISWACEAFSNFHGRDLVQAPAVLSRCWRLFMIKLWNHGLLDARIMNKCNMILEQCQNQDAEPKRS >KZN01085 pep chromosome:ASM162521v1:3:11487464:11488348:-1 gene:DCAR_009839 transcript:KZN01085 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MLLKSVEPSISSDDLPWLIGTSAQRQARFIFWNRTMDRSRSLRWLLVITNPNHYCNNNKQPKQINTNDESDDHPQILPIGFPTDDRRTKHVSFWEEDHSCLDWLNNQNDGSVLYISFGSWVSPIEETKVKSLALALEALARPFLWVLGANWRQGLPTGYIKKVSQFGQIVSWAPQLKVLQHKAVRCYLTHCGWNSTVEAIQCRKPMLCYPIAGDQFLNCKYIVEVWRLGIRLSGLGKQDLESGIKFLVKDDTIDKRLVELNERMMGKEARFRMMNNMAGFTDSILKLMSEDARM >KZN02969 pep chromosome:ASM162521v1:3:39701943:39702890:-1 gene:DCAR_011725 transcript:KZN02969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWWTGNVAMNPMCEEEQDKEGLNRLGVGARREDQELMDNTATNSPRGSAPKQEDEERKQEHHEEHQEMEMGNISGALVISDLGSSGSRRPRGRPPGSKNKPKPPVVITKDSPNALRSHVLEISNGSDVVECIATFAQRRLVGVSVLSGSGIVNNVTLRQPAAPGGVITLQGRFEILSLTGAFLPAPSPPQANGLTVYLAGGQGQVVGGTVMGALVASGPVMVIAATFMKTTYERLPLEEEVPEAGEGGMQAGNPGHSGGGGGGSSAQSHGMAGDPDAASPVPMYSLPPNMLPNGQMHHDVFWTPPPRPPPSY >KZN03375 pep chromosome:ASM162521v1:3:44146215:44149970:1 gene:DCAR_012131 transcript:KZN03375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRITSPVVAYPSGHPLLAAVHHRPTLTFPLGPTSYLSLSSNYHFPKKVCNNGRRTIRDFSMNAQTMEQLPVTDGRMLVFVPPHPLIKHWVSILRNEQTPSPVFRSAMGELGRLLIYEASRDWLPTVTGEIQSPMGVASVEFVDPREPVAACRCILTICVLIVISYLSVCRLPEKFTDETRVIILDPMLATGGTIVAALDIIKKLGVDNSQIKVVCAVAAPPALRKLSENFQGIHVYAGIIDPDVNEKGQVISIFIEKSTT >KZN02970 pep chromosome:ASM162521v1:3:39709668:39711158:1 gene:DCAR_011726 transcript:KZN02970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVISPLPQLVHITSDKKSVVALRSCFLPAPISDNTGSKRFCNNIKRKKCRGDYYLTIVSAFKHVKDPSLDKNVVKQNKLRFVQKLKTLLLSKPKQFMHLNDLCKCRSYLAVSKPKSIISMIHRYPTIFELFWIPMPSKPLNATKPLTQLCVRLTPEATALAKKESKLKSDMAVYLAIKLQKLLMLSLHHRLLLAKLVHLAPDLGLPVDFRARLCNEHPERFKVVDTSYGRALELVSWDSHLAKRMPSPEVVKRDDLIVDRPLKFNRLRIRRKGFNVKRRHQEFLIKFEEFTDVCPYNTKVGDLVKESLRAEKRACDVVREVLGMTVEKRTLVDHLTHFRRDFGLPNKLRGLLVRHPELFYVSLKGQRDSVFLVEGYDDKGVLLERDATTVTKELLLDLVNEGKRMRRERRIKGDTTDRHIDLQQVEFDDADDEPDEYGDDLDNLFESDDDYGSGSNDDENQRDELFEIYKEGVFWTAEVDSSLGIENGRNLEPW >KZN03489 pep chromosome:ASM162521v1:3:45035633:45048925:-1 gene:DCAR_012245 transcript:KZN03489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSDQPSPIQAADTKPSSDQSQLEPDANSSGSAAPAPVAGPRHAPTYSVVNAILEKKDDGPGPRCGHTLTAVPAVGEEGSATYIGPRLILFGGATALEGNSGGGSGTGTPTSAGSAGIRLAGATSDVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQPRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDAKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVVFVNARLHVSGGALGGGRMVEDSSSVAGVLLDDLLVAEDLAAAETTNAASHAAAAAASNVQAGRLGGRYGFVDERTQPNSEEASDGAVVLGNPVAPPANGDMYNDISAENAVLQRRLSKGVDYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGSEATPSGKQISTLIKPESAINNSTPAGVRLHHRAVFSKGFCPFSHLTDLQTIEDISQEPMMLFADRVLQVDLCTFELESGRS >KZN02345 pep chromosome:ASM162521v1:3:32276485:32277390:1 gene:DCAR_011099 transcript:KZN02345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITNNSNTSIKTPEAESETPTRIQAPKPVNTNGLLRRHHPVIQAAVQPPPPPHMPVVGYRDCLKNHAAHIGGHALDGCCEYIPAPANPADNTTIRCAACGCHRNFHRRETEHHHRAMVEFQSPHRHHPPPPPPPPPPPPPHPDQPELPHRLHRRSRPLTTPLPPPTCSSLSAPLPRPWPTRPPPRAHPPTPARGSGPNSLTTRRRKCSSSPGKSAGKSRSATRRPSPTSATRSASTGAFSKSGCTTTKALMLVETTRSTRTPPMMPRIAAVEARTRWRRITKLARTMLLMGMDLQLRLNQ >KZN01212 pep chromosome:ASM162521v1:3:13138103:13138721:1 gene:DCAR_009966 transcript:KZN01212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIYASTVSTLKSIAWTRELPDTKEFVDPTSADFREEERFSNPYQIINELERKINMLQVKSYAMPKEREELLKAVVCRVDALEAELISTKKALHEALLRQDELLACVDSQEAAMSLGKKKRWCTRKSLK >KZN01437 pep chromosome:ASM162521v1:3:16243661:16244201:-1 gene:DCAR_010191 transcript:KZN01437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSPEIVGRRITRSKDAEQERGSVKRKLQLEGNTSDDQESGADEMIAEQLPPPPPLPMSEYERQRLLRVEQNKKVIKELGLKEVADGLISVGVKKVKGKKATTEDDYNLEFDSESASDDTSPVQCEIFHGL >KZN02946 pep chromosome:ASM162521v1:3:39426847:39427680:1 gene:DCAR_011702 transcript:KZN02946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSLLSLPSLHRKTTPHLSLRPHTTPSPSFITFSSPSPSPPTLSQDDLKKLAADKAVDYVKSGMVLGLGTGSTAAFVVSKLGELLANNALTDIVGIPTSQRTYEQALSLNIPLSTLDAHPHLDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFVVVVDDSKLVSGLGGSGLAMPVEVVQFCWKYNLVRLQDMFKDQGVEAKLRLDGEKPYVTDNMNYIVDLYFKTPITDAPAAGKEISSLEGVVEHGLFLDMTTAVIIAGSDGVSVKSK >KZN01266 pep chromosome:ASM162521v1:3:13869683:13873813:1 gene:DCAR_010020 transcript:KZN01266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQYTTIDKLKTRVDTYRITVRVIRLSRGSTKEGEEFKSFNIVLIDQKGQRIHAFVPTKCAEEFQYQLYLGKLFSIKNFDVQHYKQTDKFRFLRKDTQLVFSKETKIQELPDDGVTIPVDGFDFYDLSQLEELTKQTTYLSDVVGIIKDYDNIRDLRNKHGKDQRQAKFIITDGSSQVNVTFWDKFGQIDISNNNATRIYLNYKHHSVTQLRKLLKNPDFAKRVLGKAKVKPMVMATVEALGNMGKEVVEDNSGQMQVILGDREVRTITGRRASDLAHEAIWFMQTCRCFQNDVFIQLYHMTELFVAEGVDYIQRHVFHFTDLSAIMDLARKQLSNRNKYNQLKYNIELTINDMLFVVIFYITSAQVIFYDEMAQSFDQEVHNAGQHPVIVIISSVKARLIQGEAKLTNYSPTRFFINLNHEAVRDLRDAFRLANFKSLESYRLANWRLH >KZN03831 pep chromosome:ASM162521v1:3:48812694:48813184:1 gene:DCAR_012587 transcript:KZN03831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVIDGDQNQLPAADSHVAPAPVGYPTKDGDAAPAASGPVETQSRDGLSDCAKSVLWRITERAKYLYSGFIFVYSFMNSVMCLKECNIKKWLQHN >KZN00296 pep chromosome:ASM162521v1:3:3936089:3940939:1 gene:DCAR_009050 transcript:KZN00296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYEGGFLEEMGRNIVVEMGRIIFIDQDETANYPVRDNPPPPEQTETVSPGIPAPPPAPIWTPANRPPPVSFEPDKISYLSVCLPLYRAALTGDWKTAKGLLESHPEMINQSITRQFETLLHIASSTKHTYFVTELVNLMDAKDLELRNHAGHTALCVAAEGGIVDIAEILLSKNKNLLKLRGERRGSPLRIASTMGHKDMVSYLYSKTNHMDCEDWSVWDQDAVLYNCVDNNIYDVALDILNHHKKRVCKDRYYNPLHILAKKPSEFYGTDDQNQALKIVRLIWEEVVKLNDSDIWNIIDGPPTIIKQKNIEDPEAGYIDTFAGYNSNILFVAAKLGNTRFILELLRLYPELVWKLDQENRTIFHIAVLYRQESVYNLLFQIGSTMHQIISLKDMNNNNIVHLAAMKPEQNRLLANTGAGLQMQSEVQWFKEVQSMVHPSLREARNSQGQTPQELFTEQHADLVEKGERWMKETLSQCMVVAALIATIMFAAAFTLPGDAISLFTSTAALVMFLDILTARYKEEDFLKLLPTKLNIALLTLLVAVTAMMIAFSSSFFLLYSKGRWVAILVSCLAGVPVYLFCKLQYRLFFDVYRPTFRSRFMFKARKDMFY >KZN01351 pep chromosome:ASM162521v1:3:14739512:14740804:-1 gene:DCAR_010105 transcript:KZN01351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTSNCIHVAILVLSLALVSRARVLDPVDHSSTPEFVRIQGSQFVLRRSTFLFNGFNAYWMMTVAADPSKTHQVTEVFRDASAAGMSVCRTWAFADGSSNALQLSPGTYDERVFQGLDFVVSEARRFNIFLILSFVNNKDYGGRPQYVQWARNAGVQVNNDDDFYTHPVVKGYYRNHVQRVITRINTITRVAYKDEPTIMAWELMNEPRCEADYSGRTVNGWVQEMASFVKSIDNKHLLEIGMEGFYGDTMPEKKQFNPGYQVGTDFISNHLIKEIDFATIHAYPDIWYETMNYDQVIQV >KZN03388 pep chromosome:ASM162521v1:3:44229601:44230471:1 gene:DCAR_012144 transcript:KZN03388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFGKRVEIALKMKGVEYEHVAEDLSNKSAELLMYNPIHKKVPVFLHNGRPIVESLVILEYIDETWKSGKSILPKDPYERAASRFWANFIDSKVLDALTKIYRSKGEDQDATEELGELLSILENELKDQKFFGGESIGLVDIVADLIALWLDVIQEGLGIEIFTQQTHPKLFKWSEEYMNCSIIKETLPPRADLLAHYFRSAYASK >KZN02427 pep chromosome:ASM162521v1:3:33422956:33427937:1 gene:DCAR_011181 transcript:KZN02427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIRCIFIHAAGADNFLMFFGLIGAIGDGIFMPVLIYTTSKLMNAIGDTSAGIDSQFIRSINKNALALCYLACGQLFACFLEGYCWTRTAERQASRLRARYLKAVLRQDVGYFDLHVTSIAEVITSVSSDSLIIQDVISEKVPLVVMNVAAFFGAYLVGFLMLWRLAIVGLPLVVILVVPGLICGRNLMGIARDMRDEYNKAGTIVEKAISSIRTVYSFVGENKTTIEYSAALQGTVDLGIKQGLAKGLAIGSNGLVFAIWAFMSYYGSRMVMYHGAHGGTVFAVGAANALGGLSLGTALSNVKYFSEAIAAGERIMEMINRIPVIDSENKEGDILANVLGEVEFKHIEFAYPSRPETTIFKDLNLKIPAGKAVALVGGSGSGKSTVIALLQRFYDPVGGEIMVDGVGIEKLQLKWLRSQMGLVSQEPALFATTIKENILFGKEYGSMEEVIGAARAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKVPRILLLDEATSALDSESERVVQEALDQASVGRTTIIIAHRLSTIQHADLIAVIQDSKITETGSHHDLIEDTDSLYSTLVHLQRSQKNNVMLSTGTNNTNYNGASKSKTDINSTSSRRFSRISRSSPANQGDGEHVNIEHKNTNQQTLLVPSFKRLLEMNLPEWRQAVLGSAGAILFGAIQPIYSFTMGSMVSVYFLSDHAKIKEKTRIYALCFVGLALFSFIVNVIQHYNFAAMGELLTKRIRERMLSKLLTFEIGWFDQDENSSGAVCSRLSKDANVVRSLVGDRMALVVQTLSAVTIAFTMGLIIAWKLAIVIIAIQPLIIGCYYYKCILLKNMSAKAIKSQDETSKLAAEAVSNLKTVTAFSSQDRILKMLEQAQEGPHCENARQSRYAGIGLGISQSLMACTWALNYWYGGKLLSDGLINSKAFFETFMILVSTGRVIANAGTMTSDIAKGSDAVGSVFAVLDRITLINPEDPDGHKPEKIKGHLELQDVHFTYPLRPDVPIFAGFTIKIEAGKSTALVGQSGSGKSTIIGLIQRFYDPLKGSVKIDGKDIRSFHLRSLRKHIALVSQEPTLFAGTIRENITYGASDATNESEIIEAAKAANAHDFITGLKDGYDTGCGDRGLQLSGGQKQRIAIARAILKSPAVLLLDEATSALDSQTEKVVQDALEHLMVGRTSVVVAHRLSTIQNCDTIAVLDKGKVVENGTHSALLAKGSAGAYYALVNLQRLRDTNG >KZN00825 pep chromosome:ASM162521v1:3:9016756:9017394:1 gene:DCAR_009579 transcript:KZN00825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSAAKLVCLFLILLAASVPCLGKDYTVGDSSGWAMGVDYTTWTADKTFSVGDNLGAGETPTPSGSSLTPPATPSGHAPPLSSSPGSVSPFLPIFLSSCLVLVYKLIVS >KZN03275 pep chromosome:ASM162521v1:3:43093510:43094620:1 gene:DCAR_012031 transcript:KZN03275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLENCDHCIKITAVQEFGEDLRVVPDYADAFGHALNVVNVEVECDQRNVTRGVDVADCGIYGQVLNVVNVEIDGDRRNVEVGFDRGHVVNCNFGVQGNGDGSETNVVHFENSGGIVNLVDAADYGELIFKRNVDSGDLDGCGADEMNSDNAEVGGERENVKLPVTVELANAVQKAGTSVVHLEMNRKPGNLEDRDQGNMADSLKVSLHNRRVFLIFIHMNITVHLI >KZN01876 pep chromosome:ASM162521v1:3:26487186:26488357:-1 gene:DCAR_010630 transcript:KZN01876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVPYQMISNLLPSTTISWSLKIRVTRIWHSTDRYGLTVGVNMIFVDEIGGRIHARIPAQNINVLEPLFTEGQTYHVRNFVVRQYGPMQTERCIMNDLYIQLYNMTEILQSEVVNNIPHNVFHFTELSHIINAALEDNYLIDVVGIMEQVDPIITYRNRYNQQKSSIKFTINDITGTAEVTFHNELAESFQQRVNDANQHPIIVIIASCKSTFIEGEPKLSNLSPTRFFINYNHEAVEDLRNAIRLANWRFD >KZN00495 pep chromosome:ASM162521v1:3:5793648:5793908:-1 gene:DCAR_009249 transcript:KZN00495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKAAPSRHRRRPSQGIFMLPDDLSAPPPAENSGGNIVVPPPNQAPPLPRHGGAAPPQAPAVKSAEEMSKDLKCKSVSDEGSKES >KZN01422 pep chromosome:ASM162521v1:3:16039243:16044129:1 gene:DCAR_010176 transcript:KZN01422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWQRARSFAEDAAKRSQELTLQAARRSQEFTAEAARRSQEEFSIGSSKLSDIVSEATKRSKEIASEVKVEAIKRADQIKSQIPTASVLSQLVDPAVKVDKVEHQEDLETFGVTEELREFVKDITINTFKDFPIQDDSEVSDIPTVSNIRQDLTKWQEVHAKLVLSTVKEISKLRYELCPRVMKERMFWRIYFILVNNHVAPYEKRYNEDAKRISAEKVKDDIKISEVGTVPKPESGEAIQKSKSVSSAATEQDLDVFLLGDHGDSDDGQDDKDDGYDDDDFDKL >KZN01668 pep chromosome:ASM162521v1:3:22821805:22823077:1 gene:DCAR_010422 transcript:KZN01668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSREHRWSLKGMTALVTGGTRGIGSNSHSLLYAIVEELAEFGALVHICSRNQTEINEKIQEWEGKGYKVSGSQCDMTSREQREELMKTVSSLFDGKLNILINNAGTVRMKGATSYTAEDYSYLMGTNFESPHHLSQLAHPLLKASGCGSIVFISSVAGVTALPALSVYAASKAAVNQLTKNLACEWANDNIRVNTVAPWGVKTTINPGFVEASVTRAMMLVMNRTPLKPIGEPNEISSLAAFLCLPAASFITGQIIVIDGGYTAGGFKLEP >KZN03699 pep chromosome:ASM162521v1:3:47054552:47058005:1 gene:DCAR_012455 transcript:KZN03699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPRTAFLNWSLISLVDLAVSLLILYKAPKRGFRYKGLLLLWPLIIFSLLDILSQVTFLIVCAVKGDGCGMADSWWAKSIGFMKLQSWRYPSVIYYLVVQVFVVFTALSEVHRNKHGLVLSQDSWVAFCLLVPAIQLVVGISKPSWVSLPFFICSCVGLVDWSLTSNFLGLFRWWKQLWMYAGINIILLYVYQLPIGLPKMFHVLAKFVGLYKISAQSEGLEVLSGVSLMAFYFMLSCVKSDLEDMDFIMSSGEGNLTEHLLPLKQSFLIRQSRSGVNHTNVMIRGAVFRTFSINFFTYGFPVHILNIVIKFL >KZN03402 pep chromosome:ASM162521v1:3:44295939:44298255:1 gene:DCAR_012158 transcript:KZN03402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAFLLATICVLLALSVASADIVEHTFHVKNLTVQRLCQNRVITAVNGSLPGPTIRVNEGDTLVVHLRNESPYNMTIHWHGIFQMLSGWADGPEQTTQCPVRPGHSYTYRFNITGQEGTLWWHSHVQWHRATVYGALMIRPRAGRTYPFPKPYREVPILLGEWWNANVVDVENEGLATGAAPNISDAYTINGRPGDLYPCSSDKTFKLEVIQGKTYLLRIINAALNNQLFFKIANHNMKVVAVDAAYTNPYVTDVVLVGPGQTVDVLLTADQSPSLYYMAAHPYFTVPNVPFANTTTTGIISYKGATASTPIMPVLPAFNDTDTAHKFSTNLTGLVSGPFWLPPPLEADYKMFVTFGLGLVLCEPNATCLGPNGLKFAASMNNESFVFPTKLSMLEAFFSNVDGIYTTDFPSQPPLVFDYVNASNSFNPNLIITNKSTKVTKVKFNSTVEIVLQNTAFISIENHPIHLHGFNFYVLAQGFGNYDSVNDPKKFNLVNPQERNTIGVPVGGWAVIRFRANNPGVWLMHCHLDVHMPWGLATAFVVENGPTPSTTLPPPPADLPKC >KZN03635 pep chromosome:ASM162521v1:3:46522583:46523806:-1 gene:DCAR_012391 transcript:KZN03635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKCTPSASPSLSYKLHFDDCRCIFRVLNTVALHFIEEAHCPSYSNLKPHYETDDSVKVSEVAGKGIILLIGINWIQTFADCLSILKSKYELLKGTYDDFEVIQIYNLRELSDYGEHVADVSWPVHPFDEDSPGVSMLLDASGDTWLLTFNRHRQVIKRATISLFDIREDSAFPFSFNFELEKEVYREIYKRFGWEY >KZN00658 pep chromosome:ASM162521v1:3:7374377:7376317:-1 gene:DCAR_009412 transcript:KZN00658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHFPAPSPAPPLSSATTTATQHHVLLPPITVAFSFLIALTLCFRKFTHKRTSPSTTSTSPRRFSYASLRRATASFSPSRRLGQGGFGSVYSGTLSNGVKVAVKVMDSGSLQGEREFQNELFLASKFSSEFVLALIGFSSDRKRRRMVLVYELMENGSLQDCLFHRKCGELKDWKTRFSIAVDVAKGLEYLHYCCDPPVIHGDIKPSNILLDCEFKAKIGDFGLAVVKPEGIEVRKEFINEDNESVMEEEVENNREFDGDSVLSGNELVNVKSKKSGAGKDWWWKQDNGGNGSSRVKDYVTEWIGSEIKKEPPKMELGGGESRVIRKPERKKSRRTLDWWVSLDEEKSLKKEKRRPAREWWREEYSEELAKKKKKKKKEGNMNDDINEEEWWQRDDDDMYSDKKKKRRRSRGSRGSIDWWLDGLSGELWRARRNSHDSVSGEIPKSGGISSTPSMRGTVCYVAPECSTCTDLSEKSDVYSYGVVLLVIISGRRPLQVTGSPLSEFQRANLLSWARRLARSGKLLDLVDQSIQSFNTEQALRCLTVALLCLQKLPANRPTMKEVVGMLSGDLDTPPLPVELSPSPPSGFTSRSRKKAR >KZN03022 pep chromosome:ASM162521v1:3:40248943:40254021:-1 gene:DCAR_011778 transcript:KZN03022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDLRRTLLLLCDKLGESISKTKYKPPEGSNVSIKSALEILLPRNDNVSDTSKTLICEIRNFSLLCAALASSQVSTSEHLLWIPNSLAIASISAFQELAKGFCSTCNAESLRNVSGLGLDLSLLSDEQKLVVELMPQVMPLLKDKVNESSVDKSDDADEITAASARAPVAYAIGQGMISFIHLAKNVNAAEFGLYEDVILDACCQNVASTDEIWDNVVEMSVLLVTCLQRNNPRSSWYEKLLNEMLSHLERQPRNRERRISWLKHIDPLFNSVGLVLLAHFRRIFPLFFKWMHADDDETVLLVLDRITLVIKLTWIRNSPHFERLVDELISLYKEAALKIEREAIRTRVLQILILLHQCKGPQFEGVWNKHKDDPNLTALSSSFNENCSTLVQV >KZN02838 pep chromosome:ASM162521v1:3:37952502:37954306:1 gene:DCAR_011594 transcript:KZN02838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARMLHFSTALKSYLSLPYFCCQLVSGDELAFFARLNLPTGARGPDSLAFNGKGDEFYTGVSDRRILKYELANHAFVNFATTSPLRNKAIGDDRNDTKLGEAPCRPLGVAINYNNGDLYIADGVCGLAVVGSNGGVANTIANSVNGIPLLFPNAIDIDPKTETVYFTDAGSIFQKSMDIIEILSSGDTSGKLLKYDPQTKQISVLLTELSGATGVAVSGDGAFVLVSEYIAKQIRRYWIRGPLAGTSDIFIELAGSPDNIKRTVLGDFWVAVTMVDLQLAVPILVPFGQRINPIGVILENFSLEVQYRNAIVSEVQENAWGIFVGTLLGSSVGIYRR >KZN02682 pep chromosome:ASM162521v1:3:35938023:35941959:-1 gene:DCAR_011437 transcript:KZN02682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATVPSPTAVSGGGTAINNVSLYVGDLDKNVGESQLYDLFSRVGQVMTIKVCRDLIRNTSLAAHARDVLNFTNVNGKPIRIMYSQRDPSMRKCGFANVFIKNLDKSIDNKALLETFTAFGTVLSCKVALDSNGKSRGFGFVQFDQEESAQSAIKQLNGMLMNDKQVYVGFFIRKQDRCRTSGSQKFTNVYVKNLPDTVSDEDFKNMFEKYGLITSAVVMKDADGKSRCFGFVNFQNPDNASAAVENMNGFLCNEKVLYVGRAQRKSEREAELRAKFEQERISRFEKLKGANLYLKNLNDTINEEKLKDLFSKFGTITSCKVNLDPQGISKGSGFVAFSSPDDAAMALNEMNGTMVERKPLYVAVAHRKDERRAHLQAQFAQIRAPGTLATLPGGMPGFHPGPPRHPQQSLYFGHGTPALIPPQLTGYGFQQQLVPGIRPGVPQNFVIPPYQFLRQGPSEQRMGQRRNGNAQHMQQQV >KZN02526 pep chromosome:ASM162521v1:3:34233640:34235175:1 gene:DCAR_011280 transcript:KZN02526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQEPLVFTVTRRSPELIPPAKLTPHEYKLLSDIDDQETCRFQVPIIQFYQNKNNVTDVLNREPVKVIREALAKTLVFYYPFAGRLREGAGRKLAVECTGEGVLFIEADADVTLEQFGDALYPPFPCLGELLFDVPGSSGVLDTPLLLIQVTRLKCGGFILAWRHNHTMCDAAGFVQFMTALGEIARGASVPSIHPVWQRELLNARNPPRVTCAHPEYDNVADTKETMIPMANLVQRSFFFGPAEILTLRRLVPTDFRKCSTFELLTAFLWRIRTRSLDLGPEEEVRLLCVINARSNFSPSLPKGYYGNAFAFPAALTTAGKLCQNPIEYALELVMKTKEVFNEEYMKSVADLMVLKGRPHFTVARTFFVSDLTRAGFGSVDYGWGRPVYGGPARGGTVNSFYIPFKNRKGEKGIVVPFWLPASAMNKFALELDGILKNNDHLVTDNTPLPIKSVL >KZN03524 pep chromosome:ASM162521v1:3:45393448:45400549:1 gene:DCAR_012280 transcript:KZN03524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDKTVCVTGGSGFIGAWLVRLLLDRGYSVHATVQNLHDENETKHLEDLEEHKSNRLRLFQIDLLDYDSILKAITGVSGVFHLASPCTVDKILDPEKEVLGPAIKGTNNVLVAAKELGVKRVVITSSISAIVPSRHWPADVVKNEDCWTDVEYCKQKELWYPASKTLAEKAAWEFAKEKGLEVVVVNPGTVMGPVLPPTLNASMLMLLRLFQGCTETYEDFFMGSVHVKDVALAHILVYENPAATGRHLCVEAISHYGDFAAKVAELYPEHQFPSIPRDTQPGLLRSKDGAKKLMDLGMQFISMEQIIKDSVESLKSKGFLS >KZN02131 pep chromosome:ASM162521v1:3:30011558:30012613:-1 gene:DCAR_010885 transcript:KZN02131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVRGERIGHGSFGTVDLAIRNGSVMAVKSCAASHSSSLVNEKVILDQLRDCPQIIQSFGDCFSFENGERLYNVLLEYASGGDLGGKIKNSSSGKLAEFEVQRCTKSILRGIDSVHRNGFVHCDIKLQNVLLFGSKFGGNDLIKIADFGLAKRAGKKSSGGELRGTPMYMSPEMVAGGEQEAPADIWALGCMVVEMLTGAPAWKCRRETDFGGLFMRIGVGEEVPEIPQELSDAGKDFLGKCFVKDPRSRWTAEMLLRHPFVANDDLLQCCEVTSTSPRSAFDFPDWVSEQSSQMTVMPSPEYSSKPSSFDEFDKIESFSTSPAVRLNELVTDHQTPNWSVFDSWVTVRS >KZN03263 pep chromosome:ASM162521v1:3:43008875:43012254:1 gene:DCAR_012019 transcript:KZN03263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQRLESPLLGSDQDSFTRRWWARALGLLVGLMIVAFVGLTFTGHLNYGVVINLSKNNHEKFPNAEVVESDQAVVAADDTRCSEIGVSILRQGGHAVDSAVATALCLGVVNSMASGIGGGGFMVVRSSETSQTLAFDMRETAPLASSQNMYANNPDTKSIGALSLGVPGELAGLYEAWLKYGRLPWKPLFHPAIKLAREGFIIAPYLANAIDSHADSITSDPGLRKVYAPNGKVLKAGDRCYNKELATSLETIAEQGPQAFYSGVVGEKFVEDVKNAGGILTMEDLRSYKVEVTEAMAVNAMGYTILGMPPPSSGTLGISLVLNILSNYASSNAAKGVLGLHRLIEALKHMFAVRMNLGDPDFVHISKTVSDMLSPSFAKSIQQRIFDNTTFPPEYYMHRWSQLRDHGTSHFCIVDAERNAVSMTTTINFSFGAKVLSPATGIVLNNEMDDFSTPTEISNDHLPPAPANFIEPNKRPLSSMTPIIVLKDNQLAGVIGGSGGLYIIPAVLQVFLNHFILGMEPLAAVQSPRVYHKLIPNVVLYEDWKCIDGEHIQLAYEKRKFLEERGHELEAKSGGAICQLVVQDFQNTIAMGRKNGKAAKDQVLHGILTAVSDPRKDGKPAAL >KZN02578 pep chromosome:ASM162521v1:3:34753399:34756733:-1 gene:DCAR_011332 transcript:KZN02578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTISRLPRLKELYLEYPLEYWDDSRRRLMPCLMPSLKEISSLSCLTSLKIFWDSFEPAQDTTIFSSLVDYDIRVGGRGENKYRPASKVSLTKLVELTGIDNFEGFHDLIGRAEEVILRETNVDISNIWNGEGEVFKDLKNLYIDGCKTMEHLAARIPQFEIQSVAQEQTSFSKLSILVISRCSAMKYLLSHSVAKRLKQLQHLSVFDCGLMEVIVMNEGTSGEGEILSFPKLKSLKLSHMHRLTSFYREHSSLLSPLDDATNRSSQSQTLFNGMVYVTQVAFPCLKELELSGLKEISDIWGEHYRSESWPLKSLEITRCHELDVVIPHFMLSSLSSLERLKVDDCDGLENVFLPSVAKGLERLKKLEVMYCKKMTVIIGQGEQPSDEVISFNELTHLKLKFLQELSIFCLYQEVKFPKLVDLILHDVKINLEEIELGKYDSTCELKYLDISCDSEIQLPYTWQLCLHYMESLALRQSWSEELKFMMFWNLKVLKVFESGCSILFTFSVLGNLHQLQELEISSCCLLEEIVEDVRGDEPSGTNKEAITLFRMKSIVLKDLPKLKSFFSSAHFEFYMPALERLEVVKCGLFTTLFTCPVSKSLRQLQSLHVYDCRLLESIVEDARGTETLNADDRIIRLSGLSEVVLTDLPNLKSFGLIARHTFFMPKLSNFRLSRCPHVENFTPLRTGFKVTVNSEWHKPKEVTDLNDFVRQYRKRCDSAEDQPLQAAFLSFPWSSPWAF >KZN00346 pep chromosome:ASM162521v1:3:4391944:4392165:1 gene:DCAR_009100 transcript:KZN00346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGGRTSGVLFLVVLTAIVTAVTGNSEGDALVALRRSLTDPGNVLDSWDSTLVNPCTWFHITCNQDGHVTRV >KZN02305 pep chromosome:ASM162521v1:3:31871080:31872752:1 gene:DCAR_011059 transcript:KZN02305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSPDLRFSFSRWFKIFGRQKSEEDDAKDSTPVECYACTQVGLPVFHSTTCDQVHQPQWEASAGSSLVPIRARAPNRTTKIPRTSGPFGPVLDPRSKRVQRWNRAFLLARGMALAVDPLFFYSLSIGRGGSPCLYMDGGLAAVVTVIRTCVDAVHLFHVWLQFRLAYVSRETLVVGCGKLVWDARSIAAHYLRSLKGFWFDAFVILPVPQVASCNKNQECTGISSDMQSVITGEDFHVKTIEHKKA >KZN01601 pep chromosome:ASM162521v1:3:21535187:21536599:-1 gene:DCAR_010355 transcript:KZN01601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIPFVQHLVWYYRLEAEKLESIVQRLDYQVAYKWLCELRTCIFAVSLRSSSSLESKGSSIEMLCGNAIWPKEGGGFVNGNGGKTPDPSYLVSKPWRPLTRKLKIPSAVISPYRTPQKNGRNELLKRVVAGGGAFKRPGWHKSSSSRSKPKRHSFDYVLYRL >KZN03215 pep chromosome:ASM162521v1:3:42564805:42571556:1 gene:DCAR_011971 transcript:KZN03215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLLNSDYKTLISFSLSYDLKINVRSSPLQSLVQLSPNNSAAPVKNVQGIVPSLSFSTSHGKPPHVEGPNLAPEDPEQMAPPPEEILQIPPSDDPLAQKITPSSFPVPAASPPLNLLIEPLPNQRGAQGIPPSNSPVPSASPSSKLPSYSAPVRPLLPEFPPSNSPGPDISSVATPLPIFKQKGGGKPIAAPSNGTPKQVSPLDSPTKAPVQSFPKGSSVAPLPHLKMESSSASTSKDPDVALVSTRPPSFDQKRVKMPVAAPSKGILKQLSPLDHSSSEGNDWKKNGLAVAVPSYGSPKKLLPQVNNPVKANAAPPSYSPKVYEDSRQKSNALPTLNAAKSALPPVSPPRVPASQEMTIPPFPPQNSPSGTSSPENPMVPYLPHIPELRPPPLSEDCESLSCREPFTNNPSGSPCGCVLAMQVGLRLDLPLYAFFPLVSDLAADISRGIVMKQSQVRIMGANADSQNQEKTVVLLDLVPFGAKFDNSTAYLIYKKFYQKEVAIEPLYFGNYEILYMRYPGLPASPPALSTFGGEAYSGQGSKKRPFKPLAVDVNRQRHKKGLKGSVIAMIVLVASLSLILCCAVAWVMLFRHRREKAQPELNLTSPTPSLEESLRFAVSKISTGLSSISPTYKSGLSPYTGTAKTFTVIDIERATDSFNASRIIGEGGFGIVYSGVLDDGTKVAVKVLKRDNQQGGREFLAEVEMLSRLHHRNLVKLVGICMEEHARCLVYELIANGSVESHLHGFDKTDAPLDWNARLKVALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARIGSDEENKHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELITGRKPVDMSRPTGQENLVTWARPLLTSKEGLELMLDKSLSPDFPFESFAKVAAIASMCVQPEVSDRPFMSEVVQALKLICNELCTTNNFESRFCSPEQISTYFDARPSTASGYMPYHLQSPFSDVEWGLRASEVFSSSARFDRTGSRPFRGHSSPGPMRNRRTLPFLSRIKGWSGGSTSNQRAILGLWSRSN >KZN02010 pep chromosome:ASM162521v1:3:28236149:28238400:1 gene:DCAR_010764 transcript:KZN02010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGWRRAFCTSIPRDPESRQKRQQDEKNKQSSSSTPISTPKIGTKFTGFFSTPSTPRLQTQTQPVSSSGLRCRTTTTTTASAPESPKLQCKTAKNSPTFFHRSNPSSPRSPSTFSLLRSTLRLSKTRCGICLQSVKTGQGMAIFTAECSHPFHFPCIASHVKKQNQLVCPVCSSTWKELPLLSVHPNHTQSSLVQDKKKEITARSDCNTERKQYMRPDLKVYNDDEPLMSPTSVARFNPIPESDENEDEESEGTYQEFQGFFVNGTTSPVVSNYKNVEVRLLPEAAVVSIGRSYETYAVIIKVKAPPAGDKAARRVPIDLVTVLDVGASMTAEKMLMTKRAMRSVVSSLSSADRLSIVAFSGTSKRLLPLRRMTTTGRRSARRIIDALAVLDGTSSANDALKKAVKVLDDRRERNTTCSVMILSDGCDDRERFAGNHRRQSSFVFSTRYTQHNIPVHSIGFNQDARGNSPEAFAKCVGGLLSVVVQDLKLQLGFVSGSAPAEIAAVYSFTARPASLGSGCVTIGDVYAEEERELLVELKVPSSAIGAHHVLSVRCCFRDPSTHETVYGKEQALLVPRPHAVRSSTPSIQRLRNLFLSTRAIAESRRLVERNDLTGAHHMLGSAKALLLQSSSAEAEEFIRGLEAELAEVNRRRQIQNQRSATVVNGREFAFVDEKGEPLTPTSAWRAAEKLAKVAIMRKSLNRVSDLHGFEDARF >KZN00881 pep chromosome:ASM162521v1:3:9534204:9535680:-1 gene:DCAR_009635 transcript:KZN00881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFFIDTTDSACVGGKEWYFYSLRDRKYATGKRTNRATISGYWKATGKDRPVCRKRRLVGMRKTLVFYQGRAPKGKKTNWVMHEFRLEEPSGITISSKEDWVLCRVFHKNKEASNDSPKQDIQNDGSWYNQETNISNIPASNHLPPLMDSYISFNQNHSHSHPQVPCFSNIYTTNNIHTNPNHVTTLPIITNNVQLPLQNKPIISSGSASYLGNYSANTSSSCDNKMVRDEAIDHYLAKIGDNVNADYTGMDIPPPCDVMMMIKDSPMSFGEGSSESFLSEVGFPSISWNDQY >KZN02119 pep chromosome:ASM162521v1:3:29869343:29869949:-1 gene:DCAR_010873 transcript:KZN02119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQLLNDSAPYLDIYSENYPSPSSSPLLPKNLTKLIWEDDLNLSQFVETNPGDLKEQFMKEIVEDTPDRIIEQYVKPKNNTLKLKKLQFEDPTGMLQIYLPQIVVNTLKNPPEDLATSKMKQKLHTAVGQ >KZN02801 pep chromosome:ASM162521v1:3:37469318:37486822:1 gene:DCAR_011557 transcript:KZN02801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENREEVKSIIRYLPVFLRSSNIFWPPPVVEALKSLSGGPNCSNVDSGELLFLAISDMRNSLSLSADPLSPSAPHGISLFFDDELVGALLACSLLCLFPVAIRGAKRLPTINFDQLFASSIATEFFCHLLSDAWSLEKIYCFPLPISGLIEDQTEEALEVDFANKYIGGGVLHKGCLQEEIRFVINPELILGMLFLPAMAKNEAIEIVGAERFSNYTGYASSFRFSGNYMDKRDVDMSGRRKTRITAIDAKSNPGYRQYKVEYIMREINKAYCGFIDQSYIQDIRPPSCTPEDVYMETSSTSSGDNKILSIDKLNKDFERKGDQCMGQHDSIGIATGNWGCGAFGGDPELKTIIQWLAASQASRPFISYYTFGIDKLQSLDQVTRWIMSQKWTVGDLWNMLIEYSSQKLQRETKVGFCSWLLPSLHAHDPMDLY >KZN02931 pep chromosome:ASM162521v1:3:39294625:39296939:1 gene:DCAR_011687 transcript:KZN02931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNVQISFIAFYSLFVLNIVSVEVNEHIFFPDERDALMQLRETMNSSANLHAKWTGVPCHKNQSNWNGIACENWHVTHVVLENIQLTGSLPPAFLHNLTFLTKLSFRNNSVFGQLPNLTNLINLEQVFLSKNGFSGSIPFHYIQLPRLKSLELEENVLEGGIPPFDQESLMEFNVSYNHLSGSIPETRVLESFSESSYDHNSGLCGKIVGKLCPVLPPAPSPGTPSKQEKKKVLRAYIIALIAAGAVFMSFLVIVVFFCCRKKAIRRQKLSNQQGMSAGNRMIWSSSADDLEKTTELEFFDKERPIFNLDDLLRASAEVLGKGRLGTTYKALLENGPAISVKRLKEMNSFSKKDFVQQMKLLGQIRHENIVHIVSFYFSKQEKLVVHEFVPDGSLFQLLHENRGVGRIPLNWRTRFLIIKDTAKGLNFLHQSLPSQKVPHGNLKSSNVLITQQDDDQNVTYQSKLSDFGFLPLLPSKKSSECLAIAKCPEFAETKKLTHKADVYCFGIILLEIITGKIPGEITSGFQGEDSSSINNLSDWVKMVVKNDWSPDILDMEILSSKEGHEEMLKLTELALHCTDLAPESRPNMSQVLQIIEEIEQMSGDQQQEQIES >KZN01998 pep chromosome:ASM162521v1:3:28064227:28065295:-1 gene:DCAR_010752 transcript:KZN01998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFLGLLRIRIKRGINLAVRDAISSDPYVVVQMGKQKLKTSVISNSINPEWNEDLTLTVADPTVPITLRVYDKDTFTLDDKMGDAQFDIKALLEAVKMRLESLPNGTIITKVKPSRENCLAEESNITWEDGKVIQNMIFRLRNVEQGELELQLQWIDIPGSKGL >KZN02558 pep chromosome:ASM162521v1:3:34432461:34436838:1 gene:DCAR_011312 transcript:KZN02558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWEMGFSSLFLLVSFSWVFFISTTHQLQIYETQLLLQLRKHLEYPTQLQSWENYNADMCDMPSTLHMSIKCLNNSVSELKIMGDKLAKVSQYHGFAVPNHTLSSSFSIDSFVTTLARLSNLRFLSLVSLGIWGELPDKIHRLYSLEVLDMSSNFMFGAIPPAISRLVNLHTLTLDGNFFNGSFPDWLDSLSNLSALSIKNNNLKGPVPNSISRIKKLTGIALSHNQISGKLPDLSSLTNLRLLDMRENRLDSQLPLLPGSLTTVLLSKNRLSGNIQEQFGKLNQLQHLDLSFNSLSGTPPTTLFSLSNISYLNLASNLLTGSLPDRLSCGEELGYVDISSNRFTGSLPSCLASTSEKRIVTFAGNCLSINNQHQNPESYCASSIVKEQSSKKEIGVYVGVVGGTVIVVILVLSFLVLSRRYTLREAIVQHTLPKIMQCDTPSGISSEVLANARIISQAAKLGTQGTQVQRVFSLEELKEATDNFSQLLILGEGYTGKLYKGRLENGTYIAIRSLPLFRNYLIRNLEIRLNLLSKLRHPHLVELMGYSIDREGHDILTASRVFLVYEYVPNGNFRSHLSETSPDKALKWSDRLAILIGVAKAVHFLHTGVNFASFYNRLKTNNILIDEHFVAKLSDYGVSIITEDIDKLEASFGSQDGRKRLVDPLVLTTCSEESLKIVVSITNKCISLDSSRRPSFEDVLWNLQYAAQVQAIADADQKSSASSQ >KZN00217 pep chromosome:ASM162521v1:3:3179523:3180815:-1 gene:DCAR_008971 transcript:KZN00217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQIVSKENIVPAAPTPDSLKTYKLSVLDQTQVKLYVPLMLFYLNSNSGDLDSFISDKSELLKKSLSESLTRFYPLAGKVRDDFHIDCNDEGVLYIETRVDCSLLDFLSQSPGDETINRLVPEEARDSPMGNYLLIIQVNIFSCGGIAICTCISHKFMDGTTYTLFMKDWTAVARGSSSEIVNPSFIVPSLFPQIPSLSFKSPMSFSNIKFVSQRFVFDSLKIAALKAQPKLLSSGSESSRFEVITALLWKCAAKAACKSDDDSLEKPFNLGVITNLRGKNCIPKNSVGNLIWPGLAQCQLSPELEHKTLVDQIKECKSGTNDEFVEAITGDAGTLVLLNVAKLMSCDEISFSLWITSMCNMGLYELDFGWGKPVWFYYCNLKLVNFISLCDIGAGGIQAVVSLSEEEMAVFENDPDLLAFASVNPAPL >KZN02378 pep chromosome:ASM162521v1:3:32844218:32846062:1 gene:DCAR_011132 transcript:KZN02378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRVVKTPGGRLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKLKEKVTKT >KZN00151 pep chromosome:ASM162521v1:3:2513933:2514943:-1 gene:DCAR_008905 transcript:KZN00151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSERGVEVVVKRTEVVAPFVPLTVHRLPMLNLDLLVPPSCMGLYDQIQTLRAPPLVHLQSRIYKISARQIQHLQSLAGPGRTKFESLSALLWKLLAKAATEDEKRCKLGIVVNGRNFIGKSTNNYFGNVLSVPYINASVGELKSMPLSETADKVRACVESAANEEHFRGLVDWIETHRPCRAMSAIFSFHPSDTEHVAVVISSGQLFPVSKLNFGWGHPSFVSFLSPWRGNTGFVMPMPSPTNNGDWVVFMHLFEKHLDFLEKEAPHFFKPFRLSPLEKFRGESKL >KZN00583 pep chromosome:ASM162521v1:3:6638146:6643282:1 gene:DCAR_009337 transcript:KZN00583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELLSDLGTEIIIPICAIIGIAFSLVQWVLVSKIKVTPEKSPADNKNGFTESLIEEEEGINDHNVVEKCAEIQNAISEGATSFLFTMYQYVGVFMVAFAILIFLFLGSVEGFSTKSQTCTYDTTKTCKPALATAVFSTISFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYITILLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGITHDLTAMCYPLLVSSVGIIVCLITTLFATDFFEVKTVKEIEPALKNQLIISTVLMTIGVGIVSWIALPSSFTIFNFGAQKEVKNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAIGIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGISVVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGKAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGVSDHAKSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKLF >KZN02698 pep chromosome:ASM162521v1:3:36169002:36169229:1 gene:DCAR_011453 transcript:KZN02698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVTCPRTICRSALCSNSSMEDWQLTASSLHPCNVSSQRLPAAILPNGYLLHLQGCKPTVQQSNTSKAPSNGS >KZN00484 pep chromosome:ASM162521v1:3:5722427:5722963:-1 gene:DCAR_009238 transcript:KZN00484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNTTTTNHLPSPAPNGGGTTSSTAVNIILSFLALICIPILIYAFFFLLKCPPYPVEWRRRRNLGEISGGEGDAKAGHVDVVYKSGGDSKKKEETSDEIDYDGECPVCLSMYVDGDEVRVLNVCKHRFHVSCINKWLVSHSNCPVCRASVRLKRVTQPSMAASDDLRQGLPDASSMV >KZN01355 pep chromosome:ASM162521v1:3:14837548:14839409:1 gene:DCAR_010109 transcript:KZN01355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTSFYSPKSPPTTSVGCCSSLSAQLKLYQAFIFSVPIFFTFILLFFFYLFYLRRRRADWSSLRMRTARLATIQNNISTCEMGLKKEVREMLPIIVFKESFSVKDTQCSVCLGDYQAEDKLQQIPVCGHTFHMECIDLWLATHTTCPLCRLSLLASRASEKPTDNQSNETDQSPESPDVENHDENSPPSSSQLSGEPQVNEQIFNIVQQTNENFQSPESADVENHDEVSPQCSSQPSEDPQPTNKYQT >KZN03933 pep chromosome:ASM162521v1:3:50010607:50011970:1 gene:DCAR_012689 transcript:KZN03933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEDEPHGEEIQTLHLNDLKALSVLGRGGKGVVFLVQTKSASQELFALKVVSRSSIEKRRNGDGSEYKRVCFEQEVLRRLRHPLLPKLRGVLWTENILGYAIDYCPGGDLHSLRMKLFYAAELVLALEYLHGLGIVYRDLKPDNVMIQENGHLMLVDFDLSTKLSAKPQEPRVNLVQKTNVKKKKSFYKRRSNSGVSPDNTMESNETVESDSTVSKSKSFVGTDEYMPPEMIQGKGHDFAVDSWCLGVMLYEMLYGSTPFKGINRKETYYRILAKSPDLVGEMTPLRDLIGKLLEKDPKQRISIQKIKAHEFFVGVDWSLVLDVTRPPFIPVEPCVKPKDMDGNNGIDVELFVRGVFKVDGEKEKEFGEFKNELPNESNHFLVF >KZN03028 pep chromosome:ASM162521v1:3:40288103:40300535:-1 gene:DCAR_011784 transcript:KZN03028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSASASRLSNAAASASANPAKAMSAEYSPEMELTGLTALCPLDGRYWSKVKDLSPFLSEYGLIHFRVLVEIQWLLKLSQIPEVTEVPSFTKDAQSYLESLVDGFSISDALEVKKIEKVTNHDVKAVEYFLKEKCQSNPEIAKVLEFFHFSCTSEDINNLAHGLMLNKAVNLVILPAMDELIKSIRDMAEANAHVPMLSRTHGQPASPTTLGKEMIIFAERLSREREDVSKVEIFGKFAGAVGNYNAHLVAYPNVDWPHIAEEFVTFLGLSFNAYVTQIEPHDYMAKLFDTIVRFNNILVDFDRDIWGYISLGYFKQITKAGEVGSSTMPHKVNPIDFENSEGNLGIANAYLSHFSSKLPISRWQRDLTDSTVLRNMGVALGHALLAYRSALQGISKLQVNEAALSKDLNQAWEVLAEPIQTVMRRYGVPEPYEKLKALTRGRGITRESILEFIEGLDIPKDAKANLLNLTPHTYIGVAAELARNVNGTTDSINGARVVDYESKRVGFVSSLFLVEKPLQPKFDGCPVFVLSFANLWHWHIGVATLWKGSYNQLDSNFCFEGFESNVQPMEREFSAQSFSSSADSDTEIRSDYEVESGIYMTSCAATILVAALISLGALMMTVLISLTVMLQSCQTKSSGALEMWNSVDYYRDCKIYALNAELNSLDARSIPSFCKDIAIKYIKQGEYTRDLETTALLVENYLNDIRPLADVLDAVLMDIDDFVPLHSHYFSPSLPGFAGYIYNDYVEEAKRQKKLIFLQLYMKLQGGGWPLVLFSRKPEKLRSTAFEHLISAGCDQRKKCQCISKIISSGEGIYCNNKASISGQ >KZN01664 pep chromosome:ASM162521v1:3:22748558:22752017:1 gene:DCAR_010418 transcript:KZN01664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMEVKIRQGVCNNSLAESTSPENGWRLSVRPIPGRRGKFQAPMSRRALRSLRNLRPTLAPVPLHASSPPLILVACSIGLCGKLMFRPNADAEWETCVTLPDFYWKQIAEPVMKLYTETTDGFTIEAKESGLVWNYQFADPDLGSCQATELLDHLESVPAIEPVSVKSGLNIMEVIPQGVSKGLVAERLLETMQTKVMLPDVLCVGDDRSDDDMFEVIMSAMAGPSLEKCASNFSAGNN >KZN01688 pep chromosome:ASM162521v1:3:23178653:23180471:-1 gene:DCAR_010442 transcript:KZN01688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDIAHFGAGVFLLIQLVSIVSFITWLNDCVHSDQNENRCHIYGMLLATSAYVVCILGVILMFIWYAPQPSCLLNIFFITWTLVNAGFLTPGFMGLYLVFLCCEPPETKCIKKSEAATNGDWLSIITFVVAVVAMVIATFSTGIDSKCFQFKKEETEDEDEVPYGYGFFHLVFATGAMYFAMLLISWNTHHVAARWTIDVGWTSTWVRIVNEWLAVCVYRASVSMKDLRYFLKNSKCLQHFFLYMGEQGIAITIQQP >KZN00170 pep chromosome:ASM162521v1:3:2687353:2690531:1 gene:DCAR_008924 transcript:KZN00170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSDIPCVGEVVDRISKAIVDAMSRGLWYVFCYKSLVDELDSEVEKLKIEQGKMARRTKEEKDKGKNIHDFVLKWQKDVEEILQMSGEECSPSCSCSQCLPIPDPVSRWQLGKNAANKAKTVAELTISGNNYQKGEIAQFPPLENVPRSVGEFQKFQSREDAYKELWEALVNEDGARVLGIHGMPGVGKTRMMEQIWKEAKEETKFDMFVQVDVGSEKIDLMRLQDKIADQLGFSLDSKYSVVQRASQLENSLLNRGKSLIIFDNVWDEIKLDTIGIPFGSSSSTNSCKILLTSRDEGVCLLNNCRYLVKIAPLTPEEAWHLFENTVGASKIVSLKDETLAKKVCAKCAGLPLVIVVIGKALKIRQDCSWNDTLDQLENSKIKNVSGIDADVYACLKLSFDNLEEDAKLCMLLCSLLPDDANIHIMKLVQLATGSRLVHITETRVCAMVDELKLSSLLLEGINDEVFKLHDIIRDLARSIGGADPNYGFVFARCYSSLPDNYAEYGTAKLLHLELRTNDFQFPHDLQCPDLHTLSLRSTGKDINQVHVTTSYNEKISGSMFGNLRFLVLVNFSRKQQFSLQHLDRLKTLVLEHCDISYIGDTDASFFPESLDSLCIWKCHLPVPLDLPNLKYLRKLEIQRINDDVMMIMVPNAISNLSNLKTLHIPAGYFTTEDDVQEDLEIPGCDNTVYQSVAGQIFDEISKLTRLTSLQISFDYTEVFQDTHMFRNLLEFNICVGPSTGFSHPILRVPVTRLIELHDCQLSGLEALLEKAEKAVLEKIDDFKGIIICSSNRDAFAQLRTLYIDDCNNLECLARISQNEIQHTHQKWNAFSRLTILKITSCSALKYLFCESVAKCFVQLQVLRIYWCYKMEVIVMNEGNSGGDIISFPKLESMELAHLPGLTSFHRKKHSGSTSVIDNSAVSPAQRRPSYDGMVAFPSLENLIIQWLDQNDTRDILLEIKKASGVQL >KZN02073 pep chromosome:ASM162521v1:3:29213718:29216180:1 gene:DCAR_010827 transcript:KZN02073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGDDFTIKAKKEIPVPPPLCGRLTTSYQLNRMARDVPCAPSAMSDMWDHLFDEAYRADVLINTDNGIIIYAHASILGVASPVLKNMLKLSKGRGHQRSISIHGVPHDAVRVFIRFLYSSCYERNELEEHVLHLLVLSHAFVIPRLKRVCERRLENGFLTTENVVDIFQLALLCDVPRLSLICHRFILKSFKAVSATEGWQAMKESHPMLEREITMSMIYEDRIRKERTKWLQDRKTYLELYEAMEALVHICKDGCRTIGPYDKAFNKDHAPCKYEACKGLELLFRHFSKCNLRAPGGCIHCKRMWQLLELHSHLCADSDMCKNIEVQNNECKQKGRYEVEDIGEKDLENEKHLRSSILFICNNTWEMTARCILY >KZN01010 pep chromosome:ASM162521v1:3:10782690:10783433:1 gene:DCAR_009764 transcript:KZN01010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKENGLPAACASCRHQRKKCTENCILAPYFPLNKNKEFQAVHKLFGVSNVTKILSGLDVRDRQRAVDSLVWEATCRQNDPILGSFGEFSRVYEELEWYRYQFRSNFQTVAPENNMSFLDNRGFNTSSNSAFMSLNKNRNSSLYSGYNSYESFQCTDRLRDELSYNCSSVQNTERFKEDSNNSSVILPQQYGVQHAENFKEERNNSSMIVPQQYTMSTTFNQPYYQLAGTKCNIIELLLSKHAILSA >KZN01735 pep chromosome:ASM162521v1:3:23990965:24011518:1 gene:DCAR_010489 transcript:KZN01735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEKKNEHQEVPEAVPEDEKQVPEPTSPSVAVSTEHDCVLQKFRLYATQSNFYMVGRNKNRTYWRVLKIDRLEPLELNVCEDPTTYTERECSDLLRRIHEGNMSTGGLKFVTTCYGVVGFIKFFGPYYMLLITKRREIGSICGHTIYALSKSEIIPLPNPVVCSDVVNSRNENRYKKLLCTLDLTKDFFFSYSYYVMRSLQKNMCDAEPEQDTHTFSGRNFKLTLIARRSRHYAGTRYLKRGVNEKGQVANDVETEQIVFEDSPQECPAQISSVVQNRGSIPLFWSQETSRLNLKPDIIVSRKDQNYEATRLHFENLVKRYGNPIIILNLIKTHEKRPRESILRAEFANAIEYINKDLSEESHLKFLHWDLNKHSRSKETNILLTLGKLAAYALTLTGLFYCEVAPDLTSGSLKWPYFENVVAPDITSPRNCNSSNGRLCDSDNEDINNPELRQTCDSYVANGSHNIKPPLFQKGVLRTNCIDCLDRTNVAQYAYGLVALGEQLHALGLKEDSSKIDLDDPLADDLMKLYERMGDTLAHQYGGSAAHNKIFSQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINVFLGHFKPLDGKPEVWELDTDQRYNAYRYGQQYADENARSFIHRSLSENILHESSSLMSNSNSKGLSKSALSDRCQEGSKVFGESTTDVSACETDLSFSRYTQQMPPRKLFPDGQNDRCLQCDSGDALDCSNFVDLDWLSSSANSCDELFDRSALTSSPIAMLSSENIASEPVAGTSTSTSGYGSTMKVMEMSGTEVSYDGHNNIDVAEDFSDSFARWKWRPREHHLNFRRMKTKTNTFIYQPLDM >KZN01868 pep chromosome:ASM162521v1:3:26359449:26360745:-1 gene:DCAR_010622 transcript:KZN01868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRLRLTVGILGNASSMLLYTAPILTFARVIRKKSTEEFSCVPYILALTNCYFYTWYGLPVKKVAILTTTVTLIFVTCAIISAVVFHDHHHRKILIGSIGLVASVAMYCSPLVAVKQVLKTKSVEFMPFYLSLFSFITSVLWLAYGLLSHDLILGSPNFVGCPLGILQLALYFKYRKRGIMEEPQKWDVEKVEEITKEQLQVVVTDNSPAKI >KZN02379 pep chromosome:ASM162521v1:3:32847444:32848803:-1 gene:DCAR_011133 transcript:KZN02379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKNESAKIDEVMLPGFRFHPTDEELVGFYLRRKIQQRPLHIELIKQVDIYKYDPWDLPSLASTGEKESYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGSSKCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPPIPEVGAPKKFLDKSLPPHDSWAICRIFKKANSMAQRALTHSWVSPLPGTTTSDMFSHSTQFSPENLSCMTQNGSVIQLCNELQQNSTAGFSALDIPSYRPLNSASFYRPYSSGVPHGDHNNGFALSPPDVSPPSTQSAVDVTSMFFDVSPTIIADPDKTTEGINFGGPQQQFNNFSVSSPEDMQGNTNTCALDAASTKNLIRAHEANQCDNMQSIGFPFSLSSSLADTWKRNLQWDSPTCPSEMSANYSEN >KZN01122 pep chromosome:ASM162521v1:3:11991904:11995441:-1 gene:DCAR_009876 transcript:KZN01122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGDGGAKKAESQGGGGGGGGGGGAKKVELKPHPVMEQLADVQCCVQSHPPWFEAVLLGFQHYILVLGMTVLIPSILVPQMGGDNLHKAQVIQTQLFLSGLNTLAQTLFGTRLPSIVGGSYAFTIPITSIIQAQRYQRIKDPHERFSQTMAGIQGALIVAAAFQMIMGFFGLWRNVIRILSPLSAVPLVTFTGLGLYYLAFPMLAKCIAIGLPELYLPPYIKSKRPLCDRFAVLASVAIVWIYAGILTWSDGPNKKSTDALTSCRTDRAGLVNAAPWFYFPYPFQWGAPTFTTGEAFAVIVSSFVASIESTGTFIATARYGSATPVPPSIMSRGIGWLGIGTLLNAFFGSVTGSTASVENAGVLALTRVASRRVAQISAGFMILFSVIGKFGAIFASIPMPIVAALYCILFGYVSSAGLGNLQFCNINSFRTKFILGFSFSLGLTIPQYFREHQIIYQYGPIHTNAKWFNDMISVFFGSHVTVAAFVALILDQTLPRGSDEQQKDSGLHWWEKFRSYKSDLRSDEFYKLPGNLNKLFPPL >KZN03711 pep chromosome:ASM162521v1:3:47241073:47242377:1 gene:DCAR_012467 transcript:KZN03711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFQITLFSLLFIFTISQAQPSFRPSALVVPVKKDASTLQYVTTINQRTPLVSENLVVDLGGRFLWVDCDQNYVSSTYRPVRCRTSQCSLSGSIACGDCFNGPRPGCNNNTCGVFPENPVINTATGGEVAEDVVSVESTDGSSSGQVVTVPRFIFSCAPTSLLQNLASGVVGMAGLGRTRIALPSQFASAFSFKRKFAMCLSGSTSSNGVIIFGNDPYTFLPNIIVSDKTLTYTPLLTNPVSTSATSTQGEPSVEYFIGVKSIKINSKIVALNTSLLSISSAGLGGTKISTINPYTVLETSIYKAVTEAFIKESAARNITRVASVAPFGACFSTDNILSTRLGPSVPSIDLVLQSESVVWTITGSNSMVYINDNVLCLGFVDGGSNSRTSIVIGGHQLEDNLVQFDLATSRVGFSGTLLGSRTTCANFNFTS >KZN01224 pep chromosome:ASM162521v1:3:13270617:13272594:-1 gene:DCAR_009978 transcript:KZN01224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNNIRDKRPLAKVYRRPDNKVIKATAGRNIKSLVGNKLVDEVEGDEHNCNNLVDEQDGDQDNDKQSDEDMQEGEEDSAQEDSAEDMEQDDSAQEREEGDEDSAEEEDDEQDDVLNESEEENEDEEEEDEQEEDETENQAQVNNAQPKIKITKYKRKKEAAFETHIPRKRIAGTLYPLLKFMNKDVKKTEGAKHINKKKDEVKIRISPRHFSKMVGELTKEQRDWVTRAGFALLLDFELDILPTKIAYNVLQIFDHHSISLKLKDGDINITSEDVYDVLGLPNGGHPIILASPGKYSQRIKDWHAQFTLSDQITTQMIVQVMKNQEVNDNFKLNFLLVMSNVLIGTKGASYVDKQLLQLDDNLDNLKKYNWADFLLGYLVIGMESWNRTTTTFFRGSLIFLTNIKSN >KZN03508 pep chromosome:ASM162521v1:3:45203684:45229846:-1 gene:DCAR_012264 transcript:KZN03508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDWSSERWLKSLNDAVQPKDPPCILLSRPWESIPSESGPSRTLSSIPQPPSLYDTSTLSEASVVRLAINALQGVESALVSINKICTLFFSDPADRTYHRIPSLWNRTLSTVALGRILKTICRSGYIVYQLLRFVDYFKIIEFNKDIREQNGLKFDENALREAGERPLYSLVNQAFAVSVGKILEGYTSALDTLHASATMRRSSKTFDMSSCASPGVGLLTSVAHSEVTLLEVYLHTEGLRTQIEALGNICNIHDVDLCLSASCLEELGSERKFTDFPIGGDLLTYLYIQLKVSDPVHSGVLKFLFVRSFEPYSEFIRSWIYEAKFSDPYNEFVVEYPENLSHFEVSSTGVSADFALATIREQGVAVPCFLKDILVPLYRAGQQLQVLMKVLELSNTVGAWDNYINIVCVLNFARYRYVSKLTIKVLEEGFNLHGQLLALRRYHFMELADWADLFIMSLWQHKCYATEADKRISEIQGLLELSIQRSSCGIQSFNYLGLGYRVDWPVNIILTSGALEIYAEIFSFLMQVKLAHSSLAEAWYSLKVKDMMDFESVHMSYLNDSLHIDPELVKKIGAATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHKIVQAMTEIIPGLQGDMPTNSRKGVPFVGDQTKVVACAKHFVGDGGTVKGIDENNTVIDFNHLLSIHMPAYLDSIRKGVATVMVSYSSWNGKKMHGNRDLVTGFLKDKLKFRGFVISDWEGIDKITSPPHSNYSYSVQAGVQAGIDMMMVPENFTEFVDDLILQVKNNIVPMSRIDDAVKRILRVKFVMGLFEKPLADLSLAAQLGSQEHRQLAREAVRKSLVLLKNGKTSATPLLPLPKKAPKILVAGTHANDLGYQCGGWTIEWQGLQGNDHTVGTTILTAVTKAVDPSTKVIYNANPDTEFVKSNEFSYAIVVVGEPPYAEFDGDNTNLTIPEPGASTITNVCKAVKCVVVLISGRPLVIEPYISNTDAFVAAWLPGTEGQGVTDALFGDHAFTGKLARTWFRTVDQLPMNVGDAHYDPLFPFGFGLTTKAIVN >KZN02570 pep chromosome:ASM162521v1:3:34679963:34682659:-1 gene:DCAR_011324 transcript:KZN02570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWVVKASAWDMAGLGEEDNSHFIALGGSSSLVGGKNGGGLLHDLGLGRLGDLQDGSMDIIKERDGLKTLLLPLEMKKTHALSCVKKVTCLVDGCSSDLSKCREYHRRHRVCECHSKTPVVIIKGREQRFCQQCSRFHSLGEFDDVKRSCRKRLDGHNRRRRKPQQEALYMTSQNFLSDYKGGGWLQFSSPQAYGSSTNINSGISISWPANNSNNNQRLHSSLKKAFNGTDKEFSFLTDTNSRKGIYWNPEAAITQKVVNSEGTSASNCRNIIVSDKLTHLEESTCALSLLSTNQIQAAKTIMNPSAYQHDAFHSSQPTGINLQFDEMYPYSYPRIMEDKPIRAGAISDGNNIFDCSINGISQLCSNELYEQEHHNSFPCK >KZN00200 pep chromosome:ASM162521v1:3:2974565:2976185:1 gene:DCAR_008954 transcript:KZN00200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGVPQIEVTFEVDANGILNVKAEDKASGKSEKITITNDKGRLSQEEIERMVHEAEEFQEEDNKLKEKIDSRNKLETYVYNMKNQINDKDKLADKLESAEKEKIEEALKEALEWLDDNQSGEKEDYDEKLREVEAVCNPIITTVYQRSGGTSGAGEADDDSDDHDEL >KZN03140 pep chromosome:ASM162521v1:3:41664858:41668247:-1 gene:DCAR_011896 transcript:KZN03140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYMADLNGLSLLHFFRVAFGESQPLSGMMERHQAYSTCYGNITQILIYLDGPSHLVTGPRIGIPSHRSLGNWTDPDRNRKDDIIHSLLRQPLAAAIRATVRFQNLTHVTGQGPRIGISSHRSLENWTDPDRNRKDDIIHSLLIRTGTAKMISFTLYSKSHSCDRKGHLS >KZN02734 pep chromosome:ASM162521v1:3:36727306:36732449:1 gene:DCAR_011489 transcript:KZN02734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSRMKRSFRAGGMSSGQRRSPRLSINQLKKRHASIDLGEKDNSPILDNMRRVPGAEPNTNVPVSQNVDDRVTPLKRLIENERAVMKKISERHGASQEKIECAGLNTTPQQKTKSNEGQRKKARTEKVSEEDQGVEGNEGPRGGNFSKYLYVSAVKNEGTTYAHLTFPTFKAWSDLLLRERQAHEAKTNSFGKGVIIDISGTIEEAEDVPSQAKTRQENEVTTKQTSTYGSTQNIAESNMETLAPLDDEIIEDSVDYEYGVTAEIQETAQGGQDMGEHEKAELGLMHDNNRDSTMGVLNEEEIEATVMDKSMYTMTYENNKEGQEQSTQKRVDDEIDKHFAEEPYMDGFEKNMEEFDVIFKKCMTNFIDAFALYPNNGKLEELKRKYALYFKIFEDSSPITKSLYSAQCSGKKTKTRLEDEDERCIPSYSLGLTQMEPQNLGSELDVRMNKKVDKKGEDKGKTTKADRAELDNARPKRGKFPSAICRSPYVSRIVDISGYNITVEARNIWDWLFSNKRDKGDYLFQWKGRGCTKAHMRSLGENMMVETTVIDSWTCILNENEILRADTSPLRLFLTTETTYGPMQMEIGENDSNAKLNRQMAFDDNMEVVWQMVNEIHNKQYDITDFELLLFPVYNAAHHYIICYNIHTPRWEVLDNRVQTLSFSDTYGDLPWRLHECFCHFLECYNIPKYSQLVRLQPEVVSMEWQSMDNNIDCGVFVMRHLETYMGNIFKWKSGLRRERVDYFPMILISFFYTCMVIWLVLCTGESEAVVEQASSDILSSAIVVGGE >KZN02768 pep chromosome:ASM162521v1:3:37052962:37057497:-1 gene:DCAR_011523 transcript:KZN02768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPAFSNSSFSAKNCCSEKLLFDLPNSFSPAFEQKAAVAGLNPSFLRKLYYLLVELSRNRWSCMKVGTDLAQLDYEIVPSGMRVTSKDMCRLSDILFKEFCKRFKQLHSDLCVSSASEGNKQAISHPDTLASAAELYVLLRCCLKIQDLLKRQNIHDENGQSLFMIARTLSQLVSSAESSTSFGNSYSSINNGNKGSANSFAKEFVAFIFSLKLTDSCIPVLSSIIEATVDKVTVVGTLICLKSTKLISLAEFSLNLCTTEHSISVFVDELSLSGQLEGYFNKIDYVSSTSYELSMDYLGDGNLKIMMELICAHFFLFIFNEQNIENLLARLSWPLEDLIAPGMSVTAALSLLHKPHMLCLPKLIQTHMISLVSEAVGFNLDFDHSIPDLKLIDHYLLTFRRSIILYADHIAKLQIDGCPEHNKFYFLNSNISGHFFPVFESCIQPATREKISNLNTNFSDSWDSHYRERFLRSKDDLSTSAITYMEDNLCILDRSCRDEILSVLSCIIRRVSDDIDSVLFDACDDASIHDVCFLTSLVNLMSISLLQSLWCLRSRCIGRLKSENLSCKEYQCIASIADSFTALDINLPITNSVCNLIESQLTKHKKSKPMLFHFLRLLSFGFLSGVDFLVNGCILCIMAIMNLFVFEEGNLEALKLAARRNISTSLVVATKFQKIHSLYLSKIPPSNFKRIGQLENCSLSTNHDNLNAVATEETPQNTPNGVNYLRCIGEISAVDDLADFIECKEGKDYSSWLKNRDRYRKWKHEKHAALVLKKKKRAKGKKI >KZN02179 pep chromosome:ASM162521v1:3:30570168:30571827:-1 gene:DCAR_010933 transcript:KZN02179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIIFVISLMLAYILFKSLLSFRIIGKKLPPGPFQLPIIGNLNKLGNLPHQSLANLAQIYGPIMHLKLGSVTTIVISSATIAQQVLQKQDIAFSSRFIPDGLCAFDHNRYSMVFLPIGPRWRSLRKIVNLNIFSVNKLDANQHLRKRKVNEVVEYVKKCSRNGEAVDIGRAAFMTSLNLLSNTIFSKDIADPGRDSAKEFRDLIRDIMIDAGRPNLADFFPVLKKLDPQGVKRNMARHYVDLIEVLDGLINERLALMRSGNPVEYKDALDELIKISQVNPDEIDKKLMEHLFVDLFVAGTDTTSSVVEWGMVEVLRNSETMLKLKSELREVLGKGKILEEAYICRLPYLQCVVKETLRLHPPLPFLLPRQIDEEAEVNGYTIPKNSQVIVNVWAVGRDPVSWKNPLSFYPERFLDSVIDVKGQDFELIPFGAGRRICPGLPLVMRMVPVMLGSLVNCFDWELEGGVLPNELDMGEKFGLTVGKLLPLRALATSDVV >KZN02735 pep chromosome:ASM162521v1:3:36742132:36742311:1 gene:DCAR_011490 transcript:KZN02735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMGASYALLHVQQKRLQDKLQKQRVEKDGAKGASVGHNNKSDSKNKIHPSGFSDTTK >KZN00376 pep chromosome:ASM162521v1:3:4690316:4691164:1 gene:DCAR_009130 transcript:KZN00376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCYDTSLNCNACLSPPHKRQRLEKDAVIAIHDGEYHAREFLFKKVLAKSDVGSRSYVFTGAWNKFVKAYELQAGDSVLFYKNYSGDECFFGIEVRYAGARNIVGSVTKNLNNVEEFMNDDTIRVKEEREVSASEPKEKDFQLFGVRIKRASTDFQGGRSIDVIKEEEDYLVHKADCRRVKEEEEEFEGLKVDLNLSL >KZN02496 pep chromosome:ASM162521v1:3:33960938:33964371:1 gene:DCAR_011250 transcript:KZN02496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLVVVLILVLLHSLAFFISHSTQFPNYPSSFTAQEPLKKGTNRLSNPLIGEDGRVYICMGKSLLAFENNGTTAWTLPLGYSCNLGMAPVSGASSTLYVVAENRVMKINLRKIGTSESALEVFFGPESGEIIGLSVSTTISCIAINVKNRALFAFRLHGKPLWSAGPVIYQNGYRQGCRRSTTDCYFTSVPVIDQCEASIYISNNQGELYSLSVRTPHFKWIQDLSSLDKNFTATPGNNGRLYVTLPARSLLLALHVSTGTILWQKPIGPLSRIDYKPTVDSNGWVSVGSLDGFLYSFSPTGDLKKFPKRAAMDSVIQDSPSLHCSGSAVYVSQTVVEGKLSHTIGESTYISAMKPTNVVFTLLVPATGSIYWSESYPGPSSSLLSKSDLQHFVLDERVLLAFLSASGVGRPLPCRSTRQKLASSCSQTMPKLLSIYTGNEKAVMLFLVFESIVLIIVAALVRFCCIFWGKKKLQGQDLGKFLEKRRSLQLQKKAYDRTITELERKAAEEAVDNEVLENLGHMVRAKAGIERKLSTTYSLGRDRPGVQPKSILPLYNRRTKSFSFRSTNKENATTATTPSDSSSEGCSICEKASRSLYTGPPNKGKAPMEVVDNSSDDENAAKSLYTGPADKGKAPVEVVDSSSGDESYGGGHESVYTDSTPGSNLFINPLFNEHAVNEEASLKIHEEGEPAQESGSRSIRRRTFSSSE >KZN00094 pep chromosome:ASM162521v1:3:1862281:1863168:1 gene:DCAR_008848 transcript:KZN00094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVFLMAALLFVSVSANHRINTEQNLETLYNTILRHQNAANLETAKYAPYPDHILDMSQLVQALANKNLSEGVGFDTIGQVYHIIDQKLKYNKASDAMLESINFDMINLTVYHRYEDVNRIGTKMPLLFTNLGPPSASLLKRPQPEALNNSQILCGSAVSREQAVCVTSLEEMLEFVYQHMGENVKPVSALPGKWQEYTITAMPKVIARKMTGCHKSDSRPFAVYECHNVPDTLIRVVPLLGRDGMKVQAVSVCHMNTSSWNAHYVAFYLLKAKPGIPVCHFLATDDIIWLAN >KZN01148 pep chromosome:ASM162521v1:3:12197618:12200414:1 gene:DCAR_009902 transcript:KZN01148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSFFNVLELSDDDSSGLIPGVEMADQSGFVSSADEERRIIVANMLPLKCWFDEETKKWGFDWDEDALVLQMKEGFEANDEVVYKFGDLDYVPIVFVNGPVSTRDKVAYYAISDCCVVNALRDGMNLVPYKYTVSRQGSPVIDKAMGLEGQEAIRKSVIIVSEFVGCSPSLSGAIRVNPWNVESVSDAMRSALSMSNTEKQLRHEKHYKYVSSHDVAYWARSFDQDLKRACTGHLNQRCLELGFGLSFKVVAMGPNFRKLSVEDIVSAYRSTNNRLILLDYDGTMMPQVLEKLCSEPQNVVFIVSGRGKESLSTWFAPCRRLGLSAEHGYFTRWTQDSRWECSMSADYFGWKRIALPVMEHYTEATDGSFIEQKESALVWNHQQADPDFGSCQAKELHDHLESVLANEPVVVKRGQQIVEVKPQGVSKGVAVERLIATMRSNNRLPDFVLCVGDDSSDEDMFASVAKCVTEAEVYACTVGRKPSNAKFYLDDTDEVIKMLQRLAQPPESQVSHKRYRSM >KZN01559 pep chromosome:ASM162521v1:3:20495608:20497059:-1 gene:DCAR_010313 transcript:KZN01559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSLCRFSRSLCNRSGDGGGNGFGSSEEYLDVNFDCSEFIPGLDEETDSRGSLGSAGTSCSYGDYSQTNFSMRRGFTEMARSDADRALSILSQDGPGFDAKGALDDMEVTVSGLFVRYVLLGILTNINYANKTRFAKMGYKFFTWSGIQENYAHTVNAYHLMMKIFADSEEYKAMWRLVDEMIEKGLPTTARTFNIVICTCGQAGLARKTVERFIKLKTFTYRPFKHSFNAILYSLLTVKQYKLIEWVYQQMLIEGHDPDTMTYNVLMFAKYRLGKLDQFHRLLDEMGRNGYSPDSHTFNILLHVLGKGDKPLAALNLLNHMKEVGIEASVLHFTTLIDGLSRAGNLDACKYFFAEMTKYGCSPDVVCYTVIITGFIVAGELETAQDYFTEMIVKGQVPNVFTYNSMIRGLCMAGKFKEARLMLEDMESRGCVPNFTVYNTLISYLRNAGKMFEANEVIRVMVEKGRYIDLISRFKRYRRC >KZN02896 pep chromosome:ASM162521v1:3:38721633:38723799:1 gene:DCAR_011652 transcript:KZN02896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFEYLAGAVMTFFLLLNAVKADLAADKAVLLALRTAVRGRTLLWNTTESSPCKWVGVQCRNNRISELRLPGMGLTGNLPDNTIGNLTQLVTLSLRFNALSGSLPLDLWSLTNLRNLYLQSNEFSGPIPSFLFSLKNLVRLSLASNNFSGPISSDFSKLNRLGTLYLEKNQLIGEIPNLDFPGLVQFNVSDNLLVGNIPEKLSSMPESAFVGNALCGRPLKSCNGNGTKNKFRFSVGMIAGIIAGSVVVVLAILVVLFLVCRTRRGIKEEVRVYRSSKETEVAKINKEKNAEDEDNLSSTYPGLNEKVGSASGKKLVYFGKTEKGFDLEDLLRASAEVLGKGTFGTAYKAIFDTGMVVAVKRLKEVAVPEKVYREKIEAVGNMNHENLVPLRAYYYSVGENLLVYDYMPMGSLSALLHGNRGAGRTPLNWKTRTAIALGAARGISYIHSQGSTFSHGNIKSSNILLTTSYQACLSDIGLAQLVGPNTSPNRLDGYRAPEVTDARKVSQKGDVYSFGVLLLELLTGKAPTHTFLNEEGVDLPRWVQSIVKEEWTAEVFDVEILKYEHAEEDMVQLLQLAISCSAQYPDKRPPMTEITQKIEELHVSSV >KZM99982 pep chromosome:ASM162521v1:3:780664:784131:1 gene:DCAR_008737 transcript:KZM99982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGCNIVWFRRDLRVEDNPALAAGVRAGAVLALYVWAPEEEGHYQPGRVSRWWLKQSLNQLDSSLRSLGTTLITKRSTDSVSSLLQVVKSVGATQLFFNHLYDPLSLVRDHRVKEVLTAHGVTVHSFNADLLYEPWEVLDDVGQPFTTFAGFWSKCLSMPYDPDSPLLPPKRIISGDVSLCPSDPLVFEDESEKGSNALLARAWSPGWKSADKALTTFINGPLIEYAKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRLKQVLWNNEGNQAGEESVNLFLKSIGLREYSRYISFNHPYSHEKPLLGHLKFFPWVIDEDYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKILQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGRELDRMDNPQFEGYKFDPNGEFVRRWLPELARLPTEWIHHPWNAPPSVLQAAGVELGSNYPLPIVGIDAAKARLQEALAQMWQHEAASRAVIENGTEEGLGDSSDSAPIAFPQDMEEDRTTLRNNPTTTTIRRYEDQMVPSMTTSLVRVEEEETSSDLRVERGRAEVPTTLNMDEEPGTDILNQVNNLQHFNIAMALRDAEDSTAESSSSTIRRERDGGVVPVWSPPASSYSEQYVGEENSFLQRHPQSHQVMNWTQRLSQTG >KZN03049 pep chromosome:ASM162521v1:3:40573345:40576449:-1 gene:DCAR_011805 transcript:KZN03049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFLVNLEGKIYSCKHCHTHLALCDSIVSKSFHCRHGKAYLFSRVVNVTLGPKEERMMMTGLHTVADIFCVKCGSIVGWTYETAKEKSQKYKEGKSVLERFKISGPDGTNYWVNHEAHVSGSDADDV >KZN01736 pep chromosome:ASM162521v1:3:24015321:24015970:1 gene:DCAR_010490 transcript:KZN01736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQRGGRVNGIRQIVKLKEIIHKWHHPTIGHKADHGSSSNPSPTRDISPEISKRLSGCNVYVDLDDESSQSLDPPQGVPKGSLAVYVGPELRRFIIPTSYLSDPLFKRLLEKVEEEFGFDHSGGLTIPCETETFKYLLNCMENHQKELGVHDNVEQAMRIEKRAAQPQLMFGLVNIILV >KZN01566 pep chromosome:ASM162521v1:3:20632896:20633156:1 gene:DCAR_010320 transcript:KZN01566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHKRSRKREEGREDWGCEKTKGEVSIAAERASRKMKFVEEMRLLQYREARKGRRWVLTKDETRTEVIYGVGRPLREYHYKKIEI >KZN01910 pep chromosome:ASM162521v1:3:26784941:26786930:-1 gene:DCAR_010664 transcript:KZN01910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYVGIRNDFGRLTVGDISGRFDYHNALTKSLLEAQRSAEFPSNNSIPRRGDSALQDGTLSGASKFYAAELEAAGEMENVRCAILWGTDYFLKAADREKLFI >KZN01024 pep chromosome:ASM162521v1:3:10893889:10897797:1 gene:DCAR_009778 transcript:KZN01024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLASGNFPVMGRERERKRLPRVMTVPGSITELDDDQSSSVCSDNLSSLASDRMIIVANKLPIRAKRRQDNNGWSFSWDEDSLLFRLKDGLTEDMEVLYVGSLSVDVNSTEQDDVSQVLLERFKCVPTFIPPNLLEKFYDRFCKRQLWPLFHYMLPFCANRGSRFDRRTWEAYVAANKLFSQKVIEVLNPEDDFVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPVGIHMGQIESVMRLADKESIIKELKQKFEGKAVLLGVDDMDVFKGINLKLLAMEQMLKQHPVWQGRAVLVQIVNPARGKGIDLEQTQAEIQESCKRINENFGKAGYEPIVFIDKPLSISERIAYFSIAECVAVTAVRDGMNLTPYEYIVCRQGVSGAETSSDLSGPRKSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEAMNEAISVGETEAQMRHEKHYRYVSTHDVAYWARSFLQDMERTCADHFKKRCWGIGLGFGFRVVSLDPNFRKLSIDDIVSAYIKNKRRAILLDYDGTVMPQNSIIKTPSSEVISILNRLAGDPNNTVFIVSGRGRDSLSHIFSSCRKLGIAAEHGYFLRQPNDEEWEVCGQSTEFGWMEMAKPVMKLYTEATDGSSVETKESALVWQYKDADPVFGFSQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGVSKGVVASKIFTSIAEKGGQADFVLCIGDDRSDEDMFEIIGSSISPNILSSNTSVFACTVGQKPSKAKYYLDDTNEVLLMLENLAEATDSPASSEAENGVSS >KZN03707 pep chromosome:ASM162521v1:3:47119685:47120784:1 gene:DCAR_012463 transcript:KZN03707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEIVVPADHRGRRSSERVRPLKVEFEQESVIITAMDDIFNLNSVLTFAVFVGLSQASPGARSLENRDDCNAGPGVAKMLIVYEVLAFSFFLFSSLFAKLLKLNLRLQSRRFSFVRAPAFSLKDYMWILTAMTSVIGIVLLMLSVVNLVQLQIGLYSCGSIEARIAIWGVCTIVGSALITYVLSVCVGIAVLYAENTEEFGYV >KZN01984 pep chromosome:ASM162521v1:3:27728402:27729151:-1 gene:DCAR_010738 transcript:KZN01984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRLGSEENSGPESMIKEDLCMDIDPPFTENHATPEDWRRALAKVVPAVVVLRTTACRAFDTEAAGASYATGFVVDKRNGIILTNRHVVKPGPVVAEAMFVNREEVPVYPVYRDPVDE >KZN02264 pep chromosome:ASM162521v1:3:31443183:31443395:-1 gene:DCAR_011018 transcript:KZN02264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWEKQIPKLFVQKLDKDGIPKNIIEYASHFSEVVAEGLMWENEDHTRQLADLIRLGFLVEFNEGPCIS >KZN01899 pep chromosome:ASM162521v1:3:26729661:26732099:1 gene:DCAR_010653 transcript:KZN01899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHIKTPKTGRARRELKKIAPKLVETGKKTLILHGTKTSNVINSVLTEIYHLKKDNAVKYTKRNDNIRPFESGGETSLEFYSLKTDCSLFVYGSHSKKRPDNLVIGRTYDHHIYDLVEVGVENFKSMQSFSYDKRFAPLIGSKPFFAFIGEGFENIEELKHIKEVLLDLFHGQAVTNLNLAGLDRVYVCTAVSSNKVFFTHCALRMKKSGTIVPRMELVEVGPSMDLVIRRHRLADESLRKQSMKTAPELKMRKEKNVSRDVIEGKIGKVYIPDQQVGSVPLPNKSKGLKRERREAKTKGEGKTHVDKKPKQQDS >KZN01026 pep chromosome:ASM162521v1:3:10904711:10905830:-1 gene:DCAR_009780 transcript:KZN01026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGIGTNNSVTNQRYRQDGDSRRLYGGALYAPISNEMNDLSLIPHSLPFGHSPNTIGAINRWIANQDRGARTEPSNSIRRPVGAATLHSHSPVRIIVLGNVMATDMFPGMIPESSPWFPATPPRDHFPFPAQEQSILSPEEQEAALKKLKREIYNPAAKKIMRSLSLYYREKNVNNNASTKEKEADEDGKNCAICLEEFEPRQMVMLTPCNHMFHEECIVPWVKSHGQCPVCRFAICERIKNRTTIASNTNNVNVGNSSPGDVMSIIRAMEQAYL >KZN02478 pep chromosome:ASM162521v1:3:33800834:33804624:1 gene:DCAR_011232 transcript:KZN02478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVLRPKADNEIYAPEECPNYTDKAKGCEKQIKTRVKKAKTTTQTPRDETPLSVPTNTPTAAETHVSTREAPQQMGEGTKSKQKKARTATIGEGSQGGVFKQKKATTARNTPLGIQPEDFGDARNAPVTSLRKLEAARKARQDNRKKFTEKAAWEI >KZN01713 pep chromosome:ASM162521v1:3:23674633:23682606:1 gene:DCAR_010467 transcript:KZN01713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYKMFGIFNRKFKTEETGPPEDVVNAFTKYSDGGKEMSADQLLRFLVEFQGQADCTLSHAQDIMKQLHHLSDPNFLSINDFCYFLVNEQLNPPISHQIHQDMDSPLSHYFIYTGHNSYLTGNQLSSDCSDAPIIEALQNGVRVIELDIWPNSSGDDVNVLHGRTLTTPVKLLKCLSSIKEHAFVASEYPVVITLEDHLTPELQAKVADMVTQTFGEALFVPDSEGIKQLKSPNSMKKRFIISTKPPKEYLQAKKVDKDETNSPQKVKDGDEGAWGKELTSLKLGTTRDKVDPDKVRRLSLSEPELEKAVATHGKAIVSDYGRGKHTKVAFFEWPNYLPGDSQVHPAEYIEGVPEGYTFRLVELQSTDCLDTWSSDGCIQYAGRASPGLARITTRRSFGKGYGRSLWLMHGMFRANGGCGYIKKPDFLLKAGPDNEIFDPETALPPKTKLKVTLYTGEGWYNDFKDTHYDSYSPPDFYARIGIAGVEADTVMKKTKTQEDTWIPNWNEEFEFPLTIPELALLRVEVHEYDMSEKDDFGGQTCLPVSELRSGIRAVPLHSKTGDKYNSVKVLMRFEFV >KZN01652 pep chromosome:ASM162521v1:3:22623355:22626325:-1 gene:DCAR_010406 transcript:KZN01652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLSWQSQKHGNVFGRIDVLVNNARIRGDDCMSIESGTQKLKIADIICGPGHAIGKPQAIYQQKATNAELNFREDGSLGFARLNIVVAVIFSIQIENEYGPVEWEIGAPGKSYTKWCKQDDVPDPISMIQERGNEPVQQHNVEDVGVYLKRDEF >KZN02417 pep chromosome:ASM162521v1:3:33308395:33308661:1 gene:DCAR_011171 transcript:KZN02417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSNAPFARKFHKDDPVLDKIDSELLSRGQGMVVPGGWCLGSRENGSDPCSVVGNITLLRPTPGAKRLETLISSLLSDDKFRPMQCK >KZN02586 pep chromosome:ASM162521v1:3:34818195:34822130:-1 gene:DCAR_011340 transcript:KZN02586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRTSRVIDPKVRKVGFFAPQPDRTQSGPADLPPVSASLSPVMIPPARHASDNIGRAVGVPVPVRDVRRSEVDYVPVGSYNPGDSVLGTSPVSSSRIGDGEFSEDLENWSRSDSRGKLPMSLPSNGLDMMAVRSLSKKVTQVANEGKGYPASAVSMESDSKSLKAKTTKAERRALQEAQRAAKAAAKGSNDEGKVSVGATGREASVQTGKVLKQPKQKKDVPVAASEKKGGDRPLEKDRKKDVPPPRMQFDDKTRVEKAKKRSVVKQIEARNRVEFFLHLPQYERGSQLPDLETKFFQSDHIHPAVYKVGLQYLAGDITGGNARCIAMLQAFQKAIEDYCAPSEKTINRDLIAKINGYISFLIECRPLSISMGNAIRFLKAHITRLPSDISESEAKASISSDIDRFITEKIVLADKIIVGHAVAKVREGDVLLTYGSSSVVEMILLRAHELGTGFRVVVVDSRPKLEGQALVRRLVQMGVNCTYTHINAISYIMHEITRVFLGAASVLSNGTVYSRVGTACVAMVAHEFRVPVMICCEAYKFHERVQLDSICCNELGDPGAISKVPGELDIRYNDDSVKKDNLQLLNLLYDATPSDYVSVIITDYGMIPATSVPVIIREYGGEYLFT >KZN01391 pep chromosome:ASM162521v1:3:15384595:15388399:-1 gene:DCAR_010145 transcript:KZN01391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPQIDPNPNPNSKTSPSSSSSLYPSLDMKDLVENLFPDDLNSPSAPPVSHEDTLISIPGAILHLIDQQYSVELASGYFSIVKLTQGEHVVAVIARVSDEIQWPLIKDEAAVKLDEAHYFFSFRPPSENEGEGPSDLLNYGLTFAARGQEGLLRELDGVLERYSSFSVQKVEKREVLDGKVAKEMAPSDFKSEKKKKVMEKNCQAYWTTLAPNVEDYSSSAAKLIAAGSGHLIKGILWCGDVTVDRLKWGNEVLSKRMGPGTEAEISPETLKRIKRVKRVTKMTEKVALGVLSGTVKVSGFFTSSVANSKLGKKLFGLLPGQIVLASLDGFNKVCDAVEVAGKNVMSTSSTVTTGLVSQKYGEEARKATNEGLDAAGHAIGTAWAVFKVRKALNPKSVIKPSALAKSAAKTAAEMKAKSSK >KZN00727 pep chromosome:ASM162521v1:3:7932162:7932605:1 gene:DCAR_009481 transcript:KZN00727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKAILTALLLSLFVLQFVQAHEIMIVKGNARTDATYHRGQICAIERVEHAVRAVTVFLRALLGMRMSAHVMLI >KZN01416 pep chromosome:ASM162521v1:3:15944422:15946537:1 gene:DCAR_010170 transcript:KZN01416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPAPTPLLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKEINALEQHIKNLLSPATPFFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVLTIPKGTLFPMCGMNLGFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHMGWGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQAVKLPKECTTVQQCYLELSKEVKAKLGKVDDYFIKLADAMVTWIEAWDELNPSGASAATATAAKVSNGPKK >KZN00659 pep chromosome:ASM162521v1:3:7384343:7386670:-1 gene:DCAR_009413 transcript:KZN00659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKWVFLLLLASLTITNVVSLTASPFTKTYIVQIDRLAKPETFGDHVEWYASVIQSVSAELNDEENDDGENERIMYSYQTAFHGVAARLSLEEVERLQQHPAVMAVFPEVAYELHTTRSPWFLGLGSEESTSVWSEKLADHDVVVGVLDTGIWPESRSFNDTGLTAIPARWKGTCQIGRGFDKSHCNKKIVGARMFYHGYEAGAGKINEQEEYKSPRDQDGHGTHTAATVAGSPVQGANLLGYAKGTARGMAPGARIAAYKVCWASGCFSSDILAAVDQAVADGVNVLSISLGGGSSSYQHDSLSIATFGAMEKGVFVSCSAGNGGPTPVSLTNISPWITTVGASTMDRDFPSTVKLGSGQKITGSSLYKGRRKLSPAKQYPLVYTGGNSSILDPSSLCLQDTLNPKVVRGKIVICNRGVTPRVQKGQVVKDAGGIGMILANTEDNGEELVADCHLLPTVAVGEKAGRSIKEYAMTNSHPTATLSFQGTRLGVKPSPVVAAFSSRGPNYLSLEVLKPDIVAPGVNILAAWTNTLGPSSLATDKRIVNFNILSGTSMSCPHVSGIAALIKARHPDWSPAAIKSAIMTTAYIHDNNYTPLRDASTGAPSTPYDHGAGHINPLKALNPGLVYDLKPQDYFEFLCAQLSPGDMVVFAKFSNRSCRNTLASPGDLNYPALSVLFLEKGNNSVLTLHRTVTNVGKAVSNYHAVVSPFKSVVIKVEPTTLHFTKKHQKLSYKVTFIGKKLQAGPEFGHLMWKDGVHKVRTPVIVTRVPVPI >KZN00640 pep chromosome:ASM162521v1:3:7135383:7136816:-1 gene:DCAR_009394 transcript:KZN00640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAEVIFIPAPGVGHLGSTVEVSKLLVSRDDRISITIMIMNPSSDSTIVAFTQNLKKEALDRIAFVDIPAPDETTMAGLMSKGHLNFLMSFIECQKVQDGSDMIASIARRLRETKAIVVNTVLELEAHSVKSLGDDENTPAIYHVGPIIKLTDVEIKMDYKKNDVGPTVLVKAEEIVRGIRCLMDEECEMRKKVKEMKDICRKAIAEGGSSYNSAGQFIEDLIDNIRN >KZN01110 pep chromosome:ASM162521v1:3:11835295:11845443:1 gene:DCAR_009864 transcript:KZN01110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVDEEKAIMAAIQAACVHAHEKEWELTHIETENRDMYDMIRLQHHVMIPENLLEEFRLFNSIYANHFVEGSSERIISCVPEHMNSVARYMAEYGMKHMHSFAEAPGEVIDGSPPRPSHKRKRMTGNGALFGIEEPDMLPFFKPEVPPYLYECNVPTSVWTSKMRGPGSMNQTIRGAGLNFSGKGKKDLYHDLAFNVDGMLSQKAIDILESGCLKSVAEVFGRKVVDLDEPVGNGLFARDIIHHAVNDTLGIIVSILKAEAKVVDPYSPEILNLLPVDKVISAMGFTSSSDAGPSNQPKKMRAIKEELGDNDDDEDDVSALEKKMQSAGMPANIWKHAQRELSPHRKDVQNCDDETGVRSAESSERGKGRDALKGRGKENGTRSGEGACSYTFRELAVAANNFRDVNLIGQGGFGSVYKGRLESGQLVAVKKLNLNGHQGNKEFIMEVLMLSLLHHPNLVTLKGYCTDGDQRLLVYEYMAMGSLENHLFDLRPDKKVLEWSTRLKIAVGAARGLEYLHCKASPPVIYRDLKSSNILLDNEFHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVMLELITGRRAYDSARNPGEQNVVVWARPFMKDKRKFVQLVDPLLQGRFSTSSLHHTVAITAMCIQEQPTFRPLIGDIVVALEYLASQAESSDATRSGSRTPQQPSPSHRHRLNVHFS >KZN02976 pep chromosome:ASM162521v1:3:39773543:39780569:-1 gene:DCAR_011732 transcript:KZN02976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVNNGGVDQLKSNACLKTTVILFISLTFFYLGKIWSQNNGDQKLIFFTTQSLLSPSKVILSPNLNKLFDLSAIISNDTASDFKTLAPVSPLSDDFVERMGVLDEAGAMTEEFSVGEFDAELVENRRSGNESEVGVFDGRVRVKRMKLCDWSLSEYIPCLDNVEAIRKLKSTKRGERFERHCPETRLNCLVRTPLGYTTTIPWPRSRDEVWFSNVPHARLAEDKGGQNWILVDKDKFRFPGGGTQFIHGADQYLDQISEMVPDIMFGGHTRVALDVGCGVASFGAFLFSRNVLTMSVAPKDVHENQIQFALERGVPAMEAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEIDRMLRGGGYFVWAAQPVYKHEPVLQKQWEEMVSLTTRICWNLVKKQGYIAIWQKPVNNTCYLSREQGTQPPLCEPDDDPDNVWYTDLKACISPLPKTGYGTKVTKWPARLYEPPDRLQSIKIDAYLSRKELFMAEFNYWNETIASNIRGLHWKRFLRRNVLDMKAGYGGFAAALIENNPDCWVMNVVPVSGPNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHASGLFSIERKRCNITNIMLEIDRILRPLGRIYIQDSLSLMDELQQIGRSLGWGIAVRETYEGPYESYRIMIGKKRMLKK >KZN01873 pep chromosome:ASM162521v1:3:26442824:26443374:1 gene:DCAR_010627 transcript:KZN01873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDAAQRSHLNQQSQNPPPLHHQIIEMFEKDASQASSKISSPLGHFPRDQRGLAEFPKELTEMFGTSHHPSTRKLL >KZN02581 pep chromosome:ASM162521v1:3:34770817:34772061:-1 gene:DCAR_011335 transcript:KZN02581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSDVPCVGKALDRVSEATVEAMFHGLRYMFCYKELVNVLNSDIEKVNIQEERVSRKAAAARADGKTVEDYVMKWQKEVEEIQESAREFSGKYKNRHSWRRDVYGELWEILVSEGSSPVIGIYGMPGVGKTQMVERIWGEAKEKKIFDKITRADVGFQKLDVIKVQNQIAGYLNCHFESKDNVDHRASQLKRSLLNGGKILIILDDIWREIPLDIIGIPFGHGSTSTGSKIILTARVEEACLRNNCRHPVKITPLTTDEAWDMFKYTIGSSQMESLQDEALAKEVCNQCAGIPLVIHAVGKALQFMSDNSWKDALYQLKNGHVENIPGIGPEVYDCLKSNIDPPSVFN >KZN02434 pep chromosome:ASM162521v1:3:33472799:33475675:-1 gene:DCAR_011188 transcript:KZN02434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSLSSYSSLFGYDTLNPSVSNYSRKDKSLALLCSNFLKLYNRDDVECVGVDAAAAQLGVERRRIYDIVNIMESIGVLVRKAKNQYCWKGFDAIPQALHDLQISNEKETERSPSDSNKEEKSVSCPKTESRKEKSLALLAKNFVKLFLRSGADIISLDSAATALPGDVHDTTAMRTKARRLYDIANVFASMNLIEKVRHPESGKPAFRWLGMKQKSSNALEAGSGLNDTRMRVFGTEITNTASKRFAESISNCQSSGKQINVEMGNDENLLKQHQLHQSKDPESGEPAFRQLVQNSRSALETGFGSNDNKRRTFGTEITNTASKRFKDNSLSNCRSSEKVSVPTYAKQHNVKTENDENSMKQHQRHQSKGFVFGPFSPASIRDLDALENNKVGRIQDWEQLASTYFPRYQNQAVSDLITHYADAWKSWLVEVDEKKPTQTAS >KZN03498 pep chromosome:ASM162521v1:3:45104800:45108502:-1 gene:DCAR_012254 transcript:KZN03498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVTVRCGHCVNLFSVNMGPMLHSPPLQDLQRQAANGRRDCSSSSSDECIKFVALESAHDQHQQPPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKSVKPDISHREAFSTAAKNWAHFPHIHYDQKRDNSNKLQKSDDHAVAGEITPKTHHLY >KZN00642 pep chromosome:ASM162521v1:3:7148695:7149366:1 gene:DCAR_009396 transcript:KZN00642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSLLYSDEASSSQSTEYSSNTESTANCNDITQISHEVLLASRNPKKRSGRKKFKETRHPVYRGIRRRNSGKWVCEVREPINNSRIWLGTHPTAEMAARANDVAAIALRGRNACLNFADSVWRLPIPASNDVKDIQIAAAKAAEAFRPKKIEKTIESSPIKALVSEENDEVLFMDEELLFGMPQFIKHMAQGMLLPPPQYLQSDRCYGDDDLEYATDMSLWC >KZN00496 pep chromosome:ASM162521v1:3:5798923:5808714:1 gene:DCAR_009250 transcript:KZN00496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVSIDVVNGGSDVGIENGNGMRIESKRDHQWVDGEAEGEPCTKKQATEGLKDVKELEDSKEQPKEVSNDEMCSEVSDPNFSPKENASCFHTISSQKIEETVIDNQVETTASGNHGEITASDNHGDTTASDNQGETIASDNQGEASSTCSGNFSTERESLSEEEHGHNAIGEKGCHSQVVIENQSHASITGIRKITFKFSKKREDYNNQIFAAPAGQSVISSCGDGYNKLPHSSSSLEMELKTQNNIYAGSSLVNVKKLLSTGILEGARVKYVSTSGNRELSGIIRDWGYLCGCSTCIFSKVLSAHEFEMHAGSRSRHPNNHIFLENGNPIYRIIQELRTAPMDMLEEVIKRVAGSSVNELRFQNWKASLHQKIELPKADDSCSNKFLGVHNFNTSHYAKVIRKTDGPASSYVQNEPFEHRSYMDALEEQEDMINGLVLPSLKLTLDGLLNGNPTVISVLLMGIPCMRLLCYYRRVKAFKISLPAIVMICVQYVEMEGNLLFAMVVLVLFIQSAFRPVIGTVHIVQKDLVPVEEMVESLDLLSFDSIELLRHQNINLVVVLFAGLFMQLLLQDFSVDTFDERTVMLCDQCEKEFHVSCLRDVGLCDLKELPKDKWFCCSDCNGIYSTMQSLVLKGIEMFPASVLDAVNKKNLGHGLTVGAANSMQWRILSGKSRYPEHLSLLSRAAAIFRVYKNWNLMERPNQKEKVIARNGCFEGNLITTPQVHQNNRVLTDMECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVVLIVKSVVVSAGLLRIFGKETAELPIVATSRDNRGKGYFRALFTCIEQLLVSMNVEKIVLPAAEDAESIWTKKLGFKKISDEQLAKYTRDLQLTIFKGTSMLEKKVQHDVNVQSTVVVKTSQMADASGTPVMEEEQQAAD >KZN00680 pep chromosome:ASM162521v1:3:7564149:7568756:-1 gene:DCAR_009434 transcript:KZN00680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPQNDPLMQVETTCGSLLYELKVIWDDVGESDVERDKMLLELERECLEVYRRKVESANKKRAQLRQAIAEAEAELASICSAMAERPIHVRQSDQRPRSLKEELRSILPQLEEMQKKKLERKNQFFDAVEKIQKIKKEIYRSDERTSSSPGVDETDLSVRKLEELQKELQDLQKEKSDRLKQVLDHQYTLNSLCLVLGVDFQQTVNEIHPSLGDLNGTKSISNDTIESLKIAIEKLRELKIQRMHQLRDLATSMLELWNLMDTSVEEQQMFQNVTRNIAASEHELKEANLLSEDFINTVVAEVYRLEALKVSKMKELVLKKRSELEDICRKTHMILEPDSVLEFPVQAIETGVVDLGHILEQIELRIGNVKEEALSRKEILEKVEKWMAACEEECWLEEYNRDENRYNAGRGAHLILKRAEKARALVNKLPGIIQTLASKTDAWEKERGIEFTYDGIRLLSMLQDYNILRQEKEEERKRQRDQKKLEEQLIVEHEVLFGSKPSPKRPQSVKKGSRTSYGGASNHRLSHGGEVLQTPKTNQLHSIKGTPNTRQTKTNERMLLKGQLNSRSDSGVAAFSAGSRGLDTADLPGKKHFLSALEAQMSPVFRKPFSPISSKELLKANAANVLNGTHYETAQKPVESDTSFLTPSRTVSAAAEANMNQKTMMLPVPSTPSAPSIYTSTTPASQSNHPAAATLIRETPEEIEYSFEERRAGFILPEAHLKALTHL >KZN03042 pep chromosome:ASM162521v1:3:40483997:40486422:-1 gene:DCAR_011798 transcript:KZN03042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFPGPGVVPQLPLLEELGGNGQDPFLDSPDDVLLLDWHVPEADMMDDVLPLDWHVPEADMMDEEPDPSDILEGQHYAQMIEQPAIFQGELSAFQLERFDKTIQTISLIAFLMENNNVAGPHLIVAPKAVLSNWMTGFRIWVPSIVAVHYDGRMEERKLLRNEHSRKRKLNVLVTDYDTISKDKSYLKKINWHYLIFDEGEQLKTGEYALARTVESGFKIRRRILLTGKPIPNNLQELWPLLNFVFPNIFNSVENFEEWFSAPFGDQPNVSLTDEEKSSIICRFHHVLRPFVLSRKRKDVEKAILVHAKPQVRKRSSSLGRDVPSEIEISLATNCSSPREMLIWKIHKRKRSRCIYMPPHVC >KZN02759 pep chromosome:ASM162521v1:3:36956078:36957917:-1 gene:DCAR_011514 transcript:KZN02759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYDRVVGVAVLMLFLQLSISVDLVGASPQVSALFVFGDSIVDTGNNNFLNSIAKANYWPYGCDSPSKFPNGRFCNGQTVVDFLGGMLGIPAPPPFADPATTGARLSGGVSYASAAAGILDDTGRHYGDRFTLSQQVVNFETTLDQLRTMMTPANLTRYLAKSVAIMVFGSNDYINNYLMPSLYPSSFQYSPSDFGNLLLNHYARQIVALQSVGLRKFFLAGVPPLGCIPSQLATGRAPPGRCLDYVNQMLGTFNEGLRSLAGSLNRDHPGSVFVYGNTYGVVGDMLNNPPRFGFRVVNASCCGSGRNQGQITCMPMEAPCSNRNWYLFWDAYHTTEAANYVMAFRAYSGPPSDCFPINIQQMASINL >KZN03663 pep chromosome:ASM162521v1:3:46706966:46714668:-1 gene:DCAR_012419 transcript:KZN03663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVESAKKLPFARSYQLDALKKAMEQNTIVYLETGSGKTLIAIMLLRSYAHLLRKPSSFIAVFLVPTVVLVSQQAEVVKMHTDLKAGKYWGEMGVDYWNAADWKKEQGEFEVLVMTPQILLDALRHSFIKLEKIRILIFDECHHARGKHPYACIMKVFTCSSESVLAGYMAMSTPKLKIYKDVDSLCLLPKKIASELESLQEKFECDIEKASIEESRKETTRQKLSKLSLTFFFCLRELGLWLAIKAADSYKSHGSGMFVWEKQDKFGERIITDFSSEVFKVLCGYMPSEPDWSVWDNIEANVMKGYLSTKVICLLESLLERRDSKDLRCIVFVERVITAITLCRLLDVLLPSLSGWKTKYIAGSNTLVQLQSRKTQNEIIEELRKGAANIIVATSVLEEGLDVQNCNLVIRFDLSNTVCSFIQSRGRARMQNSEFLLLVKSGDNATLSRVKTYLASGQVMRRESLRHASLPCQPPDTDIYQEAFYQVDSTGAIVTLTSCVPLIYFYCSRLPSDGYFKPLPRFKIYNELQKCTLCFPKGCPLPEVTVHGNLKTLKQIACLEACKKLHIMGALTNNLVPDMVEEENAEDIGHPEYVDEQDIYVPSELVGQDPNNGAKIYYCYLLELDRKFSHDIAVNNLMLAASHELNIDEDSNLAFELEVDRGNLSVHIKYARSISLTSEQGLIHNEPFDPKMWIIPGDQKEAFHEVQLSTGTKVFTKGIQKVKSKVVADVVEALIGVFLSYGGEGAALSFMNWLDASLLVEALTHGSFMLPEIPQCYQIYDDYSSQHKCTYPECFPCDDLFSLGITL >KZN03670 pep chromosome:ASM162521v1:3:46767360:46770161:-1 gene:DCAR_012426 transcript:KZN03670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIIQEMKGELGSISRKGFDVKFGYGSRSRSQRSVQDSSVPVDAFKQSCWANMPPELLRDVLMRIEESECIWPDRRNVVACAGVCRNWREIVKEIVKTPEAARMLTFPISLKQPGPRDYLIQCFIRRDRSTQTYSLYLCGQQASNDIGKFLLAARKVRRPTCTDYIITLTSKDTSKANSIYIGKLRSNFLGTKFTIYDAQPPNAGALVKCHSSRVGLRQVSPRVPAGNHPISHISYELNVLGSRGPRRMHCVMDAIPASAIEPGGVAPTQTEFVRNVDSFPSLPFFRSKSISGSLSTEKDGILMLKNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVACVDSSAAGLEPENVVLQFGKVGKDVFTMDYQYPISAFQAFAICLSSFDTKIACE >KZN01573 pep chromosome:ASM162521v1:3:20827384:20827935:1 gene:DCAR_010327 transcript:KZN01573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGTIRNLTERANELWALLAGLKMAFLEGEEKVELESDNAGAVKEWEQWMWDYDRNHENVIQQLNQRKTDPNLSLVVRSMEPSQNALARYLAHMGSLQRTRLVIIRRLFGEVKELWSLDMGLGTTKGNFEAMSEEEYENWLWEDEEEEDQEAGIIEIIDDEDEEAVAMLMDGVGQPGMGGRQ >KZN00379 pep chromosome:ASM162521v1:3:4746682:4747128:-1 gene:DCAR_009133 transcript:KZN00379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSSKITALLKLLSEARDQDPTAKSVVFSQFRKMLMLLEEPLKTAGFNVLRLDGSMNATKRAQVIKDFGVPAPHGPTVLLASLRASCTGVDLAAANRVYLLEPWWNPEVDEQAINRVHQIGQTKEVTVVRIVARNSIEERMLALQDQ >KZN02048 pep chromosome:ASM162521v1:3:28831976:28832416:-1 gene:DCAR_010802 transcript:KZN02048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNIKVRNRSAAGGVTCFKGDGMATRLMTGAALVLRRCCIRIDTASDADEVLKTGARPYGLSKRNVQE >KZN00079 pep chromosome:ASM162521v1:3:1721885:1725366:-1 gene:DCAR_008833 transcript:KZN00079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAFVADLASGLVVKLVSLAAEELIQAWNLQEDLVTLGERLETIDALLSDADSKRLNMSAVQSWFNKLEDVAHVADAFMDELAYEVTRQKVENRSKAREIRNTPPFEDESLIVGRDDEISFLVKTVCTNHAEDLPVIAVMGMGGQGKTTLARMVYNRDVVTDMFKKRMWVTVSDDFDFMKILNQMVASLTSTASVLENTEGLIKKLQSFLRDVKFLLVLDDVWNERTEKWNKLRDSLLGVGGASGSMILVTTRKQKVVDVMRCFITHRVEKLSEEDSWELFKRRAFSRGGVIETARFAAMGREMVERCGGLPLAINALGGLLHSKKSEQEWLRIQDSATWDSNDDVLPSLRLSYDNLPHSSLKKCFAYCSILPKDSRISKDEMVRIWMALGFLLPPKGSNKLMEDIGREYFNILLGNCLLQNGDIRDYKMHDLVHDLALDLSRNHSATVKADGHELNDISKAIYVKVDKGITNIKPPLLKRNFEKVQVLYADAPIVKDLVPYPSHLIGLVLEGTFEDDPLPSSLSTLKYLKYLDISRCYMTKNKLPDYITRLYNLQTLSVRSVTQLPRNICNLINLRHILVDAPYYDFENSDIITVFSRIERLTCLQTLPFFIVSRDHQCVIGQLGSLRNLQGTLTLYGLSDVENMEEARKASLLTKSNIERLQLDWRKNKDVMEEKENNHEDVMEGLEPHANLRELIVENFMGKKFAAWITIMTNLEVITFINCNRCEEFPQLGHLPKLRMIDIEGMDNVKVISSHLCGGRQGSISGELNDDGAEETVLTMYPSLKNLSLNNMPKLEEWLDPATDTSDEDRNNVLAFSELVELIIWGCPKLTRIPGSCYPLMKTLKIKDLDNSKLLESMSEKACGLTGVYLDNISGRVGCSSSSSCSSSSMNCIIGKLLKNNSVSLETLRVIKLQGLTHLTLGAGLKSLCVSDLPDLNTINIVKGSDALKYLSISKCLNYEVFAQSVSCTIERLELGPFSEDLDEFPWPLSFSFPNVIKLTVSGWEKLKWIVDEGQPDDYLSSIFPALRQLWIDSFKGVKSLPISLAKLPFLESLYIWNCGNLESLPKFHHNFQYLDIIGCPIIKERYRRGSGPEWSKIQHIKQIVGLG >KZN02245 pep chromosome:ASM162521v1:3:31267960:31271831:1 gene:DCAR_010999 transcript:KZN02245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGSLKPAKEKRSRKNKQLIADEKVPLLPTKQVEDGGFDEFNGASYTGAVFNLSTTIVGAGIMSLPATMKVLGLAPGIAMIVFMAFLTDASIEMLLRFSRAGKNTSYGGVMNDAFGKYGRMLLQVCVLVNNIGVLVVYMIIIGDVLSGTTSSGIHHAGVLEGWFGVQWWNGRTIVLLVTTLGIFAPLASLKRIDSLRYTSALSVALAVVFLVVTAGITVYKLISGGIMMPRLLPEATDLTSVLKLFTAVPVLVTAYICHYNVHTIENELEDRKQIRGVVRSSLALCSSVYVMISLFGFLLFGDATLDDVLANFDTNLGVPFSSLLSDVVRVSYAAHLMLVFPIVFFPLRLNLDGLLFPSARPLVLSNVRFAVISIGLIGLIFLGANFIPSIWDAFQFTGATAAVCIGFIFPAAVTLGDHHGIATKKDKILCIFMIVLAVFSNVVAIYSDAYALFKKNGTPQ >KZN03765 pep chromosome:ASM162521v1:3:47914634:47916297:1 gene:DCAR_012521 transcript:KZN03765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVANKMAVESCHRVLSLMAQPKDQIQYRNMMVETGEAVSKFEKVVSLLNAGLGHARVRRVKNFHTPLPQHILLDSSVGRADCQPKALQLLSNDFRESPVLDLGSNLKSNLSLGNSSLDLSSNGKNPLQVSQQTPPLSNYHFLQQKYQLQQQQLKQQAEMMYRRSNSGISLNFDSSTCTPTMSSSRSFMSSLSVDGSVANLDGNAFHLIGASRSLDQSSYQHKRRCSAKGDDGSVKCGSSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPRLPVPSTTT >KZN03896 pep chromosome:ASM162521v1:3:49700705:49707389:-1 gene:DCAR_012652 transcript:KZN03896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRYHTILKRWDCLRSVIGMRRCSPELPGLVYAAIDSMGVVNHKGGVSTDLSPQQTVIEETKVALRKHYVCYEEARRQLEFLEQGGDPLDFRPGNAASHSVQSTSLTDQHPEQFVISEAKGSFALADSPPGDSVESSGRPEAPPTYEPNSADNLMLFDGENSIFKGERSLLRSNRDIVFPSEQSSQLDGSRNAKESGATVAFGLPKKAYRRRNRSRPSRDGGRSNSVDVVLSSSGHNMLPSRHVSRELKVLTADTDNQKDNVVCSNYNLKPTSPNHNKFSKAEPSKNHFDMEIGGGEAIQSYIVQSKGDPSNAQSDLNASTNMHDKKQNDLLESEAQITNKRKVISKPASYGESIQASLGGSRCDLSSVGAKVEDTCSLTAEIGGDKGPLNEFQNKNAVISTKSFDLGTCGNQSVFQLNGNVNSETCTNLKSVGSNGCTKEETVASETLNMEGNKLAQDVTETKLDDMYAIDSDNNLLHDHQENGSLLKSVEPLDEKVSGSQSEANDPIAIEGKEQVGSTSLEDETIPSILLDSNPQPGNENTHTEIVNSSVNISVPENQDTEFLTKDSAISPEQQTCSEDLKLKLKAHEDSILEEARVVEAKRKRIAELSIHMLRRESRQKSHWDFVLEEMAWLANDFAQERLWKISAAAQIGRQATSAARLKFGGQDIHVRQKQVACCLAKAVKDFWCLVEEEGKKQELQNPVKDYGHTVQGYALRFLNCNNSPDRFVESKVATRPDSISSLQNMDMSWKDDLTEESLFYSVPAGAMEIYRNSIEAHILQLEKTGISIPEEVETSGYDALAEYGSRENAFEEDEGETNTYYLPGAFGNSKPSKLLQRKKKHLMKELAARPYEMGSDLPFVQSVENKAGNHHSVLIGKRASNAPNASIPTKRMRTASRQRVPSPFNAGTHGCLQAPSKGDASSGDTNSFHDEQNNLQGGSDVPHNMEVESVRNMDRQLPVDSSEVLHKPKKKKKAKNLGSTYEYNWRSDSSFHNEQVPFPQPNAYLI >KZN01380 pep chromosome:ASM162521v1:3:15151055:15151861:1 gene:DCAR_010134 transcript:KZN01380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLMSSKSHGAAEKSVSNIYSNQSTNPDTTRPSTYTTTSGTLSNTNGGGSSVTSSSAGQQSQSQFSMAASCGTGTSSMGEILATLNLKVYSFSDLKNATKSFKKDTVLGVGGFGTVYKGWVDENTLEPCKAGSGIMVAVKKLNHESVQGFQEWQVTFDD >KZN02933 pep chromosome:ASM162521v1:3:39300490:39307707:1 gene:DCAR_011689 transcript:KZN02933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCKSLSSLALLVISLSLILILINNINGAEASRLMKKVKLDDQSRRQLLKDGIGLTPQMGWNSWNHYHCDIDEGMIRNTADAMASTGLAALGYEYINLDDCWAELDRDSQGNMVPHKTRFPSGIKALADYVHSKGLKLGIYSDAGTQTCSNTMPGSLGFEEQDAKTFASWGIDYLKYDNCNNNNIDVKQRYPIMSKALLNSGRSIFYSLCEWGQEDPATWAPALGNSWRTTGDISDNWDSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMTAAEYRSHFSIWALAKAPLLIGCDLTAMDNKTLEILSNKEVINVNQDKLGTQGKKVKTDGDSEVWAGPLDGTKIATVLWNRGSSTTNITAYWSDIGLNPSTVVDARELWTHQTQSSVNGQLSASVDSHDCKMFVLTPL >KZN02971 pep chromosome:ASM162521v1:3:39711922:39716077:1 gene:DCAR_011727 transcript:KZN02971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNFSYMCLSNPLPPKSNHSSSLHFSSSLTFTKELKNTNAKVINEDSFGDFVAKAGFVGLISASLFFASDPALAFKGGGPYGSEVTRGQDLTGRDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVTKVNLTNANLEGALTTGNTSFKGSIITGADFTDVPLRGDQLEYLCKVADGVNPTTGNETRDTLLCK >KZN01241 pep chromosome:ASM162521v1:3:13558375:13559398:1 gene:DCAR_009995 transcript:KZN01241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCVINENDCDGVLEDLAYRIIDTFGMSIAGSRHVDSWGMLGTMAIACAHLSQIIVVRYSRTLVPHCLVFTTKSTEHHNSHGTIVKVNCEAIIFEIDEGWITREAFVFIFSFKNPWIQCVKQSRNNAAICLVPCPKVTRIWKQKDKSGVQEATAEDKDHESEATDKDKGENVKGAGAVVSNNSENPSIEVDCDSPLAAWIEIKRKKSGNISGNKSDSYTDTEASPSPLVTFKHLKLVDEFAAIQGPKSANFQAFKIGR >KZN03876 pep chromosome:ASM162521v1:3:49549051:49549536:-1 gene:DCAR_012632 transcript:KZN03876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIMPRKLNHHVLQVENGHVIGKFGEMMLDMLPQDLVFTVFMPSQKAFERDLRLNLSESLVLGEKFDNTYAIISRILGFSVVPRRIVLGSVDVEKEKYYDSLSGFGLFVSKDKKGVLVINRVRSERVDVMRGKIVLHIMDGVIMDADFEQSVGPDFVEED >KZN02416 pep chromosome:ASM162521v1:3:33305341:33306945:1 gene:DCAR_011170 transcript:KZN02416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLRSFYMHLRHPHTSERKWIFPLVLASLLSLSLLLITTSLSSSPPSLLLSLHSISLSSSSVFIESKLHPLPLSSLPPPPRLAYLISGSNGDSSMLKRTLLALYHPHNQYIVHLDAESPEHERRDLLEFVANSSVFVRFGNVRMITKANLVTYRGPTMVANTLHAAALLLRHGGDWDWFINLSASDYPLVTQDDLLDAFSYLPRDLNFIDHTSNIGWKESQRAKPVIVDPGLYMTKKSDVFWITQRRSVPTAFKLFTVALCQLPSWQTAAVDNLVH >KZN01832 pep chromosome:ASM162521v1:3:25839590:25841547:-1 gene:DCAR_010586 transcript:KZN01832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRYGDKIVLKLSDSKPETSKRKGKRHTSNGLRNKQIGLENIDDWEGPPLWDLSLGGDGCPKFLCDRMIEGLAKQLRCVGIDAAVPYSKKPDNRTLVEQADKEKRVLLTQDAKVLRHDYLIKNQIYRLKNHLKKDQLIERVFFCEGMCTAVIETLCSIIKADRRYLQVISTFQLNIDEDQLMSRCTKCNGRFIERPLSIEEAVEVAEGFQVIPDCVLKKKVEFWQCKECNHLYWEVL >KZN03633 pep chromosome:ASM162521v1:3:46493670:46508793:1 gene:DCAR_012389 transcript:KZN03633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRGSSSSKRPLSSPSSSSLPTGKRSKAVETSSSTNENRPAAEKTLAPAKESGGESREEKAAADRKETVEAVRDESTDARLEGGSLIDDEKNKLNGPALNRGKKRQLKSNVGVAWGKLLSQCSQNPHVVMQRPVFTVGQGRQCDLSIGDASISKTLCNLRRIESEEGSPSGTLLTVTGGKGIVKVNGKVCPKHTSLPLNGGDEVVFSPSGKHAYIYQQLSRDNLASDSLPPAVSLLEHSSSMNGLHFEASGDPSTVAGASVLASFSNLRKEFSLLPPPSCDDEDVQPELPTLPFDCEVSDNHIVDANLKDAADHNDGTGVSLNEKGVQSTNAGNETINFNSSGNDDAMDAEIEKVPETNPELRPLMQMLSGSSAPEFDLSSSISKILDEQKDLRDVPKDMDGPVLMSKRRQLFKEGLQQRILKSENIEVSIESFPYYLSETTRNVLIASTYIQLKCNKFTKFSVDLPSVSPRILLSGPAGSEIYQETLARALAKHFGVALLIVDSLLLPGGCSAKETDVRESSRPERASMFAKRAQAVALHLKKPASSVEADITGGSILSSQAQPKQEVSTASSKNYIFKKGDRVKFVGSLPSGFSPMHPLLRGPSYGYKGKVVLPFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCASDLLRLDSSSSEDSERLAINELFEVALEQCKSGPLIIFIKDIEKSLLGNPEAYASFKIKLENLPGNVVVIASQTQMDNRKEKSQPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRTKDAPKTMKQLTRLFPNKVSIQMPQDETLLSGWKEQLDRDVETLKSESNIISFRSVLNKHGFECPELGTLSIKEQTLTNESVEKVVGWALSHHFMQCSEAPAKDAKLVLSSDSIRYGLDILQGVQNETKTMKKSLKDVVTENEFEKRLLGEVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSAITSKWFGEGEKYVKAVFSLASKISPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDATNREKILRVILSKEELGSGVHLEAVASMTDGYSGSDLKNLCVTAAHCPIRELLEKEKKERALALAENRPLPALHSSVDVRPLCMDDFKFAHEQVCASVSSESTNMSELLQWNELYGEGGSRKKTSLSYFM >KZN00819 pep chromosome:ASM162521v1:3:8972941:8976040:-1 gene:DCAR_009573 transcript:KZN00819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPKLCIRLYPTNLIYTYRNLTQSHYDPPFSPISKPLQTQTPSKPKSKKPKTPKAPQKTQISDPFPLKSDLPFDFKYSYSETNSSVKPIAFRETPKFSPFGPGRLDRKWTGTCAPVDGEVEKLTEEAARKRKEVLGEDLSEDEVSELVERYWHSDCSRQINLGKGGVTHNMLDDIHSHWKRAEAVRIKCLGVPTLDMSAICFHLEDKSGGKIIYRNINILLLYRGRHYDPNNRPTIPLMLWKPREPIFPKLVKNVADGMTFDETKEMRNRGLNSSPLMKLTRNGVYVNVVERVREAFNAEEVVRLDCTHVGTSDCKKIGVKLRDLVPCVPILFKDEQIILWRGNDGQK >KZN02115 pep chromosome:ASM162521v1:3:29776348:29777292:-1 gene:DCAR_010869 transcript:KZN02115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAINTNTNTKLILLHPYIQKQGGSNRLWLLAFISFFTAAFLLTFIYTREHSIIPKTVVTAVSTSSVSSPPLSKTLVKALVHYASRSNDTDGMSYTDIKQISDVLRQCPSPCNFLIFGLTPETLLWQALNQNGRTVFIDENRYYAAYMEEKFPEIEVYDVQYSTKISELKELVTLVRQQVHNECRPVQNLLFSDCKLGLNDLPNQLYELDWDVILVDGPRGYWPEGPGRMSAIFTAGVLARSKKGGNHKTHVLVHDFNREVERVCTDEFLCRENMVKSKDLLGHFVLERMDGSSTQFCRNNYTTKSAASSSSS >KZN03259 pep chromosome:ASM162521v1:3:42960447:42961616:-1 gene:DCAR_012015 transcript:KZN03259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKSKVKKGAWSPEEDFTLKNYLQTHGSAGNWITLPLKAGLKRCGKSCRLRWLNYLRPDIKHGPFTKEEDSIILNLYNKLGSRWSVIASHLEGRTDNDVKNYWNTKMRKKLLAAKAGMNTEDNASTNLLYENAYGGYMEFITNSEFSGCPETKVQDYEIDTLSSFRDNANAGASWAENGGGGDHNELLFGSGSGLSLSCPAAGDDLLDGFDFLEEIMKSSDEMESTLGHAR >KZN03085 pep chromosome:ASM162521v1:3:41103015:41108971:-1 gene:DCAR_011841 transcript:KZN03085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVFLILLLCSALPAHLAFDVRHHLATVTRYDVVKDIVDKSFTPSNIPDQCSPIHLNLLARHGTRAPTKKKLRSLEALSLRLETLLQAAKEQKRSLQKIPSWIDGWKSPWKGKVKGGELISEGETELYNLGIRIRESFAELFSDDYHPDVYTIKATQIPRASASAVAFGMGLFSGIGNLGPGRHRAFAISSESRASDTMLRFHDCCQNYKSFRKSREPGVDKLKRPVLDEVTNSLVLRYGLNFTRHDTSSLWFLCKQEASLLSKPDQACAMFSPSEVALLEWTDDLESFILKGYGNALNYRMGVPLLEDVVQSMEQAIKSKEEKQAPGSYEKARLRFAHAETLLPFSCLIGLFLEGSEFEQIRQEQALQLPLKPPHSRKWRGSIVAPFGGNNMLVLYSCPANNSSKYLVQVLHNEHPVPLPGCDNSNICPFEVFKERIVAPHLKHDYNQVCNALSEEASEQNFLFFKLKQMFSWLFSPKNSGKQNHNVEL >KZN00564 pep chromosome:ASM162521v1:3:6386808:6388625:-1 gene:DCAR_009318 transcript:KZN00564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTIRKAIGAVKDQTSIGIAKVVGNVAPDLEVLIVKATSHDDEPADDKYIREILNLTSHSKGYVDACVFAISKRLSKTHDWIVAIKALMLVQKLLVDGTPLFGEEMMHASRKGTRVLNMSGFRDEAHAYSWDHAGFVKSYALYLDQKIEFSVYDRRMSGLDDKYSEFRVEYGHGGNNRPRAYGDLDEPVVRGVREKGTPLREMKTEKVSQRLNQLLRLLDRFLACRPNGAAKDSKIILVAVYSLVKESFKLYADLCEVLHILLDRYSDMEYEGCLKSFDTYVGAAKMIDELVGFYSWCKDTGIARSTEYPELQEISDKLMEKLEGFLRERAIRGNSREKPMEVNTSVIQKEKLPDFSEIKALPPLKDYDLSMPQAQPKPQAQQITEDLVNIKDNAMSVEVQGNKLALALFSEAPPINADGSWEVFPSDGGPDMTSAWQTPAAEMGKADWELTLVETASNLSKQKADMGGGIDSLLLSGMYDQGAVKQHNSNAQLNVGSASSVTLPGTGKGSTPALALPAPDGTVQTVGNQDPFAASLVVPPPSYVQMADMEMKQHLLVQEQRLWQQYANNGMQGQVGSANVTGGTHGCYITGQPGGYNYHSPY >KZN00810 pep chromosome:ASM162521v1:3:8900687:8902395:-1 gene:DCAR_009564 transcript:KZN00810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMTISPMTGHPQRWNPPDTGWLKMLMPPLCQARTNFRSAWFSMITRESLRKCDNQFEEGHIIDTCLELMVSNMGFYKVADLVARIPCLLNCDNQFEEGHIIDTCLELMGSNMGFYKNPVLIQSNTFNLTFSPHQTLIPSPKSFTELHVNMNFTEHDDDEPGPESPTFDSTHKDECADGIGDDDRDMMFTSSDTGCVPHYGVVYYMHMSAPYSLLFLYVISHRVGMHITCCPYRGVRLTDAHFVPR >KZN00938 pep chromosome:ASM162521v1:3:10103771:10105746:1 gene:DCAR_009692 transcript:KZN00938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDSFSRAFRKKGTIHLSTYLRTYHIGDYVDIKVNGAIHKGMPHKFYHGRTGQVWNVTKRAIGVEINKQVGNRIIRKRIHVRIEHVMPSRCTEEVKLRKKKNDQLKAEAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >KZN02527 pep chromosome:ASM162521v1:3:34235591:34237108:-1 gene:DCAR_011281 transcript:KZN02527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLIEELKSLPLWLPLLLLTLGSYSVCKLLFSFIKWVYVNFLRPSKNLKNYGSWALITGPTDGIGKAFAFQLAQKGLNLVLVGRNPDKLKRVSDEILTKEGNTKIKTVVLDFSGDLSDGLRRIGEVIDGLDVGVLINNVGCCYPYAKYLHELDEKLLADLIKINIEGTTKVTRAVLPGMLKRKRGAIVNIGSGGSTVPSYPLYTIYGAAKGYIDQFSKNLYVEYKKSGIDVQCQTPFYVATKMASIRKSSFIVPSSDGYVRAALRWVGYEPHCNPYWPHSVMWSFANSAPEFMIEAFILWFCLSLRKKGQLKDARKKN >KZN02599 pep chromosome:ASM162521v1:3:34957914:34958322:-1 gene:DCAR_011353 transcript:KZN02599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKSVEFDESPPDFDPENPYKDPVALLEMREHIVREKWIDIETAKIIREKLRWCYRIEGVNHLQKCKHLVQQYLDSTRGIGWGKDGRHPDLHGPKVAAVAAEE >KZN00617 pep chromosome:ASM162521v1:3:6960791:6961581:1 gene:DCAR_009371 transcript:KZN00617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHWVFSDIKLALAMSLLSVVLKICLQLWILPNITYLRIKRNGLSGPSPRFPLGNITDMVAHSKKNDDPSSSSCDSNIMSHHIHSKVFPYFAQWQQSHGKVFVSWLGTKPFLYIADPEFLKQMSSAVKGTSWGQPSVFRNDQMPMFGTYGLTMVEGDVWVRHRSVLTPAFSPPNIKALRSLMVMVTNEMLDRWTSIIKSGQQELDIE >KZN03319 pep chromosome:ASM162521v1:3:43532175:43532786:1 gene:DCAR_012075 transcript:KZN03319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRSPLSTTRKFLTRAIQNVKSFFNEATYQRLPKTSPMMPFSCIGCHGLDKTLSQRYTELDKFYTDFTNEHVIDNRQDSKSSTSRKCSGHASIACNEDYDQVEECIRDDHNMKKRKMSQRVAPSNTCKSVVTREERRFLVARKLMELKVDVEDAMDIEEVLHHYSHLTSPVYMEIFDQFFIHIYGDLFKQFSRQQLILRPAT >KZN00039 pep chromosome:ASM162521v1:3:1356642:1356932:-1 gene:DCAR_008793 transcript:KZN00039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKCVGSIQNRDGKREMTIEEFKRWLKRFDGDRDGRISKAELRQAVRAKGGRFSRWKVQWGIRLADSNKDGFIDESEMQNLVKFAEAELGVRIRA >KZN03450 pep chromosome:ASM162521v1:3:44722531:44723157:-1 gene:DCAR_012206 transcript:KZN03450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLLVDHALLFSSLIFAFLRMTQSTDPDILSDFVIPANATTIDENFFTYTGLRTAFTAEFPPDFKALKANMVEFPGLVGQSISYLVVQLPIGSVNPPHIHPRSSELLFVISGYIEAGFVDTSNKLYTQTLQPGDIFVVPKGLLHYQYNANASFNATALTAFGSANGGKVTLPVALFETGIDDLILAKSFKTDIATVQKIKAGLAPKE >KZN03494 pep chromosome:ASM162521v1:3:45071907:45074984:1 gene:DCAR_012250 transcript:KZN03494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSPDQISPLSDVLSDESGDQEVGTSVFRERITINFQLLEVRRELLRAEQSLKLCLKNTDIQFLESVVGPGLDSQLQWIILKLRITSSMLEAAIEKTNSGSASEVETRKLESLCNSLLEIIRLIDEDITGNLISEEIVEYSSPTEVRAYARRFSGKISSVEAQINGLHDWTTTLGLTSAGCGQTMCLADNRFIVEAGTGHEKKDADTVGLEEDVQVITNKLISGETAPALVAITGGKGIGKTTLARKIYHNPEVAHHFPCRAWVTLPLDFEPNSFLFSIAKQVLIGFAENDSIKKIKYKLSRLWCFQRYLIVLDDAHAIEEATKALQELCSNQSNGSKLLITTTKRDLVKASPCCYIHERRVLGDEEAWELFTARLLFKVNQEVEQLAREVTKKCSGRPSSVLKLADFMSSKASTQEQWFVKLSQMNEPHASHYLSLSSSSDLMSSSNRQCLNVPHASHYLSLASSFDLMSSSNKQCLLYFILFPHNEIPARRLIVLWVAEGLVDQPPQSAETPESAGEKILNELVQNCMIQVAKWKSNGKVKTCRLDYSLMDTLLLEAGKASFLKSNLQVTASKSSSKKGPILRVADHLDNNSSIFSHIHENDSIGSSSFKQQYKKLISFISFDSREGPVPGQDIGNFINRGTNLNCFQMICVVDLEGTFRPKLPDSIKKLSQLRYLGLRRTYTELLPASIGKLLNLQTLDLKHTCLRSLPGSIWKLQQLRHLYLSESYRSRIMAPGSSSSLLDIQTLWGAFVDEETQIEDGLNRLTNLRKLGLVYRLPVKEQGILADWISKLHHLESLRLRSIDDMNNPSLLYLTTISGLGKLSSLYLLGTLANPFVLETFPESLTEITLSLSGIFFDPMRVLEKLPNLRILNLYAGSYTKKTMVCSSGGFPLLRVLNLWKLEELEEWIVKDGSLVILRHLEIRSCLKLKMIPEGLKHLEHCRELKLTNMPDNFKARITKDEGVDWQNVAHIASVIIRN >KZN01616 pep chromosome:ASM162521v1:3:21940521:21941830:-1 gene:DCAR_010370 transcript:KZN01616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKEILEVEQSWHEIKKELKVHLLLLSHHMDEGGKSIAGEVADEVVVDVAKIVKGEERIALHGEDVQSSPTSNMISSVVPEIKEVNPENAYNLVLEVAHEVAKLGLSSEKMDGLASAFKEKSADDMEYAGFALEVMVLRNPSSKIDLTNIVTLVPRGLIKLPCYLQSPFIQHFGSSSVNTVDAIDTKKFAGICPLDDNIGSLPELEISAEYYHWMDDGLLRNNKKTAKYNSNFTLKFYTTDLKFHKKISLIIADISDSDDIVSKLSSKQDIVNIINGCSLPYSSPWSLVDALGSNLVD >KZN02249 pep chromosome:ASM162521v1:3:31312911:31314207:1 gene:DCAR_011003 transcript:KZN02249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIKLVGLLLMSLLFVSLRGSSANVHLGRKMKSDKLMASKEKYTPEMGIPAQDSLDAHVEEEGDEARKMVNKEDIDNEVESSKKLKSSGAAHNARKTSCNRHHEDKDEHVNSSSSCNMLIHKSRIIGLNEEELEAAEREVMELMRRDYRSKPRRKPPINNNKPNN >KZN01503 pep chromosome:ASM162521v1:3:17499191:17503867:-1 gene:DCAR_010232 transcript:KZN01503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGYSYVVVDDDFQPAVQTYDMLNGTASGEECNYFQGSWVFDESYPLYNASDCPFAERGFNCLANGRKDKDYQKWRWKPENCDIRKFDVHEVLEMLRGKRVVFVGDSLGRTQWESMICLLMTEVEDKTSVSQVIGNRITKQIRHIGVQFKSFNLTVEFYRSVFLVQPGAVPKRSPKRVKSTLKLDVLDDISKKWIQSDILIFNSGHWWTPGKLFDMRCYFQIAGKLKLGMSTASAYRTALTTWASWVQNMIDTNKTRVFFRTFEPSHWSGRNHLTCKVTRLPLNKTNGKEQNPFSDTIINVVKNMTVPVTVMHVTPMGASRSDAHVGTWSDNPLVPDCSHWCLPGVPDAWNEILFSHLLSQD >KZN03911 pep chromosome:ASM162521v1:3:49831882:49833934:1 gene:DCAR_012667 transcript:KZN03911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQEIRNPITYVRQSRRRKMIEVDSNVPHSHASRVQGQRTEILTHTASQIGTNVQQEGSMPQVIDVEAYDDDVTLSSPREFAEAKAKNNSRRSRRRTVLIDLESEGQSTRTSTHNLLNLALVAEESSRPVNKNSWSMKMAPPLPQPPPVEPTFTCPVCMGPYVEEMSTKCGHIFCKKCIRASIAVRGKCPTCRGKISMKNTIRVYLPATK >KZN02239 pep chromosome:ASM162521v1:3:31221613:31221846:1 gene:DCAR_010993 transcript:KZN02239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTQKSAYTKAKNNKKFTLIISNFSQISDNHLQPLLRTQKSVIFKNYRTAYEEQYRPHTNIIKQKSPRFMKKIAAV >KZN01551 pep chromosome:ASM162521v1:3:20346069:20346464:1 gene:DCAR_010305 transcript:KZN01551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNAFCWNQSDYVRIQAFSCVNGAKSTAEQVLRERGVESEISFNDRLVSTGRNSSPPSPYKDIALASLLANGR >KZN03150 pep chromosome:ASM162521v1:3:41766313:41768953:1 gene:DCAR_011906 transcript:KZN03150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRASAVFLVTLLCFLTTPLGTSSDEQFNYLKIPANEFVTSVKSTLDIVQQVMSLVSGFGNAFGDERVSNAISDCIELLDLSTDQLTDTLSVSQNPRNGKDNSTGHPGADMKTWLSAALVNQDTCSEGFDGTNGVVKALVAGSLNQLSSLILQILGNVAINGGGNRISGDPEHVPAWMKGRKLISGEEFPKWMKPNDRRLLLQVNGVNADAVVAADGSGTFSTISEAVKAAPDHGQRFVIYVKKGVYNEYVEISKKKWNIMMIGDGIDVTVISGNRNFIDGWTTYRSATFAVKGQGFIARDITFQNTAGPQKHQAVAYRSDSDLSVLYRCAFRGYQDTLYAHSQRQFFRECQITGTVDFIFGDASAVFQNCQILARKGLPNQKNTITAQGRKEAVEPTGFSIQFSNISVEPDVMANSTYSYLGRPWKLYSRTVVMQSYISSAIRPEGWLEWNEAFALDTLYYAEYLNSGPGAGVGSRVKWPGFHALNSSDQVNSFTVANFLLGNSWLPSTGVKYTAGLGV >KZN03181 pep chromosome:ASM162521v1:3:42183252:42184431:-1 gene:DCAR_011937 transcript:KZN03181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFGWMQGKVSGKQGSKTQNSSAANKRVLQESLKEEFSDWPHGLLAIGTFGNRNVTEEIENRIPHPTEASSQNDLQDLTPEEVEELQNELNLGLDKPGVACESLAEQDSSLDTSNSSRKDIQLQRTSSAVFSRGKDSHLDSTSNGIGKKSMSFLFKKMLLCSSGFSPTPSLRDPFLEPIHVESRMKKILTTILSKKIYPQSSSPKANTPRKYLENKQFFMTDSESDSEDEMSQEANDGSKWVKTDSDYIVLEI >KZN03065 pep chromosome:ASM162521v1:3:40798423:40800472:1 gene:DCAR_011821 transcript:KZN03065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSQEQDHHHLYHYTPTAAPPPTPSSHSNPNSTSAPNIDTLSQLLHRLPSSLSLSLPKRRPSPLSTTISPTISLADPSLDFSRAFELGFFQLTGHNIPSPLANLAHSDSLSLFHLSREEKLRHFPQNWPLGHNDDDDDDEDADKESETFCFDSSCYGELDELKLTSLREFTQEMEKVGLEIIESLSGAVGFENPARDDPTRICSLMWVSEELPGNQQVMSGKMYPYVIGLQYQLRAKKSTMLSDSGWVSVPTQVESVLVTLGDIAQVWSNGKLKKVRGRPIPSSENGNNSAKCISMTLLLTLPLDSTVSTLIPGSIESGNDEGVGDGNENLPKNKRLFNSFSFEDYAWRMYHEQNVVKDPLERYRA >KZN03344 pep chromosome:ASM162521v1:3:43860944:43861309:-1 gene:DCAR_012100 transcript:KZN03344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENKREKHVKETVGACSTGDVIQHGRPAIAVIVTIASGNGEGADRMLGNDPWNLAPTNMVYSPSCLEDDTIIHEIGAKVHAGEKLMVQTGSRFLRGSVLVKGFEDEISEEGERVIVRNGV >KZN00838 pep chromosome:ASM162521v1:3:9142855:9149148:1 gene:DCAR_009592 transcript:KZN00838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNTYADARAPVAPAASGVVADDPNQRQRFFVELAPDETTIVSWKALVQEANNGVVADDSSNEKEELDVAILVEPRGFTPSFPFYVPSYNWFAPTLRHVEEEDNIQVEKSRIKEGGSIVKRDIVRHMCDKEKSSISLEVLIKTMRKVIMDSVTSGALPKLHDLKSAQHDLPSRSSMNKMVSPTVLLDTSTESSSSADSSATTSLLASSTSAFHETIVVSAGASSTKKRCL >KZN02056 pep chromosome:ASM162521v1:3:28931190:28932506:-1 gene:DCAR_010810 transcript:KZN02056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPFVARKTNPSSLKKKLQTILAAITGVFLLGGWAFTTVPALFNYSSYLVHHQIAHVSHKLNYYMSGHTFYDDPDFSYSITDPLKDWDQKRAAWLKEHPQFASRGANRVLLVTGSQPWRCESNLGDFLLLRLFKNKVDYCRIQGYEIFYNNAFLETKMDDHWAKPAAIRASMLAHPDKEWIWWVDSDAVFTDMEFRLPLDKYKNYNLVVNGWPDLIKERSWLGLNTGVLLFRNCQWTLDLLSAWASMSQLSPDYDKWGQIFKATFKDRDEARSSDQTAMVYLVLKHNDTWGDKIYYEDEYCFQCYWVKSIRKIKQARNVYVEMEKNVSEFRRRHAEVATQGYGALRNKFLEQGGYGKHTGRRPFITHFVGCSPCSGNNNVNYSGQDCVQGLELALNTGDNQVLRNFGYVRHQPGNITSWVFPLPFDEPAEINVNLPN >KZN01564 pep chromosome:ASM162521v1:3:20622778:20623617:-1 gene:DCAR_010318 transcript:KZN01564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESKAKEIMIRQVWASNLDSEFEIIGEFIDQYSYISMDTEFPGVVFRPDVGREGHAPQNALRRTSDQYKLLKSNVDALNLIQVGLTLSDADGNLPEIVAGGQKCIWEFNFRDFDVARDSHAPDSIELLRRQGIDFDRNRVDGIDSARFAELMMSSGLVCNDSVSWVTFHSAYDFGYLVKILTRRELPGGIKGFLKVTRVFFGNNIYDVKYMMKFCKSLYGGLDRVASMLDVNRAVGKCHQAGSDSLLTWHAFQKMRDVYFVNDGPEKHAGVLYGLEVY >KZN03031 pep chromosome:ASM162521v1:3:40340246:40341347:1 gene:DCAR_011787 transcript:KZN03031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLYPASHTFSSSYLMQDCKSTIWTKEENKKFESALALFDKDTPDRWIKVADMIPGKSVLDVMKQYQNLVADITDIEAGLVPLPGYLASSCTLELMKNGEFNGFKKRPMGCRSSDQEKKKGVPWTEDEHRRFLMGLQKHGKGDWRNISRDFVVTKTSTQVASHAQKYYLRQQLAEGKEKRRPSIHDITTVHLTSDAQSDNHKFASHDKSALIPLPEKCITAPKMFLDWNHLDNGSILDCSSTYNDLCVPYSQESALRDFKVQKGGARVADFGLLDLGFQFQSTKYQIW >KZN03239 pep chromosome:ASM162521v1:3:42807636:42811864:1 gene:DCAR_011995 transcript:KZN03239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGVKKDKEPPPSLNDASDRINKRGESVDEKIKRLDAELARYKEQIKKTRPGPAQEAVKSRAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTMTALKSANKELKGMMKSVKIQDIDNLQDEMMDLMDVSNEIQESLGRSYSVPDDIDEEELMGGKLFVELLLIISELDYLEADMGLETESDSVPSYLQPDKESDYDAELNLPSAPTGQTAMHAGRANGQEEDELGLPAVPRASLRG >KZN00702 pep chromosome:ASM162521v1:3:7734500:7734799:-1 gene:DCAR_009456 transcript:KZN00702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPIHTGADEFSTGMLLRDHDHEGKFLAVCISCTLGPGSVLEAETNAIHRGLCWLQSLPFRNVLVESDSLLTVRGIHHTQANWLVISLIAAEGFSILD >KZN02265 pep chromosome:ASM162521v1:3:31445784:31446020:1 gene:DCAR_011019 transcript:KZN02265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNELLRYSLVPGYVRWPPVISPEEAIPTSSVWQKEADIFEDEEDEDSEKGDQPSQQPKRSVKYKKCDKRFYINKARK >KZN01841 pep chromosome:ASM162521v1:3:25936273:25938940:-1 gene:DCAR_010595 transcript:KZN01841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEAVSGGLCLIVSQHRTDNSSPVLLGASCALFALRLLLEPNLDGKRWSEPRKRILDGGAQLLGLLVWSIRKEVYNRVRLELVDKLESAEREIEELKSRRSEDAKANEKVVSIFATQEQSWFGVRKKLQHQVGALLHELRSLEKNKDEVIRELNVQLQEKELLLQSKENSIKEQLQKNLDLEEKLKEANSVAEELREAAKNVVEEHSTEIWKHKTALIELVSTQRQLEAEMGRAVRQVEAAKQDLDSVLEQKEQSVSMTQKLSMELIRMRKDLEQKDTILSAMLRKSKLDTEEKQMLLKEVKLSKTKRKQAELETERWRTASEAKHERHSLRSMLSKHAKSKLEASLNGMGMPFSTTTTQLGRTRSVETALIEYEQPELQDEQKYSCSSSDVYKPSGTEELDFKQMEGWVRFEAEKYATAFEQRHHKEIDAFAEQMRLKDEKLESCHWRSLSMELELKRLQTHIEGLNLELSHIRQENLQLEALFLNREAELQSLKEASILQLKHPSLRRSNSSSSSQDLSITGDTIWSNVKIVKSRPGDKEYETTLSRVVGTKIESAVPVKDYSKDIILMAPSPAEDFQEEKVVILDPSSIQGAHNNAMVINDDKLASDSQLLSTKNDNNSWKMDLNALGVSFKIKRIKQQLLLFERLTGKVENPEDRGAKDIDHSKTKGVLMLISFLDKQVSRYLSLQGKIDDLCKQMHENEIYASSGSSVIARKREETKKLEHFLEDTFQLQRYIVATGQKSMEIQTKIKTGLLGATEHPEGHADIDMHRFAESLITLFKDVQRGVEVRISKIIGDLEGTLACEGMNHPRK >KZN02879 pep chromosome:ASM162521v1:3:38496312:38496737:1 gene:DCAR_011635 transcript:KZN02879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSMVIHSGGCHCKRVRWKVQAPTNLVAWDCNCSSCSMRRNTHFIVPSERFEILGDSKEFLTTYTFGTHTAQHTFCKVCGITSFYIPRSNPDGVAVTLRCIDPGTVTHVEIKAFDGENWENSYTTSGIASCSKVLPVELN >KZN02693 pep chromosome:ASM162521v1:3:36098388:36098585:1 gene:DCAR_011448 transcript:KZN02693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYEKGILDYLQRHSLEWTITTSNLRAERTQFFFHKAITRRHNTNNITSIIRGREALLNLKGNIR >KZN03136 pep chromosome:ASM162521v1:3:41622202:41627263:-1 gene:DCAR_011892 transcript:KZN03136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSAKSSRKGKKAWRANISTQDIEDYYEKSTKDALSGGSLTQVPSESLFFVDKSTDLAVRRKIEKNREKVLHCDRVLQKNSFVQPVPSSTLKKCKPKPKEVQLEKDTSHNAAKDDGGMTDLWDDKGYQHIKPKKSKTSVIPAVEIDPSGCSFNPSSESHQDSLARAVAEEMQKIYQNELGPKPVPLTVPGEAINEEDMFFLDADNGSDDDGLDETVDKDDDVETENKSSKPKRITRVQLNKRARNREQLKKKTEALKLKLLSKEIDSLPDIMQEIAKEDEEKQKRRLRRLVSQQERLKTRPPRFGKHKFEPAPAQVLLSEDITGSLRKLKGCSTLARDRFKSLEKRGLIVPTAKSSRRRELKNFSAEESSALQVEEAEEPSALQVEEAKEPSALQVEEAI >KZM99992 pep chromosome:ASM162521v1:3:920814:924733:1 gene:DCAR_008747 transcript:KZM99992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFYRYIFLQMNAFCTELIYFVFVSCIGFLVLRALKARSGGLSSFDIFFTSVSATTVSSMSVVEMEVFSDAQLIVLTILMFIGSEVFTSMIGLHLRWILKLEEDLSNLSTYTLKNIVAAAFDTTSSVSDSSINIHPLVDIDLGVMVPAEAEAHDEEDQFYPSNESASMQRNGNDHIITNMFTSSSALPLQSSSSSSRYLKYKSIKFLGFVVLGYLLALHVLGVTLVTIYFSVYSSAKDVLRSKGLKESTFVLFTTVSTFASCGFVPTNENMVVFAKNSGLLWILIPQALLGNTLFPSFLRFSVWLLGKYVKKDEAKYLLRKNREIGYLHLLPGLHSWLLVLTVLGFVLIQWVLLNVMEWHNDGVTGLSIYQKLVGFLFQAVNARHTGETVLDIATIAPAILVLFVVMMYLPPYTSFMPRREGDEELKKKRGKSIKENIIFSQLTYLVIFIILICICERKSMKDDPVNFSVLNIVTEVISAYGNVGFTTGYSCDRRIKTEDFCENKWYGFSGRWSTQGKLLLIFVMIFGRLKKFNMNGGKAWKLL >KZN03457 pep chromosome:ASM162521v1:3:44785649:44793762:1 gene:DCAR_012213 transcript:KZN03457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSNKGERAGPSSSGPSQNSAVTSEVEIVEEVQEKDFAEEVSGIGSGDESKVVQSDESLGQVDKDDEEVLDKEKAGEDVVRDLTQRSGGLRIVEEERQIGTGMGCSHPPPPPVPPPKPFSGNVNPRRFSSGSSNATRSGSSRRATPWPVVPTRTSPTGSRPTSPRSHCENEGYNSADEQSPRFGSSYSDAEREHQFEIDLRRATGLEVKRMLEDGNCLFRAVADQVYGDSELYDLVRQMCIDYMERERDHFSQFITEGFISYCKRKRRDKVYGNNMEIQAFCEMYNRPIHIYSYSAEPINIFQGSYNTDTPPIRLSYHHGNHYNSLVDPRRVTVGAGLGFSSLRGSNVDKDQVSAAIKAQQEQQIDNALLAEGRFYSDLELTEKEMERMVMEASRAEYLAEDKFKQVGRRESSTSAAGAEPSSSGARPSGSKTNAEGGNEHGLPTTVLTNSMQMVLAMGFSYLQVIEAYSIFGEDVDSMVCYLLETSSSSRRKGKATE >KZN03440 pep chromosome:ASM162521v1:3:44678168:44678878:1 gene:DCAR_012196 transcript:KZN03440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSHVQCTLIILVALLHGALSITYNVTDVVPNTPGGIRFEKEIGVDYSRQEMGTINQFIYKLFDQENNPGDRRPQDFVQLYIDVHADNATAVTSLGNIINMSSIFIQDYEGDVKWGFTSILYHEMTHVFQWLPNDAPVGLIEGIADYTMLKANYFPPGLAKPGDGDRWDQGYDVTARFLEYCESLLETFVAQLNKKMKDSYNDSYFVDLLGKPVDTLWNEYKQKYHHDPKVARSL >KZN03920 pep chromosome:ASM162521v1:3:49904788:49909687:-1 gene:DCAR_012676 transcript:KZN03920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDTTISPPLSSSDEESLLEQELQDYPFEPSASLRRDNLSLKGDDGSVRAEKGLMLKKFNDVGIQVSRDSLYFTAKQKQAHHAYIYSEVLWSYDELQCRTKSLEAAKLRILRYKPGTWTEKVGGMELRDYIVPKTATLLLVGPERSGKSSLVNRILRAFDDDKFSPERAQVSYNCNDGDGTYFLQENMVLRGSSSFCLYDTRSLSDNESENTDILNQWMTEGVRHGELVIRNSDGPELRNKLNCKSHQNLHCSSKTRTVNFVIFVVSGLSVLNCMDNGDEDDGYNKMIATMFKCPFLSFKDDKPVVVVTHGDLLSLSDRARVRVHLGELLGVHPKKQIFDIPVAEDCDPATDLTIIELLRYSLEHADRNLPNKARSIGKIHKVWQPISLIMVLILGIAIISALVHNAYVCNGHKLHAPHTNAPIGRNANNASKADSLGIKTVHTPEADAATGTGWTAENFSLVNPSDMDTKHGCRTKSSYLPQKEATASPSTNDVPTKDAPTGRNADNLPQAVDPSGMNIMLEHKRKSARLPPKETTIDVPAKDAPGRNADNSPQADNHAGMKRKLERRTKSSHSTQKGATVYSSKTVSSSLPEESAQEDWNRFRLWLDLD >KZN02512 pep chromosome:ASM162521v1:3:34126641:34130944:1 gene:DCAR_011266 transcript:KZN02512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTSSKSDSYRESSSSSWNQNDYFQSSSYPHSNQNYAPPPPPAYSYPAYLQEDPNDAPQVAYPYPAHNQQASTRPPPPQVHSGHANKPQRKFDRKYSRIADNYDSLEEVTEALARAGLESSNLILGIDFTKSNEWTGSRSYNRRSLHHIGDGLNPYEQAISIIGKTLAAFDEDNLIPCYGFGDASTHDQDVFSFYPEDDRHCNGFEEVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSSGQYHVLVIIADGQVTRSVDTEGGQLSPQEQKTVDAIVRASNLPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKKLSTSRKETEFALKALMEIPSQYKASIELKMLGSRRGNVPTRVPLPPPVSVASSFSSSKASHSFSSKPSVPSYDAYSSSPYDAYKSPVGNAPPASSSTFDNMVCPICLTNPKDMAFGCGHQTCCECGQDLQTCPICRSQIQTRIKLY >KZN00924 pep chromosome:ASM162521v1:3:9910335:9914594:1 gene:DCAR_009678 transcript:KZN00924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARTGVYVDDYLEYASTLPAELQRLLNTIRELDDRSQAMINQTRQQINYCLGMGVSFQSLKRGNYEDDEAILKKDIEVSQENALSLCTEKVLLARQAYDLIDSHVKRLDEDLNNFSEDLKQEGKLPPDEPINLPPLPLISKNEKRKLPYGTPQQKKVDAKDRDYERDRDSELMPPPGSQKKDYAPIEIDQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYQCVGLTPETRFRGKWYCPTCRQLQR >KZN00602 pep chromosome:ASM162521v1:3:6766471:6770888:-1 gene:DCAR_009356 transcript:KZN00602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGGFFGSGGNGGGGGDAGLMFDNLYINNYMTIPQHHLLSPPIVQSVFNTSALSHALKPKMEGVDEMGLIGGNHESGAMIEAREEESENKSGSEHMEAASGDEQERPRGSKRKKKYHRHTPYQIQELEGFELFGFCFFPVIYVLDAVFRLTQLERHENLILKQENDKLQIENIAIKEAMRNPICGGCGGGAILGEISFEENHLRIENARLKDELNRISVLTSKFLGRPLSPLAGPFAPAASNSNLELAVGRNGFGDLNPGEAVMPMGFNFGAGYPNTMRASTSTRPPVGMTGLNTPFEKSLLLELATNAMNELLKLTQTDNPLWFKSSDGSGEFLNLEEYTRMISPCIDKTSGLRTEGTRATGLSTLNSVAFVEMMMDANRWGEMFTGMIGNSYTLDVISSGMGGSTNGALQVMHAEIQVISPLVPTRQVRFLRFCKQHGDGVWAVVDVSIDAIQGVPNAQTSMHCKRLPSGCVVQDMPNGYSKQQLFDLLQNEDMRSRWDLLSHGGPMQQMACIAKGQDFGNKISLLSAKAMGDNSNQSNVLILQETSNDAFGSLIVSTAVDMASMNVVMNGGESACIAILPSGFAIVPDCFDSAGPSSSLEMMGKESGCDGVGGGCLLTVGFQIMINDFPASKLTAESVENVNNLISRTIHGIKEALHCN >KZN01054 pep chromosome:ASM162521v1:3:11208791:11209505:1 gene:DCAR_009808 transcript:KZN01054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVYLQLLSMGRRKFGTDFQLMFRIVKVLLFFGFVTVMTILFLVCGLTITDIFAALLAFAPTGWAFLLIGQACRPALKGIGFWNSLMELARAYECMMSLVIFMPIVILSWFPFVSEFQIRLLFNQAFSRGLQISMILAGKKDKKSNT >KZN03354 pep chromosome:ASM162521v1:3:43920321:43921767:-1 gene:DCAR_012110 transcript:KZN03354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLDSLEQPQPLYVIVLCYLAIFILLKKSFYFLNWIFITFFRPPKVLKKCYGSWALVTGSTDGIGKAMAFELARKGLNLVLVSRNLSKLEQVSRELLAENPNIQVKNLVVDFSRDVVAAVRQMEEAIRGLEIGVLINNVGVTYHEAMYFHEVKEEEWMNLVNVNLRGTTLVTRAVVKGMIKRRRGAIVNIGSAASVVVPSHPLYAIYAATKAYIDQLSRSLYVEYKHYGIDVQCQVPLYVSTKMTENVAGIKRASMFIPSADNYAEAAVKCIGYEIRCTPYWSHAVQWFFASLLPDFVLDNWRLSVGIHRRSSLHNT >KZN03841 pep chromosome:ASM162521v1:3:48963490:48968181:1 gene:DCAR_012597 transcript:KZN03841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFRRLKEDADVYQPRQCPDYCEPGDFTLKIYHGADWVDNPRAYVGGTVDFFDFCNADQISIIEIYSMLKEVDEFGFHQLWYKLPGTTFDRAFVLNNDEELMTMCELISDVDKYMEIYVTTMAHLSTVPYRDLEVEFVEPTPKEAPEKNNPSPEKINPAPEKINPAPAKMYPAPAKSIPTVGNDDDQEEFDPCSKPKTTPPGPSTGGASQQQRPSPPVDPRSPAASVQ >KZN01549 pep chromosome:ASM162521v1:3:19994626:19995564:1 gene:DCAR_010303 transcript:KZN01549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALLALALALAFCIQGSLGNVICENLSKDACAFAVSSEGKRCVLEQRMCRSGMGKYTCRSSEIEADTLKDWIESDECIKACGLERNVLGISSDSLLESQFTQKLCSTPCYNSCPNIVDLYFNLAAGEGVYLPKLCEARGVNARRGMAELKSSGLVSPGPVASERLGEAPGPISTEMFVEAPEPAMGPASL >KZN01831 pep chromosome:ASM162521v1:3:25837038:25838820:1 gene:DCAR_010585 transcript:KZN01831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYLTNVWKLSVSHAAGIINVWNGISLFLPIPFAFFADSFLGNFYTLLFSCSVDTIGLMFLTVSTPPFNIGKCNKYDPECIGRTQKTLFYISLALMSLGGASRVSQFPFFEEQEEKQEDEAMNSNIKNKICDCSIGQQLGCLLVVVATIIGGFVLPFIKSWSIKFGLTAIFSLVALFVFLSGLVWHPYIRKGPQGSPLTTIIRVFGAAIHKKSKELPEDHNNAKNLYMSDDNVQLTRSLRCLDKAAIILPTPSEDEQKKLKWHLCSVREVEDTKACLQLAPLWITFILCGLVSSLGNTYFLEQANHMNQKLGRLKVTILIFYLFSSGAGFIFRYIYSTFKGWLPENYQKYVPIFGIAFALIASIFCCITAAIVENRRLKVIRSIPDLLKDNPPKNIKIPMTMFWLVPQYMLLGVFTGITDTCFEELFKTGYPSSMNKYMQYFKVGLTGIGTAASYLSVYIVGKVSERGATRKNWFQHTINQSRIDRYYWTLAVISSLNLFLYIFIARMLPKPILEPPEQEKVEES >KZN01164 pep chromosome:ASM162521v1:3:12514826:12521404:1 gene:DCAR_009918 transcript:KZN01164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLEEIKNETVDLEKIPIEEVFEQLKCTREGLSADEGTNRLEIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGNGKPPDWQDFVGIMCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRNPYDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMLVEIVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGFDKENVLLCAARASRVENQDAIDAAIVGTLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRASKGAPEQILTLCNCKEDQKKKVHAIIDKFAERGLRSLGVASQVVPEKSKDSAGGPWQFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQHKDESIAALPIEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGGYLALLTVIFFWLIKDTDFFPDKFGVRSIRHNPEEMMAVLYLQVSIVSQALIFVTRSRSWSFVERPGFLLLGAFMIAQLLATVIAVYANWGFARIHGCGWGWAGVVWLYSIVFYFPLDIMKFATRYALSGKAWQNMIDNRTAFSTKKDYGKEEREAQWALAQRTLHGLQPPEASTIFNDKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KZN01207 pep chromosome:ASM162521v1:3:13072992:13073452:1 gene:DCAR_009961 transcript:KZN01207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSASTPKALSKIACNRLQKELVEWQVNPPVGFKHKVTDDLQRWVIEVNGAPGTLYANETFQLQVDFPEHYPMEAPQVDFFFL >KZN03963 pep chromosome:ASM162521v1:3:50246463:50254173:-1 gene:DCAR_012719 transcript:KZN03963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYPELQMFFATSILHVHLMQWDDVNLVDQAVNRCNVVWDSIEPDKRLHCHGLLFYNELLHIFYLLRICDYKNATQHVDKLDAAMKADLQKMKQIQALKRELDVINQDLSCSDPGIPYRDRLALNAKQAQVEEQLSNLTGHSLTGKDALEPAYFGNVRREWGDKLELAQPPIEGEWLPKSAVYALVDLMVVVFSRPKGLFKECVKRIQSGVQNIEGELARLGVNDSMREVDIQHSAIWMAGVYLMLLMQFLENKVAVELTRSEFVEAQKALLQMKSWYVRFPTILQACGSIIEMLRGQYSHSVGCYSEAAFHFIEASKLTESKSLQAMCHVYAAVAYICIGDAESSSQALDLIGPVYSMVDSFVGVREKTAVLFAYGFLLMKQQNLQEARLRLANGLQTTHVTLGNLQLVAQYLTVLGNLALSLHDTGQAREILRSSLTLAKKLYDIPTQIWVLSNMTEGCKYSLLQLELNSLDLLTPKMQQGSLYQQLDEKGNEIENSEYQRKKEIDLEKRIADAHGSIHHTELVERVKVEVYKLNDFDMKPGTAEAFMGVNNLDIPESVGLSPSTRRSSARLMDIDIGTRGKRKV >KZN03789 pep chromosome:ASM162521v1:3:48168530:48169390:-1 gene:DCAR_012545 transcript:KZN03789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGINVIELSSNQANASSGMGVADQCKNTFLELQRKKVHRYVIFKIDEKKNEVVVEKTGDPAESYDDFTSALPENDCRYAVYDFDYVTPENCQKSKIFFFAWSPATSRIRSKMLYATSKERFRREMDGVHYEIQATDSTEMDLEVLKERAN >KZM96800 pep chromosome:ASM162521v1:4:6493237:6493728:1 gene:DCAR_015838 transcript:KZM96800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELIKSIGGMAEANAHVPMLSRTHSQHCSDPTKLIPNHSAPSEASGIDLCRWVHHAVRDHKSLSMEVGFGRTIAV >KZM97086 pep chromosome:ASM162521v1:4:10659828:10660439:1 gene:DCAR_015552 transcript:KZM97086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDAERRLLANALLDLSNQRFVLLSETCIPLFNFTTIYRYLTNSKLNFLSLYDDRSGVGRGRYRQGMWPPIALEDWRKGSQWFEIDRVLALRIASDKPYYDAFSKFCLPPCYSDEHYLPTLVNILYGESNSNRSVTYVDWSQRGAHPRRFERGQINRESLDRIRFGSECIYNGNNTRMCYLFARKFHPNALEPLLKMAPVLFG >KZM99328 pep chromosome:ASM162521v1:4:31144998:31147390:1 gene:DCAR_013310 transcript:KZM99328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-11 MHTTSSHCTRNDTALVNNDTACVDDKSIVRRSGNFPPPIWDDDFVQSLTSDFKVESCFLKVFNGYLNKSGKFKISLAGDMKGMLYLYEASYHSKTNEKILDEAQHFTTKHMRDYVNNNNSKDEKLSKLVSHALEHPLHWTESRMEARWYIDYFETSMLADDIKDSDLLRFAKLDYNMLQATYQDELKEMSRSWKRIQWEEKFNFSRGTLVQGFYWSLGIKIGSEFKYARNVLSALNVFITTIDDIYDVYADSVKEEDLQCLMTYPNILRHSATILRLADDMGTSSHEMERGDNPKAIQCYMNDKGVSEDKAREHIKYLVTETWKKLNEECAESPLPKSFRENCLHLARIACCVYLYGDGHGLPSSRDKERLLFLFVHPIPL >KZM99444 pep chromosome:ASM162521v1:4:32089049:32091699:-1 gene:DCAR_013194 transcript:KZM99444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLRIKRVPTIVSNYQKEEGEEGACRVGCGRNCLNTCCLQGAKLPLYAFKRVNKCGNRGGSSAEEYKEPPVAFLDSLIIGEWEDRVQKGLFRYDVTACETKVIPGNYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGSKFNFTKVGQEEVLFQFKASEEDEIHFFPDASIDVGNSPSVVAINVSPIEYGHVLLIPHVLECLPQRIDHESFLLALYMAAEAGSPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKASTRKVMNLDDGVEISELLGYPVRGLVFEGLCTLEDLSTAVSDACICLQNSNIPYNVLISDSGRRVFLLPQCYAERQALGEVSPELLDTQVNPAVWEISGHMVLKRKEDYEEASEEKAWRLLAEVSLSEERFKEVKDIIFDAVSSAANENELISQNDQEHHTNQESGTHNNGSSAALVSHECLVLH >KZM98213 pep chromosome:ASM162521v1:4:21982005:21982934:-1 gene:DCAR_014425 transcript:KZM98213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMSMGSRMASFLATLVVLLVSLNFPVLTTAAYESPPEKSPPYKYESPPPPDKSPPYKYESPPPPKKSPSYKYESPPPPEKSPPYKYESPPYKYDSPPPTKSPPYKYESPPPPKKSPPYKYESPPYKYESPPPPEKSPPYKYKSPPPPYKYESPPPPKKSPPSYKYESPPPPKKSPPPPYKYESPPPPKQYPPPYKYESSPPPKKYPPPYKYESPPPPKKYPPPPPKCPPPPPPPKCPPPPPPPKCPSPPPPPKYEYKYPPPKHDYKYESPPPPKKDDYGYKYKSPPPPKKDDYGYKYESPPPPYYQH >KZM97576 pep chromosome:ASM162521v1:4:15939896:15941942:1 gene:DCAR_015062 transcript:KZM97576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSEKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTQATPRSKGAAPAS >KZM98102 pep chromosome:ASM162521v1:4:21126976:21135902:-1 gene:DCAR_014536 transcript:KZM98102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEQHHNSEVAEPQQRSWCVVTGGRGFAARHLVEMLIRCDTFCVRIADLGPKINLDPSEESGALAQALQSGRASYVSADLRDKSQVLKACEGAEVVFHMAAPDSSINNHKLHQSVNVQGTMNVIEVCVELKVKRLIYTSSSSVVFDGVNGTLNGDETLPYPTKHNDSYSATKADGEALVIKANGVDGLLTCCLRPSGIFGPGDKLFVPSIVNAARAGKSKFIIGDGNNMHDFTYVENVAHAHICAERSLASDGPVAVKAAGQAYFITNMEPIKIWEIMSLILDGLGYERPKIKVPVSIIMPIAHLVEAIYTMLAPYGMKVPQLTPSRVRLLSCNRTFDCSKANDLLGYSPVVPLKEGLRRTIDSYSHLRAELCCRKDGPSKALIYLGSRRGIYNYFPSTPTFLLYVT >KZM96669 pep chromosome:ASM162521v1:4:3621335:3623600:-1 gene:DCAR_015969 transcript:KZM96669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPTSPNLSLPTSHFTPPTFPNLHLSPFSSKIKKTPLRKILSSQTPSPPSSEQRFDIVNILLAIPNWADKNQERRMKTKRLLYKPEDWVQHRSSLRHIRHLLSSLNSRVVLSLVPPVIAFTSVAIVVASYNSAVLLHWLPEVFPVLRASSLPYQLTAPALALLLVFRTEASYSRYEEGRKAWTKVISGTNDFARQVISTVDLSTDVLVKNALLQYIMAFPVALKCHVIHGSDIAQDLQTLIEPEDLSVIVSSTHRPRCIIDFISQTLQMLNLDESKLTVLESKISCFYEGIGICEQLIGIPIPLSYTRLTSRFLVLWHFSLPIILWDDCNWIVVPATFISAASLFCIEEVGVLIEEPFPMLPLDELLDLLQNNIQEAMENELMIRQIITKRKNRSRKPSPNGQPTT >KZM98437 pep chromosome:ASM162521v1:4:23979709:23980059:1 gene:DCAR_014201 transcript:KZM98437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKKKAQGATITLEIYNQNPSTPTKFDTTLYYSMGICYRQQRGKFGVVSSTNEEGKHVELIPQMERCLVKEIDTDGEIRSLCIYTRAPTTFVSISLQFFHLILYWFFIGCISTP >KZM98649 pep chromosome:ASM162521v1:4:25769858:25774173:-1 gene:DCAR_013989 transcript:KZM98649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTIFQTVPISPIPACNKPLFSPELPLSPSRVSFFRPFRAPIKLRIGRIVVRASSSAPVVDDDAIKGLERCLASDSAASSSSGVLYGPVMKGGQYGAFSGATTLEKSKLDLTQKQTKSSPELAIGGGGGNIGKSINFGGGDGGDDNGDDDDYFDDFDEDDDGDEGGLFRRRMVLPELFDRELIKAVLNEWQKTMIDLPAGIRQACEMGLVSTAQMVKYLAINARPTATRAISRTLPQGLSRGFIGRMIADPAFLHRLLFEQASTFGCSVWWEFKNRKERIKQEWDLALINVLTVTACNAIVVWTLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFDMQKRIHSFFYKAAELCMVGLTAGATQGALSNLVASKKEGRLSVTIPSVSTNALGYGAFLGLYANLRYQLLCGFDKAMVQHFDVIGVALVFSTALRLLNTQLGETSRLAWLGVEVDPLALSENRLKAYSRPSEAVDQASSSKWFISKNPIVSGLGLLGIKQGLQSDSVPEGEAPPPKPRRKRVVRKKVSTT >KZM99317 pep chromosome:ASM162521v1:4:31056312:31059348:1 gene:DCAR_013321 transcript:KZM99317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNQEEIAKETALVLKEINNDNKQNQDSTADADANAADPSSQSSTCAVSNNNEDENDHHPYAFHVSGPRNVSSPSWREIIKSSWKNGNYKRTVIACFIQAVYLLELDRQENKTEENALAPKWWIPFKYKLSQTLIDERDGSIYGAILEWDRSAALADFILIRPSGAPRAVLVLRGTLLKSQTIRRDIQDDLRFLAWESLKGSVRFNGALEALKLIADKYGGGNVCVAGHSLGAGFALQVGKALAKQGVFVETHLFNPPSVSLAMSLKNIGEKAGFAWKRLKSMLPSSNETPAISEVGQNASIPSSGLKNWVPHLYVNNSDYICCYYTDPAGGGNQEVENKENAGPKNGQAAAKLFVLSKGKQKFLEAHGLEQWWGDDLELQQALSNSKLISKQLKSLYTLPAAPKQTQAK >KZM99310 pep chromosome:ASM162521v1:4:31002450:31003175:1 gene:DCAR_013328 transcript:KZM99310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKRLRFLWMQYEVVLKVDEDKCNMLDLVIEFEDEGKKSGAKLDYKYPVFSYVYNMKHVKLLNDNDLMSMFDRLSKKKVIDIYVGVQDNPNPLYELVLQLREQNNAEVGKMMDNHVNDMLENENEVGDIVEDVNNVDNMVEDCKNQGNEGVDSDSDSEYIGESEFDEENGHSEKSVDDEASVHSAGSEDDDKMLFDRNNNGEEIVEKYGVGGNATIIFEDDKYASDDDDRVLLEEIEEGG >KZM97659 pep chromosome:ASM162521v1:4:16626618:16629292:1 gene:DCAR_014979 transcript:KZM97659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTGSSSINSRRRLISRRRHPPSPPTQPPHQEITASQYVFAAAIPLPSQNSNSNNPPQSYQYPGYHQAPPAPYDHHHRPPHVDPTNANWVGGRYPCGYAMPAVAPYVEHQKALTIRNNVNLKKDTLRVETDEDDPGKFLVTFTFDATVAGSITVIFFTKEDEDCNLTPMKKSIYAPVTVHFEQGLDQKFRQPSGTGIDFSACEDAELVNDSELEIYPLVVKAETSPSNQRGFADGNHEAGASNSQITLAMFEKDRGGYKVRVLKQILWVNGMRYELQEIYGIGNSVDGDLDGNDRGKECVICLSEPPDTTVLPCRHMCMCSGCAKVLRCQTNRCPICRQPIERLLEIKVNGETEASKDGTLP >KZM98258 pep chromosome:ASM162521v1:4:22319202:22322236:1 gene:DCAR_014380 transcript:KZM98258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISTSSSSSSSKSWIVHSLLVGAAMAVAAGAYLRRGNRFRSRVVGIIPARYASSRFEGKPLVEILGKPMIQRTWERANLATMLDHVVVATDDEKIATCCRGFGADVIMTSESCRNGTERCNEALQKLKKKYDVVVNIQGDEPLIEPEIIDGIVKALQAAPDAVFSTAVTSLAPEDAFDPNRVKCVVDNSGYAIYFSRGLIPYNKSGKVNPKFPYLLHLGIQSFDTKFLKIYPELPPTPLQLEEDLEQLKVLENGYKMKVIKVDHNAHGVDTPEDVEKIERYMRERNLS >KZM98081 pep chromosome:ASM162521v1:4:20942180:20942437:-1 gene:DCAR_014557 transcript:KZM98081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIPKGSFAVMVGRGEEQQKFIIPVMYIYHPLFTQLLKEAEEEYGFNHQGVISIPCHVHHFRNVQSLIDKEHAHHHHLWCFRAL >KZM98396 pep chromosome:ASM162521v1:4:23604020:23604946:-1 gene:DCAR_014242 transcript:KZM98396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRKEFRRTTSLTEITVNLTAVKPSQNEQKKKSDEGESSVESPREYTRMRRRSINIPTPQNYYDNPVSFLTTCGLCQRRLPPARDIFMYRGDTAYCSVECREEQMEDDERKESITQSPPPSLAKDDDDVFSLQILDHSPAACSRSSDPAAAKPGTFAAA >KZM98730 pep chromosome:ASM162521v1:4:26457379:26462649:1 gene:DCAR_013908 transcript:KZM98730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESSCWKKPARHRKDQHHQVQTQPYSSNGATTTAAGITAAVTDGGVPAFSEFSLSDLKAATNNFSSEFIVSESGEKAPNVVYKGRLQNRRWIAIKKFSKMAWPDPKQFADEAWGVGKLRYKRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWETQTIEWAMRLRVAFYIADALNHCSAEGRPLYHDLNAYRVLFDENGDPRLSCFGFMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGNFSTEEATIVFDLASRCLQYEPRERPNTKDLFETLEPLQNKSDVSSYVMLGIPKHEEAPPTPQHPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDLAFRDKDFRTAIDCYSQFIDVGTMVSPTVFARRSLCYLLCDQPDAALRDGMQAQCVYPDWSTAFYVQAVALAKLNMQKDAADMLNEAATLEEKRQQRR >KZM96951 pep chromosome:ASM162521v1:4:9063126:9063317:-1 gene:DCAR_015687 transcript:KZM96951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLLGILGCTESSSSARVNDKENVKEKDPSLSSNYKSKSKPSGSKAPIPVSNFPVNSRHSFL >KZM99354 pep chromosome:ASM162521v1:4:31391184:31395584:-1 gene:DCAR_013284 transcript:KZM99354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGLKNIAVSLVLALCVGWAWRVLNWLWLRPRKMDKYLREQGFHGNSYRFLYGDLKENSEMTRNALSKPINLSDDVVMRASPFIHHTVQKYGRNSFIWNGPTPRLLITDPELIKEAMTKHYIFKKPMQNPTVRSFSHGMASMNGDEWAQHKKLLTPAFHLHKLKRVMEIVGLRNIAVSLVLALFVGWAWRVLNWVWLRPRKMEKCLRKQGFDGNSYRLLYGDSKDNSGMIRNALSKPINLSDDVVQRASPFIHHTVEKYGKTIIH >KZM98814 pep chromosome:ASM162521v1:4:27081544:27083454:-1 gene:DCAR_013824 transcript:KZM98814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYENYDPYFPDQPVVDRYLPVWANLPAFRSKPAFVWAENGPYTVTQSSILTYEQLNNSAQCISSQLLLSLHRGDTVVMLCSPGLEFVEVLFGCQRAGLLGVPIIPPNPSFTNNDHHHLVRVLSQTKPKAAIAHSDYITRVRKYISSQPSTNERLCKLLQNILWISTTELKEKKVNSGLSFSTYNGCKQDNVYLIQYTSGATGIPKPVLVTAGAAAHNVRVARKAYDLHPNSVITSWLPQYHDCGLMFLLLTIVSGATSVLISPSAFIRRPRLWLELISEFKATCTPVPSFTLPLVVKRGGIEKGIPINLWSMKKLIIINEPLYKASVDEFVQVFTPLGLNPLSISPSYGLAENCTFVSTAWRSGDNANHFPTYKSLLPSARLDLSARGEKEDIEVMIVNEETCEPVVDGFEGEIWIASPSNGSGYLDHPAMTREIFQGRLKDKVGRCFVRTGDRGVVKGEERFLFVTGRSSDVIELQESGREIHPHYIETAAYNSCPNLLRAGCLAAFKVSKGTIAVVAEMQTSEVKTETLRALSEEIRRGVMKDEKVEVGMVVLVKSGNVPKTTSGKIQRWLAKDKLLGGGMNVVLQIKFKDNDKTESRSRKTNFSESEGKTIGKETVDNQTDGEFPPSFHSSL >KZM99772 pep chromosome:ASM162521v1:4:34697420:34699002:-1 gene:DCAR_012866 transcript:KZM99772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQAAGQTKFRALKHENGIAGGATIIVRVIACFQPLQDCQAEYFRQLLKPVTTVNSCQYSSVVNLRAYSFNFLSGGFGWMEEGIGSWYGHQQSNGQSPNLNILNAQYGLGQQTTVPSYINLCSNFTSTTGVLPMHGFYGMPQVKDSQPNEPRGWFYCLPRFRQAFTPVLNSASPYKNCGDSVPFDTQSPPKMSLDTQSASKRFLVFDQSGDQTTLIFGSGINSPVSNMPSLRPKPSNAFTLYKEELESKRDASNPSMQFSPKRYVDQDYETDVKSEMHEDSEELDALLYSDDEDDYSEDEEEASTGHSPDTTPFGKRVWSDESGEEVASSAMPTKKQKLSGEGHNVPSLVDTASSFKSDKCIEYEHDAESNCGNSNNMLGESDSVSHQQSKIDKIRKTISILESIIPDGKGKDSIAVIDDAIHYLRSLKDKARVLGLDGQ >KZM96681 pep chromosome:ASM162521v1:4:3844833:3847195:-1 gene:DCAR_015957 transcript:KZM96681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPLMKLIRLKGVPILQQLHLEERLLRTSPHNWCIINDGTNLPHIVMGISGKPAELLEINSVLRDKVPVIRRFSGGGTVIVDTGTIFVTFICNKDDVSEVQPYPRPIMSWNSLLYSKVFQGVGDFVLRENDYAFGNRKFGENAQSITKNRWIHHTSFLWDYEINNMAYLKLPKRAPEYRLESLGFHMLHERIYAKDKFHHKTVDALQGEFSVETTDLEAVESPPNTKFIHSSKILTKRELEEAALG >KZM97001 pep chromosome:ASM162521v1:4:9654685:9655536:-1 gene:DCAR_015637 transcript:KZM97001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEFKFSDYDAGKVDDDDHVSDWEIGLPTADDLTPLSQPLIPPELASAFSISPEPYRTTLDVNRASQNTLSGLRGQYSKYFDTFDTEKFREFDGDETDVTDSRKSRRIDAEEADSRKKARLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQMMNVEGLTRENVASHLQKYRLYLKRMHGLSNEGPSASDHLFASTPVPRSLNEGNVSGNGNVIGNGNGRVPVQVPMAYGGAPMVPMPMYGHMGMGMGMGMPQAGGYPGFEYSGMMQNKFGSVNSYQRITPSDK >KZM99803 pep chromosome:ASM162521v1:4:34997725:35002416:1 gene:DCAR_012835 transcript:KZM99803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEIRKQPFYTEKLENGDSDKNFDDDGRVKRTGTLLTASAHIITAVIGSGVLSLAWAIAQLGWVTGTAVLLIFSVITYFTSTLLADSYRSPDPVTGKRNYTYMDVVRSHLGGYKVQLCGLAQYGNLIGVTIGYTITASISMVAVMRSNCFHNKGTRDHKECSDSSYPFMSVFAIIQIILSQIPNFHKLSWLSILAAVMSFAYSSIGLALSIAKVAGGAHPRTTLTGTTVGVEVTGSEKIWRTFQSIGDIAFAYNYSTVLIEIQDTLRSPPSENKVMKKASLAGVSTTTMFYMLCGCLGYAAFGNKAPGNFLTGFGFYEPFWLIDFANICIAIHLIGAYQVFAQPIFGFVESRCSKRWPESQFIAAEHPIPIPFCGVLHINFFRMVWRTAYVIITSVIAMLLPFFNDFLGLIGAAAFYPLTVYFPIEMYIARAKIPKFSFTWVWLKILSWVCLIVSIVAAAGSIEGLITDLKTYKPFKNVED >KZM98514 pep chromosome:ASM162521v1:4:24611675:24615947:1 gene:DCAR_014124 transcript:KZM98514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEAVLANGILPENEEKPAVFTGKSVSGFHSILTMVLYLCKHVCGYFPVTLHVEDMNAFDPNQAYVGILGPTGDDNEHFANLLRIRWIELKWNYIIEIGLMMPSLFYAVFGYEPHSVLPIGSVSLFDVMGLMPIPKTKVLASTAVFYAPIMRHIWTWLGMIPASRKNFLSHLSSGDSCIVVPGGVQEMFYMEHGSEVAFLKSRRGFVRIAMEKGCPIVPVFGFGQTEVYKWWRPNGNLFLQIGRAIRAAPIIFWGICGTHLPFRKPIHVVVGKPIKFEKNPQPTMEEVAEVQNQYIEAIRDLFERHKASVGHADLQLRIL >KZM98648 pep chromosome:ASM162521v1:4:25767472:25768281:-1 gene:DCAR_013990 transcript:KZM98648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTPSYWLVHHPSISQFQWNQGQTWGSTPLFLALTLLTYLSLTYSLSTHISLTLPKSLLRLFSAFHNLLLSLLSLIMALGCSLSILHQMPNYSWIVCFPPNETLPRGPVFFWANVFYFSKILEFLDTLLIILGGSNDRRRLSFLHVYHHTVVVVMCYLWLATSQSLFPVALITNATVHVIMYSYYLLCALGKRPRWKRAVTDCQIVQFVFSFCVSGVMLYHHFWRGGCCGIWGWCFNAVFNASLLALFLNFHSKNYQAKKNEQLLKNK >KZM97416 pep chromosome:ASM162521v1:4:14480961:14481464:1 gene:DCAR_015222 transcript:KZM97416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKINISSILLIAIIIVSVVYATNARVFVDEKLGVSQKFDRVGIDNPGPSPRGKGHGAPPSIQKFYEMSTDNFGSSLDVRGHGNPPFSQEFDLVNIDKSGPSPGGGHGGPPSSRKFNEINTDNSGSNLDSRGYGNSPFAQEFDLATIDNSDPSRDGIGHGAPPST >KZM98740 pep chromosome:ASM162521v1:4:26535956:26542471:-1 gene:DCAR_013898 transcript:KZM98740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTAATTDPLNGHDKSVTDSLPAGNDLKLLIDAVRSLPHPALKHLLSLKVCVRCIFRIFLVHECLYSCAKLSPSLLYSNIENGSESEGELNINEDYVCRICLGILQFVYYDQQNVLVKSDSARDFALMIADAVKKEGHLVDSFSLEVSLPPLISENENLVWSIIKKKYHSEEWYQKIVKSELISTKDVFKLSLTSPLESLMGVKSGLSSFRIRLTYTNLDASKNGKSTAEKIQCNKRRKTDGVDSNSIVPTADTENSNVGASEEHGSLESFPAKGLENHENPDCHLEKVNQPCHLTFACSRAPMYIGGRYLKYSRNVSQSRWIIDDERMGEASVEEIIGGNILPTCLGDGYKFHAAGREDIDVRMLGSGRPFLIEIQNARLAPSDVSICEIESRINCHESRHVMVKNLKVLGSEAWNLMREGEAEKQAYFYPVHFMQKQYVALVWISRVLKDDDLETISSFKDMYTFFFFILQKLLQKTPVRVLHRRSPLEREKIIHWMKIEKIAASSQYFLLHLCTQAGTYIKEFVHGDLGRTYPSIGSLLGCRAEILQLDVTDVKMDCFLAEKIQ >KZM97540 pep chromosome:ASM162521v1:4:15642254:15642508:1 gene:DCAR_015098 transcript:KZM97540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSWPEKSWIMALVCGDHGFVGGRGVEKGAVVGEFDGGDGALVSGESVWESVGLYCGFLWYCHVFVMWRWKSQLSDPGSNTGA >KZM98771 pep chromosome:ASM162521v1:4:26780656:26784633:1 gene:DCAR_013867 transcript:KZM98771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTPDVRRAKPSRSSSNVTAQSGSDFKKLRKDKVRRLSFVDRLCDKTPDSEMPCVQSDDEEDEYHPCVTKSKRIKLPRKFFDDCQKVDHSSVPRKLRSAIRKRRRESISPHFPEVRRLNLSANGIELQPKESEGSPGLASVMPVSKDEEEVAETLNALAEMFSDSDKIEMAKSTAPEVEKDLRSPFTTTAPGAAQHSSNTVGSADEAERIQFSYRRTEADMHRYIPKFNCTKVPILSKSSSANENCSSFPSHSQLSREIGLKRPAHNLNMANEIYKELADESVSTFKGQNEVRLGTRENRNNGSALWPGLSSTSVHSAKTLGSPVKLSAAKVPTWLGISTSTARSCSSRNVAISEKVYKHCAMEFQSVVDEKKMRKKCSTHVYISRLIRVLKVTEAKDSLPLQPTRLTAHDESKKGAISEEKKLQMIKEGSAGVVFTSDIACSGGEDSPSKLSSAIVLHKRLRDDLQGSTNSGPYTTHKQSSNFFAALPGSSGVEHESAGQVNGHRPEPSTESNIPFLYPFTQSCRTNPLSLPQNCQLSTSLVDSAAAGAAPKIQLPPYLSNTKSVTSSLMSLQNQQQHQQWLWAAQLTAPRKPEEFAAAASHVPNWQNSRQEFSHMQYGQLIHPAALEVLGPRYIPVPTQQQHLMSSTSLFPQSRVQRYNHQLSTKHG >KZM97030 pep chromosome:ASM162521v1:4:9936338:9939055:1 gene:DCAR_015608 transcript:KZM97030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQKLIPLKRHRFSNPNPIPITSTSPTEHNDDNFISILNDIVRGKQSWNTQLNNTYISSYLKPHHVEKVLIQTLDDSRLALRFFNFLGLHRNFNHSTTSFCILIHSLAVSNLYWPASSIIHTLFVKCSEARMLFDCFWYAYNACGFSSSVGFDLLIQAYLSNRRVLDGVRIVRWMGECGLVPEVRTISGVLNGLVRVRRFDLAVAVFEEVVGLGVRADAYMYNAVIRALCELKDFEGVDRLVCRMERSGCELSVVTYNVLIHGLSQSGRGVEGVQVKSSLGEKGLEADVITYCTLVLGLCRVKEFDSARELMKEMVGLGFVPSEAAVSSLVEGLRKNGDVDGAYDVISVAGRLGAVSNLFVYNALINSLCKDGRLNEAGVLYRDMYLKGLFPNDITYSIFIDAFSRRGKMDAALVFFAKMNVAGVKSTVYPYNSLISGHCNFGKISTAVTFLSKMINKGLVPCVVTYTALISGYCKDKQVHKAFRLYHEMTGKGISPNTYTFTALISGLCRANMMEEASNLFDEMRQIVVPNNVTYNVMIEGYCREGNTVKAFTLLDEMTEKGLVPDTYTYRSLISGLCLTGRVSEAKEFMDDLHKEQKQLNEICFSTLLHGYCKEGRVGDALHACSEMVKRGIPMDVVCYAVLIYGVLKLNDTPKMLEVLKVMHLVGLRPDNIIYTNMIDALCKGGDLQKAFRCWKVMLEEGCLPNIVTFTVIINGLCQAGLADKAEILCNEMLVSKYIPNHVTYGCFLDNLTKEGYMDRALQLHNAMLKGFLANTITYNMLIRGFCKLGRVQEASQMLIEMTSKYILPDCISYSTIIYEFCKRGDLKVAIRMWNSMLEKGLQPDLLAYKYLIHGCCVTGELTKAYELRDDMTKRGIKPNHSIYNTIVQGICSNGYKQLNGGE >KZM98090 pep chromosome:ASM162521v1:4:21011072:21012682:-1 gene:DCAR_014548 transcript:KZM98090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNLSSHFAATLSSPNSPRFQFNAPISFSIRSQQRRVSHSRKDSGGSRGRNSPGVWRRRKLTKKDENMQYSMERTPFLEEQVRKIREGGEIMAMDIERLLLSEENRFAFVNGVAAEAKEYVEKNRDDYGGEKKAIFHVLSNRMNDAGIYRPEAYVVEDPFKPGPGYLREEL >KZM96905 pep chromosome:ASM162521v1:4:8565050:8565265:-1 gene:DCAR_015733 transcript:KZM96905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIRIRERVCAMGDDTLSSIMMARGDNRDRELLIPVAHSPTSSGDDDETSKPSSPTVASSHLSNREVYFSL >KZM99688 pep chromosome:ASM162521v1:4:34030594:34032736:1 gene:DCAR_012950 transcript:KZM99688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSSPSMIVEEEGRFEAEVADVQAWWNSERFKLTHRPYSARDVVSLRGHLKQSYASDELAKKLWRTLKTHQANGTSSRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPFVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGTETVLVARTDAVAATLIQSNIDTRDHQFILGVTNPNLRGKGLATVLAEAMAAGKTGPELQAIEDKWLSMAQLKTFSDCVVDAIKNLNVGEGEKRRRMSEWMSCSGYEKCLSNYQGREIAERLGLENLFWDWDLPRTREGFYRFQGSVMAAIVRGWAFAPHCDIIWMETSSPDLVECTKFAQGVKSVTPETMLAYNLSPSFNWDASGMTDQQMMDFIPRIAKLGYCWQFITLAGFHADALIVDTFAKDFARRGMLAYVEKIQREERNNGVDTLAHQKWSGANYYDKVLRTVQGGITSTAAMGKGVTEDQFGQTWTRPGATNMGDGAMVNAKSRMHVVADVAL >KZM97559 pep chromosome:ASM162521v1:4:15820908:15821747:1 gene:DCAR_015079 transcript:KZM97559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNQAAVISLLTKMGQAAFGLGLAATAVNSSLYTVDGGQRAVLMDRIRGVLDKPVGEGTHFLIPFLQTPNIFDIRTKPHTFSSVSGTKDLQMVNLTLRVLSRPEESKLPVIYKELGTEYDEKVLPSIGNEILKSVVAQFNADQLLTERPKVSALVRDSLTTRAKDFNILLDDVAITHLSYGNEFSRAVEQKQVAQQEAERSKYVVAKADQERRAAIIRAEGESESAKLISDATKSSGMGLIELRKIEAQREIAATMARNSGVSYLPDGNSNLLLGLSR >KZM97679 pep chromosome:ASM162521v1:4:16803738:16808872:-1 gene:DCAR_014959 transcript:KZM97679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKLQSGINAIEEEPEDSEASFPDKERSSLACMINSEIGVVLAVMRRNVRWGSRYTSGDDQLEHTLIQSLKALRRKIFSWQHEWYTINPIVYLQPFLDVIRSDETGAPITGVALSSLYKILSLDVLDLNTVNVEDAMHLVIDAVTSCRFEVTDPASEEVVLMKILQVLMACMKSKASVMLSNQHVCTIVNTCFRVVHQAGTKGELLQRIARHGMHELVRCIFSHLRDVDNTDTSLVKGGTSTLQEVGGLHSNYSTGSKQSENDNSTSDYNTQTSSGSFASNTSAGMVGVTGETIVTSSNGKDIDTYDFHLMTEPYGIPCMVEIFQFLCSLLDVVEHMGMGPKANTIAFDEDVPFFALGLINAAIELGGSSIGRHPRLLGLVQDKLFCYLMQFGLSMSPLILSMVCSIVLNLYQNLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKAFMVEMYANLDCDITCSNVFEDIANLLCKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNMSAGSEPSPVHLEEYTPFWMVKCDNYNDPNHWVPFIRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAWTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPLILANKDAALLLSYSIIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPRDFLIELYHSICKNEIRTTPEQGAGFPEMTPSRWIDLMNKAKKTAPFIISDSKAHLDRDMFAIMSGPTIAAISVVFDHAELDDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPTFAEEPVLAFGDDSKARMATITVFTIANRYGDFIRAGWRNILDCILKLHKLGLLPARVASDAADDSEHTAEPGHGKPPTNSLSSAHLQSVGTPRRSSGLMGRFSQLLSLDTEEPRLQPTEQQLAAHQRTLQTIQKCHIDNIFTESKFLQSDSLLHLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHISNIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVNRLVKANATHIRSPMGWRTITSLLSFTARHPEASEAGFDALMFIMSEGAHLVLANYVLCVDAARQFAESRVGQVDRSVLALDLMAGSVSCLARWAQEAKDVMGEEATKASHDIGEMWLRLVQGLRKVCLDQREEVRNRALLSLRMCLTGVDDVNLPYGLWLQCFDMVIFTVLDDLLEIAQGHSQKDYRNMEGTLVHALKLLSKVFLQLLHDLSQLTTFCKLWLGVLSRMEKYLKVKVRGKKSEKLQELVPELLKNTLIVMKTKGVLVQRSALGGDSLWELTWLHVNNIAPTLQSEVFSDQDSGQSWPNLGEVGENCVPSESVSVPHKTMVKN >KZM98366 pep chromosome:ASM162521v1:4:23305504:23308256:-1 gene:DCAR_014272 transcript:KZM98366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLMTPENMRMGSFARRVYDVKDADVVFVPFFATLSAELQLGVKKSVFRKKVDNEDYDRQREVVDFVMNTEAWKRSGGRDHVFVLTDPVAMWHIKAEIAPAILLVVDFGGWYKLDSKASNGSSSDMVQHTQVSLLKDVIVPYTHLLPRLDISENQKRDTLLYFKGAKHRHRGGLVREKLWDILANEHRVIMEEGFPNATGKEQSIKGMRTSEFCLHPAGDTPTSCRIFDAIQSLCIPVIISDDIVLPFEGMIDYSEFSVFIAVSDALQPKWLIQHLRGYSNPQKEKFRQNMAQVQSFFVYDNGHPGGIGPVAPNGAVNNIWKKVHQKLPVIKEAIVRDRRKPPGISVPLRCHCT >KZM98741 pep chromosome:ASM162521v1:4:26555579:26558793:-1 gene:DCAR_013897 transcript:KZM98741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQGVSSGNNNNNNEVVVKISGQSSPEAELTSKVSGQSPANKMWRDSSYDFTNDTSSDFDFHTESPASQPSPLSRIVESPTHQQARAPFNENLRRRSGLGGGNGNGNGNNSDEVVTCSSNSSFRRKSSLLRMKTKSRLMDPPEMDGRSQRVVKSGVIGKGSELDEDDPFLEDDLPEEYKRMKFSKWSVLQFFSLILIIAALVCSLTINFFKKKHLFGLELWKWYLMVLVLICGRLVSGWGIRVVVFFVERNFMLRKRVLYFVYGLRSAVQNCIWLALVLIAWQLIFDKRVEKATDRKVLPYVTRIWVCLLVGTLVWLVKTLLVKVLASSFHVSTFFDRIQESLFNQYVIQTLSGQPLVEIQQEKEEEERVMVEVEKFQSAGASMPAELKATIFPKSGKVIGTAANTPRKSSVAGKSPVCSLVFRYIHEEDLMRFMQEDEASKTIRLFEGASEGKGISRRALKNWVVNAFRERRALALSLNDTKTAVNKLHQMLNIFVGIMVVVIWLLILKVATTHFFVFISSQLLLVVFVFGNTCKTTFEAIIFLFVMHPFDVGDRCEVDGVQMVVEEMNILTTIFLRYDNQKIMYPNSVLATKPISNFYRSPDMGDAIDFCIHISTPVEKVATMKERITRYIENRTDHWYPAPLIVLRDLDDMNRLKISIWLSHRMNFQDMGERWVRRALLVEEMIKVCRELDIEYRMLPVDVNVRNMPAVTSTRVPSNWLACGN >KZM97282 pep chromosome:ASM162521v1:4:13083858:13085856:1 gene:DCAR_015356 transcript:KZM97282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSSPMATNLQNPLLESSPKPQKFKKSFVASFMEATTLRSPSFKEDTYYLTHLKSSERKALQELKQKLQESHDQVSMWGIQLLSGEEKADVIFLKFLRARDFRVQESFQMLVKCLEWRKDFEADGIVEEELNFKELEGIVAYMNGYDREGHPVCYNAYGMFRDKDMYERIFGDDEKLQKFLRWRIQVLERGIKLLHFKPGGVNSIIQIFINVPWYFSVLYSVFSPFLTQRTKSKFVISKEGNVAETLYKYIRPENVPTQYGGLSRPSDLENGPAKPASEFTVKGGEKVNIQIEGIEAGATITWDIVVGGWEVEYSVEFVPSAEGSYTIAVEKPRKVAATEEAVFNSYTSKEAGKIVLSVDNTASRKRKVAAYRYVVQKSKGY >KZM97698 pep chromosome:ASM162521v1:4:17043489:17043821:1 gene:DCAR_014940 transcript:KZM97698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLGFILVLMLVAIADTNIVTEARNVKLWKTNVVEQDPRQYDHMLPKGPVPPSGPSHGNNPPLERSYGLPDVLPSQHFHIHVLPKGDHHPPPGPSHGSTPDPPGPPPNL >KZM98012 pep chromosome:ASM162521v1:4:20367466:20369472:1 gene:DCAR_014626 transcript:KZM98012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLLLLLIHICLSFSIFQALPDSDESPTAGGDISFWCQTTPHPEPCKYFLGHQFDIRPQNRTHFRQLMFQVTLDRAQHAQNYTAALESQCRSRRKRAAWNDCNKLYRDTIFQLNRTLEGTKTNATGLNCSSFDAQTWLSAALTNLETCRSGSVELNVSKFIAPIIGNNVSEMISNSLAVNEGFLTPRNDTNNGDQEFPSWFTSGDRRLLQSSSWSSRANVVVAKDRSGRFSSVQAAINYAATAKRGNARFIIYVKRGVYAENIAVANNLNNIMLVGDGLRYTIITGSRSVARGFTTYSSATAGIDGSGFIARGITFRNTAGPQNGQAVALRSASDLSVYYACGFEGYQDTLLVHAQRQFYKLCYIYGTIDFIFGNAAVVFQNCLIYVRRPLHGQVNVITAQGRADPYQNTGISIQFSRIMAAPDLVPVLQTTRTYLGRPWQQYSRTVLIKTYIDSLISPQGWLAWQNSNFAWDTLYYGEYRNIGPGSSTRNRVKWKGYRVISSANEASRFTVANLIAGRTWLGSTGVPFYSGL >KZM99280 pep chromosome:ASM162521v1:4:30785113:30786555:-1 gene:DCAR_013358 transcript:KZM99280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSNHIFLLLSFVCAATLFSGVESKTKDNLSKGFTVTPDSSVTSFQPLLTDSNANFSLGLLRVNRTQLALVILHLPSSQQLWIARTSRLPRWSPSTHVFFNGSLVISDQHSRVLWSTNTDGDRVYLSNTSNLQINGPDSILWQSFHFPSDTLLENQNFTSNMTLNSSNGLYYMHLGYNFIGLYAKFTPHSGQDQIYYKRKAMEIKAKIVKGQGPIYASVRSDGFLGMYQNETAPIDIQSFSSYQQYNPGNRILKIESDGNLKGYYWTGSNWILDYEAVSEFCELPRSCGAYGLCHPSKGCSCLNNETDFSSGKCEAPENNSGDFCSLRNSKFKILRKSGVELPYKELMEYEKMNTLEQCERSCKESCKCWGAVYSNSSGFCYRIEYPIQTLVSVGDDSKVGYFKVRESAGKSKMAIGLVIGAVLLCGVILVFAWIGVTWRRKRRASRAYVEGDSGVVGVGPYKDLASESFGSIELSERR >KZM97003 pep chromosome:ASM162521v1:4:9679428:9679613:-1 gene:DCAR_015635 transcript:KZM97003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRPCSFDENTGRKMLACPDRWSGCPYMKVIEPPLESRDVAVVQGIGDERLEAHTPNEKL >KZM99251 pep chromosome:ASM162521v1:4:30539688:30543561:1 gene:DCAR_013387 transcript:KZM99251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISSCWTLTASKSRSQSAIKPFRFKYYDQSQISLFLRNKSRIGFSTSRGSSGSVIRASVDFSPLSVRPGGIIETDKLPSDVRKRTMDAVDECGGRVTIGDVASKAGINLNQAQKALQALAADTNGFLEVSDEGDVLYVFPKDYRSKLATKSLRIKLEPFLEKAKSGAEYIVRVSFGTALIASIVIVYTTIIAIVSSRSDEDNRGRRGGRSYDSGFNFYLNPADLFWYWDPYYNRRRQVRADNDGMNFIESVFSFVFGDGDPNMGIEEERWKLIGEYISSNGGVITAEELAPYLDVENTKEMNDDSYILPVLLQFDGLPEIDDEGNILYRFPSLQRTASSQKIGKKEYVGRRWAEWVGGVDRFFREKKWQFSKTSSSEKAMVIGLGGLNLFGVVILGTMLK >KZM97442 pep chromosome:ASM162521v1:4:14726377:14743272:-1 gene:DCAR_015196 transcript:KZM97442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSSPARSSGSSRLHHLGSISKRRPSPAKKPPEPLRRAVAASLSLSHSSETLRILRDYLAAHTTTDLAYSLIIEHTLAERERRYKPSEETLLLIDCFCANIICECDISPNSKFSPWSRSLSQCGPSGTSSKISLMPLSSFASGELVKSLKYVRSLVAQVPKRSFQAASMTGTPTALRQLPPSLSSLLSKSFSSHINPENVKESSELKESSAASRLNSSITETVKVKEDYEFIASDISKWRWQGHQQTSFDHLLNMQGVSKHSFLEAGAASLLVEDMEAKMKGQPWRIFGTVGMPYFDKLLQTSLLTTTNTASALSHMRAITASKRSKTASSQIWQEDTLVSTYRPRPRPLFHYRHYSEQPPLKLNSVEVCEVIAAVCSEAPSPAANLMTMSSKLSDRNGKPSMDVATSILIKLVIDMYIMDSASAAPLTLSMLEEILSTSRLASKARAFDLILNLGVHAQLLEPWVADNDSTTEKYFQQPYLDSLAQLANHGTVMPDYSKIGNSTAVDKFESWILGILYELLLHLVQMQEKEEFIWASALSCLLYFVCSRGEIRRSRLVGLDIRVIQVLIQVSRNNSWAEIVHCKLICMLTNMFYVLADGLAPNLASPRILVEQIDLIGGIGFVYMEFVLANLRADRKNLYMVLFDYVLHQINGECLASGVPEYSDDESQVIATLLTLADAPEALHISVKLGVEGVGDLLKNSVAAALSRYANCDRLCMLLEKIMEKFDTLVRSFTNLDTEFSHLRHISKSYNYLESIEDGFVREDFCMKAKLAWATLHSLLHSERIAYRHNGYLWLGDLLAAEINDKKDAIWSNVKTLQQKIALAGVNDYSTNLDVPLSIWLFCGLLKSKNSLVRWGFLYVLDRLLTRCKFLLDERKNQHLNKEVVEQLQDKSCLEKANAVLDIMSTALSLVADINETDHLNILKMCYILFSQLCLVVLPSNSVSCGNDDAKSGMSCEGATNEDTKNKFGIDNDTLIGETASMAALLLRGQAVVPMQLVARVPAALFHWPLIQLASAATDNIALGVSVGSKGRGNIPGATSDIRAALILLLVGKCTAVPTAFEEVGGDDCFRELLNDPDSRRMMTEEPESYQRRLSSLIRRAQQSNNEKLLENPYLQMQGILQLSN >KZM99450 pep chromosome:ASM162521v1:4:32160177:32160518:1 gene:DCAR_013188 transcript:KZM99450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNGRPLKRMKRRVTADVNDFFTFPSGESPVDGPFRNSVKAFVSKYALLPPPSSLLPQLMTRQIVFRVGDSAESNSDGDDLATVCLDVVEENVARSRSVYCDQCRVVGEFLN >KZM97766 pep chromosome:ASM162521v1:4:17629770:17629934:-1 gene:DCAR_014872 transcript:KZM97766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGKYANFNELKMIDIKSRRIDVESHRLRKIFRKSSKILYILVGFYKLQATKS >KZM97987 pep chromosome:ASM162521v1:4:20121045:20125291:1 gene:DCAR_014651 transcript:KZM97987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRITATRRPCCGRRVVAKKRQRSGVDGFVNSVKKLQRREICSKRDRSFSMSNAQERFRNIHLQEEYDTHDPKGHSSMVLPFLKKRSKVIEIVSAQDIVFALVQSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRTTRIEYIRRGKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLLEGTAGSINISNILTGKCLAKIKATNSLPPEDCSCSSKCSGIQCKSSKRIRASRIRSTVADALEDITALFYDEERNEIYTDFMMATQLVKFAHRPGVRATFSKKSGVEVDSKLFCV >KZM97811 pep chromosome:ASM162521v1:4:18056719:18062868:1 gene:DCAR_014827 transcript:KZM97811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWDEGAVYYSDQAQFPRGAGDPEQAASRHTVLRKFKEFIRNFAHQNQPNVFPYRESLVQNPKFLLVNLSDLLNYDKDQDLRDLLRKNPADYLPLFETAAAEVLASLRSRVAGETGEMEEPETGEVQIFLTSEEDPVSMRLLGAQYISKLVKISGITIAASRTKAKATYVTLLCKNCKNVKVIPCRPGLGGAIVPRSCDHVPQAGEEPCPIDPWIVVPDKSKYVDQQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTIMGIYSIFQAANSSSSHKGAVAVRQPYIRVVGIEQTSEANSRGPTNFSVDEIEEFKKFASEGDPYEKVCSKIAPSIFGHVDVKKAVACLLFGGSRKVLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIQDSSSREFYLEGGAMVLADGGVVCIDEFDKMRAEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYSQDKNIASHIIKLHASANAVTGDTKTSKEDNWLKRYIQYCRTVCRPRLSDSAATLLQESYVKIRQDMRRQANENGETAAVPITVRQLEAIVRLSESLAKMQLSHVANDNHVMEAIRLFNNATMDAAKSGINQQINLTPEMANDIKKAETQIKRRMGIGSHISERRLIDELARMGINESIVRRALIIMHQRDEVEYKRERRVILRKA >KZM97720 pep chromosome:ASM162521v1:4:17217652:17225039:1 gene:DCAR_014918 transcript:KZM97720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLKIAGAWSGVLEVELETWSVSMLRAQVAQRSGCGPDSINLICAGKLLKDGDESLSQLGVKNNGKIMATRVAADQGKALMVENQKAMAEEERSSRLARIKAAAMSLAKRHADGSLPMEDFNLELENQSGEKVQLGTENDQRAIMTGLMLHASAKQLIKKQQYEDALEVLSMGEEAFSICDSKLIELVDNVPILQIDMVWCYFMLRDMSWLSLAGVRLAKARVGIERSHGKESSRVRILQGGRCPELPLYLRMDLLEGVVAYHSGQLQKSKDFLASAQAKYLRLQVPDELLSLLMSMGYKQNDAKRALRMSGQNVESAVDLLVDLKEKKMRKREDDRRRQREIMEQKRYGITPLRKAVDLQRLSELESIGFEKTLAAEALRRNENDSQKALDDLTNPETNAAIQLNVESRRRKRLHQEPDAVIEKLVSMGFSTPMDAALNHLLSLHNNPNGSAAASSNPANPANPVPNISGENAPGSHPSVESHTSDASNGETSTLYQEEERDVEMEDELTEELQRGDALSDYDIEVTKEGEAINEYLALIATAEENTEKVPSSE >KZM97275 pep chromosome:ASM162521v1:4:12989808:12991111:1 gene:DCAR_015363 transcript:KZM97275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKELEASKYKAENDLQVLVEEQTKFNTSTSHSSQDLIRMNMVDASITKTKKDLQDLAKEQEIVQMKASLMTQELRLEELEGSKTELEKALQTCVEKQKYLEMKAYLLSLREKVRSGIILTPFTCLKKSAIALLEQGNKKISMMSAQLHAQIDEHAVTSTNLTPVYGTETSTPKMCTRLDVQPDDEPGVVMLMTVNLSAEYADQTSTSR >KZM96902 pep chromosome:ASM162521v1:4:8536439:8536765:1 gene:DCAR_015736 transcript:KZM96902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCNVQILHIITLPEQHPLHNRIFVFFGLSVVGEEFAELRDDEGGGGAGTEDHAGFDVVDGLVGGEFFEVVLGESWGRWGGSGEGDEGGRGGCVGSERGGGRLTVER >KZM99027 pep chromosome:ASM162521v1:4:28837448:28840596:1 gene:DCAR_013611 transcript:KZM99027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKQQALFISSLIILWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMTACAVLSYVSIIFLKVVPFQEIKSRSQLLRISSLSVVFCASVVGGNISLRYLPVSFNQAVGATTPFFTAMFAYFMTLKREAWVTYAALVPVVAGVVIASGGEPSFHLYGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLVLLPATLMMEPDVLDVTLSLGVKHKFMWLLLLANSVMAYAANLTNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTFIGIAGYTMTVMGVAAYGETKRRYK >KZM99121 pep chromosome:ASM162521v1:4:29591636:29591869:1 gene:DCAR_013517 transcript:KZM99121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KZM97784 pep chromosome:ASM162521v1:4:17788517:17790589:-1 gene:DCAR_014854 transcript:KZM97784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASPSELTLHCKPHNTYSMLLKSSGAEQATATNDQTQTQKLEDFLSCLEKECNEIEAFKRELPLCMQLLNNAMETFRQELQTCSASQGQRPVAEELVMKVQSSSDCSEMTSNMSDNKSNWMNSAQLWSQTNDTTKQPTTPSKETHDVPKLRLDAKQRNGGGFLPFSNERISRPCTIGALPDLALVSVDKEIKDKKWVERNQGKRAISEDPQSISTNNGFTSTTSPQTHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHTRRPSPNPQSAGPAASQLVVLGGIWLPSEYAAAHHGGAPPLYGLHPAHVKSQHYIVPQEYYSAVAPQAQGHHQLHNHTLHHQLHIYNQPSPQIHGSPESDARGNTTDQSERMKDRKSDKEALVPGEDGEESNESDITLKF >KZM97187 pep chromosome:ASM162521v1:4:12021686:12023745:1 gene:DCAR_015451 transcript:KZM97187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWVRVLIFVASVLPLLVQSEVRKYKFDVVMRNTNRLCSSKPIVTVNNRFPGPTLFAREGDTVLVNVVNQVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLLWHAHILWLRVTVHGAIVIHPKLGVPYPFPKPHREIVVVLGEWWKSDTENVINQALKSGLAPNVSDAHTINGLPGSVSGCASQNAFQLSVDSGKSYMLRIINAALNEELFFKIAGHKLTVVEVDATYVKPFKTDTILIAPGQTTNVIVDADQNSGKYLVAVAPFMDSPIAVDNVTATATLHYTGTLANAPTTFTKPPPQNATPVAENFIQSLRSLNSKTFPAQVPLTVDHSLFFTVGLGVNPCPSCKAGNGSRVVANINNVTFVMPTTALLQAHYFKTKGVFTTDFPANPPFPYNYTGTPPTNLGTTSGTKLYRLPYNATVQVVLQDTSIISPENHPIHLHGFNFFAVGRGVGNFNPKKDPQNFNLVDPVERNTVGVPSGGWTAIRFRADNPGVWFLHCPLEVHTTWGLKMAFLVDNGKGPNESILPPPQDLPKC >KZM99559 pep chromosome:ASM162521v1:4:33035324:33049450:1 gene:DCAR_013079 transcript:KZM99559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFLAQFQSIKNSCDRIIVAVEDVSDLWPLVKKSFEERLPLKGAVLNNKTRNPVFLQSLPVEYILTTDARIRSRFPHELSLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSRAPPHNDQATKMAKKVYARLEVDFNSKKRERCCKLDLLAPEANFWEDMETKVLESIRNTLDRRIQFYEDEIRKLSEQRFMPVWNFCNFFILKESLAFMFEMAHLHEDSLREYDELELCYLETVNMVGKQRDFGGMDRGDDQAALLSPGNKPLTQIVQNDSFRAFEFRQYLFACQAKLLFKLNRPYEVASRGYAFIMSFSKELVLHEKVLPFCAREVWMITACLSLIDATASRYSDVSVAPDTEKEFHRVQGDLYSLCRTKFMRLAYLIGHGPDIERSPANSASLSMLPWPKPAVWPSIPADASSEVLEKEKTILHATPKMKHFGIQRKALPLEPSLLLREANRRRASLSVGNALEMFDGQSFMDGSDSELRRAPAPKVNAVTMSRTNSSLGIFESTIDRPMRLSEIHVAAEHALKKTISDPDLWKSLSSLEEFEKKYLQLSKGAADNYHHSWWKRHGVVLDGEIAAVWYKNGNYDLAAKSYEKVCALYSGEGWQDLLAEVLPNLADCQRILNDQAGYLSSCVRLLSLDKGLFLPKERQAFQSEVILLAHSEMENPVPLDVSSLITFSSNPGPPMEICDGDPGTLCVTVWSGFPDDINLEALSLTLTDTHNADEGVKARKSSGATILKPGKNAITLSLPPQKPGSYVLGVLTGHIGHLIFRSHNFSRGGPAESDDLMSYEKPTRPILKVLEPRPLVDLVPAVSSALLLNEFQWMGIIVKPINYSLKGAILHIDPGPGLVIEETHNIEMERYDNAGENQLKSTRSEDSAGDVHFKQLTLQNSRLELPEWASNITSVLWIPMRAISDELVRATSEGVDNLQRQSLVDGLRTIALKLDFGVSLNQTFERHFFIHSKTIAVHFTEPFHVSTRVSDKCNDGTLLLQVILHSQVKATYTIYDAWMDLQDGFAHTGQRDGRPASAFFPLVISPTSKAGILFSICLSNMNPEDEPKQPQEDSILNIKYEISGNRNVGAHSPVALPHSGVENATEHLTFRCAVGLQRPVLDPCLAVGFLPLPSSGLRVGQLVAMKWRVERLKFFEDSALSENKQNEVLYEVSANSENWMIAGRKRGYADLSKDEGSRIVVSVLCVPLVAGYVRPPQLGLPDVSKTTISCNPPGPHLVCVLPPPLSSSFCIPA >KZM99645 pep chromosome:ASM162521v1:4:33710914:33713837:1 gene:DCAR_012993 transcript:KZM99645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPALLSCTTNADASKVMDGGFDGDDDSTVINWFESVAEKAGEVQTETLCRILRLNYGVEYLKKWLGDIDVEDLDDCALEALYTCLVPLASHADLESYIHRIADGDKSPLLTQQPITTLSLSSGTTDGRQKYVPFTRHSSRTTLQIFRLAAAYRSRVYPIKEGGRILEFIYSSKQKQTEGGLKTGTATTHYFASKEFKTKQEKTKCYTCSPEAVISSGDYKQSTYCHLLLGLFYSNQVEFIASTFSYSIVQAFTSLEEHWRDLCSDIKHGSLNSRIVTIPKTRKAVLDIISPNPRLASRIETICEELEREGWYGLITKLWPNAKYVYSIMTGSMQAYHKKLQHFAKDLPLVSADYGSTESWIGVNIDPSAPPENVTFAVIPTFSYYEFIPLHRYNRECSCNTSATDDNGYKEGEPVPLSRVKAGQQYEIVLTTFTGKYRLGDVVEVAGFHKGTPKLNFVCRRKLILAINIDKNTEKDLQVVVDKGAQLLMRKAKAELVDFTSHANVDTQPGHYVIYWEIKGDVDKGVFEECCREMDASFVDPGYIVSRKTSSIGPLELCILERGTFMKILEYFIGNGGALSQFKTPRCTSDQGLLAILNACTIQRFYSAEYGSE >KZM99084 pep chromosome:ASM162521v1:4:29305497:29306081:-1 gene:DCAR_013554 transcript:KZM99084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAKNAAASAKESAANMAATAKSGMEKTKATLQEKGERMTAHDPLEKDMATQKKEERINQAEWNKQEAKELNAANKQATAATGTGTGAHSYSTTGVTGQPAGTHQMSAVPGHGTGQPAGQTVQGVVGSHPIGNRTGTNTGTGHTTVGHNTRAGGGAADYGTGGAFR >KZM98745 pep chromosome:ASM162521v1:4:26600612:26603223:-1 gene:DCAR_013893 transcript:KZM98745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAFTSASSSSATSAIVSAPSYLHFFSPKSPDHPPSTRKFLRFSTSPKNNGNESEFRSDSNDSSLVPILRNQTMSQDAAMGLVLSAANIGGWTTGSGMEGPPVPAGSDSESKTDKINTFPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVNPSFNYRDPNGDVSFKFLDMDDNDDIFPLA >KZM97491 pep chromosome:ASM162521v1:4:15210137:15213219:-1 gene:DCAR_015147 transcript:KZM97491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISIIIAILTLSLIIPENSAQDDFEKYKACRGIVAACGKARRGLEYPFWGENILPRHCGLEGFELSCETKDLVVNIGADSKYRVVDIDPTTSVLTLNHYYDILGNICSSVVIDNPLLNNRLYDYGRNTEDLDLFYHCDNGTQTTWIQLSSVARTTLPVNTAVLEKFINKRIQPKELFERSFEIQYNRINEKACSGCVATAGLCWRGTYLGKSSTCLYENGTILSPYPQSATGAVGGSLILLLIFIFYCRIRAKHGSSLFTQNMSSYSTDVEAFIEQYRSSIPKRFQYSTVKRITNSFRDELGRGGYGNVYRGSLADGRLVAVKVLNATRGNGEEFINEVASIGRTSHVNVVTLLGFCYEGKKRALIYEFMANGSLEKFIFGTTPLTEGQHLGWEKLYNIAMGISRGLEYLHRGCNTHILHFDIKPHNILLDEDFCPKISDFGLAKLYTRKESAVSSLVQARGTIGYIAPEVFSRNFGPVSHKSDVYSYGMLILEMVGGRKNVDAQADHTSEIYYPRWLYKRIQSKDVLNLADEISVEENEVARKMVIVGLWCIQIYPSQRPSIGKVIEMLEGKIEALDIPPNPYLCSVPTSPSHTQI >KZM98823 pep chromosome:ASM162521v1:4:27143467:27156802:1 gene:DCAR_013815 transcript:KZM98823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIVQRNSMMGLIKQDSDTGTPRIGDSRKRKSRSTKTKSVAETLAMWRDYNKKLDALNASAKPIRNFHAKGSKKGCMKGKGGPDNTRSNFRGVRQRTWGKWVAEIREPGGGSRLWLGTFPNAVEAALAYDEAATAMYGAGARLNLPDFSSYKEESGGSAAPSMSCADSVLTTTTSNRTGACIDTDPKVGIADLEMKCSDVEGESKAPAMEMKCSDVEGESKASETQNYRSPAVVEAMTEVKDERVEIKKEQEDNNDIFNIDKGLLLGEMFDVDELLQSLNTYTLPDQASNTEWFYNNDHDIGHFLQDDELIANPSDLSIQQQYPDIKPDDMFNEQTGYNQDLEFLLPGRPEDSNFSPDELKLLGMDANSGRSMIVSPKAVSDSQNSQTCLDPEASPSVLGIILGGGDGTRLYPLLKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASSLSSYKNEGLVEVLAAQQSPENPNWFQNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYEMGSLQPRNDSLISTVPTDRKMPALDTAPNRPSDSSIVENFVLRSIMNRIFRSVKIVLFSDKINLLIPFGPLAIVVQNSSNQQGWVFILSLLGIIPLAERLGWATECLYSLLDFRSIRLLYMLCVLFLSFLALQGLVGGLLNATFGNATELIISMYAMKNGMLRVIQQSLLGSILSNMLLVLGCAFFSGGIVHHNKEQVFNKGTALVNSGLLMMAVMGLLFPAVLHFTHTELHAGKSELALSRFSSCVMLIAYGAYLYFQLTSQNNMYSPINEEDGNQDGNLVDEEAPRISRWESIVWLFVLTVWISALSEYLVNAIEGASVSLNMPIAFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSTQIAMFGIPFCVVVGWILGYPVDLNFQLFETATLFMSVIVVAFMLQEGTSNYLKGLMLLLCYLIVAASFFVHKDPKSVGEFLQCSIVYRGQIMLGLTK >KZM98817 pep chromosome:ASM162521v1:4:27096185:27102607:1 gene:DCAR_013821 transcript:KZM98817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETAATSSFKIILGSSSVARRKILADMGYEFTVMSADIDEKGIRMENPEELVMALAEAKASAVVSKLRTIDNQAKDDKQTLVIASDTVCDYVFGEYFLISEELKEEAITPELAIGDHKKNAEPTLLLTCDQVVVYEGIIREKPSNKEEARQFIKGYSGARAATVSSVLVTNLSTGFKKGDWDRVEIYFHDIPDHIIDNLIEEGTVLYVAGGLIIEHPLVLPYIKNVVGTTDSVMGLPKALTERLIREAL >KZM97259 pep chromosome:ASM162521v1:4:12896381:12897446:-1 gene:DCAR_015379 transcript:KZM97259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSISVAPVKVQELSVYEMNERDRSSPAFLKLSQKSTNSLGDLVPFTNKIYTGDLQKRIGITAGLCILIQNVPEKKGDRYEAVYSFYFGDYGHITVQGQYLTYADTYLAVTGGTGIFEGVSGQVKLQQLIFPFKLFYTFYLKGIPDLPQELLGQAVAPSPTVEPTAAAKACEPGSTIANYTQ >KZM98940 pep chromosome:ASM162521v1:4:28172415:28174213:1 gene:DCAR_013698 transcript:KZM98940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGPIVVNLEGEEEVQLDLPPGFRFHPTDEEIITHYLTHKVVNPSFTATAIGQVDLNKCEPWDLPKKAKMGEKEWYFFCQRDRKYPTGMRTNRATETGYWKATGKDKEIYRKAKGQMSSGGLVGMKKTLVFYKGRAPKGEKSNWVMHEFRLDGQFSYHNFPNKSVAKDEWVVCKVFHKNATMMNKKDSVTDSFVVEGVEGSPSLSSLMDSPYNSYVSNANYNAIKHEEGSKGTIFAPSFPAASDGKFANQMQQHSYMTPNTNHQSTQITYFQNYDQMAPNSILAYTQNANVPYQGSSSMGNYLQQQRFSSVASNGQCKVEPYSSNLSRSQDTGLSTDLTAEISSRQEVERSKPTYNADHVERASAGQLEDYDSFWNFQV >KZM97026 pep chromosome:ASM162521v1:4:9877277:9878138:-1 gene:DCAR_015612 transcript:KZM97026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDHRCIGGALKADSGQELQKLINNIDNLVRGKTLPQKGKLSRSVLDAGPLLETLLVAGPVPKWRNPPPLDTLSIPPFTGKGGYVKNFN >KZM99145 pep chromosome:ASM162521v1:4:29766672:29769227:-1 gene:DCAR_013493 transcript:KZM99145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSEAMCEVKDPAIKLFGKIIALPKSDSPATLHRKSDATPQIPVTSDPKNCGKEEISSVSEIDEQNQIHNKDKTPLGIKLKVDKTEITDTREEKLLKKPDKILPCPRCTSLETKFCYFNNYNVNQPRHFCRKCQRYWTDGGNMRKVAVGAGRRKNKQLGAQYLQVLAPRVTVQCAIDKNPDIVDRHVIPGNDSLKTLVTSAENDKFHKFDSEKPQCETMGVLATKEWMTCANKSSIEEIKEEQSQCGSSLTSVQDDETLQKVFRDEQGGLSESCKLLIPRQPIPCFPTPQGGFTWNPRWNSTSPMVAAQFSSEPTIHSNDTKTDKMNWFSAPVMAVPGLCTSTTMQFVPAAYWGLSCWPIPTGNMPLAESGTNCQITGKHPRDEDLIEANKSKKHVIVPKTLRVDDSGEALKSTVWKTLGIEPSVNASLNNRAKSNTN >KZM99368 pep chromosome:ASM162521v1:4:31531192:31531885:1 gene:DCAR_013270 transcript:KZM99368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVVSSKSKGEVKKIRKPKPWKLPQVITQSQLMQMREEFWDTAPHYGGRTEIWEALRAAAEADPDLAQTIVESAGVIVQGADLSICYDERGTNFIPKKVYK >KZM98721 pep chromosome:ASM162521v1:4:26403103:26403330:-1 gene:DCAR_013917 transcript:KZM98721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGMPVKLLHEAVEHVVTVELNSGELYKGTLTDSEHYWNCVLENVTFTAMVLAFLNPSRNIHVQNSCCDGIHRG >KZM98270 pep chromosome:ASM162521v1:4:22424194:22441622:1 gene:DCAR_014368 transcript:KZM98270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEMGSVAENVSGLGERGSMQSCEPSSAVKPDSPGTVVVSLNANENAGEFGSVWSKKKSSRRKKVEKDVDGSGLVIKTGTSGRPSRRCKKMSNEKAPLDLSCVLFAGRRRRSLNKPRLSGWGSLAAIQHFLKQSADADLNQNEPKNSRTAGVSEEDAKRKSDQSRAGSLSSKKEIPTSTSRIRLKVTFGGSFSSKTMPAVVDDHRSSCHSLILSASDVHDDLATQKQVEVLETVDSRCLDPGTSPDSEVINVIPDSQTSEKIAEDDNLNKEICVVSGEVSSSTLPVASTSKGKKKNICCQDINCSIEDKPSSPEIIDSTQVAEQQRHSERTTDNAMLSEAYMSTTTGKIPAKTISSGQCFMEPPLLSSEMPDFGDSAKEGKAFLAGGLCSPLRFQHEDSKKCVPSTKLRDHKNTKSSGSPKGSLECSQMMPPEADSDEQNGDLCNLKAKVKSNHAQAVCKKENYTEAGGHSFIDPGGSKTRCSITPDVTCIKLKGAQDEYVPPRNAWVSCDNCYKWRRIPATLADSIEDRKSSWIMDVVIEYEDKAQKQNLKLKYQDLVLACVYKMDHNKLVTDKDLLFIFERICEDNVDKDFADCSIPQEKSNAEINAELDISDASCEEDAGASLHMIDRLEKKKATVSKQSSWKLIRSNHFLHRTRKTQAIDEIMVCHCKAPLGGRMGCGNGCLNRMLNIECVKGTCPCGQLCSNNQFQKRNYAKLKCFRCGKKGHGLQLLEDVREGQFLIEYVGEVLDMHAYEDRQKEYALKGHRHFYFMTLNGSEVIDACSKGNLGRFINHSCEPNCRTEKGEEVTFDYNYVRVFGAAAKKCVCGSSLCRGYIGGDPSSDEIIVQDDSDEEDLESITVSEDGDDNLANVVSTSNSVDVHVTDLCTVEKDVCEEAATDVEHLKGMSEMHAPYSLSRPRKENHALRTAAGCTGMAPSIEEPMQKPSSADPEQSFVEMDSKVGLASPIQTIDTSMQLDDGERKIMLVFDEIANKSLGTTRSFKSATTKLGRSSIDKADTRRKCKIGVKEDRNVVSASPLIINTSRSPSSFKKGKLQKSDVLVKNVEKGNKLHQLSYKPNKLVDSSSGDPFEAVQVKLNKLLNAEGGISKRKDASKVYLKLLFLTAASGDGGNGEAIQSNRDLSMILDALLKTQSRTVLADIINKNGLQMLHNIMKRCRKEFHKIPILRKLLKVIEYLAMREILTLEHITTGPRCVGVESFRESILSLTEHDDKQVHKIARSFRDKWIPRHLRKNNYMDRESSKMDFHRRASNCNRLSAIHCQSSDLGERRPESVECIKQQERGEKVCREKLDSCSASCSNDCVASETSSGKRKSGWDQPAESGLGIGSPPHKKSRTDPVNVQISKIHPRHGITGVWSNQAAIIYEEKHTNRSVNDSPHPTKTKDVDDNGQNFGGDVPPGFSSPYKDSLVSSSGASTAKGLHIEKCFCPEYQYEGVVGHPQERFISRMPVSFGIPLHAVEQFGRPLAETAENLAVAAGIPFYPYPPLPSYGRDRRSSEYASCSLTVKSDAVVKEEFQNSATYRSDQNTSSTSAAATLDLEHPTAIKQHNSQGTSGSSNCLERKFFRQQRWSNTKFSPPWVRNRLGGGNFGYGPRNGDKFYRPRHWS >KZM98186 pep chromosome:ASM162521v1:4:21772438:21774017:-1 gene:DCAR_014452 transcript:KZM98186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSASLIPQLLIVPCLLLLSSGFLYVSEAQTPPLVSGLSYTFYSTSCPNFESIVRTRLQTEFASDIGLAAGLLRMHFHDCFVQSGGPNYRVPFGRRDSLNFATRNATLANLPPPTANTSAILSSLATKNLTATDAVALSGGHTIGIGHCTSFTGRLYPTQDSTMDQTFANNLKITCPTTNSTNTTNLDIRSPNVFDNKYYVDLMNRQGLFTSDQDLYTDSRTRSIVTGFAVNQSLFYEKFIIGMLKMGMLNVLTGTQGEIRANCSARNPTSSFLSTVVEEGLETLMGF >KZM96780 pep chromosome:ASM162521v1:4:6224628:6226150:-1 gene:DCAR_015858 transcript:KZM96780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKKLPSLPTINLTTENLKSDSSSWIVACDDVRHALEEYGCFIAVYDQFSTELSNAMVNCLQDFFSLPTETKVQNLANNKYFGHVAPNTLFPLYESVGIKAVNTPEGTQSFTHLMWPSGNDHFSGTVLAYAKQASELEQLIKRMVFQSYGVLRSCDSHFTNDSYSYTLRGTKYTSPKQQESNIGLQIHTDKTFLTVLQQNEVNGLEVKTKNGEWIGFENKASSFVIIAGDAFMAWSNGRIHTPYHRVVMNVGSKVRYSITIKSYKNGMIRTPEELVDDDHPLQFQDFDHLGYTLFTNIADQDSPQERFAKFYSAVLGKAIKV >KZM99813 pep chromosome:ASM162521v1:4:35089729:35092478:1 gene:DCAR_012825 transcript:KZM99813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTFEWWAKETHRGTPVVVKMENPNNWAMVQLEGPSDDDFLLPDGTGNSISGNPRSKSRRNKNAKQLTWVLLLKAHKAAGCLTSIATALFSLSAAVRRRVASGRTDSDESESPVVKSRFYSCIKVFLLLSVLLLGFEIVAYFRGWHFGGPDLQLQYLYTLTRPSVVKDAFDLIYSNWVMFRSHYLAPPLQFLANSCIILFLIQSLDRLILCLGCFWIKFKKIKPVLKHGVDDLEAGDGAKGYFPMVLVQIPMCNEREVYQQSIAAVCCLDWPKSKLLIQVLDDSDDPTTQLLIKDEVHKWQHEGANILYRHRVIREGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPIPDFLKQTVPYFKDNEELGLVQTRWSFVNKDENLLTRLQNINLAFHFEVEQQVNGILLNFFGFNGTAGVWRIKALEESGGWMERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSKISLWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEATLPSWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLIEKEEKPLRFNSEPDLNEMREEIEKEIKLEQIASKKRKHNRIYTKELALAFLLLTASARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQVG >KZM97567 pep chromosome:ASM162521v1:4:15867646:15872679:-1 gene:DCAR_015071 transcript:KZM97567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPRGIGASLWNFICFLPYFIGLLLLGLIKGIILCPLICLIMTTGNSAIILGLWPVHCLWTYYCILSTKQFGIVLKLVLCICIIVPLLLWPLISIVGSIIGGAAFGLLSPIFATFDAVGEGKDDVFFHCIYDGTWETVTGCFTIVRDFGDVCYHSYFSIMDDLRGQGNYYEIRLMYIPGAILIGALGILVDYVVISLVAICKFPYSLFKGWHRLFQDCIGREGPFLETICVPIAGLAILLWPLAVVGALLASVLSSIILGAYAAVIVYQESSLWLGLCYIVASLSIYDEYSNDVLDMPEGSCFPRPQYRKKTSNSGGSSREGSLRKPDSFRKVPSRSVSIKTPLVEVKPLELIDNLFKECKRYGEVMVSEGLITMQDIEDAKSNKGNGKAICTGLAAYGILQSLLRSAKYNSPGILLADNATEITPTNRPRDAFFDWFLNPLLIIKEQIKAEHLSPSEEAYLGKLVLLSGNPDRLKNSDLGTPPESERKRAELDGLARRLHGITKSISRYPTYRRRFDSAIKIISEELAKKGENSNKESSRSRTTGRSKSALAKIFSQKSFKGKPTEADIEAQPVGEREILVQ >KZM96701 pep chromosome:ASM162521v1:4:4625815:4626075:-1 gene:DCAR_015937 transcript:KZM96701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLKAEPVIYHKSLAINGLIYLWGNLLYLDPKFLFNPIQIKPVFISDQIHC >KZM97666 pep chromosome:ASM162521v1:4:16698896:16699099:1 gene:DCAR_014972 transcript:KZM97666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEAQGFNPGLIVLLVVGGLLFAFLGGNYALYTYAQKSLPPRKKKPVSKKKLKKEKLKQGVIPPGE >KZM99137 pep chromosome:ASM162521v1:4:29712639:29718586:1 gene:DCAR_013501 transcript:KZM99137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTIRRRLHHRDVDGKKHEHFVSSEADGLNEPLLGRNDYEDKPLEGETLSDILDEEKRKEQLHWMFIFSNLIAQWAQWLSNLLLSSGSLIGRFLPFASGTLSGSANRALLHPLSPLQEARLANLKQRLEVPFDGSCVEHQDALKQLWRLAYPERVLPALKSELWKDMGWQGPDPSTDFRGGGLISLENLIFFAKMYPEAFQNLLHKSDGKRAEWEYPFAVAGINISFMLIQMLDLQSGKPTSLAGLRFLDLLSEDEMAFDNLYCVAFQMLDAQWLAKRASYMQFNEVLKSTRAQLERELALEDTYSVKDLPAYNMLKKQSS >KZM96612 pep chromosome:ASM162521v1:4:2187186:2187808:1 gene:DCAR_016026 transcript:KZM96612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVLPSEKEKEKEKENDENLEERPSDHDEDEAEIDFEAHGPNWLVGRTGRTRKSAKKQPENIGHTDSADLEKMRKEIAAEMDDKMNKKLGKILGRLAEMNPTLNVNVEELCGQTDGSDDEDDGKEGEGSASDKDDDDVGDGDGSANYGDDEIGSDDGDGSA >KZM98087 pep chromosome:ASM162521v1:4:20994680:20998246:-1 gene:DCAR_014551 transcript:KZM98087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELISSPTHFVSSTESPEFTHFTSSLTHSLLIGLDAEWKPVKTHQSTFPTVSLLQIACRLNSDDTESVVFLLDLSTIPLSSIYELLKQVFESPNILKLGFRFKQDLKYLSSTFVSQGCDLGFEKVEPYLDIVSVYGALQNKQKGRELPKQNKSLAAICKDVLGISLSKELQCSDWSCRPLSEEQIQYAAADAHCLLMIFDVLRCKFCKEDIHPYNVKLGLKLIMEQPVTCNVIIKTRFCNALDMVQATITEFPQIIPAIQDSHLSRLSESHKAIDDTVLRIIRRYGDKIVLKLSDRNPKGSKKKGKKQTSSYNKEKRSEVIEEWEGPPPWDMSLGGDGCPKFLCDVMIEGLAKHLRCVGIDAAVPYTKKPNTRDLIEQADKEKRVLLTRDAKLLRHKYLIQNQIYLVKSLLKNDQLVEVIHTFQLNISEDKLMSRCPKCNGRFIQRPLSTEEAIEVAKGFQVIPNCLFNKNVEFWQCKDCNQLYWEGTQYHNAVQKFIDVCKLN >KZM98126 pep chromosome:ASM162521v1:4:21270753:21272697:1 gene:DCAR_014512 transcript:KZM98126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLETTNFVNKVAVNPMNLPLKCSSGNNTRTCPANFYFTGSRKDDDQGVLTPRCPNYFRWIHEDLRPWKETGITLEMVERANRTANFRLVVLDGRAYIETYQKGFQTRDNFTQWGMLQLLRRYPGKVPDLDLMFDCVDWPVIRAAEYAGPDAKAPPPLFRYCGNSETLDIVFPDWTFWGWPETDIGPWETLSKEIIEGNKKTRWKDREPYAYWKGNPKVAEKRKELVKCNVSDQEDWNARIYIKDWDKELEQGITQSGLADQCHHRYKIYIEGSAWSVSQKYILACDSVCLVVTPQYYDFYTRGLLPLQHYWPIRADDKCRSIKFAVEWGNIHEQKAQVIGETAVKFIQEDLHMDYVYDYMFHLFNEYAKLLRYKPTIPEKAVEVCAETMACPEKGLAKKYMTQSLVNAPMDRNPCTMLPPYDHQGLRWYNLGKDNSFKVVRGWEDNYWEVEQNKNNEGQLP >KZM98388 pep chromosome:ASM162521v1:4:23555949:23558235:-1 gene:DCAR_014250 transcript:KZM98388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSKRKGNEFINEFWKVLPAAIKDLTEKGDDYGKTVVSRLVNIWEDRRVFGHGKSIKSVMLGEELPQPLEFSKKRSRSIRIMKRDSRSIKTKLTIGGTTEKIVSAFHLVLNEHTAEDEEMDKCKSVVHRVRKMEKDVEVALTQAKDPKRKTLAKDLEEDENILNQCIDKLKVVEANRLALVSQLRDALNEQESELENVRTQMQVATAQAEEAANMRKQLNDENYVADSKPSTVTPPPVDANAKGQTPKKSAAAIAAEVADRLAASSSSQYIMSSVLSTFAAEEAKKAGFTQPSTTSSSFPSQPNNIVSNTISQPEQSYPVSDPNAFMAAQPLNVQTNTPYHSIMMPQPTMQGHLPNSQTQYISLANAPTQQYMQPSGNIVTSYGYGNGPPMAPGPPPPPPTYMMSPMVPMSQQQPLVMNHHQSALSQQNHIPMPQQPPIPPSYRPLQPPGMVYYALPHQQQ >KZM97077 pep chromosome:ASM162521v1:4:10531705:10535941:1 gene:DCAR_015561 transcript:KZM97077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKKVADVAFKASKSIDWDGMAKMLVSDEARREFYNLRRAFDEVNTTLQTKFSLEPEPVDWEYYRKGIGSRLVDMYKEAYDNVEIPKYVDEVTPEYKPKFDKLLVELKEAEQQSLKESERLEKEIADVQELKKKLSTMTADEYFAKHPELKKKFDDEIRNDYWGY >KZM99313 pep chromosome:ASM162521v1:4:31023716:31025842:1 gene:DCAR_013325 transcript:KZM99313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEEGEVPVSPSGQYLNSDTLSFTILAVLEFEVPVDNLNIIQLIKDMFISTNPRFSSIMIGGRNEVKRWKPVQVQVEDHVKTPEFPPGESPEVYDKCFEEYMSDLAMDPLPQTRPLWEVHVIKNETSNAAGTVILKLHHALGDGYTLIGLLISTFKKADDPSLPLTFPSLRSSSKLNGNSSSIFRVVPRILQGIINTITDFGTSILKKEDDRSPIRSANEGVEIGPRNTTTITFSLDTIKQIKSRLNVTVNDVITGIILYGSRMYMEEESFESRNANSSALVVFNTRNIGRYKSVDEMVKPNANKLWGNQFTLSHVPIPKLSHIGNSSNPLEFIREVHKTMDRKKKSSAVYMTASLIECVRKFRGPEVAAKLIHGTLKDSSVALSNLIGPVEQMALQNHPVKGFYFTVAGVPVSTGIAVVSYMGKLRVAITSEKGYIDADKFKSSILKAFNIVCKITADV >KZM98718 pep chromosome:ASM162521v1:4:26386868:26389220:1 gene:DCAR_013920 transcript:KZM98718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNENRVGDVRPSILLGGFLKTAIQRADVGIGPTRRALSVINLNGGRYAPYEATKRDLRDKNAVCHTNVLQNSMVHRPLTRKFAAQLAAKQHQPLVEDDDIEMADADMDIGAGEKKDPLVEYKDDLYVYYKNVEISFCAPPNYMTGQYDINERMRRILIDWLIEVHDKFNLRPETLYLTVNLIDRFLAVQPIMRQKFQLVGVTAMLIASKYEEISAPAVEDLILISDRAFTRNEVLQMEILMVSKLQFHLSIPTVYVFVKRFLEAGKSGNEMERLAFYMIDLCLVEYQMLKFPPSMLAAAAVFTAQCTLGKAAEWSRLSEKITDYKNHELKECSQLMAGFHRRAAAGKVTSVFRKYNSYKYGYAASAEPAHFLLDPSI >KZM97307 pep chromosome:ASM162521v1:4:13364520:13364771:-1 gene:DCAR_015331 transcript:KZM97307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLEHNPIGIQSCVIFSDSLQLINCIQKIKEGVSWCRSLERVASNTFIQRASFSFIPRHLNKSADWLAKEGTPRNTVLAAWF >KZM99225 pep chromosome:ASM162521v1:4:30374970:30379836:1 gene:DCAR_013413 transcript:KZM99225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVLVCISTGIMRHTFIRDGSPKKRGRPELCLIQEVAEERRAVKREMLLVGTQAGAVSDTRGCRGAQGGEARNAARRDPGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQNSSVMTIGIIAPVCCVQFHSKSRICLPLVVQTTIHTACIFKILNKHGVFAYHRSFSMPITSHKFSLADDDDGRFVSAVCSQKQSEMLVAANSVGSIKLLHMV >KZM97504 pep chromosome:ASM162521v1:4:15326416:15330092:-1 gene:DCAR_015134 transcript:KZM97504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPSLSLKSVPNLSVDPKSFDQWAVAFCIIRFDLEQGQLIEDCYPPGCLSQEEELEVAFNSFPDSVSQHHNRSSIHDCIFFFRIKRRTSGVVLETSSKGRGKNTRYLYGFVFNRQRHDERLKRGGEQKSVVILSHSPYSSVFRPLLQIMGPLFFDIGKKALDNVASFVSKWPNPLPGQLMDLPIGNATLKVNLPPAHSLPLDGEVLFEESASSMAPLLPTNQSVPQGLFHDSDLFGTFKGLLLQLWVLWELLLIGEPILIIAPTPPQCCEAVASLVSLVAPLLLSVDFRPYFTIHDPAFAQLNSLQEGDVFPPMILGVTNLFFLKSLRNIPHTVSVGSPAPNSSRPSLAPRASIGSVPARLDAFGLQQSLKKFSPSSLLNAVKLRRDGPLCLMTEHKEAFWTTYTAITKPDTSVLNRLVDAGLSPRVEESMSVVNNEILRRHFLELTTNFLAPFGRYFRAFAPSEGVSPFVDPPPLPQFNDDDFLGSLSARGPGKFLSKRMRSNWLELYRRFLRGHNFRPWFERKRTVAEQEQHKLWRQARIKSDIYQYINRMSELEVVDSFNATERHLIQEEQASMLSGNADEDSLATCQKLRGDLKAVFNILPKDMHQLLLMNPERAALLQDTLEEGLREHPSAQAGLRNSSTPPR >KZM97660 pep chromosome:ASM162521v1:4:16632165:16635191:1 gene:DCAR_014978 transcript:KZM97660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRHEVNTSQMFETDSNHGWQYTDDSYAHFALAGGTANESLLHPAENMLTDNPNFFPPWIPSSGLDRYHPSSHTVEGPSHQPTSFGPSRDPYPHSFASGSFFMVSENHGHHTSNHSGQMFHEGGSTSSYGVSSFDLSVSSELRRDKPNVELHHTPWDNFTFRPICTGNSVTTSDEDSFTNVRSGADLVLGTSLARTHLSNNPSQHSMSSEQFGQNSATPATEWNQTIISTAPHDTTIFNRETNRYVMEGGYPSAPPHTGGYDIDLVAHRNTGTPVPQQRQGTLPQPVQGNQTSFTHQSIPAFRSSSTSLHVAYLDESSPLVTESYSSRYARPSPDEGWHNSNQNGQTRFLSERRRPLSSEGGFYNRFAQGLALADQSRLYGSRTSLDQHRDMRLDVDEMSYEELLALGERIGSVNTGLSEDLIRMCVTQSIYCSSDITEEEGSCAICLEEYKNMDDVGMLKACLHNFHVGCIRKWLLLKNICPICKSSVVADRVKDK >KZM96864 pep chromosome:ASM162521v1:4:7946878:7950138:1 gene:DCAR_015774 transcript:KZM96864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLNAMSSGIIDSEANGSALANKKVTVIFVLGGPGSGKGTQCANIVQHFGYTHLSAGDLLRAEMKSGSENGEMIQNMIKEGKIVPSEVTIKLLEKAMLDNGNDKFLIDGFPRNEENRAAFEAVGREDDNIETIKKRFKVYMESSLPVIEYYNAKGKVRKIDAAKPVEEVFEAVKACFTPVAAS >KZM97473 pep chromosome:ASM162521v1:4:15024927:15027860:-1 gene:DCAR_015165 transcript:KZM97473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGEQISSSSLIKPLINGNDAADEHLKGVITKQDIVEEVKKQLWLAGPLICVSLLQSCLQLISVMFVGHLGELALSGASMATSFASVTGFSLLMGMSCAMETFCGQSYGAKQYHMLGIHMQRAMFVLLLVSVPLAFIWANTGIILKALGQDPAISAEAGRYAQYMIPCLFAYALLQCHVRFLQTQNIVFPMMVSSGITTLLHIFLCWILVFKSGLGSRGAALANSISYWINVVLLALYVRFSSSCAKTWTGFSKESLQNIPTFIRLAVPSAVMVCLEMWSFEMLVLLSGLLPNPQLETSVLSVSLNTAANCWMIPFGLSASVSTRVSNELGAGHPQTARLAVYVVFVMAIAEGIMVGVLLLLIRNIWGYAYSNEVEVVRYVAIMIPILATSNFFDGIQCVLSGVVRGCGFQKIGAYINLGAYYLVGLPCGVLFAFVLHVGGQGLWWGILCALVVQVFFLLVVTARINWNEEAKKAEHRVHDSAIPVEFIS >KZM99290 pep chromosome:ASM162521v1:4:30859390:30861311:1 gene:DCAR_013348 transcript:KZM99290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNSCWRELEGKAVSTTCGHLLCTEDAGRILSSDAACPICDQVLSKSLMRPVDVNPNDEWINMAMAGISPQILMKSAYRSVMFYIGQKELEMQFKMNRIVAQCRQKCEAMQEKFTEKLEQVHTAYQKMAKKCQMMEQEMESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRCEYESVKRTAIQPANNFYSGTEPDMFASPANMMHNRDPLRKDWPPYTPDTPGPREDIWPSAARQNSSNSGPFDVSAGSPVKQSAGVPDFSNRRGINRTAFGAGAGSGAANPSMTLRNLIISPIKRPQLSRSRPQMFT >KZM99203 pep chromosome:ASM162521v1:4:30179565:30182700:-1 gene:DCAR_013435 transcript:KZM99203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGFTVQQALTAEAASVIKQAVTLARRRGHAQVTPLHVASTMLSSPTGLLRTACLQSQSHSHPLQCKALELCFNVALNRLPASSSSPMLSPPHSHHPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTLVKTNVEQTVSLELCSQNQEVSNNKSTNEDNTLLVLSQETAGAKVGKEKGNHDQVRGEDVMCVIESLMSKKRKSLVIVGENVANIEGVVRAVKDKVDKGDVPEALRQVKFISLPLYSFENLSRGKVEQKLEDLRSMRSFVDKGLVLYLGDLKWITEYRESYFGGQGRNYYCPVEHMIIELGRLAYGIGESGKFWFMGIASFQTYMKCKTGYPSLESVWRLHPLTVPAGALALSLIPDSDVQKDCESKQSENGSRWLDRSEGGEEKQLSCCADCTAQFEIEVRNTRKTTCRGESTSSSLPSWLRDECKRLSSHDQDDSALSVKDLCKKWNIFCSTFHKTLTHSSAISPSSSTSCFSYDRQNPNLHNTSQKIWPVFEPRESQFMDHQSWPSVSNSKTCDSSLRMYIPDQNGRFSNPNSNPNSASSSDIMEVEYVTRFKEFNTENLNTICNALEKKVPWQKDIIHEIAATILQCRSGMLRRKDKSFTDQAKEETWFFFQGVDAHAKEKVARELARVVFGSSHSNFVTIALSSFSSTRADSTEDLRNKRTRDEQSCSYIERFAEAMSFNPHRVFFVEDIEQADYGSQMGMKRAIESGRIRNSSGEEVSLADSIVILSCESFSSRSRACSPPIKQKTQGVTEQEIKIPESEDQTTSPSLSLDLNMSFADDVDDEGAADMSIDDIGLLQSVDRLIVFKIQEL >KZM99827 pep chromosome:ASM162521v1:4:35196585:35197016:-1 gene:DCAR_012811 transcript:KZM99827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGTAFKIDKQDIAEPATSRKLKGLSASRDKMSDGQLNLEDYGPNDPAPSSKASVRPGPIQHGTPLMPYIPKPSPAAPPAPPAPGHPKLGALP >KZM96656 pep chromosome:ASM162521v1:4:3072502:3075193:-1 gene:DCAR_015982 transcript:KZM96656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESTSHSSHVSSPMSHFHHHQRGSAEFQQELNEISSTSRHPIDPAAAISEEAAVNIARVESSMHSGSSSLHLLLDPLWAKEDFHSLRDPEGWKGKQPSRPQGGLIDFSIIEDEPHPLSKEEADAQIEQMVKARRKGKHIDLSSESSDTPRDASLGEAYYDLGSSDDDSDPSWREGDPFPEYKDEFTTPEATSAELEEVTRSIDLCNYEYVPDPSEVEYVTTPQPELEGAITLGPLSDDTSSSSKPQSPRILACRDLSTSLSAEELVELVDSYRLRGRVVLPRPHQRCYRFNFPENGGRIPRLVLSSHLVRLGITSPLHPFIKDVCDFYHLAPLQINPNAYRSIIALYIIYGEEGFGTLDARTLGYFLQLKRSPKKDFGYVYFSVWPEYNGKSLVFGAPSNAGPWKGPFFYVYDVPRTARAHQAAPKGPREATLKGARVPKSPKASKAREQAKGKRHRDDIMSRSNSRGPPPFTAQGSTKFGVPITKEPQEKPPGLMADARKGFNLDEPSKRQRVDQAFAKWGHSHVSEQEIVSWTTCTREENNAALTKCLAEAWIRQASYNKENKHSASQLDRLDKENKVLKYKQLEHVNELTKLSEAHKEELKRETGSLRDELREARKWVAELEKEEGSLVKERVGLVKEVAALKAEKETVVAEALVEGQVTFMKSFMRQLPDFDWGQLGPATRDYAEELHLEMEAEAAKLAAGSGHDQSSNAQNDP >KZM97708 pep chromosome:ASM162521v1:4:17102100:17102438:1 gene:DCAR_014930 transcript:KZM97708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGASSDGLFRGIYDGCISGHDMDISNRPYHRNCGCALHKMRRNCTHALPKSKVSYPIRRAGSENSLALMVSGQSSPATPPANGEIGRTNSSSSLLSFYEDSADPYNKPGN >KZM99475 pep chromosome:ASM162521v1:4:32372995:32373288:1 gene:DCAR_013163 transcript:KZM99475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRAPLIFIYLVLICCITPRTESRKTFRNVMVATSVPKLDHKDPMVSSSLPKMTVPPSAPSGKTHAKNLVSRRLIGYFARIHRTMQSAPSPGVGH >KZM99793 pep chromosome:ASM162521v1:4:34915082:34915714:1 gene:DCAR_012845 transcript:KZM99793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIAKVSRLSSLVTFLLLVFVSLDLPSKTRASSSYSPAPLPTKQPSPPPRSIHHIKPPASHSPPPRVPYFPYYHKNLSPPPPTPVYKYNSPPPSPPMHLPRPIVPYFPNYYHGPPPPPYAYKRPPPLPPPPPVHSRPIVPYFPYYYHGPPSPPKYKSKSLPPSTPIHSPPQNYHHSPRPTVPYFPFYYLGPPPPPPRHKYKSPTPPKHH >KZM98466 pep chromosome:ASM162521v1:4:24215923:24217351:-1 gene:DCAR_014172 transcript:KZM98466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCCDKIGLKQGPWSAEEDKKLINFVLNNENKYQCCWRAVPEHAGLLRCGKSCRLRWTNYLRPDLKRGVLSEHEEKLIIDLHSQLGNKWSKIASHMPGRTDNEIKNLWHTHIKKKLGKMGTNPLAHKPRPSDHLPADSNIHQNHDAQPSEESREVNEQDKLQENEGMSLLEESIVTNTDDHPLTERIAMETNDAFSIDEVPIVEPGEIFIPDSDLPFSYSSASSTTDISVSTICSIPDDLDFVPSFEDWLTSDLGQSSGDNMGFLDDDDDFFDWDWLFNVFDIDQIDEVFQSSPDLTVPTQSNNQICSK >KZM99171 pep chromosome:ASM162521v1:4:29955531:29960626:-1 gene:DCAR_013467 transcript:KZM99171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPFPSTIILASTAPSCTLLSLFSCILLVSLFSLHLHHHVSPLSQQHHKSLSLDALTASFLSSSNNNTISDYLHSLTLHPHLAGTQPSLSTALLVLSHFISLNLSAHLSNYTTLLSYPLHSSLSSHSNSTTTHFSLPEPHSNDVVSPYHAYSPSGSVYSTAVFVNYGRDKDYNALEALGVSVKGCVAIVRRGGDMSRNAVVTKAAKNGVAAVVMYTEAEANYKNGVERGTVMDGMGDPLTPGWASVADAERLGFDDPDVVKRFPAIPSMPVSSETAEEIMRTLEGGRVPEEWKGSLKSFVKGIGPGPTKLNFTYKGEKKLATIYNVFAVIRGSEEPDRYVLLGNHRDAWTYGAVDPNSGTATLLDIARRYSILKNSGWNPRRTIVLCSWDAEEFGMVGSTEWVEQNLATLDSKAVAYINVDCAVQGPGLFAGATPQLDDLLVEVTKKVKDPDSEYATVYENWASSNGGVYIGRLDRVDSDFAPFLHHAGVPSIDLYYGKDFPVYHTAFDSYNWMRNFGDPFFQRHMAVAGIWGLLALHLADDPIIPFNYLSYASQLKDYTSILSNLLEVSISLYPITAAIQEFEAAAKKAEDEAKKLREHETNGYNMPLKKRALNDRLMLAERGFLDTEGIQGSRWFRHLVYGPHRVGESRLSYFPSIVKAIFQSAGLPGGQAEIQHEIWRVARGIQRAAYLLNGEINIKL >KZM99442 pep chromosome:ASM162521v1:4:32077316:32079700:1 gene:DCAR_013196 transcript:KZM99442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSSRLGRASSRYGGSAPVFTGPVRKWKKQWVHVSSPVSHSRSHHHHHNNNAPSLLLCRWTPVSATTDKPVKPEQELPRRKFRYAPIVGVDDLKKEVDKRGKDESVTSKNSQSSPRETARKGGIFRKQDIDSLFMEVSQASRNDQDDNSDGEDQ >KZM96886 pep chromosome:ASM162521v1:4:8271663:8271875:-1 gene:DCAR_015752 transcript:KZM96886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSFCLIVVWEMCVGGECYVAGLCSEMHVDVVYKLFVKISKGSVCSLMDKCDKLGIIEEEAGELFKCPL >KZM97050 pep chromosome:ASM162521v1:4:10106618:10109616:-1 gene:DCAR_015588 transcript:KZM97050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLILLLLLALCNLSKSDDVKIYVDCGSVLGNTDAYGNIWQGDLLLTQDGVSQTVQSSNADSDPILDTLRVFTTGIKNCYYFTVNNGERVFVRASFNYGNYDQKSSPPTFDLMFDGNFWTTVETSNDEVVTYELTYVVRSDVISVCVGQTEKDQFPFISALSVHSMDSDVYRSADSSYALLLQSRVAYGADAVIRSATDYDRIWTPAVLGNGIINFTDLSLDFKVDSPPLEVLQNAITTESTSDRLILASGFPSNGASVYISMYFSEPTEVTKKRSFLFTVDNQEDSSSSIVPPYNDVDHQTATVDVSANTTLSLVATTGSELPPLINAMELFYLSKDKLTNGTDADDVGALASLLKAIGAAPDYSDPCLPASFAWGWLECSDDATPRVTALYLDSSGLTGLLPDLSAMTGLKTIDAHNNSFTGVIPDYLGTLPNLKELNLADNLLTGSIPTSISKNKNIKLNVTGNSGLCTSGKCDDATTTTTPSTPGFPTIEGYSPSTGKKKNKKTPVILGTTIPSGLLASAIISFLAWVRHKRKKTSVASTDHAASGHVGGGKIGEAVMDEIKVNIENEVVDEVSDGINQQFSNAT >KZM98913 pep chromosome:ASM162521v1:4:27905127:27907863:1 gene:DCAR_013725 transcript:KZM98913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIMSQLNLKKMGWKHDKVLAYPSCCTRQVTSSVNFARSLSHNIYSNSRLLSTAYQSCTSSSLRENYYCNNVDDPFAAEKVVMMRKPSVGKSTNPGPSIRDFVDTISRILASLLTYGVVFTFLTMPACFYASNSAWAVSSGRIGGSSSSSSSSYGSSSSSYGSSSSGSYYYSDDDDDDGYSKKDDSSITHTCTCDTSCTKCIDCQIRKNGKQEKQEEENKSSTNSSCSCNCHSTSNVYVDMEEQKADDGTMLIFQVGVLDKKRILQRNLNNIAKNADTSTAYGLNCTLKEVVKALLQHDNSSLKFHDLSLEYQTYLTRGSLDKSFKRNLNELLEGFAGRDITLGNVNGVKYRKRIKVNRRVDNEYTMVTVMVLATGHYLIPKKKEKGRKYFDSLAVLQTLQHIPKNQIQSVEVFWSPQKEDEVLSEEDIRRCTKMAPMEDGRIFLI >KZM97352 pep chromosome:ASM162521v1:4:13829230:13830060:1 gene:DCAR_015286 transcript:KZM97352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKSRDYIGEDHDYEGDEDILSLCDLQVSSKTNQASHHDGKFGPNKIESHEISSSPVKFSPPPQDENQENLFEFFSEEWNIGDTNTTSPKITISKPVIPFPPPQTDHAELDDLVFRPKNSTNSKPPNPRTRNAVPGILPHPRHKIRSNSTRMTASSKANQPIITKSKSYSSVTRTSKFVEDQPKVSASPAKSKLLLVLFGLPPKIQRSQTMNDIKIRQSRNAPSTFFPSNSNGRGCRDDQDAVTPGQRRENRLWKIIKAVTCFRSQQRDKMSFDA >KZM97508 pep chromosome:ASM162521v1:4:15386801:15387133:1 gene:DCAR_015130 transcript:KZM97508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKTPEHRLAVAVRGSVTSLWSLLTKHASRTSKKLMSSIKTKSIQLRRKKRGAGEDYDGGVWQRTILMGDKCEPLDFSGVIYYDHDGNRVNELPRASPMPSYSFNSRNS >KZM97593 pep chromosome:ASM162521v1:4:16081454:16089177:-1 gene:DCAR_015045 transcript:KZM97593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYLEKEQPPPPPPPPVVVVPPLFDFPPLAVRTRMLESSYNLLFGKLALKCLFDDYFEDARHFSTRIMLKPIDDPHADLVATVSGPFDHKPDDNIVGNAEFRWQSNVNDPHTFTDLFVSNSDPILRLRSCAYYPKYGFGAFGIFPLLLKSRDSSGNQGLMGLRYGSTNLSFGTTFLPLLGDDIPKSAWLIRRIGRLTAGVQYEPQRYTFNVLVDYVSHVCLHVLNRSDTYDIFQKIAVANLCNIFVLAIVGTKGAEKYNDLANWSYAIGYGVGSGSPLSPSFNFCLELAKGSEFIASFYQHVVVQRRVKTSLEEYGAFGITNYIDFGFELKTSVNNDKAKSTMHDSTFQVAASWQVNKNFLLKGKTGPLSSSLALAFKSWWKPAFTLSLSAKRDRADGKIAFGFGIVVDSVREASYHRADPNFVMLKPNKEHLAEGIQWKIGERPLFESDLKSGKFDGIPKELRPLDKIM >KZM97104 pep chromosome:ASM162521v1:4:10843013:10845892:1 gene:DCAR_015534 transcript:KZM97104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSATIVNDRKHGDCAQQPSTATGRLQGTQRQLPVNQSLPSADVDRVAAAATRQRTPSTPDVEQGTGTTNARCPSQRKRQPPITAAEPGTGAENMRRTKQRATPTAAVQSSTGTEISRVPSQMRTHTPIVAVEPGTSAGNTRRTKQRTTPTAAVQLGNGTANSRQPSQRRTAAPIAAVEPSTGADNMRRAKKRTTATTAVQSGRRERNSTHKRAKSSPRMTDEEEGDAEEDNNRPKRQPIFERDLTPEQRDIPDTELLHRLGYHLSAYARAGLFWAFEYLDVSRPEHHDADVFPRARRWICPKGSTNNESTQFLANRCKLNFVEESQVTWQPYLSSAIYGSDVLMYSLFLSKRRVPFQGIGTWEYYLGERCRRQLGFRCQVPNDPPQMMHGPKDRPEDVRAVTLVKVGLDYASWFANNSIGNILNVTRSLGGPEIAGKVIDQWLAKHQPNLILVEQSQYEKIKEDRNALEEECAKLREELREIYRCLDKAKDLLFVLAGSLMLPAPYVRLPHLALGSDSPQVHGCVYTCISSSP >KZM99566 pep chromosome:ASM162521v1:4:33080193:33085772:-1 gene:DCAR_013072 transcript:KZM99566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSGVRKWIEADESAKELLSRVLIQLFLPPPLHNFPFRLGNVVEIVGPSPSAKTHILMQAAIRCILPKEWKGVHHGGLDHAVMFIDLDCRFDILHFSRLLEHQITIAEGLKFSEEIDRDSLGGYTMHTKIQEQKENHGVGIHMLIIDRKGLSLQAVSDTVVEELRKLLQLHPMVILTTKTVNLQGRCLKHEIKSVPRNMSSRDATFFRATRSKPENNPYREYMPSVWQNFVTHRVLIRPSDDENQRPPIFSSEWLLPLLNRTDRFTFGDNVILTVP >KZM98967 pep chromosome:ASM162521v1:4:28353589:28354985:1 gene:DCAR_013671 transcript:KZM98967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVLSTPFPLHKLPASRHHSLRQQTCSYYHLPISRSSITRPFKNGYLSISVVTPANEGAIPVMNFEDLLEKDWSFLDTDHTRAAEVDKKIERIMSAGEIGQSSMVLVSIGSEQFVDKLVESSPCQQLLIVHDSLLTLACIKEKYDKVSCWQGELIHLPEKWASFDVVFLYFLPSLTFLLDQVLQSLASRCLPGARLVISHPGGREELERQRQQYPDVIVSNLPDEMSLENAAASSAFEVIEFVDEPDFYLAVLKIKAKC >KZM99252 pep chromosome:ASM162521v1:4:30543664:30545952:1 gene:DCAR_013386 transcript:KZM99252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTPSEFITFVSDIFPLLQIYAGSFFAIPLVRWFFVQRRNAEIENRNQAREQRSLQLEQPDLSLRRKLLSARDMANKTFISQDRIVYSTERDLIEQDYEEKEWEKRFREIQQSD >KZM99164 pep chromosome:ASM162521v1:4:29904506:29908845:1 gene:DCAR_013474 transcript:KZM99164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCVLLIGILVLCCGAVGAEAAYMKYKDPKQPINVRIKDLMMRMSLEEKIGQMVQIDRTVASYEVMKNYSIGSLLSGGGSVPAENASAGMWVDMINGFQKGSLASRLGIPMIYGIDAVHGNNNVYGATIFPHNFLLSRDPILVKKIGAATALEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQAMTEIIPGLQGDIPNKSRKGVPFLAGQQKVAACAKHYVGDGGTNKGINENNTIISSQGLFSIHMPAYYNSIIKGVATVMISYSSWNGVKMHSNRELITGFLKNTLQFRGFVISDWQGIDRITTPAHANYTYSIETGVNAGIDMIMVPFNYTEFIDGLTFLVKNKFVPISRINDAVKRILRVKFVMGLFENPLADYSMTKYLGSKVHRSLAREAVRKSLVLLKNGESANKPLLPLPKKSSKILVAGSHADNIGNQCGGWTITWQGTSGNITTGGTTILSAIKKTVDPETEVVYQENPSASFAKANKFSYAIVVVGEPPYAETAGDSMNLTIPATGLSTIENVCGAVRCVVVLITGRPVVIQPYLPKIGALVAAWLPGTEGQGVTDVLFGDHGFTGKLPRTWFKTVDQLPMNVGDAHYDPLFPFGFGLSTKPVKAT >KZM99257 pep chromosome:ASM162521v1:4:30561516:30563253:-1 gene:DCAR_013381 transcript:KZM99257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHTDFQGRVKSSVEPTDQQPPGSNELPTLDRQSSIHSLTLDDFQYTFSDGTESFGSMNMDELLNNILTAEEIQAYAQTPVANASTGLNTVATMAAATINTDPQFPEVEINTSTEKDINIHQSLQGQGSVMLPAPLSHKTVDEVWSEIQKFPQELEHDFTDCTVNVQKDGSAQRQTTCGEMTLEDFLVTTGVVRGKSRPPSTLLKQPNESYQNNNNTAVGSGQPDLVARPAIAVEGAENVPAYPAPPPSGVRDAASVAIVRFPGRCEPGEVRHGRKRQYCSSRGGYAQGQAKRSPPVNSSPKDDEGAHQQNSGNDTEMNIDGAHKRNALVERVVSRRQKRLVKNRESAARSRARKQAYNLELEEELKRLNEENAHLRKTKEEKERGEQDVKQYIEEKMKKGKDRKIGMRRSKSCTF >KZM98054 pep chromosome:ASM162521v1:4:20724069:20729158:1 gene:DCAR_014584 transcript:KZM98054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAKTRGTSRVSNNPQQMIFELKHRVVIALNKLADRDTFQIGIDELEKTIQRLTPDEVPPFLYCILDVDSAQKSAVRRECIRLIGVLASFHEDQISSHLGKIVVGVVKRLKDPDSVVREACVETMGVLAVKLGGIESGEGDGVFVALVKPLFEILGEQNKQVQSGSALCLARVIDNTAYPPTTILHRMLIRTVKFLKNPHFLAKPAVIELNRSIIQAGGASTPNSLSAAMTSIQEALKSSDWVTRKAASAALGEIASSGGANYSSLKSSCICALESCRFDKVKPVRDTVLHALHLWRSLIGSDTPACSDTGSSLKETFSGGGYGDISSTSESTTKAVTFTKGGCDSVKKRSPLSLQKVGQKTKEFSHSYKENDWQVEIAVPKNHNSYLSDTHNEESEGSSVTKTFESTSNDISSTQYIGFEYVPVDDKQESSSVSNVTNDKFETKLVPTFHNSRLRDPVVTETGIGKQFLNGEVSADQQNRKEIRGSLDSVVTMSSSQTISTCCLQTANDMIMVRKHLLEIENKQSELLDMLKVFTTNTAETLSMIQLKVSGLEDVVGKMSQLNGGRCYDLSASKLSKRSTTLVSPRLSVCTPRPSVEICNKQPPLPKRGNEFCEEKTSGRSKLRSSTEQGVSMWTESRNSAAEGTHKSSRQGVGGNQTKNLGSAANARHYSSEPKDSPWTLVIGHLSKGDLDAAYTEAVRSGDDLVLIELLEKTGPVLEDLSQKTANDVLRTLATYFLEQRFINSMIPWLQQVVDLSTLHGPNYLALSAKARREFLFAIQEAVNIDFSSSAERRSVTQLVMNLHQIWGKCS >KZM97943 pep chromosome:ASM162521v1:4:19647794:19650754:-1 gene:DCAR_014695 transcript:KZM97943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWRRDQNYGGAFWPGPSMPPVMWNNCTRQVAPVLPRSEGKPTSLDRSFHVTHRLPAFDSPYARAKHVQLVDKDPHRAISMFWAAINAGDRVDSALKDMASAMKQVNRSDEAIEAIKSFRHLCSPESQDAIDNILLELFKRSGRMEEHIGVLQAKIRYTEDLLLLGGRRVKTARSHGRKCLITIEHEYSRLLGNLAWVYLQQDRYAFAEELYRKALIYEQDKNKMCNLAICLMYMNRMTEARSLLECATLSGNASDQKHVKSFERASRLLVEFESLGSEEARIPFSSFMRRDAKERTKKVLCTGTDSIISHPQIEGSKSASLGPGIGLQSSHNKTSFAGELNKEECYVGNYNERTGDNREMVPGGGIDYDGYMIGYVSPNSVGGNPGVPFRQPREGPSFSFDNTAGKCNIKLSFAGTGEQGSNLGLGQAFGIEECAEERKINQELQNQGNQEVSKYFSLDSSNRGWVNMQAEENMKLLRSEYLDENTNLNNLFGSLIHLNETERLDLKMGSLALEDGSDSQPSNAGISSNQEVRHSLLEQERSGQVWKMPWLFENIQ >KZM98573 pep chromosome:ASM162521v1:4:25173017:25174343:-1 gene:DCAR_014065 transcript:KZM98573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAADLNMRHQVTQVFRDASAAGMSVCRTWAFADGGSNALQLSPGNYDERVFQGLDFVISEAKRFNIYLILSFVNNYKDYGGRQQYVQWARNAGVQVKNDDDFYTHPVVKGYYRNHVQRVITRINTITKVAYKDDSTIMAWELMNEPRCDVDYSGRTVNGWVQEMASLVKSIDKKHLLTIGMEGFYGDTMPEKKQFNPGYQVGTDYISNHLIKEIDFATIHAYPDIWLANQNEAAQMAFMGRWMRSHHTDAKTILKKPLVIAEFGKSSKDPGFSLGSRDVYMGAVYKYIYRSARHGGTLGGSLVWQVLAQGMQQYGDGYEIVLSENPTTDSVISRQSHAMNALSHLG >KZM98268 pep chromosome:ASM162521v1:4:22416479:22417257:1 gene:DCAR_014370 transcript:KZM98268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDLRHLNAEDISSLNIKELMTIENDLENGLMNIGEKRRESYKRMQKDGQRLEEENMQLRYVYQQQMEAMAGKVRDIENEFNHLKVNDQSYEAQMPFAFRLQPNQPNLHNQMLLD >KZM98799 pep chromosome:ASM162521v1:4:26987382:26988290:-1 gene:DCAR_013839 transcript:KZM98799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKALSLRSAKSVMKVSQSSPRGRRTRGGPDNSSCKFRGVRQRTWGKWVSEISELNRGKRTWLGTFATAEAAAMAYDEAAVKLFGSDAKINFPERLPQVSCPVQATAPTSEIPEEGIVELQQGEDPPAMAYDKAAGKLSGGYAKLSENIPQVSCPVHTRATTSKDTLIYSEGIKTPGGLTEMEQVACPPGPEFSLTTIQYDCLINDLLIGNFDYVMKKQSDVARIVNHVDLQGDKVGKNEGNLGEKLIVELPKSDGSTLWDEIMATTDLEKIKNPEDFSAGDLDDVFKDILEDDLGIDISGF >KZM98897 pep chromosome:ASM162521v1:4:27751951:27758260:1 gene:DCAR_013741 transcript:KZM98897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIACHQLVPSSMALLPLKSSLLHPSSALLCRPSLSVAIGLGRRQYSSKVTMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVGVPDPRLQVLSDLSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDVDQIEKRIDKLKKGKSSPQAKVKEEAEKSALERIQQALMDGKPARSVPLTEFEKDAIKHMCLLTMKPVIYVANVAESDLASPEENPHVKDVMKLASELKSGIVTVSAQVESELTELPSEDRLEFLNSLGVDESGLGNLIRATYDLLGLRTYFTSGEKETKAWTILSGMTAPQAAGVIHSDFEKGFIRAETVAYDDFVAAGSLASAREKGLVKKPNRLQKPFD >KZM97617 pep chromosome:ASM162521v1:4:16247003:16249207:-1 gene:DCAR_015021 transcript:KZM97617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAAEENEILDDTMSSNFPQSRLNDSPAVTPNRIQHTYSSLDRLEASLVRARAAIGKAKSDNQTNDPDYVPTGPMYWNAAAFHRSYLEMEKLFKVYVYEEGEPPVFHNGPCKSIYSTEGNFIYQMENSQFRTRDPDKAHAYFLPMSITAMVHYIYVRDSHDSQPMKQTVKDYVGVLAGKYPYWNRSTGADHFMVACHDWGPSISGAVPELFGNSIRGLCNANTSEGFRPSKDVSIPEILLPSGTIDGLIGGPSASQRTILAFFAGGVHGPIRPILLEHWEGKDTDIQVHKYLPKGVSYYGMLKKSKYCICPSGYEVASPRMVEALYTGCVPVIVKDHYVAPFSDVLNWNSFSVEVPVNEIPNLKKILMGISTRQYIRLQRRGKQVRRHFEVSWPPKRYDVFHMILHSVWLRRLNVRVHASADL >KZM97933 pep chromosome:ASM162521v1:4:19568281:19569243:-1 gene:DCAR_014705 transcript:KZM97933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKMIKILRPDGRKFMISSFFCIIVLCILASINEIRFENILKFSRCTLSLPQSSPDSVELLASSPNDIRILLGILTLPDQYNRRHFLRLIYGTQSRPAGTQVDVKFVFCNLTKEDQKVLVALEIMLYDDIIILNCQENMNKGKTFTFFSSLPEIFSSNDSSVPYPPYHYIMKGDDDTYFRLESLVESLRPLPREDLYYGYVIPCPSMDPFVHYMSGMGYLISWDIAEWIRDSDIPRNHLEGPEDKVFGDWMKEGRRGKNRYNAKWSMYNFPVPHTGCTHELWPNTVAVHLLKTQEKWITTLNYFNVTRDLKPSKLYHIP >KZM99388 pep chromosome:ASM162521v1:4:31748888:31750435:1 gene:DCAR_013250 transcript:KZM99388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPISSKANQILQLFNNKLFTSIAFISTSLPPSSVDIEIQVQRLTKIINESPYPDKPLYPVLSQQIPPTALSTSIVENVLGSLFGTHANGLKASEFFRYTLQAPHLCPSADAFEKTLHILTRMRYFDKAWELMEEIKKKHPSLLTIKSMSIVLSRIARFQSFEETLEAFERMENDIYISNKFGTEEFNVLLRAFCTQRQMKEAKSVFNKLHSRFDPNTKTMNILLLGFKESADITSVELFYHDLTRRGFKPNNITYNIRIDAYCKKGSFGDALRLFEAMERENCFPTLQTITTLIHGAGVVRNKSKAKELFDEISRRNLQADTGAYNALISSFVRCRDVKSAADLMNEMDEKNVSHDNVTYHTMFLGFIKSKDIDGFHGLYQMMIERNFMPKTKTVVMLMKLFCEKNEIGLAVNLWVYLIERGYCPHSHVLNLLVTALCSRGRLEEAFECSKQMLERGRHISDLAFQMLERCLREGEGTEKLRTLDHLIKRLGTVLPPSKGYATGLPDQTNVK >KZM96597 pep chromosome:ASM162521v1:4:1849495:1850463:1 gene:DCAR_016041 transcript:KZM96597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKYCVDVVGILEDHDPIRKIQNRNGVIQSQIIFELSDGRASVRVTFWDDFARYFSESIKEAREFSIILIIGCARVTTWSEQVILTNVGATNFYINCNHRSVNELRKLLAEKKISTKSVSTENRGMMRFYKCENIPKLGVHHAERQIFCQVKLTNFQQVKTWFQPTCTSCYAKTVKIENQDTCTGCQRIVPYVDNM >KZM98513 pep chromosome:ASM162521v1:4:24607731:24609966:1 gene:DCAR_014125 transcript:KZM98513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMNQGKQEPSLEPVPVLPRLNRLDRLLQLLEEKHGLSGTHVTGSVISETEKLEEDSHRKPLSSALEEVRQKGTLMDRLAILENRVLQLSLAMDERNSSRSSSSTTAQIVSEISDAQIVADQEKGEAVTCLEQQDSLQTKRTGGGARKKMRSTSRKIRGLFSMGCSS >KZM96615 pep chromosome:ASM162521v1:4:2250216:2253987:-1 gene:DCAR_016023 transcript:KZM96615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSSDPDDADALFVEIDPSGRYGRYKEVLGKGSFKKVYRAFDEWEGIEVAWNQVKVADLLKNSVDMERLYSEVHLLKTLKHKNIIKFYNSWVDAKSEHINFITEIFTSGTLRQYRQKHKHVNLRALKKWSKQILEGLSYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQARSAHSVIGTPEFMAPELYEEEYDQLIDIYAFGMCLLELVTFEYPYVECANAAQIYKKVTSGVRPASLVKVKDPEVRAFIEKCIAKVPDRLSARELLLDPFLHSDGANESVGQSIRTNPHIAGKNSDNLDNGEKLKDPGLDSSRDFTVQGQRKDLNTVFLKLRIADSTGCQIRNIHFPFDIEVDTSAAVASEMVEELDLTDQDASAITEMIDTEIRTYIPDWTAGELSSSIGNEVAMSDYCSTEGQIDSSPVTNLSSIPASIVLERLPSGRKYWSVSPRAGIESSPVKPGPRLLLNQEETNAEKPNEENIRSVVSSRHMDNSSANSAGKKGASVSSPGVFDYFPVRNLPSLPGSFLELPSGRKYWSVSPKASNGSSPVKPGPRFLSNQHMETSADSRTKGSNKSSVRSQHVDDSKDEALLEMQKGTVSSQNVADSSPMTYIFSPPGSVILEQLPAGKNHWSVSPKGKQGSFPVKPGPLFLSNQVMETHTDNRAEQNLKAFIASQHNSSDKALHEEQNPSILNGKSSDSKHKLSDSSLLGVPALHLGNGPDSVSGNVPIPGNFDSDDDNMVVKKLGSILVEHQRELNELKKKHELIVSDLLKELPPKVRDKFLKNLDMEAPHQNTDSGVNSSDSLFPIYSRRLQNLSTAVEGRKRNSEAENIVCRPISRDKFKTPKVDTKSDISGMGIAIILSDNGVGF >KZM97501 pep chromosome:ASM162521v1:4:15305646:15309800:1 gene:DCAR_015137 transcript:KZM97501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGSFRHTFAERRELVKLLSGKKSSSEISSTGLYINNNIEQEDKGFGTKKVVNFWKKLKDVGVKGFEMGRADPRKIVFSAKMGLALVLISFLIFWKEPIKELSSHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALGTAHLCELAGDWEESVVIVSVFVIGFCTTYAKLYPTFKPYEYGFRVFLLTYCFIMVSGYQKGDFLHTAVTRFLLIALGAGVSLVVNICIYPIWAGEDLHNLVAKNFARVADSLEGCISGYLHCVEYKRIPSKILTYQASDDPVYSGYRSAVESTSQEDSLLGFAIWEPPHGPYKTLNYPWKNYVKVGGSLRHCAFMVMALHGCILSEIQAPAERRLVFRDELQKTGTAGAKVLREIGKKLKKMEKLGMVDILEEVHEAAENLQKKIDQKSYLLVNSESWEIGRPTIVLEDPEDFQTTDCDEKRFPEYKSRSETVLDLSSLQGNWDFSKSNVDDKSNTSETFSKNSSAATNQEKSKTYESASVLSLATFASLLIEFVARLQNLVDSFEELSIKANFKEPSDEAVAEVEVMSLWSRFCRFFKYKKREDCLLV >KZM98862 pep chromosome:ASM162521v1:4:27465343:27466171:1 gene:DCAR_013776 transcript:KZM98862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERAGERRIKHYSSKHNILLVGEGDFSFSKCLATAFGTAANMTATSLDTQESLMSKHKHAMVHVEELQDLGCTIIHGVSAYWMKDHPQLQSKYFDRIVYNFPHCGFTRKAEDSSHMIKRHRKLVWAFLINATEMLTEEGEVHVTHKTTYPYSEWDIEQLAEEAGLGLLEKSPFDIDEYDGYVNKKGDGRKCDKSFFAGNACTFKFAF >KZM98355 pep chromosome:ASM162521v1:4:23179837:23185431:1 gene:DCAR_014283 transcript:KZM98355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIEPALVPEWLRCSGSVTGGGSSAHHFASSSDVNSSTLSVRNKAFRSINDKDSPRSSFLDRSGSSNSRRSSSSNGSSKHPYSSFTRSHRDKTRDREKERPAIRDLWDPDPLASILGSRVENTLRRSQSMVSRKNSEALPRKVSELKGGSSSNRSSDNGVLSGGSNMRGVQKVAFEKDFPSLGAEEKQVVSDVGRMTSPLISTAVQSLPIGTSGLIGGEGWTSALVEVPVVTGNSITGTISCQQSSVSSPASGVSSSMGALNMAETLTQAPLRVRTSTQLPDKIQRLEELAIKQSRQLIPMRPSTPKALVVNSSDKLKQQPKTVRTSETIAAVKIGQQQGLQSQSSQSLRAVQIKSDVPKTSHGGKFLVLKPVWENGVSSTAKDGSSISRVANSQVPVPPVAPAPPLSSPNHATIERKAIALNPKSIAEKRASLAQARSRNDFFNLMRKKNSLNTSGITPDSGPAVENPCVNKVVGSSPESPCVMTENGSKINSNGDSHEGHEFLNNVEKSSCLDEAVYPDEEEAAFLRSLGWEESSGEDEGLTEEEINAFYQEYMKLMPSLKICRGVQLKTAVLSESYGSK >KZM97758 pep chromosome:ASM162521v1:4:17573882:17579609:1 gene:DCAR_014880 transcript:KZM97758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEIRENSHARALDIFKKERHLGLFNIDEVAVAIALKACRGDLRFGCQMHGFAVTSGLFGYLTVSNSLMNMYCKAGKFSLAFSMFENLDVLDVVSWNTILSGFQDGEDALSFACKMNSSEFKFDAVTYNVVLSHCADNEEFCFGSQLHGLVRKCGMEGEIYVGNALITLYTKWGRMLEADRVFKDMPEKDLVSWNSMISGYNQEGNYGVKAIEAFVQMVKEGMKLDHVSFTSVVSSCGNERNLKLGRQVHGLTIKRGFEMHVKVSNVLISMYSKCEVIEDARLVFWSMNDRNVISWTTMISINEGIAVSLFNEMRGDGVYPNDVTFITLVHAITTHVMMYEGKTVHGFCIKTSFVSEMNVANSFITMYARFEAIEDSKRVFDELECREIVSWNALISGYEQNRMYQEAVQTFLLARLHLRPNHYSFGSVLSAIGASESISLRHGEMSHSFLVKLGLNKDPIVLNALLDMYAKRGHISESLKIFKEIPERSQVAWTAIISAYARHGDYESVMILFEEMGSDNVRPDSLTFLSILTVCGRKGMVNKGREMFELMVNVYSIEPSHEHYSCMVDMLGRAGRLDEAEELVKQIPGGPGVSVMQSLLGSCRVHGNVEMGRWAADALMQMEPQESGSYVLMSNLYADKGDWEKVAMIRKVMRDRGVKKEIGFSWADVGDTDGSLSSHGFSSDDKTHKQWEEIYKMAECLGSGMKLILKAGEDFALQGDILFLHMSKDPIRAGEIVVFNIDGREIPIVHRVIKVHERPDTGEVEVLTKGDNNLGDDRLLYAQGQLWLQRHHVMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKE >KZM99212 pep chromosome:ASM162521v1:4:30288426:30292554:1 gene:DCAR_013426 transcript:KZM99212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSESSSSAPRFVSATRSFTPKYLEDLKSLFQSLPAQSESDRQYISPTVFKKYYGLHGALGDRMFDLITQKRRDEKLTFEDLVIAKGIYEKGTRDEIEEFIYQILDVSDDGTVGWSDLEAVLNELFDYIFSNKQSEPGTASHLESIDVFINAANFSKDDGGCAMSFEDFKKWCTLLPSVRKFLGSLLRLSDSAGFQVPHLLLPEDLDPKMILLRKEYAWHIGGALSHQELGEWRLLYHSAVHGLSFSTFLGKISNNEGSTVFVIKDTDGYIYGGYASQPWERHADFYGDMKSFLFQLHPKASIFRPTGANNNIQWCATNFSSESIPNGIGFGGRASHFGLFISANFDQGHTFSCTTFGSPCLSKTDRICPEVIECWGVVPKGSEEEAHNGLKGSVLERFKEERNMLNLVGLANSSQ >KZM99792 pep chromosome:ASM162521v1:4:34912085:34913923:1 gene:DCAR_012846 transcript:KZM99792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMVPIQQSREGFCLFHSFCKDSDIKIQTLRCKDRVRVCFSGGVKVFDGEKFDSGGNGDGLGRNEVFSEKRRNEVSAVSRSDNFGLNGEGANFDVRNGGGLSLSKYCCSRNFEEYESNNCLRRLVRDGELEEGFRCLERMVYQGDVPDIITCTSLIKNFCRVRKTKKAARVLEILEESGAVPDVITYNVLISGYCKVGEINSALEVLDRMSVAPDVVTYNTILRSLCDRGKLNQAMEVLDRQLQKECYPDVITYTILIEATCKESGVDQAMKLLDEMSSNGCKPDVVTYNVLINGICKEERLDEAITFLDNMVSLYGCQPNVITYNIVLFSMCNTGKWTDAEKLLTEMLRKGCSPSVVTFNILINFFCRRGLLGRAIDILDKMPEYGLIDGLTKVGKTKFAIELLGEMRGKGLQPDIITYSSLVGGLSREGKIEEAVNFFHDLEGLGVRPNAITYNNVMLGLCKAHRTDRAIDFLINMVAKGCKPTVGTYTILIEGLAYEGLVKEALEILNELCSRGAVKRRSARQMAAKI >KZM99002 pep chromosome:ASM162521v1:4:28665945:28672893:-1 gene:DCAR_013636 transcript:KZM99002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNLQPTFACKYSVFANRETVLVAKNFELNCFKEKDMDVKASAFVDSSAEIDKDIKEPSVSTMLMNFENEFDPYGSMSVPLYQTATFKQPSATENGPYDYTRSGNPTRDALECILAKLDKADRAFCFTSGMAALAAVSHLVGTGEEIVAGDDLYGGTDRLLSQVVPKTGAIVKRVNTTDLNEVASAIGPWTKLVWLESPTNPRQQISDIRRIAEIAHGHGALVLVDNSIMSPVLSQPLELGADIVMHSATKFIAGHSDVMAGMLAVKGERLAKDLYFLQNAEGSGLAPFDCWICLRGIKTMALRVEKQQENAQKIAEFLSAHPRVKKVNYAGLPSHPGHSLHYSQAKGAGSVLSFLTGSLALSKHVTEATKYFSITVSFGSVKSLISMPCFMSHASIPSAVREARGLTEDLVRISVGIEDVNDLIADLDHALSTGPI >KZM98792 pep chromosome:ASM162521v1:4:26931722:26933322:-1 gene:DCAR_013846 transcript:KZM98792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKTGTSLESLGKGGVQFSRKFTFQELKDRWLFLTSHANVSVEASPDIAEFLDSPSALSPKPHILADKVEKSVQRKRLNESLPDCYNPMLKRVKSSIVENGDNPHLTDHGEANQDHINCVTSNCESVHQNMPNLETVNASTANATNVSISCNSIEAFEENSYFVAKEVVTPSGMLNLELNDVRWCKTEIPVKSDQMSISNAESDFSSSMFPQIPIWNTSQSIFETALPEGVQVEGQDRNAVNSADFSTDAMDRIPCHNKEILAPSTDEHVVGSSASCLDLSGLEEFLFTDIDGKDIDNIPDLDSFLSDFAWDVNVAKVEQSSLLGGGTSPGNFVKDEQHLICSSEAPFLPSISAAHPGLPTCFPDAHMLPSPPDANDPEQISCAPEAPLSPPVPAENSELPISCVPNAQILPSIPNVDHDLPGLCNGIVCCVLNTEDTEIPCNDDALIKPSSRPPSSSSRKNCQVRGPKTTNRAENMEKPSPPRDTIQSQILSDLGINLPFISRG >KZM97712 pep chromosome:ASM162521v1:4:17138481:17138879:1 gene:DCAR_014926 transcript:KZM97712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAASHMMLHCAFDSCLSMSDMEIERRPYHRNCSCALHKPKDSRPAACFRHGNVAFSKKGSWSDCSITLSSPKISSQSLFLSVHLQY >KZM97845 pep chromosome:ASM162521v1:4:18318538:18324838:-1 gene:DCAR_014793 transcript:KZM97845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLPKFFSHSSSNTPKHKHKRSSKGGPRLQRLNAQKGIDYTPRETKSLDIDITSFRIQGLEGELDRVYQELGLSGPDDLGISIHDWNARKMQPKCLSPASEVKSSDLDCCGSRVSPIVDSFSQNVRISSHVDDGNDENENENEIQHVDVVKRNGGRNGIKGVRPPVLIPPADGMPYNIPMFDMNLFVPQVGEDVDDDGGGDHGVTGGGRGIRGVRPPVLKTPPDTTRYSVPSYVLSSYGSGERGGDVGDDDDEGDHEDFVENDCVENSESCSFTTNDDDSSSTTTENISPTVRTRSIDGSSVRNEPPSYISPNGRVRRIVNNWTKGKLLGRGSFGSVYEGIADGGFFLAVKEVSLLDQGVQGRQSIYQLEQEISLLSKFEHENIVQYYGTYKDESTLYIFLELASKGSLLNLYQQYHLRDAIASAYTRQILLGLKYLHDQNVVHRDIKCANILVDTNGIVKLADFGLAKSTKLNDVQSCKGTAFWMAPEVIRGSGYGLAADIWSLGCTVLEMLTSQLPYCPLDCMQAVYRIGNSILPDVPDSLSKDARDFIFQCLQVDPSSRPMASQLLDHSFVKGRLLSSSELESPHNPRRQA >KZM99329 pep chromosome:ASM162521v1:4:31147749:31148425:-1 gene:DCAR_013309 transcript:KZM99329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQAPQAAADFYNDAEITWGDQRAKILNAGRDLTLSLDQASGSGFQSKNEYLFGRFDMQLKLVPGNSAGTVTTFYMMKEEKTEHFFNFISEEKIGDNKMEGEAKTLLADRE >KZM99880 pep chromosome:ASM162521v1:4:35541275:35543471:-1 gene:DCAR_012758 transcript:KZM99880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMKLGTKPEAFHREGQTWQCTSGLPSDVTVEIGEMSFHLHKFPLLSKSGLLAKQIGEQPSDDGSVQVLQLCDLPGGAKTFELIAKFCYGVKIELTASNIVTVRCAADFLQMNEDIVEGNLVSHAEAFLNEIFGNWTDTLEALETCEEVLSQAEELHIVSRCVNSLARKACADPQIFTRQVSPRNGNKEKENRILWSGISRKAQQLGDRWWYEDVSYLGLGFYKMFIQAVESVGMKPENIAGSLVVYARKYIPLMNRQFSFKDANSAKPGNNVSTPSEADQRGLLEELVELLPSHKGVVQTKFLVRLLRTAMLLQASPSCRENLEKRVGAQLDQASLDDLLIPSLGYSVETLYDIDCFQRILDYFLSTEQISSAPYSPSIVDENQLVESSDSLTAITVVANLVDGYLADVAPDVNFKLSKFQSLGSAVPDYARPLSDGIYRAIDIYLKAHPWLTDIEREQICRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGLFFISENLENPQNLRQVGCAQSDGMDEVKARVLELEKDCQGMKQELRKVVKRKRRWSLFGILK >KZM98274 pep chromosome:ASM162521v1:4:22463671:22468167:-1 gene:DCAR_014364 transcript:KZM98274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVFFGSEVWDVEPEARSPPEFAGVIWLAAGMPEFPALTVKAGEWLSILRRRIWAKIIPLPKSDSPATLHQKFDATPQIPVTPDPKLLLHVTVSVRSSGKLIMKDLPDFDLDWSYWDSDYATYFKTKYGCSRVSSRDDSDCRMNDGGSGSSDGECDVGGDGENGEEDEEGQMDIHLKMFLESIEADGVSYVLKRDGRPDLRFEGMDEDGDLVELSSNCGSEERGRGEGLDVRGGGGRGKGVLKGDDVGNSGNGKTERGGKEKKYRPVEKVDLTQVKRRGKKVDKQPIFDENESDTSAEEVDVRLEGTRASRKVEEIGKLKRKAKNVEEQKSFVENKRYRQNVNGNGKSKRRAENVEDVEREMDFMENERDSSALGNVKRCLSEKFKGKYVEPDYRRFFELCELEGADRLVLVAANGKRVVYGKDDSTSLSDSEVYVLDHAPDCIESTYAPTLNFNAHMEDGDLQCLGSCNPAENPLFRKAVIDILRKPFNNKELERLQYDVKQRKRITRHVDMRSGNTSFQQPKKGKSYLDHYAGTVNMGFI >KZM98060 pep chromosome:ASM162521v1:4:20782371:20786802:-1 gene:DCAR_014578 transcript:KZM98060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAIVQKKNYKCFKSLEQFYSGGPYSVSPDGSFIACACDETIKIINSSDASVKSTIESDSTSVTALCISPNANFLFSSSHSRQIRVWDLSTLQCLRSWKGHEGPISGMACDASGGLLATAGNDGKVMVWDVDGGFCTHYFKGHTMVVSTVMFHSDPSKLLLFSGSEDTTARIWDLTTKKCIASLQKHQSAVTSIGLSEDGWTLLTAGRDKLVYLWDLHDYSFKSSVVVHESVEAICVIHSSSPFALCLASYVQVLEKQRKGKGIQFITVGERGIVRIWDSNGAVCHFEQKSSDFAVGSEDNRRGFTSAVMLPSGQGLLTVTADQEFLFYSPTETPDGLNLNLNKRLVGYNEEIVDMKFLGEEEQFLAVATSVEQVRVYDLDSMSCSYVLAGHTDIVLSLDTCVSTSGRTLIVTGSKDNTVRLWETDSRCCIGVGVGHLGAVGAVAFSKKSRNFFVSGSTDCTLKVWSFDGLLNDGDSSLKVKAAAASHDKDINSIAIAPNDSLVCSGSQDRTACIRRLPDLVSVTVLRGHKRGIWSVEFSPVDQCVITASGDKTIKIWAISDGSCLKTFEGHTSSVLRAFFVTRGSQFVSCGADGLVKLWTVKTNECIATYDQHEDKVWALAVGKKTEMLATGGGDAVVSLWHDSTTADKEEEFRKEEESVLRGQELENAVSDADYTKAIQIAFELRKPHKLFDLFSQLCSKRDAEHQIEKALSGLEKEQWHLLLEYVREWNIKPKLCHIAQFVLFRIFRILPPTEIVEILIFLFILVCIFFSYLKWQKYSCFKKFVGVRNSCSIVAIAVVSVEMTIQMIKGIGELLEGLIPYSQRHFSRIDRLERSTYLLDYTLTGMSVIEPHTEEVVSRSGATKQNKDSNDGRLVEFQEEVQEHDAVEEEVKISSKKRKSKGSKDIASKKKKGVAYLNVSTITSEA >KZM99129 pep chromosome:ASM162521v1:4:29645047:29646890:1 gene:DCAR_013509 transcript:KZM99129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKVSSISTSSLYSSTRSVPSSRLGKKFVFLNPRFGGLRLKALDVVERRNCVIGKASSDDDSAGPYVLSESEDDGAEDLALDSKLQLKLERNMRMKFSKKIRMRRKKLGRKRLLRKKSTPSKLKKEMQDSVPQITSQASCANLGGVVAADMPGPETKKRELRGITKPRPVSPEMQALVHVPEIARTQVIKFIWTYIKENNLQDPENKKIIICDEKLKKIFKGNERVGFLEIAGLISPHFL >KZM98231 pep chromosome:ASM162521v1:4:22117430:22117849:1 gene:DCAR_014407 transcript:KZM98231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFSHPHVLHLAEVDEGDEIVCSGCEQYISGYVVSCTNSECSFYLHQSCYSLSRTIKHPSHPAHHLTLVTQSPYEIGEFVCDACGDQGSAFHFHCSTCKFDLDLNCALRRQAVTRGTGHVVINTAHSSSVSNRRRSAC >KZM99900 pep chromosome:ASM162521v1:4:35699222:35700307:1 gene:DCAR_012738 transcript:KZM99900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLVFYPGDLPDRFCSLVHLGDYLYFLGEKQSDFFQIAKSLGEKQSDFFQIAKSDIQHLVPSEHNVGSDYLKRLEPPMNSHKHEPIVFVAKGNLYAISRINHIDSPFEMFSPSKNSWTILNPRPRGPYGPFKSHVLLGDNLYFATSPLDPDFFDHDESIFSYNLTHNLWKLLTTSCRPAFEHPILPIANMLFGGFSLPFRGIQSTVAASPYTVLNHSDTDAKAMFMRPTLAPESNFWPEFFLRDNYAFPLCSPSYYMTDLGHENVLCFISYGQHPRSADIMAFFTFFKIPGDFWSADPVLLGKESNDRWNFCHYATQVDSDSKHAVKSYFKSEFMHRKLFKISTDELLVDYGRLITCFSY >KZM96691 pep chromosome:ASM162521v1:4:4454404:4455618:-1 gene:DCAR_015947 transcript:KZM96691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDFSCAADSLGPWDVNYKGLLADEPMCCVFRPGAKANIVISLDIKTETEEGNAGGCWALFAKAFCFVVNQFEETYKKWKDSDQVLQVAGKSRPVNCNMNGNLFRLSSMWDRFAQDNLLFVGDVCVFELINNSTKLLQAEIFSAAKEANGFGAKVCKEIYYQNTVAMLPFEYRMEESGWLSAMFTTPVFILYDLL >KZM96585 pep chromosome:ASM162521v1:4:1442290:1445782:-1 gene:DCAR_016053 transcript:KZM96585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSDHSSEEYSSDDSLYGEIDGKSTEKRSQARIKAKTVPYLFHDRHGRYKHPKIKLLCTEGFKYKCRYDREEGKIVGLQRFFRDHWVTQNSIITFQWPGGRSFLVRIYKPTGIEADYNWFNPRKCQSFKDSFDINDPKYSSGGSMVEEEKAKALLMFNGMREQVGMYEMVVTESCLEKNSKTLEIKGHMQKMCEQWNSGDVIKLKFVKKVWKIEITKKEGVTFFGPGWFECCDEAALMAGDTLVLRTCKDPLELLACVLKMTELQLIEKSIGCDQIGISFLQFGHDLLINDGVMIPPLVVTNFFESLLEKVDTVLCGGREWQVKYSKDTKMLSGLLPIMREYEVKIRDTIFFTVARDGESVVKIFRRDGMEVRYCKAIVEDGKVQEPFLQREEVSHVEVIDVSDDEAPMDVEKDGHALVATEIMQASHVDGRSHGVDVSDDEAPMDIETDHHALVFTELMQASHVDGRSHGVYLGKSLESAARDWKSGMTVIFNKGRNSWPIGVIETNNSIRFSKG >KZM99031 pep chromosome:ASM162521v1:4:28866113:28868366:1 gene:DCAR_013607 transcript:KZM99031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFEKRHVHVQKGESAVVLDLHNSEEEQEGVHHGHSNRKIIIRPKVLSLVFLSLLACTLVLATSFSFFPTAISLSYSLAEVDKVVVNASLCSSVPAGTICCDRSSIRSDVCIMKGDVRTQSKSSSVLLYASKDTTEDISHGSDHQVNRKEELQHEKIKPYTRKWEASVMNTIDQLDLISKKQDSGIHHHCDVKHDVPAVFFSTGGYTGNVYHEFNDGLLPLFITSQHLNKKVVFVILEYHDWWISKYASILDHLSEYPPIDFTGDNRTHCFPEAIVGLKIHDELTVDSSLMDANVSVRNFRDILDRAYRPRITDIIEEEKQEAQLLKENSASPLSTDPQEVRNEKDDNGLKKPKLVIVSRNGSRAITNEDSLVKMAEEIGFFVEVLRPKPDTELAKIYRSLNSSDVMIGVHGAAMTHFLFMKPGSVFIQVVPLGTNWAAETYYGEPAKKLGLRYIGYEILPKESSLYDEYDSSDPVLRDPESINIKGWEFTKKIYLDRQKVKLNLERFRKRLVRSYNYSIIKRNLAVHHRS >KZM99262 pep chromosome:ASM162521v1:4:30619843:30632383:1 gene:DCAR_013376 transcript:KZM99262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVIDVDMEITIAQAVRQFKGKKNKKKKAQPQPQPEAADLLPPKFSEDLPEKDVPEKDPPAPGIEVSLFDHSVENHFRAMDKIAELCGESEVQFDQEELQRLSASMTFLREWKHFNYQPRVIRFACQSENQQGKDVIGEINLPQFSAAIVPKKAKQTGDAVFPGSGKDFVMYAGGSVWALDWCPAVHRISESHAKSEFLAVAAHPPDSSYHKIGAPLTGRGLVQIWCLLNDNFKEEYVPSQVKKISTQPQKPKGRPRKRPVSDSEDDIKPKKKKGRPRKKPVSDSEEDSYIDIEQIDASLELVPNMGDSGNTHDQVATKYSVRKKKISAQEMSAEPQQTPGNIGKIDIKSPVKKNTRERPKRVTRNKPDDQYVSVKSPKVNTSDSDKETESPRPRIKRGRSNKKSRDALEGNDMDVLAIEYPESTSCPINEVSGTICKQIAKSDSSGKQDSSQLYTGQLKMITSRCSSCKDVEIPRPVLCLAHNGKVAWDVKWRPSDSSDSFNADSKHRMGYLAVLLGNGALEVWDVPSPHAVKLIYSATRKDGTDPRFIKLDPVFRCSRLTSGDRQSVPLTVEWSASPPHDLILAGCHDGVVALWKFSANVSCKVYIAEIYSDPGSANVIVTAGHGCLKFWDIRDPFRPLWDLNPIQKVICSLDWVPDPRGIIISYEDGTIRILSLSEAANNIPVTGKPFVGTPQEGLHRYCCSSYTIWSIQVSRITGMVAYCSADGTVLRFQLTTKAMGRDPLRHRAPHFLCGSLTEEDSTLIMYTPLPDVPLLFKNLSNQEKKVKIEMNGGQPSNQQALALSHGDDPGIESGSEDIMAEKSKKSSKSKTKSTKVPNASQALVCRDDNPEPVQLREGNANEENDKFEILPPKIIAMHRVRWNINKGSERWLCYGGASGIIRCQEI >KZM99852 pep chromosome:ASM162521v1:4:35359182:35362044:1 gene:DCAR_012786 transcript:KZM99852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQSSAIGSLSETLSFDHGSTPSETGMAPPLCWSTARNIVRNRISEYVSTNNTGSMYHNASSSAVPNQIRHNEQKEEHSRESTTRGCPVGQNIENQQHESGSGLPSNNVTLDSNQIANGPLFMQSSSSMSQALNINAESDEQGDDCQIVERPLVFRSGSENTFPNRRSSSSPYDLQSGQYVEGSGSRSSTLLEDHVSRKRKAPEENVGQSSGSGSSNHFQHAESSAWRAIPAPRNTGNSVNLSAPLETFTGVQRPEQVNPGLAFGVGDVFSESSAGLSALESTEPSFRNLRLRINSTQQQDSLSSNVFTVGTAAGSSSLSAPHQASRLLSHNNPLSPAVTDANPEVQYPVADVSAMRRSLHSSRWTRSSSSRAGNRSSSVIEGDAAPAGDSRSRVMSRSRPEHPIFRSPSGGRDLTPSRIDLNSTAGNVSVAENANVASSSRGGTADGGLQGSGLSWFSDRNSPQYTRRLSELVRRTLSSASGPESEVQNTNISSSRSSISNTDQGMALPSEGQGRPRSRSAMLLERHLEGASRISHSLRTLAAATEGRSRLVSEQIRDVLDLMRRGCDDP >KZM98601 pep chromosome:ASM162521v1:4:25339999:25341188:1 gene:DCAR_014037 transcript:KZM98601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWSTRVRRPTTIKQQPEAQSRAKWTSSLTKVLADLMVDQVTTGNKQNNSFGKKAWECICKEFQKSTGLNWDKEQLKNRYTVLKKQFVMTKSLLDDPDFVWDEFTGAIVATNEAWDNYIAEHPDAETLRSTGCSLYKQLCTIFLENGTNNNYIEEGLESIYSTPHHLSTLQVKASTSESEEITEMADDRDKLQPNTASRNGTRKRGRKGIDDVLANAIMEMAAASKLRAHAVKQHNERYSITDCIKALDEIEGIDDPIYYASVDLFDNRDARETFLSLKVDKRLTWLLGKCSFLSACL >KZM99672 pep chromosome:ASM162521v1:4:33893353:33893550:-1 gene:DCAR_012966 transcript:KZM99672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHETWKILNKDLLEEYPFGEVFVAANPDMARAGQTFYQYGDGHGNPQHRTKDHLSALLVEPFTL >KZM98515 pep chromosome:ASM162521v1:4:24617210:24622489:-1 gene:DCAR_014123 transcript:KZM98515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSEPPDSATTTAAPPPPTTTPPLPHNSSSDDFFSSASFPSSSSSDGDNLISPSPPAQIPISWPGTLTLDWILNLISVFEWASRNLPPSELPSVLPVKVFDSLVLTASKVLHKEPNCVRIDECGDGTSVVVVGDVHGQLHDVLFLFREAGFPDENRVYVFNGDYVDRGAWGLETFLLLLAWKVFLPHRVYLLRGNHESKYCTSVYGFEKEVMAKYGGNGKHVYRKCLGCFEGLPLASIIAGSVYTAHGGLFRGTPVTPSKRAKKKTRKIIINPESNSLYLGSLEELSKARRSVLDPPWEGANLIPGDVLWSDPSMTPGLSPNNERGIGLLWGPDCTEEFLKKFGFKLIIRSHEGPDAREKRPGLGGMDEGYTIDHVVESGKLITLFSAPDYPQFQATEERYKNKGAYIVLEPPYFDTPVFHSFEAVSPRPKASPYYDFEFVIDSDEELDLASMVTS >KZM99078 pep chromosome:ASM162521v1:4:29259499:29262960:-1 gene:DCAR_013560 transcript:KZM99078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNLLPSLLNNSGMRPPTLNIFPSQPMHVDPSASKLQGSNMGLVSPATSGSKRSSEPSMELSNTKTEHPPPRPPTSAVGPPPPKAVKREGNNGAGRRGLTSSSEHDGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRMKLTQLEQDLQRARAQGAFMGGGGALLGAEQGLPLGMGNLSSDAVVFDMDYGRWLEEHHRLMCELRAAVQEHLPDNELQLYVDHCLAHFDEVMNLKSMLAKTDVFHLVSGNWKTPAERCFMWMGGFRPSELIKIIVNQIEPLTEQQLMGICGLQQSTQEAEEALSQGLDALNQSLSDVIVSDSLSSPPNMANYMGQMAMAMSKLSTLEGFVRQADSLRHQTIHRLHQLLTVRQAARCLLAIAEYFHRLRALSSLWVARPRQD >KZM97507 pep chromosome:ASM162521v1:4:15375953:15383126:1 gene:DCAR_015131 transcript:KZM97507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEPFNRLVKLTARAFYDDFTTKGDNQSKSGRADNRGIAVVVLDALTRRQWVREENLAKDLKLHTKQLRRTLRFFEEEKLVTRDHRKETAKGAKIYSAAVAATADGHQTGREGEEKVKLHTHSYCCLDYAQIYDVVRYRMHRMKKKIKDELDDRNTIQEYICPNCKRRYTALDALRLVSPEDEYFHCENCNGELVAEGDKLAAEEMGDGDDNARRRRREKLRDMLQMFEEKLKPLMDQLNRVKDLTVPEFGTLQAWEVRANAAARSANGDANSNDPSKSSQAYNGTPMPFLGETKVEVAFSGVEDKGSNIKSENTSTPMKVLPPWMIRQGMVLTNEQRGEVKQESNIEGTSTAADLSEDKKSVDQKDDKNLQDEYFKAYYAALLQRQQEQEESIKVEQESFNTTEGSSRQVGMKAKREDYDNDERDENVEWEEARTTGNTSSTFKVDLNVEADASGDDEDDIDWEEG >KZM99282 pep chromosome:ASM162521v1:4:30802628:30803407:1 gene:DCAR_013356 transcript:KZM99282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMVDLGSQRGPMVLHIMDTATSVDCREVRFRRTIFRSLIECMVPACCAFQPTSSDYSSSSSSSTTSIDSSEFTSTFSSSTSTHASTVTGTFFGYRRGRVSFCLQDDSKSSPLLLLELGIPTCYLAKEMQYGLLRIALVECERRQSNGKQVKGSLFSLPLWSVFFNGKKIGFAKRRAATESDASALKMMQSVSVGAGVIPSGLKASENAKNELLDDEKMFMRAKFKRVSGSVNSESFHMMNPDGSSSCQELSIFLLRS >KZM96683 pep chromosome:ASM162521v1:4:3942549:3943994:-1 gene:DCAR_015955 transcript:KZM96683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFGVPITDKTLQEMSEYRDKEITQEDRAREAMRLIHAEDKNLNALQHALNLKARYGTGVSTLCLVYNGTGDTLQQVQQIDWYGYIYNEQPPRSFENGQWLAFLHAHPTAQSRGCEAARVYRGKNVKGEVRDYMIAWSTPWGPSYQNSAYTEVRGEDHFPKFWSYIRGLLANAEKITTDETDKNCTSAVGIGGVTSPEFIAILKHKFSPEPEP >KZM97333 pep chromosome:ASM162521v1:4:13640120:13643873:1 gene:DCAR_015305 transcript:KZM97333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTAIILFCSTFLSTLVNSLAVNAITPNQPLKDGETIVSAGGEFQLGFFSPGSSTNRYLGIWFKKISNETVVWVANRETPLKNTSGLVRVSGNGITLQTVNGSDGVIWSANTSKALKNPCVQLLDTGNLVLKDEDSDIKNEEDFSWQSFDYPGDTQLPGMKSGIDLVTGINRSFTSWKSVDDPSLGSFAYELDYNGLPQLLLWKDSVIWSRIGPWIGYKFGGIPNYIPSEFYRYTFVFNEREIYSKFDAISKSSPILRTVLSPSGVTDVFQWDDQDQIWKTYISYHVTDCERYGQCGAYGICYINKSPRCECLQGFVPKFPEKWNSVDWSDGCVRRNKLVCGTEEGFLRYSGVKLPDTHHTWYNLTIDLRECERLCLENCSCTAYANADFRNGGHGCILWFKPLMDISDYKEDGAEIYVRMPSSELVKIRRSRVKKKIVIIIVTGVTTLVLLGAIFCLVLKKRKRQTEGLFATDNSYDKFKAWKCYNEDKLLLLLDDMILESCNQSEALRLIQIGLLCVQNDPKDRPAMSQVVFMLSSNMKLPQPKQPGFFMERFLFESDHSLTNPTASPSELTITALLPRE >KZM99649 pep chromosome:ASM162521v1:4:33747584:33748129:1 gene:DCAR_012989 transcript:KZM99649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRVLSIKPAKSLKDLVPYKPGENIGVVKPVVKDRHSKVNGRGRRVRMPALCAARVFQLTRELGHKSDGETIEWLLRHAEPAIIRATGTGTMPSQVCTSGDPINSAGISEMAPVAVSGPVGFGAGMMIGGVGTDCRLDLWHGIGGDVVEYRNMGFTSLLMEPMMGEAEQQQVEQLSWV >KZM99468 pep chromosome:ASM162521v1:4:32303778:32306180:1 gene:DCAR_013170 transcript:KZM99468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCVDDDIPKAAARGPYKANQSAGNAGDHGHHATVTAPRDTITTNIQPIAIPELSVEELKDITDNFGSKALIGEGARGSVYQGVLRSGQAAAIKKLDSSKQPDQEFLAQVSIASRLKHDNVVELLGYCVNGGHCVLAYEYASNGSLRDILHGKKGVKGAQRGPVLSWSQRVKIALGVAKGLEYLHEETQPQIIHRDIKSSNVSLFGADVAKIADFDLSNQPPDMAAHLHSTRVLGTFVYHAPEYAMTGRLSSKSDVYSFGVILLELLTGRKPVDDTLPRGQQSLVTWATPKLSEDKVGQCVDTRLGGEYPPKAVAKMAAVAALCLQYEAHFRPNMSIIVKALRPLVKPRPLTPRQSHH >KZM99258 pep chromosome:ASM162521v1:4:30590672:30590914:-1 gene:DCAR_013380 transcript:KZM99258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNSKLYMENYYIMQENEKLRKKAQLLNQENQALLSELKQRLSQANNNNNNQNDNNAPNIPDLNISTSGHSTSSSRKA >KZM98535 pep chromosome:ASM162521v1:4:24838285:24838953:-1 gene:DCAR_014103 transcript:KZM98535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAESDGSGISAGKKLSAQTPSSVNKKMKKFKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALICLKGPSANLNFPAFSQQHFTPYINDQITSMSPKAIQRIAAQAANTFLDNATPLPSPPPLSSASSASSSPSNNIEDDVSLAFEDHYKPDETVMNVNAPWMFNNDFGSFKFRDMIDDMLLFDDQQPSMMINHDHVYEGEEDLRLWDFC >KZM98624 pep chromosome:ASM162521v1:4:25551042:25554458:1 gene:DCAR_014014 transcript:KZM98624 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MAISEAGAHILIFPYPAQGHMIPLLDLTHQLALRNLTITILVTPKNLHYLNPLLIKHPSIHPLVLPFPRTDSIPDGVENVRDLPSGGFLSMMTALFDLYHPMLNWFRKHPSPPVAIVSDIFLGWTNRLAAELNIPRYVFSPSGVLAMSIACNVWRDMPKRNDPTDENEVIKFSDIPNSPSHNRWKLSPMFRRHVEGDPQSEALKGFHMGNVVSRGFVFNSFTELERVYLDYMKKFLGHDQVWAVGPLLPSEEERVGRGGANQILAGEIKSWLDQFEDATVVYVCFGSQAVLTNKQMEMLALGLEKSGARFLWSSKEPTTGHMVGEYGMIPSGFEDRVAGRGLIVKGWAPQVAILSHPAVGAFLTHCGWNSVLEAIAAGVPMLTWPMGADQFANADLLDELEVGTRVCEGERRLPDPDNLARLITTSVSDEKEVRSGRAKVLSKAALGSTQKDGTSYRAPLVLPFPPTTLIPHGVENARDLPSGGFISMIAALVGLYDPIVNWFRNHPSPPVAIISDMFFSWTNRLAGELKISRYVFSPSGILALSVVWTSWRDMPKRNDPKDENEVIKFSNIPNCPSYPWWKLSPLFRSYVQGKSQSELFRASSLENVASRGIIFNSFTELEKIYLDYLKKFLGHDRVWTVGPLLPSEEERVERGGSNEILAKEIKSWLDQFENQTVVYVCFGSQAVLTNKQMEMLALGLEKSGVRFLWSYKEPTKGHTVGEYGMIPSGFEDRVSGRGLIVKEWAPQVAILSHPALGAFLTHCGWNSVLESIAAGVPMLTWPMGVDQFTNANLLEELRVGTKVCEGEKTVPDSDELSRLLASSVGDAKGVRIARAKVLSKAARDSTGNDGSSYRALDSIVDQLSKP >KZM97867 pep chromosome:ASM162521v1:4:18770962:18772038:-1 gene:DCAR_014771 transcript:KZM97867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDNATGEVGVDQYHRYIEDVDLMAKLNFDAYRFSISWSRIFPNGTGMVNWKGVAYYNRLIDYMLQKGITPFVNLNHYDIPQALQDRYNGFLSREIVKDFADYAEFCFQMYGDRVKNWFTFNEPRVVTVKGYDEGTYPPGRCSKPYGVDVTNKCLVGNSGTEPYIVAHNTILAHASAVQRYRKNYQVRSAVYN >KZM99735 pep chromosome:ASM162521v1:4:34379403:34380890:-1 gene:DCAR_012903 transcript:KZM99735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGSSVVSGLLEPEMNLAFQKVGNPSGHGLMEGGVLENSKGVIGVVDVYLHQARDIQNICIYHKQDVYAKICLTDDPEKSVLTEVVNGGGRDPVFNENLQIDVRSIETSLKCEIWMLSRIKNYLQDQLLGFALVPLTDIVIENGKLTKEFALTSDDMFHSPTGCVLLTLAYNGASPEVLEIPPTHSSLTVSTDEQGSRALRSVPREFEMIEFLDPKIAKENEMMVTEYYAIPCVNLDSEDPKSIDNIIPDKGIHPEIDASGLAVDSNEVTEISKVQASLSSVSINESPSGPVVTNSSSPSLSIVTSSASACDTPGVLKYVNQEEIAPEEKREDAAKVSEFVKRNGNVVSPEEMTQAKFSQSVNKDVVSTGEKTEDVAKTSDFVNQDVVSLSEKKTEDVGKAPVFDFTLPVFDFSKPLISVNIKPEEVAQQDYIDLYMSSMQQFTESLGQGQMNLPMPMVALPKEKDGKDPAKKGQTSKNSGPRPKVFYGSRAFF >KZM98313 pep chromosome:ASM162521v1:4:22816756:22823159:-1 gene:DCAR_014325 transcript:KZM98313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYLHPCKALLSHNIFCFESTQNKAISSSYLCSIAKTNTSRTHSSVHGHCRNLIVSENLPVLRSTHVSFSSKDEIFTELPTTHFGEEGGNENVEEVEELELLDKPFVKNVSNGSVVEVEQPELRNLDEEEVLEPFLKFFKPRELGEEVYDSEESDFEEEEVEKVSVEYYEPKAGDFVVGVVVSGNENKLDVNIGADLLGTMLKKEVLPLYHKEMEYLLCDTNKDAEEFMVRGKMGIAKNDEAMGGESAPGRPVVEPGTILFAEVLGRTLSGRPLLSTRRLFSRLAWHRVRQIKQLNEPIEVKITEWNTGGLLTRIEGLRAFLPKTELINRTNKYTQLKDNVGQKIHVLVTRINEATNDLIISEKEAWTMLHLQEGTLLEGTVNKIFPYGAQVRIGETNRSGLLHISSISRARVASVSDLLAVGEKVRVLVVKSTFPDKISLSIAQLESEPGLFMSDKERVFSEAEEMAKKYRQKMPTTASVARKPDQFPADTLSYEDEEKLYSNWKWFKFEMDNEPE >KZM98710 pep chromosome:ASM162521v1:4:26301115:26306475:1 gene:DCAR_013928 transcript:KZM98710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSSPQLPLHSPEMNKPVKKKGRPSLKQQKPINPSSSSSPNRNRKSSRRHNSPELPSDDDDEREKKKVKLVVRLPQSSQQQHSDNSSDDDDEEENFVENPETKSIINFDRSGDKDAQQENDIKPADILHGSSLGTGPTTPLPDKKLLVFILGRLQKKDTYGVFSEPVDPNELPDYHETIKHPMDFGTVRRKLDSGSYLNLEQLEADVLLICSNAMQYNSSDTVYFRQARSIQELAKRDFENLRHEGDDGELRPKVVRRGRPPSKHLKKLPTNTSIVLYSPETSSGANLAKSGGPRALATAQSLALVAAESPALATAEENTNGSGGYNLRRAPMSNKFQSNNAALSSHRSRNSGNYSEWLADWNDEFPASILRADMKYGKKLVLIDETRRETYKQFHPTSFGHEPSLLSDLGGDTKQLMPVGLHMEHSYARSVARFAADLGPVVWKIASKKIASVLPQGMKFGPGYVGEREAPFQSPFSTSSSLACEANISSPVPPSRSGVNVVPASGFQDGKDLTETSRKMNCQNELAGSQGTPLSGIRPGQSVHMPNKNVFHDGRNGLNGVLGCSIPSQMGSIRPGMTSGHSGLAEASQVPSMVPKSDSTSPLAVANHNNSEKRECIENARTLNSGPYTERKQSWQAVSSHPIQYSSAAQPDLNVRLQAPSSPSSGFRVGSPQQPDLALQL >KZM99386 pep chromosome:ASM162521v1:4:31735702:31738535:-1 gene:DCAR_013252 transcript:KZM99386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDARQDEIEDSSSLAPPSPGSMQISGRNGFGHNIDYMSQVYLRSRSCSQIDIELEDTSNATDDRPLPIFLKFQDVEYKVKTSQATSANPLKAVATKVASQINQDDYKHILKGITGSVGPGQVLALMGPSGSGKTTMLKVIGGRLQFNVKGTITYNDIPYSPALKRRIGFVTQDDILWPHLTVEETLIFAAYLRLPGSMTRRQKYERVGMIIRELGLERCRNTCIGGEFIKGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANRLLLSLQDVAKAGRTIITTIHQPSSRMFHMFHRVLLLSEGYPVYYGKARDAMDYFSSLQFIPEIAMNPAEFLLNLANGQMNDITVPDDLRAHQGTLEYEKAVLKHLRLKYKTQLEPKEKESYCQTTKAVEHLQLAIQGKKDWTMSWWEQFKILSKRTYRERYRDYFDVLRLAQALGVAVLLGLLWWKSSIDTEALLRDQAILIGLMFYICIFWTSSSLFGAVYVFPFEKLYLVKDRKADMYRISVYYVCSTLCDMVAHVLYPTVFMTILYFMVGLRRTAECYFLTVAAILLVAITSQGAGELCGAIVMSIRRAGMIASLVLMLFLLTGGYYVQHIPKFMRWLKYVSFMYHGFRLLLKVQYSGDELFECNSIGGCRTLQSSPSFDTVNLKGGLQEVWILLAMSLAYRFCAYICLRRKITNYQL >KZM98538 pep chromosome:ASM162521v1:4:24855539:24857422:-1 gene:DCAR_014100 transcript:KZM98538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALKLGGIAKSSSSWLPLKRFVNTQNKKWVFNPLACSLSSSSKSSKQLILYSKPGCCLCDGLKEKLNAAFSLSPTDPSLSLQDVNLEIRDITTNPEWEKAYEYEIPVLARVGPDGTEEILPRLSPRLGVALIQKKVAAAFDH >KZM98941 pep chromosome:ASM162521v1:4:28177242:28179025:1 gene:DCAR_013697 transcript:KZM98941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVVCKTSVLSLGNGINSSNFNQHKRYRPGNVSVRSRSCFYGRRVVVAPSNRAVVVSPRRKALNVQMSIGIAKAQRWWEKGTQPNMRQIEGAQDLVDSLHNAGDKLVVVDFYSPGCGGCRALHPKVSYFAQLCQIAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALAKHSPDRCSLGEPKGLEEKELVALAANKDLAFTYTPRPEQPITPPKEGEIFTAPASTPHTSTYPPLPLPRSLQMKTNDARDKTLVNS >KZM99883 pep chromosome:ASM162521v1:4:35568791:35570064:-1 gene:DCAR_012755 transcript:KZM99883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRTISKRLFNKTPINFPPAPAIHQNAAKTNFKRELITSPDSATDDRGFFRRFLQRRSINQTSAKLPEFLSMPVGDKLREKLWPIHFVSSENQIRFDPPESVSGISVHEARKILRSARLEKLRSTLKQIPANSVEYSQFVKICSDVCENDEQGVECAKMLDQAGNVIVLGNIVFLHPDQVARSMEKLIYETIATPNDSRKEQLEQMEKQKAVIDRKAKSMVRAELYGGLGFMLVQTVGFMRLTFWELSWDVMEPICFFVTSLHFALAYSFFLRTSTEPTFEGFYQRRFKAKQEKLMKIHNFDVEKYNQLCQAFYPNSNVRSKFGDFGKYCF >KZM99503 pep chromosome:ASM162521v1:4:32609837:32613165:-1 gene:DCAR_013135 transcript:KZM99503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKPYYLIFTFSVLFLFSVEQATSIDVVIGAVIDNTSRAGMETNISLQMAIDDISRQANQSFVLRMMDSRGEPARAALAANRLINTEKVQVILGPHTWQEASRVIEISNQGQIPTFSLADSNPTWALERWPFLVQASTSNQDAQMKAVAAIVQSWDWRRVTVIYEEDTDSIFGRVIPNLLKSLQDVGAEIRHLVPLPPYATSLSEQLMRLKRDQCRVFLVHTSLKLATHLFHEAEQMQMMEKDYVWITTNTITDLLYSVNLTTIFSMQGVLGVKRHFPENSLKFVEFKKRFRLKFSIAYPEEENNEPGISAVEAYDTMWGVATTFAKMNNQIKNRSQLFLEKVSRIDFIGITGRVHAIGRKTESSRIFGLVNVIGKSYRELGIWKEKSGFSKHTSHRAIYNSSMKNLGQVFWPGESMHTPKGWSIPSTTESMKIGVPAESMFKQFVNIEYDPQTDNYSCKGYAIDIFSEVKARLPYYVSYEFIPFNGTYDSLVEQIYLKKFDAVVGDVAVLADRCKHADFTHTYSASALAMLVPVQSKMPHKTWLFLKPFTKAMWLLILAITVYNGFVIWLIERKHSPRLRGTATDQAGIMIWLSFTTLFSLNGGKLHSNLSRIAIVVWLFVALVITQSYTASLTSMLTVKKLEPTVSDIETLKIKNAKIGYGKGAFVARYLEEVLGFKLYNLKNFSSPQEYAHALKTGDIEAGFLNGSYLKLFLAKYCKSFVVAGPTYKVGGFGFAFPKGSPMVNDVNKALLEVFESGKLRELEDKMVGSERCIEVDSSNDDEISLSLNSFWILFALTGGITTCALTIYALDGLRRQATKFTQQISNVVTVILKHWGHQRKRFSRKVSDAEVPEDPHTAT >KZM96903 pep chromosome:ASM162521v1:4:8551834:8552120:1 gene:DCAR_015735 transcript:KZM96903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLAVAVIVSCWVIPISISVNRIVPDPYMDEIFHVPQAQQYCKGNFTSWDPMITTPPGL >KZM99423 pep chromosome:ASM162521v1:4:31936428:31938822:-1 gene:DCAR_013215 transcript:KZM99423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTSLCCCNYSAAASSLPPASSSSPATTPQFNSIIQTSRRRNELKCGVISSHSNPKLIKPNLRSTFGHPLSPYHSPHRRHDDEDEDLDELEEEEEEEENDDDDEESDDNITRLRSRNATTKNGKITLQQGSKNGYNAYSKTREGVGSLSSNARPKQEARISGKNRYPRLSEELELDERWIPLLDYLSTFGLKESHFIQMYERHMPSLQINVVSARERLEYLLSVGVKNRDIRKILLRQPQILEYTLENNLKSHVAFLVSLGIPDSRIGQIISATPSLFSYSVENSLKPTVKYLLEEVGIKKDELRKVVQLSPQILVQRIDTTWNTRFTFLTKELGAPRDNIVKMVRKHPQLLHYSIEDGLLPRINFLRSIGMCNSDIVKVLTSLTQVFSLSLEGNLRPKYMYLVNELQNEVQSLTKYPMYLSLSLDQRIRPRHRFLVALKKAPKGPFPLSSFVPTDEHFCQQWAGTSVDKYLAFRQRLLLKDLAKKYEKR >KZM99548 pep chromosome:ASM162521v1:4:32912567:32918127:-1 gene:DCAR_013090 transcript:KZM99548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKREKSVNVSGKPKHSLDANRDNGGSASKGGASKGGRSAATVRRLKMYNTRPKRDSKGTILKHDLQSKELPSTRIQPDRRWFGNTRVVGQKELEFFRGELESRLSNNYNVILKGTKLPMSLLTDHQKQARVHLLDTEPFADAFGPKRKRKRPKLVVSDYEALAKKADGSQDAFEEKYPSTAFEASEDGFRDLVRHNVFDKGQSKRIWGELYKVLDSSDVVIQVLDARDPQGTRCYHLEKHLKENCKHKHMILLLNKCDLVPAWVTKGWLRVLSKEYPTLAFHASVNKSFGKGSLLSVLRQFSRLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCRVAPIPGETKVWQYITLTKKIFLIDCPGVVYQSNDSETDIVLKGVVRVTNLPDAAEHIGEVLRRVKKEHLERAYKIKEWEDDNDFLVQLCRLTGKLLKGGEPDLMTAGKMILHDWQRGKIPFFVPPPKLEEESSTEPAGPGLEQDKVIEDDQQAAARKAIADVISSQQLKEVPVQEELFSETELRGETTEPCPATSS >KZM97238 pep chromosome:ASM162521v1:4:12685850:12691183:1 gene:DCAR_015400 transcript:KZM97238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKSSKYQDDQSKDARSSYFNKPALDVSIAFPQATPASIFPPAVSDYYHFNDLLTPEEQAIRIKVRECMEKEVAPIMTKYWEKAEFPFEVVPKLGALCIAGGTIKGFDCPGLSITGSAIATAEVARVDASCSTFILVHSSLAMLTIGMCGSSAQKEKYLPSLAKLKTIGCWALTEPDYGSDASSLKTTATKVEGGWILEGQKRWIGNSTFADILVIFARNTSTNQINGFLVMKDAPGLQATKIENKIGLRMVQNGDILLRKVFVPDEDRLPGVDSFQDTNKVLAVSRVMVAWQPIGIAMGVYDMCHRYLNERKQFGAPLAAFQINQQKLVHMLGNVQAMVLIGWRLCKLYEAGKMTIGQAGLGKSWITVKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYEINSLVTGREITGIASFKPAVSRSRM >KZM97564 pep chromosome:ASM162521v1:4:15859522:15860054:-1 gene:DCAR_015074 transcript:KZM97564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGRQHGMVRSFCSIPSPLNPNPRRRVINKLDTPPTAGLFTKVTSKPTNHSKFTGRCGKPKCSDCHMQPARKAKDKAKGSHKLKSATDVSSDCKYVSWKVVDQTSNGMKRSFEYTATGILDRLDQ >KZM99372 pep chromosome:ASM162521v1:4:31569281:31573399:-1 gene:DCAR_013266 transcript:KZM99372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRFRNQAYVYLLVLGFFFFHDLGCVAQLLPPQEVQTLKAIATKLNIKHWDVKSTSCSGGGGLSVEFNIPQPLSNVTCDCTFSNGSVCHVTHIQLKRLNLTGELPSEFSKLAYLQELELAQNNINGTIPATFGQLPLKTLGLLDNRISGSIPPEIANIDMLEELIIEDNQLGGTLPPGLGNLKSLRRLLLSANNFTGTIPESFSALKNLSDFTIDGSGLSGKIPDFIGNWTKMTILNLQGTSMEGPIPSSISMLKNLQGYLI >KZM98130 pep chromosome:ASM162521v1:4:21301524:21303539:1 gene:DCAR_014508 transcript:KZM98130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYLKFSWLHVLLRAVVFMYICNVNVGVWGQGVGDDYDVAPQAAATREMVPAMFVFGDSLIDNGNNNNLASLAKANYYPYGIDFKGGPTGRFSNGYTMVDEIADLLGLPLVPAYSEASTDDMLHGVNYASAAAGILDITGRNFVGRIPFNRQLENFEHSLTQIRARVGAENLAQQLSRCIFFVGMGSNDYLNNYLMPNYPTKNQYNGPQFADLLVQQYTAQLTRLYTLGARKVIIAGLGLMGCIPSILSQSANDQCSEDVNQLVLPFNANTKTMINNLNTNLPGARITFIDIHNMIQDILANPGSYGFTVLDRGCCGIGKNNGQITCLPMQQPCSNRDQYVFWDAFHPTEAVNVLMARKAFNGNQDVVSPINIQQLADL >KZM96736 pep chromosome:ASM162521v1:4:5521372:5522127:-1 gene:DCAR_015902 transcript:KZM96736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSFLIAILSLLLGAIIIFVVFGNYFRNRRSDIDSLSNNNKEIVDSKPASKPQHKKLGSKPHSHAADKCVFELFVSGFSMLEVDISYRVLSMWN >KZM99124 pep chromosome:ASM162521v1:4:29609151:29616555:-1 gene:DCAR_013514 transcript:KZM99124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVVAIKCKDGVVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEANNYESLYGDQIPVKELCERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYYGAAIGKGRQAAKTEIEKLKLSEMTCRQGIIEVAKIIYGVHDEAKDKAFELEMSWVCDESKRQHQKVPDELLEEAKAAAQAALEEMDAD >KZM97880 pep chromosome:ASM162521v1:4:18903217:18904218:-1 gene:DCAR_014758 transcript:KZM97880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAIICALYIFLAFTLLSLFKPKSKSNHKLPPGPYPLPIIGNIHKLGKNPHRSLADLAQVYGPIMRLKLGQITTIVISSSSMAQQVLQKQDAVFANRPVPQSTRSCDHNKYSVAWLPVGSRWRSLRKILKSHLFTAKRLDENRHLRSRKVHELIRYCEKCSQSGEAVDIGRAAFLTTLNFLSNTIFSKDMTDSYDNSEAKEFRDLVWNILVELGNPNMVDFFPIPAWIDPQGIYLRITGYSEKLIQLFDGLVNERSELKGSTNFLANISTTDMLDELLKIEQTNEIDKTQIRHLFMVSYSFRPNELISNEHCARLANPAFHLFIQEIDFYFS >KZM96847 pep chromosome:ASM162521v1:4:7562547:7568723:-1 gene:DCAR_015791 transcript:KZM96847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNQSRAEKSESVRYQKLNRSGSSGVQRNYSGGGGKGSGAATAPTPSLSTNRSFKKPSNAQGSQTRVVNSDIRSDATTGGNVAVSGSGRGVQNGAHPQQHGGSDASVVVKQNVMPSTQKVNRGVPKAPAPNSTPVSSNTVVPSTPAKGGGFPLQFGSISPGLMQVPARTSSAPPNLDEQKREQARYESLRAAPVLPAQSVPKQNVPTNNSGSADQTNVVDTHSMSKARRDVQIASGPHTIQTQKPSLHPISGMPMQMPFHQQHIPVFGNHPNPQLQSQSMVNSSMPMPMAMPFPMGNPSQVQQQLYIQGLPPHMLPPQGVMHQGQGVNFSSQMGTQLPHMGNMGMNINPQFPQQQPGNFGGARKTVKITHPDTHEELSLSKKADTYVESGSSAPRSHTSIPPQSQPISSFPPGRPSNYYPNSYSQGSVLYTGPNSLHLNSNQVAPSSQAPRVYKQVTVKPAAPRVEKIAESSLPASLPTVEKNIPKISGREGEATSIRSERDSENITDMSLPKSESTSMPAQSKAAIGVSDTVSASSPPSSSSTLPEISEHLKSTSSRTTVIEKTDLLNRSNSNDNDEKHGKKSQSLLQNQIGGSSVSVSPSSLEVIDNTIESALPEAGPGEGYVGESKTTCVSVDLNSNIAEVHGIHELAKSDGVDSAEMSTATSVHYNSKQEAMGAQESRHILLSDEPTADATGCASKPNIPKPSDHADQTESLVVEVKETQPKLEKEPVHLTKGEEDADSSTSIPGAVDRLITETSILSLGSTISNETEKTSASDASTKKDDTVGTIEVSTVEPDKLDQKSQMPSIPYRSELPCETENEGSETTDLLSKDKPVPETNMSKNTNAKKKRKEALQKADRAGTTADLYMAYKGPDEKKENLIDNESSETSSSISKELAASISQKDVPGESKAEPDDWEDAADLSTPKLDDGKHLGEVKDHIEDKSLMDRKYSRDFLLKFSEQCKDLPEGFEITSDIAEALVLSIGNVPREMLPSPGRNDERSMGRSRSGRRGSGMGDDEKWNKVLPLPSGLDMGYGNHGNNVAFQPGGNFGVLRNPRVQSPVMYSGGILSGPVHSMGPQYGMQRTNSDADKWQRATNFQRGLIPSPRTPAQVMHKAERKYEVGKITDEEQAKQRQLKAILNKLTPQNFERLFEQVKQVNIDNAGTLTGVISQIFDKALMEPTFCEMYADFCFHLAGDLPDFNEDNEKITFKRLLLNKCQEEFERGEREQEEANRAEEEGEVKQSDEEREEKRVQARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQNPDEEDVEALCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDSIDLRKNKWQQRRKVEGPKKIEEVHRDAANERQAQANRLARGPSMGSSFRRGQQPMDFAPRGSNVLSSPNAHMGGFRGVPQQPRGYANQDIRTDERHSFDNRNLSVPLPQRPLGDSITLGPQGGLARGMSIRGQPPMLSIPFSDMHNQDSRRPTTGLNGYGSVTDQRPVFTSREEIISRSASHRFVSPAAHDHMNLMDGNSSYVNREVQNPDRVFNRSRPNTPPSRSMESSSVGNNPSEKVWPEEQLRKKSLETIKEFYRYDENLLPSCGGSL >KZM97838 pep chromosome:ASM162521v1:4:18239080:18243552:-1 gene:DCAR_014800 transcript:KZM97838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGGKSLNMGISVKIENVRNKIPVPKKDKRLIKYEDLPEYLKDNEFILDYYRCEWPLKDLITSTFAWHNETLNIWSHLIGFLVFLTLTLLSVTEKVDVERLIGRIFRWFDEEALTMIMSKPNKLSDASSTMSDGKQELSGWWAGKSCVYTGLYARQLVESLILKLSASADNPAAIPRWPWYVFLGGAMGCLLCSSLSHLFACHSHRLNIFFWRLDYAGISLMIVCSFFAPIYYAFPCNPYSRIFYLTSITVLGILAITTLLSPSLSAPRYRSFRASLFFCMGFSGVIPAAHAIVLNWGHPQITTTLVYEIAMGLLYATGVVFYVRRIPERWKPGAFDIAGHSHQIFHAFVVAGALTHAAATLAVMDWRQGQPSCSVGHDTLVWT >KZM98454 pep chromosome:ASM162521v1:4:24120778:24123540:-1 gene:DCAR_014184 transcript:KZM98454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKVYEELDDAKAEIETLRADNISKGQLTESLRRANDKQLIRIQEISKELETQRQESSEKDHELSEMRQMLEEIKCNLNEKQGIVARLSYTNDKLRTSHAEEICKYEEEKRDLVFKLEEENAKNIDNEQKIRRLEEVIEGLKGVLSVSQKKRVGAEELGKASTEVRYKDDILLKLEDENTELKGQLKSKREQFEHLKEAHERLRDQLQTNTKEWEKEKSALLDEMDTQQEKLDSQTRILKDLQSRLQMCNQALAHEESRRKSLELQLLESKRWFDDVSLECEEAKSKLECLSSKKDKDIATLRDLLGTKETLYKELEYKIRRLEQDDQDLKLSLKEFQEAQIKQAGSSSSMSKLRNKLKCLEQTHTDCSAKFKIREADWFSEKERLIKDLNCCRSDQEGQGQLIQELNLEIEGCHSLIQQLMMQIEESSIMLLVMQSEIKEAQLKLGDDDIENLSFLKQQLEIKDNAIVAAINEVEEERRKVEYLSRRIESFNLIDEEQLTLKNDLDHHKKMVVDSFTCQQHLKDQVLQLKGDLNRVYDALDKANEELAQKFCEVKEAEYEIQAWEYIAERLKIKMEENYQLRMQVEASLLEQVSVEVTLEQEKEDMVLMLSEKDGRINHLLQKVQSMEEELKLKESVVQVLEQKDREIDDLQLKIVSLDQKLQLSEEAACTPARRAQALVKKECNISEREIEWWDKEWLSKELETTIFAQFDAQKLYEHENKKLCDLVDEKSQKIVEFHKHVNSLEKEFENSTSSFSSLLVKLQTETNLFHEVQEKFTTTMVLKELEIQYKNLMIEELENFSSHLQQESDLREKMLSNSIQKRDEHIGAEVELFDRFNKLYNEDMQLVKSLEGIVQSIDDYGKERRDNEDYFDPVKENLIISPSPRKLKIEKPALTVSSSPSTDGRSPLSTLNRMSHL >KZM99118 pep chromosome:ASM162521v1:4:29577308:29578443:1 gene:DCAR_013520 transcript:KZM99118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTMGSQGGYGSGSGGNASRRAEEPRTDLLGRQSSMYNLTLDEVQDQLGDLGKPLSSMNLDELLKSVWSAEANQGLGGVDFGDAQPGNVASSSSLLRQSSVSLAKGLSKKTVDEVWQDIQEGQKKSRLDRKMRERKSTLGEMTLEDFLVKAGIVAEGEKNPGAVPVVDAIEIPQQSAPQQAQWMPYQTPPVHQLAPPQQQQNMFSVFMPGPPLPQTLPVTANPMMDGYADAMSPSALMDNVSDTQAPGRKRNASGVVVEKTVERRQKRMIKNRESAARSRARKQAYTQELELKVSRLEEENERLRNRQASCINCCIYFCECMYVIAYILSIRGRVIGTIQNHDLVMG >KZM99863 pep chromosome:ASM162521v1:4:35411910:35416834:-1 gene:DCAR_012775 transcript:KZM99863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKFWGVQVKGKKSEFVCPGNGELIRICQIALGDENFESVEHVVVYLIVDGKKVALARLSGELPQLSVDIVIETNFRLLHNWKEGRVDLCVDEAADGEGEPPASANSAPAASEPPSSAKSDDSDDDHVEDFYYDEPLEKLDDEDIDKDLVDDSFGVKTPEKFDDDYLDEDQVDNFSEDETQTPEKVEFNIEVPQRTDGKKRSALTESPCPAKKLKKDTAAEIPESIAEFPCQLCNKTFKLEKGLECHIKAKHEEASAGTTTSYRSKKFLKNSVELQLACEFCNKLVHPHPYMLSFVLSFLYPRLAQVFFRIFKSEAGLEDHSKAKHGERNG >KZM96628 pep chromosome:ASM162521v1:4:2661955:2663598:-1 gene:DCAR_016010 transcript:KZM96628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPDIQPPISINPGLITDLLSLCTLISLPIIXFKFQTIELFAAIVLQMGLCSEVDVLTVAGGALETVGFFFVRKSYGRPEKIRRVENFELKVTNPYGRNIARDIQKADSDWQVILLRYSNPVEVHESDKLGEDSKGIPNNLLPYIQQVVVGRLNEF >KZM98461 pep chromosome:ASM162521v1:4:24178562:24179638:-1 gene:DCAR_014177 transcript:KZM98461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYQSSGSHDKSVVTPPPATNCPRCDSPKTKFCYYNNYSLSQPRYFCKTCKRYWTKGGALRNVPIGGSCRKTKKISRSFSSDSKDSSSASSYSDLGRFGFSDGPDFQIGEGLIDVPRSNNTSNIPTIRGQLVSSTMNNPIVPISSGSIPNFGLNPDSQTNLSQFVAPNDFSLCYSSSSSILKQYHVENNVVSFNDGLAPEMVPVIGNLSSSMDSFGSISQDLYLNLPQERMGEPFSSTDLDWELQQEGMNMMLSGGSSNIYQQPSNMIETTNNLENLAPLLKPYPASFQDLEISSEPSNISRKEDNGVANVETTNVPIEWHFGDSSYAPAVNAIPSIDGNGNKIEGWSVFDQYPSLP >KZM98470 pep chromosome:ASM162521v1:4:24253155:24254203:-1 gene:DCAR_014168 transcript:KZM98470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTLHNIITVLIITLSPFLDVTHSTSDTVIYGGCSKIKYTPGSPYESNLDSLLTSLASSATSSSYNKYTITGPSPQDVVSGLFQCRGDLAQPDCATCVAHSVSQLGSLCPQTCGGAAQLDGCFVKYDNTTFIGVQDKSLVMKKCASSVGYDANLMDGRDAVLASVGGATGSYRVGGSGNTQGMSQCVEDLSASECQDCVMEAIGRLKSDCEGAVSGEMFLAKCYAKYSSTTGDHAFKPDQEINDSGNSNQAESGNSDEDHSEGEQTFAIIIGILAGVVLLVIFLTFLGRVLGGNAGMSSLWFKNYNASSILQYM >KZM99048 pep chromosome:ASM162521v1:4:29011539:29012660:1 gene:DCAR_013590 transcript:KZM99048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDQIVTTSTSTTAQPDQEHEDDEQIMSIRDIHALNPPRPPPPLPRRREAWETSSHQSSMSMASNEGSENFTTISREFNALVLAGSAVENNRSESDHGGGNNGNYNLGMIGEEDETNPLAIVPDTNPMASPRRQSGSGSAIAGASSSMSNSHSEVSVQRVKKEEVETKISAWQNAKIAKINNRFKREDAIINGWENEQIQKSTSWMKKIERKLEEKRARALEKMQNDTAKAHRKAEERRASAEARRGTKVAKVYEIANLMKAVGRAPSKRSFF >KZM98642 pep chromosome:ASM162521v1:4:25725992:25730289:-1 gene:DCAR_013996 transcript:KZM98642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSDTPNGVVKPDLTPPTTILSSILSLKTLKLKTKQKELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTEKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAALIYWGLRFLSFAVHIREVCVLTAPFFASNTTLVAYFFGKELWDSGAGLVAAALIAICPGYISRSVAGSYDNEAVAIFALLTTFFLFVKAVKLGSLAWGLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNSMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHQLNDTKLFQAFLRITVTCAVGVGAVALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDYHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATIKNLTQLLRAKNKVAVSASGKSSSGTKGSSKGLGDQSLPFQKNGSIALLVGVFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGQRVIFDDYREAYFWLRQNTPQDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPTGYDRARGVEIGNKDIKLEHLEEAFTTSNWIVRIYKVKPPNNRW >KZM98138 pep chromosome:ASM162521v1:4:21379916:21383509:-1 gene:DCAR_014500 transcript:KZM98138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVADRRALAIKRVKEEAAACEDCLRDFSLFTTNARGEATTLFVQSWTPVSVKVSGRYSDFAKQLNANGFKVIGIDSIGHGGSDGLHAYVDSLDDAVLDVKLVMEKVLAENTGLPCFCFGHSMGAAVLLKAALDPVVETHIRGLVLTSPAIGIKPAHPIFPVLAPIFSFLLPKYQLSAANKKGVVSTRDPEALLAKYSDPLVYTGFVRIRTGYEILRITSYLQRNLRRLRVPFLVLHGSADAVTDPEGSRKLYKEASSTDKSIKLYPELLHDILFEPERKEVAEDIIEWLNKRMQG >KZM98331 pep chromosome:ASM162521v1:4:22985159:22986472:1 gene:DCAR_014307 transcript:KZM98331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAFVASIIRGTENRNVSYGVRAHTKAGIVFDVFSSLGTIAFAFAGHSVALEIQATIPSPSKKPMWRGVVVAYIIVAICYFCVSVGGFWAFGDQVDDDVLVTLEKPDWLIIIANFMVFIHVLGSYQVFAIVVFDKIESYLLQVRKLTPGRPLRLITRSTYVGGLRTIIVSASTYKMFT >KZM97823 pep chromosome:ASM162521v1:4:18120955:18121929:1 gene:DCAR_014815 transcript:KZM97823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKASLIRTKRARSDNNTYSSRFKGVVALLNGHWGAQIYANHQRIWLGTFNSENEAAMAYDSAAIKLRNYDSHRNFSWSSINAEEPKFQRQFSTEEVICMIKDGSYPSKFSDYLKLRGQSKDSESGELNFSVEQKKGEFLCSKLFEKELTPSDVGSLNRLVIPKKHAVTYFPRLSRGNEASGEIDDLQLVFYDSSMRQWRFRYCYWKSSQSYVFTRGWNEFVREKKLKYKDRVIFFTYSYVGATDKLVQKCLMIDVAYYSDSVVDETSDDLERSMHFGQENVELQKNGVDNDAEKNADKVLLKSKGAADEQKIGFKLFGVQII >KZM96879 pep chromosome:ASM162521v1:4:8176617:8177201:1 gene:DCAR_015759 transcript:KZM96879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKVCGPASNTSQASFNLATRRNERRVGMEVKKRQLILVHVISGGYRSDYQIFDLEAGKVLKSGIQSSLNPGGDGDVVVSGTSIYNIGGLRHSIDEDFISLDDLEKGDEHIHLGASCLELNIDANRWKVIGIFAYSRLALPLGVKCMRWDLYHWKMAFLLVKFFNMEPGNACFRLLVMSVLRTRSFCYFLKIK >KZM98574 pep chromosome:ASM162521v1:4:25177532:25178098:1 gene:DCAR_014064 transcript:KZM98574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYENQPAFERVIQGRSSSTQARPQLPERRRSERSQQEPRYENQQGIEYIQQSTRSRTSRRPRVQRLEYEDQQGIEYIQSGTRSERSGVHRRVKEDQQGIEYIQPSTKSERSGVQRRKDEDQQGIEDVQGCRRSTRATRHVPESHDQQGIEYIQGSTRSERPEVLKRQGRYGIEYIQNRRSSNPYASST >KZM98812 pep chromosome:ASM162521v1:4:27074500:27074942:-1 gene:DCAR_013826 transcript:KZM98812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFISSSRNSASSWTQRQNEQFEHALAIYDRDTPDRWHNISRVVDGKSAEEVKRHFELLVKDIMKIESDQVPLPNYRTSGANGRAFENEQRLFKNIRLQ >KZM98089 pep chromosome:ASM162521v1:4:21004110:21008440:1 gene:DCAR_014549 transcript:KZM98089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEITYILLNAQSADGTVRKQAEENLKQFQEQNLSNFLVSLAGELSNDEKPVDSRRLAGLILKNALDAKEQHRKFELVQRWLSLDVVVKEQIKTCLLKTLFSPVPDARSTASQVIAKVAGIELPQKHWPELIVSLLGNIHQVPVHVKQATLETLGYLCEEVSPDVVEQDQVNKILTAVVQGMNASEGNNEVRLAATRALYNALGFAQANFTNDMERDYIMRVVCEATLSPEVKIRQAAYECLVSISSTYYEKLAPYIQDIFNISAKAVREDEEAVALQAMEFWSSICDEEIDILEEYGGDFTVADSDIPCFYFIKQALPALVPLLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIQEHIENSDWRKREAATYAFGSILEGPSPDKLTPIVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSSMETPIVNQANCQQIITVLLQSMKDVPNVAEKACGALYFLAQGFEDVGSSSPLGPYFQEIVQALLTVSHREDAGESRLRTAAYETLNEVVRCSTEETVPLVMQLIPVIMMELHNTLEAQVQKLFSDEREMQNELQGLLCGCLQVIIQKLGASEQTKYALMQYADQIMNLFLRVFACRSATVHEEAMLAIGALAYATGPDFLKYMSEFYKYVEMGLQNYDEYQVCAVTVGVVGDICRALEDKVLPFCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTSGADDEMIEYTNLLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDSIYMEKDMDDVVMKTAIGVLGDLADTLGNSAGALIQQSVSSKDFLDECLTSEDNLIKESAEWAQLAIRRAISV >KZM97249 pep chromosome:ASM162521v1:4:12825764:12829640:1 gene:DCAR_015389 transcript:KZM97249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARVAFCVIFLVFFFLVDSKKLDPYKVLGVDKSASQREIQKAFHKLSLQYHPDKNKNKGAQEKFAEINNAYDILSDEEKRKNYDLYGDEKPNPGFGGGSPNDQGYTYFTSGGPGQGGFNFRPDGWQSMGGQGGSKSFSFSFGGPSGGGGGFGLDDIFSNFFGGNMGGGSHFGGSQFGGSQFRGSGSSSRPDSRPSRSIATVNSQTYRKEVADKGITWLLLSSTSSLQGNQYYEPVIEEVDSSLQGALKVGKINCDTEASLCKELGIFPRRAPRLFVYSYAASGSGSLVEYSGDLAAKSLKIFCQEHLPRFSKRVSLDQFKFPSGDEKSLPTVMLLSTKKDTPVIWRALSGLYQKRFLLYDAQIPTLSGSNFDSVCDENIPVCIIGVFRSSKARTKLESILLEVSHKSLSRRQYSASGGKETIAYALLDATKQSSFLNSFDKNGYKSSDSLLLAYKPRRDKFAAFVGDISMEEVEKFIGSVLNGDVQFSKTRQKPKLK >KZM97182 pep chromosome:ASM162521v1:4:11995796:11996739:1 gene:DCAR_015456 transcript:KZM97182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTISEGNYAVTDANGNIMFKVKSKVLSPRDLHVLLDAAGNLVVSFQKKIMSMLSTWKVYRGDSSDSKDLVFTVRKSSFFQFKTQLDVFLASNTSKDNRDFKIKGSWFEKSCTTIYAEKSQLSLPRCTRSIRVSSIVLGKDKFAVTVYPHIDYAFIVALVVILEEINEEGKNGGSGRLQRCQYPFCWRLWC >KZM97753 pep chromosome:ASM162521v1:4:17523085:17526460:-1 gene:DCAR_014885 transcript:KZM97753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLLHGTIHATIYEVDKLHAGFGRKFLHKLKQDVEKVVGFDRTACRLYATIDLEKARVGRTRLLKDDHSNPRWNESFHLYCAHMASNVIFTIKEDNPIGAEIIGRAYLPVQELLGGNEVDRWLEILDDKDHKPIHGHSKIHVKLHYFHVTRECTWSRGITSTKHPGVPFTFFTQRHGCRVSLYQDAHIPDNFIPKIPLSGGRYYEPHRCWEDIFDAISDAKHLIYITGWSVYTETTLIRDSRRPKRGGDMTLGQLLIKKANEGVRVLLLVWDDRTSVKLLKQDGLMATHDEDTGMYFHNTEVNCVLCPRNPDDGRSIIQDLAISAMFTHHQKIVVVDSKMPNGDEKRRRIVSFVGGIDLCDGRYDTPFHSIFRTLGTAHHDDFHQPNFEGASIKKGGPREPWHDIHSKLEGPIAWDVLYNFEQRWRKQGGREILVQLRELEKIIIPPSAVMFPHDDERWHVQLFRSIDGGAAFGFPDAPEAAARAGLISGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFSWNSKDIKDEDVGALHLIPKELSLKIVSKIEKGERFTVYVVMPMWPEGVPESPSVQDILNWQKRTMEMMYTDIVQALQAKGLEANPKDYLTFFCLGNRETKKDGEYLPSEKPGNDTDYSKAQQARRFMIYVHSKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPHHLATEQPARGDIHGFRMALWYEHLGLLDNCFTHPESLDCIRKVNKLSEKYWDLYASDTLDQDLPGHLLCYPVGVASTGEVTQLPEMQHFPDTKADILGSRIDYYPPILTT >KZM97510 pep chromosome:ASM162521v1:4:15401446:15403477:1 gene:DCAR_015128 transcript:KZM97510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSDEMLKQEEPLLLTPSPNPKGGFKTIPFILATDLFERLASSGLSPNMIRYLMEEYHMDVTTGNNIILFWSAATNFLPVLGAFIADSFLGRYRMIGVGTIFSLLGMAILWLTTFITQARPPPCTGSVETTCIPSNFQVIFLCSSFCLLSMGAGGIRSSSVAFGANQLANKDVNLASSRSLQSYFNWYIATSSVAVLLASTVVVYIQDKMGWEVGYGIPVVLVFLSVLSFFVASPLYVKPKSKSSLITGFFRVIAAAYNNRHVDLPTDTTSVLFHCKEDSRRIVPSEKLRFLNKACLIKNGREQERPADGERLSSNICTVDQVEEFKALLKVIPLWSTGMIMSINMNQPSFPLLQATSMDRHITSNFEIPAGSFGTIGIIALTLWVVLYNQVILRLGSKIMGRTISFTIKQRMGTGIFVSFLAMVVSAVVEGIRRDRAVTVKNLNVAVTTVQMSAMWLVPQNCLSGIAEALNAVALIEFFYREFPSSMSSIASTMYGVGMSAGNLLASFLLSTIDDVTGREGKISWISTGLMVPVKENASDQERQALTEMRVSRVKK >KZM99043 pep chromosome:ASM162521v1:4:28942689:28945123:-1 gene:DCAR_013595 transcript:KZM99043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSHIFHLLIIIVLVYSMISPCCSLEDEVQPNINDIHLFSDPDRETGFDSRAYPSPFLSSDGVSSDMAEVIEGGISSYLEKLAAESAAKIVSVKSYGAKGDGESDDTKAFKKAWDEACSSGAVFEVPENKKYLVKQIRFEGPCKSAMAVQIYGTILASDDRGDYKKDKRHWLIFDSVDDLVVEGGGVVDGNGKIWWKNSCKVHKSKPCKEAPTALTFYNMKNLTVKDLNIQNAQQIQVSFEKCEKVEASNLTVTAPGDSPNTDGVHITRTQNMQLSSSVIQTGDDCISIESGTQKLKITDITCGPGHGISIGSLGDGNSEAHVSDVVVDGAKISGTSNGVRIKTYQGGSGNASNIKFENIEMEDVKYPIIIDQNYCDKSKPCKKQKSAVLVKNVVYQNITGTSATDVAIKFDCSESHPCEGIVLRDVRLRLEKDEVAQALCNNVELQQIGTVSPSCPDIQDL >KZM97226 pep chromosome:ASM162521v1:4:12452565:12452882:-1 gene:DCAR_015412 transcript:KZM97226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCYCGRVAADRVAWTDANAGRRFKNCIGGTNGCRYFQWVDGPLCSRAQVVIPGLLRRLRDMEANYENQVLEAQKRENKKWRLRMCVVCLVWLVLYVFSGSEKK >KZM97428 pep chromosome:ASM162521v1:4:14596979:14598578:-1 gene:DCAR_015210 transcript:KZM97428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSLSLSLPSTSLSERFNVAHSRFTLKPSAIHINACSSDPTSEDERNSKRRLLLLGAGALASTIIPVNSVLAEGTPANYDSFTDLSDGYSYIYPSDWREYDYRGHDSAFKDRYLQLQNVRVSFIPTDKSDIHDMGPINKVVPYLLKNVLATPTQVADIFDMQERTTDGKNYYTFEYTLTSKNFSRAAFTTIAVANGRYYTLIVGANERRWRRVRDKLKIVADSFKVLDI >KZM97265 pep chromosome:ASM162521v1:4:12939275:12939424:1 gene:DCAR_015373 transcript:KZM97265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKNKTREDAVQVYHGTKSSLGALIPWNRLVVKSRQVIHIHIFTRFNK >KZM98352 pep chromosome:ASM162521v1:4:23148854:23156936:-1 gene:DCAR_014286 transcript:KZM98352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHKKARKPKLDRRNALKNVDYEPSTSNSGSFVSPDSPIRTRSLDIPPFNDRTSFRIEGIDGEFDVICQSLGLSGPDDFAIPAAEWESRKLRSSSDILPRSRLNNLDMSFRVSELSDNFSENVRVRDRDGDAVGEESGRISKANVGDRGEVDKESVRISKGIVSDRDDGDKGSVGVSKMNVSNRGEADKEFVRVGNVRGINGARPPVLTPPPAIALPVIDHNSSTWDIMRSFAPDGDERDELAYGRGGISTDEDDGDAGENEESGEGERNVVSEDNLFTTSNEDDSSSTTTEPPSNVSPQGKSRLIISSWEKGKLLGRGSFGSVYEGIADGGFFFAVKEVSLLDQGDQGRQSISQLEQEIDLLSKLEHENIVRYLGTEQQYHLQDSQVSVYTRQIINGLKYLHDRNVVHRDIKCANILVDTNGTVKLADFGLAKATKLNDVQSCKGTAFWMAPEVVKRSQGYGLAADIWSLGCTVLELLTGQLPYHPLDGFSILKLPYGCCNLPDMNVAYYYVSKKLLPTTKDIIYKSISVYL >KZM97839 pep chromosome:ASM162521v1:4:18252528:18254971:1 gene:DCAR_014799 transcript:KZM97839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSQQNQRTAVTKTNTAVNNSIHSVVKVKRTRKTVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVDDLPEGIEGNGSSIQRRIYWIFEEASSQWKMGSSNRQSLRKQVSLPGNLCVPKITTISAYLILIIRATQEEAAVAYDMAAIEYRGLNAVTNFDLSRYIKWLRPDGNNSTTTAATDLPILKVETPNTLPNLIQDDHDHNNIVETNFFHHRQPTQPSLISAANNQMALNSNPPAPPATATSALGLLLQSSKFREMMEMTTAAEYPLTSSESEPSRTCNFPEDIQTYFESQDLSSFTGGDDFLFGDLNSLMQPMLHAHDSSSSGGIDHYF >KZM97668 pep chromosome:ASM162521v1:4:16715514:16716017:-1 gene:DCAR_014970 transcript:KZM97668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSTRNDINLCFVFLLLVITPCLSAGRLNPAAGLYDIDYHDPHTSPPGSPPSNTRLYDIDYHDPHTSPPGGPPANAKANPRVEEVRG >KZM98135 pep chromosome:ASM162521v1:4:21349564:21351658:1 gene:DCAR_014503 transcript:KZM98135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSSLMANLMGLLRIRIKRGVNLAVRDLNTSDPYIVVRMGRQKLKTRVIKKDVNPEWNEDLTLSVQDPDVPIKLTVYDHDTFSLDDKMGEAEFSIKEFIEALKVEVGSVPNGTVITTVHPSRLNCLVEESHVIYRNGRFIQELCLRLNDVECGEVELELHWIDLPGSKDLIRQSSSAVYDMLTISRAYEVIGLPYEHLDAALIICTGNFVSYEVLCLLNLS >KZM99179 pep chromosome:ASM162521v1:4:30017015:30021620:-1 gene:DCAR_013459 transcript:KZM99179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRTISO-1 description:carotenoid isomerase MSTSIFETPLPRSDLFLCSNSLVSQSFKLFDNSRSFGLKSLRPRCQKDRILYPKPLNFGFCRVSRRKRKPTFVLNSVLSVDKELESDESVGLGRSREYDAIVIGSGIGGLVAATQLAVKGAKVLVLEKYLIPGGSSGYYERDGFTFDVGSSVMFGFSDKGNLNLITQALAAVGCKMEVIPDPSTVHFHLPSNLSVLVHREYNEFFSELTSKFPHEKEGIFKFYGECWKIFNALNSLELKSLEEPIYLFGQFFKKPMECLTLAYYLPQNAGDIARKFIKDPEVLSFIDAECFIVSTVNALKTPMINASMVLCDRHYGGINYPVGGVGGIAKSLAKGLVDQGSEIQYKANVKSIIVENGKAVGVRLANGNEIFAKNIISNATRWDTFGKLLKQDELPKEEENFQKLYIKAPSFLSIHLGVKSDVLPPDTDCHHFVLEDDWSNLEVPYGSIFLSIPTVLDSSLAPEGNHILHIFTTSSIEDWQGMSQKDYEKKKELVADEIISRLEKKLFPGLQSSIVLKEVGTPKTHRRYLARDSGTYGPMPQGTPKGLLGMPFNTTAIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADLGLEQKSPILDAALLRLLGWFRTLA >KZM96650 pep chromosome:ASM162521v1:4:2982983:2986308:-1 gene:DCAR_015988 transcript:KZM96650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADSDENRDLGYQESPASVDQSDDSPTETAVYSAIRADSFWYRRTYSEISAFSEFTDDNSYSDSPSPLCWPAMKSPNQPVLRRLGMRQNKLGMDDTLENQEPVDKEVEMMKERFSKLLLGEDMSGSGKGVCTAVTLSNAITNLYASVYGQHLKLEPLHSEKKLMWKREMNCLLSVCDYIVEFIPASQNLKDGTVVEIMTNTPRSDININLPALRKLDAMLIEVLESFTDTEFWYAEQGSISGNSVRSGSFTRIITQPQRKEGKWWLPVPCVPPRGLSEKSRKHLRHQRNSANQILKAAMAINSSILAEMDIPNTYMSSLPKSGKESVGDTIYRYLSQCSTNKFSPDYLLNHLNISSEHEALELADRVEASMYTWRRKACLNPSKSSWNMVKDLMSDIDRNDKNTVLAERAEIVLFTLKQRYPELSQTTLDTSKIEYNKDVGQAILESYSRVLEGLAFNIVAWVEDVLFIDKSTKNDFMEPR >KZM98472 pep chromosome:ASM162521v1:4:24262449:24263987:-1 gene:DCAR_014166 transcript:KZM98472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMVARNGRHLQRYSTLGRRQVVGCIPYRYDGAKLEVLVISSQRKGKGMLFPKGGWEMDESIREAALRETVEEAGVKGIVEVGSSPSEKLGQWSFKSKGNDAYYEGHMFPLYVMEQLEFWPEKHIRQRVWMSVSEAKEACQHWWMIEALDKLVVREEAKPGKSNL >KZM97577 pep chromosome:ASM162521v1:4:15949058:15949570:1 gene:DCAR_015061 transcript:KZM97577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSYTNSPCAACKFLRRKCILGCIFAPYFPPEEPQKFAYVHKIFGASNVSKLLLEILPHQREDAVNSLAYEADARIKDPVYGCVGAISVLQQQVIRLQKELDATNASLLRYTSNNDNRITLHSHSAILPSRQGTFCFGHNDAGLSLPCNCSYSLNQDSGDANEGERNT >KZM98177 pep chromosome:ASM162521v1:4:21725896:21727051:1 gene:DCAR_014461 transcript:KZM98177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSNDIDLLHPPADVEKRKHKLKRLVQTPNSFFMDVKCQGCFNTTTVFSHSQTVVVCGNCQTVLCQPTGGRARLTKSSFRRKSD >KZM96596 pep chromosome:ASM162521v1:4:1845869:1848062:1 gene:DCAR_016042 transcript:KZM96596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVSIFNKYDKVQQLHSERTEWTLRVRAQAVWKGINRQTQEFRGLNVIFIDDSNWRIHAFVSAKISVFFDDILKEGVIYTLSNFHVRDYGTEEKNRAVRFGKHIYFANHTQLVPEVDNITNIAPYAFDLFLIADARTLLNDTRFLIDTVGKLEDKNIETVHSKEDEKKVHIRFRISDERSYINVTFFGDLAVQFEKSVKEIKDADISVIISSAKVNEHEGILGLLNLICEEHLNKMTDPAYCVNTDEPLLDHTPPLISVEDIKKLTKDFIEKKVRCQITVKKVDEKSNWYDNVCSTCGVEVTTVDGRYRCVLCSRNVPFPDKRFRIATLCNDTTGLIAIVFPDDEIQRIIGKNAFELEDEVGDEKKFPTLLKNFEKKDYVITLTISERNVNKISNIYTATDISDPIEVLGNHSPTNEALVTPAKDPVVNPAKAPIVQNCDNITASV >KZM96958 pep chromosome:ASM162521v1:4:9148476:9161549:1 gene:DCAR_015680 transcript:KZM96958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEDFWKEDSYDDYVPPKSKRTAKSKKMEYAGWGSKPLMEFLKEIGIETEKKKSQQELTAIINDYVSKNNLIKPSKKKRVECDEWLRYLFGKKFVLRIKIHELLFPHLAENRDESSDDTDSSDSGEEENGKIAYRKRKASVLGNKVTPNSKKVPESLKSCFASVIPENIKLVYLNKKSVLELLKDPETFESKVAGSYVRIKSDPYDYSQKNSHQLLQVTGARKEPACGDVSTAYFLQIPHRMKEIPVSKLSNENFKEEECEDLRQRIKTGSANRPTVTELQRKAQILHEVITKQWIAKELILVQNLIDHANEKGWRKELFEYLERKEMLKKPAEQERLLLEVPNVIAEELEPEASVQEPAEDEKNDVSCLPQLNIVGNGADIPFDGEADKSLLNDDFASTDSAEQIELEAIVQEPLADEKNNFCSSPVATVAGTLAPIPQDTEAENPEAILLEPAKDEKKSTCCLPKLTIARTPADMPSDTEVDKCFFLYGRRTMQYASDVDVEVKRTYNGTTYVKETNDVDREGIRTYNSGTTYIKETNDVDREGNKSYSNGTTYVKETNGTCVIDLSDDDDEEGPEGINDASQIIDSPESSLWYYKDPQQTIQGPFSMVTLKKWTENLYFPSDFRIWKSGHAPVLLMDMLSLMFPH >KZM98769 pep chromosome:ASM162521v1:4:26766406:26767434:-1 gene:DCAR_013869 transcript:KZM98769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLSIPVPIDIYTSLLKECTSSRDIDQAILLHQHMANSGISLGLSLRNRFLLLFCCCGCMSIARQLFDDMHDKDPNSWAAMIAGYMSSADYSEVIELFVEMQRYKFADRNVVWFPYSWILVCVIQACVETDNFELGRQVHGLLWKVDFEKNLFVCSSLIDFYGRNGCFVGADFVFDQVPCSNTVVWTARLVNKCREGRFDEALTVFREMTREGVKRNSFTFSSVLKACGRLGDGGLCGEQVHAHAIKFGVVSKSYVQCGLVDMYSKVGLVPYAKRVFDMNEDRKNGACWNAMLRGYMKDGEYIEAIKILYEMQAADLQPQESVLNELRCACGSSNILGAFG >KZM99568 pep chromosome:ASM162521v1:4:33102189:33102428:1 gene:DCAR_013070 transcript:KZM99568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKAAKPEPKKDEILVKVMPPLDPTYVRWLARDLERIYGYTPKYPCAVKPPDHYIEYMRLQGWLDVDLNDPDLAHLFK >KZM98405 pep chromosome:ASM162521v1:4:23680635:23683243:1 gene:DCAR_014233 transcript:KZM98405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKNVLVKESGLEMAINMAVSNSSIFTTAAQKPPSVPGGYITISRKKLLQNLEINGGTRINSWVDSMRASSPTHHKASHSLADDHSSWMMRATVRKLARYFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPSKGSNYAKSAQSVLCQPASEFLPMIDEVYQILLEKTKSTPGAKVENNKFCLSVHFRCVEEKRWSELAQQVRSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANCADVFPVYIGDDRTDEDAFKVLRDRGQGFGILVSKIPKETNAAYSLQEPSEVMDFLRRLVEWKRLSLRRQFRMLRRAVLDDGLLTKLDKAEPIITVQDAEMTCA >KZM99537 pep chromosome:ASM162521v1:4:32820416:32821501:-1 gene:DCAR_013101 transcript:KZM99537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETEKGQWTSEEDNKLVSYIQQHGSGDWTAVSKNTGLHRNGKSCRLRWLNQLNPNIKRGNFTTDEDLIIIRHQALNGNRWSAIAAQLPGRTDNDIKNYWHVHLKKKFSNVNSCSDNYGAEGTTKYEGDVATIGGLDYIKAYYDKMYKNSITGNSIIPTGNSITPPQNQLDENFFDDIGIPTMEEIDRLLLEDPDAPLPPPSPHSAPSTAPEQEGHDYLLNISGPLDHDQLSFGPY >KZM97521 pep chromosome:ASM162521v1:4:15514084:15521451:-1 gene:DCAR_015117 transcript:KZM97521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEADSHSDSPRRRSGLLRDQVQVVKRKNSDRYEIVRIQDPLSFEKGFFIVIRACQLLAQKNDGIIFVGVAGPSGAGKTVFTEKVLNFMPSISVITMDNYNDASRIVDGNFDDPRLTDYDTLLQNIRGLQEGNPVQAPIYDFKSSSRVGYRTIEVPSSRIVVVEGIYALSDKLRPFLDLRVSVTGGVHFDLVKRVFRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYILKSTKAVTEDKIKEVISDEYKERTEETYDIYLLPPGEDPEACQSYLRMRNRDGKYKLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHIFSDDRVCVKTDWLEQINRKYVQVQGRDRAHVKFVADQLGLDGSYVSRTYIEQIQLEKLVDDVMALPDDLKTKLSIDDDITSPKEALSRASADQRSRYLNRSHSLSARDKKLPRLTKLDVNSRRFDDRTPESPAALPKQGVITQLSEQITTLTERMDEFTSRIEEINFKISATNASSSQQNLAVHAESCNGTAQPALFMAGNGAMNGTLLPSSASSSQLARESPIMEEILLIGRSQRQIIHQIDNMNNILREYSDERSRQGRTDSTGNITYVESIGIPAVLGLVIGVFGVLLYRSMASHK >KZM98193 pep chromosome:ASM162521v1:4:21816418:21825541:-1 gene:DCAR_014445 transcript:KZM98193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVTTAVNALQFYTNVQPSIRGRNVYIQFSSHQELTTVEQNAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQFQLKQSAVTARNSLQGRNIYDGCCQLDIQFSNLDELQVNYNNERSRDFTNPSLPAEQRGRSSQPGYVDAGGVYGFQPSGVRPVGFPQMDNAAAIAAAFGGGLPPGITGTNDRCTILVSNLNTDKIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGATLFEKRLEVNFSKHPNITTGTETHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDVTEEEIVTHLEEHGTIANTKVFDMNGKKQALVMFETEEQATEALVCKHATSLGGQMIRISFSQLQNI >KZM97277 pep chromosome:ASM162521v1:4:13037345:13039101:1 gene:DCAR_015361 transcript:KZM97277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIYHIVPSTLATRCSFQSSIAFLITICVIAVIYFAGENGGLYDQKEELLTNNSTSNCSSDQNHSSESCDLFSGKWVFDNESYPLYQEDECTFMSDQLACQKFGRKDLNYQHWRWQPHQCNLPRFNATVLLERLRNKRMVFVGDSLNRGQWVSMVCLVDKSIPPGLKSMHFSFNNSLITLKAKEYNASIEFYWAPLLVESNSDDPVNHRLPDRIVRAQAIDKHARHWTDADILIFNTYLWWKRPFMNTLWGTFGSTDGIFKRVEMLRSYEMALRTWSDWLEFHIDRNKSQLYFMSMSPTHEWGEEWGRTTNDTCYNEQEMIEQEGYRGSGTDPRMMRIVEDTIDDLRKRGLQVKLMNITQLSEYRKDGHPSIYRKQWEALSEEQLANPTSYSDCIHWCLPGVPDVWNELLYAHIFT >KZM99213 pep chromosome:ASM162521v1:4:30294709:30295152:1 gene:DCAR_013425 transcript:KZM99213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIRTDHIEVDKETIELLATLGMSDVPGIVLKEEQAAIAPVLPAYGRGGFAGGAGGRRY >KZM97589 pep chromosome:ASM162521v1:4:16040530:16048677:1 gene:DCAR_015049 transcript:KZM97589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTPSQHGIKLAVNLISAYFGDIVAKVCECLLRKGTLPLAQIIHFTELSKQNAVNSLLVLIQHNCVQAFATQSEGRFGAAPRISTQYMALFDNIIHHMRFPKFIAIVNEELGKECEEIFVWLLQDGRLSVNDIVERQKEPSRNSTASDALHECFRKLVNAQYVERCPAHEPFLAPPTEEETAAKKRAAKFTKTGEDTIEARALAEASPMDSVRFLLETDTWTDDPEQKSNDDSSNLASAGEKRKLDALESDTKLWATNQKKEVLWRVNFEEFVRRLRHKSCIENVRARLDSGAATVLGAVLEATRRTETKVKVEISVPLSIDDIMQEVIKSEEGRTMNSDRVRASLAQLGCDMPSITGIDETYSIDLKKILELAQIKEVESIVLKRYGKEASRIFKLLLQADRVVETEMISKDTFVDKKDAAKILHQMWKDDYLHLQVMEKDKGKQKYLLWAVKKPELWQHILDDMYHAALNLRLRSAFEQEKDKEIAQLPREKREGELGKRFDRWMKIRLVLESSLMKLDDAILLFNDF >KZM99911 pep chromosome:ASM162521v1:4:35813067:35846280:-1 gene:DCAR_012727 transcript:KZM99911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQFLDNMDLERERGITIKLQAARMRFLYNNEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVAREIEEVVGLDCSNAIRCSAKEGIGIAEILNAIVERIPPPLDSAKRPLRALIFDSYYDAYRGVIAYFRVIDGTIKKGDRIVFMASKKDYYADEIGVLSPNQLQVDELYAGEVGYLSASIRSVADARVGDTITSYNRKAEQSLPGYKESTPMVFCGLFPIDSDQFSELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLTLITTAPSVVYRVHCVNGDTVECSNPSALPEPGKRRSIEEPYVKIEMLTPKDYIGPLMELSQDRRGVFKEMKFITENRASLAYELPLAEMVGEFFDQLKSRSKGYASMEYSFIGYRESDLTKLDILINGDGVEPLATIVHKDKAYSVGRALTQKLKELIPRQMFKVPIQACLGTKVIASETLSAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >KZM98285 pep chromosome:ASM162521v1:4:22549742:22550602:-1 gene:DCAR_014353 transcript:KZM98285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASNKVVLVTGCAKGGIGYEYCKAFAAQKCTVFASDIATRFSDMSELEQDQIETLVLDVSSDESVASAIKTVMSKCGRIDILINNAGVGSTGPLAELSIDAIRRAYEINTLGQLRMVQHVVPYMAKQQSGRIVNIGSVVGRVPTPWAGSYCSSKAAIHAMSSSLRVELKPFSIDVILVLPGSIRSNLGNNNTAKLAEYEWKLYSDFKEDIAERARASQGAKATDAAVFARHVVKKVLSSKPPKEIVFGHMTGLFAVLSWSPLWVRDLFFATRFNLKKKVTLKSNL >KZM97695 pep chromosome:ASM162521v1:4:17011213:17012565:-1 gene:DCAR_014943 transcript:KZM97695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVTDLKLIADCMISSGYGKECISIYRPIRKAIIDEAIYKLGVDRTISNHIQKMEWNAVEIRINNWLNAMKVVVEILFIGERNLCDQVFASSEAIREACFSDISKEGALLLFGFPELVAKNRKKSPAKIFRSLDMYTAIATHWKGIESIFTADSSSAVKSQALISLAKLGESVRNELTEFESSIQKDKAKALTAGIHNLTVDVMIYLSVLADYSNVLTDILDYTIPHKASETTSYFNISTFSDAPAPAISRRFKWIIHILLCRIGAKAKHFKDAALCYIFLANNLQNVVVKVLTSNLKYIVGDEWIANHEARVAEFATNYERLEWGHVIQHLSMKSTATMTSPEDVKEFFRKFSNLFDQAHRKQSTCVVADNKLREEIQRSIEGKISAIYRELYSTHRLTVEREKSIVKHAPDDVDNLLSDLFCGNSGSGDSLTFPSGSNSRRPRLWLN >KZM97696 pep chromosome:ASM162521v1:4:17016780:17017184:-1 gene:DCAR_014942 transcript:KZM97696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKGMGSLLFSSKKSSSSILESPSRPSFSDTVLERTLEIAEPIIKKWDADTSTFASVTSLFYENRKEATEFIKWVNNVQKSMHKYVSEHSSYSDNKLVRGQKLMEIAMKRLEKEFYQILSTNRAHLDPVNFCF >KZM99515 pep chromosome:ASM162521v1:4:32678641:32684251:-1 gene:DCAR_013123 transcript:KZM99515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKWEDMNVDCLVNIFGRLELQTRLQAVPLVCKTWYKALLNPSCWEHLIFPQMIPRLTSQTELMKFVVNRSQRRATILVLPYSCNREELLYVSEECWCCHHVIPSLIIKWKNLEALKLGNPIFINDILEPIPIALLDLRNCSVGGEAAAAIVSHFPKLKRLIMNESIVNKEDLLLIMQGCKQLDHLHVRNCSGFDEGDEDILRLSSGIKHFMSDGSKEMSGTRTPGGLALSGEAAAAIVSHVPKLKHLSMTQSIVKKEYVILIMQGCKQLEILDVRSCIGFEEDDEDILRLSSGIKYFQCDGSKAIDDELYEQIKLLSVAMAEVLLMTDIDVDASDSDDEVELQTKVQAVPLVCKAWYKALLNPSCWEHLIFPPFIPRQTSQIELMKFVVNRSQRRATILSLPDSCDREALVYVSEELPELRTLALSSSVCEEHGDGLIPSLIIKWKNLEGLKLGSSIFITEILEPIPIHLPKFASLDLSNYCSLDGEAPAGIPDIDVDWP >KZM97610 pep chromosome:ASM162521v1:4:16202837:16204920:1 gene:DCAR_015028 transcript:KZM97610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLHTLSLLSLLISLPLSSLSDDTAALSLFRSTTDTHGALLANWTLTSSTSTACSASWRGVNCTNNRVIALKLPSLNLRGSLDSLASLDQLRFLDLADNRLNGSISALTNCTNLKLVYLSNNDLSGEIPAEISSLRRIARLDLSDNNLNGVLPDEFPARLLTLRLDNNELSGTIPSSLDSIPKLKQLNLSNNLLYGQIPENLLGKFGNESFSGNEGLCGASPFPDCSSVHVPPPAASNRTVASSPTLSSKRSKRKGLSPGAVVAIVIASAVVIVVVIYIIVACYCGRYSRDSNLGSESGRKRSSYGSEKKVFASDGGGDSDGTNSTDKSKLVFFERKKQFELEDLLKASAEMLGKGSLGTVYKAVLDDGIAVAVKRLKDANPCGRKEFERYMDVIGRLKHANVVRLRAFYYAKEEKLLVYDYLPNGSLHYLLHGNRGPGRIPLDWTTRVSLVLGAARGLARVHEEYETARIPHGNVKSSNVLLDKNGVACISDFGLALLLNPVHATTRLGGYRAPEQVEVKRLSQKADVYSFGVLLLEVLTGRAPSKFPSPSSGNPVAEEEEQAVDLPKWVQSVVRDEWTAEVFDQELLRYKNIEEELVAMLHVAMACVVTQPEKRPSMSEVAKLIEEIRVEQSPLGEDYDESRNSLSPSAATTEG >KZM98014 pep chromosome:ASM162521v1:4:20382025:20390003:-1 gene:DCAR_014624 transcript:KZM98014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATIPSNSPLQDQAKRENSPAGLRRPIKGLFYILIVSSLILLVSIIQGPKSVSDGDADDHVLIKLYSSLKAMLPEHLEQRVEDVEVLDEKAKAYPWSNEMLSWQRTSYHFQPQKNWMNGPLFHLGWYHFFYQYGPNSAVWGNITWGHAVSKDLINWFHLPIAMVPDHWFDSEGVWTGSATILPDGQIIMLYTGGAADGAQLQNLAYPLNLSDPLLLEWVKYPGNPVIVPPPGMKDFRDPSTAWLGPDKKWRITIGSLVNNNTGIALVYKTTDFKTFELLDGLLHEIPGTGMWECIDFYPVSLTTKDGLDISAYGPGVKHVLKVSMAVGMEDYYAIGTYDPINDKWTPDDPKADVGIGHRVDDGKFYASKTFYDQKKKRRITWAWIPESDSESSDLLKGWASLQAIPRTVVFDTKTRTNLLQWPVEEVESLRSESYDFGNLKLEPGSVLPLNIGSATQLDIVATFEIDNEALQSTVEASVDYKCSTSGGAGSRGVFGPFGILVLADELFSELTPIYFYISKEPNGNAKTHFCADQSRSSKASDVAKPIYGSDVPVLHDENFLMRILVDHSIVESFAQGGRRVITSRVYPTKAINREAKLFVFNNATGVIAVNGMFPGPVINVTTNYDVTLNVHNRLNENLLMTWPGVHMRHTSWQDGVLGTNCPIQSGLNWTYNFQVKDQIGSFFYFPSLNLQRASGGFGAFIIMHRSVVNPPFRDPDGDVVVMIGDWYNRDHKALRADLDSGKVLGVPDGVLINGKGPYRYNPTLVPKGISYETINVDPGRTYRVHVHNVGISTCLNFRIQNHVLLLVETEGFYVTKRTFTSLDIHVGQSYTFLVTMDQNARSDYYIVASARFVNQSLWQRVTGVAILHYSKSKQKAAGPLPDPPNVAFDKSFVLNQALSIRPRKPSFTSTISSSNGGSKLFLLLLPVFSAFIAALL >KZM99076 pep chromosome:ASM162521v1:4:29255027:29256010:1 gene:DCAR_013562 transcript:KZM99076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFVIFLFYFFSLSLPSYSKRCNLKDEKVLLKIKLALNNPYHLASWIPDTECCNWYCVDCDPSTNRINALTIFQGNISGQIPAEVGDLPFLEILIFRHLTNVTGEIPSAIAKLSNLRMVRLSWTNLTGPVPSFFSQLKALTYLDLSFNQLSGSIPPSLSLLPNLAALHMDRNRLTGTIPESFGAFTGSSPPDLFLSHNQLTGSVPKSLGSLNFSRIDFSRNTLEGDISFLFGRNKTLEDADFSRILFEFDISKLEFPESLDTLDLNHNRLKGSVPEALTALENLQQFNISYNRLCGQIPVGGKLQSFTYTEYFHNRCLCGSPLPAC >KZM96910 pep chromosome:ASM162521v1:4:8628064:8630286:1 gene:DCAR_015728 transcript:KZM96910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHGVGQTSNRTFARRLAPSEGNFNYWRLLFFHSDTYFQAEMTFVWQMEIILDSCHGKGSFSGLEHIPNCGTETNLLEKTASEPLDSIMKQLVESNGRLHDAEFEIVSLKEKVGLLEISIESQRGDLEISERSLNMANDQASEMEKKVEPLKYECGTLKYEKIQALNNDKLLEDLGNSHHKAFLLAN >KZM96998 pep chromosome:ASM162521v1:4:9627346:9628932:-1 gene:DCAR_015640 transcript:KZM96998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKNPPFTPIQTRFVENLYGNSHDQTVSRENPARQEHVATHYLVNEEGQVAQLERNIEGNIYVEEQKNPNFGMGKPRLKWTPVLNERFNLAVLELGGFFKATPKAVLQKMNVKGVTIIQTKSHLQKVRNQVRKALNTIQIPNAQAYDVPNSGSSMGENQPLHQSNEIRNCHRIAPTDEGHAVNNMKSDV >KZM97348 pep chromosome:ASM162521v1:4:13806736:13808108:-1 gene:DCAR_015290 transcript:KZM97348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGHFWMNREKLMKMAGAVRTGGKGSVRRKKKAIHKTATTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFVSPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPGAAAVQGDDDDEVPELVPGETFEAAAEETPAQAS >KZM98037 pep chromosome:ASM162521v1:4:20577930:20580400:1 gene:DCAR_014601 transcript:KZM98037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKFRRYLQTILDGKTTLDESPKRWIDYNYYSSVVGGNPNKILINQLLEQRRTGKWADPIQASHPLPPYNEAEASLSMSTSNAMLMPNDYAFSSDNVATPTQGPGCVPFQGTVTVAGPVVGLGGTPFQSAGNLNMENISTYINHIQHLNYKANHDQPGYYDQNPLNMETMSTYINRIQHLNYKANHDQPGSYDQNPLVPQQYNLTNGGLIGGVNNITFPSTNHIVPYDQNPLVPQQYNLTNGGLIEGVITFPSTDHIVPYDQNSLVPQQYNLTNGELIGGVDNITFPSTNHIVPSSATTPIFDDLGSQQPSTGGLQDMQTAEMTQQARPWGLVSAGDXHPLPPYNEAEASLSMSTSNAMLMPNDYAFSSDNVATPTQGPGCVPFQGTVTVAGPVVGLGGTPFQSAGNLNMENISTYINHIQHLNYKANHDQPGYYDQNPLNMETMSTYINRIQHLNYKANHDQPGSYDQNPLVPQQYNLTNGGLIGGVNNITFPSTNHIVPYDQNPLVPQQYNLTNGGLIEGVITFPSTDHIVPYDQNSLVPQQYNLTNGELIGGVDNITFPSTNHIVPSSATTPIFDDLGSQQPSTGGLQDMQTAEMTQQARIFHCI >KZM97596 pep chromosome:ASM162521v1:4:16096131:16097394:1 gene:DCAR_015042 transcript:KZM97596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAWVYSEYGVSGEVLKYESEYSVPEINDNQVLVKVEAAALNPVDYKRMLGFFKGIDSALPHIPGYDVAGVVVKVGSQVKKLKVGDEVYGNVNETPLQNCKRSGTLAEFTAVEEHLVAIKPKNLSFAEAASLPLALSTAYQGFELTEFTPGKSVLILGGAGGVGSLAIQLAKHVFGASKIATTCSTGKIELVTSLGADVAIDYTKEQFEELPEKYDMVFDTVGACDKAVKAIKENGKVVTIWGEVTAPGFSFLVTSKASDLEKLNPYLESGKVKALLDPKSPFPFSKTVEAFAHLETGRAIGKVVIHPIA >KZM97494 pep chromosome:ASM162521v1:4:15229146:15230536:1 gene:DCAR_015144 transcript:KZM97494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMMNQYTNAIPSAINTSNSSINNLMVFPNENFSSQIPGFQGNLEYNFRSFSHEDNKDVTLESASVQRNDFCSSKKRIAGHEVEVCSSNSSPQASGSGNKRKNNSGAVKRSKSSDKDEEKPDDVVHVRARRGQATDSHSLAERVRRGKINEKIKCLQDIVPGCHKTMGMAVMLDEIINYVQSLQNQVDFLSMKLSAASTFHDINSQTDAFEQLQRAMAYEAQKMQRVVRRGNEEVASTQFGPLDLNFGSYPTLPYNS >KZM99133 pep chromosome:ASM162521v1:4:29658930:29662833:-1 gene:DCAR_013505 transcript:KZM99133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKEQLYQHLHKLTPLIESQTQLEELVKTLWSTRKTGLSPAQKSHFQSLLTLPNLSALSPTQSPRTKFPSHTIAGMPQPSTCFRTSEYVASPRPLNLLAGNDSCNNIGASVPVITDINLSPLASMPPEQGAGTDNLGTPPRLKSMTWTVDNQSKARRNKVAVINLKLQDYTQSPLRESEVKFQLTRETVDSMLKSMTQINEQFSTHTLLHELDMLSKFDSVH >KZM97459 pep chromosome:ASM162521v1:4:14913729:14914803:-1 gene:DCAR_015179 transcript:KZM97459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKNPVDGFSAGLVDESNLFEWSVTIIGPPDTLYDGGFFNAIMTFPQNYPNSPPTVRFTSEIWHPNVYSDGKVCISILHPPGDDPNGYELASERWTPVHTV >KZM98047 pep chromosome:ASM162521v1:4:20641706:20646211:-1 gene:DCAR_014591 transcript:KZM98047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCNELGLRMLIGGAIREAAVLGYYVTPLFSYYSYHGPVFRVLLRVNRGKLPISRYCSECGNSEAISWDKLGQLNCPCNSNVPGSLVVSGPLWTGPLHSKSYLEEMLNLAEEWGWIGNNIGVDLEKLLKYMIDESDPQLPFGYIKLDEVSSRGKINSPPLRSMLSSLQKTHHNYALSNYRSLASQLFLTRSALITRIHSRFFLHISVDAMAPPPVNGGESNTAESSRSLPTPFLTKTYQLVDDAAIDDIISWNEDGSTFIVWNPTEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFRRGEKRLLRDIQRRKIANLAVATAASQPPAAAAAVTVAVASPVQFVRTMSPTDSGEEQVISSNSSQAIANQLNRDSVPTTNTELVGENERLRKENMQLNKELSQMKSLCNNIYVMMSNYGNNNSNNTSANQAESSSKEQAVLKPLDLLPLKRYCDDLKIGNARSEPEEEDERSPRLFGVPIGSKRARSAEEEVKSEPLEAEDNSGGDPGVDDQDKPLGLGPCQQRSVASD >KZM96637 pep chromosome:ASM162521v1:4:2789719:2794687:1 gene:DCAR_016001 transcript:KZM96637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPESSSSSPTLISSNGDAPAPHRPISNPDLLDIDSYSSLYSGRTKITRLLFIADRCDVVSLQLEALRIAYDEVKKGENTQLFREVVAKIAGRLGDAHGPDPDWTEVVERKADARKDKLENELNAYRTNLIKESIRMGYNDFGDFYYAHGALGDAFKNYVRTRDYCTTSKHIIHMCLNAILVSIEMGQFTHVTSYVSKAEQSQEAVEPIVAAKLRCAAGLAHLEAKKYKLAARMFLETHPELGNNYSEVIAAQDVATYGGLCALASFDRAELKAKVIDNINFRNFLELVPEVRELIHDFYSSHYASCLDYLGSLKANLLLDIHLHDHVDTLYDQIRSKALIQYTHPFVSVDMRMMADAFKTSVAGLEKELEALITEDQIQARIDSHNKILYARHADQRNSTFQRVLQTGSEFDRDVKAMLLRANLIKHEFYSKQSKKLHNF >KZM99534 pep chromosome:ASM162521v1:4:32791281:32791683:-1 gene:DCAR_013104 transcript:KZM99534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTCGNCDCSDKSQCVKKGTSYGLDIVETGKSYVQTTVMEVFASENDGKCKCGTSCTCVNCTCGGH >KZM99239 pep chromosome:ASM162521v1:4:30487069:30491568:1 gene:DCAR_013399 transcript:KZM99239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMQCLDTKFFTSTSLVPMQLPSRMETHLWYVKPNEVKSEQLLNKYLEFLPKSEKEHVFSMRGDELRKGALLARALVRTTIARYQINQVSPRSMKFRKNIHGKPEVWVPYVVCTVDWQQIEDWHPQQLHFNISHTESLVACGVTTHNPIGIDIEEKERKIKHSISSFAKRYFSQQEVQLLSSISDPEIQRQEFIKLWTLKEAYVKALGRGFSGSPFKTFTIRSAAASKESIHLSESSSFENSDIVVESLEDSTNHTGDWQFALLELAGSHYAAICSRKDCANIDKSHPMKIGVWRTIPLVEDEYVSGTNSVIAVGGLM >KZM98157 pep chromosome:ASM162521v1:4:21546414:21546923:1 gene:DCAR_014481 transcript:KZM98157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSTSTETPGLRKQLPQAAASGGGRMFSCLYCPRKFYTSQALGGHQNAHKRERAAVRRAAASSASASAAIDSSSAACCYYPAGVMDSQMPATTVYWAPQYPPPQAPQCLHCYSTTTAAAPATPDQNPHHQPGPFALFSNHLQASAAVVDEYDNNDHSASAHLDLTLRL >KZM98276 pep chromosome:ASM162521v1:4:22494565:22498660:1 gene:DCAR_014362 transcript:KZM98276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQRFNLNDSGASTQPSANLNFPQMALLSLHQDDGHHDSKAIFPCLKSMTWVMEKKNLAPANRVAVINLKLQDYSRTPLAESEFKFSLSTITLEPLLRSMASLSEKMSATVNKVATVNLKLYDTVTSTEDSEVKFKVSRDTLGAMLRSMAYIREQISSAVHVHTPLLISVKFVIFTI >KZM97727 pep chromosome:ASM162521v1:4:17275274:17279620:-1 gene:DCAR_014911 transcript:KZM97727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRDSSDEEDDFVTPGESFSKSHKTCQSASGKKECKMGAKESYRSKRLTPSVKIRKRTPQNKVAGSGNRASAAIIIASKVVRRRNVKKTPKLKIGYADGSTEHEEMPKIQQGLKKTRSNENYIEKKISPSIMSQVIAGLSDNQRQWVRVTGFAELLNFRMLCYTHRLGYNVVNAFDSKKCSLELRAGSIQIDDKMVEKVIGLPRGDKEIIFSDNSSLMSEWSDQFPECKRCKIKPRMVKDKILASRLDDKQFKLNFLVLFYNFFIECNQNQYLVRDVLNVKMDIDNCGEYNWCQLLIDKLKKTHAFWAANRTRNFKGALAFLIYLYVSKVRNKYTVYVSPSVPAFRAWSDMLLRERQKYEEKQGCFGVGQLVELDSGEQVNIDGDGEDVNDMIVEDSLQMDEENYGHIAEEEMAVEMDMNDINRNEKRETIGVTNDSNLHTMHSVSTVMPEDENMGAALCKREIVKVADDSHLDNSVTNQSRALPNKNNYIKGDTVEGTQTNMDELIDKHFADENYAQEFTKNLVELRHNYEKCLNNYVVAGLLYPDNPIIAGLKKEFGFFFKLFEDTSPISKKFFMGSGDGEHGDKVADRKESEFAPSFSLGLTQMTPRNLCAELEGEGGMRAASGGLYSLTVADRHDVLCPGDDNALIQRQRRAVRTTEICRSPFVSRVVDVVAHTPTLEEKNVWNWLFSNKRNKKDILFEWNTRMCTKAHFQSLHDTKLVESTLIDAWTCMLNENEILRSDSSPLRLFLNTETSYGPMNMSVAEGDIHEKIKRYAVFDDNMDVVLELVNRVHDRVYNVPDFDMFVFPIIQAAHHYIICYNMKKPTWEIIDNRVPFYGIEELYGDLPFRLHDCFCEWISVYNLPKGKEIISLNPRVVRVGWQTKDNSVDCGVFVMRHMETYMGNLSTWRAGLRTENDNQKSLLRKLRVIYCHKLLTWECNRYKDFVRDSASAMAKGKTVVE >KZM99159 pep chromosome:ASM162521v1:4:29868058:29871639:1 gene:DCAR_013479 transcript:KZM99159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLQKVAADLRSSIWKQMAGAGIKYIPSNTFAYYDQVLDTTAMLGAVPKRYNWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVAFSYASHKAVTEYKEAKALGVDTVPILVGPVSYLLLSKAAKGVEKNFNLLSLLDKILPIYKEVVAELKAAGASWIQFDEPTLVKDLEAHQLEAFTKAYAELESSLSGLDVLIETYFADVPADAFKTLISLKGVTAFGFDLVRGEKTLNLIKSEFPSGKYLFAGVVDGRNIWANDLASSLKTLESLEAVVGKANQYSSLARKYMQYRKRNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSKAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRSETCYQIALSIKDEVEDLEKAGVTVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNVGVLDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVNPALKAMVDAAKLLRTQLASAK >KZM99607 pep chromosome:ASM162521v1:4:33394276:33394947:1 gene:DCAR_013031 transcript:KZM99607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTMSSPGNNWDFYQHNAPAKPVGLFSSNQVSDATTVTATHIGSASGGGGLSPEGRVAKPVRKRSRASRRTPTTLLNTDTANFRAMVQQFTGGSLAPFASGASSTSSYPIATNFSLGIGTGQQYGNPNAGMIPRPGYNILQVQQQLHQQQQQQQHQFMFSKNDNPSDDDHHAYLRGLERSSIPHMESSGGVDFRLDGVSSSVPPIIRSSSSSQNLSEDNYML >KZM97474 pep chromosome:ASM162521v1:4:15037932:15040895:-1 gene:DCAR_015164 transcript:KZM97474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALSDQSLSCMDSLAKLRSKVNRKRWRLAFAAIFSSRAFYKPKPSLEINQSSLTRLVKDKDLAYLQKLGGVRAVVSALETDLEHGICEAKADDISRRQEIFGVNTYRRLPAKSFFSFLRKALIHSIVFADIVAIVLSLVFDMKKYGAKQGWYEGGSIIGAMFLVIIVSTISNYRQSRQLDQLSQISNNIQVKVVRKRKHQQISIFDVVVGDIIFLNTGDQVPADGLFVSGHSLQIDESCMTGESHHVDINHKNPFLLSGTKVADGYARFVVTSVGMNTTWGEMMSSRIQDHGCETPLQERINKLTSLIGRISLVIAFLVHLVLLLWFFTGHTKDDDGKTEFEGGKTTVEDVFNGVREAFVAAISIVFVTIPEGLALAVALVLAYSMKRMMADQAMVRKLSACETIGSATTICTDKTGTLTRREMKVAEFWLGQESIEEKRFASIGPNVLELIHQAAGLNTAGSAYRPSSGSEVEFSGSPIEKAILSWAVLESKMDMEDLQRNSKLLHVEAFNSEKKRSGILLKKKGDNTIHVHWKGAPEFITAMCSHYYDPLGNVNALDDSKREEFDLRVQAMCASSLHCVAFAHKQVSDHESAEGNAKLTDKNLTLLGLVGLKNPCRLEVCKAVQDCQTAGIRVKMITADNVFTAKAIATDCGILKFNQDMDTKEMYSGVVVEGVEFRNYTPEERMEKVENICVMARSSPLDKLLMVHCLKQKGHIVAVTGDGADDAPALLEADIGLAMGIGGTEIAKESSDIVILDDNFATVVNVLKWGRCVYQNIQKFIQFQLTVNLAALVINLVAAVSTGEVPLTAVKLVWINLIMDTLGALALATEEPTKALMEKGHVGRKEPLISIIMWRNLMAQVLYQILVILILQFRGKAIFDVSKKVKDTLIFNTFVLCQVFNEFNARKLEKKNVFVGMHKNKLFLSIIGVSIILQFVMIEFLDKFTDTNRLSWGLWGACIGIALGSWPIGFLVKFIPVPDKPLFQN >KZM99784 pep chromosome:ASM162521v1:4:34823085:34823922:-1 gene:DCAR_012854 transcript:KZM99784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWNIIKKRKRNSSLPGGEGNSTLGGKGNSSSGGGAQLSEAQLAARRAMSLALNMPLTDKLMASSSRVGGTSNMNQNEKSKADGAQQRTVVLGLSSQSLVNSKAHSTKSTDEMVKATAVAAGARIGNPCEAMLLKNNGSSLPSNVHYIRTGLASTTQSTSSSALNDPRQIQKPAGATKKLEGILPNSVDGGQVPVDRD >KZM97221 pep chromosome:ASM162521v1:4:12394042:12400539:-1 gene:DCAR_015417 transcript:KZM97221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALLLIAIIVSFLVFIINIYLLINYQHPDDKNQAYFPKFVVVLGLTVSLLSILMLPADVANRQACKHAVYNGACNFTLPMKDLWLAVYIVDVVLVFFFVPFAMFYYEGDQDKXXRLKSALAWVGTSAVVVALVLGILYGLVGKVDFTVRHLTSATESFPSTWSSFTSGQQCIGNGARECSAFTGDSSLETTWTKHATFPEYIVALATIVGSVLFSIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKARELKKAADALHQEEKSGSKGSKWRKNTKALEKEVHLLEEDVKALEEMYPQGEQAETTWAMTVLGYLAKLVLGVLGSAGHCCICILLPVSSACCDCWGNDAGLEIGFYHHTSYEVGSNSHELLSLQCRSHSSLLHQLRVIVGALRDSVIQFCSTAFAYYAQATSAQEIFGHTLQSLRGIKYLYKYNVFQITFIVLAGLTFVYYAALEGGNQETSGYLTALDVRGDVMYSDEK >KZM98809 pep chromosome:ASM162521v1:4:27052345:27055171:-1 gene:DCAR_013829 transcript:KZM98809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSKILVIGSTGYIGKFLVEAAAKQGHQTFALVRESAVSDPVKGKLIESFKASGVTVVYGDLHDHESLVKAIKQVDVVISAVTFGQILDQSKILDAIKEAGNVKRFIPSEFGNDVDRTNVVEPAKTNFAEKAKIRRAIEAQGIPYTYIISACFAGYFLPTMAQPGATAPPRDKVVILGDGSAKAVFNLEQDIATYTIKTVDDPRTVNKSLYIKPPHNIHSFNDLVTLWEKKIDKVLEKIHVPEEHVLNQIQGSAPPMNLIISISHAVFVKGDQTNFEIEASFGHEASQLYPDVKYTTVDEYLQHSLVVSVLDIKQPAHGLGDLHDHESLVKAIKQVDVVISAVTFGQILDQSKILDAIKEAGNVKRFIPSEFGNDVDRTNVVEPAKTNFAEKAKIRRAIEAQGIPYTYIISACFAGYFLPTMAQPGATAPPRDKVVILGDGSAKAVFNLEQDIATYTIKTVDDPRTVNKSLYIKPPHNIHSFNDLVTLWEKKIDKVLEKIHVPEEHVLNQIQGSAPPMNLIISISHAVFVKGDQTNFEIEASFGHEASQLYPDVKYTTVDEYLQQFV >KZM97037 pep chromosome:ASM162521v1:4:10025007:10025525:1 gene:DCAR_015601 transcript:KZM97037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGCYSLEITIISCEGLRINRQKSVKRNTFVTVQTDAQNVNTTQLDIKGGSYPAWNEKLYVRMPMHLHFLHIDVQCKVSSNGNKFIGRAKIPVTDFTGAYYPENYLHILSYRLRDEYGERNGIINFSVRMRASDPTNVPNYGHYQLPRRISPMAGEVCNYGMVTGVPVWNS >KZM99877 pep chromosome:ASM162521v1:4:35519999:35521298:-1 gene:DCAR_012761 transcript:KZM99877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASNMPDLSLQIRPPCTKPMRGYNNGGLMKKYMGERSDSASSGGSSDLSHEYGCHPRSYLSLGLEMVSGLSPPPPAKYQHYQPHIYGRDFKRSSTSRTMNGASKRSARAPRMRWTSSLHSHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKNTDKGLIGQGQTETEDFKQGTEGTGGDGDACDYLLMPSYQ >KZM97349 pep chromosome:ASM162521v1:4:13810779:13810946:1 gene:DCAR_015289 transcript:KZM97349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAREKPDAELVKVTQEMKSFKAYSKLRAERTNERHLGGRFKRAAEADTEEKNK >KZM97825 pep chromosome:ASM162521v1:4:18131364:18148144:-1 gene:DCAR_014813 transcript:KZM97825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLCKLFYKKPPDGLLEISERVYVFDCCFASDTWEAQEYKDYVGGVITQLADHYPEASILVFNFHEEGAPSQIANALAEYDLTIMDYPRHYESCPVLQMEVIHRFLQSSENWLSQGQQNVLLMHCEWGGWPVLAFMLAALLIYTKQYYGEQKTLDMVYKQAPHELLHSISSTNPMPSQIRYLQYVSRRNVVPEWPPPDRALALDCIIIRMIPDFDGKGGCRPIFRIYAQEPFKVSDQNPKLLFSTPRQGKALRHYKQAECDVAKIDINCHIQGDVVLECMNLHDDMEQEQMILRVMFNTAFIRSNIIMLDWNDIDMLWDAKDQFARDFRAEVIFSEMDAAASRVPIDLSCFEEKDGLPMEAFAKVQEIFNNVDWLSPKNDAALDMIQQITGSTINAREKLDAGPDKTADPSSLLLKLAEKHQDEQQSPKPLDNKNQSETSHGTAQVQNTSENMAKPKSTEFKSEGTSPSQHSQPSSRVASTESSPSLHAPSSPEKSQHRSTSEDIGPSSTELPDANKYERSENAPSAVSKSRQPPKEEFASKTKSPPPPAVDIGHSPDSPPSTTPHVPQTTPLKDGSPGSVKSAHPHPQHTDAAFQSSKDTKDTKNDQPQAPTSPAIPSDTHASPVVPGKDQDIKNEPSSPPDPASAKDQNVKFGGAPPPSSPSTSPSKEDSPPKGKPPPPPAPNLSSHAPPAPPSAVAPLQPPPAGAECQQGPPPPPPPGASNQQGPPPPPPPGAENQQGPPPPPPPGAANQQGPPPPPPPGAANQQGPPPPPPPGAGNPQAPIPPPSGPPCMGRGGLLSRTMNRTNKLKPLHWLKLTRAVQGSLWDETQRSADASKAPDIDIPELESLFSAAVPKSGKGGPGSKTNSRTPAVNKPEKVQLIDHKRAYNCEIMLSKVKIPLNELMNSVLALEDSAIDADQLDNLIKFCPTKEEMELLKNYKAEKDKLGKCEQFFLELMKVPRAESKLRVFSFKLQFGSQIRSSSKLKKIMQTILSLGNALNQGTARGSAVGFKLETLPKLAETRARNNKMTLMHYLCKVLDEKLPEVLDFSKDLGSLESSSKIQVKALAEEMQAINKGLEKVVQELSLSENDGPVSEKFHKVSREFLCIAEGELRSLASLYSVVGRNIDESILYFGEDAGRCTFEQVVSTLLDFVRMFNKAHEENCKQQELDKKKAEKEAASEQKEKNDSHKGSEVSIVK >KZM97038 pep chromosome:ASM162521v1:4:10028879:10032832:1 gene:DCAR_015600 transcript:KZM97038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLANLFVRIVPSGVLMPLMQWGGRTHVVIAVARGIKEEFTAIFIMFTAWCSIEVIRYSNYALNCFNMSPYILTYLRYTVFIVLFPIGFLSEMWLMYEALPFIKKKNMYGKSFAALPFSYSDFVTVLLCVYPFLWLKLYLHMFKQRRSKLGKRHKKKRN >KZM97890 pep chromosome:ASM162521v1:4:19042846:19044337:-1 gene:DCAR_014748 transcript:KZM97890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNSELITIEETEDQGKDNTVKDIDNDITSNVLSRLPTKTLFGLKLVSKEWHHLMLDRSFIRLQLKSTEALSGFFFQGKFQWCGEDIQSVHYIPAKADVTKLHSTVLNFLPEPVVVHSSVHGLICCRSCFPSQNPIIYVCNPVNKEWVSLPYPESDFQDSLALGFDPFVDPIDVKTNFKVIRVYEVEADMDNMDDIRYSFDIYSSQTGTWRKAVEICQCSSHLCKNKGLFVKGIFYWLTGGDKILMFDVKNEFSLLITVPLPLTQFNSIPEMCIGECDGRLHYVLISEHGLQLWVLEEQYTSQWDLKCSISLDKFEEENRVFAYNVREKITGPPASSPWIDPLVFKDGMLLMKITTDIFLFDFEAVKLKRLCSLYQLGPNSSNSSIVLPYTMSLVPLDYL >KZM98890 pep chromosome:ASM162521v1:4:27701092:27702315:-1 gene:DCAR_013748 transcript:KZM98890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACINNISLSPEKFLDCPATFPTYGWLSPKMSFGRDEEVSKPTVKPRPQSPEKIVDDSEVEADRDDTGDFEFRLDFPVTMLPADELFSDGKLMPLQFRPAEVSSEATLPDTPKVHRSCEIAGMDPYLFSPKAPRCSSRWKELLGLKRLYQNNNAKLESPKTSLSSNTNTGISNGTGSGAAKSLKHFLHRNTKSTPASATDSSLSLPLLRDTDNESISISSRLSLSSSSSGHDHDDLPRLSLDLEKPHSSNLTHKHHQNPPRIRLVKHRTVSTEGKISKSPIRRQADSNAAATTRGGVSLDSPRMNSSGKIVFQSLERSSSSPSSFNGGPRSKHRGVERSYSANVRVTPVLNVPVCSLRGSSKSGGVFGFPLFSAPPHKRDNGSSTNGSRNHQQQSSSKNKTDRSS >KZM99794 pep chromosome:ASM162521v1:4:34919341:34919865:-1 gene:DCAR_012844 transcript:KZM99794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPDKSDAHAYKNTDNDEEVSPVEQVRLTVSNVDDPTLPVWTFRMWFLGIISCCLLSFLNTFFSYRTEPLLISMISVQVATLPIGKLMARVLPRRKFRVFGFGSAEFSLNPGPFNTKEHVLISIFANAGSAFGNGAAYAVDIVSIVKVFYRRKISFLASWILVITTQVITAWL >KZM97454 pep chromosome:ASM162521v1:4:14828723:14829921:1 gene:DCAR_015184 transcript:KZM97454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQAVKNGDATKGNAQAVAFTAMKPMLFVEPPKANDAVLFYKSEMCGFSFGAKRRLIEPNDVVCLGRLDEQHRLHRRECHRLSVPFGSVSVLHSMFSHLSDCGERN >KZM99899 pep chromosome:ASM162521v1:4:35694328:35696791:-1 gene:DCAR_012739 transcript:KZM99899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRSLVSSNFLYFPPKTPNCTRTISLIFKKAASSSSTINNVRPIKAMRCSPPINKTGRCLQICHSSQDPEENEIDDNTQSGGAGGNWTTSVLLFFLWGGLMFYIFNLAPNQTPSRDMYFLKKLLNLQGDDGFHMNEMLVSLWYIMGLWPLVYSMLLLPFGRSSKSIIPVWPFLVLSCFGGAYALFPYFILWRPPSPPIEEAELSRWPLNFLESKLTAGLTIAAGLGLIIYAGLAGGDVWTEFYQYFRESKFIHLTCIDFSLLSAFAPFWVYNDMTARKWDDKGIWLLPVSVIPFLGPSLYILLRPSLSALPISLSSSTTEEN >KZM98958 pep chromosome:ASM162521v1:4:28293274:28294035:-1 gene:DCAR_013680 transcript:KZM98958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQFRPEGQMSKFKGRNNRTSRSNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEAAARAYDEAACLLRGTNTRTNFVTHVSANSPLASRIKNLLKSKKGVVEKQNLDTSSTNTSSFTSSPTSSGGDYLSRGMVKDPDHLFDNAYKPDMQNFDDEFYLSSSYESNISWGLESDGAEKVSYAQEMWELPKNNMAEASTGLEVSEFEHMKVDRQISASLCAANGVQEYIQTVNDPNDSIWDLPALCSLLC >KZM98882 pep chromosome:ASM162521v1:4:27629216:27632544:-1 gene:DCAR_013756 transcript:KZM98882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESESSVIESVEDNNELIVRSGSKLVDGSKDCVLENVGKSSADEVRPEGGQSKPAGSIGSSPKVKKGYGLKKWKRIPRRESSKERGNSVDNDRGKRVLPNATNDSRNRAGSADTKQRSEGSVSSTNAVVKSPGLASGGFGYGLGFGPINAVATDSENSEDRSSRSSTAASAPRWKSEIAALGGYLGDNNGVISSNRDHNVAAQMGQQGKSRTDSGKKPRGNQVKIEKENSHSSMESDSRSSNFVFLQGASSVTSKGRQSRRSANYDGENSDDEAQGSEQRFAEELQAGFRKNMAECEDVSQSYLPADLNWKRKGVNSENIGLSADQDPLLESITSLQSAHEALAQELLKFREIGKDDSDEVQDSIPNLTEQQQYGETRQTRRSSLDNERVNLKWNVNKLESKLADSSSVLEVKESKVIELEDNTARLEENRKISELEHKNSRDQNLELESLFKQKIEAEVGFLVLYSSLSSGVNKADEIKFLEEQKTLASEQAKIVNFSENIGTRARMLKRQVDELEMKKEIGEYVKLEKRMCKFASLFFIQLVLLFAALCFFVLQLVPEYTEDVPT >KZM99216 pep chromosome:ASM162521v1:4:30305265:30305693:-1 gene:DCAR_013422 transcript:KZM99216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTDSLAAAITRRGSSGIGECCARVFVQHGAKVVIADIQDELGHTVVEALGKVNSIYVHCDVTYEDHLKDAVDITISAFGKLDIMFSTTLAPSILTSLEFLTT >KZM98756 pep chromosome:ASM162521v1:4:26670499:26672873:-1 gene:DCAR_013882 transcript:KZM98756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTINLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITSAQGEDLKKLIGAPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKKGQKACSIL >KZM97107 pep chromosome:ASM162521v1:4:10871564:10875701:1 gene:DCAR_015531 transcript:KZM97107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHQRSQMTNMNIPVVPPEFAPIQPRFGENQFMSFYGQHQTMMNPSYQEHVSYPVHQTPSLDFSFQQGQMMDNAKFYPEGFCYQYSVQIWTDHLEGNVAANGLVVELERPSFSLKKPRLNWTHELHERFNHAAQELGGYFKATPKAILQKMNVRGVTNDQIKSHLQKVRNRVLNTSSTSKILNGETYSTPNFDSNIGEHEHLHKSSEALVAQEPKINKEKAALKLKNKEQEEDEKNEADNMDVLEVGENDFYTIEKLDEVVLSMTYLARKSSNIRFKKLGIFKGKGQSSSSNINFN >KZM99578 pep chromosome:ASM162521v1:4:33165309:33165641:1 gene:DCAR_013060 transcript:KZM99578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHYGKVALFLLAALVGKEHGVVAGLAGCGLIKSVVSVDCILMQDLKTGHLILTSPRAMLLRQSIGTTIGCMVAPLSFMLYYKAFDVGNPTGEFKAPYVVIYRSMAFSG >KZM97604 pep chromosome:ASM162521v1:4:16164767:16166269:-1 gene:DCAR_015034 transcript:KZM97604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFMGHAVPGTLFLLIGVWRVWCSLVRQVLNPKFFQVRVWNPVPGYNGKLKYLELYVISAGAFLDMCIELLYSPHFNYFVDGVLNPTHMNDFEHGGMLLMFFVYGVVMLLSEKTSLFPLPDGALCFVAATAFCAEFLLFFFHSTTHRGLEGHYHLILVLLVALCIFSVIAGALVPNNFALALYAAPHYHRCLYQIPFEQTRYCRSHP >KZM97246 pep chromosome:ASM162521v1:4:12782855:12785851:1 gene:DCAR_015392 transcript:KZM97246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNVQVVDISNTQLRITNSVAYSCYSPLGEVTSTKNSSIELGATSYMYSDDNVFTVIGCDDFGNVLNNDYSSLPKGCSTTCENIDEVREDGPCSGSGCCQVNINLLKKFKIVLKSFNNHKSVSLFSDCGYAFLGEKNFKFKGKSDLNDSGFWNRTMETVPIVLDWVIGNRNCTEAAQYKNSSVCYQNSKCVDGFGTRYRGYRGYRCNCNKGYQGNPYLSPGCQDRRVVREGTLDQLHNAAQLVKRCLNLNGEERPTMKEVTMEIENLRKLSKHPWTQGNEDTEVLIGQTDFQHSDLYEIQPSSYHNVVNDSDQYSSSTISLLHPPTSPR >KZM96860 pep chromosome:ASM162521v1:4:7853850:7860061:1 gene:DCAR_015778 transcript:KZM96860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVASRQSFLNTAKWIEEVRSERGSDVIIVLVGNKTDLVDKRQVSIEEAEAKAGDQNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSTVSSSQSQGESGGCFC >KZM98006 pep chromosome:ASM162521v1:4:20310708:20311662:1 gene:DCAR_014632 transcript:KZM98006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENSTGDAGAAPDFSEDAYEIPDPEFYNFDGNKSLEKFEIGQVWALYSDEDGMPKYYGRIKKIDLLLQCKLHVAWLGVCSKSIDIMQWNDKKIPVTCGRFQLRKWKPSEYTSTAPFSHQSPDL >KZM99330 pep chromosome:ASM162521v1:4:31150301:31151795:1 gene:DCAR_013308 transcript:KZM99330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRQLLISTKTQKLLGVISAPRYSMLVATLLCLSTKLLAQASNPRMTTCLEDSIIDMQLKLVPGNSAGTVTTFYLSSQGAGHDEIDFEFLGNSSGSPYTIHTNVFAQGKGDKEQQFQLWFDPTSSFHTYSIVWNPQRIIFLVDNNPIRVFNNQESIGVPFPKSQHMRVYASLWNADDWATDQGGRVKTDWTKAPFTAYYRNFNIKGTIEGWRTHELDAAGRNRLRWVQSKHMVYNYCSDKQRFANAVPAECRRSRFL >KZM98056 pep chromosome:ASM162521v1:4:20749231:20752488:1 gene:DCAR_014582 transcript:KZM98056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAAAPSNVGGTSESASYTYWVRKDLKDSKDAAPLPVPRKLSPQDLLNSSNSHSSNHLGSAWNRAGTWEEKNLNGWANDTIKELLGFTTLEFSGGRAEIVEVSKCSGDAFLVTVRNKKRVGYTYELTLKVKGEWMIGDEKKKVKGHLDVPEFSFGELDDLQVEVRLDAEKDFVPEDKARIRKDLKMFLQPIQEKLIEFEKQLKDR >KZM98485 pep chromosome:ASM162521v1:4:24331084:24332403:-1 gene:DCAR_014153 transcript:KZM98485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSFRRRKGNSAKRNGLPGSNHVMELTIPSHFRCPISLDLMKDPVTLSTGITYDRESIEKWIESGNETCPVTNQVLVAFDLIPNHSIRKMIQDWSVENKAYGIERIPTPKVVLTSYDVLDVSSKINAAINHGDAVKCRELVRKINAWAKENERNKVIIIENGFGFVLASSFDALASVSVEKHEGLLEEILSTLIWMFPLGLESQAKLVSPSSLRCMAWFLKSKNFSTKQNAVLAIKDLLSLDQQRAIALLEVHRDVLDSLIFLLKEPICPTSAKANCLMVIYSIITLPKTSEIGTSRLLKMGLVSLLLEILVDSEKSLSQKALGVLDSICSTYEGREEARKNALTVPVIVKKILRVSAAATEFSVSILWKLCENGDRKVLVEALEVGGFQKVLVALQMGCGEQTKGKATELLKWMNQNRKKLNCFETSADLRYLKKSY >KZM97211 pep chromosome:ASM162521v1:4:12284762:12285877:-1 gene:DCAR_015427 transcript:KZM97211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIDQFLPTEMIFKICKSWLSIITNPQFIRTHLTISNTQHPSLDNLAYLLCFNAMVRDQPESVPLAFLGSRENSSCIQLPLQFHTGTQSRFTRFRFIGYCNGLVCIADYYSPMLYVWNPSIKRFKMLPTPNLITKTTGVKLGFGYDSVCDDYKVVRILVSSVSNVVEAELYSANEDRWRDIEVPVGLNNAWPLWSYIPVHAINEVLYFVASQVLVSFDLHNELFEVYRYPFPMKDIRNFTSLIYEGAVAMIFKTAVGDGLVHSLWTLDDAGGKVCWTKKSVFEPELEIDRVELYLGSGCFVAVDFCDFQYFVYDLEKKETERLLLSLVEHNVSSVVKYTESLVSLAGFEQVEKVVLSEETMHTVLFPSQ >KZM97251 pep chromosome:ASM162521v1:4:12842136:12844655:1 gene:DCAR_015387 transcript:KZM97251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSISTVTTSPLACNDLGSPPKNSAVSPIHDTPTTSINSSQGTLGRHLARRLVQIGVNDIFSVPGDFNLTLLDHFIAEPGLELVGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDYGTNRVLHHTIGLPDFSQELLCFQTVTCFQALVNNLEDAHELVDTAISTALQQSKPVYISVGCNLAAIPHPTFSREPVPFSLSPKLSNKMGLEAAVEATAEFLNKAVKPVTAQDVSTMLRWGQNPIIFLLNNGGYTIEVVIHDGPYNVIKNWNYTALVDAIHNGEGKCWTAKVRCEEDLVEAIKTALGDKKDCLCFIEVICHKDDTSKELLEWGSRVSAANSRPPNPQ >KZM97083 pep chromosome:ASM162521v1:4:10633930:10636280:-1 gene:DCAR_015555 transcript:KZM97083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQHNNNGGGHQYNTNTTNQQQSQAEEEEEDNDVEGLEAWERAYADERSWESLQEDESGLLRPIDNKTIQHAQYRRRLRTYSSSARIQKGLIRFLYIVIDLSRAAGEMDFRPSRMFVVAKQVEAFIREFFDQNPLSQIGLITIKDGVAQCLTDLGGSPESHINALMGKLGCSGDASLQNALDLVHEYLNQIPSYGHREALILYSALSTCDPGDIMDSIQKCKKAKIRCSIIGLSAEIFICKHICQETGGSYSVALDEAHLKELVLEHAPPPPAIAEFAISNLIKMGFPQRAGEGVLSICSCHKEAKFGGGYTCPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPITPFDDVPPSLLKNSQLLPKSCFGCQQSLLGPGKCTNFFYTVKSIRCSAT >KZM98428 pep chromosome:ASM162521v1:4:23901229:23905205:-1 gene:DCAR_014210 transcript:KZM98428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKPGSLEVNVVDKIEKLEADIRSLASLVRLMSKQLEKLGIRFRVTRRTLRDPIAKAADLAQKNSEVTRALATKDDILENELGEIQKVLLAMQEQQQKQLELILAIAKHGNLLESKKGPSRDQESAKETRKPAVERIKQMSINQNEALAVQKDISNESV >KZM99912 pep chromosome:ASM162521v1:4:35851627:35876923:1 gene:DCAR_012726 transcript:KZM99912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESLQQREESEKAKDLPPALPSRPTSRARRPSSKHPPPRTKFDISDSTIFTTTPPSRSVKKKVEVKRRPRDGSFGGKKPKEAGPDESPYVSLSLENIDFQQSVIDKDNNNPHPPTASHSSSLPRFRESELNDNLGYFIKKKLRVWCQLQNGQWESGQIQSTSAETASVLLGNGSVVTVGTRELLPANPDILEGVDDLIQLSYLNEPSVLHNLQCRYAHDTIYSKAGPVLIAINPFKKVKLYGHDYVVAYRQKLLENPHVYAIADTAYSEMMRDEINQSLIISGESGAGKTETAKVAMQYLGALGGGSGGIEREILQTSNILEAFGNAKTSRNDNSSRFSRVVQLAHGERSYHIFYELCAGASSVLREKLNLKAASQYKYLNQSSCLAIHNIDDAQKFAQLMEALNTIHMSEEDQGHAFEMLAAVLWMGNISFQVDSENYVEVLDDEACERASSLLGCDSQDLMSALSTRKIQAGKDTVAKKLTLQQAIDTRDALAKFVYASLFDWLVEEINKSLGKGKQQTGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLQQEEYELDGIDWTKVEFVDNQECLDLFEKKPIGLISLLDEESNFPNGSDLTFANKLKQHLSDNPCFKEERGGAFSIRHYAGEVLYNTTGFLEKNRDPLYPDTIQLLSSCGSQLPHLFASSVQNQARKPLSHGLGASELHKRSVGTKFKGQLFKLMQQLENSTPHFIRCIKPNSKQLPGIYEKHLVLEQLRSCGVLEVVRISRSGYPTRITHQEFAERYGFLLSEFGVSQDPLSISASVLQQYGVQPGMYQVGYTKLYFRVGQIAALEETRKQVLLGTLKVQKCFRGHQARRCFMELKKGVITLQSFVRAEIVRREYSIMINLRQQVAKRLDDQSIAALRVQSVIRGWLVRKHLNRLQNLKKLDYDSLSKGKQESRISKVKDMPQQSNQNLPWAVEVLQKRVFKAEAGLRKKEQENTALMEKVKKYEVQWVEYEAKIKSMEEMWQKQTTSLQMSLDAVKKSLLSDNASDQPGRRDGSPLQLCSDSEDTSLETQTPSGSTPTKSRNPVLGTEPTHQYVNAISKLVKEFEERSKNFDDEAQAINEVNSRQSTSTNPDEELRALKQKFDTWKKEYNARLRETKGKLHKHPEVERHHHHHHHHHHKWWLVRSKKFK >KZM97114 pep chromosome:ASM162521v1:4:10974108:10974395:1 gene:DCAR_015524 transcript:KZM97114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILYLVFVLPLLITMLMNSGMSMNVKSLMAEMNLHDIEVRKLSAVAGSNSEHPGVNSDVNNHHFIPRQDYGKYGGGTPSGGPDDNSDKSQEKN >KZM98600 pep chromosome:ASM162521v1:4:25333635:25338150:1 gene:DCAR_014038 transcript:KZM98600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYDPYPDKRNQKKELWVDKYRPQSLEELAVHKKKVEEVRKWFEERLNRAKQENYNHALLITGQTGIGKSETVRVIASHLGAILCEWKTPTPTIWQEHVHNSGSGIRYTSKLDEFENFVERVRKYGLIPSSDRKGSQKTHILLIDDLPVISGKVSYERLRSCLHLLVQSIHIPTAIIVTDCGQSDSADYSMRCWEELQLSIQSAGGCKVAFNPVTVNSIKKTLSRICREEHKTVTAEQNDLIAKASGGDIRHAILSLQYFCLKPNPMLSLSLSDGTPTYSEERSKMYDGNSLPFGRDETLSLFHALGKFLHNKRAPQNSVVSDKDTFCLKEQFIRLPLNMDAPEKVLCQAHGQAKTVTDFLHENVLDFINEEAINDAWTVSSYLSDADTLLASLNGLLLRNYEASNIVQSAAASVAARGVLFGNTHPLSSRWHAVRRPKMWQVEKSMWHKKREMVSQRSVPCNGMNLTDFSVITTEWRPSLKWLGFEESHSEDVHRVTKDGSMVQDDGYDDPMCIDEQTTQTTDDEIEDW >KZM97254 pep chromosome:ASM162521v1:4:12865064:12866586:-1 gene:DCAR_015384 transcript:KZM97254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRKRNIGRVKEDRISVLPHNILETILCCLPIRDAVRTSVLSRNWRHCWTMIPNLEFDGDIICSASLSRSKCHARELNAGKFVSVINRVLLLHNGPILKFSLSLPSFCDDQIIHDYVDQWIPLFLKKGTQQLTLDGYVPGQFTAHNFSSLDLTHLRLAYVRLPYPPASGGLTYLRIIELVGVSTAEQSIMDCPVLQKLTLLHCVGILPMNFIAPNLKCLHQRCHKMTSEDSLVGFKNLTEFSYWLSSEPEMQTEIPNVVKVFGNLYKIEKVYIANHFITYLAAGGSPNRLSYPLAYLKTLSISYICLNRLSEVSCLLCLIRSAPNLCKLNILASLTDLGEEGDFKDCWDEDYEDCSVDHLEIVTFSNFVGHKAELEFVKFLLAHSPVLKTMYINRDQKMENDVAVKILEEILKFSRASSRAEIKHLKDPFDAVDYGPWVTEDYVYG >KZM98906 pep chromosome:ASM162521v1:4:27828972:27830128:-1 gene:DCAR_013732 transcript:KZM98906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTPQYYSNYNFSNEFCEFTPASGADGVGLAMWCEENLPLFDNMMNGVGNYMVPSESDMTSSSISTISFPQEYDSTQATWNMQAAHVPEMNNGFAVGYQPERCEFGEECSGFASNFWPEQYSTTTNTWEIKGEQAVQESEEPAMKIGRYSVEERKDRISRYLKKRNQRNFNKTIKYACRKTLADKRVRVRGRFAKNNEASLDQDENVMKNKKNLHQDSFNANFFQIKHDDDEWLEAVASFMYLPYING >KZM98629 pep chromosome:ASM162521v1:4:25583562:25590487:-1 gene:DCAR_014009 transcript:KZM98629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWISSKLKVAESLLQQFDQQAAESLGKNEKLQSDDLNYGSPSKNNESVPLKDQLKKKRPDVKQSVGTLRNDRPLRVGHNKNLSGDGTSDRKYKESVAPLSGNTKSTLTDSDWTELLNTPSRTASGSNGVSGGRALWNDARKQSGTNTSSLVARKGQNAQSKVILNGLKKGDVVSDDKGNGVGTSDEKLTSEDSQQSDSAPSVSTVDLHIEDDTKDTKVVVTNASGSSIAEQKSEIVDDKIEKKYTTVAGSSHFSYESIPPAAGEATDSKMRIADDHFKLGSTVGAISESSVVLRKSPFAERASSSGSDGASDSDTDSVSTTDSEIEREREEKRRRREQVLAQKAATKAAETIKERENKVARLEGEKQSLEKILQDRAKQQAQEASELQTTMMETMEAVDLEKQKHNSTRMEALARIAKLETENADLAKALATSQWNLEVEVSRVAEIREQIELKEVTHEEIRRKISSYQSGKKLATSKGIEFENEIIEAEYTFLAEKVARLQDKAKALEMNIETTRKEIEDPTEVEIELKRRLGQLTDHLIQKQAQVEGLSSEKAMLQFRIEAVSRMLEDNKSMLDSTGLPSSSSRSDLESGPWDISKSKLRPMFENRLRSGKRHFSSLVYQLDHIFSAGAVFLRRNSAGKAWALAYLVCLHIWVLYILMSPSSVSEEARSGAVFSLENINNTGGI >KZM99230 pep chromosome:ASM162521v1:4:30413256:30420218:1 gene:DCAR_013408 transcript:KZM99230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEGDGYDVVVVGSGYGGSVAACRLSMAGLKVCLVEKGRRWEARDFPTDSFQMLSACRFEKKNLGVSFGPKDALFQISEDRDSVAAVACGLGGGSLVNAGVITPTPVRARRNLKWPKEWERDWEMYEASASAMLRAQSVPTKFPNARIMEDVIGDEFVESTDGPLKLSINFDAEKQSSQLDACLACGNCLSGCPYNAKNSTDKNYIHTAIQKGCAIKTGCQVQYVVENSDSRNRRRWLVFFNEIDNVECDFVVLSAGVFGTTDILFQSELRGLKLSDMLGSGLSCNGNNVAYLAGSAAPLSASGLDRKQFSKVSFQERPGPAISSSYTNSLGFTIQSAVLPAPYVDLLFKGITTYGWPPGYGILYGLIDKVKYILGMKNCQGMILNVMGYDESDGKITFEKDTNKISFQSPQDPLLPRKIKSLQRLSKKLGGILYMSKYRSTSVHLLGGCNASKDPSTGVCNTNGQVFDSNVHDTVHAGLYVCDASLIPCSVGINPCLTIAAASEHVSKHLVQDVLKYKNLNSEKNKNTTLYQTIDSVGSETTDGSQSSSILFTETMSGHIGGMPITAHLKIKINKETSKDELSLLRGSVGGYVVCTAIEKDKLHVIDGKVDMCQVNIKSPYTQCMHYRLLLAASSGSRTYILQIPRGVHKGFTTSEIYERTYPSSSLLEIKTEDGFMISCKQWKCSQNPVGAEGKMKLHPVLLINGYATESYWLPTEPNDMVRTLLGQGYETWLLQPRVHHSNSSNSFSIEDIGRYDIPAVFKKIHELNANSGKVHVVAHCAGGLAIHIAIMGGHVSPSQIASLSCTNASMFFKLTALASFKMWLPLLPITMLILGNNKTLPMIGTLKTNFSQRFLRSIARLIPRYERCTCDECEVFSGIFGNAFWHDNITPSMHNWLNKTSLPVLPMAGFPHLRKICNNGFIVDNNGQNSYLIHPERMALPTLYISGGKPILVTPETTFLAHKYMKLHQPNYRHERVVVDGFGHSDLFIGEDSYKKVFPHILSHIELTEKELISSAPSSKERRYHSKQAVDWGNDPYDKAIGGFASWISPLIYYITALSPPAASSTDNIVPACAESYNRSPLKMSRRKTREPKEENVTLGPAVRDGEIVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KZM99492 pep chromosome:ASM162521v1:4:32544722:32552793:1 gene:DCAR_013146 transcript:KZM99492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVLYSDNKLEELMDVSLEGDREFDLDEASKFIKISFLCTQQMPKLRPSMSTVVKMLRGEIGVDEKMISQPGLISEQFGKNNTIDASSSGLEKHDNSTSDTTMSYGTMTFSSIYNRSP >KZM97300 pep chromosome:ASM162521v1:4:13302403:13302759:-1 gene:DCAR_015338 transcript:KZM97300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLRSSFVAMMVMYMVLATTPNAEAVLTCGQVTGALAPCLGYLRSQVNVPVPLTCCNGVRGLNNAARTTLDKRTACGCLKQTANAVTGLNLNAAAGLPARCGVNIPYKISPTTDCNR >KZM98078 pep chromosome:ASM162521v1:4:20932049:20933365:-1 gene:DCAR_014560 transcript:KZM98078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLKFPILLIIFFIASSIPLTLAEIRVTQIRSDNRLTIPFDEFGFTHSGRLDLNISNIKLSNPRVPKTELSQVGFFLTTRESWLHVIDQLIEREIPCSLNSNLIKPVFKFDNLDGDRVDVSFEANDANQYTLVFANCVPNLKVSMNVKSAMYNVEPRTGQRVYLSAGKTSLPSIYFVFFLMYISLAGFWIFTIYSKILSVFRIHFFMLAVVILKALNLLCETEDKSYIKRTGTAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFIKPYLQGKEKKVLMIVIPLQVIANVAQIVIDETGPFGEDSDMWKKVFLLVDIVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALETITSYRYEWTSVVAAELATLAFYIFTGYNFRPKAHNPYFAIDDEEEEAASEALKMEEEFEL >KZM98287 pep chromosome:ASM162521v1:4:22561538:22566685:-1 gene:DCAR_014351 transcript:KZM98287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSVSADLRWKFCPVRRSTITLPPSIYFLRSNFIPKFTSILSNITTPFLDYKSISNSRLTTSSSPNTISSICNLFNYDDHQTYYCYYFRRMLASSLVLLAATFMSLFFTQHPALAVSYGRMGSSSRSSSRSSSSSSSSRPFRTSSSSFASCRRRRRRNYYQENSITCTNCSCFKEKKSELDSSSSDVNNGTNLLTSNSNRKGHSPCKCTCHSATIPKPVWVIICIVFILFVIKEGKHQNSDCKTEVADIRMGSVLMVQVGILDKKRELQRKLNRIAGTADTYTIKGLNNLKKEVVKALLEHHDSCHFAYLFAKYDDMEKYSRPCFRGFLETEVAKFDKEDETFVNVDGVICKKDFGGNVISIDNEYSVVTLLVLADGEHWIPSVKWHTPVVDKLDVKIALQMIRLIRMSNLEALEVLWTPQTENDSISEQELRRDFGLLMRPVKLIKKSLLSWF >KZM97968 pep chromosome:ASM162521v1:4:19904413:19904931:1 gene:DCAR_014670 transcript:KZM97968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKVFFDMTVGGKPSGRIVMELYADTTPRTAENFRALCTGEKGVGRSGKPLHYKGSSFHRVIPQFMCQGGDFTAGNGTGGESIYGAKFADENFVKKHTGPGILSMANSGPGTNGSQFFICTEKTEWLNGKHVVFGQVVEGMDVVRAIEKVGSSSGRTSKPVVIADCGQLA >KZM99836 pep chromosome:ASM162521v1:4:35256692:35266021:-1 gene:DCAR_012802 transcript:KZM99836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLTSTELNYLVFRYLHESGFTHSAFTLGYEAGINKSTIDGNMVPPGALVTFVQKGIQYLELEANVTCNDADIDEEFSFIQPLDLITKDVYELQKIIKEKKESLQKKRRREKEKGNDVSQSELDREHAREREKEHEREHAREREHAREREKEREREKEREREKEREREHAREREKEHDREREKEKQHREKERERERERIEKEKERERDKGKDKEKPHTDLAGARHDGDKIKIEHKENNAEPMDIEAVEISSNSTAKPCIIPNSDVTVLEGHTSEVFVCAWSPLGSLLASGAGDSTARIWTIGEGPCSANMQGILPNCAVLTHFKGKTPSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGELVNTLNKHKGPIFSLKWNKKGDYLLTGSVDKTAIVWDIKTGEWKQQFEFHSAPTLDVDWRDNVSFATCSTDTMIHICKVGENRPINTLSGHVGEVNAVKWDPTGQLLASCSDDSTAKIWSMKQDTCLHDLKEHTKEIYTIKWSPTGPGTNNPNQQLLLASASFDSTIKLWDVESGGLLYSLNGHRDPVYTVAFSPNGEYLASGSLDKCIHVWSVKEARIVKTYAGNGGIFEVCWNKEGDKIAASFADNVVCVMDFRM >KZM98997 pep chromosome:ASM162521v1:4:28613977:28618170:-1 gene:DCAR_013641 transcript:KZM98997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELVARTGRHQQRYVDGYRLIAGCIPFRYKYSETDDGEPSEKNIEVLMISSTSGPGLLFPKGGWENDETVKEAAVREAVEEAGVRGDLKHFLGEYYFKSKTLQDEFSPEGLCKAAMYALLVKEELDSWPEKSLRQRRWLTIAEAGECCRHSWMKEALEEGFRKWLSDGMISTMEENNHILTSISSPKEEE >KZM96592 pep chromosome:ASM162521v1:4:1737993:1739818:-1 gene:DCAR_016046 transcript:KZM96592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAGFLDNSTSSGGRIAGENNSLGYSNNMPSGAISQPRLVTQSFVKSMINSPGLSLALFLLTVRVLSCFVKNSRRVWRGKVTRMGENNYETNTNVGGRRSRDEEHESRSGSDNMDGGSGDDQDADDMKPPRKKRYHRHTPQQIQELESLFKECPHPDEKQRLELSRRLSLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIREAMRNPICTNCGGPAMIGDISLEEQHLRMENARLKDELDKVCALTGKFLGRPMSSLASPTSLELGVGGNGFGGLSSVSTAMPLGPPDYGNGISSSLSVIPQNRSNSGPTGMERSMYLELALASMDELVKMAQTDEPLWIRNWEGGREMLNYDEYLRIFNNPCNGPRPNGLVTEASRESGMVIINSLALVETLMDSNKWAEMFPCMIARTSTTDVISNGMGGTRNGALQLMHVELQVLSPLVPVREVHFLRFCKQLIFI >KZM97394 pep chromosome:ASM162521v1:4:14204330:14208683:1 gene:DCAR_015244 transcript:KZM97394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTIVQPVGQKRLTNVAVVRLKKHGCRFEIACYKNKVLSWRSGVEKDLDEVLQSHTVYSNVSKGVLAKSRDLKKAFGQDEYDDDEKIDHEKICLEILEKGELQVAGKERESQLSSQFRDIATIVMQKTINPETHRPYTISMIERLMHEIHFAVDPHNSSKKQALEVIRELQKHFPIKRSPMRLRLTVPGQAFSSVSEKLNEWKSSIVSKEESGNQLSVICEMEPGFFRDCDAMVRNLQGRLEILAISVHAEGDTNVDHYDDHEEPSSSLPKASTGADFQLSEKLNKQTISEKKPDAVGDVKQQSKCSTCNAYVGDSKQYREHFKSEWHKHNLKRKTKQLPPVSAEECLGDMEITDSRADLKDYSF >KZM98263 pep chromosome:ASM162521v1:4:22368327:22373329:1 gene:DCAR_014375 transcript:KZM98263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFGVLVESIGFKSQNRSTPLADLKGKTHGNNGFSSNLGFNSKPSPSSVDGSGLDGFFVSNNVSKTQNYDGFDVFNGSNEYDLDSIFKGSNNSAAKPDLVQDSDDIFNVFTGPKYASEKNFDNVFVKIPPPPKANDINDDLLGGFDDMGLKPNSVQNDSVYVSKNDSGFDDLILGFEEGGASRKRSRRSSGQHGKSNKIMVEDPFSFFETSAPNPLNQGESKVSEDTDVFESIFGAHTKTRNVQKPSSVTKDSVYDALFQKSKVPANQGSGNYSTTRKVSPAINVDDDFSFLSEVEVVPPSGKFQEVEGESEERRRHRLNHHQNTQERMIKALNEKNRRDRQVQLEQEERHRLAATLDDEIKRWAQGKEGNLRALLSSLQYVLWPECGWRPVSLTDMMTSISVKKVYQKATLCVHPDKVQQKGATIQQKYIAEKVFDVLKVFKSC >KZM98148 pep chromosome:ASM162521v1:4:21467834:21469834:1 gene:DCAR_014490 transcript:KZM98148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDSTIKDESLDEKTNENKDEQTDHSTGPDVTKDNKPDDKEPKEESENKTNEQDDENTDQQAPDIVKVTDEIDGFVTLLSSHTGDDDEEPPEIQDSLEKFAFVAEAKIANYDMMDNPVKWSQLSEEETTSLLENVARIHKLCVSLAKFSKHSNYALTLNRFGGILQRALSFFEEEFRLLLEDYDANNIEYSSDPDPEQEPPEVNYFPGYSDDIIAKLNKLGHALISAGHETECCQLYFLERRKALEATFDRLGFEKNSIDDIQKKSWESMERDIATWIKTYKEFSKNILPSERNLIESVYAGHESVSLNLFRILSRTFLIQLFNFSEAVAMTKRSDEKLFKFVDVYETLRDLIPSLDGLFPADDVVLELIKSDVNLTRCKIGETIICIFIELENKIKTESGKTPVPGGAVHPSTRYTLNYLKAACEYKDTLELLFKDHQKIERADSQRSTSDYNAANNQPSNNNEEATVQSPFQIQLQRVMDSVDINLEGKSKLYKDPSLSLIFLMNNGRYILQKVKGSPEIKGVMGDPWIRKRSSDLRSYHKSYQRETWGKVLQCLTAEGLTVHGKVSKPQLKERFKSFNAMFDEIHKTQSTWVVTDEQLQSELRVSIASLVIPAYRSFMARFSSTFTPGRQTEKYVKYQPEDIETYIDELFDGSSATQMGKKR >KZM99501 pep chromosome:ASM162521v1:4:32600889:32605897:1 gene:DCAR_013137 transcript:KZM99501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGQIYPCIVQFTIEVYPKSLLIYSSPPPGSDQELKSRIIQLGQASAQLYENCVILPSISNVDDKTIEEDVASLSVADSATTLPEAGTLSKNALKKELKNQQREEERRRKEEEMAAAASSNGHESLAAVDDDMDPTQYFDNRIKALGALKAAGINPYPQEFNEVMPVKEYVDKYSYLNSRERLENVQVSLAGRIMNKRASSSKLFFYDLHGDGAKVQLMIDARISDFSEAEFTKIRGVKRGDIVVVDGFPGKSRRGELSMFPKSFTVVSHCLRMMPYVLKDQETRYRQRDLDLILSPGVRHNFETRAMIIVYVRRFLGNLDFLEVETPMMNMFAGGAAARPFETYHNDLKMRLNMRIAPELHLKRLVVGGFNRVYEIGKQFRNEGIDLTHNPEFTTVEFYMAFADYKSLIEITEQMLSGKNGQGADRRRIDMIEELEKMSGLDIPKDLASDEANKYLAEACTKFEIKCAPPLTTARLLDKLVGHFLEETCVNPTFIMNHPKIMSPLAKSHRSEPGLTERFELFVNKHERQRFADQLKDRQSGDDEAMDLDESFLTALEHGLPPTAGWGMGIDRLAMLLTDSQNIKEVILFPLMKPQDV >KZM98945 pep chromosome:ASM162521v1:4:28200583:28210430:1 gene:DCAR_013693 transcript:KZM98945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDSRKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVSELESQIDSFEAEMEGLTVKKGKTRPPRLTHLEASITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFEEFSDVDELYSSLPLEKVEALEDLGVGATSAILSLKTSLTSSSAPPPAVVSSANQQGSSVQEQVEETASQDSTDIAPKTPSSKSNIIGASAPTTPTGSHVTGTLNTNAHVLPGASTASTILLGPSPARGVLEAAAVVSSAPISVSIPTKEEEVASSPGRKSSPALSESLRGVGRGNLASPLSSSNALGSGSTIPSNGAFGALAPSASEITKRNILSTEEKFTSTGPVQPLVSPLGNRIMLPQAAKANEMTDSGNVGETTGMPGRVFSPSVVTGMQWRPGSSFQNQNEAGQFRGRTEIAPDQREKFLQRFQQVQQGQSTMLGMPPLSGVNHKQYSSQQQNPLMQQFNSQSSATSSLVGLGVGVQAPGINTVTSASLQPQSNLTLQQQSSQHASMSTSHKDPEIGHAKVEDLQHQQHLSDDLAAESTPILGVVKNNMNEDESKTSYSSDMPAGVSGSLTETGQMPRDIDLSLGQPLQSNQSSGSLGVIGRRSVSDLGAIGDTLGGQSLNSAGMHDQLYNLQMLESAYYKLPQPKDSERAKSYIPRHPAVTPSSYPQVQAPIVNNPAFWERLGADNYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRFHKKYNTWFQRHEEPKVATDDYEQGTYVYFDFHISDESQHGWCQRIKTEFRFEYNYLEDELIV >KZM99149 pep chromosome:ASM162521v1:4:29781939:29783964:-1 gene:DCAR_013489 transcript:KZM99149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWECGAIAGSLRPGLVHPITVTEELTKEAVIALKAGKVIAVPTDTLYGFACDACSLGAVNRIYEIKGHQYTSPLAICVADVQDIGKLAVTDHLPHCLLDNLLPGPVTVVLNRGNLSILEKSLNPGLNSIGVRVPDSDFIWSIVRVSGSALALTSANLSGKPSSVDIKDFEKLWEHCAYVYDGGVLLSGRAGSTVVDLTSIGKYKILRPGSAAVETMEVLQRHSLVEDRIAT >KZM99318 pep chromosome:ASM162521v1:4:31060697:31061446:-1 gene:DCAR_013320 transcript:KZM99318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRASKRKAIVSKSKSRDSSNSTSHENKNLNHLVTVLKPRVYIIDPSNFKSLVQELTGNGMTSPPPTYDPIPISSTSSISSVISQPTDGQHVQLMQNKVVVDQDYCYAFQESSPEWSFERSCSEILNVPLTTIDTWDSSEELNMSNQFFSNDMINQAHSSFLESHKHSNSQELNMPTQIFSNDTIDQTLISFPGSHEQMSFPLIGDEVDYSWISEMNNPIVYNHDDACGATIIPPEGCDYAYDISWIM >KZM99726 pep chromosome:ASM162521v1:4:34302568:34303059:-1 gene:DCAR_012912 transcript:KZM99726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEALLFTVVLYIVLIRNYSITANLDAPTHEALATEYPVRLRGYERVYGWYPGGYDHKWNHKCHRHHRTGYHNHHDGYKHELGGRSGGRGRRGCKWPGGRGVTKAVSKRGAIKKNP >KZM98785 pep chromosome:ASM162521v1:4:26877999:26880800:-1 gene:DCAR_013853 transcript:KZM98785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRIYVKLFLSEESPSLIGSGGQDHLQAKSSCPLYTTGAGHDDNLPPGFEESHPANVLLNKLSEISVIQWRCPPKFAYDPNWQVVDGDESYELDRENEREMRVLEAVYPRPSSIPPNPSLLSGVDSSDDSDQQQPPLIPITPIEDDDAGADTSYDNVPPNTVPMSSQPQQHAPQILHSQSNASTGNPSFNFIPPAAAALGVEPRMITAAYNALNAVMANSGQMTAIDPDLLLTILKDPNLMEKLGSIHGTSTSNQNLPKPMSQGNTLPDPPLVHIQRAEPVPSSLAATPSRPFYPPTVRPGPIPNLRPHAPEVVSMPPPQLPPARGVPVAKDINYYKSLIQQHGGERPEPVPQFNNHLHQIRPVQGPTNEVMSRDYRPRIMKPCMYFNSPRGCRNGVNCAFQHDMSTQQRVSGMPEVQSAKRMKMDGELTGT >KZM99370 pep chromosome:ASM162521v1:4:31537834:31540478:1 gene:DCAR_013268 transcript:KZM99370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLKILRYYNFQRFDKLHKILHFNAIDTHDVSHTFCSCVFQRPLCSSSLFQAQENSNKSYELKKPLGVVFKEAVGLCEKRDESENEEGDGEIKELKKRLRDLENVIKVLNKSDLGESEIDAESMVKVKLGGGVSEDETRVKLSKLFSYDSERNLGRKTEGIERVGKVSEDDVIVEKLSALFPPEPVKKGRTRKAETVVCKELPPDMIVFARYLFEKGYFKNANFLPRYTFDATRFEDNYSRAFLTCAAQKFGKDHQEISKWISASDVKKVALFGCPSLSKKPLMAAKALRIFFSIPEDTVCSKCVLKESCKFVNQSIWKGQGKKLHMDLSAVMSVITLYAMEEVHPQLVIPDEIKTAVRRLLEEVMKLSQTVS >KZM98645 pep chromosome:ASM162521v1:4:25744351:25746127:-1 gene:DCAR_013993 transcript:KZM98645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKEVTNNQSGSGLVESIQNSCPDTRLPAAAKDKVKGFSIFSFKVPRRKRSVLPQYCLTGIGRLHRQLLEIQDEQQSEANTSVAGEEENDVLIDNTNWMHDVWK >KZM98904 pep chromosome:ASM162521v1:4:27811381:27813087:-1 gene:DCAR_013734 transcript:KZM98904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRRMDITGNRNQGDVEDEDDAPLPGFRFHPTDEELVDFYLRRKVEKKPLSIELIKHIDIYKYDPWDLPKGSNVGDKEWYFFCRRGRKYRNSIRPNRVTSSGFWKATGIDRPIYSESRRDQCVGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPPDYQPNKNTSKIIQINDAFQEAEVWTLCRILKRNTSHKKNLPDWRDQITSSKKKYNPLIDASSKTCSGESDNLQGFSISFQQTPKLIDQHIREEKPVVFPNNHVTDLSHLVMAPVTNSMYQEAPSSVVTSYSNFSSPEMNEFVKYGDWEELRSFVEFAGDQCMI >KZM96634 pep chromosome:ASM162521v1:4:2715804:2716061:-1 gene:DCAR_016004 transcript:KZM96634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGRSLFELLSEALGLDRNHYYPACPEPERAIGSSKHADNDLITVLDQDHIGGLQVVHQNLWLIVPPVPGALLINIGDFMQARR >KZM96822 pep chromosome:ASM162521v1:4:6998461:6999459:1 gene:DCAR_015816 transcript:KZM96822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEKGDDNAVKEALWSLLLCPWEGPRVKIMNSSEEDRLEKGERLYKEFVIRNREWLDVNLQANLIKGDSDSLRVAGNQIHHKSMRNLNKKEYDEDANTRKYVEALLGTNSRWKEDNMDGKEDSEWTVVERKRRKGRKAGATILVAKIPSKAKSRDLWSYFGRAVRVMDIILPRKKDRRNNKIGFVKVQSEQEAIRAVEVLAHMKFEGVRMDIMLAGKNEKKGGDTPIKENLDSKDRPLERSESRTHEAMSSKQSTRDGYSVEPPKKEKGASKDEDKANTHNLVPVQEDSILDTGNCLIGFSAFSLRGEILQEVLLEMGMSYIEVKEISCWK >KZM97740 pep chromosome:ASM162521v1:4:17416302:17429304:1 gene:DCAR_014898 transcript:KZM97740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHETDLHDLSDDADYAAASLQQGSASMTRSDSSKHSTSSDPEGAELVYLKDNVTIHPTQYASERISGRLKLIKQGSSLFMSWFPYKGHSSNAKISERDKNLYTIRAVAFTEIRSVRRHTPTLGWQYIIVVLSSGLAFPPLYFYNGGVREFLGTIKQHVSLVRSAEDANVFLVNDFQDPLQRTLSSLELPRAVSIASGQAPSDSTSVSPSSRNHEKTSESVYDGSLSSIHQNGRQRQKTHDPARDLSIQVLEKFSLVTRFARETTSQLFRENSDGFGISEKRNHNQSSHNYSHEIATDNVRVPAEIPVLPDPLEVDKLTLVWGKPRQPPMGLEEWECFLDPEGRVLDEKALRKRIFYGGVEHPLRKEVWTLLLGYHGHDSTYAEREYMMAVKKTEYETIKLQWQSISAEQAKRFTKFRERKGLIDKDVVRTDRSLSFYEGDDNPNVNVLRDILLTYSFYNFDLGYCQGMSDLLSPILYIMQDESEAFWCFVSLMERLGPNFNRDQNGMHSQLFALSKKYRSKIMGEQMDFDTLLKFINELSSQIELDPILRDAEALCIVAGENGAASIPPGTPPSLPIEDGSFLPYQDDDDVL >KZM97193 pep chromosome:ASM162521v1:4:12096609:12097568:-1 gene:DCAR_015445 transcript:KZM97193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAKFMQKDDTSLETTRARFNSVLKRHGELAERLSRDSDRTVFERLQREFEAACYSRTREICLDGEEWNDGLLATIRERVHMEVESRAVQLPGDPRSSPRSPLAEKITYKVGTKMLCCLGGARIGIRYEALFGGYVREYYHCVLESKSFLQKMTVLEHTVPFFLPIQKAENDLLSSNAIKFIDHVGELLQAYVDRREQVRLIQELYGNQLRELYHSLPYDMVEFMLDDCDCKITVGLRYENLLSILPTRASVFAWPVKKSSSAEIMRKGNGISENPDVPMRLIYAEDALRTMSLPEAYAEIVLNLPEELSELKVQRKL >KZM99259 pep chromosome:ASM162521v1:4:30603621:30604100:-1 gene:DCAR_013379 transcript:KZM99259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHGCRAPEGHRLCANNCGFFGSPATQNFCSKCYRDISMKIEQENSAKSAVENSLFPAAAAEPSSNAVVSVPENSDHVRISEVAAEAGTAAVVKPNKCVACRKRVGLMGFTCRCGITFCGTHRYPEQHGCTFDFKAAGREAIAKENPVIKAEKLAKI >KZM99806 pep chromosome:ASM162521v1:4:35026908:35027225:-1 gene:DCAR_012832 transcript:KZM99806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAIFGCRLVAAPPARSFPGAKTASPSRVEYGGLVIENSSRPQKKATAHHRKSRPKKTQPWDVKRGPAVYPPLPEMPPEWTLVSEDIAAADTTVEAEAEAVAA >KZM97535 pep chromosome:ASM162521v1:4:15597191:15599902:-1 gene:DCAR_015103 transcript:KZM97535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSLIGAFKGLSLSSSSSSVCFKGDFGSINVGQKLAISFPMNPPLVIESAHKKGAGSTKNGRDSAGRRLGVKIYGDQVAKPGAIIVRQRGTKFHPGKNVGLGKDHTIFSLIDGLVKFEKFGPDRKQISVYPRVVVPENPNSYRARKRESFRVQRERRKARKEARLAEPQLILASAADTTIDEPNC >KZM98686 pep chromosome:ASM162521v1:4:26106152:26106757:-1 gene:DCAR_013952 transcript:KZM98686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCFSVMISPDMNDNKISAASTANIVTVKGEFRQFVTPISVSQVLEQLESSPSESFVCNSDSLYYDEAIPALKSDQELQPGEIYFVLPNSKLLYPLTASEMAALAIKASAALSSSKASRSRISPLLSIEVDEKVNENSVSDGIVKTKKKGGGLDKQHDIGISRSGSIRKLQRYSSRRVKLAARSFRLKLNTIYEGSVLVY >KZM97782 pep chromosome:ASM162521v1:4:17772200:17781449:-1 gene:DCAR_014856 transcript:KZM97782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIEIEIHSSELGKEERCSAKKMKRGEGLTHYYQHHFHDLELHVRNKSHNLNRLEAQRNDLNSKVRMLKEEVQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRWDILKIHSRKMNLMRGIDLKKIAEKMGGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKEHKMNGELQLDAFDDESPSYSDPLLGDYHVAAPPSWSQILKDGIHDEDDDDKDCSVPCCRICLECEGDNDDELISPCMCKGTQQFVHRMCLDHWRSVKEGFAFSHCTTCKAQFHLQVVKFEDGSWRKTKFRLFVARDVCLVFFAVQTLIAVNAGIAYLLDKDGAFRNSFDDCWDHKLSKHPITFYYTIGVLGFLVLLGFFGLILHCSSFHSSDPRMAGCQNCFYGWGILDSFPASLEACFALEYIVENLHGTYTSPTLDSEHEKRLKMLKLL >KZM96649 pep chromosome:ASM162521v1:4:2978902:2980191:1 gene:DCAR_015989 transcript:KZM96649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESRSRGKARSSNEQGSKKKNACVSVWSEEDDVSLLKGMIDYEVQNQASPYDDLGKFLDFVKPCLHLDVQRKQLTDKLWKLRKKFVNSSYDLSKAHHAKLFELAKLIKSWGHGENVSVDCGKGENVVSGDDGNGRKDKNSKVGRNKQRGELVADGGLNGEKGNVGVDGDAGVNMGTEVVMKKKKFVNEDGQIVKENEGVSGVVKSGKKSKVGRKKQNEELVADDGQIGEKDDAGMDDDGHVNMGMEVVKQKRKFANEDGQIVKDNEGISGVVKSGKKSKVDRKKQKEELVANDGQIGEKDNVGMDDDVHVNTGMKVFKQKRKFVNGDGQEIDGISGVVKGRKSSKDLEELTCMYPQLIKGLKLETLSRMPQNLKDNCVVDTINFMGKEKANELEEKWTDLRVMELGLQAKKLDLAKTHINLIFEAARR >KZM98019 pep chromosome:ASM162521v1:4:20427607:20440756:-1 gene:DCAR_014619 transcript:KZM98019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDKSREFLHSGNAKDKFKFFFKATLLHHVADLLKSIEKQLIGAQELVGNLESSISPILKELSELQGKIKSMEQVEEISQRAQLLKKKLAWAHVYDIDDQIQQQDARIEKLKGRIPYCQDLIERQTVKIEGLEDRLAKKKAQIAILMERTSEVRKMKEELQQKRSEATKKRSELEEDCSRRVNEIKRMMKKAQMLEQQIRDINDQDDKNTQAEEHEMEETLSGLRQEVEEAVMQFESIADADNDIRKLQSAIDDLMKKDQQIRYTIRDLRSHQTNKVTAFGGDRVTNLLRAIERHHHRFGRPPIGPIGVHLKLVGGDKWAYAVENAVGRLLNAFIVNDHKDNLVLRACAREANYNHLQIIIYDFSRPRLNIPDHLLPQINHPTTISVLRSENATVMNVLIDVGHAERQVLVSDYSVGKSVAFDRNIQNLKEVYTLEGYKMFSRRSAQTTLPPNRNVRTGRLCSSFDDQIKNLENDASHIQEEVRQAREKRKRLEDGHHNLRDEFQLAKRRRTDVEGILRSKKFALQDTEKSYALESSSRPTSNVDELYQELSLAKKEIQERESKLEEIQVSAAEAKIKASELKASFENLCESAKAEIHAFEKEEQDMMQIEEDLHGAKAERNRFELAKNERVIPNIKEAELRKEDLENNRKVNYKKASIICLESEIQALGGNDGSTPEQLSAQLTRLNQRLQRESQNYPESIDDLRMMHDKKERKIFRKRQTYKMFRQKLHACQKSLELRYSKFQRNATLLKRQLTWQFNGHLRKKGISGQIKISYEDETLSIEVKMPQDASNNTVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFALAQGSQWIFITPHDISMVKQDERIKKQQMAAPRS >KZM97556 pep chromosome:ASM162521v1:4:15794468:15797116:-1 gene:DCAR_015082 transcript:KZM97556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQSEDDKSYAASAPSEATSQVPLHAEKLAAEKTAEQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMAALGWGPGIVVLILSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEVGVNIVYMVTGGKSLQKFHQTVCPDCKDIKLTFFIMIFASVHFVLSHLPNFNSISGISLAAAVMSLTYSTIAWSASLHKGVQQDVEYGYRSKSSIGTVFNFFTALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVMVAYFVVALCYFPVALIGYKMFGNTVDDNILITLKDPAWLIAAANMFVVIHVIGSYQIYAMPVFDMLETLLVKKLHFKPSRPLRFITRNTYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCVMWLAIVKPKKFSFSWFANWTCIVLGLLLMTLSPIGGLRNIIINAKTYKFYN >KZM99341 pep chromosome:ASM162521v1:4:31227164:31230361:-1 gene:DCAR_013297 transcript:KZM99341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-9 MVMALISTVSLGMSFSATRFMVKPAASLTLVKPPSTKSIHTTSIRCTSNDTALVSRGDACVDDKSIVRRSGNYPPPIWDDDFVQSLDSDFKMTTDIGTSLTGKCRGFQWIFERIRWWKSIQWAEKLSFARPRLIECFYWSVGYNFEPEFQYARSVLTAVNAFITTIDDIYDVYGTLEELELLTKLTKSWDAAELDQLPDFMKICFTDFYNKINEVANVFQREHGVSVLPYFQKVASAVLLTHLYFVKPNSLKHEDLQCLMTYPNILRHSATILRLADDMGTSSHEMERGDNPKSIQCYMNDKGVSEDKAKEHIKYLLTETWKKLNEECAESPLSKPFIENCLNLARIASCVYLYGDGHGAPSSRDKERLLFLFVHPIPL >KZM96831 pep chromosome:ASM162521v1:4:7269299:7272450:1 gene:DCAR_015807 transcript:KZM96831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLRRMARIPNPTQALNRNRDFIFDDLKTSLSEEQTKGRGRGNGSGDNNNSPLTYFFERADRSISCGDFHKMPKDKEPKLGIVVFTDKYINSNTQKKTLKAIVRALWPVGPVHSAGKPRSAFFDDTFYSKVLDRFEKYYDYEQGVTPSEARYKLKEHLKINLKNMLYRERVNADKRVSQARPGTTRRDVKPLHINRDLWDSLCDWWNSEKFKSMSAQNKENRTHGDKIVHTTGAKPYIIFRKELEDQKKRQLTLVEFYEATHEKKGDGEGTFWTKEAEDMRSLIAENEEGNNSEGHTCPPLSPNARYKDNDISVPRSELDQEIQQLVVITLSKEDVHWTKYIHVASSVVDKMLDKFGKTINEVVSRDSGH >KZM96918 pep chromosome:ASM162521v1:4:8694136:8694615:-1 gene:DCAR_015720 transcript:KZM96918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSSPSNHLLILTLIVTVGFAIFVCVSSAGYNLVVTHGLDDDKPLKVDCPPNPSVTIRKGARNAWHFDHLPPPGVYPLACNFTWNGRKTFMDRLFSEYNQPAAEDVYLVAKNKGIYLADRDMPYDPKNGDWKLRGLWMNETQFNTDPCDIDIDNCSK >KZM97809 pep chromosome:ASM162521v1:4:18036722:18037237:-1 gene:DCAR_014829 transcript:KZM97809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPHSLRGHIRHTHFHSQTLISPQSNPHINPKSDPTRSRRRKRSPSVTGSINTAQSRNKSHNRTVDSRSGAKQLVNGQVKILKRGERLDGLKLGLANEDWVLSSTDRLGPDPDTVTKQIRVFNGLYAGSPSVLASPPPSSLPFPAFFAKRNDEATATSDLRRLLRLNHMV >KZM98994 pep chromosome:ASM162521v1:4:28592691:28598782:-1 gene:DCAR_013644 transcript:KZM98994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASQHENWGESNMPDRSPRTDTSTDVDPEDKNQRFDRDQSGAVVMFSDSSDRSKEKSLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQEVQQARPQGGSTSTAGDQSHATGALAFDVEYARWLEEQNRQINELRAALLTNQLEPLTEQQLAGIYNLQQSSQQAEDALSQGMEALQQSLSETLANGSSSTSGSSGNVANYMGQMAMAMGKLGTLESFLRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWVARPRE >KZM99702 pep chromosome:ASM162521v1:4:34141855:34148066:-1 gene:DCAR_012936 transcript:KZM99702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKKRAAHSSSASLARLRIKFGSRFLIAIFALLCFCSMFGLHQFTSRLQGTPKIAFMFLVRENLPLDFLWHSFFKNADAAKFSIYIHSKPGFVFDESTTVSEFFYNRQLSRSIQVGWGKPTMIEAERLLLEEALADSDNQRFVLLSDSCVPLHNFSYVYNYLISSPKSYVESFVDLSEPRYSIKMFPIITEEKWRKGSQWVALLRRHAELIVHDYTVFPVFKKFCKRRPPLDIAKAKLTNASLVQTEHNCIPDEHYVQTLFMLKALEDEIFRRTLTYSLWNHSTNRTDPTGWHPVTFDYENAEQQYIEKIKDIKNIVYESEHRTEQCYTNSMQTPCYLFARKFTPGTNSMRQRCPFLVEQKAKQAPLCIASWFYAFVALRQGYY >KZM97503 pep chromosome:ASM162521v1:4:15316823:15317191:1 gene:DCAR_015135 transcript:KZM97503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFKETPMMMLQQSDQETMAKNQATRMEEGDIIDMVQNLKIDEPCMEETSKQEINTKISTKDVDDIEMMEIEDDGSINVNMQEEEVVEDNFNLTPDHNSYIGLEDEMKIWESTEYTCTHPI >KZM97530 pep chromosome:ASM162521v1:4:15580795:15581007:-1 gene:DCAR_015108 transcript:KZM97530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWGPVFVAVVLFILLTPGLLLQIPGHNKLVEFGSFRTSAASILVHSLLYFLLISLFLLAIGVHLYVDS >KZM99459 pep chromosome:ASM162521v1:4:32220962:32221783:1 gene:DCAR_013179 transcript:KZM99459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLKLVRSLISGTTITNPLLQTPSHHSHETTPEKPTTRTPLLIFIPTQELVTDTYKLATLARLIGLDFCPSPSLSHLIFSPPSSNNSSLTSVVSSFSSTSLGLPNGAVSIPFPDVVAASVGHLRCFVGISRGFFKLVFLKSDLGDVHLIGRIEGKSSNWDCCSFSLVLRMSNCRIVSMDCFCRALAGKGWTFFKTKYGSCVDSGERPVWGTNAVYLFRKTEAARVLCRQGSGGGGGECRMREMRLPPLDFRNAPLRILQYILLMTDDMFYLA >KZM99755 pep chromosome:ASM162521v1:4:34534497:34535746:-1 gene:DCAR_012883 transcript:KZM99755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSSLYPPQEKQQQEENCHHSRDFQAMLDGLEDKDSISIDEVEQNGDKKRRLSHNQVKALEKNFEVDNKLDPCKKVALAHELGLQPRQVAVWFQNRRARWKIKQLETDYNNLKANYESLRLNYGQLEQNKHSLTSELKELKAKLDNENVDKKTSLYESESTGHRRSWNEPEPSNSTNNLVEPKVSPYSDSSVFNQEPIRGFQLLPAPHEDLSNPGPDFCFPSQMNPYEELMHSKSVLGNAHQQQWTEMEEASPFGSVDESCNIYSVDPVLTFGW >KZM98257 pep chromosome:ASM162521v1:4:22293592:22313350:-1 gene:DCAR_014381 transcript:KZM98257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRLRSSISPFRSRKSPAPVPPPATKSSATRPSPSSSSSRSSSRPPPSPSTPPVFDRPDSNKSKESVTVTVRFRPLSSREIGKGDEIAWFADGDYTVRNEYNSSIAYGFDRVFGPATTTRHVYDIAAQHVVSGSMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQETPGREYLLRVSYLEIYNEVINDLLDPIGQNLKIREDSQGTYVEGIKEEVVLSPAHALSLIASGEAHRHVGSNNFNLLSSRSHTIFTLHPTSLQVVINSIADELRKFDQLLADDQVTKWLGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPASTNTEETHNTLKFAHRSKHVELKASQNKIMDEKSLIKKYQKEISILKQELQQLKLGMMENPLVVAPNQEDLVNLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKSTLPSSVPGKLGHRRRHSFGEDELAYLPDRKRELMLDDDAGSINSETSAYGRSEVTDLDELMKDYRKSTRKGMLGWFKLKKPDNMVRSSPSTDCESSASGSPASSARSSQNRVTFSDTREGQRKSISRRREDSSVTDSFPARTLAGDLFSATAGTRHLPPTGTTITDQMDLLREQVKMLAGEVALCTSSLKRLSEEAARNPDDLQNQEDMRRLKDEIREKKLQIRTLEQRMIGSVEIAPCTSNINEMSQALSKLASQLNEKTFDLEIKAADNRILQEQLQLKSTPTSVMSLNRAFSRDSRESRSDALMNSHHEQAAEIEALKQEQIKMLEEKDGLVIHSQKLAEEGTYAKELAAAAAVELRNLAEEVTKLSYQNAKLTADLTAAKEFNSKSNSDQGASIYDVKRNGSIGRRPDERLKKHEEGILVEELGQELNAMYQREASLVSALSERDEKEAEMRRRLDEAKQHEEHLENELANMWVLVANLRKSGNHSGEILSEGVYASKKLQTRDGNVYISNGHPMKLLNGSGTDVDMEEIRTSEELQEKYIRERRRCKELEGLISRLKGEDVLGLDMATLEELQNLHVEAITKICHAKLCKEEQLDRLFKSRAPSSGDASIGFVQQRVAVTS >KZM98630 pep chromosome:ASM162521v1:4:25593291:25601298:-1 gene:DCAR_014008 transcript:KZM98630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADESKGSVDVILDFLKRNKFSRAEAALRSELGNRSDLNGFLENLTLNGEGLEREEKSEELENGAGKIKTSRGSEDVLSSEIVVKEIEFGPRNGSDDSNWSNTREQVGNAATVSPGNVVDDFGFSNSVDDAVPDLYSNYYIGNGSFVADPYEDFFGVGANSKRNEAKGESINLSANMNAREGNGIQFSIGEKETTWLGSTSNSIPEPKESKISATQFIKNDGFVNNLQSGNDHTSQSVPQAWKDCSVKTIFPFPELSISCDNGMVGFVDKREGKRKSDDIKDVRAAIKEQVDEVGRALFFGKSQEPKTFSSIGSMPLLPSDNHREEFPRLAPVKLKSEDKLSSITWEEKFERDVPGSKIINADTYHIGPFPDVPVGQEIISAGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDETWFLAHEIDYPSDNEKGTGHGSVPDLQERGPTKDEDDDQSFAEEDSYLSGENYFQSKNINAMISSDDPIGLSRTEMYGRNDENNLIAQYDGQLMDEEELNLMSAEPVWQGFVTQSNDLIILGEGKVLEECGQPGLHGICMDDDKHGSVRSIGVGINNDAADFGTDVRESLVGGSSEGDTEYTKDQDGGVHGSRVSQYDLNNTYHSRSKEKNGMTKPGLDKRATGNSKGTGSPEKDHLGGFSFPPSDGQTVQAVCSKPLRSNKENNNVGETDNGLSTLIGDDNMLSSWRRSGDSLPVRISRDEDDVVDSVNSSPSSFSNYGYADKNLVLKAEDEKLGSREDPGVSLEDEEAAAVQEQVKQIQAQEEEFETFNLRIVHHDHINAPLVYYFHIVREFAKAERDNNWPKIVTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHSGIDVCVKIIKNNKDFFDQSLDEIKLLKFVNKHDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDQDMLAKGRDTYKYFTKNHMLYERNPDTNRLEYLLSKKTSLRHRLPMGDQGFVDFVNHLLEVNPSKRPSASEALKHPWLSFPYEPISS >KZM98224 pep chromosome:ASM162521v1:4:22079900:22081584:1 gene:DCAR_014414 transcript:KZM98224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRQDINQNIQRLEKFQESNPSLYSNVVEILKKEKNEVSARHVTSCSRAFVWLTRSLDFTVTLLQLLLKDSELKMEKAVEEAYVVTLKPWHGWITSTAYKVALKLVPDTKAFLAILMSNDEDNDRLKEEMEMFISLLVPFLDKIHLISITFNLDNMKSP >KZM97945 pep chromosome:ASM162521v1:4:19677115:19682757:-1 gene:DCAR_014693 transcript:KZM97945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSNSDVSGDDEGFLLNDGGPFPFPIDSLLQPAPCGFVVTDALEPDHPIIYVNSVFEMVTGYLAEEVLGRNCRFLQCRGPYAKRRHPLVDSSVVSEIRRCLEKGIEFKGELLNFRKDGSPLINKLRMIPIYGDDDTIIHIIGVQFFTEANLDLGPLLRSSIKEAASAQDRFRSSLSSDRLLQDGSRNIAHGGCGILHLSDEVLSHNILSRLGPRDIASVGSVSKRLYMVTKNEDLWRMVCQSAWGSETTRVLEAVPGAKRLGWGRLARELTTLEAAAWKKITVGGMVEPSRCNFSACAVGNRVVLFGGEGVNMEPMNDTFVLDLNCNNPEWRHVKVSSPPPGRWGHTLSCVNGSNLVVFGGCGQQGLLNDVFVLDLDAKHPTWREISGLAPPLPRSWHSSCTLDGSKLIVSGGCADSGVLLSDTFLLDLSIEKPIWREIPAAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVYTMDLSEDEPCWRSVTGSGMPGAGNPGGLAPPPRLDHVAISLPGGRILVFGGSVAGLHSASQLYILDPTEDQPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLGDLHELALAGPV >KZM97726 pep chromosome:ASM162521v1:4:17268084:17270131:1 gene:DCAR_014912 transcript:KZM97726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETLESTVFKPVQELAVDCNTPPERYIYTCSNEAIGVNPPVLDIPVIDLNSLQSSSPSADRELEKLRASVTSCGCFQLIGHGMTSSFLDQVSSIGRDFFALPVKEKLKCLRTAENTQGYGHDTKFSENQVLDWTDRLYLITSPEDQIKFQSWPECPESFRKLLQEYTEKINLLTEAVLKVLSRSLNLKESCFLDQYGENAYMVARFNYYPPSPRPDLTLGVKPHADGSAMTFLIQDKQVEGLQVLKDDQWFNVPNVPDALLVNIGDQVEIMSNGIYKSPVHRVVTNSERERITVAMFCSPNSSRYIEPAEELISKRRPRLYKKVNNYFDMCFEGYQHGKPAIEAAKM >KZM96761 pep chromosome:ASM162521v1:4:5902328:5903255:1 gene:DCAR_015877 transcript:KZM96761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVEVLLVLVARLRIMEYTNPYFVDDPKSRESERQHFEALFELEEINRDIIDIDDLVDCLCGKENPREENPVMDNVVEDHPVEENAVEISDHENASFHEDHSNIDSTNDDVRIHKKKKL >KZM97771 pep chromosome:ASM162521v1:4:17649313:17649642:-1 gene:DCAR_014867 transcript:KZM97771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDAPSFPKKALPQVVNDASSTKAELPTQERGNSGILPSEKVSDALSTTTEVPTQNRVRRGVLPASKLRGNVSLIYGDWPADDDDMVFSRGELYEFYVNLYLSIEFSS >KZM99340 pep chromosome:ASM162521v1:4:31217904:31220266:-1 gene:DCAR_013298 transcript:KZM99340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-10 MVLVSTVSVGMSFSATRFMVKPAASFTLLKPPSTKAIHNTSIRSTSNDAALVSRGDACVDGKSIVRRSGNYPPPIWDDDFVQSLASDFKMTTDIGTSLTGKCRGFQWIFERIRWWKSIQWAEKLSFARARLVECFYWSLGSNFEPEFQYARSVLTPVNAFITTIDDIYDVYGTLEELELLTKLTKSWDAAELDQLPDFMKICFTDLYNKINEVANVFQREHGAVLLTHLYFVKPNSVKHEDLQCLMACPNILRHSATILRLADDMATSSHEMERGDNPKSIQCYMNDKGVSEDEAREHIKYLITETWKKLNEESAESPMSKPFIENCLNLAKIASCVYLYGDGHGAPGSRDKDRLLFLFVHPIPLDL >KZM97270 pep chromosome:ASM162521v1:4:12966844:12969470:-1 gene:DCAR_015368 transcript:KZM97270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPGAYQAHLPSVPSWLNKGDNAWQLTAATLVGLQSMPGLVIIYASIVKKKWAVNSAFMALYAFAAVLICWMLLCYRMAFGDKLLPFWGKGAPALGQKFLLRRAKVPESTQYYEDGSVETPAIEPFFPMASLVYFQFTFAAITVILLGGSVLARMNIRAWMAFVPLWLIFSYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLSSGTAGLVAAYWVGPRSKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAASIDASMAVINTNICAATSLLVWTSLDVYYFGKPSVVGAVQGMMTGLVCITPGAGVVQAWAAIVMGILSGCIPWYTMMILHKKSIFLQKVDDTLGVFHTHAVAGLLGGLLTGLLAEPDLCRLILPVKDSRGAFYGGKGGAQFLKQIVAALFIMGWNVISTTIILLAIGMFIPLRMSEDELSIGDDAAHGEEAYALWGDGEKYDPTKHGNWNGSLLFIEQTQTSGYTNAATAAAPARGGTIDL >KZM98398 pep chromosome:ASM162521v1:4:23618059:23618953:-1 gene:DCAR_014240 transcript:KZM98398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGHHDENHSDNIWTCEVDKLLEELRENQFGDEWTFEDNKLIDDLQGNVEVLESSNKGSKDLANEDGGGPSEKKKMTKRSRARPIQWTQKEHKLFLLGLQKLGKGKWKEMSKFYVQTKTPAQITSHAQKFYKRIDNPTPLDKRKHSINDIRFVEDFSSLTDIQPNILSPQSSMAQNHVHHTIEHPVLNNINHHPNILQNFVPLNYNQSSITSPQSIVPQNYIPHAIQHQVLNSLSPQPTVTQNHALPNFQQMAHNRMQQPILFPENN >KZM97143 pep chromosome:ASM162521v1:4:11463125:11466400:1 gene:DCAR_015495 transcript:KZM97143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKRLNSIRPTIHSAILKKLSPLAANPSQCSSIRFFDFYQLGNKEAIEKERARLADEMNRGYFADIAELKKHGGKISEANKIIIPGMAAVKFPALDVTYSDGTKLSLPTVFNANGVDTGSMTMPKASLMCLSFRASSQTMVNTWTTPFLDAFSDSKAVQLYEVSFIDSWLLTRSPIKKLLLRMMRKPDPKEKKDVLQRQIVYFFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGSGISSKEELASLLACTSLLLEES >KZM96754 pep chromosome:ASM162521v1:4:5829216:5831748:-1 gene:DCAR_015884 transcript:KZM96754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNKSFDLVLTDVHMPDMNGFELQRCIIQEFSLPVILISADTRAEVMCNGLKNGAQRFLVKPVMADDLKDIWQFAKWWKRTKNIISAPPSQISGSSQESVTMVDSHNGKNTRLVWTWDLHSRFVEAILLIGYHRAVPTNILEVMNVEGLTRRHVASHLQKYQRFLQSVLDGKKRIEFSRWIDPDYHSRFASGNPDLILLKQLINGKQKRTLASDNLLRPTKHENTRTPNGSKSSFGRLPPFTIGDGSSSRSNNATLMQINDSSTNVASRVLGNATLPKAQNEYMGSLIRNNTSNLIKNLSYTTNQMDYSDQNTLADENDNNTGNSGAHGGANNIMFSSTNNVTPSSFSHFWENFQSKQSINVGDKNSNYIFYGNNINTDQLTQLGNNHEDPSMAISSGANHFGNTPAMNLHEMDGEKKTDGSWFDNIGREDEKDCWFDIEIDEDEDYNPKKEF >KZM97928 pep chromosome:ASM162521v1:4:19529269:19529914:-1 gene:DCAR_014710 transcript:KZM97928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKDNCFTAAYVYFKRFDTEIGKEETEKADFDYLEKKVPEWGEPKFPSAKQARTLQVQLRELCSVFTCWYIKYTLNGLLFKSSILNIRLDLVDM >KZM96685 pep chromosome:ASM162521v1:4:4165963:4167565:1 gene:DCAR_015953 transcript:KZM96685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYMLMEDEQLRRLALLLRNQEEPLSRSINSEPDRIKFLRECNDAYDTVITLLENSIQLRNKHEASRAEHGKKADIAKDMQDYVKYGLNLGMQCIQNCGMRVTIVEKMKTHFDELATELNTTDSRDMKDFASLAEEVGFYKNSMWEYVTKLRSPSARAQSKAYSDVLRLQGIDFGTLVTTHKNKLGYKDAFEFLEEDQKLEVYNSIIEESGRAKMPVIFTRKDIPWYKPGGIAALAMTAGIMAWDIFTAEHKLESALNSAVSALSAAVSYAVKVSVTSAVGSVGVKAGTIVLSAAGFVVGALVGILFAAATGAILGVILGTGGKVPQNLDDLKFYSATMPNGMALANQISHS >KZM96992 pep chromosome:ASM162521v1:4:9549477:9554326:-1 gene:DCAR_015646 transcript:KZM96992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDSPLAADLCATAISGVVAVSVLKLWEETAKRGVFDQKLNRKLVHISIGLVFMLCWPLFSSGHQGALFAALIPGLNIIKMLLMGLGILKDEATVKSMSRFGDYRELLKGPLYYAATITLACAVYWRGSPIAIAAICNLCAGDGLADIIGRRFGSQKLPHNSNKSLAGSVAMLIGGFIASVGYMHYFSSFGYLEETWGMVLGFLVVSLAATLVESHPLSTVLDDNLTVPMATLLVGSFVL >KZM97703 pep chromosome:ASM162521v1:4:17070317:17070586:-1 gene:DCAR_014935 transcript:KZM97703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGSSRRDLLLNSQNFCRSIPAGAENPSLSWLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNWYTKGASFPVLSY >KZM97996 pep chromosome:ASM162521v1:4:20207414:20210008:1 gene:DCAR_014642 transcript:KZM97996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYLSLKERSRAGGHGVVTVDTVLVKLPREMLFTWGLDGISEPIYFDNQITKIPHKHSRPPPKNLDDEECRLLHEIIQMDNKVGFRDNEGTSKSAENALEAMGGFGLTGSKEATQSEKSVRPSVCEKSLEAGEEHSIVWSSERNRLQSNSRFHLVGGNSITGGTNYDDQTDLCRDKVMKVLDLFKDTLKKVQLEQKMKPNGQRKGGVKMYMEAARQLKEKHLWLNVNKSIGAVPGVEIGDHFQSRAELVIIGLHKDYFAGIDYMNIDGKLLATSIVASDRYGDKNESSDVLTYMGEGGNHVFSSSIPEDQKLVRGNLALKNSKDKKAPVRVIRSGQNIMKESRLIYDGLYLVTDFWNEVEPGGRLVYKFQLNRMQGQQNPIRLDAMKGSRKFNKASHASVVLNDISKGKENTPVRLVNIIDCEKPPPFKYTTKMMYHSQEFVVSRSSGCDCLDGCSEDNPCPCIIKNNCRFSVNNCVSTAEKEPIVYECGPCCKCPSGCKNRLSQNGIKLQLEVFKTIPGGWGVRSRNFISKGRFICEYVGELLQFKEEEGRIDFDESAVDAGNFYGTEDSSSFSEDNDDVENVKFGNVGRFIRHSFSPNLYAKCVLFDHEDTSRPHVMLFAAKNIAPHTEMTFNYKLW >KZM98003 pep chromosome:ASM162521v1:4:20292233:20293732:-1 gene:DCAR_014635 transcript:KZM98003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDSSIAQGPDTDTPNPGQQFAQFGAGCFWGVELAFQRVPGVTKTEVGYSQGLLHNPTYSHVCSGTTKHSEVVRVQYDLAECQFGKLLDLFWSRHDPTTLNRQGNDVGTQYRSGIYYYSPEQEKEALESLERQEKLLNRKIVTEILPAKKFYRAEEYHQQYLAKGGRSGSKQSAEKGCNDPIRCYG >KZM98262 pep chromosome:ASM162521v1:4:22358407:22365855:1 gene:DCAR_014376 transcript:KZM98262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFVNAMSVLSYAGYGQFQQKILYWGIGAKTLNTKKFILSQVKGGNNENVSDIEKKDDALEKLKPLWDDGHGTATIKDFLDIAIYNIKSGGDDQIRWFCPIECGRPLNNSPVLFYLPGMDGLGSGLVMHHKALGKVFEVWCLHIPFHDRTSFEGLVEFVESTIRLQHSFFPDKPIYIVGDSFGGSIALAVAANNPTIDLVLILVNPATSFDKSPLQRLLTNVESFVDEFDSAIPYILSIILGDPLKAGMVNLKNSKLNGNIFGQFLNNIIDVMPSIHVLADSIPGSIFEWKLKLQKASDVHLNYRLHTINAEVLVLASGQDGMFLSKTEAERLSSLIPNCTIRYFQNTKHNLLMEEDINLLTIIKGTCKYRRSRTKDWVSDFLPPSMSEYNHAFNKTYGIVRLITSPAIFSTMQNGNIVRGLDGVPDKGPVILVGSHMLLGTEISSLVQEFLIKKNIMVHGMAYREIFNPELEHPFKIFSLLDIFKVFGALPVSTRNICKVLSSDSHVLLYPGGLREALHRKGEHYKLFWPDKPEFVRIAAKFGATIVPFGAVGEDDMVELLSDYNGRTRNRNYIKLRTEKDGEISYENLSYPNFYPKIPGRYYFLFGKPMRTKGNETLFEEEGYRKYFYMQIKSEVEHSISYLIKKREEDPYRGLLDRTLYRAFSAYMDHDVPSFEP >KZM97126 pep chromosome:ASM162521v1:4:11205227:11206970:-1 gene:DCAR_015512 transcript:KZM97126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMSQMTKNLSRKNSKGFVVKMRFLHKRSQAEKANSIFWYRYFKWLLWFSLSSYFFVSFLITRSSNPIKPTSFSLPKHSFSRALIESQHPITHPGLLNGMKVYVYNLPPKYNNDWLKNPRCKNHLFASEVAIHKALLTSQFRTLDPSEADFFFVPVYVSCNFSTVNGFPAIGHARALISSAVQLISSEHSFWNRSRGSDHVFVASHDYGACFHAMEDVAMAEGIPKFMQNSIVLQTFGVKYKHPCQEVENVVIPPYISPENVKSTLAKSPVNGRRDIFAFFRGKMEVHPKNISGRFYSKRVRTEILRKYGGDRRFYLKRHRFAGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFESSVNWPEISLTVREKDVGKLGAILEHVARTNLTAIQRNMWDPATRRALLYSDPMEDGDATWQVLNELSLRLSRSHKRVSSV >KZM96850 pep chromosome:ASM162521v1:4:7636639:7637752:-1 gene:DCAR_015788 transcript:KZM96850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVGLVPGDEKSAECIRQLEQLSGQAANLSLKGSPYWMAPELIHPYMQNESDPDLALAVDIWSLGCTIIEMVNRKPP >KZM96890 pep chromosome:ASM162521v1:4:8374706:8375160:-1 gene:DCAR_015748 transcript:KZM96890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKTRKRREEKGKEERLKGKMKEILKVERWWEEMLIERKGENETPPRPPACGGVQ >KZM97692 pep chromosome:ASM162521v1:4:16988419:16989474:1 gene:DCAR_014946 transcript:KZM97692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIMATSDLPLCSNAEDEYIDMEVSSSSSSLLFSSPQAREFEFQMCDSVGLEKESTTSPADELFYKGKLLPLHLPPRLQMVQKLLQNSATKTFDQECNIALPATTSNTPLDQSCNISPTESCRVSCELNQDGFFNWSTELSGFVSGTDQPKKSWSRTKLRLIKQSSLGQKLRASRAYFKSLFSKSGCSNESCAKPACKAEESGHIANGNRDYLSRYIKVAKNTASEQIGKGIKFPTLAFVMNSIDKDEFDQDVTRTHRKSFSGPNKRQSTMKSSSSSSSGASSLSSSFSFNSNGANDPQPLKRSSSASSEIEGSIEAAIAHCKKSQQLFTARNTVNEADFCSFSVSKLAA >KZM98628 pep chromosome:ASM162521v1:4:25581730:25582915:1 gene:DCAR_014010 transcript:KZM98628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFGSPPFVSYPVTRTPHITSSSQPSPPQQPPNPPPQPQPLNATSSEPISPVSLPDKKQKSAADSTDWIASSLTRRFGLGAGLAWAGFLAVGVLSEQIKTRLEVSQQEANTKDVDKEEEVVLPNGIRYYEMRVGGGATPRPGDLVVIDLKGSVQGSDKAFVDTFDGDKRPLAIFMGSMPYGRGMCEGIEYVLRSMKSGGKRRVIIPSSLGFGEDGADLNSGVQIPPSATLEYIVQVDKVSIAPA >KZM98842 pep chromosome:ASM162521v1:4:27316010:27316183:-1 gene:DCAR_013796 transcript:KZM98842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLHEMSDSKYGKERRDQKSAKEYKFEEVLKQWWSTYSTSIVYEMNEKWMNWHGDG >KZM97831 pep chromosome:ASM162521v1:4:18197612:18198889:-1 gene:DCAR_014807 transcript:KZM97831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPITNNRIDCNENRMSKSMKRLLLVLNCVMLGVGNCGGPQVQRLYFVKGGKRVWLTSCLETAGWPFLFFPIIVSYLYRRSQGVPGTRLVSIRRALIVPCAIIGVLTGADDYMYSYGVARLPISTSALIIATQLAFTAAFAFLLVKQKFTSFTVNAVFLLCMGAVVLAFHTSSDRPAGESDKEYILGFVMTLGAAALYGFILPAIELMCKKAKQRLNYSLVMEMQVVMSFFATVVCAIGMVVNHDFAAIPREAREFELGKTMYYSVLVLDTILWQLFFLGATGVIFCASSLLSGIIIATLLPLTETLAVLFFHDKFKVEKAISLTLSLWGFLSYFYGELQHTKNQKEALNLEPSP >KZM98073 pep chromosome:ASM162521v1:4:20894041:20894735:-1 gene:DCAR_014565 transcript:KZM98073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSICKKSDNSKTKPSVKKKQSTPLRSILCLKNRASLKEIEKKEDCFILDFNPDESLRKTHVSNNNDAEISVVAEKGQVACRDFPHSRHNCAKYPFNKTSHESCCRFCYCFVCDTAAPCVMWTGSNGHCHVVDNEAGKLQRRAAKRLQILMQAVLKANGLSI >KZM97375 pep chromosome:ASM162521v1:4:14001150:14001308:1 gene:DCAR_015263 transcript:KZM97375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVSNKTIMIVTALALLIANIVVMAAAANQQQSGENSFKVGPPLYRASRN >KZM97378 pep chromosome:ASM162521v1:4:14020161:14027889:-1 gene:DCAR_015260 transcript:KZM97378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVRVAAAQMTSINDLAANFSTCSRLVKEAASAGAKMLCFPENFSYVGAALGDSLKIAEPLDGPIMKGYCSLARESNIWLSLGGFQEKGSDDAHLRNTHVLIDNAGNIKSTYSKMHLFDVDVPGGAVYKESSFTEAGKEIVAVDSPVGRLGLTVCYDLRFPEIYQQLRFHHEAQVMLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHSEKRESFGETLIIDPWGSVIGRLPDRVSTGLAVADIDFSLLDSVREKMPISKVVYSKQQSLTRLIWEGFCGLVNAQFLFQRFLL >KZM99158 pep chromosome:ASM162521v1:4:29858587:29860212:1 gene:DCAR_013480 transcript:KZM99158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLISLEPSNLVAIRIRKGQRCYGELTLRNVMHTMPVAFRLQPMDRNHYTVRPQSGIISPLTTVTVQITYESHQHSVLPESFPYCDDSFLLHSVVVPGATVKNPASTYDTIPNEWFTTRKKQVFIDSGIQVMFVGSPVLTQLVNNGAMGEIRDVLEKSDSAWKAVESVDSDGQTLLHLAISKNRPDLVQLLLEFEPNVEVSSGSGSTPLEAAVALGETLIVELLLAHHANVERSEASSWGPIHYAAGGGYLEILKLLLLKNADVNALTKDGNTALHIAVEERRRDCARQLLTNGARTDICNSGDGDTPLHIAAALADDHMIKLLLQKGANKDIRNKVGKTAYDVAAEHGHSRLYDALRLGESLSIAARKGEVRTMQRLLENGALINGRDQHGWTVLHRASFKGHLDAVRILIEKGIDINAKDVDGYTALHCAVESGHVEVIELLVKKGAEVESKTNKGVTAIQIAESLNYSGITRILVYGGATKDGSSVKKYGLPPTSLVSFGKGKGVKEIMDVAVKKKKATHPRNVRGSYDRTRTMAVI >KZM97702 pep chromosome:ASM162521v1:4:17069889:17070215:1 gene:DCAR_014936 transcript:KZM97702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLGFILVLTLVTIAHTNIEARNVELWNTNIAQQDPGQYDHMLPKGSVPPSRPGRGTNPPVDISNGAPGGQPSQHFHTHILPKGDHHPPPGPSHGSTPDPPGPPPTL >KZM99413 pep chromosome:ASM162521v1:4:31890242:31890397:1 gene:DCAR_013225 transcript:KZM99413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTAGIAAEMVSDDDNDMLFDKDNNENRIELKGSENAGMKCEPELMEVAA >KZM98981 pep chromosome:ASM162521v1:4:28498305:28500506:1 gene:DCAR_013657 transcript:KZM98981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTKHPPIITDIKSLLSYQRKKRIITFIYGFMFGFVVFTVFLAFNPSPQSSTPWVSNIYSTINTISTTNSSSFSSSFNLSSKLSPVFSYFFPNSTLPNANASYNRNQTQNKDLGLDKVGNFSSNKTRIETPKSQVVINQTQDHDLGNKVEVLKTNQTAVLAPSPPVVVNQTVNSVPNSSVQNLSGNGDKRIADTGLVTNANTSLPKKEEKDSSSHVPVNVEREKLVGKLMNCDLFHGEWVRDDSYPLYKPGSCSLIDEQFNCFRNGRPDKGFQQMKWKPQHCTLPRLDGGHMLELLRGKRLVFVGDSLNRNMWESLICILRNSVKDQSKVYEASGQHHFRSAAFYSFIFKDYNCTVEFFVSPFLVQEWEEPEKNGSNKETLRLDKIGTSADNYKSADILIFNTGHWWTHPKTSEGRDYYQEGTHIYHELNVIEAFRKAVTTWARWVDANVNPLKTLVFFRGYSASHFRQDGGQWNSGGQCDHETEPIKNETYLDAYPPKMRVLEKVLRNMKTKVSFLNITRLTDYRKDAHPSVYRKQKLSEEESQSPLKFQDCSHWCLPGVPDAWNELLYAELLVKQHEKQQQKIKR >KZM96791 pep chromosome:ASM162521v1:4:6345024:6345569:1 gene:DCAR_015847 transcript:KZM96791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSISDSDLAMLDLIRNHLLEDTYDFSPLTETNKSNYFIHEQPSCSVDKIVDVKWSEKEVEMPRSNDSRDWKRYTGVRRRPWGKFAAEIRNPAKKGTRIWLGTYNTPEDAALAYDKAAFRLRGSRAKVNFPGMIGSYITEPIHGDAPKRASHVETTSSSSSSSSAISEDSESSKRRRMV >KZM99782 pep chromosome:ASM162521v1:4:34803617:34814488:1 gene:DCAR_012856 transcript:KZM99782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSETKDCVRVAVNIRPLVTAELAVGCTDCITVPPGEPQVQIGAHAFTFDYVFGSSGQHSSRIYEQCVAPLVDALCNGYNGTVLAYGQTGSGKTYTMGTNYNGEGHNGGVIPNVIETIFSRVEEAKDSTEFLIRVSFIEIFKEEVYDLLDPNPVTFSKVDGTSQAKPGGPARAPIQIRETANGGITLAGVTEAEVRTKEEMASFLLRGSLCRATGSTNMNSQSSRSHAIFTISMEQKRISLANGDMHDANDDILCAKLHLVDLAGSERAKRTGADGLRLREGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQATCVSPADTNAEETLNTLKYANRARNIQNKAIVNRDPVAAQTQRMRNQIEQLQAELLYFRGDSGIPFEELQILKHKISLLEASNAELQRELQECRINSKHLSQRAIDAQVEKDNLIMKLELARSGRSWEEIDADPNQRDIGLVKNYVTKIQELEGELFRLQKSNTSKHHELADYLKLDVVGYAPKKSLFDQSDTEATDTDGEAEVDVKELEHSSIQEKLDMELKELDKKLEQKEAEMKRFAGVDTSVLKQHYEKKVLDLEQEKKTLQKEIEQLRCNLANISSSTDGSTQKLKENYLQKLNFLESQVLQRKSEEAAMATKRLKEVLDSRKASSRMPYGDATSNGPSIQALMHAIEHEIEVTKRVHEVRCEYERQMEERTKMAKEMAELKEETQLIKRSILSDCPSAMSPGARNSRIFALENMLSTTSSTLVSMASQLSEAEERERAFTGRGRWNQVRSLAEAKTIMNFLFNLASSTRCSLWDKEVSCREKDLEIRELKEKVVHLVRQLEMQKAEIIHQEKLLKTSIKNSVKEKDSNSGDFIQNQKSRVYDLRLKASQNSTICEAGGYIAELLEDMDTSESEHSDREHDDDRDWEVSGPDEGEDSGWGFAKVKGNRRQTNQKVSKSGTHLVSLSTSSSVVEPGSVNMVSVVAENPILQQKAFDLCCSCSKNSFCKTGKCECRAAGGSCCLSCGCHPKKCSNREENMIKELGALAKLEQGAGTGSVSNSDTIDSSCSLASHGAMLLQTALAEISVNTKNDAAAKRKPLSDIGNAMRLELLQPKSDAPKPDKRKKWRKPTYKIVQDAPPSQPEISEVPTSTAVEPDIPLILPRAMRSMSSSTTLKERNSDQQPKGLVATKEADSPAPRSPRLQARPADDKENGL >KZM97701 pep chromosome:ASM162521v1:4:17067946:17068425:1 gene:DCAR_014937 transcript:KZM97701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLWLILVLTLVPITDTNIVTEATNVKLLKTNGVEQDPRQYDQMLPRGPVPPSGPNHGYDPPPRQFDQMLPRGPVPPSGPNHGYDPPPGQFDPMLPRGPVPPSGPSHGYDPPPQKSSELPDVLPPPNSRIRLPKGVPHPPEGPSHGSTPDPPRPPPTP >KZM98942 pep chromosome:ASM162521v1:4:28183878:28186178:1 gene:DCAR_013696 transcript:KZM98942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALCCVASRTHEQNSGKRGQEHSMDLVEPQWRTNFSFSPPTPSRIWDCRLQTDTLLSRPGRLVHGTSKYSNSKERKDVFGSGRHTNHQHSVSDGILSYPGSQPDGQAPRWTSPVQKLNLEGFSTPSRKGKMSPRPEIAWVPQSSQRQNIVADTYAASCSYGSPFSLSESGPWDVPGRLPSTIFQSRNFPSRRSFMSKPVYPLLMHNPVSDCGATDGSETCSTSRLTPDSDMIVPSNWSSVSTRIDQEFQTLSQLQEMDTSPAPSSISRREGYRWSTSSSYDMEYEAEQIDISENMDVDSLRSPGYQMDDTKCGICVKFLRQKSPWGSNRIMRSGDLPVAGILPCSHVFHAECLEQVSTKSEIHDPPCPLCLGLSEDLSSFSEPLMMALRSVRRRGVTISDTPETSGINDVLNYLKDSDRALKPTSSARSQTTSSKSFRKHFSSKGKLAKNFFRAKVFRRT >KZM99040 pep chromosome:ASM162521v1:4:28933570:28934922:-1 gene:DCAR_013598 transcript:KZM99040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFLSISFLLLHSLFTSLCLAANAPYLDGLLANGNFEQSPRPSDLKNTVIIGKFSLPRWEINGLVEYVSGGPQPGGFYFAIPRGAHAARLGNDGSISQVLNVKPGKVYSLTFAATRTCAQDEVLTVSVPGQSSDLPIQTLYSSDGGDTYAWAFKANSNTVKLTFHNPGIQEDPTCGPLLDAVAIKEMLPCPKYAKGNLVKNGGFEIGPHVFKNFSTGVLLLPKVQDLYSPLPGWIIESIKPVKYVDSKHFFVPSGQAAIELIGGRECAIAQIIRTVPNKHYNLLFTIGDAKNGCHGTMTIEAFAARSVLKVPFTSQGKGYYKTASLRFQAISNRTRITFYSAFYHTKINDYGHFCGPVLDNVRVFAVSRRSL >KZM97594 pep chromosome:ASM162521v1:4:16090270:16090464:1 gene:DCAR_015044 transcript:KZM97594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLFSYHSISGQMVGMSKQYKYVREKEHYVIEQMISESCQRIMENTRTKLRLNHKEEDTHHHT >KZM96677 pep chromosome:ASM162521v1:4:3779365:3779583:1 gene:DCAR_015961 transcript:KZM96677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVQARNHLDFICCMKEYMPRTNFITRTVDALQGEFSVETTDLEAVESPSNTKFIHSSKILTERELEEAALG >KZM96794 pep chromosome:ASM162521v1:4:6380518:6380787:1 gene:DCAR_015844 transcript:KZM96794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSISDSDLAVLESIRRHLLEDTDHIVDTFTNAGACNDLLYRWNVSIDDFFKNQHTITDSFNVDEFFEPVECPDHENVSPEVLNTRL >KZM97997 pep chromosome:ASM162521v1:4:20228556:20230064:1 gene:DCAR_014641 transcript:KZM97997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCNYKETHQNKMHEVLTLNSLPDNYQADLCRDQVRKVLDLYKDTLERVRKDDKVKTNGKRKIGMQIYVDAAMQLKDHKKWLNVDKCFGALPGIEIGDQFQSRAELVIVGLHSKFLAGIDYKNMGGKICASSIVTSGHHGDKNHSSDVLIYVGEGGKPNRGSEKPEDQKLVRGNLALKNSTNDVPVRVIRRIQNVKAPELTLADSVSKFVYDGLYFVRKCWPEREHCGNLVYKFQLERIQGQQELPRWTTQNTLRKFNKATQCPIVLNDISNGEENMPVRVVNAIDCQKPPPFKYTTKMAYHSQQCVVSKSGGCDCLDGCSKETLCSCIVMSNGKVSIDNSVSIVKKDPIVYECGPCCKCPPGCKNRTSQHGVKLQLEVFKNTPTGWGVRSRNFISEGRFICEYVGDLLKYKEEEGRIDFDESAVDAGNSNDAKTVMFGNVARFIKHSCSPNLYAKCVLFDHEDMRRPHVMLFAARNIPPRKELTFDYKLPTTAPQQSLSVS >KZM99707 pep chromosome:ASM162521v1:4:34169730:34172074:1 gene:DCAR_012931 transcript:KZM99707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLAGGRLAGKEAAYFFQESKQAVSRLVDKNKKITSYDSFVKELQVESSESADILPEILRHSLPSKLFRPPTESSSDSLSATKWQLPNAENSFVASTANDSRQDKYVPVNPEKLKAAAAGFSEIAKAFAAATAIVFGGATLMFGLAASKLELRGSDDLRIKGRELVNPRFEMIKERVIPLRTWAEGMSKKWHFEKEQDVKNNPLLKELSKQMGAKSSS >KZM97255 pep chromosome:ASM162521v1:4:12868099:12869802:1 gene:DCAR_015383 transcript:KZM97255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPVFRTKSQRQYSEPQRSPDVGLRKRARLDEEENKLQHEKEVQQVIKKQYMGFEKKPKKRVIKARRFCFDWDKSDDTSTDHNENPQVARLLFGRGSWAGIDIQEQKKKNKDQYLHWSKKALHEMTPRDWRIFKEDFNISYKGSRVPHPVRNWNESNLSWELLKVVERAGYKTPTPIQMASIPLGLQQRDVIGIAETGSGKTAAFLLPLLNYIITKLPPMSGDNREEGPYAVVMAPTRELAQQIEHETVKFARYLGIKVVSIVGGKSIDEQALKIRQGCEVIIATPGRLIDCLERQYVVLNQCNYVVLDEADRMIDMGFEPQIVRVLDAMPSTNFKPGNEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVSTSTAGKATHLISQHVMLVKDSQKMVKLQELLDQLGDQTVIVFVNTRKAADFISDHKANYRLTTLHGGKSKDQRDFVLEDFRNKRYNILVATDVAGRGIDIPDLAHVINYDMAPDIETYTHRIGRTGRAGKKGIATTLLTIQDSHVFYDLKQMLIQSKSPVPPELSRHEASKCKPGSLPDRPPRCNNM >KZM96576 pep chromosome:ASM162521v1:4:1098735:1102716:-1 gene:DCAR_016062 transcript:KZM96576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSLRSLELSKTEWKIKIHVTRTWNSFATNHELIGMNMILLDIEDYHIHAFVIAEACASIGSSIFEGNMYIIENFVTRRAIGYLRPVTSDMCIILNEKSSVTPVPLELGLISRHKFEITELGDVYSIIRNLAPDQLPLYALDIVGVMLDIGDVKLEDSTTTPRTYVYFNLYDGRNMTRVVSSGEIVHTLLPIFVGEFQTNPIVILSSMKPHFHKGVLQLSSTSASKAYINLSYSASNQIVAVVYPDVWQQIRDILVEGRTYIIVNVRVSNATGDFRPVPNPRIVMLTNSTTISPHVPDTEMIPFHRFNYKTLPELDELVWGNGHIIHHTFSIGLSSCVFQTCCIWD >KZM97665 pep chromosome:ASM162521v1:4:16694227:16696866:1 gene:DCAR_014973 transcript:KZM97665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTSLSGDILACIFLHLFLFLCFSGNVAPDKPTEFDFGTVTLTSLKLLGDAHWTNGSVRLTRDLGVPNSGAGKVLYCKPIRFRSQETHIPASFTTYFTFSVSNLNPDSIGGGLAFVISPDDDTVGDAGGYIGIMAGAGSPKSVIAVEFDTLMDVEFKDINGNHVGLDLNSIISSQVGDLDSIEVDLKSGDLVNSWIDYSASTQLLNVSVSYSNVKPKEPILSYSIDLDQYVNDFMFVGFTGSTQGSTEIHSIGWWSFSSSFDPNVKNGSLSASVTAPPPPTATLMNPTANSIQPGPSLAPTESSNSNVAHDSDKSNKKCHNRLCKESAGALVGVFTAGAFVLALFALVLIWGYSKKFKRVKKSESLASYYIKMPKAFSYKQLKLATKCFDSTRIIGHGAFGDVYKGIFAESGDVVAVKRCNHSGQGNEEFFSELSMFGTLRHRNLVRLQGWCHEKGEILLVYDYMANGSLDKALYESKATLQWAHRRKILLGVASALAYLHQECENQVIHRDVKTSNIMLDEAFNAKLGDFGLARQTEHDKSPDATVAAGTMGYLAPEYLLTGRANEKTDVFTGTSSNLVEWVWGLHRESRLLAAADPRLCGEFEESEMRKVLLVGLACSHPDPMARPTMRGVVQMLVGESQVPTVPRSRPSMSFSTSHLLLNLQDSVSDLNEMITVCTSSSSECSYNHGSTSGVVNLV >KZM99723 pep chromosome:ASM162521v1:4:34282369:34284180:-1 gene:DCAR_012915 transcript:KZM99723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTTEAKVPAVIVFGDSSVDAGNNNQIPTVARSNFVPYGRDFPGGVPTGRFSNGRVPTDFISEAFGLRPIVPAYLDPMYNISDFAVGVTFASAGSGYDNATSDVLEYQRKLKAYLGEAKAKIVIKEALYLMSLGTNDFLENYYTMPDRRNQFTVDQYQVFLIGIARKFVTDLYKLGARKVSVGGLPPMGCMPLERTTNLFNGYECMEEYNMVALSFNNKLSGLVNRLNKELKGIQVVFSNPYQVFLGLLKKPSSYGFVEAETACCATGMFEMGYACSRLRALSCEDADKYIFWDAFHPTQRTSRIISDHLVKTVLHKFL >KZM98684 pep chromosome:ASM162521v1:4:26071562:26086053:1 gene:DCAR_013954 transcript:KZM98684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLDSLLNSNLTDDDSDSETATSQRRTVDEIILNDSSSSSSSPPSSPKRIDVSNNSKTLTLVNRSPELPYADARNRNALPALFGSSSSSVRSSVKPGAALAAAFAASRNAQSPHAAAIKLRRASASSVEVNEVVSQPLAVETELSSSFDVDDAVRDVNYGMSNLRDSFTERNEGYEVTSVSYVNESSRDNFELVLDMHIAPTPSLTTEEEKEECNSKNSVLRDDSAFDVNTENFIGEQNVDNNALEYENGRVVEEDFISILEKHDEAEGIPSSEKEVEDNVNQYENTFSSDGSEPYENIDMQLENRGSETTREETHIYMKPLDMAEELEKKYALTDSQGKKDAASQPMRLEGVHHGSTVLGYFDINANNTVTRTISSETFRRDHGSAQVLAVHLNYIAIGMSKGVIICFPSKKTPMVADSSDAKIVMLGIQGERSHASVMAMCFNRQGDLLFAGYADGHYTVWDVQKATSLKIIREHKAPVVHIFYLGLDSQTSRQFNVVSGDSKGVIKTLLDETTSTVVCACPLLFDEYSGGALTSAQGSATVNSSSIGSMMGGVVGGDWKLFEGSSVVEEGVVIFVSHQSALVAKVNPGVEVYAQLPRPEGVREGCMPYAAWKYMRQPFTSINDVPDKSSERVGLLALAWDRKVQVARLVKSELKIFRKMTLKSSAVGVTWLDDQLLVVLTSDGQLCLFETEEGILIHQTSFAVDGSRADDLIAYHSQFSTTSGSPEKAYHNCVAISGASIYVLGPKQLTVSCLLPWKERIEVLRKAGDWIGALNMAISLYNGDTHGVIDFPRTSDEVNNTVMPYLVELLLSYVDEVFSYISVAFCNQIGKLDQLEDSSTSGSVHSEIEEQFTRVGGVAVEFCVHIKRTDVLFDEIYSRFVSVKHKDTFLELLEPYILNDKLGSLPPEIMQALVEHYSMKGWLQRIEQCVLHMDISSLDFNQVVRLCREHRLYGALIYLFNKGLDDFRTPLEELLVVLQNSQKDIAASIGYRMLVYLKYCFLGRAFPPGPGILSPTRLQSVRRELVQFLLEDSKIPSSPGPASSCLTPHPNLFHLLFLDTEATLDVLKWAFVEDEVQKSDHPSPVSALSNMDSTKDQFFQNLLQKTIDVLAVIIDQRCSPNNRSVSNYDVEFKEIWPSKKDISHVIDFVAYYISCQKATVSKNILGEILVYLTSTADVDIHPMVSRQNLQAFRKREKQVLEILEVVNETDWDASYLLSLCEEAQFYQVCGFIHAIGHQNLAALESYMKDAEEPIHAFSFISSTLLQLHGNLSDAFLSEIMSRIPDLVKLSREGTFFLVVEHFGSDHDRALREMESCPKSLFLYLKTIMEAHTKGSLNFLCFKKGDNVNIRSGRRNRCHPNRIETYMERISEFPKLMRDNPVHVTDNIVEQYLELLCQYEPSSVLNFLETFENYRVEHCLRLCQKYGVVDATAFLLERVGDVGTALSLTLSVLDDKFTILGTTVQDLLSKSSMKSFNTVLQKKEVNDILEIVRACIGLCQRNSPRMDFDESESLWFRLLDSEENKSGYGIRWKLSRFEKSAYILRKLFSLFIKEIVEGMIGYVRLPTIMMKLLSDNGSQEFGDFKVTILGMLGTYDFERRILDTAKSLIDDDNYYTMSQLKKGASHGYGPKSLLCCICNCPLSRNSTTSRIQVFSCGHTTHLQCELQENEALQGGFSAGCPICIPKKNTQGSKSKSAYAEPGLVRRPLSRNQPAEGNSLHLNDSEAAESSYEETTSFNVMRKCFDPE >KZM96976 pep chromosome:ASM162521v1:4:9375333:9376496:1 gene:DCAR_015662 transcript:KZM96976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNNHATSHPPDNTPPNSDDQAMINRQFFRTGFRYDDDIDDDQNVPKKMMMMNPRKKRTKMVRIDNASTTASTSTDLVVAAIKPKYSKKPDPSAPKITRPCTECGRRFWSVKALFGHMRCHPERQWRGINPPPNLRRSSPIDHHRIAEDHVLLMNEEDQEVASCLLMLAKSPPPCTTIVSDQSNYPTSVTTNCTVGFSGLEIRNQNAVFGCGDVDNTVMDHGAGPSGVSSFKFECSSCKKVFGSHQALGGHRASHKNVKGCFAINKNLDCNAEEDYNINGGHDHRNVDYAGNPFGDQDKMLMVLGHRCSICLRVFPSGQALGGHKRCHWEKGDEPSSLTQGGPEPYQQFPQKGASALDLNLPVPLEDDYLSSSLNSGLSLDLSLGL >KZM96617 pep chromosome:ASM162521v1:4:2273329:2274982:-1 gene:DCAR_016021 transcript:KZM96617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-30 MARKVSHALDMPLHWKLPRVEAIWYIDTYEQEQNMIPSLLKLAKLQYNIVQSVHQKEVSKLASWWTDIGLDKMTFARDRLVEHYFWCNGMVSDPEYSAFRDMGTKVICLITTIDDVYDVYGTLEELELFTDYVDRWDITEIDKLPMNIKTVLLAMFNTTNQIGYWTMRERDFNIIPYLSKQCADLCKAYLKEARWYHSGKKPTLDEYLKNAVVSIAAPIMLFCAYFLTADKITVEALEYIDKLPSIMWCPSMILRLTNDLGTSSDELTRGDNLKAVQCYMNDSGESEEVARKYVDNLVHETWKILNKDLLGSYPFGEPFLTANPNLARTTQTFYQYGDGHGIPQHWTKDHLKSLLVEPFALSE >KZM98400 pep chromosome:ASM162521v1:4:23628594:23632576:1 gene:DCAR_014238 transcript:KZM98400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKITTSLKKNKGNAAYIGTFPNESALILLRHGESMWNEKNLFTGCVDVPLTNKGVEEAIEAGKRIRNIPIDLIYTSALVRSQMTAMLALTQHCCEKVPIFMHNETEQATLWSQIYSEDTKRQSIPVIKAWQLNERMYGDLQGLNKQQTAERFGKEQVYKWRRSYYVRPPNGESLEMCLERAVSYFKEQIEPQLLAGRHLMVVAHANSLRSIIMYLDKLTPQEVINLELSTGVPMLYIYKNGEFTRRGSPAAPAEASVYSYTWNLALYRQMFDQMSP >KZM97881 pep chromosome:ASM162521v1:4:18908216:18910343:-1 gene:DCAR_014757 transcript:KZM97881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILITVTAFCILVAVTLVSVSRIRGKSHRKLPPGPCPLPIIGNIHKLGKHPHRSLAELAQVYGPIMRLKLGQITTIVISSSSMAQQVLQKQDAVFANRPVPESTRSCDHNKYSVVWLPVGSQWTSLRKILKSHLFTAKKLDENRHLRSRKVQELIRYCEKCSQSGEAVDIGRAAFLTTLNFLSNTIFSKDMTDSYDNSEAKEFKDLVWNIVVELGKPNLVDFFPFFKWINQTGKNQRIDGYSEKLIQLFDGLVNERLELKRSANFLENSRTTDTLDELLKLQQRNEIDKTQIQHLFMNNFYISHTRNYTNLASVLDNFVNLVNKGATTPPPKQPSQASRWLHVAKKSGRVWFCIGSLAT >KZM97093 pep chromosome:ASM162521v1:4:10720474:10728373:1 gene:DCAR_015545 transcript:KZM97093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTECVMMLFVLLMVGDYATTTFGTTVTYDHRAIVIDGKRRVLVSGSIHYPRSTPDMWPDLIQKSKDGGLDVIETYVFWDLHEPVRNQFNFEGRNDLVKFLKLIADAGLYAHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTNNEPFKAEMKRFTAKIVDLMKQEKLYASQGGPIILSQIENEYGNVQSHYGAGAKPYVNWAAAMATSLNTGVPWVMCQQADTPDPLINTCNGFYCDGFTPNSPKKPKMWTENWSGWFLAFGGAVPYRPVEDLGFSVARFYQRGGTFQNYYMYHGGTNFGRSSGGPFISTSYDYDAPIDEYGLLRQPKWGHLKDLHKAVKLCEEAMVATDPTTTSLGTNLEASVYKSDSGSCSAYLANFGTSSDANVTFNGKSYYLPAWSVSILPDCKNVVFNTAKINSMATVPTFVRHSLGDETTSSKALGSGWSSIKEPVGLISSGILLQFSYCSTEVKGDESYLEDGSQTVLHVDSLGHVLHAFVNGNLEGSAIGSNGHPKVSLDVPVKLKRGTNKFDFLSLTVGLQNYGEFFDLSGAGVTGPVQLRGSTSGAAANLSSQQWTYQVGLKGEDLGLSSGTQSMWVEQPSLPAGQPLTWYKTTFDEPSGNNPIALDMTGMGKGEAWVNGQSIGRYWPTNIAPNSGCTDSCNYKGSYSSSKCLRNCGKPSQAMYHVPRSWLKPTDNILVLFEEIGGDPTKISFANRQVESLCSQVSESHPLPVDMWATDKMTEKASRPVVFLECPHSGQVISKIKFASFGTPNGMCGSFSHGQCSSKKALSVVHKACIGLKSCSIDVSVSTFGNPCRGVTKSLAVEASCS >KZM98469 pep chromosome:ASM162521v1:4:24249669:24250058:-1 gene:DCAR_014169 transcript:KZM98469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWVLLGYTAAAEAVMLILLTIPINPLRKGLISVTQNLLKPLLSVIPFCLFLLMDIYWKYENRPNCEGHTCSPSEHLRHQKSIMKSQRNALLIAAAVVFYWLLYSVTSLVVKIDLLEKRVQKLKNQE >KZM98577 pep chromosome:ASM162521v1:4:25194543:25197672:1 gene:DCAR_014061 transcript:KZM98577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPISKLGSGAANWFHKRVVDPLVLILKRGAEPKQLTLSAALGVTLGVFPICGVPLFLCGLAIAVLGSRCHAPTVMLSNFVATPLELSLVIPFLRFGEVVTGGPHFPLTSDALKKVLKGQASQEVFQSIFHALLGWLVATPIIFVASYVLFLPCFKILVHKFSPIPSSPKTPTLSLTDIKLKVRDV >KZM97689 pep chromosome:ASM162521v1:4:16953376:16958009:1 gene:DCAR_014949 transcript:KZM97689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLQVSPTAYQDQSFANSTSAELQILSFVAFGFLDKDDTNAFPIKVCCEFFIFSPQRLVIIYKLLIIVICIYYEMITKLREWTLLTTDEGLDSFTVVKDNEKFLLKLRDRFDRVPVRDTFINPYKRPESEAEFVKTVKMCETDQGRRYPHHSRARVVDGVSCRQMYLRSYTFSKKESAPRKTKKCLGKVRDNVGISGRRPDKLTKGSVGGKKRPRAFSCATICNIFRRLLTCTTNIEVVVK >KZM97898 pep chromosome:ASM162521v1:4:19214912:19215061:1 gene:DCAR_014740 transcript:KZM97898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQQLQQFYKQCTYKQQPNYHKPTNITPATHTYNTSIYQLYINKQNHF >KZM98625 pep chromosome:ASM162521v1:4:25561920:25568524:1 gene:DCAR_014013 transcript:KZM98625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLREAHKSTGVASQSSILYDINGERLVTALASDTSICIHDAFLSSVSPKVLRNHRDGVTCLAISPNSTCLASGSIDHSVKLYKFPGGEFETNITRFTLPIRSLAFNKSGTMLAAAGDDEGIKLINTIDGSVARVLKGHRGSVTSLSFDPKGEYLASVDSVGTVIYWELHNSGIIAHNLKGLAPSTSSDNSVINVIQWSPDGEMLAVPGLKNDVVMYDRDTAEKLFTLRGDHVQPVCFLSWSPNGKYMATSGLDRQILIWNVDQKQDIDRQKFDERICCMAWKPNGNSLAFIDAMGKYGVWESPVPSSMKSPTEGTQSVKSNGVLFFDEDEQVPSASGSLSDMGEDSFDESEIASRKRLRQQSKYDDALDEDNDDELNFFPKAESHKKPRDDELNFFPKPESRKKPRKEKLDSAKEGLQNTMVIKGPKLQEAFQPGATPVQPGKRRFLCYNMLGSITSIDHDGYSHIEIDFHDTGSGPRVPAMTDYFGFTMASLNESGSVFANPRKGEKNMSTLMYRPFSSWANNSEWSMRFEEEEVRAVALGNSWVAAVTSLNFLRIFTEGGLQKHVLSLDGPVVTASGCGDELAVVTHSSSPLASSEQMLEFKVYNICSGTLSKKGKLPITPGSSLTWFGFSEEGQLSSFDSKGVLRVFTKQYGGSWLPLFSASRLKKPEENYWVAGLSASKLFCIVCKSPDTFPQVIPKPVLTLLDLSCPLASSDLGADNLENEFICNNIQLCQIQSRIDEMLASGQDTYSLDDEAFSVEAVQDRCILRLIASCCNGDKLVRATELVKLLSLEKSVRGAIKLVTALKLPNLAERFNLILEERLQKESMDTPFLSRATLNNCDTSFKADMSGKTSILPENSGKASIPPPVEPAIPATLEKFPANSFTKKTLQESVKAGKAKTDEKQAVKVESKATVNNSSESKNSVDIKHAGQTSKVGEGHRPSNPFAKSSNNQEKSMDKNAGQTSKVGEGHRPSNPFAKSSNNQEKSSLLDSLKKMKNDKTQQK >KZM96817 pep chromosome:ASM162521v1:4:6924168:6925023:1 gene:DCAR_015821 transcript:KZM96817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGTATFIDIILAIILPPLGVFLKFGCHVEFWICLLLTFLGYIPGIIYAIYVITK >KZM96824 pep chromosome:ASM162521v1:4:7007942:7008142:1 gene:DCAR_015814 transcript:KZM96824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRRIPAEELVDAIIVRWNGQQKEEKYDRKKVDITTLNSDECNAGPLRSGGLRLLPFIKPCCESS >KZM99602 pep chromosome:ASM162521v1:4:33346738:33349892:-1 gene:DCAR_013036 transcript:KZM99602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNHSPLHSLLLVFTSLLVVTSNLPLQAECLNQEGLYLLRAKQDLSDPSSLSDWNPRHDFPCNWTGVTCNDNSVVTEVSLDSLSLDGPFPAILCRLSSLSSLSLSDNFINSTLSDAIISRCVHLTYLDLSENLLEGPLPPALASLPKLVYLSLSGNSFSGEIPASFGSFPAIETLILSANLLTGTIPKELGDLRTVKMLQLAYNPFSPSRLAPELGNISSLESIWVSGNNLFGDIPDSFNRLSQLTDLDVSSNKLTGPIPSWIFQLTNLIQIELFNNSFTGELIPGWSNLTALRRFDGSMNKFTGTIPDELTQLPLESLSLYQNQLEGLLPESIAMSPNLYELRIFDNRLTGSLPSQLGKNSPLKTIDVTGNFFSGRIPESICEKGVLDELILLDNMFSGSIPESIGQCRSLSRVRFGNNRFSGEVPVSLWGLPHVYLLDLHQNSFSGNISHIISGASNLSTLMIAKNRFSGGIPSEIGSVGNLIEFSANDNRFSGSVPEGLFSLRKLWRLDLNNNEISGEISVRIRGLKELNELNLANNRLSGKIPDEIGELPVLNYLDLSGNSLSGKIPDGLQNLKLNKLNLSNNQLTGDIPSMYAKEVYKDSFMGNPGLCGDDDLLGLCPKHRRHKNPGNSWVLVFIFVIAGVVLVVGFVWFLLKYRKFKKIKHKTLVVKWRSFHKLSFSELDIVDCLKEDNVIGIGASGKVYKAMLGNGEVVAVKKLWERSVRDDSYSSVDSMKDEFEKYAYTLRVNEKSDTYSFGVVILELVTGKPAIDQQLGEKDLATWVCSTVDQKGVDHVIDPNLDCHYKEQICKVLDIGIACISPLPINRPSMRRVVKMLQEAATDPKPKATKEDAQLSLYYYEDVSDQTSLV >KZM97571 pep chromosome:ASM162521v1:4:15886516:15888824:-1 gene:DCAR_015067 transcript:KZM97571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVNIEGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTIMLIGNKSDLAHRRAVSREEGEQFAKENGLLFLEASARTAQHVEEAFIKTAARILQKIEEGVYDVSNESSGIKLGYQRGQGAPGARDASVTRNAGCCN >KZM97846 pep chromosome:ASM162521v1:4:18331974:18334464:-1 gene:DCAR_014792 transcript:KZM97846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGELWTIFGPGLAGAVFGAGWWFWVDAVVCSAVAVSFLHYLPGIFASLAALMFNCVRREDIDYSPYDDGEWRLKLWLFLAYVISFVSLAASVGLLIQDALEESGPSAWTGTAGVFQCVFVLIRRVSWLTWYNVVLLTEFQIAAVG >KZM98127 pep chromosome:ASM162521v1:4:21277203:21278415:-1 gene:DCAR_014511 transcript:KZM98127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKELRSGAIVLLLINTTFPVCYLHLLWLLSIEDLVNGQLQATAVNNDRDGENRRVKTIPDVKTGNDRVDAVVIDEESVGSVSSVGQGGKLLRGDGALEKYLAETNLPQTENDGTTDGDQQESESEVSSRAMNM >KZM97262 pep chromosome:ASM162521v1:4:12917330:12917587:1 gene:DCAR_015376 transcript:KZM97262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAEQTIAWIPEEGSSSMTYQYVTVHQNEVNPFHANNGRCWSARGIYSYFGLNRHLGHWGIVSAVCTPEPGCRLCTMGTTDTAE >KZM98347 pep chromosome:ASM162521v1:4:23112657:23114453:1 gene:DCAR_014291 transcript:KZM98347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRNQTTMGVKVSPSASPKHDLNPYARPYNKAPRVYFVQPLLPLRYYYPHFQYTVYHKNNSDPPKQMAKRCDPNRRSLPLRQLPRKVWRPKLKNRVAENLYAPVESAPAAPLLVHGDDDTQKTSVMMRNIPNQYSRDELMKFVDKCCAEHKLEYDFFYLPIDFSRHQNKGYAFINFTKPSYAKMFEQVMTGYIWGFNQLGDKSFTSKKICEITWAKIQGMDGFVNHFKGSNFPCYCENYLPVVLSPPSNGDASLSKLTTIGFYWNAA >KZM99059 pep chromosome:ASM162521v1:4:29104413:29107050:-1 gene:DCAR_013579 transcript:KZM99059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVGEVSGQASSFVKERSRNKKLLKCKKKVETELKKVKEENAKMLAAERLRLEDQVAEAVNNFKRVQHKLEYQQGLLESVHNLAERLRGARGMYMKQLEDVHVLHESLAGKVTEALADQSLDERNVAILEDEVSEPNGRLPTISSPADVIAEFKNSAEMDSLIAAGVERFKAGDEYERLVATACGAAIEAFKGSVVYQEEWKRAVDDGIADFKMSVEYTDEIEKASAAAVAAFRKSRDFRQAIGIESGKMSRRVVECCREFFRDNPQRPSEEFGSYFVAFVRQRRSGVDSRGTPHRIMVMRLQKVPCGAHEVALSAIDSTEAWFEYISQVTNRSWCFLCMMNVASVESRHGDPTISKLFGKTIGLAGTLTYEHHDHHFETQHFLKIVGGLAWRQLRYLLGDNRFIIIATHDWQMEAMSQFASVPSITGFDSGFSTSSPSRFSIAKIAAGCHRNIVDASCAIT >KZM99846 pep chromosome:ASM162521v1:4:35322555:35325041:-1 gene:DCAR_012792 transcript:KZM99846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMILSLLSLSLLAAAVTAADMSIITYDQTHAVGSTDDVIMAAYESWLVKHGKSYNALGEKEQRFQIFKDNFLYIDEQNAVKDRSFKLGLNRFADLTNEEYRSKYTGIRTKDSRKKVSGKSQRYASLAGESLPESVDWREHGAVASVKDQGQCGSCWAFSTISAVEGINQIATGKLITLSEQELVDCDRSYNEGCNGGLMDDAFQFIINNGGIDSDADYPYTGRDGQCDQYRSGVNPPNPGPSPPSPKSPESVCDEYYTCPMSTTCCCMYEYYGYCFAWGCCPLEGASCCDDGYSCCPHDYPVCNVRAGTCSMSNNNPLGVKAIQRILATPNWQHGSKGKKVTA >KZM96855 pep chromosome:ASM162521v1:4:7699881:7700299:-1 gene:DCAR_015783 transcript:KZM96855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSSASNVHSPDFCGCGMSPVLRTSWTDMNPGRRFWGCSMYMNRRIGCNFHQWQDPPVCGRSRNILPGLLKRIERLECESENRGRNERRMKQWLCALAVIVVLFFWQCISMK >KZM96587 pep chromosome:ASM162521v1:4:1483229:1484137:1 gene:DCAR_016051 transcript:KZM96587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLTAPSPLRAETVDPVDTTLGIEEPVTSVYAALPDLTQVPTLSAPLTTSVPVLTTESQDDHVMAELDRHFHPTLPEITAMITGVPDATLPAYETLTPAEIGATIERVPPPIPTTTDQIPPIHVTAELELHVPLTIISPMLGSSGPEAPVCDTAPPTPTIPVSVVTTGLGGLFAPFPVTATGQMEDTIATVPAFLFEELRLSYDELYARYHEVFITRDALLRMIATSRPAAPVVEDGLVRRSEVIDVMHQTATSVITGLQSAIAELPSSSAGAVDQGAVAALVELTRCEFLSRVDEMFRPH >KZM97579 pep chromosome:ASM162521v1:4:15954177:15958981:-1 gene:DCAR_015059 transcript:KZM97579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVNQLSDDTVRNMSIGALFSDFAGKIFSLDFHRTADLLVTASEDDSVRLYDIANAKLLKTTYHKKHGADRICFTHHPSSVICSSRYNLDSTGESLRYLSMYDNRCLRYFKGHKERVVSLCISPINDSFMSGSLDHSVRIWDLRVNACQGLLRMRGRPAVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFETFLVGGDMAEVCDIKFSNDGKSMLLTTRKNNTYILDAYGGEKRGVFSLDPSPDTELEATFTPDGQYVISGSGDGTMHAWGINSGNKVGSWDSKIGVVSCLKWAPRRVMFAAAASSVLTFWIPDESKSGKPQN >KZM99757 pep chromosome:ASM162521v1:4:34557081:34557461:1 gene:DCAR_012881 transcript:KZM99757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFVFIAVMFVIAGSAIAQAPGASPTATPVKPPVAAPAPVATPPTATPPTSSPTASPPTDTPISSPPAPPTSAPGASPTGAPTPSSISAPGASPAGTPDNAAGLNRVTVAGSSVALFAAVALLM >KZM99445 pep chromosome:ASM162521v1:4:32097880:32099604:1 gene:DCAR_013193 transcript:KZM99445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEARLLLYSAARVVFILFLVIHLVYTEFFAFEAAQIMPWKQRLWKSNADLHAYSSNITSAPEPAPAEVLVSKSKNSNISEETILFDEGKSESLQHELQKQDSSLEVLLSRLVPGPVQDDKEQRSEFESSGLACDSAAHSLVCVVNKPVKIINSGSTGVSVVYESSQSAGERTIVRPYARQEDADLLKSVTPVEILSQENSSVKQGCHYNHDVPAVLFSTSGFTGNLFHEMNEIIIPLFLTSFQFQSHVQFVVTDHKASFVSRYGRLLSKLSRYEVINPAANRSIVHCFPGAVVGLKFHEFLGFNSSEIPRGYFMEDFRNFLRQTYRLKAQNVSAITKPNLLLISRQHSRTFLNENQLVDMMRTSGFQVIVAKPKRMANLAKFAKLVSSCSVMVGAHGAGLANEVFLPAGAVMVQVVPLGLDWASGAYFGEPASDMGVHYLEYKIEPEESSLINSYKRDHPVITDPTRVLYNDYQAGRALYIDGQNMKIDLARFKKTLTEALKLLG >KZM99620 pep chromosome:ASM162521v1:4:33486266:33488673:1 gene:DCAR_013018 transcript:KZM99620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLERKKTSLYLLALHLALVFETITCQRPDVIASGQTLSGNHTLSSKDGTFELGFFTPGKSRNYYIGIWYKNFVDKTVVWVANRNHPVTNPYDSELKLFPDGNLALLNESKIRIWSSNSTARKDNATLAILLNNGNLLIRDDQDISDIIWQSFDYPTDTWLPGGKIGYNKRKKEKIYLTSWRNAEDPAPSVFSLEVETNETSLVLVYNKTKQYFTTGSWTGRYFVSVPEIQSNPYVLNFGYISDVNESKFTYDLIPKTLTRFMIDVTGQFRQFAWRENYPEHRWGGNWLRPEQCEVPRFCGAFSTCNQLKAPSCNCLQGYEPKLAESWALGDYTDGCTRISPLHCSDVGEVEDTFFFSEGNAIFNPGYRGFTFFRQSGKTSKMRVWIVIGAVGVLFVLLGIVTLVILQLMKRKVGRYNGEAGDLILFKYKDIKKATKEFSEKLGEGGFGSVFKGTLPDSRGIAVKVLKNLRQGEKQFRAEVSTIGQIQHINLVRLKGFCIEDDKRLLVLEYMKNGSLEHHLFRENSNVSLDWKASRVLTTIRGTRGYLAPEWISGEAITAKADVFSYGKLLFEIISGRRNMELLDDGDYFPALVADKISKGEEVLMQFLDQKLKGKANSIELTRACKVACWCIQDDEKNRPTMGLVIQILEGISEVGIPPFPWFLRGFAKDNEYQSIVHEPYTFYTTSSNN >KZM98423 pep chromosome:ASM162521v1:4:23835161:23836814:1 gene:DCAR_014215 transcript:KZM98423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFSIAAASPTSFSPFPKTLISKSSSFHGIKIAHFCPPRIQCTRAVQIAPVKMSKKDEELKEIRALTTEEIQEQVVDLKGELFMLRLQRSARNEFKSSDFRRMRKRVARMLTVRRERELEEGINKRLSRKRDRQWKKSIVVRPPPSLIKLREEEAAEEAKEASSA >KZM97344 pep chromosome:ASM162521v1:4:13763118:13766789:1 gene:DCAR_015294 transcript:KZM97344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANFWFIIMLYIYTIQNQDTSIKDIISSACERNVTENKEIVTGGQIDLTKRCVQPSTVEDQVQASTLLVQTPTGAFSSVERSQSMCCVEEISLSSSIDNFSHGPNTYFRPRIFCLEHAIQVEELLSGKGGADVLVICHSDFKKIRLHAAAVADEIGKPFSYQEVPLDNASPEDLKLINFAIDDEGQDESKEDWTSTVKINLRHCLKTFKKYPSEELQHALTLKGLCFDKAFNGSFPSFKWKSAKLRSKRRKLNQSESKSSESILMKNDEALESVSDAPFPDKQVKLVHDAPLPDKQVKLVSKSSESMLMKNDEALESVSDAPLPDKQVKLVHDAPLPDKQVKIVSKSSESMLMKNDEALKSVSDAPLPDKQVKLVQDAPLPVKQVKLVHDAPLPDKQVKLVHNAPLPDKQVKLVHDARLPDKQVKLVHYVRRFKSKNSGSGKTFKILEDSQKGLVPVNCADLDKNKHNGVADNISIYENTGRGSSERSVLIPGQQSDMQQKSKCPVERRNVNESPVLSEIKSNLLSAEPVIGNVTSQSGRSKELMMMDEAFGSAIFDSQMQQEIKLVGNSSEKNGNSSAGSPEVPILSTVACQTPDFVQVERENQMIEGLCSQHVNSNLVNAGKSGVLHKLQGSADASTNEVSDPNISQVPDTEVERLNEHIEKPLSVVNIGADSRANLYCEVQTQFASTKVFSDPTISEGSGSDITKIERLSEQIEKPIIEVDIGVKSLNLEGEVQPQFASTKEISVPVLSEPEVSESDISTVERSREQIEKPLVEKNAGDKNCPSLEFELQPEFASAKGSKEDSVTAFVKITPSTNSSPISVKEIQDVPGEDSAADKMDSLGEITPLQEMKEFDRHNSQVQPDSVVKHNRKRKREKELLADGFIRSPCERLRPRACSTALAERSVTKEVLEERPVTKKVLKEKPDTKKVFEEKPAAKKIQESSEHPSRCKNGIKKRKASYNCDVDNCKLSFETKEELRMHKNNKCPHEGCDKTFNSHKNAVLHLRVHDDARPLKCPWEGCTKSFKWAWARTEHIRVHTGEKPYKCKVKGCGREFRFVSDYSRHRRKTGHDKT >KZM97639 pep chromosome:ASM162521v1:4:16456673:16463307:-1 gene:DCAR_014999 transcript:KZM97639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGMNNNSKSNGEKNPSRGGATRSWGTTGSAQSVSTSGSVGSPSSRMEAAPAAVATTPGSENTFLRLNHLDIHAAGDEAGSQLDVGNKKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDPGNNLSSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWRGLPRTSLNDIEELKSERLGLKSKVDKKTAYLKELEDQYVGLQNLIQRNEQLYSSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQGDNVEHDGPGDRGESSSMPNMYQPEISHPSMLSLPMSRTPTSPPLPGIIKARVKHEH >KZM97875 pep chromosome:ASM162521v1:4:18869338:18869703:-1 gene:DCAR_014763 transcript:KZM97875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKFNQEYLEISKKLEDEKAKKVDDDVEGGPFWWEQPFDEFELGELEKYIESMEALKNNVIQRAKEMEMIRNSSMFNANQSLKNEGFDTLLVNKNAGHYEYGDEHMLPCPPGFNIRSGLN >KZM98272 pep chromosome:ASM162521v1:4:22447629:22449109:-1 gene:DCAR_014366 transcript:KZM98272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLVERYLKCTGTKIPDHDNREQMHGELTRIKKETLSLQLSLQRYKGDNLSSVQLEELDHLEQQIEHSLNKVRSRKFELFHQQMENLKRKENLLERENQEMHYWLVSNQMERQMQQQAAAEMEHHDEEQQAMTELKLLQGQSSSQLVLDHYSFYAPPPPHDHPDPDHDHQHPPPPPPPPGVLHFPGLLPPPPFPPPATTSYPYQLQPTQPNLQKSEPSHYIYD >KZM97431 pep chromosome:ASM162521v1:4:14655074:14656920:1 gene:DCAR_015207 transcript:KZM97431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTMRCLWGLVLLLGIAGIRGQDVGSLLSKDMFEDMLKHRNDANCPANGFYTYEAFIDATKAYGAFGTTGDQDTRKREIAAFLAQTSHETTGGWASAPDGPYAWGYCYKEEQNPPNDYCSPSQEWPCAPNKKYFGRGPIQISFNYNYGPAGRAIGSDLLGNPDLVASDAVISFKTALWFWMTPQSPKPSSHDVITGAWTPSADDSAAGRVPGYGMVTNIINGGIECGKGSNAQVEDRIGFFRRYCTILGVSPGDNLDCYNQRPYA >KZM97638 pep chromosome:ASM162521v1:4:16452804:16454493:-1 gene:DCAR_015000 transcript:KZM97638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKCPHRKVKKRRYSHKQFRREKFELKGDDAVYDELKKSDPDAAKPLPLDEDLPGMGQYYCLHCDRYFANVTVRDEHFKTKKHRKRLKIMAGPAPHTQLDADLAAGMGMPDNGPKLMSM >KZM97487 pep chromosome:ASM162521v1:4:15178142:15186369:-1 gene:DCAR_015151 transcript:KZM97487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVYHCQIHVGILITVISLFFNRCCCVDQQYEACVPRNCGSLRISFPFFIVGTQEAYCGSPGFNITCVNNTEPLIRIPDYNEALLVDEIFYDNRSLRVSNAALRSNEGSCGAVREIARNLSVRSDHFEYANDSRLVLLWDCNSSNEARLDRYKVGCDGINYLVMEGNDRNLKLGTEACETRAEAAVIDDEQIVTGSNYSTVMRRGFVLNWRATDCTACKLTQGSCGFDIEHDQFLCFCPDRPHYINCTATQAATRSWQHTIPGGDGCGKFSKSSKQIALFISHSTTAIRCGDRTFNNPEYPFWGKSLRPAYCGLDGFELHCENNDLVVDIGSRSKFHVVDINSARGVLTLNRSDDPLGSICASGEPRSTVLNATLYDYTDYSEDLNLSYNCADEIDSLWLDYTFTCKGDSKTRVYFFRGNSFELVEDYKLVSCTNTTIQVDKRVFDDLKNNVIEPETLYNRSFEVHYNRINERACVDCKQTEGLCWRGTNSTDNTCLYSNGTALPPYAYRRPGLSSDEREEDYQVNGRNHTKVYNHLDPFIISLHRHHAKVDPDMYSNCSKPTTSPCGTQLEWRVDYPFWVDDEIRPSYCGLEGYKLSCEDDGLVVDLASNIKYNVQAIDLFKHTIDLKILDNPLKSICDASSSSNQDTEHNHPLLSSRENSEIIYLLYNCSDPAQTTSMKANFSCSNQDPIYFFRNDLFAEAQEKLSSCHYTRLPVNMRLLEDFTWDPNPAQRTAEKLFEGSFEVHYNNEENQQVCKDCNQHDGVCWKDTYVGSNDLCLYPRQSGLPYQQQSGSKKSKGLMIGAGISDFLSAAVGVLGGTILLLSVLVIFCCRKKTKQGSFLFSKNVSSFPKDVDAFIKQYGSSIPRRFRYSTLKKVTNSFKDELGKGGYGTVYRGRLSDGRDVAVKVLNATKGNGEEFINEVASIGRTSHVNVVTLLGFCYEGKRRALIYEFMPNGSLEKFIYGTNPLLEGQHLGWEKLLRIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDKDFCPKISDFGLAKLYTTNESAVSSLLQPRGTIGYIAPEVTSRNFGQVSHKSDVYSYGMMILEMVGGRKNVNAGADHTSEIYYPRWLYKRLQFDDVLNMANEISAEXKKVTNSFKDELGKGGYGTVYRGRLSDGRDVAVKVLNATKGNGEEFINEVASIGRTSHVNVVTLLGFCYEGKRRALIYEFMPNGSLEKFIYGTNPLLEGQHLGWEKLLRIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDKDFCPKISDFGLAKLYTTNESAVSSLLQPRGTIGYIAPEVTSRNFGQVSHKSDVYSYGMMILEMVGGRKNVNAGADHTSEIYYPRWLYKRLQFDDVLNMANEISAEENELVRKMVMVGLWCIQIYPSQMPSISKVIEMLEGQTAALEIPPCPYLCSVPGSPSNSPEKPVFCSSSGTEPT >KZM96963 pep chromosome:ASM162521v1:4:9232984:9237797:-1 gene:DCAR_015675 transcript:KZM96963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWQSSLSAETHQEKDGKHHNQQLHSRNPPFSGGVEAVPATGVPPFSKFSFVDLKAATKNFSSEFIVSESGEKAPNLVYKGQLNDGRWIAVKKFSKVAWPDPKQFAEEAKGVGKLRFRRLANLIGYCCDGDERLLVAEYMPNDTLAMHLFHWENQTIEWAMRLRVAFYIAEALDYCRSEGHSLYHDLNAYRVLFDKDGDPRLSCFGLMRNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTILLDLLSGKHIPPNHALDMIRTKNILLLIDSHLEGKFSMDEATIVVDIASKCLQYEPKDRPTAKDLVATLLPLQTGLDVPSHVMLGISKNVDAPPTPQRPLSPLGEACSRKDLTAIHQILVMTHYRDDEGTNELSFQEWTQQMRDMLEARKRGDFAFRDKDFKTAIDCYSQFIDVGTMVSPTVFARRSLCNLLCDQPDAALRDAMQAQCVYPDWPTAFYMQSVALAKLDMQQDAVDMLNEGAGLEEKRQKSGRRS >KZM98098 pep chromosome:ASM162521v1:4:21083584:21084981:1 gene:DCAR_014540 transcript:KZM98098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKWENMNEDCLVNIFKRLTLESQLLDIRVVCKSWNRTLRNPLCWQQLVFPPITHPRLSDSHGLIKCVVNRSQGCATTLALPNECSREELIYVSEKCPALKMLTLPLFLCRNHSDIIPSLMVKWKNLEFLSLGDSSSTIQILEHICSNLPNLSGLSITDGYIDHETALAIVSLAPKLKYLVLEGAELDKENLVLILQGCKQLVKLDVRNCIGFDADDEDISKLASGIKNFLCAGSIAEQYSWEDHGWV >KZM96915 pep chromosome:ASM162521v1:4:8667700:8670186:-1 gene:DCAR_015723 transcript:KZM96915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSINYLSCGLVILFVLCQSMYLASSTDHDYPVNVWPKPRTFSWPNPQAISLSPIFAITSPYHQYLTPAVKRYLNQILTEHHRPLVTPAINLTSALPLLTLSISVNDLVAPLHHGVNESYVLSIPASGPVAYLAAETAWGAMRGLESFSQLVWGYPSRVASDVYIWDEPLYEHRGIMLDTSRNYFGVDDLLRTIGAMSMNKLNVFHWHITDSHSFPLVLPSLPSLSEKGAYGPEMVYTPEDIKTVVQFGMEYGVRVVPEVDMPAHTATLAEVYPEIVTCANMFWLPNGADWPDRLAAEPGTGHLNPLIPKTYEVVENLIHDVISMFPEPFYHGGADEVVPNCWKQDSTIQDFLANNGTLSQILEIFINKTYPYIASENRTVVYWEDVLLDAEIHVDASFLPKENTILQIWTNPGNVKRVVSAGYRAIVSTADLYYLDCGHGDFVGNNSLYDKPPGTMKANESGSWCGPFKTWQTIYNYDITYGLTPEEAKLVIGGEVALWTEQADPTVLDPRIWPRSSAMAESLWSGNTDDNGMKRYGFATDRLNEWRYRMVNRGIGAEPIQPLWCVRHPGMCNAVQG >KZM98150 pep chromosome:ASM162521v1:4:21480806:21482390:1 gene:DCAR_014488 transcript:KZM98150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVHVTEVPCLDQVPESASVALCSNRFPKGVDMAKGGFKIPKFTVIGHRGHGMNILNSGDRRMKTYKENSISSFNMAAKFPLDFVEFDVQVTKDDCPVIFHDNFILSQENGTIFEKRVTELSLCEFLEYGPQRETGKTGKSLYRKTKDGKIVSWDVEIDEPSCTLEEAFQKVNPRLGFNIELKFDDYMVYDQDYLLHVLQTILQVVFEHANERPVIFSTFQPDAALLVKKLQSTYPVFFLTNGGTELYYDVRRNSLEEALKLCLEGGLEGIVSEVKGIFRNPGVVGKIKESKLSLLTYGKLNNVPEAVYMQYLMGIEGVIVDFVREITEAVQDMINVTNKNEGEGEEMVLDEEMVIKAKPQFSQRELSFLLKLIPELIQ >KZM98306 pep chromosome:ASM162521v1:4:22781221:22781376:1 gene:DCAR_014332 transcript:KZM98306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESIQLKLNKLCMIEGETEREGRILEREGGMIVTRLMRRILNGNHQRCKL >KZM96995 pep chromosome:ASM162521v1:4:9594665:9600792:1 gene:DCAR_015643 transcript:KZM96995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNAFVQHVYLLNLVRYSRFRNVQDHLLGVIVKAPSSNSKQYIVLVITPDLPQTLQAPINGGDQHKSSGDFQILVPKAKRGFEDDYFSSVSSRRGSGAINIKLPHRGNTAGVNYEVRGIEGTEFLCICNCKLKIDQVRLLEDVSSVAYSKTVQLLLEKKSDGRYPPALDPVKDLKLNDLNVVETYYKWCSLLQKMTQNKCHGCVKLEEHIKLAKEVKKHKEEVKALKFEMSDEALQQMPDFQGRIDVLKEIGCIDSFLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQRNASEPSLTPKLSEATQRLLPFTDHSNFTILEISILWTSIRLGELQAQFKLQIDPQEYARENLKFGLVEVVYEWAKGTPFADICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALHKKMEIASNAIKRDIVFAASLYITGV >KZM97101 pep chromosome:ASM162521v1:4:10797440:10807306:1 gene:DCAR_015537 transcript:KZM97101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HDS-2 description:4-hydroxy-3-methylbut-2-en-1-yl diphosphate synthase MATGAVPTTFTSVKSKGHGLGFTRNVEFVKVSEMDRVKFRRTMVSLIRNSSSGSEIVELQPASEGSPLLVPRQKYCESTHKTIRRKTNTVMVGNVAIGSEHPIRIQTMTTTDTKDIAGTVEQVMQIADKGADIVRITVQGKKEADACFEIKNSLVQKNYNIPLVADIHFAPPIAMRVAECFDKIRVNPGNYADRRAQFETLEYTEDDYQKELEHIEEVFTPLVQKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKHDYHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPVEEIDPCKRLANLGMKAASVQQGVAPFEEKHRHYFDFQRRTGQLPVQKEGEEVDYRGALHRDGSVLMSVSLDQLKKPELLYRSLAAKLIIGMPFKDLATVDSILLRELPPLDDKDARLALKRLIDVSMGVITPLSEQLTKPLPNAIVLTTLQELSTGADKLLPEGTRLVVSVRGDEPQEQLEYLKSSGATMVFHDLPYTEEKVGRVHAARRLFEYLSEQHLDFPVIHHIHFPKGIHRDDLVIGAGTNAGALLVDGLGDGILLEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRAIAMEQATDALIQLIKDHGRWVDPPAEE >KZM98681 pep chromosome:ASM162521v1:4:26057727:26059185:-1 gene:DCAR_013957 transcript:KZM98681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENTKLKLKSVLVSHESSDDEEEKPEVTLDLSLDKLNLGPKKKLLVFSLGGLLVHRQHDREKSTKIPPNVRPDVFHGQFRVYKRPFFDDFLKFCFERFEVGLWSAAREHNIQALLNTLLGSYKNRLLFVWDQDDCTDTGFKCLDNKYKPIFLKELDKVWEKHGQYSSANTLLIDDEPYRALLNPPNSAIFTKKYIVGDVGDDLLGPKGELQLFLDGLVEAANVPSYVKDNPFGQSAITSSHSDWAYYSKIIRKLGRELHRRSKVELLE >KZM98851 pep chromosome:ASM162521v1:4:27366854:27367144:1 gene:DCAR_013787 transcript:KZM98851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGGALIIFWIVFFFGGPVIYLVIRFYRQLRAPCDIIENAGARRRNMVTRGKDGSMVILGAVGNGGCGGDVGGTGGGDNGDCGDGGGCGGGCGD >KZM99234 pep chromosome:ASM162521v1:4:30460813:30463644:1 gene:DCAR_013404 transcript:KZM99234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQHKSRPVGGTEYSWCKAVPGGTGITVLALHLTTPPNIPFLQNALRNLQISHPNLRSKLSFNSTTHTFSLATPSTPDVTIHVSGESSTSQLINDSSESSLTPFQLIVEHEMNNNAWINQDGSVPESIDVVFVTVYNLSESEWAVVLRIHTAACDRTGALSCLREILGMMKSGEDSGGFKEEWEVSLGIEDCVPDGKAHKPFWARGVNMLGYSLNSLRLAHLDFVDAEVSRSSRFVRLKMNSDDTSRILSGCESRGIKLCGLLEAAGLIAARSSKNLPEGQWEKYSVATLTDCRSLLDPVLTPGHVGFYHSAIINSHDIQGGENLWELANRTYMAFANAKKNNKHFSDVADLNFLMCKAIDNPGLTPSAALRTSLISVFEDPVIEHTSHLRQEIGLEDYVGCASIHGVGPSIALFDTIRDGELDCACVYPSPLHSRKQMEDLISEMKRILLDGCKEEEEA >KZM98507 pep chromosome:ASM162521v1:4:24555500:24556195:-1 gene:DCAR_014131 transcript:KZM98507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQEKEIEEAALILCSMKHAKVDEETALEIEKARHFALKKLQFQKPSSPPSLNHHRKHLVSLVPPSVAEVIGKCSAPIEKRLTGSDTQENQVRLLLKHKDVIQFLHPLLRPSEVDRIKKRGMYVDVYDCHGKMYQMVFRLWGLKAYVITTRNWYEFCTDHGLEQVLDWVTIWMFRHNVTGRICFVINSERRIVSRAVRPVFDFPVSARKKRTKSCSSSDSKRRRLNYDDE >KZM99456 pep chromosome:ASM162521v1:4:32195087:32196992:-1 gene:DCAR_013182 transcript:KZM99456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRCADVCGDKYTFPSLLKVCARELAVRDGWVVHGVIVRCGVEGDVFVGSSLVDFYGKCREIECARKVFDEMSVRNEVSYTAMIVGYANVGDFEMAVSLFEEMPCRNVASWNAVINVFVRVGDLGGARKLFDLMPEKNVVSFTTMIDAYAKSGDMASARFLFEQSTSKDVVLWSALISGYTQNGQANEAVKLFTDLRAQNVRPDEYIIVSLMSACSQIGNLELAKWVDSYISQSSLDLRRPHVVAALVDMHAKCGNMEKATMLFKKMPKRDLISYCSMIQGLSIHGCGPQAVALFYSMLSEGIIPDDVAFTVILSACSHAGLVEEGGALQLINTLTKSRTPGSSSRNVTLLETLSERYYT >KZM98733 pep chromosome:ASM162521v1:4:26474238:26475053:1 gene:DCAR_013905 transcript:KZM98733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTTTTKVNFHSRSISLPSRSHPFTASVEEHLCRLRTTESNKLSALKDLYECVDNLIQSPATQQDHFSCGEEILGGSIRLLDLCSTSRDAISQMRSSVQDLESSLRRRETDVSSKAGSYLICTRKANKTISKCFSNSKKLKTNKNTETPAIVSLLREVEEVSINVFESIFSSICPAKETSKQTRWSMVFKSTQSKRVQCEGDNQIQKMDMALETLYKKSSKENDIMGTQEVQKCLMALDMNMQETQEELDCLIRSLIKTRVSLLNVINH >KZM96763 pep chromosome:ASM162521v1:4:5927390:5928418:-1 gene:DCAR_015875 transcript:KZM96763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHKCKLCCRSFDNGRALGGHMRSHMLNLYVPGQHDDYESIRSYSDEEEEEDKGVLNYELRENPRKSFRVVDPEFSVVVQDRESESESFKNDDLDRVRRSKRIQSFDHENLGCESKKSKLSNETNSSGSETTEEEDIAYCLIMLSRDKWRSPKRINKYVSMDYFDAYNDDEDEEDEDEDEEEVVKINKSGNKGKGKYRCETCNKVFKSYQALGGHRASHKKIKATNFEENEKDNRYNSNANGAEVKIHECPVCFRVFASGQALGGHKRSHGIGLSNGIVAATAKNRTQYISLEQGPVKEFSKYGGETKIDLNLPAPVCEDEFSSQVEVSAVSDAEFVHPSS >KZM97025 pep chromosome:ASM162521v1:4:9866185:9867041:-1 gene:DCAR_015613 transcript:KZM97025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSSPILAYVVVYVKDVAKSVAFYSKAFGYQVRRLDESKRWGELESGHTTVAFTPKHQHETDDVTGEVRSPGSRKERDPVEVCFAYKDVDAAYKRAVENGAIGVSEPEDKEWGQKVGYVRDLDGIVVRLGSFVSEPGKPHDS >KZM98079 pep chromosome:ASM162521v1:4:20934454:20934693:1 gene:DCAR_014559 transcript:KZM98079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQPSLNPAHPPPHSYSPNSITTDQIQKYLDENRDLILAIMENQNLGRLADCGQ >KZM99041 pep chromosome:ASM162521v1:4:28936105:28936433:-1 gene:DCAR_013597 transcript:KZM99041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVKITGAIAASFVVAFTCDYIIADRKIFGGTTPGTVSNKEWAAETEKKFQAWPRTAGPPVVMNPISRQNFIVKAEE >KZM99082 pep chromosome:ASM162521v1:4:29289745:29292514:1 gene:DCAR_013556 transcript:KZM99082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLIFLSPLLIVSALSTAKLKTIVHSYIWSHLCRIAHALTRAKSILIDLIREIQLVNFIEPTKLRQKNKNKLFFGSFRLHYNWCSSHVLPVPSPATHFYHDNTWNSIISPGCSDIEESQLSGYLHWLERKVQEESKAEDMNEIDKLADKFIANCHEKFLLEKQESYRMFQEMMARSV >KZM97130 pep chromosome:ASM162521v1:4:11256965:11257654:1 gene:DCAR_015508 transcript:KZM97130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSQSLLMTTLELETTRMRAQEEMKLRDDQLLQLADMLEQTIRDRDEAQEKCQQLLFDNLLLQQQQMQPQQHFHPKTQRAPHSGISSLQDDPRNGNLSSSDCEESIVSSSSEEKDEDFYLPLLTNKPLPENGKFLQAVMKAGPLLNTILLAGPLPNWQHPPPPLDSHQIPLPPVIVPQPSLALPEHHHVHHQDSFVDVTTSNNISKFVVINKKRSFSEVIDSSTETR >KZM98732 pep chromosome:ASM162521v1:4:26468955:26469824:1 gene:DCAR_013906 transcript:KZM98732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSIAVSKTTFHSRSSSFPSKSHPLTTSVEDQLSRLRASAAASTSASAACTNLDSLQDLHHRVNEMIHLPSFQRAFCQKRSALDEILEGSLRLLDFCSIAKEALLSTKESIQVLQSSLRRKNRETGLAQEVMSYMASRKNINKSVSKSIKSLINFEKNISLPLLNEDSDLVAIVNMVRELQVVSFSVLKSVLSRVSKTKAVTKQSGWSLVSKFMQPKKETEGHEVEKIDEILNALATQKSCKDINAVQNVLKQLQTLECSIQELEEGLEPIFKCLLNTRVSLLNVLNH >KZM99101 pep chromosome:ASM162521v1:4:29414555:29418315:1 gene:DCAR_013537 transcript:KZM99101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQIAADPISDYDGLVVPPLGDSFFSDDINNSLLFLNESDDVLNFDFTFDDIVNLSNDTSNFRDSSLPDSIPSPELTHLSDDPNFNVAGYFNADGDKSGDNSSASVPKCSDCLSVEVVRVCDDASQVNVSSSDSRDSSNECENKDVNGGGDSKVEALKMEFSGPTSSQGSDNCERSVGSSMKLDKNLPGKNGQLLKRKKEDQDVNSDSTRTIKYRRSANAGAAAVTTDESNVISETNDDDEKRKTRLMRNRESAQLSRQRKKHYVEELEDKVRAMHSTIQDLNAKISYIVAENATLRQQQMNGGGGVGVGPPPMQGMYPHPPMAPMGYPWMPCPPYMMKPQGSQVPLVPIPRLKPQQSAVKPVKKEGSKKNVGKSKTKKVASVSFLGILFFMLLFGGLVPMVSVKYGGIRDAISGGSGYIRNIIYDQRHERILMVNGTNHEYGAKGSDNKYDAWKDHVGGTESNIKQSGSDEFASSGNASDPLVASLYVPRNDKLVKIDGNLIIHSVLASEKAKASHDDVKAKSDRETSLALALHLTPPVSVQGAGKDDERQSHIYRSTIEQQRALASGSGGKDNLKSTPANGKLQQWFREGLAGPMLSSGMCTEVFQFDVSPSPGAIVPATPGSRNISEEERKNSTHLIKGRNRRILHGLSVPLTGSANNISKENNRSKTQKEKFHSNSSVSPMIVSVLVDPREVGDADVDGTIGTKSISRIFVVVLLDSVKYVTYSCMLPFKGSSHLVTA >KZM99248 pep chromosome:ASM162521v1:4:30520261:30520734:1 gene:DCAR_013390 transcript:KZM99248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLALREKFVQVTKMDGKILEYKAPLKVHQVMSDYPGHGISQTLSMTQHLLPDANMHAGRVYYLLPLPVPSLELDKKYSNTKEVAEQENHGVVRIKLLIRKQDLEKMLGQGGSVEELILQLQNKQLVRSFKRLDSDASKNSTGWKPVLPSIPEAY >KZM97549 pep chromosome:ASM162521v1:4:15731126:15731548:-1 gene:DCAR_015089 transcript:KZM97549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQQYERVFNHIDENGDKKISAPELQKCVALIGGELTVQEAEAAVESVDSDGDGMLSLEEFVRLVEGGGHEEKMNELKEVFKLYEMEGRGCITPKSLKRMLSKIGESKSVDECKLMIQNYDLNGDGVLQFDEFIVMMS >KZM97595 pep chromosome:ASM162521v1:4:16092366:16094285:1 gene:DCAR_015043 transcript:KZM97595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAEHLRNKCAACYRQFNRMEHLVEHMRTSYHSDHEPMCGICRKHCRSFESLREHLIGPLPKAECERIFKERGCHICLNILGSRNALRSHLNACQLPRTNVNGLAYRMANLGIYDNTRNYENAGGRVVALACKMVGGGSDGSLDLCARVCLIDEYENIIFHTYVKPQLPVTHFRYETTGIRPEMIMDAPGVRQVQRKIQEFLCNGEAMWKIRPKGGKARILVGHGDTAKYPPLMKTSKLSNSLKYLAKAYLGYEIQTGIQDPYEDCVATMRLYKKMKYQSHNNKVEDIPLATENRNNFASWRSNELERMSPDELLRISASDYYCWCLDS >KZM96747 pep chromosome:ASM162521v1:4:5650398:5650866:1 gene:DCAR_015891 transcript:KZM96747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFRTNGGKKYTMTSFNSFKWMTVQFTYTPRLKTYPCDKMLSFRKNWTGFLYNRYLKDELLK >KZM97288 pep chromosome:ASM162521v1:4:13177933:13178124:-1 gene:DCAR_015350 transcript:KZM97288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRLIWSKMAGIHNLLGFLIVCPQQRSIWLTVIDDGHRLGDVDGHRLGDVFSTEAFLIHVC >KZM97759 pep chromosome:ASM162521v1:4:17583298:17584365:-1 gene:DCAR_014879 transcript:KZM97759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDEAIKCLKIGRDALDVGDRSRALKFISKARRLDPSLPVDDLLSKIQSLDTPIDNGSPKPNSDEVDANSAPRRRNSATGSSSSSSSVAYTEEQVAIVREIKRKKDFYDILGLEKSASVEDIRKAYRKLSLKVHPDKNKAPGAEEAFKMVSKSFMCLNDEESRKRYDVVGSDEIVYERRPARRNQGFNGFYDGDVDAEEIFRNFFFGGMNPGAATQFRGFGFGNGVHVRMGNNNGSAGTSNARALIQLIPVILFVLLSLWPSAEPVYSLSRSYPYQHLVTTQKGVDYYVKSRNFDQEYPTGSNEREELELRIERDYLSVLSHNCQIERQRLQWGFVKEAPHCDALKQFRAAA >KZM98027 pep chromosome:ASM162521v1:4:20483061:20486443:-1 gene:DCAR_014611 transcript:KZM98027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYPKSLLSIVASVGAVAVFLVLASLFMVSQPPGPTFGRFFYGINHSENFDSMSLVNGIRVNPPSDLNITKDMAKSNLSPGKGDESLGSSDPDVKTFADPTLQTQHPVSENTYKKSMSGQNVNLSLASFHNTNVSNPEDVVDQPREKFGASIEGKVDGVSLSANKTVKVPQLSKEVSSSVSLVQDISKTHSSHSDCDLYHGQWIYDPTGPLYSNSCPVLTQMQNCQGNGRPDKEYENWRWKPEQCDLPRFDPEKFLELMRGKTLAFIGDSVEVPKNRGNRRMHRYYFRSTSTMIVRIWSSWLVHKTSEPFDFAPAGLDKVFLDAPDEAFMEFVPQFDVIVLSSGHWFAKKSAYILDNKILGGQLWWPDKSRPKKINNVKAFGISVETILTSLGTHPNYTGLAIVRSFSPDHYVGGAWDTGGSCTGKVKPSTELVENGFTNIMHKKQVDGFNLAMKKKTNKSKMRLMDITEAFSYRHDGHPGPYRSPEPPEKTKPGKKSRPQDCLHWCMPGPVDTWNELMLEVIIREYEGTAGLS >KZM98924 pep chromosome:ASM162521v1:4:28001184:28008254:-1 gene:DCAR_013714 transcript:KZM98924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDAPSSSSGAAGSADPATPRRNSKRPKYSKFTQQELPACKPILTPRWVISSFMLVSIIFIPIGLASLFASKDVVEIVDRYDTECVPPGSRNDKVKYIQSSTNNNCTRRLMVKKDMDHPIYVYYQLDNFYQNHRRYVKSRSDPQLKDEKDENEISDCKPEDDINGKPIVPCGLIAWSMFNDTYSFSRTNQSLKINKKGISWKSDREHKFGKKVFPKNFQNSTFIGGAKLNSSIPLSEQEDLIVWMRTAALPTFRKLYGKIEVDLKKGDFIDVLLENNYNTYSFNGKKKLVLSTTSWLGGKNNFIGIAYLTVGGLCFLLAMAFTIIYLVKPRTYCSGKDGEGSNEWTEDIDYLDESGKFLYRGKGIRSVEPGIDDHVMVGGVKRPFLNASAVAKIVEIVKRWRWGPDMETQLDKLQFVPNMVHVTQALKVVGDGEASLSLFRWAKRQTWYAPSDECYATLFDTLNGSRDFDGIQAMFDELILDSEKSGISSTFSSYNKVIQYLAKAEKLEVSFCCYKKIQESNCKIDTQTYNCLITLFLNKGLPYKAFEIYESMELAGCSLDGSTYELMIPSLAKSGRLDAASKLFQEMKAKGLRPGYAIFAALVDSMGKAGRLDTSMKVYMEMQGFGLRPSATMFVSLIDSFVKAGKLETALKLWDEMKKAGFRPNYGLYTMIVESHAKSGKLEIAMSVFSDMEKAGFLPTPSTYSCLLEMHAATGQVDFAMKLYNSMTNAGLRPGLSTYTSLLTLLAKKKLVDLAAKVLLEMKAMGYSVDVSASDVLMVYIKDASVDLALRWLRFMGSSGIRTNNFIVRQLFESCMKSGLYESARPLLETYVNSAAKVDLILYTSILAHLVRCQEEDNEKHLMLILSATRHKAHTFMCGLFTGPEQRKQPVLSFVREFFQGIDYEMEEGAAKYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKIVIAQMLSSVESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSRADILMHKLNTLFPSSAPELRSLSPPKALISGSAG >KZM96624 pep chromosome:ASM162521v1:4:2449914:2455510:-1 gene:DCAR_016014 transcript:KZM96624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAKRKRLPLGQFSPSSSQKGSKRRVSNVENIVASSTPLRPAPRSIVFGSEQSSVQKASERSSTALGTGLPSAACPVEDVFETRKHSRPMFGSNENLDPNISSLVSPQQSYVLQSTRRGAATPAPRHMSSSNISVEPSVTSSGELGRSRGFQQLKSLSRLSGSSKAAAPSSGQSCLTQSFQGSSPPSVNVRRHGTSTLSAPYAPSPSERRLSGVDLAGLRTPVSRLIETKPVSLNKNTFFQLPASSIIRRGAGSSRTQQALMDSFNRDRGMPYAAPFKYKGGQTQLDPRLVCTPELAKKRDAQRHFTVTVTEPEQLGVVTPGNKDAHDQVIVEDVLSGHRGEYISDDEATHVNDVPGCSGYLNRAARRIVGQTATKLISDNLQTASASGYPAKIKEMIGKEYTFDIEVKEENVVAKSKIFYVNDAFQASNSFGADKSSDVMREGLSTSSFAESKIDLTKTEDTPTSEKSVYKKIKIEG >KZM97247 pep chromosome:ASM162521v1:4:12803277:12805043:1 gene:DCAR_015391 transcript:KZM97247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELSTVTCYICLLIEEFSGFHHYSLFSTGSTLLNRCSDNYSQTLSGCYLHYLLGVLSHQLKEFVIDGNKVLYNVSSPDTRVDADEFEENEHKLLDEKQTIVYKRAVDKNYHMKIKHQGDYVAHIECTGLLMPNGSDRITSHPLQELELLEP >KZM99071 pep chromosome:ASM162521v1:4:29223405:29228467:1 gene:DCAR_013567 transcript:KZM99071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHNAAFLFVTFFLHYSTHLTHSLNSDGLSLLALKSAITTDPTHSLTFWSETDTTPCHWEGITCDNVTQRVSSVSLSNKNFTGYIPSELGTILSLQSLSLSNNNFSKLIPLHLFNATNLVSLDLSHNSLSGPIPDKITSLQYLKHLDLSCNDLSGSLPESLSELTHLSGTVNLSYNRFSGEVPASYGKFPVFLSLDFRQNNLTGKIPQEGSLLNQGPTAFSGNPGLCGFPLQVLCTEPEAQNPRVVSDPENPKPLNGFTGRAREKNGSVAVPLISAVAVVIGVVFVSVWVIKKKWKVNEGKTGKEKLEKEVNSEEGQKGKFIVLDEGFNLELEDLLRASAYVVGKSRSGIVYKVVVGRGSGAAGAVVAVRRLSEGDNTWKFKEFDSEMEAIGRVQHQNIVRLRAYYYAHDEKLVVSDYISNGSLYTALHGGPANTLPPFSWAIRLKIAQGTARALAHIHEYSPRKYVHGNIKLSKILLDDDLQPYISGFGLSRLVSGGISKSTNGGFKKQDLNQTIVSPKNSASTSVYHIAPEARVSTSRFTQRSDVYSFGIVLLEILTGRSPDGGADNNGMSLESVVRKVFREERPLSEIIDPALKHEVHAKAQVVAAFHVAISCTELDPELRPKMRMVSDCLDRIKA >KZM97467 pep chromosome:ASM162521v1:4:14963676:14964599:-1 gene:DCAR_015171 transcript:KZM97467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLEHDLQILHFSHPHPLDLNSNHQTLVPNNTISSYICAGCKVPPNSSDDYIYSCNPCNFHLHKSCTKFPQQITHTSHASHPLALLSLSVYPGGLFNCDACNRRGNGFSYHCHVCDFDLHVACASMPLSVEHYAHVHPLLLTFKNPYEAKGFSCDICSKIGSKQWLYRCGICDFDAHMHCATGAIQPPQPQVQFNRVQATVVAGRPSGGMYGKMQGQQSLPVMSTRPSNGIQKQQSYPGGGGLMSAALQGFVEGAAQQVGQNLMQSVMGGGGGGDGGDTTITSVYVNVGPTLTEIDDSGEADCEDF >KZM99683 pep chromosome:ASM162521v1:4:33958131:33964168:1 gene:DCAR_012955 transcript:KZM99683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDQEPTQPESDGTNVNAIDEGSDRSVVSTDNEYADVDEASQRKGESSETNAVLPEEMCRSVVKLTCESSVEGGECVVYLVGTAHVSMESCQEVEAVIKFLKPQVVFLELCASRVAILTPQNLKVPTVGDMIDQWKKNKNNAFGILYSWFLAKVASKLEVFPGAEFRVAYEEAMKYGGKVILGDRPVNITLGRTWGKMPLWHRLKLLYSLVFQAFLLPSAEDLAQMLKDMDDVDMLTLVIQELSKEFPTVMETLVHERDKYMSSRLLRVASEQSSVVAVVGKGHLQGIKKHWKQPVELNDLLAMPSKKGAITAGKILQTIGVTVAGVAIISGLYLSSKK >KZM98147 pep chromosome:ASM162521v1:4:21458122:21462791:-1 gene:DCAR_014491 transcript:KZM98147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAKSVVETWESQFHSSPREQRLAFLYLSNDILQNSRRKGAEFVGEFWKVLPNALRDVIEAGQEFERNAALRLINIWEERKVFGSRGQLLKEELVGKKLDTNNRPGNSFGFKLVRNSAGNALDKIVSGYHTVYGSQLDEDAILSKCRNAVSCVQKVDKEIGGDIESAQFNGSGIVEEIKEQHTILTNCIGQLMTMQSSRENLVSHLRQALEEQEIKLGQIRNQLQAAQSLSALAGSLCGQFVNGNAEQSLADQNKETQTSKEKQSYLTGKEEQTAPVMYTRQMTSAEKPDHFEDPKSAAAAVAAKLTASTSSAQMLSYVLSSLASESVINNAMNESSDDCPPEKRAKIENHAAYIPSQNPQPLFLHQNAMQHNNLTAPKESSNLEEKPPLPSSPPPMPPLPPPMQSYPAPTFMQNSGSIPSLPYGYGAPQQQPPPFPFPATGVQFNVIPPFPAPSVDPYHSYQTEAGYYGQQSSLSMAPISRQ >KZM98460 pep chromosome:ASM162521v1:4:24164983:24168045:-1 gene:DCAR_014178 transcript:KZM98460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DXS-1 description:1-deoxy-D-xylulose-5-phosphate synthase MAIPGTAIRLHHSIPQGLPSSRLSRGARKKFCLNAVATNPNGEERKMIRKENDGWKIDYSGEKPPTPLLDTINYPIHMKNLSTKDLEQLAAELRSDIVHTVSKIGGHLSASLGVVDLTVALHHVFDTPDDRIIWDVGHQAYPHKILTGRRSKMSTIRKTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMSVGRDLLGKNNNVIAVIGDGAMTAGQAYEAMNNAGFLDSNLIVVLNDNKQVSLPTATLDGPATPVGALSSALSKLQASTKFRKLREAAKGITKQIGPQAHEVAAKVDEYARGMLSAPGSTLFEELGLYYIGPVDGHNLDDLVTIFEKVKSMPAPGPVLIHIVTEKGKGYPPAEGAADKMHGVVQFDPATGKQSKPKSSTLSYTQYFAEALIKEAEVDDKIVAIHAAMGGGTGLNYFQKRFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDIAYMACLPNMVVMAPSDESELIHMVATAAAIDDRPSCFRFPRGNGIGAALPPNGKGIPVEIGKGRILMEGTRIAILGYGSIVQNCLEAAKLLKEQDISITVADARFCKPLDGELIRSLAREHEYLITAEEGSIGGFGSHVSHFLSLNGILDGPLKLRSMVLPDRYIDHGSPKDQMEEAGLSARHICGTVLSLLGKPKEAFIFQ >KZM98627 pep chromosome:ASM162521v1:4:25576261:25579916:-1 gene:DCAR_014011 transcript:KZM98627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDIDEEKSVSAAHTEPSVDGHTVAAAIPSIPVPVPHEAVQPVISPLVPVPILPAIAPIPGVPTIPRPLAPLPPRPPIPKPPQTQNGDIRGSDTDSDQDESGAQRTTADYEISEESRLVRERQEKAMQEFLMKRRAAALAVPTNDMAVRARLRRLGEPMTLFGEREMERRDRLRTLMGKLDSEGELERLMRVHEEEEAAAAVSISDGAEDENIQYPFYTEGPKNLLEARKEIAKYSLARASMRLQQAKRKRDDPDEDLDAEINWALEQAASLSLNCDEIGDDRPLLGCSFSHDGEMLATCALNGVAKIWSMPQVRRVCTLKGHTVHATDVAFSPINNTLATASADRTAKLWNSEGVLLRTFEGHLNRLARIAFHPSGKYLGTTSFDKTWRLWDVETAEELLLQEGHSRSVYGLSFHKDGSLAASCGLDSLARVWDLRTGRSVLSLEGHVKPIYGISFSPNGYHLATGGEDNTCRIWDLRKKKSFYIIPAHSKLISQVKFEPQDGYFLLTASHDMTAKVWSSRDFKPVKTLSGHEDKLSSLDVVSDGQYVATVSYDRHIKLWSCRDMEKAAEKGMDID >KZM98562 pep chromosome:ASM162521v1:4:25059018:25066758:1 gene:DCAR_014076 transcript:KZM98562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASHFLSSYLFILSLLFTGWFPEKAGQTEAVPDQEVTKERGTLKRLRNATRRELGAEYQASDLSLLIENTFVEHLGLARKITITKDSTTIIADAAPKDEIQARIAQIKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGATTETELEDRKLRIEDAKNATFAAIEEGIVPGGCAALVHLSEYVPPIKAKLEDVEERLGADIVQKSANLDTPVHFTGAEYQASDLSLLIENTFVEHLGLARKITITKDSTTIIADAAPKDEIQARIAQIKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGATTETELEDRKLRIEDAKNATFAAIEEGIVPGGCAALVHLSEYVPPIKAKLEDVEERLGADIVQKLWIKEQSLEEATGLVIRFIITEDKAKVSELVKEVAKFDDFMLLDIKEEYTAYALYDSEFYVKADADIFLRPG >KZM98008 pep chromosome:ASM162521v1:4:20328338:20328985:1 gene:DCAR_014630 transcript:KZM98008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMTNEEFWTLELISQHLLGEEFITSSDTIFLPDHLHSSVSESSNSTETFFESGYSEIESTNSIHSLINISSPRHESHENHSDSTFISTSSTEDDSDNYLLDFPITEPDFTASPKMKYKRAKNKTAVCSRKSSETKKHYRGVRMRPWGKFAAEIRDPKRKGSRIWLGTYETSVEAARAYDCAAFRFRGSKAVLNFPSEAGKVEHAPHKYCMNVN >KZM98934 pep chromosome:ASM162521v1:4:28115300:28133701:1 gene:DCAR_013704 transcript:KZM98934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFLSDEEFSRCSHDGALVAEKADSYIRDLFNQLETVKAQADAASITAEQTCSMLEQKYVSLSGEFSALQSQNEQLNASLDQRLAEIAQLQAEKRQTYMQSIEKDGEIERLNTEASEFHKSKRQLLELLEQKDIEISEKNVTMKSYLDKIVNLTENAATREAKMCEAEAEVARSAAHCSRLLQAEKQYNQSLSTLERKQDRVKDLESQLKSLQEDLCSTKEEAASAEKGFQAEVSTLTKLVDLYKESVDEWSKKAGELEAVIKALEIHSSQVENDYKEKLDNEVSAKKELEKEVASLKEKLNKCEVQLESARSASEMNLIISGAENQKIWVGREETSGRAEDNLMLVPSIPAGVSGTALAASLLRDGWSLAKMYAKYQEAVDALRHEELGRKEAQAIMERVLYEIEEKAGLILDERAEHERLMEAYSAVNQKLQHSQSEQNNLEKTILDLKADLKRRERDFVVSQKEVSDLQKQVAVLLKECRDIQLRCGSVNHDIDGDAINASFNQLIAESDAQRVISERLVTFKDMNGLVEQNTQLRSLVRRLSDQIENREAELKENFQEELQKRSAEAASRVDAVLARAEEQQLMIESLHGSVALYKKLYEDEQKHHSSSPSSPEALPDMTFCFIKIAASTICSFGLLMMLSVQSQKVEGMVSGFYRKAHRSELVTLRMERDKMGLEAKFAQEKLHGSMKEFQHQRADMDNLISRNIEFQRLLVDYQQKVRESSDSIQASEELSRKLSMEVSVLKQEKETLLNSEKRASDEVRSLSERIQRLQVTLETIQSAEEVREEARASERRKQEEYIKRIEREWAEAKKDLQQERDNARTLRLEREGSLSSAMKQVEDMGKELANALHAVATAESKAAVAEARLSDLEKRIKSSEIKVTATDGHFRDSSDEAVADLQSAREEIVKLKEEVQSSRDHMLQYKGIAQVNESALKQMEAAHENFKVEADKLKKALESEILSLQERVYELEAECNTKRNEAASAAARQEEVYTSSMSEVALLKEENSSKMSQITILEAQLLAMKDSLEEQQQKWRTSQNNYERQVILQSETIQELTKTSQALASLQKEASDLRNVANLLKAENSDLKVKWETEKLIFENSKSEAEKKYNELNEQNVILHSRLEALHIKFSERERGLAGNSSSNVDQDFSGDAGLQNVVKYLRRSKEILESAIKSAEMSQATLRAERANSRALLYTDEEFKSLQLQVREMNLLRESNAQLREENKLNFDECQKLRETIQKARTEVENLEKILAVREDDVAALKKDKDILKMEKEHLERRVDELLERCHNISVEEYDRMREDVQQMQLNLKEKDSQLQEIKRSMSDKEERISHLEQELERSRAEIGERERRVAELLKVEASLKSDAEKYRKMLIPFKRKSESLSKEKESLSKEKDELTKENQALSKQLEDSKQGKRATADAPGEQTMREKEKEKDTRIQMLEKMVDKMRETAKKQSDEYKLEKSQRIKTQNTIKDLYGPINQEKKKLEEELTKHKHALKIVADEVQSLKQGGSQSEGTSAVQVFSGNILDEMATAYLQSVENFEQVAQPIISEVEHPAPADSSVAADTLGSGSSSGQQVPLPASGITSQVPPLSGIPLAKTVEERERRVNLTKQFAAETRKAGRKLVRPRIVKPEEPPADIEMSEVEEPNNGGKPLSSQNIENQGNPTLSSQPATRKRLSTSDLQEEAVVAHNSNTELPLQKRSKGSDFSTEGIESQSSLNVEIPKVPSIEASVDATALPHGSKEEASAGGKDESELVAEQAELSKPDGQNEVELQNEITMGEEVSAGPSDMAGQDNLFTEVEEEQLAESGSDREEGELGADAGELEGDGSPETEGQDEEVEAPVDSPDETVVPTNDDTEAISSQVGEDIKNEEGEIMEEAVESLDRSVGVTDLVTAEVEQIPTTLETGGKTSSTVITGVTDHGSIAAPAHDEGNQASPSRNRSPSVEQVSPANRSSTTIHLSTRAREMSALRQRPQPGGGSTSVARGRGRVQRGRVSRSFRGRGPSGQQG >KZM99673 pep chromosome:ASM162521v1:4:33893835:33896155:-1 gene:DCAR_012965 transcript:KZM99673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQGLFSSFLLAAPPRIPPLPFARTGSKICATKPVQCIKTTDVPHQGSGSRRNANYPPSFWDYNLIKSLSSDYNEEKYVKQVDELKDDAKLLIHADTETPLAKLELLDSVQRLGLKHLLKKDIKQAVDAIYNNSVDAWLSDDLHSTALRFRILREHGYAVSPDKFCRWDITQIDKLPMNIKTVLLAMFNTTNEIGYWTMQERDFNILPYLTKQWVNLCKCYLKEAKWYHSGHKPTLLEYWEVAAVSIGVQILLFCAYFLTAEKINVEALDYVDKVPSIMWCPSMIVRLTNDLGTSAVSN >KZM98692 pep chromosome:ASM162521v1:4:26170591:26171385:-1 gene:DCAR_013946 transcript:KZM98692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFKSNQTGGSASSPYVQQFLSPRDQGDQGDSEKVRVRVQPVAPSSPLHRPRGRPPGSKNKPKAPVVVTRDTPNTLRCHVLEVAAGTDIVECLNEYARRGEKGVFVMSGRGTVSNVNIRQVPSGIVALQGGFEILGLSGAVLPAPVPTGAGGLSIILAGGQGQMLGGIVVGPLIATEKVVLIAAVFPNVVFERLPVEDQEADGNAGGQSAGSQSSGVSGGVGLPLFHVEGNVATPPGPAHSTFAFSADLSGWGGSNVAIKPPF >KZM98662 pep chromosome:ASM162521v1:4:25867414:25870316:-1 gene:DCAR_013976 transcript:KZM98662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFTYEQELGNHLVCSDLLSSCWAYNRKVNEETPQVVNLGLLPQTVRYKRFDAQDSRGLAVIAFSSCISIDLHDLDLKHFDFLSTNSNPSFSINNLAIQLFHSLLAQLSQEKIDTTKPLIITGHSLGGSVASLFTIWLLNNSYKKIEYRKTKYPICLTFGSPLLGNEGLQKAISARPSLDSCFVHVVLKHDPVPGLFLLPHNTIDSVSNSTSQYKSFGLYLFCSESGVACFSEPEFVLEILGIFSSQNRPFGDYGLVLEGLKGKAIVKGTRLAGFDNDPLCTGITLQLQAIGIHDMQTQLQNNVMIRRIETKQKEFFERKAYQVGLDESLKKMKTEMAYMEWYKKTTRTRGGYYDTYKSSTRNRDETVSKAKLVKYQRSLTKCWANAVYEAKRTDGKSFPFRLLMAGNNYRRMVEPLDIAEYYKGYKQGQKDYWAGGRSEHYILLEKWLNEMQSTPSQRTKSCSFNEDSCFWAHVEEALMLVKMLSNEESSPENEELFRKLNKFEEYFMGSIDKHIVDPEIFLEGSSFKLWWSLYSDKKGDSYKSPLANYMRARSYEALL >KZM97672 pep chromosome:ASM162521v1:4:16758215:16761372:1 gene:DCAR_014966 transcript:KZM97672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGNWFSSVKKAFSPDSKDKKNKRANKSKNSVEKEKSSSPDFSTLETISTSQHLPPPPVVQTTEIVDEQSKYAYSVEDANTSSYVPAVEAPEVVTEVVRPTPVTRFTGMSREEVAAIRIQTTFRGYLARRALRALRGLVRLKSLVEGATVKRQTTNTLRSMQGISRVQSQINNRRIRMTEENQALQRQLLQERAKELESLQMGEDWNDSAQSKEQIEAKLLSKYEATMRRERAMAYSFSHQQTWKKSARTTNLLFIDPTNPQWGWSWLERWMAARPESKTEKEVTNGHSSVKGASLNFAGNEIAKSFARHQLNSDKPIAPVQRKLSSPSNLQSPSIPSSKVTSPKPARKFKPPSPGASVMSIDYDSKSMMSVQSEQNRRHSIAGSSVRDDESLASSPSVPGYMAATRSAKAKSRMQSPLGMEDGTPEKVPAGYAKKRLSFPASPARPRRHSGPPRLDSTSIADNNVNDIPVN >KZM96838 pep chromosome:ASM162521v1:4:7462139:7465065:-1 gene:DCAR_015800 transcript:KZM96838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNVVSTTKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGKTINLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKPSFENIAKKWVPELRHYAPSIPIVLVGTKLDLREDKQFQLDYPGASVISTEQGEELKKHIGAVAYIECSSKTQRDLEDKYPLD >KZM97258 pep chromosome:ASM162521v1:4:12889056:12890559:-1 gene:DCAR_015380 transcript:KZM97258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSKGKKVRYNKEHILSEPPSTDIISELPGHLRETIVGFLPLEDAVRTSILSRKWRYCWTMIPNLIFEDTFVDRIMDKLFEYDDPELKAFKLTQTKRSNVDHVLGSLSNIEKFSVAMEFMQAKYVAKGDFKKYQMKDFEECTMDHLEIVTFSYFEGFRAEMKLVKFLLACSPLLKKMSIHSHEDLEKDVALMMFKEILQYPTASSRVQIQHTTPAEIDV >KZM97592 pep chromosome:ASM162521v1:4:16073748:16079264:1 gene:DCAR_015046 transcript:KZM97592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTLPNSYTTFQQSPQHLSKKTVYDCAIWGRDLCLRNGGSVSPGCVRNKGYFRVHAMSTSSSSSSALKMNLNEYMVTLEKPLGIRFALSVDGSVFVHSLKKGGNAEKSRIIMVGDTVKKASESSGGKLIEIKDFGDAGNLTKEKSGSCSLVLERPFSPFPIHHLHPLNDLDLLFNKGHVPVTTWNKKIMASNLRASADTSGNSGFVVFNSKFLNSKGWNCLNAETGQAQSKLQNSTHVEPISQLVTMFSDEESGDIEWAHGNFPLEEYIEALDRSKGDLYYNHSLGMRYSKITEQIYVGSCIQNENDVETLSGVVGVTAVLNFQSVTEAQNWGINSKLINESCQQSNILMINYPIREVNSFDMRKKLPFCVGLLLRLLKKNHRVYVTCTTGFDRSPACVIAYLHWMTDTSLHAAYSFVTGLHTCRPDRPAIAWATWDLIAMVEDGKHDGPPTHAVTFVWIGHEGEDVSLVGDFTGNWKEPIKAIHKGGPRFEAEVRLSQGMYYYKFIIGGQWRHSTASPTERDERGNLNNIIVVGDIASVRPTSRQEKKDANVVKVIERTLTENERFMLAKAARCVAFSVCPIKLAPK >KZM97483 pep chromosome:ASM162521v1:4:15124638:15131944:1 gene:DCAR_015155 transcript:KZM97483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGFNNQNHVQHLGITEPISLAGPTEYDVMKTRELEKFLADAGLYESHEESIAREEVLGRLDQLVKIWVKSISRAKGLNEQLVHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHAGRDDDFFGELQRMLSEIPEDLDISQDSILQNTDDATVRSLNGCRVTDQILRLVPNIQSFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVARFFRVYTQWRWPNPVMLCANEERSLGLQIWDPRRNPKDRFHLMPIITPAYPCMNSSYNVSSSTLRIMTEEFQRGYDICEVMEADRTDWDKLFEPYPFFESYKNYLQIDICAIERHTFNMLQCHPHPGGFSDKTRPFHCSYFMGLQRKQGAPANEGEQYDIRMTVDEFKQSVANYTMWKPGMEIRVTHVRRRNIPNFVFPGGVRPRPVRLPGERRRVASEEQIPGKVCENMVCGDMSDGSRKRMLEDGDDVTDVRSVKSCSKDVSNIDTNESGDTWSEISKSSVNEGSERITNLPTLSSWNDGAANKSLNPMELSSAMNGATSSRAGEKQEIGNMIPGLHQPVAELEELEGGFQYEDQANILGKVVSRGCGQSTENGAEVVTVMTSNGACVNPHFPFNGSLEELEKATDELSVPSSTGLSSMSAVQRKPVIRQLAQAIEWQGHFHVQRVDKLVSNEQCIKVDIFMSRVFEDKVLRKALRTLCDNDGAIDKLIFG >KZM96716 pep chromosome:ASM162521v1:4:4927303:4927458:1 gene:DCAR_015922 transcript:KZM96716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFSALENSALGIVQSSVPPSISISPPVRAQSISIDQGPEGPAHLFGTR >KZM97409 pep chromosome:ASM162521v1:4:14352597:14357724:-1 gene:DCAR_015229 transcript:KZM97409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPAVTGGDHGGSEAEFLLREISNGEHSWRLNFDGFQVSSQHKEKPPRGLQDCLGVLGPEDNIAEYYQQQVEMLEGFNEMDALADRGFVPRMSQEESDRLARSETAAIRISNFANMILFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQKRNPYQYPIGKNRMQPLGILVFASVMATLGLQIILESVRTLVNDDSDFKLTNDQERWVVGIMLSVTIVKLVLCLYCRTFTNEIVKAYAQDHFFDVITNIIGLVAVLLANYISQWMDPVGAIILALYTIRTWSLTVLENVNSLVGRSASPEYLQKLTYLCWNHHKAIKHIDTVRAYTFGSHYFVEVDIVLPSDMPLQEAHDIGEALQEKLEQLPEIERAFVHLDYEYSHKPEHAFNCN >KZM97516 pep chromosome:ASM162521v1:4:15452899:15459054:1 gene:DCAR_015122 transcript:KZM97516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKGLDCITLSDIEATGVTSQLAHEIYNKLIKIIQIYGPATPATWQTISTTILTPKLPFLLHQMMFYGCYKDFGPDPPAWIPDPDGAIITNVGKLLEKRGEEFLGSRYKDPISSFSEFQEFSVSNPEDYWKTVLEELDISFSVLPQRILKEELCTGSEICHPGGQWLPGAFLNPAKNCLCLNSNRTWDDIMVVWRNEGNDEMPVNKLTRRELCSEVWLVAHALETLELEKGSAIAIDMPMDVNSVVIYLAIVLAGHVVVSIADSFASTEIATRLKLSKAKAIFTQVQICSRVIDAQSPTAIVIPTKDSSFSTKLREGDISWHDFLERVHKLKEVEFFAVERPVESFTNILFSSGTTGEPKAIPWTLATPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYAPLLNGASIALYNGVPLSSGFAKFVQGISSACSLSPQPKLASWETQ >KZM98489 pep chromosome:ASM162521v1:4:24397597:24402946:-1 gene:DCAR_014149 transcript:KZM98489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRKWNTTGTSSVLPCLKGITQNNGDPDGCLSPETSLRDLPESCVASVLEYMDPQEICKVVVLNRTFRGASYADFVFRAVLSILNDFIAFFTACNRHQLRKEEIKFFAHTKSDSRPLPDYAPTTEYNLVFTDQYQFLMLSQGSLDELNSQLEEPLPVNHFRPREWNTWLEPNETLMKFQSDKILQLSTRHHGKVYFGQGLVCNKDSFDEFGGMILKVGDAIHVEKVFASYAEAIA >KZM99000 pep chromosome:ASM162521v1:4:28644950:28656286:-1 gene:DCAR_013638 transcript:KZM99000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGAGGGGGSLKSTSINGVKMYSVTSQNRSLATWLNPKKLKSLRKDKDYLQRVDLIQDLRFETATTRIKATPDGEYLIASGIYPPQVKVYELRELSLKFERHLVSEIINFEVLDDDYSKIAFLCADRSVQLHAKYGSHYSLRIPRMGRDIAYDCWSCDLICAASSPDVYRINLEQGRFLSSLNTQSPAVNAVCRSKVHGLVACGGEDGAVECFDMRTRSSVGRINTIVSTGEIEQVTALQFDGEGGYHMAVGTSCGKVLLYDMRSSCPLRVKDHMYGSPILNIKWHQTINSERSKLITTDKHIVRIWDPETGDGMTSIEPTAGNINDICVFDNSGLMLLALENTQIPSYFIPALGPAPKWCSYLENLTEELEEGGQTTIYDDFKFLTKEDLEKLNLSNLIGTNLLRAYMHGFFIDYRLYKKAQALVDPFAYDNYIERRKQEKLEEERRSRITIKRTLPKVNRGIYKRLLEDEEAEINRKDADDADIKKKSKKKKGLPAEVMKDDRFGGIFKNPDFEVDENSLEYLALHPLAPTAQPSLVEEHFEPVIEDEDHSFSDSDASAASLASHDEDKNNRSKDGKKSRVPRLYEVKDERHAEAFWNRKSLATEDALSLGERAAALSNVRRGSGNIKSGPGGSREISFIPRSSAKYIDDDEDNEARRGRRGVQSLKMQPDGYSSRGRGRGGGRGGRGGRGGRGRGESRGRGRGGRGRGRW >KZM96582 pep chromosome:ASM162521v1:4:1297838:1298749:1 gene:DCAR_016056 transcript:KZM96582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNELYPPVAFVERCGFDFPMQIQYVLSNGKNFFGSYDSENSKFSGLSSMFEIFGEAGLNGVRNFVFTYDGTSMVLISLFDSELNEIVFPGTPMSKG >KZM98298 pep chromosome:ASM162521v1:4:22675048:22678716:1 gene:DCAR_014340 transcript:KZM98298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILPPGFRFHPTDVELIMYYLKRKVMGKKFLFEAMAELNVYKYEPWDLAVKSLLKRDLEWYFFSPRERKYGSGARTNRATETGYWKATGKDRGIIYNNRTVGMIKTLIFYKGHAPKGERTDWVMHEYRLEDKNLADTEIIQDSFVICKIFQKSGLGPKNGAQYGAPFSEEEWNEVESAEEDGPFLTEHLKPSNHNALVAVNLAGTTASCSTTMSKVVQCPTPLAINDPCSPSEGVVSWLPICTNGAMHPVENYSTEKTNMFKSSQGAQDPITSAAFSEGLNNTQDICAIHSPVNGQVLSGHSPYSDLDNLAPLNLIIPGTTSSCPISEPGLPRLSATSNIDTNMPYDLPEDELTALLSMFTEDSRMIPFENNEDYHTVIDHSVKAQTGVDKNLIYNGLGDLNNLGELRNSDFDFSNTHNANYTLHTMLPDDDMIFLELKDLDSPLKHSVEARESLRGPSDSSYSPYTCYQNLDGSPGGSTLPKHVQNVSRLNLTSFPPEGSFWMEDLVEVSSKGPDIQNSEINGSDTLTGKKNPFQISCRQPVGSSVASQMQRRGSFHHFQ >KZM98528 pep chromosome:ASM162521v1:4:24762349:24764799:-1 gene:DCAR_014110 transcript:KZM98528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGTQQTRYYFCVIHVVSHNIVWSANRDAPVSSSAQMILDFNGIKIIEEDGSLKWSTPGLRSSVSALELTETGNLILMDKFNGTLWESFHYPTDTILIGQKLPVGRWLSSAKTEDDLSTGDYKLSITADDAILQWHNQIYWKFSMATKAYTNSNQAVEYMAVNQTGLYLFGHNGSVVVVQLNLEQSNFRMIKLDVSGQFIVSSYSTADRNPDFAGPVDRCRIPFICGSVGLCTDDSQSGAPLCSCPSNFKAGSSKTNDCVPSDASYSLLVSCNSTRNGTKLNSSSLISYLRLGYGTDYFATDFAAPIKYGINLTSCQDLCSARCSCLGVFFGNSSGSCYQLENELGSFRLSSSSENDQLGFIKTIVGPPSSRGGGDNGFGSQSSNFPIVALVLLPSSGFFLLVLLAFLWCRRSRVYTKGKTKVSRPSSPSEDIDAFSIMGLPTRFDYAELEKATDGFKIKIGSGGFGTVYKGTLPDGTEVAVKKITNLGLQGKKDFCTEIAIIGNVHHVNLVKLKGFCAQGRERMLVYEYMNRGSLDCTLFGTGPVLEWQERLDIALGIARGLAYLHSGCEHKIIHCDVKPENILLQEQFQAKISDFGLSKLLTPEQSSLFTTMRGTRGYLAPEWLTSSAISDKTDVYSYGMVLLELVSGRKNCLFRARSHSTSDDNSNGAPSSSSSSQGLIYFPLFALDMHEEGRYLELADSRLEGRVTSEEVEKLVCVALCCVHEEPTLRPNMGSVVSMLEGEIPLCQPNLASLNFLRFYGRRFAESSAVEESSGKDSVTLFPKANNSRDRTNSGSNTYFSYISSQQVSGPR >KZM98542 pep chromosome:ASM162521v1:4:24895073:24897722:1 gene:DCAR_014096 transcript:KZM98542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRGTWVFSVAGALIAIPVGIKRKSLAPLVFFGTTGTMVDIMLGISACEREHAERQQKLLEEQNAAATGT >KZM98863 pep chromosome:ASM162521v1:4:27467535:27468191:1 gene:DCAR_013775 transcript:KZM98863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRIVVRVPMKKEKDRSKAMKIVVGIIGVSSVKIGGEDKDIVIVIGNEVDAVTLTHSLVKKFGSATTLVRVEPFFNRGSGEDEYEAAAAAASVMYQGQQKYYGTYYGQPASYYYNPPPQYYPPPPQYYYSCDRPVDDSGCSIM >KZM96753 pep chromosome:ASM162521v1:4:5791401:5797826:1 gene:DCAR_015885 transcript:KZM96753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCGIVSDVPIDASKYNADESLAERLCVFGYTCCISGSSSRSASQEDCSSVTTLSDHGQQQRHFGCSDLATLLVTGELVTPPRIKALNLLLIESDKSDSTTTSDNSAEQGTNKEGNQTKTKEEEEKLSKMSKVEYDKSKKPPRPKANGIVIKDVNNSNLNKPRKRSQSNSVADLKNKGKGKLDEPPSLKIIVKPKLPKVTLHSTTQVLYSHDDISIQKEDVIENTKKRKRIGESEDENEKSDGLTSSNVQVNKVQNIQTSEKPNYEVTTYDDTKKVASYITQAIKSFITSDQAQGNLENLSVVEKRKLL >KZM97490 pep chromosome:ASM162521v1:4:15206133:15208953:-1 gene:DCAR_015148 transcript:KZM97490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKNPGYPFWGEDIRPSYCGLEEFHLTCEDNYLVIDIGSNSKYHVVDINLSGVLTLDRYDDPLGNICASQEASSTVLNETLYSFGDQAIRSSIEPRLLVLSGLGLLGIRPTLRCNTTTIRCGDRTFNNPLYPFWGKSFRPAYCGLDGFELHCENNDLVVDIGSLSKFHVVEFNSAKGVLTLNRSDDPLGSICASGEVTSTVLNATLYDYTEYTEDLNLFYNCDAEIESVWLDYTFTCKGDSKKRVYFFLGNSFELVDQDKIESCSNTTIQVDKRVFDNLKNNRIEPETLFNRSFEVHYNRMNERACLDCKQTEGLCWRGTNTTDNTCLYSNGTALPPYAYRRPGPLFPLPYEYLSAFYFVSPQMDLRITSWCKTFFMYLFIVNYISTLCSANAFA >KZM99443 pep chromosome:ASM162521v1:4:32083104:32087485:-1 gene:DCAR_013195 transcript:KZM99443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASYSYSASSMSKVMPFRGAKGGYRNEFLCSQSKFLRQTVESKSMFLSADNKYSRSRMQIRAMSVDKAPSFSTGNKFQLNDILEAQQFDRDTLTAIFDVAREMEKIEINSPGSQILKGYLMATLFYEPSTRTRLSFESSMKRLGGEVLTTENAREYSSAAKGETLEDTIRTVEGYADIIVMRHFESGAAKRAANTASIPVINAGDGPGQHPTQALLDVYTIERELGKLEGIKVGLVGDLANGRTVRSLAYLLSKYPNVKIYFVSPEVVKMKDDIKMYLTSKGVEWEESADLMEVASTCDVVYQTRIQRERFGERTNDYEKARGKYIVDKAVLGVMQKHAVIMHPLPRLDEITVDVDEDPRAAYFRQAKNGLYIRMALLKLLLVGCIGKYKLLPSAHATCKNKYRYEGIGLAFLPESETFEATSLPVSCFDHGSPEWSLAVFQESLYSFALTFGEGWSLLKKNGNCGLGMDWLKICTFQDLNRLPIGFMKNGDVIMENAENKVSLQDPRNESGPRNTGCVIGWGTRSSEVYNYSPSLALLNHKNEGFSHMFRIVYMGR >KZM97722 pep chromosome:ASM162521v1:4:17242093:17256577:1 gene:DCAR_014916 transcript:KZM97722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVALRTEAVAVKKLIVSTFVVETVLAAQRSVLCLLLLEDAGGESTAQGTVFVDGKSGIGFVDSDYVCATLDWWPPEKCDYGTCSWGKASLLNLDLTNEIFLKAIKAFTPLKIRLGGSLQDKLIYETEDQRQPCTPFAYKASELFSFTKGCLPMHRWDELNLFFEKTGASIIFGLNALNGRSTNVSTGIAVGKWDSTNAESFIRYTVKKNYMIHGWELGNELCGSGVGLRVAAAQYSSDTISLVNLVQDIYKGEEFQPLIISPGGFFDANWFKEYLSKTPKLNVVTHHIYNLGAGVDQHLVEKILNPVFLDGIADTFKQLQGIVKNSGASAWVGESGGAYNSGHNLVSNAFVYSFWYLDQLGMAATYDTKTYCRQTLIGGNYGLLNTKTFVPNPDYYSALLWHRLMGRKVLSTSFSGTKKLRAYAHCAKQSNGITMLLINLDNNTTVVVTPAFNNPSSQQGRRGFLYKRTKLMQVSHGDQMYSTETREEYHLTAKDRDLHSQTMLLNGNALTLTSSGDIPTFIPVNVNSSEPIKVAPHSIVFVHLPNVVLPACNGNEEAACRKIKACNTFSSELQITAIPDKLKQPQKLQQPLQVLKSCHFYMAGANGTVLLLNPVQELAVDCNTPTQRYIHKGGDEDIDVSPPVIDISVIDLSSIQTSSPSAEKELEKLRTSLASCGCFQVIGHGMTESFLEQVHRIGRDFFALPLKEKAKWSRTAEDTEGYGNDSELSEHQLLDWNDRLYLVTNPQDQRKFQFWPQNPENFRDILQEYTEKIILLNEVVLKALSRSLNLKENCFLDQYGENPNMVTRFNYYPPCLRPDLTLGVKQHADGSAITFLLQDNEVDGLQVLKDDQWFSVPTIPNALLVNVGDQVEIMSNGIFKSPMHRVVTNPKRERTTVAVFCSPDPSRYIGPAEELISDASPRLYKKIKNYVVDHIHMAGTLESLVFKPVQELAMDCKVLPERYIHKCPDEAFYVDPPVIDIPVIDLNLIQFPSASADQVLETLRTSLSSCGCFQVIGHGMTSSFLDQVHSIGRDFFALPLEKKLECSRSAEDIEGYGNESMQSEHRILDWADRLYLTTNPEDQRKFQFWPQNPENFREILQEYTNKLILLNKVVLKAMSRSLNLKEDCFLDQCGENTDMITGFNYYPPCPRPDLTLGGKEHADGSAITFLLQDNEVGGLQVLKDDQWFSVPTVPNALLINVGDQVEIMSNGIFKSPLHRVVTNSERERLTVAVFCSPDSSRYIEPAEELISETSPRLYKKIKNYLQIYSENQQQGKRAIKAAKI >KZM97671 pep chromosome:ASM162521v1:4:16754528:16756102:1 gene:DCAR_014967 transcript:KZM97671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSKKKLQLWKRAAFHFLLCFIMGFFTGFIPTSDKSWTFFGNVVASTQSELSMQPLEISSYHTLNRSLLDGTHRAVLMRSNESLQTGPSEQEQAEEPGTDKLSSRRLVIIVTPTSERNHLREVLLMRLANTLKLVPPPLLWMVVEQQSDSSQVSEILRKSGVMYRHVVFKENITDLEMEMDHQRNVALNHIEHHRLSGIVHFAGLDNVYDLSFFNEIRATEVFGTWPMAILSANRERVIIEGPVCDSSQVIGWHLKKQKNHTADTTKSPLHISSFAFNSSILWDPERWGRPSSIQDTSQQKTMKFVEKEVLEEETEVKGIPAQGCSMILLWNLLIPTKHPTPPHASVASR >KZM97039 pep chromosome:ASM162521v1:4:10035897:10037374:1 gene:DCAR_015599 transcript:KZM97039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMDCLWTYQESNDDLKQQLLYKSIELESVKAEAEKQMKIHKAYEKQTLQSLNMVIQERDEARAQLCWLLNKLIASNYMTPSTEFFTIAPPQLPPECPFANPMRPILSTTESNSFSGLYSYHSQDSSPVSSVFEPVSSSELSGTYAGALSNKMAFVNQHIVQDYNGISPTSVPHSGASKIDQYIDHLVSGKTLPQKGKLSQSVLDAGPLLETLLVAGPVPKWRNPPPLDTLNIPPFTGKGGHVKNFNQKPTELLHASPQNTRSYVEMHSGSQITSNAVLQSQDVASGSCFGIGKDMSSAQGNDSLTAGKRQRFL >KZM96762 pep chromosome:ASM162521v1:4:5916314:5916496:1 gene:DCAR_015876 transcript:KZM96762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKEALSWSDQFQGERITVESDCLVVVQAIKSSSPMRSHLGVIVEDCRGLASFVKFNIC >KZM99671 pep chromosome:ASM162521v1:4:33889635:33891485:-1 gene:DCAR_012967 transcript:KZM99671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSAKRKKLLDHVDDNESGEDYLTDLPDAILHYILFLLPIKNIAQTCVLSKRWRHIWYSFPDLDFTTVRQKEGMKFINDVLSIREKQHCCSDIRVLRVGGSFVCSQLNSLIRRAVKSCNVQHLEIDVVTNECFNLPRSVARSDSLRILKMKLLGQGFRLSIVRDGFQTLETLSLSNVVFNEHPNVVMDPLSFPSLRKLSMEKCRGLRHLRVYCQTLVKFSLSECSELDNLEISCAKLEKLSVSRCFNSASSRSSVKIDALKLDRIMWKGNAITDHSSLHNLRSLQKASVCFESVTAAHLTSMSKFFIGLSQSKCLKLETPCFELLSKNKHFAGVIYEAFVNLKSLELHTSKIQELASLFRSSLSLHKLIISITSDSKVHKSIASTQSDRNLSSSSSDERYWKSQSQASKPFLHELKSVTLHGISESEREFSLVKFLLGNTRVLQEMVISCAAGRGCRRLERIESRVMQFYRASFDAKIVFH >KZM99655 pep chromosome:ASM162521v1:4:33782133:33784567:1 gene:DCAR_012983 transcript:KZM99655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIEEIFEASKKKQKVSENDQEDRLSNLPDSILVHILSFLPMEDAVKTVLLRRFGNLWTSLQTLNFDGRSYLNYPKSCHSKWFTVFVPHALIRHDCPAIFKFSLKFGSYLYFSPNGFKPRKDKKPLNPKLASAIDSWIYFALTKKVKFLDLGIFAHGASSSRFDYDLPDAVFTSDSIIEMNLVNISLRKPEQVHLKALKSLSFTTIMLDDKMMEGILSGCPSLESLSLIQCCGLHKLNCTYACLTKLMVTLGNDSAKVEISGPNLKSLTISGLHGQVDLKNVSSVVEATLDICSDHYYIGSLLESLHQASTFTISSWCIMMLIMSEEDGITCASSTRKHLVLKTPITKRHLPGIARLLRSSPQLEMLTIQIEDSTSIFHPEDSLHVGMSDIFRWEGWNDENWLDESEIGFEHYFETEPSFSCLTNYLRTVRISGARISNCSLHLVKFLLENAVVLERMEILIRNFNAYSSTSSAELLKLSNLLSTCRKASPSTIIVLA >KZM97137 pep chromosome:ASM162521v1:4:11355068:11358116:-1 gene:DCAR_015501 transcript:KZM97137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTNEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQDAAAQ >KZM97833 pep chromosome:ASM162521v1:4:18205601:18205943:1 gene:DCAR_014805 transcript:KZM97833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGLALFCEIIIAILLPPLGVCLKTGCCTVEVLICVVLTILGYVPGIIYALYAILFTHRHTDPDHYTAVA >KZM97552 pep chromosome:ASM162521v1:4:15745869:15752592:1 gene:DCAR_015086 transcript:KZM97552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAVESIVGHIQSLSSSPIQLDQLHRFLNRREKQLRSESTHLLSALPQLDPSLHSLGYLYIVDGYTTAHDTKELPDEFVSIIGRFLNTCSANQIRLAPEKFVAVCRRLKDQVMLIQSPIRGVAPLRAAIRKLQASPEHLTAIHPDFLLLCLSAKCYRTGLAVLEDDVYEVENSKDLLLYGYYGGMICIGQKCFRKALDLLHNVITAPMANLSAVAVEAYKKYVLVSLIHLGQFIPDFPKYISATAEKNLKSYAQPYLELAVTYDTGKVTELERCVQKHQERFQKDNNFGLVRQVVSSTYKRNVQRLTQTYLTLSLQDIADRVQLNSSKEAEMLVLQMIQDGEIFATINQKDGMVRFLEDPEQYRSCEMVERIDSSMQRMMMLSKKLTATNEALSCDPAFLTKVGKERSPMLDLDDYDPALEHFM >KZM99588 pep chromosome:ASM162521v1:4:33221537:33234622:1 gene:DCAR_013050 transcript:KZM99588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPLIRSLWSSTRASLSSSHPPPSSLKHSFYARATALTRAFSAAPVASASTSSSPELDPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERALDSISLERERGITISSKVTSISWNENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYNIRPLLLLNKVDKPSVTEERCDEVTSLVFDLFANLGATEEQLDFPVLYASAKEGWASSTFTKKPPDDEKNMLQLLDAIIRHVPPPSANLDAPFKMLVSMMERDFYLGRILTGRIDSGVVRVGDKIHGIRGTDDGVVKIEEGKITKLMKKKGTSLVLVDFAGAGDIISMSGLGSPSIGHTVSSVEVMTPMPTVELDPPTISMTFGVNDSPLAGKDGTHLTGGKIGDRLLAEAETNLAINVVPGLAETYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENNVKLEPIEEVTIEVDDENVGVVMEALAHRKGEVVDMGAVPGNFGRTRMSLTCPSRGLVGYRSVFSSATRGTGFMHRAFLTYAKHRGPLGNVRKGVLVSVGRGPITAYALLSLEPRGTLFVTPGMETYDGMIVGEHSRDTDLDVNPVRNKELSNMRSANKDETVKLSPPRLMTLEEAIGYVASDELIEVTPKAIRLRKRYLDANKRKQMRHSSKE >KZM99714 pep chromosome:ASM162521v1:4:34207180:34208622:-1 gene:DCAR_012924 transcript:KZM99714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEGVFDVDANTSIDQLSEDLDIVLPEAHQYETVSGFICETFGYIPKTGESINVVLEKAKREESSDYNEEESERHDEKNTHQAFKLEILAGNCRKVKAVRFTRKNHDSTTDTKEITRMIPRIWKRKWKDTEDSGQSDDDIYEEGTPNGSIIQDPVIVEDEINQNI >KZM97832 pep chromosome:ASM162521v1:4:18201223:18203445:1 gene:DCAR_014806 transcript:KZM97832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKRVAVDASSNGEARTKSRLQSLMQDYQELQKEVESSRSKLKNIKQRKGTLQAEVRFLRRRYKYLVNSKSMSQERGKELMQGKDPRKRFAKVENFNRKEAALRALPPVFKPSNNIKVYTGNEISLQSKISSFVANRKGKHQGGKNVTRFYPTPSNLNPEGRSYKGKEVVSHEIPITMIDLNKQEPTYNGIGLAAMHTPASVLDLTLNEATFSSKQTTDKSRAPIFDLNQELVEEEEFQDNSEMARQDMLSDLHLSLCRNAGDSSSRVVKRKISWQDPVALRVAAFKWRRMAVVVVSTCAAIA >KZM97423 pep chromosome:ASM162521v1:4:14543492:14554038:1 gene:DCAR_015215 transcript:KZM97423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDSSAADAVGVETEDEMDEEEEMEPPPAAVPFSRLFACADRLDWVLMVFGSVAAAAHGTALVVYLHYFAKIIHLLSHSSDSPEKLFDRFTEASGSKNCFKLSLTILYIAGGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFINCWQIALITLAAGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLITHGKAHGGEIVTALFAVILSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSSSTVNHDGNTLSSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLDWLRNQIGLVTQEPALLSLSIRDNIAYGRDATMDQIEEAAKIAHAHTFISSLERGYQTQVGRAGLALTEEQKIKLSVARAVISSPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIKNADYIAVMEEGQLVEMGTHDELITLDGLYAELLKCEEAAKLPRRMPKRNYKEATAFQIEKDSSASHSFQEPSSPRMAKSPSLQRVSAIHAIQPPDGTFSSHESPRNQSPPPEHMAENGLALDGTDKEPSMRRQDSFEMRLPDLPKIDVHSANRHTSYTSDPESPVSPLLTSDPQNERSHSQTFSRPLSEYDDLPMEMKEEKDVKHRGIPSFWRLVELSLAEWLYAVLGSIGAAIFGSFNPLLAYVIALVVTTYYNKEKHNYREDVDKWCLVIACMGVVTVVANFLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRVSIFIQDSAAVIVAVLIGMILEWRLALVALGTLPVLTISAIAQKMWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRMQLRKIFKQSFLHGMAIGFAFGFSQFLLFACNACLLWYTAVCIKHNYTSLPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDNSAMKPPNVYGSLELKKVDFSYPTRQEVLVLSNFNLKVNGGQTVAVVGVSGSGKSTILSLIERFYDPVSGQVFLDGRDLKVYNLRWLRNHLGVVQQEPIIFSTTVKENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLVMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDMLMAKNGLYVRLMQPHFGKGMRQRRLI >KZM99359 pep chromosome:ASM162521v1:4:31429528:31430268:1 gene:DCAR_013279 transcript:KZM99359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEESLIHLLHLFDSLWFENHILHNNSNSQLDSKLEDENELPKLARISIDQDFEAKKILINTSQESLSSGDSENLLSPNSVLMRSPAKLENILSKKESPEQKKQNKKVKKKINEKNKKKGKLMSSKSLSELEFEEVKGFMDLGFVFSEGDKNSSLVKIIPGLQRFGEVDKEKEEKENGSKKINGGDKSLIRRPYLSQAWEIMEYRRRKEPAEKLKWKINAAVLDNEMDMKNHLKFWAQSVASAVK >KZM99486 pep chromosome:ASM162521v1:4:32486952:32495142:1 gene:DCAR_013152 transcript:KZM99486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFCFISQFALRSYLYILFHKLVGWPASGWYGWREEEKFRVFYAVRIFLGLLSVITEATLVVALSRKYGKRLGCYTLAMLCLTSGCFFASTSFLPSSFSMYAISLSSALYLLDRPAMAVAVAATGTILGWPFSILAFLPVTVYSLIKKFKQAFLSGLITSVVVTVLSILVDHHFYKKWTSSVLNLLVYNVVGGGESHLYGTEGPLFYLKNGFNNFNFSFVLALLFLGILPITRKKYAPDLLVVISPMYIWIAFMSLQPHKEERFLYPIYPLVCIAASAVIDSFPDLFRDKYSSNDNSLLVTAAKFLRPFILGVILCCSHARTFSLIHGYSAPIEIYKHLEHYDNGRQDAVVCVGSEWHRYPSSFFIPDYVGQVRWINDGFRGLLPFPFNSTLGGTSAAPSYFNDKNKASEEQYLQEIERCDFLVELQLQRPYPVRGSDLSTWEGTLTSEVQVILHPLALGAEEYLWHIQATQENTQSLEVFEHMPSAQSVISVLAPIAATAMFVRSMSYDLFPYVLNEYMSSKFYKFFRSFSSEFTIVIEEFRGFSRNQVFEAADIYLATKVTSSTHRVKLGKSESEKNVAITVDKDEEVFDSFQDILVKWKLISTEVKSSSRQSPGQHLRDLNATLRSEVRSYELSFHKKHKEKVLTVYLAHVLETSKAIKQETKGIKIRKAEYGGIWNSEDTNLDHPMTFENLAMDSNLKNEIIKDLETFKKGKHFYKRIGRAWKRGYLLYGPPGTGKSSLIAAIANYLNFDIYDLDLTELESNSDLKRLLLTLSSQSILVIEDIDCSIRLQNRNSEDDPDVNKEDKLMKNTDPNISIRNLLRFLKKKVEAQGSAKTKVGQHLAGLDVMKKRRFRTKFVFYVCHISLITLYSIDRSSIAIAVAAIRTILGWPGFPTSYNLPPD >KZM96853 pep chromosome:ASM162521v1:4:7687584:7689191:-1 gene:DCAR_015785 transcript:KZM96853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSVSICFVLLMLTISCASSAAVSKDKNPPKKFLKCINRYSPEISGLVYTPQNSSFIPVLNSTINNLRFEKPDTPKPLVIIRPVNESQIQTVIRCSKKYGLEMRIRSGGHSFEGLSYVSHVPFVVLDIINLKLFSFDAETETAWIGSGLTNGELYYRIGQKSDTLGFPSGLFANVGVGGILSGGGYGIMMRKHGLAADHVIDARLIDAYGRILDRKSMGEDWFWAIRGGGGGSFGVVLSWKVKLIKVPKIVTVYKVFRTIEQNLTSIFYKYQEVAPRLPKELEIKADGQCVLSNASARADKKTMTFLFEALYLGRADGMLSAMEGQFPELGVKREDCFEVSWIQAMVYMSGFPLFTPPEILLDLTVLPRPAFKSNNDYTEVPIPVEGLEGIWDLMYELPPTKVTLQFTPYGGRMDEVSESALPFPYRAGTWFKFNRFAETDTDEAIRMKWIKKLAKYLTPYVTKNPRSAYVNYVDLTMGTNNPKGITSYKRASKWGKRYFKNNFDRLVKIKSVVDPENFFRHEQSIPPIPAGKF >KZM96802 pep chromosome:ASM162521v1:4:6512361:6518836:-1 gene:DCAR_015836 transcript:KZM96802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDLELKGRLGSMASLDGKENEKSHGHPVAKDISCKSNYQDDIFKLEALMPKQAEQVKQVEESEVKITESTSEDETEISSSFGNALSGDKADEAQSDSEVMSELRDNGFDQECRMRKKSLTAHWKAFIQPLMWRCRWAELKMRRLMYQASKYDLQIEAIDRRKQLTWKNATVEDTCARCLPFSGSKQKGTIIKRRKRKWVEDSTGKAAYTAQIPLFSYPASMKRGADVAASLSDSANTGNPKRKRSELNFPSGCGDEPVSLEFRSDDNSLEQILWKIGVLQSDLGELKTRLKKVQDENAKDIYSADAMNTNAVRTSSAQNDVPTNEGRELVKVSSIASRHMPKSNMFMVKNETAISTHGKATNLIDITECNNQLQIAISGEKKGNGHLMYNSTAKELNNIEKVDSSAKELNNIEKVGVQSLEKPDTAKEEEQANIVPPVLVPLISNSDDQPETPMEAKQANIVPPVLMPLVSNSDNQPETPMEKEQANFLHPVLVPVIPTSDDQPETPMEEEQASIVPPVLMPVISKSDDQPETPMEEQANIEPSSPVPVISIANDQSTRSISGLTTSPPNTRSRGRGRGRSRRRGNRWSRRA >KZM98181 pep chromosome:ASM162521v1:4:21752140:21753270:-1 gene:DCAR_014457 transcript:KZM98181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFWEVADKDYIKINVHCVVSEVPLPNGNTLAVAAIIRDDNGDMLWGVLGVLPGLNEEQAILAAVQAACIHANEKELHKIHIETDNERVYDTLRLQEQILLEEEQLDAYRAFNTLHANNYKVGTTKKSILHVPLHMNSSAEYMARYALENLKVFVETSKPFGNLDFFLQRDMGKVLPHPIYEFLGDGEVIDGPPPPPVKKRRLSPFQNLHFGHSLDFSGSSVFDGFSPFQGLERCVRLAKKQEGWEREKQRKGKGKLLEDYSFNKNGLLSKEAISLLNDQKLSALDSIFRGSEVDMESVVFGGVAVKEILHKALTGSLADLLPLWSISRTELEQASGIVDFIAVYNVMKVMGFGNQEAEFRYNASQPSTSSQHFD >KZM96806 pep chromosome:ASM162521v1:4:6660163:6661275:1 gene:DCAR_015832 transcript:KZM96806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCGKLDENKETDITWSLAIKFSRVLFLELH >KZM98896 pep chromosome:ASM162521v1:4:27749264:27750592:1 gene:DCAR_013742 transcript:KZM98896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNALIDDRRIHVDFSQSVAKLWSQYRRKDQQNGNIVNTCTRLIWKGLPGCFVQLVNISCFVTVEKGCFKCGAPDHIAKDCTGSPTAKHQPAKYILKDDNTQRGSNNKSSYEMVFDGESGGSPRRSKAHGKYEVEDHNGKPNMNHQRPDERKSRDYRNRDSHDRHRHIDREQREDERDGGRNHSRSGRDQGHSRREGKEFRNESGSIRRDGKVHDEYKKRSLESDSLRDEKHERDYSKRSTVEGSRRDERELKSRSNEKSNPGDRRDVRHYEKGSAENNSRGDTKDERERKRSVEKESHGGRRDDREYRKRNAETGHHGSRRDERDYKRRSTDDDVRADQTEDGSERKGKVHDYKRDRRDEPYDRKTHNNSDGHKSSREDQDYEKRKTK >KZM98360 pep chromosome:ASM162521v1:4:23236087:23239533:1 gene:DCAR_014278 transcript:KZM98360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSEFRPVDPTVWRACAGNFVHVPAVGSRAYYFPQGHANAINTSASLIQSQPFVVCRVLGVDYLADMETDEILAKIQLFPVESESAESTQSESPELTQPEFASVVKVLTPSDANNGGGFSVPKSCAETVFPPLDFAADPPVQTLMVKDVLGAVWEFRHIYRGTPRRHLLTTGWSKFVNAKKLVAGDSVVFMQSKRSQQNFVGIRRAAKAKNVASTSRWNYLDLKEGEEDKSAENAVKQVMEKAVKGLGFEIVYYPKIDVSDYVVQAERVESATFGVDWMIGTRVKMAMETEDLSRMTYIQGTVSKIHQVGGVQSPWKMLQVTWDDPEVVKNVMNVSPWQVECVSLLEQSEVPAAKRSKLSVDAGSPLHREGAVIPGDGEIFEDAGLLPGRDGELFPVTRSSSSVAEPFNADLNPLLMNAGSYPGGIQGARQDHICVSGISNFFHENTHQFDITFSVCNRSPVTEAVYTEGDTVSSSSADPPKEIQFFDNEAVGTQGCSDSPKVGINSFQLFGQIIHL >KZM99421 pep chromosome:ASM162521v1:4:31924433:31926225:1 gene:DCAR_013217 transcript:KZM99421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVINLLKVTPSSGKSKLPPGPWKLPFIGNIHQLVGSQTHHILRDLAHKYGPIMSLKFGEVSAIIVSSPEVAQEILKTHDLHFAQRPYFLSGEIIAYNFSNIVFSPYGEYWRTLRKICTMELFSVKSVQKFRHIRESEVSNLIKTISQNLGSTINLGKEFFTLTIGITARAGFGKRVGEEKVFAVLIQELVDLSSGFSVADMYPSVKFLHLISRVRPRLEKVYKGMDKVFGDIISEHRKRSVAADEEDLADVLLRVQKDGLLECPLTDDNIKAVILDIITAGSETSTATMIWAMSELIKNPKVMERAQAEVSEVFKGRETVDETGLDELNYLKLVIKETLRLHPPAPMLIPRECREQCQINGFDIPVKSKVIFNAWAIGRDPRFWDDSESFKPERFQDSPVDFKGTDFQYIPFGAGRRICPGISFAHPNIMLGLAQVLYHFDWKLPGGIKNEELDMTEEFGITLRRKQELNVIPIARKTYPME >KZM99600 pep chromosome:ASM162521v1:4:33328887:33329864:-1 gene:DCAR_013038 transcript:KZM99600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQLTVSTASHFVLVHGACHGSWCWYKVATLLKSAGHMVTTVDLGSAGINPKQLNQMTTLSVYLEPLMGIMMALQPEEKVVLVGHSQGGIAISVAAEKFTKNVAAAVYVTASMPKPGFNILQYYQQLHPSQFGDSNFTFETGAGSSSLFFGPKFLKSKLYQLSPPEDLTLALSLIRPVPIFRDEGSLKAAQLTIKKYGSIRRVFIVSERDLIFTERMQKEIISDYPPQDVKKVSGSDHMVMFSKPQELSSLLQTVAEEM >KZM97802 pep chromosome:ASM162521v1:4:17987687:17988382:1 gene:DCAR_014836 transcript:KZM97802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNTTPNFDSLLLQTLMGRLQVRPHNPLQQPSPFLNQTLEDLLLDSLNLSDDDEDDDSDDSNKTQLAKEEAKLEKDIIKTILSNKTECLKPNSGQAVTIGEHHICVGFHEEKGSDYRVWEWHGHIMLFDEENGYTPEYIYGNYFERMVGKKPSDGAKEGGKSGGEKEEKVVNLGGLKELIDQGDSSTSRILHRNITAASPRFIYNALFVTLEFDDNCLYIALVLVHKLL >KZM97052 pep chromosome:ASM162521v1:4:10114621:10117655:-1 gene:DCAR_015586 transcript:KZM97052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKHFISLLILGLCNFLVSADVYWYIDCGSTSTAFYTDSFINVWQGDGDLIQNGVPRRVQSSTADSDPVMDTLRVFTTRKKNCYAFNADKGGRVLVRASFKYGNYDGKSSPPTFDLHFDGNFWTTVETSIDEVVTYEATYVVKGDVVSVCVAQTKPNQFPFISALVIRSMDSNMYSNADPSYALFLKSRVAYGAETVIRLSTDYDRIWLPAILENGQDNITGDALYFAITLADSPPIEVLQNAITTASTSDKLILASGFPSDVVSVYLTTYFSEPTEVTETRSFKLYIDNQASSESIIPAYEVAQETVGNLNVSSNSTLSLVATSDSVLPPLINAMELFYVGKDQLTDGTNSDDLEALALLQQTFTDLKDWYGDPCLPSSFTWDWLDCSNDATPRVTALHLGTFDLTGSLPDISSMTSLQTIDLHNNSLTGAIPDSLGTLPNLKALNLADNQLSGSIPTSLSSNKNIKLDVTGNPDLCTSGKSCDATTTSNTDTPGFPTISDSPSTGKKKNKKTPLILGTTIPSGLLASALISIYAWMRHKRKKPSVPPTQHAASGHGGGGNVVENILDEIKVNMEDQIIQQVSNGISQQAQDYIANSSTT >KZM99299 pep chromosome:ASM162521v1:4:30929502:30930164:-1 gene:DCAR_013339 transcript:KZM99299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRPVNLDSYFGTQQGMVPLNLMGPSRDNYYGQQNIQGLGQLNSIAPNHDGYYGNQTNIHQMGQMEFFRPASFTYPLRDEANIRAAHDGGSRQP >KZM98763 pep chromosome:ASM162521v1:4:26724064:26729282:1 gene:DCAR_013875 transcript:KZM98763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTWTVPSVVFLLCLLFLLPDQGRKLHASAEGDSEELVDPPKVEDRLGAVPHGLSTDSDVAKREAESMSRKTLRSNAERFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTSKLDIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLREEAGEYLEESKLKELVKKYSEFINFPIFLWASKEVDVEVPADEDDSSDDDEKSIWLRSPKEVTDEEYVKFYKSLAKDFSDEKPLTWSHFNAEGDVEFKAVLFVPPKAPHDLYESYYNANKSNLKLYVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKIADEDPDESNDKEKNDVEESNENNEKKGQYTKFWNEFGKSIKLGIIEDAANRNRLAKLLRFESTKSNGKLTSLDQYIKRMKSGQKDIFYLTGLSKEQLEKSPFLERLIKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKVKELKEAFKELTKWWKGILASENVDDVKISNRLADSPCVVVTSKYGWSSNMERIMQSQTLADANKQAYMRGKRVLEINPRHPIIKELQARVAKDPKDTSVKQTAELVYQTALMESGFVLSDPKDFASRIYGSVKNSLKISPDAMPEEEEEVEETKAESSVQDDSAKEDDKDVKDEL >KZM98483 pep chromosome:ASM162521v1:4:24327034:24328245:1 gene:DCAR_014155 transcript:KZM98483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKSRVCSRSSARKNVQNKNVSKRKNGRCKKFTTLASNLDSRWPMKRMQYVAEVVVNALKEKKAANGGYNCGMSRQEVRDAARMRIGDTGLIDYMLKSMNNVIVGGYVVCRDVNPQTRVLEYTIEEACENGAAVQVDPESDLVVLSQDQPQAIMPGIDVYSDIAYLYNNVLLEYQNSEWVELAVERVIDSKQFVKEWLFIDEPDQLLRFVCRVIPSLSDLETEFTRGYPPGEHIAVPLHLTVGELKEVIQHAMRDTYYIMESILVTEVRGLEGVDDGEVLFGIVESGSELCFRAVGMDFGSELKYEGGADNWTVKCKCGTRDDDGERMVACDLCEVWQHTRCIGIDDFDTMPPFFVCDSCCAALAPPRTIQPSFEYDFNSLGTCLNY >KZM98626 pep chromosome:ASM162521v1:4:25571986:25574631:-1 gene:DCAR_014012 transcript:KZM98626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVAPPFSSLQHHGLHRSIQVEKPINSKAKLPDSTPQNSQFSLPQQINSLCQSNDLNKALKLLQQEAKNVERSSSDMVSALGLLLQASGHQKETEIGRKAHELVSDFTPFKDDIFLNTSVITMYSNCGFPLDSRIVFDQSTVKDLCLWNAIVSAYVRNELWFDALLVFCELLIACECKPDNFTFPCVIKACGEIMDSGLLQSVHGLGLKMGLACDLFVCNALVSNYGKFGLVMDAARVFEHNANKNLVSWNSMISVFSKNGWYGSSLGLFGRMLNSQEGMVPDDATLVSVLPVCAAEGEIEMGRMIHCIAVKMKLSKEVKVSNALVDMYAKCGYVTEAQVVFDKNDSRNIVSWNSLIAAYSRDGDVCQTFNILQNMQVKSSLGANEVTILNLLPVCLQRSELLRVRILHAYSLRHGFQYNELVLNAFITAYAKCGSLRSARNVFNCIENRTVSSWNALLGGYAQNGDPSMALDIYLQMSSSNLDPDWFSISSLLLACTELRSLRHGKEVHGFHIKKGSVTDPYIFVSLISLYTRCGKLLCAKFLFEGMEDKSLVGWNAMISGYSQNGLPTEAINLFRKLVCEGIQPHEIVITSVLGACSQLSALRLGKETHCFALKAGLIDDLYVGCSIIDMYAHSGSIKQSQRVFEHLKKRDVAALTCLIAGYGIHGCGEEALYRFREMQMLGLKPDRFTYMGILMACDHMGLIEEGIKCLNEMQVMHGVEPELEHYACVIDMLGRAGRFDDAVELIEDMHVEPDAAIWNSLLSSCRLRGELDLGKQFAGKLLELEPNKADNYILLSNLFAGSGKWDDVRRVRLRMKELGLGKKDAGSSWIEVKGKTYNFVAGDKKFLESKEIQEIWSSLDVGINEIGYVPETVEVTTS >KZM96938 pep chromosome:ASM162521v1:4:8915031:8916182:1 gene:DCAR_015700 transcript:KZM96938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKADDLPPPSSESVDEEHSTSSDDSDSIPQQLTQPKPQKTNQESEESEESGESEETDSDSDASSEKTQKPNSAVSPPALKPAQLKPNGKRAAENDQIGKGSMGKKSKTADEGLKAVKKVGKGSKSDDNVDKGLKSDKGKSVKKDSKKGEISKGDDGENEDKKADTFRRVFTEKDEIVMLEGIIEYKENGKGADSSLSMAEFVEDSLSCSVTRSKISDKIRRLKKKYLNNVGKGKDGEDPVFSKPHEYKVFQLSKKIWGSGGWGSGDSRPRSSRTTKKKDDNVGSEIAVGNEVEMEDCWSLYPCLCASLESEMVKNISGPVTPKEHVKKVVSGLEKEKAKELEQEWKGVVMFELQVYAKRKNVIAKQAVAAVNALHPQNH >KZM97207 pep chromosome:ASM162521v1:4:12221197:12221424:-1 gene:DCAR_015431 transcript:KZM97207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLRGILTNQIRTSPPTLIMLSGLCSRSILAQAGRPESVLLEASALQSCRTAEFSRLDLYIGNSYRVQTVYTD >KZM97217 pep chromosome:ASM162521v1:4:12357028:12364472:1 gene:DCAR_015421 transcript:KZM97217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEELAKSMSRRTSMNRSSGSLRWSSASLREVFDSPGGDLFQQNGREDDEQDLKWAAIERLPTYDRLRHGMVKQVLEDGNVVHHTMNVATLGVQDKKILLESILRVVEEDNERLLRRIRDRTDRVGISIPKVEVRFQHLSVEADAYIGSRSLPTLPNAFLNTIEDVLRMLRLAPSMRKTVEILHKVNGVVKPSRLTLLLGPPGSGKTTFLKTLAGVLGKDLRVTGQVSYCGHDMSEFIPQRTCAYIGQHDLHHGELTVRETLDFSGRCLGVGTRYDLLSELTRREKDAGIKPDAEIDAFMKATAMSGQHSSLVTDYVLKRITDDLSIPYDRAQTHPAALVKERYGISNMELLKASFSREWLLMKRNSFLYIFKTVQITIMSIIAFTVFFRSQMPSGQAADGGKFFGALFFGLTNVMFNGAAELGLTLMRLPVFFKQRDSLFFPAWAFAIPICVLRIPISVMESLIWTILTYYTMGFAPDATRFLCQLLAYVGINQMALSLFRFIAAVSRIQVVSSSLGTFALLLVLLLGGFIVAKDDIVSWLKWGYYISPLMYGQNAIAVNEFLDERWNGPNTDTRIDQPTIGKVLLASRGMFLDGYMYWICVFALFAYSIVLNILFILALTYLNPFGSSKSVVNDDANSKKKNKFSSNLKVSADQNSASNAPLHKGIDMAVRNTPHRTNFGVEKDNKRGMVLPFKPLSLAFEHVNYYVDMPPEMKSQGILEHRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFARVSGYCEQNDIHSPHVTIHESLVYSAWLRLGPDVSNEIQKMFVEEIMELVELNGLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGALGQQSHMLVKYFESVSGIPKCKDGQNPATWMLDVSSAAVESQLDIDFAEIYEKSDLYRSNIKLIKELSNQAQGSEDLHFPTQYAQPFFTQCKACLWKQHWSDWRHPEYNAIRFLMSTGFGIIFGLIFWGQGQNIQKEQDLLNLLGAMYSSILFLGGTSVGAVQSVVAVERTVFYRERAAGMYSALPYAFAQVAIEVVYVFIQTFIYSLLLFTMIGFPLQIEKFLFFFFFVCMCFVYFTLYGMMLVALTPNFQVAAITMSFFLGLWNLFSGFLIPRTQIPIWWRWYYWGSPIAWTIYGLITSQLGESKDLVVRFEEDSVSVKDYLKDVLGYESDFLGYVVLAHIGWAVLFAFVFAYGIKFLNFQRR >KZM97062 pep chromosome:ASM162521v1:4:10323123:10325368:-1 gene:DCAR_015576 transcript:KZM97062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSWKMFYPMILWWNTLKKYHVMFGYTCHQVLYGGGVYSNSHKWIEGLSNFMITYGVRPYYMLSLEYVGGGHFNVKVFSPTAVEINYETKYSDEDLEGMSRGSLIKTDEILNLGIDDNVKWLEIGFKYFSWLVKLKWKNGYIYFDKEWYKFAKAAELNEGDICSFVRTNYPKRFEICVYTADYLEKCNIKGLGSDTGVMKWFKCLDKKCCLNGQLEIPRLFVEQYANLVNDIVTVVMADKRTFTLSYYNKLCYLYGVEKIVQTYSLNVNDVMIFSYVALSTFEVSVYKSNGGMDLFFKGRNFHVSNQLSNGADPEEHDELNTMVNNSNNAIVLKKSHVDKRGHGVFLPRDLLPIYKSWKSLTTVKLVCEDEEFQMKVWRAQNMCRLAKGWTKFTIKKELKEGDKLVFVYRNRRTFDVTVEE >KZM99584 pep chromosome:ASM162521v1:4:33205739:33206065:-1 gene:DCAR_013054 transcript:KZM99584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASSVRELAHDNKENIIPASSALSLKSKAIAKNRRRKLTRKPLRDITNLFINQISSSLAPIAYLQDFASSPPSAQISDSPAFNLPKRKISELNQHTISKQLRMNFR >KZM98304 pep chromosome:ASM162521v1:4:22771361:22777509:1 gene:DCAR_014334 transcript:KZM98304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASAAPISHLLPSALNPKTPFFILSKKLCQTTPRIKSSSSSSSSSSSSSSSSSSSLNIQRRQLLLLSVPVVSYTSIFQYNSKSFAAPGYDPVSPAERDASLSLSQRVSEAVSLLEKGRDLQAQGDFIQALQCFSQVVKDYKDFALSDYARVGRAIALYEVGDKEEAIAEMEDVSISLKGYPEVHAALAAALYSDKHAPLLAENQFTIATLLDPHYTELSYVRETKHWPPSLVSSLQNFITLS >KZM97342 pep chromosome:ASM162521v1:4:13734999:13738694:1 gene:DCAR_015296 transcript:KZM97342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGILLGMGNPLLDISAVVDNDFLNKYGVTLNNAILAEDKHLPMYDELASKKNVEYIAGGATQNSIRVAQWMLQTPGATSFIGCIGKDKFGEEMKKNSKLAGLNVHYYEDEAAPTGTCAVCVVGGERSLIANLSAANCYKSDHLKKPENWSLVEKAKYFYIAGFFLTVSPESIQLVGEHAAATNKVFAMNLSAPFICEFFKDVQEKALSYVDYVFGNETEARTFSKVHGWETENVEEIAIKISQWPKASGAHKRITVITQGADPAVVAEDGKVTLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVKQKPIGECVRAGCYAANVIIQRSGCTYPEKPDFK >KZM99338 pep chromosome:ASM162521v1:4:31211027:31211980:1 gene:DCAR_013300 transcript:KZM99338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNQSFIPLATVFIVWLCVFSTYANAASLNDDKGNTQSAVAASTSGFPAGPGNFPGFPSGGGGNSNGGGGGMRIWTPFGWISIGGGGNRPGGGGGGRWFPFPKPNYSPVTPKPFKPKPCHPVTPKPVIPTPKPNPSPSPKPVIPTPKPKPKPKPVIPTPKPNPSPSPKPFKPNPCHPKHPSKPFMPNFPGFPSGGGSSRPIVPPWLTPFIGPHSSKPAHLPSVNSMETQSETGAVEASKTLAEGRHHYYQCWSVLEKVDKCVNEVLTAFSSRKFEVLSSSCCSAIEKMDKDCHARTIGNFHDHFFSASVHKHCSAN >KZM96593 pep chromosome:ASM162521v1:4:1811010:1813639:-1 gene:DCAR_016045 transcript:KZM96593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEQEQLVFFRFLVQLALEVVERNSPSFGFVHFETEAAVERALNAPPLMILGHLIRVEKRLFEYKRVLFTSHDLIYRELVLSLYYKERKDSNGGIYLELTAGIHGQWYYNIADGSHMINLKNEEPVDDLLKKQIEDFVQAEFKAMKQGTIMLWRPRGKRTSTPKHLIHETTLHNVYGGKIFRTDCIQQATRYMSASDPSSLVSFFKLFKEKLATETGGDDLEKLSDFAKSHYGWRPRITVKTKHQVDFGFLFTDPDAPLDNPFRTPKGSSKDFSDFCNAQ >KZM98120 pep chromosome:ASM162521v1:4:21223206:21226068:-1 gene:DCAR_014518 transcript:KZM98120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEVKLHIYDVTNSESDKTNNTILQINKIFKDGIGLGGIFHSAVQVHGEEEWSFGYCEEGSGVFSCPSGKNPMYTYRECIVLGKTNCSILKVAQILRELSREWPGQSYDLLSKNCNHFCDEFCQRLGVPRLPGKCLVELELLGWVNRFANAGDTAVEIAGNTAFRLRQAKTEIVTASKVAYRFLLGVDSNSAASTESTNRVCPPRFQTDWFKSLVSAGPKLSSNSEVGVGDGDALLQQRPTEAL >KZM98899 pep chromosome:ASM162521v1:4:27765821:27769232:1 gene:DCAR_013739 transcript:KZM98899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGRPNMRVRYSGSTPSEESALDLEKNCCGHSNLPSFSSPSLQQYASGGQHCESNAPYFSWPTSSRLSDAAEERANYFANLQKEVLNETPGRLPKGQQANTLLELMTIRAFHSKILRCYSLGTAIGFRIRQGVLTDIPAILVFVSRKVHKQWLTPLQCLPTALEGPGGVWCDVDVVEFSYFGAPEPAPKEQLYTEIVDDLRGSDPCIGSGSQVASQETYGTLGAIVRSQTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFITDDLWYGIFAGINPETFVRADGAFIPFSDDFDLSTVTTSVKGVGEIGDVKNIDLQSPVNSLIGKQVMKVGRSSGLTTGTVLACALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILKGDDGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLRRLLNHLELDLITTSEALKVAVQEQRAASATAVGSTVGDSSPPDIVLPKDKAEPLGINIQQIPVEDGIGGLDVNSSPVETEFHLEEAVNIGPSVEHQFIPSSFGCSPLHRDDQRCNVASDNLSALRNGSSEDLGFSLQLGDHEPKRRRSDPSPSGEAK >KZM97772 pep chromosome:ASM162521v1:4:17651024:17651218:-1 gene:DCAR_014866 transcript:KZM97772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTINSSIRGKEMQLPSNSGGPRDNSSPAEVTETKFLKWKPPRKIAGIYGDWPEGYSLPDNK >KZM99300 pep chromosome:ASM162521v1:4:30937358:30943780:1 gene:DCAR_013338 transcript:KZM99300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKHGWQLPAHTFQIVAITVFCLLVVAFYTFFAPFLGGRIWEYALVATYSTAALLVFILYVRSTAINPADPGIMFRFDSVMTNQAKNMPRQNHEKSTAVHSSLSRASRSPIPTHNSLRKGSIEVNEYQMEASKKKTECTAGGILCALYVHEDCRINVTAEYEGTREDALFCTLCDSEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFISLMAISVLWLAIEAVVGIAVLVRCFVSRQSMEADINDKLGNRFSRAPFAAVVAVFTVVSLVACLPLGELLCFHMILIRKGITTYEYVVAMREKNEAPPGTRVDEQFANILYSPSGSATTGYSVGSSLGLHYKGAWCTPPRVLVDYQEEVAPQMDPGIIASTVNQEPVGSTEKRNQVLKKPVRISAWKLAKLDSDKAVKVAEKARASSSVLRPVNNHGSADAELSSSESIIEGSTAPPMSSPAQARSASLNRDFEKVSVAWDQEAGRYMSVPVSATDTQTRSSLQTGASGNPDRSPAFSLQEPLPHRVKPETEKSEKLRHTGESIFFGGPFFNHPIRDSIRNKMGSSSQDNSSPESKSDPSSKQLPVTIPEGS >KZM99916 pep chromosome:ASM162521v1:4:35906802:35911099:-1 gene:DCAR_012722 transcript:KZM99916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKALQTSFQKLNLNPNSNKSTSAHTIQSPILTVEKRKPVPTLVTLCIQVIGSHLEDIIDDLPQIAFKLPSHVKITIAAIARRRRLLDDNVMMALAESSWDILDVSDSDVSDYGISVVAKRFKCLRAADISRCSKISSIGVSQILQHCDSLQILRWGGCLRSELTARCCLGILKPTLDNVEGESWEELVTAEIGQGAYSLRWLVWPKIDKDSLDTLSSECPRIIVNPKSSPFGYRGVYVPCEALMGTVLDDFILKDIDAGTWSVKIATSSSVVNSNALPIAERFRLAFAERDARLAPKRAKNARQHKRRAERDWVAVSTDAKAIALASKTQQTWN >KZM98134 pep chromosome:ASM162521v1:4:21345609:21348611:-1 gene:DCAR_014504 transcript:KZM98134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENWESLDVDDSDLPSLILRPCSNSNKHNHNHISQPQQQHHQQQPQRTPPLISPRLIPGPAGKIQAAMNRKRLHHSDSPLLPTQDFLLKAAQCSDLSFQDDDDFKADAWLRALRFLGNAQSTTKDFVLQTTPLSRVGSSLPDGIVNQVVAIINSCTPNGLGDMTVTLKDTTGLIDATIHRKVLTESEFAKDISVKAAIILKKVSIFAPSSKTVYLNITRSNVVKVFHKDVTPASGNTNVVAPRVTYLPPDISKKSRVLEDELAQEHGRTKEIMHEVCQVATGGRNWLLDNQKKNGSLLPESGSDEFGRVKNTSTQNKAFWARECSATTEIYEKAGADVAGDNHMTLDSNEKRPKVNNLSEGHKNSVFVGNLNMDHGDETQQTDKAVKQSCISKVSLPQWTDEQLLELFEDDEPLF >KZM98174 pep chromosome:ASM162521v1:4:21698970:21700906:1 gene:DCAR_014464 transcript:KZM98174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPSSSKNLEASLLQLISNHNQSSLRLKQHTEKAKKDAIRNAVRVSDVLVEAVNGGVEECYINQKRIELEIQALASTILRFAKQTDQWLAASRSLNNAIKEIGDFENWMKTMEFDCKSINAAIRNIHQV >KZM97233 pep chromosome:ASM162521v1:4:12622653:12623624:1 gene:DCAR_015405 transcript:KZM97233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLLLLLLALQHFCSGLKAQKLITTVTFNVTYNITDDNHSILNAETITKPGCPKKCGNLTVTYPFGIISEGLSCSKDPSLDITCNYSTNPPKAFIKTGNLEVYDISNTKLRILNLMASRCYDSGVLTGGQNSRTRLRGTSYMFSNANVLTVVGCDDYAYLYNAPNTTLPKGCLSTCQHTEQALEDDCTGTGCCQISVNLQQYFQLRLGSFSNHTNLSSSNNCGYSFLGERSRFKFQGKSDLDDPNFLNRTMANVPIVLDWVIENKTCSEASKDLDSYACIHPNSDCINNYHSGVFQGYRCTCKNGYKGNPYLSPGCEGNQQT >KZM99155 pep chromosome:ASM162521v1:4:29839784:29843104:-1 gene:DCAR_013483 transcript:KZM99155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLWRSIAAKPQRDLWKRKVEHVAEEADSLKDSLDKYFQRHQRRMQEVQERDALLGRANGESSHVLRIFDEEAQALQSARNSSRMLEEANATGIAILSKYAEQRDRLKKAQRKALDVLNTLGLSNSVLRLIERRNRVDQWIKYAGMVLTIIVLIAFWRWTR >KZM98436 pep chromosome:ASM162521v1:4:23966419:23966766:-1 gene:DCAR_014202 transcript:KZM98436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGQNTTFSVTLGCLHCRHAFTKFSFVFHETNRAYDYIDTTIGISNELHQKSAFMNSHLVVKHIGSQQTRKLEHKHLKSMSDGELRPSPCYPSMTNSADYILYSFLIIDQLSKTT >KZM99215 pep chromosome:ASM162521v1:4:30304803:30305039:-1 gene:DCAR_013423 transcript:KZM99215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRLLLVRLVSCLGVENDEDFENFLNSFANLKGVTLRTEDVANATLYLAGDEAKYVSSQNLFIDGGLGVVVSSFKMV >KZM97959 pep chromosome:ASM162521v1:4:19780004:19782489:1 gene:DCAR_014679 transcript:KZM97959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGKDIYDVLAAIVPLYVAMFLAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISENDPYAMNYHFIAADCLQKVVILVALFIWQTFSKNGSLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGDLMVQIVVMQSVIWYTLMLFMFEYRGARLLISEQFPETAGSITSFHVESDVVSLNGREPLETDAEIGDDGKLHVVVRRSNCSSRSVISYNKSHAMNEMTPRASNLTGVEIYSVQSSREPTPRASSFNQNDFYAMFASKAASPKHGYTNSYGGGGDVYSVQSSKGPTPRISNFEEEMLKMGNSVNNTKSKRPGGRSMSGELFNHGSLGSSYPPPNPMFTGTTQAKRKESGGGTGTSSTPNKELHMFVWSSSASPVSEGNLRNAVNRAAATDFGVVDSSKAVLQQEIAASRANAMNDSIEHASPAGKMNGELRDQVEIEDGTASKFGMPYDTMQKKVGIEGGQKNHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLIWSLVSFRWNIKMPTILSGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHADILSTAVIFGMLIALPITILYYVLLGV >KZM97828 pep chromosome:ASM162521v1:4:18176829:18178013:-1 gene:DCAR_014810 transcript:KZM97828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMWDLPDHEAYKINIHCEVSDVPSPVGNTVAIAALIRGSAGSKLWGMEGPANNLSLEQGIMAAIQAACVYADAHALEPVHIETTHVGIFELVSAQDQFIIPHELLEAFRLFNSLHANNAANIDGANPRRISWIPHHMNSTAVYMAEYGMKYLTDTVELPGASTLGNLQYFLERDMGRVLPNPEMVILPNLGLGDVEDGPPPSPPAKRVCFSRELKLKAPSAPSQSYASPGSTSENWKIDTPIPLLIEKGKDALYGGFMFYKDGKFSDQAISILEEGSLVKVSPVFAEKAINLEAHAINGLLVKDVLNFACLGCLSVALESGEAAPKPTVPPVVDSPKPLSPMLPAVPLNDHTLEDLHLLPVSDLLVEMDKATAVVSKVELVVWEADRVDVPQ >KZM96619 pep chromosome:ASM162521v1:4:2325640:2330897:-1 gene:DCAR_016019 transcript:KZM96619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYVKYGLNLGMQCVQNCGMRWTIVEKMKTHFDKLVAELNVTESRDRKDFASLAEEVAFYKTSMWEYATKLRSPEARAQSKAYSDVLKLEGVQFPSLVAANQEEPLSLSINSEPDRNKFLQECNDAYDTVIALLDDCIQLGKKHDAWRGRHSHKAHIAKDMLDYVKYGLNLGMQCVQNCGMRWTIVEKMKTHFDKLVAELNVTESRDRKDFASLAEEVAFYKTSMWEYATKLRSPEARAQSKAYSDVLKLEGVQFPSLVAAHKNKLGYTDEFEFLGDDQKLEVYNNIIEESGRAKMPVIYKIKGQPWYKTSGGIAVMAFTAGMMTWDIFTAEHKLESALNNGVSLLSAAVSYAIEVSFTSAVGAVVAESEVGLLVVSAAGFVVGALVGILFAAATGAIIAAILSSGGSVPQNVEDLKFYSVTMPNGMALANEIAHT >KZM96636 pep chromosome:ASM162521v1:4:2781095:2783635:1 gene:DCAR_016002 transcript:KZM96636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPAIAQVDYLNVITYVDDAYVAVNVVSSGVYEDDNEDGDILIYSEHNGAYSSSILRDEWSSRSPIVFLEFRNEYRLEVSFNKHLGKFSSVQCLYADFDLEGGELFVFEFNGVDGFNVYLLGRDFCEVDYPEKVHALQKSRPRKACVKLLTDYEPVNDVLAAPVPSIQHCVDTLQLRCFIEYILSNGKKLFGGFDRSTPTFSGFQLVVQLLKFPDLKKFNLLLLTYEDNGNLLVGLFDDNFVEDISAQFRSLWHMWGKTDYINVYSGNALEVADQTS >KZM99480 pep chromosome:ASM162521v1:4:32403738:32405453:1 gene:DCAR_013158 transcript:KZM99480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSSKKSFLSRRSFSLTISKSLREFRFSQPVHSSNDRRDDDRRTGADLPESSDLEAASGVSWSTMLPELLGEIIRRLEDSEDRWPQRRNVVVFGCVCKRWREVTKDIVTTPFQSGKITFPSCLKQRILQCMLPVQMKGKIHESSTVDLKLHETPAPRELPLQCLIKRNKKNGIFYLSLALTPSFTDKGKFLLAARRYRHGANTEYIISLDADDLSQGSNAYVGKLR >KZM99190 pep chromosome:ASM162521v1:4:30075492:30079810:1 gene:DCAR_013448 transcript:KZM99190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWHRHLQSIIRQVGKRVEHNCNASVNTAFYHSKSSLIYGDLPCLHSLQGPSSATYSRPLYNCFQHMGFTSSRILLANSSDETPIPSPLTPQLALTSGKSESQEVATTKPSKVQAVLKNIKQSPKKVNLVAALVRGMRVEDALLQLQVTVKRASKTVYQVIHSARANATHNHGLDPDRLLIAEAHVGKGFFKKRINYHARGKCGLKVRPECRLTVVVREITPEEEAEIARLRVKNFVKLSKRERRLVPHKLIETTPIWDRKGKAKLQG >KZM99580 pep chromosome:ASM162521v1:4:33185257:33186876:-1 gene:DCAR_013058 transcript:KZM99580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNITRVSSCRGVAFEAKAYENNHNVLTSTQSERWIRAATSIFKVFPGSDSPRRSSGRSSSHFCDLEFDEDENDDYSMYSGDASPRDLENNLHVVGQVSSYTKLPKPKKDRLSVILRDQSLFTAYKRLFLVTLSLNIVGLALAASGYYTYARRKPAVFAIANILALTLCRNEAFLRCVFWFAVKLFGRASIPLWIKTLITSFLQSLGGIHSGCGVSSLIWLVYAIILTIRHRETSSLLIISVAYTILFLVLLSSLAAFPLVRHLHHNVFERTHRFAGWSALVLFWLFVVLTLSYEPSSKLYNFRLSRLIKALEFWLTFAITGFIMLPWLTVKQVRVSVSSPSGHASIIKFEGGVKAGLLGRISLSPFSDWHAFGIISDGKDEHMMLAGAVGDFTMSLVSNPPTHLWVRTFHFAGLPYLINLYRRVVLVATGSGICVFLSFLMQPSTKEVCLVWVAKAVEQNFGSEIMKCVSGYPKDKVIVHDTGVLGRPNVAEMSVDAAKRWKAEVVIVTSNPEGSRDVVRACKASGIPAFGPFWDS >KZM98493 pep chromosome:ASM162521v1:4:24429476:24436995:-1 gene:DCAR_014145 transcript:KZM98493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSAADSEKLKNLQSAVSSLPQIRQLLYIYSHFDNEKSGFINLVARYLSGEAEHVEWSKIQTPTDEVVVPYDTMAPVPEDLAETRKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIETLNAKYGCNVPLLLMNSFNTHEDTQKIVEKYATSKIDIHTFNQSQFPRLVVEDFMPLPSKGISGKDGWYPPGHGDVFPALLNSGKLDALLAQGKEYVFIANSDNLGAIVDIKILNHLIQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEYVNEFKSIEKFKIFNTNNLWVNLNAIKRLVQADALKMEIIPNPKEVEGIKVLQLETAAGAAIKFFDRAIGSNVPRSRFLPVKATSDLLLVQSDLYTLSDGLVTRNTARKNPANPSIELGPEFKKVANFLSRFKSIPSIVELDSLKVSGDVWFGLGITLKGKVTITAKPGLKLEIPDGAVLQDKLGEKEVMESCFTLSQEANQGDSQISEGSELVVESARN >KZM96662 pep chromosome:ASM162521v1:4:3366184:3367373:1 gene:DCAR_015976 transcript:KZM96662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLVDTYNYLQQNNNFEVVFIAVDSRKKEIRYGRRIIEVEPSKHFEELFSCMPWTAIPLSDITARKHVQQRFGISDVYHNAIVIGTNGEILQTNSCTIFETYGGQGYPFTDAKILSLKSQDDTIAKNPSLEALLASPERDYVISNKGDKVPIHTLEEKVVALYFYEDGSCGQLTEKLKMAYKELAKKKENFEVVLIYLYDTICTDDRTDEGSFWEKFKTMPWLALPYRDPNYRKLLRLFCFPREDSLCFTTTLVIVGPRGEFIEPWGACMLTSYNIEAFPFTREKVAHLLIEKMRKTENLKICKHAPS >KZM98766 pep chromosome:ASM162521v1:4:26749272:26749763:-1 gene:DCAR_013872 transcript:KZM98766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGGAPAGRIVMELYADTTPRTAENFRALCTGEKGVGKSRKPLHYKGSSFHRVIPKFMCQGGDFTAGNGTGGESIYGEKFADENFVRKHTGPGILSMANAGPGTNGSQFFICTEKTEWLDGKHVVFGQVVEGMDVVRAIEKVGSSGGRCSKPVVIADCGQLS >KZM97017 pep chromosome:ASM162521v1:4:9820120:9820635:-1 gene:DCAR_015621 transcript:KZM97017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLGLVISLSFLCATLLLGLAAKLYYLSRSKKHPSPVKVSCLHEQDLEVGGDDEKNTQDLKNCCGEGGVDTELMRLHNLNGPPRFLFTIKEETKEELEDKCLNDFLDLSPLASPNSRVESSHEGISTLRSSPPSRFKFLRDADERWLRKMMEQVETVEVEKRTGRAALW >KZM99273 pep chromosome:ASM162521v1:4:30701020:30706079:1 gene:DCAR_013365 transcript:KZM99273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTESYQSKNVCVIGAGPSGLVAARELQKEGHDVVVLEQKHDVGGQWLYEPNVEGEDPLGKCTTLKVHSSVYNSLRINLARETMGFTDFPFFVKEGRDARRFPGHRELLLYLQDFCECFGLREMIRFYTRVENVKFFESTDPFSEDLRWVVRSIDYKNEKVVEEVFDAVVVATGHYSHLRLPTIQGKDGWRKKHLHSHIYRVPEPFRNEVVVVVGIANSGQDIAMELVEVAKEVHLSAKSVDICEGLSKVISKHDNLYLHLEIDSLHEDGRVVFVDGSCIAADTIIYCTGYTCSFPFLDIGGIVAVDDGRVGPLYEHTFPPLLAPSLSFVGIPKKVIAFPFFEWQAKWIAQLLSGKKSLPSRADMMRSVEEFYELKDHAGVPKRNTHDIGNFEYCEKYADLVGVPHLEEWRKELCMAAIKKSEVDLETYREYSYDRELLQVPHHYLSPKPL >KZM96924 pep chromosome:ASM162521v1:4:8720940:8722094:1 gene:DCAR_015714 transcript:KZM96924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRTSITSLSKELCSEIFSNSDPKSISICKSVSKSWNCIVSDTEFTKLYSQKRPPITGFFYQSSVLNPEKLQYVAVSPHQEYISDPPSCLGFLDSPAILVNSCNGLLLWLVEDTKLVISNPLTKKYIPLDWTFDFVEIYACGIAFDPSISDHFKVILLVVESVGCFKSRVFSSEGMNWGDGIESQVPFKKGLPISSYKGVFLNGIIYWELKNQSLLAYNVSDNTSCLIGLPMIKENAMKLSFKRQPACLGEFNGNLHYCRVFGSMLNVWGSKQMPLVSSATWALKYQIDLCSVTNTYPEMKFVGSQALAFVDDCNGILMSIEQWIIEVNFLYKAVQRRYRTRINTPSAIEAAACVHPSMFFPFKQSLALFNIRKKAHSIHSDD >KZM97951 pep chromosome:ASM162521v1:4:19733209:19733518:-1 gene:DCAR_014687 transcript:KZM97951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPLIYVTLLFLLAMYTGNVLVAADCTTHYSEPGGAKCDAGACRSKCSGAFRGGKGRCELIDTCVCHHPC >KZM98332 pep chromosome:ASM162521v1:4:22991964:22996173:-1 gene:DCAR_014306 transcript:KZM98332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSSEEDEGFDSYRKGGYHAVRIADTFASGRYIAQRKLGWGQFSTVWLAYDTQSERYVALKIQKSAPEFAQSALHEIEVLSAITNGDPTNSKCVVRLIDHFKHVGPNGQHWCMVLEFLGDNILRLIRYNRYKGLEINKVREICKCVLTGLDYLHRELRLLHTDLKPENILLLSTINSSKDPIKSGTAPILEKPEGVSNGSATMSAIEKRLKQRARRAVATISTKRGGFVSAPKPPRCLDGIDMNCKIVDFGNACWESKKIAEEIQTRQYRAPEVILQSGYSFASDMWSFACTAFELATGEMMFAPKGTQGFSEDEDHLALMMELLGKMPRKIAIGGRRSKDYFDRHGDLKRIRRLKYCALDRLLVDKFKLSNTDARELAEFLSPILDFAPEKRPTAQQCLQHPWLNIKNPDS >KZM97433 pep chromosome:ASM162521v1:4:14671711:14672788:1 gene:DCAR_015205 transcript:KZM97433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCGLVLVLMWTLGVNGKDVSSLISRSMFEKLLKHRNDDICPARGFYTYEAFINAAKSFRAFGTSGNRDTRKREIAAFLAQTSHETTGGGPGLPDGPYAWGYCFKEEQNPTDYCVPDPEWPCVPNKNYHGRGPIQISYNFNYGPAGKAIRSNLLKNPSLVASNPTISFKTALWFWMTPQSLKPSSHDVITGVWKPSAADSAAGRVPGYGVVTNIINGIECGIGSTPGGEGRIGFYKRYCSIFGISPGKNLDCYSQKPFGG >KZM97381 pep chromosome:ASM162521v1:4:14045064:14054448:1 gene:DCAR_015257 transcript:KZM97381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSLSQKELDADGRDAYGFAVRPQHVHRYREYANIYKEEEMERSDRWKDFLERQAECAELPVKGLSVEENRPALHAEANEVEADASLENGIAGVVSDSKKSKADCLTENVVENDEVPPIKLDKICENLTWTKIRPSLGIIEDMMSSRVKKTINKITKDEQTISHLSVEDSRPAKGGRVEDSGDDFYDVDKSESFHKVPLSDSVSALDNDIGGNVPNFESFIPWKEELECLVQGGVPMALRGELWQAFVGARTRRVEKYYQNLLDQDNGGISVHQNSDIDNPLGSLAEEFICIPEKWKVQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLLGILDDYFDGYYSEEMIESQVDQQVFEELVRERFPKLVNHLDYLEVPVASVTGPWFLSIFMNMMPWESVLRVWDVLLFEGNRVMVFRTALALLELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYPNVNESRLQELRNKNRPAVRAAAEERSSRLKALSGSANSDKLAINSNEDPEHDSVPDLQEQVVWLKVELCKSLEEKRSAVLRAEELETALMEMVKQDNRRQLSAKVERLERVIVELREALLNKHEQENAMLQVLMRVENDQRVTEDARLFAEQDAAAQRYAAQMLQEKYEAATASLAEMENRVVMAESMLEATLQYQSKQHKPRPTQRSIQQDSSAVQNIQAPLQDSPERKLGLLSRPFGSGWRDRHKQQGKSTNNSDGPNEQKEHMTTPEGESLSTQTRDIQAASAPQVQEAK >KZM97159 pep chromosome:ASM162521v1:4:11619508:11620297:-1 gene:DCAR_015479 transcript:KZM97159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKNHRKKHEEKSRKPSSFHGRTAETMAVAKLNRPRTAPDLFPGRRVAGVASPEVKPTKLTKLLLNVTVQRSLGAVMVIMSPESTVGDLIAAVLRQYVKEGRRPILESTNCDDFDLHYSQFSLESLDKEEKLINLGSRNFFLCPKKSDTMAGIRPGSCSKEGELCPRKSKTVDNCGGVRAAACSNEAEEEWMTQIAWLKLMELLL >KZM99692 pep chromosome:ASM162521v1:4:34061635:34063943:-1 gene:DCAR_012946 transcript:KZM99692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAQDPFYIVKEEIQDSIEKLQSSFHKWEDLRLDGDERAHLTKEILAGCESIQWQVVELEKTISVAARDPSWYGLTEVELEKRRRWTSTARTQVSNVKNAVVAGRDNLNASATRRELMRLPDSNQSDRSNQYIARNNDDFISSESDRQLLLIKQQDEELDELSASVERIGGVGLTIHEELLSQERILDELGTEMDSTSNRLDFVQKKVAMVMKKTSVKGQIMMIFFLIVLFIILFVLVFLT >KZM97089 pep chromosome:ASM162521v1:4:10676230:10676550:1 gene:DCAR_015549 transcript:KZM97089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSSQSQSTSSAGSTRPGPVGIAPRGTAAATAGMRRRRLGGSSNSSSVSASSGGSNMLRFYTDDAPGLKITPTVVLVMSVCFIGFVTALHVFGKLYRYRSGAGN >KZM97914 pep chromosome:ASM162521v1:4:19406416:19407662:-1 gene:DCAR_014724 transcript:KZM97914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHPHSNHTLTAPQPEPQPEPEPTPEPEPEQPPPSLPPPTPPTKEETPPVHTEMVDSEQPKNKMKFMKDRLIMLAFLLSLPIIAIIAWLLFMHDGYDCEYLLRMNKLYVGIVSALVVLLVLDCAALFMITKPALRMPAVILVMIPVIVVFIVGLGVVGGFQMESRSMPGSPQRLKLHIYDTNRWSSIKSCLYDKSICQILAYRTSIKPYDYTVKKLSTVQAGCCRPPASCNMEYVNATYWERRDASEDKSRAHDSDCDVWTNQESILCYNCNSCKEGFRRTVGRKWIILGSLLISVASLLFIVHLILFIVSMFESVGR >KZM97586 pep chromosome:ASM162521v1:4:16013412:16016527:-1 gene:DCAR_015052 transcript:KZM97586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRESEIKAASASASSEPPTRVMMGVNESTIRGFDEVDSIYASPEDFRRLKRRDRIRGHDLLEYFVACEAWIKRGDPKEVICHEVTRVQPDLLVVGSRGLGPFQRVFVGTVSEFCAKHAECPVVTIKRSAEETPQDPVDD >KZM97334 pep chromosome:ASM162521v1:4:13649867:13653107:1 gene:DCAR_015304 transcript:KZM97334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTISSNQTIKDGETIVSAGGEFELGFFSPGSSTNRYLGIWYKKISHGTVVWVANRETPLNNSFGMVRVSGNGITLQTVNGSDGLIWSANTSKSLKNPSLQLLDTGNLVLWDEDHNINDSEDFIWQSFDHPGDTQLPGMKFGIDLVTGINRYYTSWKSANDPSLGNFTYKLDSNGFPQFILRKDSVIWSRFGPWIGPAYSGIPNYYQSGYYKYTFVSDGREIYSQFDLINKTPAIMRVVLTPTGEAKILMWNNQQQIWEVNLAQQFTDCDNYGSCGAYGICNINKTPRCECLRGFVPKFPKQWEAADWSNGCVHRTNLVCGTEEDFVKYSSVKLPDTRHSWYNMTINLQECEELCLKNCSCTAYANADVRSGGHGCVLWFTGLIDIRDNIEGGQDIYAWISYNEEKLLQLIDDMIVESCDQTEALRLIQIGLLCVQHDPKDRPAMSEVVFMLSNNTKLAQPKQPGFFMERFLFEVDSISNPNTKSSSNELTITALLARE >KZM99428 pep chromosome:ASM162521v1:4:31957589:31959513:1 gene:DCAR_013210 transcript:KZM99428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEDRLRSYFGAYGEVVEAVIMRDRTTGRARGFGFIVFADPAVAERVVMEKHMIDGRPVEAKKAVPRDDHHITSKNSNSNQGSPGPGRTKKIFVGGLASTVTENDFKLYFDQFGTITDVVVMYDHNTQRPRGFGFITYDQEEAVDRVLQRTFHELNGKMVEVKRAVPKELSPGPTRSPVVGYNYGLGRTNNFLNSYAQGYDLSPIGGYGVRMDGRLSQVLSGRSGFSPFSSLPYGMNMGLEPGLSPSFGGNSSFSDNLGYERILGLNYGGNLNRYNTPIGYNVGNGRSESFLNSPARNVWGGNVDLNSSANINPAGTFFGSGNGSLGVIGNSSANYGSSPVSAQVVGSASGYNSRNIDHGGGENNYRLGATGIGRNIGPGRAAISPFVASTGDYERSHGDLYSANSRFVDAPWQSTSAEVDDSSSFRYGLGTAAESTVISSEGFVGSLGATGIGRNIGPGRAAISPFVASTGDYERSHGDLYSANSRFVDAPWQSTSAEVDDSSSFRYGLGTAAESTVISSEGFVGSYSIADRQASRGNTVLQRMEFEK >KZM98844 pep chromosome:ASM162521v1:4:27331180:27331341:1 gene:DCAR_013794 transcript:KZM98844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASVQKEGLSSTCLCVVDSELVIAESLRLESLKRRGGGENLENNDGEARVMC >KZM99513 pep chromosome:ASM162521v1:4:32672280:32675134:-1 gene:DCAR_013125 transcript:KZM99513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNSEIKNDEDLKVHVFSSASELLEKLQQKWDSVKKPYPAMYSSVYGGIILDPAMMVIPIDDHMVHRGHGVFDTAIILDGHLYELDVHLDRILRSAAKAKIKSPYPRSTLRSILIQLAAASQCKKGTLRYWLTAGPGDFLLTPGGCPTSAFYAVVIDENFSQCKEGVKAITSTIPIKSPMFATSKNVNYLPNVLSKLEAEEKGAFASIWIDDEGYIAEGPNVNVAFITHDKELILPFFDKILSGCTALRLLELAPKLVEQGRLKSVKTANLTLEEAKGAAEMMYVGSTLPVLPIIAWDDKPIGDGTVGELTMALSDLLWEDMVAGPEPQRLKVPY >KZM97640 pep chromosome:ASM162521v1:4:16468440:16469413:-1 gene:DCAR_014998 transcript:KZM97640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLIKNFSSTNGSQRAAVYPGRSATSAYYYGAVGAMVVYDMTKRQSIDHITGWLDKLRAHADKNIVIMLIGNKSDLGILRAVPTEDAQELAEKEKL >KZM97383 pep chromosome:ASM162521v1:4:14075076:14088569:-1 gene:DCAR_015255 transcript:KZM97383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRIGAAEGKGISGDDESMVNRDADGKNSRPVVQEQHFLQRYPQQQLQGPTVRWERFLPVRSLRVLLVETDDSTRHVVSALLSNCSYEVTAVSGGPEAWKILEDSSNHIDLVLTEVEMPSLSGIGLLASMMNHKSCKNIPLIMMSSNDSMGIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSCHSSGSESGIRIQNNSKSSVEVSDNNTGSNGDDVNWSTGLNVKDGSDNGSGTQNSWSERMVEVEKSRAVTLSDRVGGPADSTCAQVCQSRSRARGCNKEEVEHENVTMGKYLETAILKNPDASLEQPFRKESKKDNEESEKRIVEVNNEAVKDNSRMGNSDYMCVITNSSNPQIESVPTEDTLSKIINTKDKNRDENNALPSLELDLNKPIDDAKIKRNILRHSDLSAFSRYNITSSVNGTPTGNVGSCSPPDNSSEAEKTKMVHNLESNSLGTPNQICGNSNNDDMGSSTNNNLSKPVCDKQKATSLVNAHPSFQLFKDSDNSSMKPELQGLADTGKAQERTAHRQVQVQHHHHHYHHHHHHVHDMNEKEQLTDHNSLSFKSNASASHFGSSNVANAPIEGNAANFCMNGSASGSNNRSGAENGSSGQNANNIAGTVEGTVMAGESGGSRKCGGEDLHGSGRKSGLDQNQSAQRAAALHKFREKRKDRCFDKKVRYHNRKKLAEQRPRVKGQFVRQAVVEDKISDPNS >KZM98053 pep chromosome:ASM162521v1:4:20688030:20695337:1 gene:DCAR_014585 transcript:KZM98053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRPVEKRPIVCLDSTFANENQDRIVLPRVNTDDADDISEDKVTATLRRALSFHSTLQAHDGHWPGDNGGPMFFLAGLILGVFEWSGNNPLQPEIWMLPYIVPAHPAALINCFHLINPSELFGGQSVDYP >KZM97167 pep chromosome:ASM162521v1:4:11706142:11706525:1 gene:DCAR_015471 transcript:KZM97167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLTPPSAAPQTLTPLTIAFENLAISDTSIETDMIKTHMHKPDVIRKISREESHRRALIRQLYRTLKADNDPHTTNVVSSKERLKQVEHQLRALKRLGDQEFVKSVRLLCFLAKKDTRRVELRRRD >KZM97218 pep chromosome:ASM162521v1:4:12364906:12367721:-1 gene:DCAR_015420 transcript:KZM97218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNPSKPDGPTFTWHRIPGLDSSPCAPTSACNSGAKLQLSSAGFACVSLVEKREDREFAPSMAQLLKYPLAMFALVPREAALFAAGAVAGAAAKSCTAPLDRVKLLMQTHGLRAGGEGARNSFGFLEALAVIGKEDGLRGYWKGNLPQVIRVIPYSAVQLFAYETYKKLFEGEDGELSVIGRLAAGACAGMTSTFVTYPLDVLRLRLAVEPGRRTMSEVTLNMLRDEGLAAFYKGLGPSLIGIAPYIAVNFCVFDLVKKSLPEKYQKKTEASLVTALVSATIATLMCYPLDTVRRQMQLKNAPYKTFFDAFPVFYVVGIVERDGLAGLYRGFLPNALKTLPNSSIRLTTFDTVKRLIATGEKELQRIVEDNQKKQKQSATDYTV >KZM97318 pep chromosome:ASM162521v1:4:13477754:13482936:1 gene:DCAR_015320 transcript:KZM97318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGTREESPVIPNAHHPGHLTARSDVYSFGVVLLELLTGRRSVDKTRPSKEQSLVDWARPKLNDKKKLLQILDPRLENQYSAKAVQKACSLAYYCLSQNPKARPLMSDVVETLEPLQSSNVKELSPSVPPMMGAGGSFAMGGFSEYRMHHRFAGTVGAPAGFRSPNPNCSPGGPAACRVR >KZM99860 pep chromosome:ASM162521v1:4:35397631:35401965:1 gene:DCAR_012778 transcript:KZM99860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFMPASKAIGRILLRRNGARQVASCNKGTGELGSRVRARWLSSVGCVDRYPLVGDPVGSVRKDDCFSIGGSTCSGFVQKRGFLGCGDGEEGNVLAKVYEEKRILGYSPEQLFSVVAAVDFYSDFLPWCERSDIVKRFPDGSFDAELEIGFKFLVESYVSHVEMKKPTYIKTTSSESRLFHHLINIWEFNPGPVPGTCSLYFLVDFKFQSPFYRQMATMFFKEVATRLVGSFNDRCRLIYGPEVPVAKYSSEKQTT >KZM97029 pep chromosome:ASM162521v1:4:9929652:9932117:1 gene:DCAR_015609 transcript:KZM97029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPMLRTCTLVPAQTTPTKNPYEERRNKQVLENREKFKELGLDKFLPNPNPPAVKINKEKDKVQEESDEYILENESEEEDSEDSSKSPKKKKIAPGPRTRSRANDANLCEKDPLHATRKKASKKVPAKEGVESSTAPKLLNPTCSKLLKQCGDIQSGSIAAYVALRERQKQNLELDPRIEDAGESSLPNEVEEGEPVKVFNLVATLASLTLDSIDEVLKKGNDANELLPSGEHGAEWLVGRKGDMPSSENNPTTPQPSVADLKQITQELEAKFNRKLQGNMAWMLKKLAEANPGMKIDIGDFCAAESSDHDENGTPFGSGTQVTPLASGTQATPFPSGTQGEGSERNTI >KZM97184 pep chromosome:ASM162521v1:4:12003476:12004441:1 gene:DCAR_015454 transcript:KZM97184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIWEIPDKGYYKINVHVEIVHDPLNNTTRMSVAAIIRDDKGVKVWGSMGPVSGLTEEQALMAGIQAACIEALKKDWNLIHIETSNLNVFDTLSVQEHIVLREDQVEAYGLFNTVHGNNFREGKNRRVAWIPDHMNTTAQYMAIYGLSHCSSFSECPGLFGDLKFHLDRDMGLVLPGPVGDLPANFGDGEVIDGPPPPPAVSRKRKRYDAHYDHMELDVNEAEILLSLSDWMNHPDDLSPRAAPSPPAEAPLPLPISKGKEKLYENFPSADGGWTILGSSEEQNQLKFLRHLQASVEHEGPPKSMVYTGETSRLRRAASL >KZM96917 pep chromosome:ASM162521v1:4:8678580:8678991:-1 gene:DCAR_015721 transcript:KZM96917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNPSAAIDIDTIFFVFKVLHVFDLNAPATSTERPPLSDLTNQSFRPNGADTNDNYLGFLHGICGKSWNSESIVWKTLARDISFLQISQ >KZM96934 pep chromosome:ASM162521v1:4:8892512:8893758:1 gene:DCAR_015704 transcript:KZM96934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLGDSSSSKTFLDAAQKNSSKPPDLGFHMGLTLHSKSTSDGDDEDDYVDIDDRSSQENVKDKSSALHLLSLAPLRFANQSDNGSSEGGSSGNVRVARGFDVNRMVAAEEATSSFCMDLAKYRGGNKRSLEDGRNDGVEGERGSNSRASDDDENGLARKKLRLSKEQSAFLEESFKEHNTLNPKQKHGLAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKKCCETLTEENRKLHKELQELRALKSSTPFYMQSPATTLTMCPSCERVATATPPSTTAAKTAGTTSTSTPSPFPLSRSKFFPFSHTPTKAS >KZM97444 pep chromosome:ASM162521v1:4:14753809:14754552:1 gene:DCAR_015194 transcript:KZM97444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLEKRGSIYFLTLTGSNDHRLNPSLLDSISTALHHIRTEATATPSTAAALVTTGEGKFFSNGGDIPWVQYNKDRLLLLMSKVRSLLTDLMSLPVPTIAALNGHASGQGYILALCHDYVFMRKDRGFLYLSGLDIGEVLPTPFFKATLKAKISSPAVLCDIVLKAEKMTAEVALQKGIIDAAYDTVEETVAAAVELGEQLARRNWNGQVYAENRKFLFDDVLHALTIAETDEMIKNSRVFEPKSRL >KZM97998 pep chromosome:ASM162521v1:4:20233309:20233938:1 gene:DCAR_014640 transcript:KZM97998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGLRSIDFGSPAYLKNENGGGTIYFMSDWNKYLTKDSPFYWPYIMSYNFGNSISRFLKIPRPARKGVLDDNCKFGVFKFRDPECGTESICLIRLMKLVFSVWVLTDVDLNTWRMIMKARSKAMGLYEDSRPTISGFTVMNGNLLVIATSDNQLFTYTLTRDLTTSSNVKRAAKIGSHDQCGREDVCFYSYSNTLRPCGHGELPLPLQ >KZM99862 pep chromosome:ASM162521v1:4:35408617:35410868:1 gene:DCAR_012776 transcript:KZM99862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRLRVNGNDAESQGRMICQSSWYEVRAEHLEGKTVVLHFVPLVPWNPHLRLYVESLIDTYNALHPYGDFEVVFVGVKIGTFPSDQHTLLNPSLEKCFEEKFSIMPWTAVPFSDIVSQKSLERRLRFPFSRFVYDVYTVSVVLDPSGLVLQCQADDFFLWYGARAYPFTLEKIDYLMSADKEMSKHPSVTRLLTSFGRDYVINRDNQEVPVRDLENKVVALYFYEHCPYDDLTEEIQVVYEKLADRAQFEIVLVYVHDSFDSCEFASEKSFCKILNKMSWLALPFKDPRCKYLKRIFNYPAELNGWDPDPRLVIIGPQGKYFEPYGADILNRFGMSAFPFTRRRAAELESESEYIKSLKLDMLLDPRTSFSRANGTKVKLSQVMGKRIMLIIENGWGFRYPEFWTKLKEIYLKMKNTENEFEVLHAPYEYVADSYAKYVGTIPWLRHSTFRLQSKKYAQLQRVLRGGVGLLAFDPDGRIVRKTQYPSIEEENFPFYAGSLFEEALRGLAKKLEWDRWLVEV >KZM96734 pep chromosome:ASM162521v1:4:5490225:5495111:-1 gene:DCAR_015904 transcript:KZM96734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGIEVKPGKPFALKFDDGLRRRLHISQATLAIGSSKQTSLVQCNVGDKSPVLLCALLPDKSESLQLNLEFDEDEEVLFSVVGPRGVHLTGFYTSGGRSFNDDGSESYGEDIANSENEESGQQSDEDEYEDSFIDDDENLEVLPHCTLPTDGAVDDDIDMNKTNNKKKLKKRSMVVESDDDDEFISWQQNTGKCIRSVLKSDSEDSVPILSLCKNKKAEKNTVSESNICGDPVKNDDPKRTTPKKKSKGAASEGKAVDAANNNNEGDEAKQSEAKTNSISVAQDPIVTNEILDDPSMNKTVSKKKGKVVSQEVKILEVDAVQYSDPGDKVKESEAATNSIVVSQDPGVSNEIDGYPDIDNIISNLLPKPELEVESGATPKKKRKERSKDEKIPEANTDNHTQSFPEKKCMKADKGADNVDQDLHMGNEHNQSETGFAADTLLPTVEEGSERPKKKRKKLQDKQTSTKNDLDVPGRKADENTSEKAEKKKKNKSKSKVQKNDENMTVSLNGEKENPINEVQEKNVISGSSNVRTLSNGLVIEDLESNKSDGREALQGKKLKVQYTAKLKESGEMIDSNCNAPVKFRLGDTNIMEGWNIGLEGMRAGDKRRLVVPPSLGNWSSGSEENVPPESWVIYDVEVLRVYSK >KZM99086 pep chromosome:ASM162521v1:4:29312793:29313944:1 gene:DCAR_013552 transcript:KZM99086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGRMSDPPSGKKTAAEALKRAPHEKPPFTIGDLKKAIPAHCFEKSLVTSFRYLIQDLLMAYALYYVATNYIDQYLPSPLNYVAWAAYIAVQGCVLTGAWVVGHECDHDAFSNYNWINDLVGLVVHSSLLVPYFSWKISHRRHHANTQSLENDEVYVPRFKSNIRNYYKLLNNPPGRVLVWLTTLLIGFPLYLMFNVSGHKYERWTSHYDPHSPLYSDRERKEIIISDIAILAVIYGLYQLVLAKGFAWVFCVYGGPLLVVNGWFVLITILNHTHPSLPYYDSTEWDWLRGALCTVDRDYGILNKVFHNVCNAHVCHHIFSMIPHYHGLEATEAMKPILGEYYQYDGTPILKAMYREMKECIYVEKDEGETKGVYWYRNKF >KZM98706 pep chromosome:ASM162521v1:4:26273878:26275426:1 gene:DCAR_013932 transcript:KZM98706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSLFFFLVMVFPFYYVSGIGINYGTLGNNLPSPKRVAQLLQSTLIDKVKIYDTNSQILEAFSNTGIDLIVAVENNLVKNISADISAADEWFSSRLMPFIPSTSVTVICVGNEYLSTADGDGDNGLDPKALIQAMQNIHAVLLARGLDRKIKVTTPHSMATLASSFPPSSSTFATTLMPIMTEVVSFLADTVAPFMINAYPYFAFRDNPETVNLDYALLGNASGVHDPRGYTYTNMLDAQIDAVHSAINALGFGNRSIDIIVSESGWPSKGEPAETAATPDHARTYNTRLIERGQSGQGSPMRPKDNVQIFLFSLFNENEKEGVASERNFGLFNGDGSKVYEVDLSCEFCSGGDKFGFGEKVVSGAVRGPSVWCVAKPHADEKVVQAVLDFCCGPGGVECREVYENGRCFEPNKIHAHASHAMNSYYQMHGRNYWNCDFKGTGLVTFSDPSYGTCRYSQQ >KZM98606 pep chromosome:ASM162521v1:4:25383054:25385961:1 gene:DCAR_014032 transcript:KZM98606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F3'H-2 description:Flavanone 3'-hydroxylase MAQTYGPLLHLRLGLVHVVVASSADVAAQFLKTHDAKFSNRPPNSGAKHIAYNYQDLVFAPYGPKWRKLRKICSVHLFSAKALDDFRHVRQEEVGVLVNGLAGAGKRAVHLGQLLNICATNALGRVMLGKRVFSYGTSAGTGDAKADEFKDMVVELMTLAGVFNIGDFIPALEFLDLQGVAKKMKKLHARFDSFLNKILEEHSSSGNADLLSTLLSLKDVEDSEGGRLTDTEIKALLLNLFTAGTDTSSSTVEWAIAEVLRHPKVLAQARKELDSVIGPGRLVTELDLPRLPYLEAIVKETFRLHPSTPLSLPRMASESCEINGYSIPEGSTLLVNVWAIARDPKAWADPLEFRPERFLPGGERPNADVRGNDFEVIPFGAGRRICAGLSLGLRMVQLLTATLVHAFDWTLADGQEAGKINMDEAYGLTLQRAVPLMVHPSPRLSPPAYNA >KZM99606 pep chromosome:ASM162521v1:4:33381334:33390750:1 gene:DCAR_013032 transcript:KZM99606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVISRSTDEFTRERSNDLQRVFHNFDPNLRTQEKAVEYVRALNAAKLEKVFARPFIGAMDGHIDAVTCMAKNPNNLKGLFSGSADGDIRLWDLANRRTVCHFPGHQGAVKGVTVSTDGRILVSCGSDSSVKLWSIPTDTVMDTEDSSSDTPKPLADYVRTNPNFRAVDHQWDGDLFATAGAQVDIWDHNRSQPVNSFEWGVDTVISVRFNPGEPNILATSASDRSIVVYDLRMSSPARKLIMQTRTNSIAWNPREPMNFTAANEDCNCYSYDARKLTEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFEYNAGHSREIYHTKRMQRVFCVKFSGDATYVISGSDDTNLRLWKANASEQLGVLLPRERKQHDYREAVKNRYKHLPEVKRIVRHRHLPKPIYKASALRRTMQEAEKRKEDRRRAHSAPGSMPKESVRYQRQGVLDVSRPCKIFRKTLESPGAMSGAAARSAARSIFQAAASRGGAARFASSAKASRSPFRTSTRTPLANRLFSCPCELSSMQPFHTATASALMTSMLTLSRRGYGWLPEGILCL >KZM97120 pep chromosome:ASM162521v1:4:11125253:11128929:1 gene:DCAR_015518 transcript:KZM97120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNAISTASILSSPTQGNLRRSEVSQLQGQKVNFRPSNGGKRIGRRLSVKAAAKDIEFDQKSRAALQRGIDKLADAVGLTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASILAREIIKLGLLSVTSGANPVSVKKGIDKTILALIEELENKSRPVKGREEIKAIASISAGNDETIGTMVADAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKMVVEFENARVLVTDQKISAIKDIIPLLEKTTQLRVPLVIVAEDITGEALATLVVNKLRGIISVAAIKAPGFGERRKALLQDIAIMTGAEFQASDLSLLIENTSVEQLGVARKVTITKDSTTIIADAASKDEIQARIAQIKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSEYVPAIKAKLEDPEERLGADIVQKALVAPASLIAQNAGVEGEVVVEKVKASEWEVGYNAMADKYENLVDAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKAKPKTPAPGAPQGMSI >KZM97682 pep chromosome:ASM162521v1:4:16831247:16834727:-1 gene:DCAR_014956 transcript:KZM97682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTDRNEKLVEGQEANGHLQDVSARDGVGNSGGGTSKFGGEGSGINKTGDVKDGSEGGMQVFEQQRSQGSSVNWERFLHVRTIKVLLVENDDSTRHVVAALLRNLSYEVMVAANGVQAWRILEDLSNQIDIVLTEVVMPSLSGVGLLCRIMSHKMRKNIPVIMMSSHDSMGLVFTCLSKGAVDFLSKPIRKNELKNLWQHIWRRCHSSSGSGSESGTYTQKSVKSRSGLKSERSRSMSTGSETDGIDEDSDSQSSWTKGVDSSQAMSARDQRTKSSDSTCAQLCSNAETGTETMAPAIRYKEYKEDDEQFDNVRQRKDLAIGKSNMVELQLNNPNEVPFKSADTNKLSYSNPNKTRRGKDLVNHSGHPSNKDKAIITNNPSLQMNSEDLAKTRKGKNKALDNPKDEPEIELNLKRPRVAKDIGKAIETDHNVSRHSDLSAISRINATLNGCKTRDGINVSGSLETVKNESDIRVCPKGNIFCPSPGGVNHNVDMGSVTDKLSINPAVISRDKVTSSEKLEATSAINDLNLLSVFARTEMDLNCQPQQIILVKTDDLASPALLTPIRGSPRELPIQHIHHHHHVHHFHNIDREQPLSNNEDLSYKKLTEESAQLRSLNVFVGPVEGNPGNCSLNKSGSGSKHGSNGQNGSSTVVHAGGTNVESDIHIAGKSGSGDASESGSGKRMDQSRSGHREASLIKSRQKEPRFGNKVMMLNTKLLEHDSSVYSNKFSHII >KZM97125 pep chromosome:ASM162521v1:4:11193023:11194677:1 gene:DCAR_015513 transcript:KZM97125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLCFFLVFLYPFSCFAQGPPSPGYYPSSRVPTIGFNQGFRTLWGSQHQTLDQNSLTIWLDRSSGSGFKSINSYKSGYFGAAMKLQPGYTAGTITCFYLSNNEAYPGDHDEIDIEFLGTIPGKPYTLQTNVWMKGSGDGSVVTGREMKFHLWFDPTKDFHNYAILWNPSEIIFFVDDVPIRRYARKSDETFPLKPMWAYGSIWDASSWATEGGKYKADYSYQPFIGKYNNFKIGGCGANAPASCQPATGSPARTGGLSRQQYAAMAWVHNNYKVYDYCQDSSRDHTHTPEC >KZM98217 pep chromosome:ASM162521v1:4:22035827:22037077:1 gene:DCAR_014421 transcript:KZM98217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHKNELYVTVPSLFRCPISMDVMKSPVSLCTGVTYDRSSITTWLNSGHNTCPATAQILQSTDIIPNLTLRSLIRCWNNSLLVLPDSPAAHNRQSESIAFSPVEQKQILESLKNVVNGNSECYSSSVSVILEFAVFSEENLEIVLNYDGFVTAIVEIFDKFAEIEVLELVILLFEFVIFESREKQKLIVELHRCSLSPFDKILRRGKLNSRISAAKVLESMSMNEETRNKIKQHENLLAELCKFLSSETDRKAIDAALSALIAVTSSKFVKTELIRFGIVRTGAQILSSADQTGPIIEKTMKLLEIVSTCTEGRSAISEDTKCVSAITDKLMKVSDTATEHGIGVIHSVCYLSRDRTARDAAMRSNGLTKVLLVMQSDCSGRVKQMCGELVKVFRVNSKSCLASYETRMSHITPY >KZM98867 pep chromosome:ASM162521v1:4:27496249:27505901:1 gene:DCAR_013771 transcript:KZM98867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGNPNQQPNIPPPQLDMHKFFNPNLQNPNLTSPPFPLPNSSYPPPSSASYPPPAGPYPYPPPQTSPFHHPQQQQFHIPPANLHHQRSIPFPTPPLQPPPNNNPNHGARLMALLSGPQSEIQQQSYMPVMAPLQPTSSGGSDISMAQNLPGLQSGQMVSNVSNTAAAMVQSSPMRMQSSKLPKGRHLIGDHAVYDIDVRLMGEVQPQLEVTPITKYGSDPGLVVGRQIAVNKSYICYGLKLGAIRVLNINTALRSLLKGLAQRVTDMAFFAEDVHLLASASVDGRVYIWKITEGPGEEEKSQITGKIVMAIQIVGEGDSVHPRVCWHCHKQEVLVVGIGRTVLRIDTTKVGKGEVLSAEEPLKCPVDKLIDGVQFVGNHDGEVTDLSMCQWMTTRLVSASVDGTIKVWEDRKSHPIAVLRPHDGLPVNSATFLTSPHRPDHIILITGGPLNREVKIWTSTSEEGWLLPSDADSWQCTQTLELRSSAAPRVEDVFFNQVVALSQAGLLLLANAKKNAIYAVHLEYGPHPAATRMDYIAEFTVTMPILSFTGTSDLLPHGDQIVQVYCVQTQAIQQYALDLSQCLPPPLENMLDRSDSSVSRDVTSTVGLTNFEPSGSKPTETFFASPQARQAVHEISSETVAPAIRNPLTSPSTQVTTSQEAAMLGAESGPLPLPGVNGDSDIASVSSPPIPLSPRLSRKLSGFRSPSSNFEPGSQLNDFSVDQNISEYSVDRQMDTVHRNFSDSTVDDPKREGKKVPQEDNSAVLNHPIKFKHPTHLVTPSEILRATSSSETNYTEPKGEGEPNIQDAVVNNDAHNVEVEVKVVGETHISQNEELASPEELHGFASDRREKSFYSQAADLGIEMARESHALPLEAYIMEESRQVDGARETDAVDQPSSNQEEVQDSLKDISGKFGDSSVPASAPSQTTKGKKQKTKNAQGSISNSPPSSASNSTDIYHEAAVSSSLPSVDAAFSQLQNMQESINQLLNMQKELQKQISVMVAVPVNKEGKRVEASLGKNMEKSVKANSDALWARFQEENAKQEKLLRERTQQILNLVTNSLTKELPVVLEKMVKKEIAAVGPAVSRTLTTAIEKTVSTAITEAFQRGVGDKAVNQLEKSVNSRLEATVARHIQAQFQTSGKQILQEALKSSLETSVVPSFEVSCKAMFEQVDTTFQRGMAEHTSAAQHQFESTHSPLALALREIINSASSVTQTLSTELVDGQRKLLALAVAGASSNSANPLIRQQSNGAIGGLRGEIEGSLDPTRELLRLVSEQKYEDAFTIALQRSDVSIVSWLCSQVDLSNILSMKTLPLSQGVLLSLLQQLACDISKDTSRKLVWMKDVAMVINPGDVMIAQHVKPIFEQVYQILSHQMNLPTTPPNELSSMRLVMHIINSLLVTCGK >KZM97888 pep chromosome:ASM162521v1:4:19029366:19030052:-1 gene:DCAR_014750 transcript:KZM97888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGNLVQLGDGEVYVMKVDGNCAKMESEDIVEQPLPSAIPVSPDVQNVGMNLSSEFTSPKTVLTLPAVDSRQKSNAGRSPDAGSPCTPKEFDPFAPGLDDFMLAPRSSKYLQEPRSSAARSLNFGPSMKVASDGNCGSDAEAISEEGKLLKMLYDDLLEVIVSTQDATIHQETSLDEVLVKHAVLDQFKTPERLLTGVASECPPAPLKFGRKFTNIDRGLCRKLNF >KZM99744 pep chromosome:ASM162521v1:4:34454115:34454564:1 gene:DCAR_012894 transcript:KZM99744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAERLMILVIFVIVSGINCTRTQEKIHGEGICQFPENCTLPQVPPPSTEQPYGIPPPKPQPTVYPPYSTAATPPPPPPAPAYPAVSPPPPTPPAVEGQYSSPSDSIPPPNPYTYLNDTMDSADAPLSALPLFGSTTVFHILFLFAYYA >KZM97741 pep chromosome:ASM162521v1:4:17430896:17436043:-1 gene:DCAR_014897 transcript:KZM97741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLKIHTVDNPQPFYTTPNSSSLHYNPINELRGVAHLFRNLQSLSSSSSSSVGPTYTLSNPSVRSTLLFVAAVPNYLSFDDFLSFCDHHVNYFSQLIFLRNDGMEDRYSVVIRLVDQLSSDGFYCCYNGKRFRQSEGEICHIYFMQAVEYTEFAEIASEPPPGFAELPTCPICLERLDQDTSAIQTTLCDHSFRCSCVSKWTYMSCQVCRLCQHEEEKPTCAVCGTLEIPCVCLICGFVGCGRYEKGHAIMHWNDTQHGYSLELEKQQIWDYVGDKYVHRLNQSKIGSKPVMRSYNNTSEDGGCATCVSNEEYSEIGGALFSSKVDAIVDEYNHLLATQMETQRQHYETLLNEAKVKREVSISKRKEMADYEMRKLQDEIEEAVKETKVIASMNEELVKDQDNLRKKHMDIEESIISTRKLNAEKILDLEEQIRDLKVYIEAQRTLASMTDSDGIRGGTLLPVQSSQSTGNNPKRRTKSGRRRN >KZM96748 pep chromosome:ASM162521v1:4:5744416:5745096:1 gene:DCAR_015890 transcript:KZM96748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLYLLIPAAFYLALLLHVASVRAEDSVFSSFSQLSSLSSEFKNSIGGGKGSPAASPTGAEGPSGDSPAPSPGSKFSLNDLGSMSGGLDSLAKNFKSKVKGAQSPSGADAPGPSGADAPGPAGDDDDDDDDDSRGPSDADDADAPSPSSDSDSPSPSPSISMSSTSKSKPRSKSMSTEVEANPLTSSKSMSTEVEAGPLTSSKSMSTEFEAKPTTSKSMSTEVEA >KZM99157 pep chromosome:ASM162521v1:4:29856533:29856805:1 gene:DCAR_013481 transcript:KZM99157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIIHKVEEKLGLGGDHKDGKHHDDHKKSEHKEGIVDKVKDKVHGDKSGDHHGHGHGHGHGDGKKKDKKKKKHEDGHKHGDSSSGSDSD >KZM99652 pep chromosome:ASM162521v1:4:33763118:33764885:-1 gene:DCAR_012986 transcript:KZM99652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGCSKVYISESRNKAALASIEQAVKQFPEAVIINKFEDDTYNRVGYTLVSKLAPVTSLDSCPLKNAVFAMVKAAFDAIDLGLHQGSHPRLGVVDHICFHPLASSTLDQIAGTAKSVAAEVGLRLQVPTFLYGAAHEEGKSLDSIRRDLGYFKPNLGGNLWAGGLQSNVLPLKPDEGPTEAIEAKGVVVIGATRWVDNYNIPVLSGDIATVRRIAKRVSGRGGGLPSVQSMALVHGDVTEVACNLLEPTKVGGTQVQHEVEALAGEEGVAVGKGYFTDFSQEQIIENYLKLGYH >KZM97985 pep chromosome:ASM162521v1:4:20105108:20105641:1 gene:DCAR_014653 transcript:KZM97985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQTPIQDSSSPIQPPIQPPIQEPMSPPTPDQEPQQNPQADSIQSPIQEPMNTTSPDQEMQQNPQGDTNLEQEEEEEGECGFCLFMKGGGCKDTFVGWEKCIEEAEKNQEDIVEKCFEVTAALKKCMEAHSDYYEPVLRAEKAAEAEAVEQLEKEKEKEEGGVVEGEKAKEEEKA >KZM98864 pep chromosome:ASM162521v1:4:27480021:27480191:-1 gene:DCAR_013774 transcript:KZM98864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYRDAEQRQEWVAYLQAGAGIVADSTPEDEHQECENKAAGLARAIDLAESAFLS >KZM96782 pep chromosome:ASM162521v1:4:6271097:6277258:1 gene:DCAR_015856 transcript:KZM96782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELLGLDICANTVVGSDMMRGISGGQKKRVTTGEMLVGPSNALFMDEISTGLDSSTTYQIVNSLGHTVHILEGTAVISLLQPDPETYNLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPDRKGVADFLQEVTSKQDQEKYWLHRDEPHRFIPAQEFSKAYQAFHVGQRMRVDLGTPFDKSKSHPAALTTNKFGVKKMELLKACTSREILLMKRNAFLYIFKSSQLFLMALIAMTLFLRTNMHKESVKDGGIYMGALFFTVTCIMFNGMPEMAITMGRLPVFYKHRDLQFYPPWSYSLPAWIIKIPISVIEAFVWIVLTYYVIGLDPNVGRLFKQYLLLVLLNQMGTALYRCIAAIGRTLVVANKCGSFALLILFALGGFVLSRDNVHVWWIWGYWLSPMMYGMNAIAVNEFLGHQWNRVVPNTTEPLGIMILKSRGFFPYAYWYWIGVGALLGFTLLFNFCFTLALSFLDPIGKPQAVMPEESYEGRSRESIDQGSVKGRSHADKGDQIRRNSHGEANHNRRKGMVLPFEPHSITFDDITYSVDMPQQLKEQGIPENKLMLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGHITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSDVNSERKKMFVDEVMELVELKSLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKQGGLELYVGPVGRHSCELIKYFEEIEGINKIREGYNPATWALEVTSSNQELILGVDFTEVYRNSDLYRRNKALIKELSTPQPGSRDLSFRTQYSQSFLIQFLTCLWKQRASYWRNPPYTAARFFFTTSIALLFGTMFWDLGNKRRVRQDLFNSMGCMYSAVTFIGIQNASSVQPVVAVEKTVFYRERAAGMYSSMAYALAQVLVEIPYIFVQTVVYGVIVYSMIGFEWTAAKFFWYIFFMFVTLLYYTFFGMMTVAVAPNPSIASIVSGLFFGLWNLFAGFIVPRTRIPIWWRWYYWANPVAWTLYGLLASQYGDVKDVLEDEHVSVAEFLQTYFGYEHDFIGVSAAVVSGFTLLFAFVFALSTRVLNFQNR >KZM97787 pep chromosome:ASM162521v1:4:17804124:17810376:1 gene:DCAR_014851 transcript:KZM97787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELKLEECCLENKQSAAVSSSSVSETSESINIKSPGVCSPTPDSSVAQRRISGPIRRAKGGWTPEEDDTLKRAVTIYKGKCWKKIAEFFPDRSEVQCLHRWQKVLNPDLIKGPWTQEEDVKITELVAKYGPTKWSLIAKSLPGRIGKQCRERWHNHLNPYIKKDAWTLEEELALMNAHRLYGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLEFYLATGNLPPAAKNVLQTSAKDSYLATSTGKVVCSNNVGSESTMQTSSGTTEVCKIEEDGNQLGLMTSSHDMGVSLGFLHNEPTVSDLAKSKPQSLNIDDNHVYSASEIESIRTNGVDKAIATSSPCRSPVYGSLYYQSPLLEHYLVSNPNNVLERHLEPEPVASPMSNITPPSMKSSSLYGLTPESILKMAARSFPNTPSILRKRKAETPKHSPANESRTADNRAVEGSSLTSDRLGQGTSCLKDAQLHDKMLFESPASSIPVNNGKSFNASPPYRLRSKRTSIFKSVEKQLDFTPSKEQQDSDAKSKEKPAVNEDCCHTSDIGVT >KZM98184 pep chromosome:ASM162521v1:4:21766217:21768729:1 gene:DCAR_014454 transcript:KZM98184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVVAKPSPDSPPKGLQKLKSDNGYVKAGGGFAAARRSTGQRLVPVKDSAKTLRSASTKKNSSAGGSNEEIFARKFELPKTTEDDEVLVDGWPKWLVDNIPRDALSGLIPKSAESYDKLDKIGQGTYSNVYKARDRDTGKIVALKKVRFDTSEPESVKFMAREIRILRMLDHPNIVKLEGLATSRMQYSIYLVFDFMVTDLSRIVCRPNERLSESQVKCYMNQLLAGLQHCHERGILHRDIKGSNLLIDRSGMLKIADFGLANLYNPAKKMPLTSRVVTLWYRAPELLLGATDYGPGIDLWSAGCLMAEMFSGRPVMPGRTEVEQLHRIFKLCGTPSEEYWKKLKVATTFRPPHTYKPNFREAFKDLPKCSLGLLTNLLSLDPSLRGSAHSALKNEFFHTRPLACGLSDLPMLPKEVEEDDVQSKDKRRQLRKSRTRLLSQKQPGHQSEQPVQTLKDDSEYTREVQKRIVEPSGGGEVSRGSTMSSSSMETPTEDAIESKSPPSALSPHIITEEKQASPKIEAPPVVNKNVKSRPPLPTTTKTRSLIRYKEYNDKRYRSNQVQRSVSTREHRKNDTRIHHKLHGLDD >KZM99148 pep chromosome:ASM162521v1:4:29779123:29780511:1 gene:DCAR_013490 transcript:KZM99148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRDSNVSQFEMRPPGNFLRKLFQNLFFLQFLLIIVLVIFLTIRGLVSAHSHHFRPQQWYPPILGSVACAGVVSLSWQLMSSYNPSRTMRAAFWISPLLTCAAGILFVAIGSPGSLAAGALAIVSAVIQSIYSCWVNPRLDYSCRVLSTATAFSPANTSVLRFLAILASTLYSCFLVAGIGGASATRSALDKLFIFLILLSLTWTLHIIKNTILVTLSRIKYMQFAVGMDVNTKDALYETLGHLMGTICIGSALLPVLSVVRGSARAISLISGDTDEFLFSCANCYAGVASRLVAHGNRWGFVQVGVYGKNFGQASRDTWELLGRGGLEPVIESDLTSSFCFLCGMAGGSASTLVGGTWSLLIHKSYATEVSIYAFLIGYFMTRVSMAWQQACILAYYVAYAENPQNQRLDPTISSRIKEIQRLQQIQEIQRSQV >KZM99244 pep chromosome:ASM162521v1:4:30508884:30509156:1 gene:DCAR_013394 transcript:KZM99244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFRSDRKCTNKGSVRISEPVVKSVSRKKKCEDPIQESCESACEPVGLGGMTRFVSGRRSESWIVGEESDAARNDSLEAFRKSGSTRRL >KZM97176 pep chromosome:ASM162521v1:4:11937089:11941034:1 gene:DCAR_015462 transcript:KZM97176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGQWFTIGLVSAWYTSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIVWMKMVPLQTIRSRPQFLKISALSLVFCGSVVSGNVSLRYLPVSFTQAIGSTTPFFTAVFAYMMTKNREAWLTYAALIPVVTGVVIASGGEPSFHLFGFIMCVGATAARALKSVLQGILLTSEGEKLNSMNLLLYMAPVAVMFLLPATLLMEHNVVGITVALARNDVKIIWYLLFNSALAYCVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYFLTVIGVILYSEAKKRSK >KZM98450 pep chromosome:ASM162521v1:4:24089435:24090932:1 gene:DCAR_014188 transcript:KZM98450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGILEKHSLHAKNLGQMERPSLELQLVESEHSIISKQVAEKSRQLRQMRGEDLQGMNIEELQQLEKSLEAGLSRVIKKKGEKIMTEIESLQHKMMEISNKGGKIIPADSATTIHEDGPSSDSVTNSTGPPQDCESSDTSLKLGLGNDALNYIDSRPQFLQIELLRQAKEQ >KZM97775 pep chromosome:ASM162521v1:4:17692902:17693517:-1 gene:DCAR_014863 transcript:KZM97775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDMAQSPLERNNMVSLDQRLAMAKHCSHQGVVAGAKAAVVATIATAIPTVATARMLPWGRTYLNHTAQALIISTVAGAAYFIVADKTVLATARQNSFKQSQGSDIEG >KZM98429 pep chromosome:ASM162521v1:4:23912571:23916299:1 gene:DCAR_014209 transcript:KZM98429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHTLFHTISPLHSTKSPHTQNPSLFLRSNRPHNPISAVHAADPSKTTKATPPLKWSIDSWKTKKALQLPEYPDKNELDKVLKTLEDFPPIVFAGEARHLEERLADAAMGKAFLLQGGDCAESFKEFSANNIRDTFRIILQMSVVLMFGGQMPIVKVGRMAGQFAKPRSDTHEEKDGVKLPSYKGDNINGDAFDVKSRTPDPQRLIRAYTQAAATLNLLRSFATGGYAAMQRVTQWNLDFVENSEQGDRYQELAHRVDEALGFMGAAGLTIDHPIMATTDFWTSHECLHLPYEQALTREDSTSGLYYDCSAHMIWVGERTRQLEGAHVEFLRGVANPLGIKVSQKMDPKELVKLVEILNPSNKAGRITVIVRMGAENMRVKLPHLIRAVRSAGQIVTWVCDPMHGNTIKAPNGYKTRPFDAILAEVRAFFDVHDQEGSHTGGIHLEMTGQNVTECIGGSRTVTYDDLSSRYHTHCDPRLNASQSLELAFIVAERLRRRRVKSPSSLPSLTF >KZM97323 pep chromosome:ASM162521v1:4:13514251:13521366:-1 gene:DCAR_015315 transcript:KZM97323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLSTKPFLGAPNGAGSSRFGSLLPSDLRNFTSSTLQFSARHSHFRKLEIQAVGSTYGTNFRVTTFGESHGGGVGCIIDGCPARLPLCEEDMQVDLDRRRPGQSRITTPRKETDTCTIYSGVSDGLTTGTPIMVKVPNTDQRGKDYSEMSKAYRPSHADATYDFKYGVRSVQGGGRSSARETIGRVAAGAVAKKILKLYSGTEVFAYVSQAHKVVLPEGLVDHETLTLEQIESNIVRCPDPEYAEKMIAAIDAVRVKGDSVGGVVTCIVRNVPRGLGTPVFDKLEAELAKAAMSLPATKGFEIGSGFSGTFLTGSEHNDEFFRDEHGRIRTRTNRSGGIQGGISNGEIITMRVAFKPTSTIGKKQNTVTRDKHETELIARGRHDPCVVPRAVPMVESMVALVLVDQLMAQYAQNQLFPINSDLQESVLKPESANMLV >KZM98236 pep chromosome:ASM162521v1:4:22144700:22145716:-1 gene:DCAR_014402 transcript:KZM98236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAQEDMKLLGMFGIYQESRRIMAPWRRIFNQIALAFILPLCFIILFQSGLSRFHFSRIPYQSRGERTYKSTDQVVHVRLNLKYVAFPFIFSLLSTSTVVHTIACIYANREVTFKKFINVIPQVCIRKRLIVTSIAIIVLMLIYIAVAVVTVAFCSGIGGFASLALTLILSIGYIIGFVYISTVCQLASVVTILEQSCGIEAMKRSRNLIKGKFRMALSLFFMSNVLVWTIEFVFYNLVVSELVSGMWKRALIATFCLAVLVTVYLYGLVLQTISYFVCKSYHNEIIDKPTLSQHLGEYERLYEYPSVVQLRQICLIALSKAVKSINIALDQLLTMF >KZM97545 pep chromosome:ASM162521v1:4:15666134:15666556:1 gene:DCAR_015093 transcript:KZM97545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILDDKLIKMREQFVAGVDEDLEDKLHPGGPSDPLGLADDLDQAALLEVKEVKKTCNVCDARILVAWLSVGIK >KZM96772 pep chromosome:ASM162521v1:4:6071907:6073123:-1 gene:DCAR_015866 transcript:KZM96772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMHKNITSAPNHQSSRHTHTQNAKPTVNAPKSVLVDPFNLSKLDNMLLNVQPGMMIYVPQNGVVHNMFLSAKWENMIFYRGQNYFVHLIGEFYGNMVVQKGIDDVLKISTVVHNKNMLVDVNTLNRCLKLGSQVPFQPCINIYEKFVFDKKEFELFVGYFCDSDVPLGLRDKNCAIEYHHFTPLYQQLAIIIRSNLLPKPKNTQFFDYVDLKVMFQLATNQVEFNINYVILINMIMAFEVEYLPYDLVKPQVSLKDCKPLNVTPVCITPDVMIIGSKQAENKTNADFEKLRVEVENLKEMNLGILTRLDQLESKTKDDSTVGNVEGIDDKMDRLFNEDMVTELGGNDKTEAAVLPSLNDLADDLGFVAVEEPEKA >KZM98568 pep chromosome:ASM162521v1:4:25143654:25148417:-1 gene:DCAR_014070 transcript:KZM98568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKEGENGGGVLEKGGDLMEGEEVVVENCEGKGGRIEGDGDGDQTIEKGQEEKELTKRSVEEKKVNLEESVPRRNLRQRKLVIKDQYDEFVEKYFQEEDEVKPKKRRRKSSMKTNDEENCKMTRGPKKKESDRGNDESASLEGDATSTACKGADALKKAKGGGKTDENVNVLTHVQEVQFTSAQQVMIEEIKQKHISQDKRELFGEEQIAVDMEKQEEELNEMTGAPNGTIQSSEVKLGQGSTDITNVQMKRNAAINRTLVIHPIHDQTFYLTMEHKRRLKQEYDVEPWTFVQKQGDAVFIPAGCPHQVRNLKVDIYCHLGLSFTKLKVLPSVEGSF >KZM98608 pep chromosome:ASM162521v1:4:25428426:25430297:-1 gene:DCAR_014030 transcript:KZM98608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKASMVGPSSSGLQDWFANSSSSSPSAHCRFTGVKCDNNSRVVALDISNIPLFGIVPPEIGLLDKLVTLVLFSDNLTGPLPPEMSNLVLLKLINISYNLFNGDFPGEIVVNMKDLEVFDAYNNNFTGSLPTEFVSLNNLKSLSLGGNYFSGEIPEAYSRFLALETLALQGNSLQGLIPPSLSRLSTLQILRLGYFNMFLGGIPPEFGFLSSLRLLDIGGANLTGEIPASLGNLKLLHTLFLQSNFLTGKLPPELSGLVSLMILDLSLNQLTGEIPLSFVALNNLTTINLFHNQFVGPVPDFISDYPNLESLAIWDNNFSFELPSALGSNGNLKQVDVSGNHLTGVIPKHLCKGGRLMFLILMQNYFTGSIPNELGNCKSLIRIQIQNNFFNGSIPAGFFNMPALEILELNGNNFSGELPVDISGNKFQSLVIKNNMISGKIPPAIGNLVKLERLELQVNNFSGGVPEEISNLRMLMTINISANNLTGDIPASIARCTKLIYIDLSRNRLNSELPSQLSMLPDLSVLNLSQNRLSGEIPRELAYMKGLTILDLSYNNFSGRIPVDWQLKGFSDEILAGNPNLCTPDKMNCPLVTNSSNASHRTITFNLFIILIILVFVLYSW >KZM97461 pep chromosome:ASM162521v1:4:14929026:14931795:1 gene:DCAR_015177 transcript:KZM97461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTPEKKGQGKGAVAIGIDLGTTYSCVAVWQNDGVEVIANDQGNRTTPSYVAFTDSERFIGDAAYNQVALNPVNSVFEAYIGSLVKNAVVTVPAYFTDSQRQATKDAGTIAGLNVIRIINEPTAAAIAYGLNKDYASGSFVGEKNVLIFDLGGGTFDVSILTIEAGIFEVKATAGNTHLGGEDFDNRMLNYFVEEFNRKYKKDISGNSRSLRRLRTALERAKRTLSSYTQASVEVESLYEGVDFSSKISRAKFEELNLDLFLQFIEGERVKSTDNRLLGEFVLSGIPPALRGVAKLSATFDIDANGVLTVTGEDNATGVRNNVRITNSMGRLSKAEIERMISDAEKYKFEDELQAKRIQAMNTLENYLKTKRDFIHSIQVAIPVAARTSLEDAIGNTFRWLDGNRQAEVYEFEHKLQEIEHRWNQVFGGSGGGEYSGGLPKRQCTRP >KZM98747 pep chromosome:ASM162521v1:4:26608321:26610128:1 gene:DCAR_013891 transcript:KZM98747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILKQGSHKAPSPLLLLHIIIFIQVAPLIVKAQSLIPPKYDGFVYKNRAATTDSIIIEAFFDPVCPDSRDAWPPLKQAIHHYPSGSISLIVHTFPLPYHDNAFVTSRALHIVNSINSSATYNLLEIFFNDQERFYNPQTLNNSRASVVNQVTQVATKAVGKSNLSAIRSGFLDSKTDHATRSSFKYGCSRGVYGTPFFFVNGFALADGGSPITYKKWRSIIDPLVGKGKA >KZM96946 pep chromosome:ASM162521v1:4:8991075:8991681:-1 gene:DCAR_015692 transcript:KZM96946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFSRKLIMGFHNTYCNIDYAPVNMINADVLTTNDHTIDNLLPSTKYSFMTATPITLNEVTIST >KZM96670 pep chromosome:ASM162521v1:4:3652890:3658524:-1 gene:DCAR_015968 transcript:KZM96670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQGSKLIPCCLVSEYKSSIIEAPDTVDDCSGEAGGLPVFREFTLEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLDNQKRIAVKRFNRSAWPDSRQFLEEAKSVGLLRNPRLANLLGCCCENDERLLVAEYMPNNTLAKHLFHWETQPMKWPMRLRVVLHLAEALEYCTSKGRALYHDLNAYRVLFDEDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLISGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNSKSLVSALTPLQKETEVPSYVLMGIASTASFLPQTPLGEACSRMDLTAIHEILEKIAYKDDEGATNELSFQMWTDQMQESLESKKKGDTAFRHKDFQTAIECYTQFVNFGPVVSPTVFARRSLSYLLCDMPQEALGDAMQAQVISPVWHIASYLQAASLFALKMETEAQVALKEGSALEAKRNATSGH >KZM99727 pep chromosome:ASM162521v1:4:34305246:34308472:1 gene:DCAR_012911 transcript:KZM99727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTLSKRDLALETQAQVTILRPSIHSRRASLVVKFQDIYGFTVEGNVDDVNILNEVRENIRQHARVWWALNNSKGADWYKQTQATSPLKASLKFSSLVNAITLKRMIRKGIPPSLRPKVWFAVSGAATKKSTERNNYYNELLAAAEGKVTVDTKQIDHDIPRTYPGHPYLDTKEGQASLKRVLVGYSFRNPNVGYCQGLNYVAALLLLVMKTEEEAFWMLAVLLEDVLANDCYTSNLSGCHVEQRVFKDLLIKHCPKLCAHLETLEFDVSIVATEWFLCLFAKSLPSETTLRVWDILFYEGTNVLFRVALALFMMKEDELVLTQNVGDAVNRIHLTTHRLFNPDDILTVAYDKIGSMALTNISKQRKKQESVVMAELDERLRRLNSLPRDDKSPR >KZM97949 pep chromosome:ASM162521v1:4:19717022:19720081:-1 gene:DCAR_014689 transcript:KZM97949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIEKKKTKETILRQPVSPETTTSKQKGGPSDHFQNVQVVKGINGLDKVVLRQIRGSSAEVYLYGGQVTSWKNDRGEQLLFVSNKAIFKPPKEIRGGIPICFPQFSDFGSLEKHGFARNRMWSIDTNPPPPTTNSPSRAAIDLILKPSKEDLKIFPHSFEFRLRVTLGLEGELMLTSRIRNANSDQKPFKFTFAYHTYFSVSDISEVRVEGLETLDYLDNMKKRERFTEQGDALTFESEVDKVYLSSPTEIAVMDHERKRTFLIRKNGLPDAVVWNPWDKKSKAMADLGDNGYKHMICIEAAAVEKPIFLKPGEEWIGRQELSTVPASYYCSELDPWRVGGS >KZM98204 pep chromosome:ASM162521v1:4:21898417:21902398:1 gene:DCAR_014434 transcript:KZM98204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSYPRAFWPAGGGLGIAAMTYIGVDYIRHISPAWHARLQPVLWALLALIAIIRIPYYKHWSVEMRSAVVFIASMIFMVGSLLVEMITVRSVTAVLGLDWHRNTPPLPDTGQWLLLALNEKLPATIVQILRARIIGLHHYLMLFVMLAFSVLFGSIKAPGLGIGARYMFTMGIGRLLRTISFGFTILPSPRPWCAASRFHVPAHANRWAQKYYVPYASDVDAMRKLISRDIAYVNPGEYKEEYRPDWGFMSFLSDFLRPYPYEGAWYNLLKKGGGGCNDLLYSGHMLVAVLTAMAWTEAYGGYSSAFIWMLVLHSAQREVREHHHYSVDCIVAMYVGILLWRMTSFLWSIKDASRGRRLEKLEMIQGRLTQAAKDGDVDEVRALLEGVETSSEENHNKGRAMQLFAVGTIFTSLTIVLLAFTLLSDG >KZM98173 pep chromosome:ASM162521v1:4:21690166:21697207:1 gene:DCAR_014465 transcript:KZM98173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQWRKRLSSASVVGYTSRQQHSMKRKKLASPQCDASIASTIVLEWDDTRKSVVAKKEQVGIAQRDLSPFIDAVPSCRNILADIVNVPQGTFDLENLSDVLSSSSLCSGDLHPDAVLKKEHLVKANKKVYYSELQNYHYDMIKDLQILKARWSQMDPENDIGQNFWRSRKHVEKALPTNANKFAVHESDENLTSESCSSAAGDKACSGDELNFRSLQESQKRKGSMEDFHDDASDGLKVVARKRKGEKIQMRNVQSGDGAKYMSYIKVSKEQHQRVKSSMKHSSNSIQSKSLNHVLGDIDTYYVQPYKVFEEEERHKLHVHWSKLANVDIAAALTNWRRRQIEKRQVMQSLSKELAEDKKSNKSMSDDQVGDEESDSSSTEDEGSEISNRLLEDQMNNATTKREPSIAFEDGEEQSPNCLFHQQTDNTAAINEPVMVDGSDCVTIFPQNHVQHNTVVGGNDVFNAMDMGSDENILPGADDLLPDVSNFTENMSQLEVPLSQENPLSVACDVWPAASMSNAYYNPTHVSRDYSSANDFSRGYSQVMEEHPTQLINLKTDMRKVDNGKDLMSRQADDIFLGSYPNQVQSEQFQSFFRGPGSSQYNYEQKPALLSFQPLANMMIENSQPVGHFKEQLHPVALDQRLKDPFMHQSIQENMRLDGLRHALPRQDHFSAPLAPSNLNMQNWGAVANTIQLSGVSQSHPIGDEMSSHNWISGESQGRGGWSGLENTICQNQSSVNGGIGDQSLFSVLSHCNNLNPSPSPRTSFIPEQRFNQPVNYNVAVPTSNILPQMVNQRSYLNVQDTSSGLKGNNMGWTSLPHQNTPVPDSTGKPYLRYWNH >KZM98464 pep chromosome:ASM162521v1:4:24198214:24199905:-1 gene:DCAR_014174 transcript:KZM98464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMKKKPKSKIEEDDQDKTLQTTDYLIKPQSFTPSIDTSQWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYIRYGVMNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEDVCIARLHSGVSDAAKVARALESLTGAVFQRPPLISAVKRQLRIRTIYESKMLEYDAEKHLVVFWISCEAGTYVRTMCVHLGLILGVGAHMQELRRVRSGIMGEKDNMVTMHDVMDAQWVFDNFRDESYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDVEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPKASMKKKLVAEGKLDKHGKPNEKTPAEWLRNVVLPTGGDSIVAGLSAVTEPVKAEPIEESDVKKKKKDKRKLEDTDSSPAPEVSKKIKVEEALESDKKDKKKKKKKGGEEEAAAVSDVETPKKEKKKKSKETDEPVTEEKSEKKKKKKKSKDAENGDSPSAAVGSGDDATKSEKKKEKKKKKKKDGADE >KZM98865 pep chromosome:ASM162521v1:4:27483838:27485751:1 gene:DCAR_013773 transcript:KZM98865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSSAYRVETTSRLAQWRIDSLASCTYRKSEPFKIGKWNWHLAVEKNRSLFIKLFPEASNVTRENPPIASFIIRVVSLLGNRTTLAHPEITNKLWRSSEDFVWSLEVPLMGKFIIDVEFFDLKTASPNGEEPRSIWAEGVTNRESNATAVTALGRMLSDGIHTDIVINTSDGSIGAHRAILAARSPVFSSMFSHDLKEKELSAVNISDMSSEICYAFLSYIYGNIQHEVFLTHRLELLRAADKYDISDLKEACHDSLVEDIDIKNVLERLQCGTLYQLPKLKSSCMQYLVKFGKIYDLQDDLNTFLQSADRDLIFDIFHEVLAGWKGF >KZM99315 pep chromosome:ASM162521v1:4:31037779:31039353:1 gene:DCAR_013323 transcript:KZM99315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKILFVAILFGFLIFVDGNNNHNGSEYSVMKLPEHVSFNAVTSPPGCHQGMLKNDDENERNRGKNPVKLHLKHRSSYRRIKIKESVTESTSRDLNRIQTLSMRIMEKKNQNQVARMNKKAVVETRPAVLPAVLSEPGDSGQLMATLESGVSLGSGEYFIDVFIGKPPKHFSLILDTGSDLNWIQCVPCYDCFEQNGPYYNPKDSGSFRNISCHDPRCQLVSSPDPPQPCKDESQTCPYYYWYGDSSNTTGDFALETFTVNLTTVTGESEFKEVENLMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSDPSVSSKLIFGEDKDLLSHKELNFTKLMPGKENPVDTFYYVEIKSILVGGEVLSIPADTWSLTAEGAGGTIVDSGTTLSYFSDPAYKAIKDAFVEKVKNYQVIDDFPILHPCYNVSGVEELELPAFGIQFSDGAVWNFPVENYFIRLDPEEIVCLAILGTPRSAMSIIGNYQQQNFHILYDTKNSRLGFAPRRCADL >KZM96746 pep chromosome:ASM162521v1:4:5632090:5633971:1 gene:DCAR_015892 transcript:KZM96746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDFVENSTKEDFLEKSEKNKARAEGVEARYKKACLGYARLREMLTHQKNMNKERVQQAKEHKKLDDKENNKLAHKVNEKTRESVVGLSSRKLGYPLPGDICDDAVLDFVRLAVKFNRVTDVVVHMGNYWERDAWNDYINKENVLEVLDNQWLSATGLTFYIRYDYSTHNHGFITML >KZM98414 pep chromosome:ASM162521v1:4:23765619:23766321:1 gene:DCAR_014224 transcript:KZM98414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLGRRVVNFANIPIKLLMPTSFSNITEIALKTIPSASKIEIKRVLESLYGFEVEKVQTLNMDGKKKKRGGILIAKPDYKKAYVTLRNPLSISPDLFPIRLIEEDKKNLNKQSKSSFVEGDEAKKKSHWLEGNGKPEVSGGRWRGRDRGSHMGGGRDRGASQGGAGSSGQVKFPWSSMKSSSR >KZM99590 pep chromosome:ASM162521v1:4:33265229:33265843:-1 gene:DCAR_013048 transcript:KZM99590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNKYASLNFNDIYDKKNNNPTKTLNNNTTTGPSPSLNKTKITNSRIHGNMLVLTRPTPKPISAQTQAHTQTPPPQQPKTTAAPVNPDPTRLVENSDSISLRPLGSTGGSVGVSRLPLPRDSCFVSGKVEKFVPPHLRPGYICKEEKPVSPGKSRQRQLGEEGSRPKSGGGGGYDRMKRGSAGPDFVFRPGSSGYTRPNSSG >KZM97327 pep chromosome:ASM162521v1:4:13563605:13565065:-1 gene:DCAR_015311 transcript:KZM97327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSLTRCSMAAVYPSVLSSSKSKFAAALPMSLLSANGNASRFTMSAEWMPGQPRPAHLDGSAPGDFGFDPLGLGTVPENLERFKESELYHCRWAMLAVPGILVPEALGLGNWVQAQQWAAIPGGQASYLGNPVPWGTLPTILAIEFLAIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKFEEMKLKEVKNGRLAMLAFVGFCVQQSAYPGTGPLENLAAHLADPWHNTIGDIIIPRGL >KZM98728 pep chromosome:ASM162521v1:4:26447964:26450790:1 gene:DCAR_013910 transcript:KZM98728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMFKNPQKLVVQITRKVMDLEWRLLLLLLLPLSLIVFVSLSTTSSLSSLSIFGGNSTRENDAASSPRHPYVNFVESLKNRRDEKRKAELDRSRIAVCLVGGARRFELTGESIVEKILKVYPSSDLFLHSPLDQNSYKLSILKDAPRIAAVKIFKQERVPETESQVRVLTADNSPNGIQGLLQYFNLVEGCITLIRSYQAQKNFTYDWIVRTRVDGYWSSPLSPDNFLKGQYVVPPGSSYGGLNDRFGAGDFDSSVVALSRLSLIPELNSSGFYQLNSERAFKAQLTVRKIPYPFKRLPLSXXXXXXXXPTHLNAYPSALLLTAAMIILQLVLESQWQHCPAMDRSAARSAGHAQFGEWETGWQRLFDRTAGKKLAAVRKRAEVLKVKECVANFEDMRRRSAIWEAPPPLNICELGLSTKS >KZM98870 pep chromosome:ASM162521v1:4:27521817:27524693:1 gene:DCAR_013768 transcript:KZM98870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESRLIAILKKNRKAFNQTLSSSFFSKPQTGSSSSLSRVSNESPFLNPSRYFHSKSTNSPPASISPRNPVIYNGFTSFLKYHKGFQPSLRKFSTAAVAATVCKENKEGLKFLVTAGPHAQKAIGIWLFVSAAWVFSMVVLGGVTRLTRSGLSMTDWKFTGSLPPLSEEDWLIEFEKYKQSPEFKRINKGMHLDDFKFIYWMEYAHRMWGRALGIMFVLPFGYFLRKGYITPQLGVRLSGLVALGAGQGLIGWWMVKSGLEEPISEYSEPRVSPYRLAAHLTSAFVIYCGLFWTALSVVMPEPPTESVAWVNGAAKVKRLAIPISVLVGITAISGAFVAGNDAGRAFNTFPKMGDTWIPDDIFRMKPLIRNFFENTATVQFDHRLLASATLVSIGGLWWATKKLDIHPAVRSLIGSTLGMAALQVTLGISTLLSYVPVSLGSAHQAGALTLLTLMILLNHTVRRPSLSLLKSLPSVART >KZM97551 pep chromosome:ASM162521v1:4:15740092:15742670:1 gene:DCAR_015087 transcript:KZM97551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSHRLKAAASALPHHFLRRRPFGSAAALAYDYDESEHEDGYTTMEDSEGCVTGRDVQWVILGDPMAKRHVYADRLSKLLDVPHISMGTLVRQELSPHSFLYKQIANSVNQGKLVPQEVIFGLLSKRLEEGYCRGESGFILDGIPRTRVQAEVLDKIADIDLVLNIKCTEDCMLKGLLGDQACSACHDFLGMHSSIMTRNLHSKVNTAELNGANLAGVGGDKLRTYAEQSLVHAPPHQDHAFSLRWEVEFSSVREPPFEPSCI >KZM97960 pep chromosome:ASM162521v1:4:19786527:19787557:-1 gene:DCAR_014678 transcript:KZM97960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLDPPGVLSFDWSFNNSSVIFASAILGFLLQWSGALALGETSAVTHVILGQFKTCVILLGGFLLFGSNPGTTSICGATAALGGMSFYTHLNLRKQQQSTKASSRQGSYLLPRSKLSKENGENHDHVDQDDCV >KZM96682 pep chromosome:ASM162521v1:4:3850914:3851453:1 gene:DCAR_015956 transcript:KZM96682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMTEAGCRAVPPFMEAVMLPTLWGDLVDMETCFNDGFSCGACFELKCINSPRWCRSGSIIVTATNFCPPNDPGNWCDPPQPHFDLSEPVFQKIADSFTSKMLEEAKVLHFSTLLRFSTHDSYRKSCNLNNHGKLLCCSTKKIACCWL >KZM97204 pep chromosome:ASM162521v1:4:12190282:12193295:-1 gene:DCAR_015434 transcript:KZM97204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLEKMKLHYNGLTGLIPDSFGNLSSISTFSLSVNNLEGNIPASLAKLKNLQFLGIGLNKLSGVIPPSVFNISSLVKITLPYNQLYGSLPSTLGFSLPNLQVLNLGHNLISGSLPESLSNASNLLEIDVDGSQFTGKVSVDFGGLPNLWWLVLSSNHLEGDLSFMKSLTTCRLLKMLVLSDNQFEGVLPNAIANLSTELLTLRLGSNKLSGSIPSAIGNLVNLTELQLQTNKFSGSIPKSIGNLRMLRRLNLSENELSGSIPPSLSSIPQLYSLHLEKNRLNGTVPPTFGNFQYLQDLDLSQNTLDGTIPESIMGLSSLTISLNLAQNHLNGSLPSKIGALINLAYLDVSDNMLSGEISSSLSRCLALEHLHMEGNFFQGSIPPSFKFLKGLQVMDVSRNNLSGKLPNFLQIISLKKLNLSFNRFEGEVPREGIFRNRTAVSIDGNRYLCGGVPEFHLVSCPQNEEEKGKTFFGLKLLIPILTGLLALVLLMSILIIRRLRKTKKEPSIASSSTRGFFMNVSYQNLYEATGGFSSTNLIGSGSFGSVYKGVLDPDGTDVAVKVLHLYQRGAIKSFIAECEVLRNTRHRNLVKVLTACSSIDSQTNEFKALVYEYMPNGSLESWLHHITRPDEAFNEPRTLNLFQRLNIAIDVASALDYLHHQCHKPIVHCDLKPSNILLDNDMTAHVGDFGLAKFIPDSNSKSYSNQSSSVGLRGTIGYAPPEYGMGSKLSPDGDVYAYGILLLEMFTRKRPTDSLFVEGLDLHKFVKTSLPDQITNIVDPTLLSALEVDNENDDEETVAMNNLGMEQLNVDQMQECLASILNIGVACSGESPRERMDIGDVIKELQLIKEILRASGMNFSSISQSTKFEGSSSRSAATNWKNVL >KZM96745 pep chromosome:ASM162521v1:4:5594276:5594506:1 gene:DCAR_015893 transcript:KZM96745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHMIHQEMVLGLAENIEHHLLEKENEELGSNTSYDAKKSSQTEVTASVSEDKSRKKSEKKALKGWSNLRKIVLEV >KZM97243 pep chromosome:ASM162521v1:4:12723570:12725145:-1 gene:DCAR_015395 transcript:KZM97243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSTESSTKKIHRMKEDRISKLPQNLQETILGFLPIQDAVKSSILSTKWRHCWTMIPNLIFDFEFVDRIMSKLDGIRNPELRAHKLVSVINKVLLLHNGPILKFSLTFPAVDNTEYGFSKEIIHEYIDQWIPLFARKGIKQLTLDESSKLEDFRRHNLSSLDLTHLTLLRVWFPYTPTLGKFTCLTNLELVDATSNFGKSIFHCPVLEKLTLIICEGLFPNNFCAPNLKRHPVTQAKTSNVAKVLGGVHKIKRVSLAKYSLKYLAAGGSPCRLSKPLSYLETLNIFDMNFFDLSEISCLLCLIRSAPNLCKLHIEADSFPEKKDSEEDLKNYCIDDSEDCTINHLEIVTFSKFHHLRAEVELVRFLLGHSPSLKIMSINGYERINRYDESTVADDMLQHSRDSSRAKLRRLDCPDVYDEFDGDLYWEDMDIPV >KZM97939 pep chromosome:ASM162521v1:4:19612927:19613543:1 gene:DCAR_014699 transcript:KZM97939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGVENMAGAAANPPLMMSLLSVPPANNYHRSASRGGGGGLGLVDNKDDVMVLNSASAMIPPPAVTVVLEGRSICQRISLHKHASYQSLAKALRQMFVVDEDTYNSNAAAHAHDLHLSNAIPGHLIAYEDMENDLLLVGDLNWNDFVRVAKRIRILPAKTNSRKGKVVAGA >KZM98980 pep chromosome:ASM162521v1:4:28495976:28496914:1 gene:DCAR_013658 transcript:KZM98980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQVVAMEPSKTQPNGYPPPNPPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTHLIVGCCNDEITHKFKGKTVMTESERYESLRHCKWVDEVVPDAPWVLSEEFLDKHRIDYVAHDSLPYADASGAANDVYDFVKSIGKFKETQRTDGISTSDIIMRIVKDYNQYVIRNLDRGYSRKDLGVSYVKEKRLRVNMSLQKIQEKVKEQQERVGEKVKTVAKTAEMHRNEWVENADRWVAGFLEIFEEGCHKMGTAIRDRIQERLMGQQGEGLSENGNEEENEEYYDDEDGDEEEVYYDEGEQEEEVAKK >KZM97691 pep chromosome:ASM162521v1:4:16964676:16977413:1 gene:DCAR_014947 transcript:KZM97691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLKSAVTRAVTAGGNAVFEVTKAVEARGNAVVHQTGTASEDAKILQGRIAPQSTTRDLKQTVKTLEDVSVSTRGIERVQLLRVWLVALKETIRVFDEFTNSFTKPTVELYVDQDSGGKLMNFYDVFLYSEALEGMTLSMILEAPNEEEVSLLLEIFGLCLIGGREVHEATIKSILNIAHDFSDYEDEVLIRREELLQFAQSAIAGLKVNPDMKRIDSEVSEIQQKLEEMIRLENSISSANKSSQKTELTAEVDKLKVLSESLHSSTSKAEERIQEHRMQKEEAVRFRLSKTNETSQLEKDLEADLNSLERRKIELEAELQKVTSSFIVTKARLYNAREEREQFHQASNQILEHFNAKEDELSRSVATYRAEANACNAFIAFMEATWGFNSSYKSQKEKLVSDELKKHEEYFVDLAVLLLSVYKDELGPAFTNIRKLKDSIEGSQIATGVDIGNLEANKGRKHLEEEYLELEEKIITLFDVVESITKHFITRDAQNSTFVISKGDHRIQELWNSLGNIKEQFETMERPMLEIERPETPGKQLPQRSSSAHMRSPRESFGKSPKRGRTLSLKLITAIPDSKSNLGKGERTPEVLSKYRMTLDDDSREGSTADDIDWEFDDLVTPRSFSTTRKSSPLAKSALEYTLSPLTLSPSAKSVSGSLSPGSLSPLAKPASLSALSLAKSESVSFIMVHTKFSSVSRSPSECASASKGKSRMSPKEVAMKKSKLKGKGKKTNFTIQDNVIAPPKGIQYVIPVREHETAKLNTNNKYEQISDLLEILSVKQFDDFRASWKN >KZM96699 pep chromosome:ASM162521v1:4:4621358:4621540:1 gene:DCAR_015939 transcript:KZM96699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALERIDQDNNVIQGK >KZM99441 pep chromosome:ASM162521v1:4:32074543:32076611:1 gene:DCAR_013197 transcript:KZM99441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPSNLAADEASPEWMNKGDNAWQLTAATLVGLQTVPGLMILYGGMVKKKWAINSAFMALYAFAAVMICWVGWGFQMAFGQNLVEFLGKPDHVTLDQKFLLDQAFLGYFPNATMVLFQFMFAAITLVLIAGALLGRMNFVAWMLFVPLWLTFSYTIGAYSIWCPDGWLAKLGVIDFAGGYVIHLSAGVAGFTAACWVGPRSRRDRERFPPNNVLMMLAGAGLLWMGWTGFNGGAPYVASTDSALAALNTHVCTATSLITWLILDFSVFGKPSIIGALQGMITGLVCITPAAGVVQCWAAIIMGLISGSVPWYTMMILHHKIPLLNQVDDTFAVLHTHAIAGALGGILTGFFAVPKLSRLFFLVPDWEKYIGLAYGLQTGRRAAGFKQMGVQLLGIAFIVCLNIVTTSVICLFIKLIVPLRMSEEELEIGDEAVHGEIAYALWDDGEKYQMSKNNSVYDVDEFPSSMSKNASYELSQMV >KZM97913 pep chromosome:ASM162521v1:4:19391399:19397377:1 gene:DCAR_014725 transcript:KZM97913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKITRRLRSHPITFSRFARTLALASVCKVNRNIRNSKNSGQASLISSGKSGVNLAMKNHKSQPESSDDEEFEGSVQADFAFFDPKPDDFHGVKVLLQNYLDDKQWDSSGFTDLILAQTTVGTVVKIEDDEDNGDQKCIMDIKQFLLEVCQEKSIQQSMETMLGEQAQDVGLLISQRVVNLPPQLLPPLYDALFDEVSWAIEDEPTKELRNSFCFKYYLIVGKIYELKKINNKHNRTSSSEEAIIYTKPEEEILHELCSWSFKFPLHSQGHTAHELKNYKIVGLAMAVEATKVATFREQLRSLIEE >KZM96811 pep chromosome:ASM162521v1:4:6723484:6725000:1 gene:DCAR_015827 transcript:KZM96811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSAVFGVVLFLIVVVSSQQEPQVPAFFIFGDSLVDNGNNNGILTLARANYRPYGIDFPQGTTGRFTNGRTYVDALAQLLGFPTYIPPYARTRGRALLRGVNYASGAAGIRDETGNNLGDHMPMTQQVASFGRTVEQISRFFRGDANALANYLSKCIFYSGMGSNDYLNNYFMPDFYSTGSQYSTKAYAALLLQDYSRQLTDLYKFGARKVIVTAVGQIGCIPYQLARINGNSSTKCNEKINSAIMLFNNGLKNLVDNFNNGQLPGAKFVFLDSFESSKNVVANAANYGFEVTDKGCCGVGRNNGQITCLPLQQPCDQRSKYIFWDAFHPTEAANIVFARISYETTSRSYAYPINIRQLAML >KZM97792 pep chromosome:ASM162521v1:4:17894336:17897975:1 gene:DCAR_014846 transcript:KZM97792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFLVPLCSGIDIFYEQILEKSDYTIISGNPFIKKAGWRKISFYFNVSYEIKDKTIEFDGNRDVQRAEFVVRAHMLGGRFSDGWGSCERREKRFLKPNHDIPSTAETRAKNKACQDLLGIGEHQEGDGWPLGLQPFVRVGLVMNSDLNGSVSSNTLLTASTSAESYSSDLDTESTSSFFHDKTISLGSLIGASSIVGLSRRSAKGRASETFRDKKQYKPKPWLFSICSKLTTDAVTTNRTPSLGHFLEVERRTANIYKRNQSLITYCPGDFSYTSSNPSFHSGYVDPPNRPSPWTSSDDERSNAGLFEDSANEYRTPLLFSCLRRSMTQ >KZM98984 pep chromosome:ASM162521v1:4:28514596:28519215:1 gene:DCAR_013654 transcript:KZM98984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCLHSTFISSECNSLYSTTQFTTNGRFAGRRTDFNNGGLVMVKLWSNCKRSTPTQVIRNLRVYCGLDSRFPDILSNGRAKIFSLCNIEAVMKSKDPFNLETTVKLIAQKQWSQFAAVALVLACTFVIIPNADAVDALKTCTCLLKECRVELAKCIANPSCAANVACLQTCNNRPDETECQIKCGDLFENSVVDQFNECAVSRKKCVPRKSDVGEFPVPAADVLVKSFNINDFTGKWFITSGLNPTFDAFDCQLHEFYTEGNKLVGNLSWRIGTPDGGFFNRSAVQKFVQDPSQPGILYNHDNEYLHYEDDCVRFSFEESDSDPTPTFMSCPVNTGMRAKLESPGTGSFRKMLEGTPEDYLFVYYRGKNDAWDGYGGAFVYTRSAVLPESIVPELERAAKSVGRDFNTFIRTDNTCGPEPPLIDRLEKTAEAGERTIIKEVEEIEQEVEKVKDTEVSLFRRLAEGFKVFLEDEQNALNGLTKEEEEILSGLQMEAAEVEKLFENALPIRKLR >KZM96841 pep chromosome:ASM162521v1:4:7512448:7512756:1 gene:DCAR_015797 transcript:KZM96841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHEVSVLIDPCKESGRPSVGLIIHRVAVHRVRRCPVRLIGSIVRCVRGIDNMIRSDKANRGLPSQERCLKDQRGVQGVMPRKWGRHLEVERSYISGGLHT >KZM98491 pep chromosome:ASM162521v1:4:24412029:24415748:-1 gene:DCAR_014147 transcript:KZM98491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSAHLVDKPEALGEVLNGDRLVSAPYQLDFLIEKDTEVVCKKRLTKDEVHKFRSAVAKDYYFQMYYDDLPLWGFLGKAEKDNKADPGENKYYLFKHLHFEVLYNKDRVIEVNVRTDPSALVDLSEDKEVDADFMYTVKWKETDIPFEKRMDKYSQSSNMPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEETPEDQEETGWKYIHGDVFRYPKYKSVFAAALGSGTQLFAIAILVFMLALVGVFYPYNRGALFTALVVIYALTSGFAGYTAASFYCQLEGTNWVRNLLLTGSLFCGPLFLTFCFLNTVAIAYNATAALPFGTIVVIVLIWVLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRGTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRAFLCGGSTGLFIYGYCLYYYYARSDMNGFMQTSFFFGYMACICYGFFLMLGTVGFRASLFFVRHIYRSIKCE >KZM99162 pep chromosome:ASM162521v1:4:29891412:29892470:-1 gene:DCAR_013476 transcript:KZM99162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANPCPCSDGIFCCPRIEPIFRFAINAYCSVGRLDDAVKMFDCMCRLIDGKADVAMYNIVIHGFVKIREFEKGVRFYERMVKDRVRPDVITFNVLISGYCRNGRFDLALGVFREMKDKGCVPNVVSFNTLIKGFFREGKFEEGVGMAYEMVELGCEFSVVTSEILVDGLCRQGRFLEACDLLMDFSRKGVMPSGFDCFELIDRLCGEGELGRAMEVVNELWGNGNAPSTITCTTLIEGLRSSGRVEEAVELLDRMLRDDIVPDSVTYNCVLQDMCGSGKTVFANKLRLLASKKGLHPDSVTYNILVSGYTREGRRKEGEALIEEMLDEDFIPGIATYNRLRAGLAKRNAHQ >KZM96993 pep chromosome:ASM162521v1:4:9557090:9564382:-1 gene:DCAR_015645 transcript:KZM96993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGGSGKSYSGSSVSHDVARTQKRESTFRSKQNKQSGPQNLGSSSGSGWGHQNSGGSQNNWGSRRSEASLWLLLINKLTKLALLPVVIFCFSKNRCDKSADHMNGVDLTSSSEKSDIRVFCDKAFSRLKGSDRNLPQVVRLQNLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGTVVVMCRDEIPEENDMKNVMIGSATRLESQFRLTYIMIMHLLRVEELKVEDMLKRSFAEFHAQKKLPEQQQLLMRKLAQPTKAIDCIKGEPAIEEYYELYSEAEKYNAEISEAVMRSPASQQYLVTGRVVVVKSQSVSLILFSPITII >KZM96735 pep chromosome:ASM162521v1:4:5505742:5512438:-1 gene:DCAR_015903 transcript:KZM96735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQACGDGVIRVFKLDDVSSKSFKFLRINLPPGGHPTAVVFGDVVTSYVVACEALVGSSLYMYGEEKPKPPADAKQQAKLPLPEMKWQQHKVHDKRAIITLSRTSATYGNADGSTIIASCSEGTDIILWHGNTGKILGNVDTNQLKNTMAAISPNGRFIAAAAFTADVKARYSSKKLLQYEEAGASFYSLGVVWEIVYSKDGSVKEVSRVMQLKGHKSAVTWLCFSPNSEEIITSSKDGTMRVFPIPLQDSTGTTLNYDRLSISPDGRILAVTHGPLLQWLCAETGKILDTATKAHDGDITDLAWAPTGIPMVYLRIAAIIIEARLALEKAQTSGLTGRKHAFTSE >KZM99741 pep chromosome:ASM162521v1:4:34429187:34433927:1 gene:DCAR_012897 transcript:KZM99741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLHRGVSGGGRLSGSMDDLWTDSQMKDKTEKDDIDKIRSYRSFLSLILPFGFLFQDNLPTKNSVGENGLISDQFSPASTRKRHKLIMLFLKISLALIIVLAITGSFWWTISISTSSRGQIFHGYRRLQEQLVSDLLEIGELSSGSARFQDLEFCSDESENYVPCFNSSMNLELGFSKGEENDRHCGPSSQQNCLVLPPANYKIPLRWPTGQDVIWVSNVQITAQEVLSSGSLTKRMMMLEEDQISFRSASPMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCLAEFEASGSQVQLTLERGLPAMLGSLNSKQFPYPSLSFDMIHCARCGVNWDQKDGILLIEVDRVLRPGGYFVWTSPVTNTQGFLRNKENEKRWNIVRTFASGLCWEMLSQQEETAVWKKTSSKKCYASRKSGSGPPLCSKRHDIETPYYGLLQTCIGGTQSRRWVSIIDRAPWPARSNLNSKEIQIYGLGLHPEDIAEDTLNWNSAVKNFWSLLSPLIFSDHPKRPGDEDPSPPYNMVRNVLDMNARFGGFNSALLEAGKSVWVMNVVPTTGPNSLPLILDRGFVGVQHDWCEAFPTYPRTYDMVHAEGLLSLETGQKRRCSMQDLFSEIDRLLRPEGWVILRDTTLLIESARSLAPLLKWEARVVEIESSSDERLLVCQKPFFKRVAN >KZM99587 pep chromosome:ASM162521v1:4:33217721:33220884:1 gene:DCAR_013051 transcript:KZM99587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENISKKWIPELRHYAPTVPIILVGTKVDLREDRQFLSEHPNATPITPAQGEELKKMIGAAVYIECSSKTQQN >KZM96690 pep chromosome:ASM162521v1:4:4424737:4425221:-1 gene:DCAR_015948 transcript:KZM96690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSVESTKSLEQKCCWCGKRAWVNTSWTHNNPGRRFYTCGTMKNIKDVGDRCNFFEWFDQDFSRRAFDVITHLNHRRIYLEEKLKLLEENLAENGEKKKTLKEDVRGLILENNRLKTQLKFCVVLCVVMLAVVLML >KZM97206 pep chromosome:ASM162521v1:4:12218165:12219926:1 gene:DCAR_015432 transcript:KZM97206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEETQDDKIETDDTANMSKTVHVRFQMRRECSFGQHFYIVGDHPLLGQWDPSEAVAFNWSDGHVWTTELDIPMGKCIKFKIILKGGSENIQWQPGPDRILQTLETQNTITVCEDWDSPELQSIVEENLTFNQIEESIITEEGITSSNKEININIDENIPGRGLVRNAEESPADNSDDDMNVLMVEGNDSMMDLKESKLSTEETLSTNKLPLLLPGLNPLPKTQPEEEPLKKVEKNILNEASSVEADIFELNMPELKFEEVLVKDYQEWNQPVQVEKPEFFEDEDEDHPKSFDLVLVNDVHWGVRTLQKLMAKFRFW >KZM99256 pep chromosome:ASM162521v1:4:30559081:30560340:1 gene:DCAR_013382 transcript:KZM99256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPVEVIGNILSLLGAARDVVIASATCRKWRQAWRDHLHTLMFNSNDWPVYHEITTSRLEILITQTIFQTSGLQSLLIVMDDIDEFSAAPVIAWLMYTRETLRQLYYNVRTTPSINILEKCGRQKLEMLSLAHNTITGVEPSYQRFPCLRCLSLSYVSVSALDLSLLLTACPKIESLTLVSLDIAMSDAQTTMELSSPTLKEACVEAISLDKFILEADCLEKLHLKDCTLEDFDLVGKGTLRLLKIDDVSVIHLDIGENTENLEVVDVSNFTIMWAKFHSMISRSSKLRRLRLWGVVFDDEDEIVDMETISACFPLLSHLSLSYDLREGSLQYGLQGSFQLENVVVLELGWTVINDLFSQWVAGLLERCPNLRKLVIYGLVSEAKTHEECTMLANFTSSIVRLMRKYLHIDVQFEYE >KZM97382 pep chromosome:ASM162521v1:4:14056631:14061090:1 gene:DCAR_015256 transcript:KZM97382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTSNLLDEAMAETPNNSVVLIEDCVETSGAFVLHHLMKRSLSPQSSSLLIFVAFAHPFSHYDRILRKLGCNLVMQKDNKRLLFFDMLMPQRSGEKTSHSRCFSHGYKERRGSRPETPRAAFHKIQNDEKKKERNEEEKKRCVYIHIVSEAATSIYEVAGKTGLHALYHKIHKAVEMASLSESNKHIVIMIDDISVMEIAANGCTDDVLDFLHYCHTLTTQFGCLLVVLTHEDIYSVADRATILLQMEYLGDVLVKAEPLPTGLATDVHGQLTVLNKSNCNSLGSSRNKMHNFQFRLKESSAEYFYPGSLS >KZM99864 pep chromosome:ASM162521v1:4:35423691:35428618:-1 gene:DCAR_012774 transcript:KZM99864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSKSDQGKNPFSSLNQIKVRQGSSDGPARVKGSDAAVIDTGSPIASVRDAVSKFGGSPMGSVRDAVSKFGGATNWKANRSPSMERRKSFQESEKVHEEKPVLKKQLETIEEPKTPFIEKRKSFQEREKAKEDTPVLKKETETPVLKKQQESVEGSKNQKFIEKLKSFQEPEKVQEEKPVLKKPPETSDESKNKKFVERLKSFQESETVQDKKPVLKKQPETTGESTNQKFIEKRKSFQESDKVQEEKPVIRKKSESETTEELNQKFVEVRRKSFQEPEKMHEERPVLGKQSETNEKPGTPKFVERRKSFQEHEKELEERHVAKKQLETAEESKNQKFMERRKSFQGPEKVQDDRIFMEVRRRSFQEGQKVPEEKSILNKQSETTGDPKKKTLKERQKSLERVHEDVPVLKKQCERAEEAKNLILKDLNRITKLIEDHKLNLEKAQKEEQQAKQDSEIAKRRVEEMEKEIANGASVGAKAQLEVAKGRTLAAASDLQALKDELNTLRKDYASLMKDQDTAAKKAEKTVSATKEVEETVENLTIELMKTKASLESARAAKSEAGEHRTEAAKARKQDIFNLEKELKQAEEELQKLNQKCSSTKDYSSKLDTSTALLHELKAELAAYMETKQKSETCENLNKTLGEQDKNADYGVLAAIATARKNLRKVKHDTDKAKTELNLLKEAGTPLKSQLESEKLALETIRKKEEMLSVTVASTESKLKRTMAEISPIQAKQRKARADMVEIFEKLQKASEDADEAKSCAKVAREEFQKAIEEADQAKSRADTIEGKLVAAKKEIEAAKAAENLALAAINALQETETAQTPKNKDSRNFITLSLDEYYKFNKQVHEAEVQADLRVAESVSHAEAAEQSKTRSLSKLEEISSELASKKEALEIARQKAEKANERKLSVEQELADRVNHEQQLSCKLDNRNISPRVTSGKATKSKNIAESEAVATHSGPNPKASQVSNGDTDSSSKGKKKKKKSMIPRFFMFLGKKKSQSKGN >KZM97209 pep chromosome:ASM162521v1:4:12242998:12245985:-1 gene:DCAR_015429 transcript:KZM97209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVQTLEGSIYSCKHCKTHLAVLEDIMSKAFSSRHGKAYLFRNVANVTLGEKEERMMITGMHIVADIFCVCCGSNVGWKYESAYEKSQKYKEGKFILERFQILGPNGSTYPRIQEAQIVGSDVDEE >KZM99204 pep chromosome:ASM162521v1:4:30199998:30201395:-1 gene:DCAR_013434 transcript:KZM99204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPRILSLLSLLSLLPFSYPSNNTTITLSLKPLLIPAAPLYRNTSLLNHLTASSLARAKQLKTPQLTKTPLFPRSYGGYSVSLSFGTPPQVLDFVMDTGSSLVWFPCTHLYLCSQCDFPNIDAGNITTFLPKSSSSAKIVGCKDPKCGLLFGPDVQTRCQGCDGTSVNCPQNCPDYVVEYGSGTTAGLLLSDTLVFSNNSVNDFVVGCSIFSDSQPCGIAGFGRGPASLPAQMGLKKFSYCLVSHRFDDKPETSELVLFRGYAGDGFRGGVRYTPFFNPSNPNPAYQDYYYVSLRKITVGGVHVKVPFKYLLPAANGSGGTIVDSGTTFTYLDQEVFELVAQEFEKQMGNYSRAKDIETRAGLRPCYNLTGNKPMVVPDLFFHFKGGVKVEFPLADYFSIIGDQSDVVCMTMVSSSVNVNDIGGAGGATEGPSIIIGNYQQQNFYVEYDLENKRIGFRKQICK >KZM96896 pep chromosome:ASM162521v1:4:8443905:8446616:1 gene:DCAR_015742 transcript:KZM96896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCHQRDFLFCNMCGTMLSLDSTKFAHCPLCKYKRRAKEIAQREISYTMNAEDMRKALGISTFDDDEGEKDKKAIDYSAQCKNCPKVGLQYEARQMRSADEGQTIFYTCTDCGHTRTENS >KZM97991 pep chromosome:ASM162521v1:4:20171588:20173618:1 gene:DCAR_014647 transcript:KZM97991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSSEETKEMDASPSPKNIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMYPHSLFFLEQLQNESFRNAMAHPANKELAHRQQFYFWKNYRNNRLKHILPRPLPESVATPQAAAPPPAPATSRASTIASSTPIPTSAAGSIPSPMPYAIPSGSSLAKNDPRNSGVDRRKKK >KZM96598 pep chromosome:ASM162521v1:4:1915192:1919948:-1 gene:DCAR_016040 transcript:KZM96598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASMCLRRLMCRRKEFATNYNNFNNYNTTKVSTSRAKERCDKRIEKGDFVDLSTLLFTANRDYLVKYNHPQQVRAEQLAGKVIGIYFLPLRFLYDRDGNEHSKALMGTYNDLKSKNCFEVVLVVVDEEDVTNEPGKISFNPSLEGKLQDIFSRTPSWLAIPFSDITSRQRLKRKFGFFGELYYPKVVLIDSAGMVLDTSPEKLFQTYGYEAYPFSDERISFLKIGDKTAFSQPSLKSLLGSSERDFVISNKGYKVPIYTLEDKVVALFFYEDGYSPDHFTSKLKMYYAELKRRNENFEVILLYLYDTYLTISSTSEETFRKTFNTMPWLALPYKDPAVKKLRRVFGYPYEEEDESLYDPTLVIVGPRPDIIEPGLATILRGYDANLFMYEESKKPEGGRVWELKLEMLCSSETVFQKNDGSQVQFSQLAGKRIIFLFEGDYPEYDGVYFRKTLEKKYMHMKGTADEFEVIYFPNSKDKNYKRVADVPWLVSCSVELLPGCSDFLSFYGKSCNRYQSSFLLAFDRDGSLVRKTISPQFGNNYFPFYADDVETEAFDFCWDTLFEGLLRHSIKQIYFTAGDLLFCVYCYQWTH >KZM99001 pep chromosome:ASM162521v1:4:28661189:28663830:-1 gene:DCAR_013637 transcript:KZM99001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLRPIIAAVTVILISITLSLFLSNHDNKPDDAVESEVIPLVGALGPESFAFHPVTAEGPYTGVSDGRIIKWNPAQRRWIDFAVTSPHRDGCQGLYDHEEKEHICGRPLGLRFNERTEDLYIADAYMGVLVVGPNGGLATKISTQSQNVPFYFANGLDIDQGNGVVYFTESSFNYQRRDHSAVIFSSDKTGRLSKYDPLSREIKVISNNLTFPNGVALSQNGDFVLIAETRNCRILKVWLEPSELYGKIEIFAQLPGFPDNIKRNHRGEFWVAIHSRNDIFSRIILSYSWIGKVLLKLPINLTKVVQYLMSFKGCGIAVRLSENGEILEMLEDKYGKKWMFASEVEESNGYLWIGSVQMPYVFKQKLV >KZM98408 pep chromosome:ASM162521v1:4:23727389:23728660:-1 gene:DCAR_014230 transcript:KZM98408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLCDLGARKVLIFLCFFSSLCLSSAATQTQPLLLAKTGRKLLDSETQENQLIKKKATSTSSTSLENQTKTIPTSSSKNQTKLIKKTSNPATTSTSSSSSTKNQTKILKPTTSTSESSLKNKTKLIKPTSTSNSPTIKTQIKKLNSTTPKPLITAKKSSDLTTKPKQTKTEKPKLQKPKQPVWIDEDEEEDLVSDFRDLPSRFQESLIPDLERFSTSSKVYLTRANKDLTNGFKPLVGKKYAPAIASIVSCAFILIPLILVSLIFNRIKAYFSLQKIIIFIQIYLAIYFSILCLSALVTGLEPLKFFYATSQSTYICLQVLQTLGYVLYLLVLLMYLVLVFSTETGLVSKLLALGQTFVGFAVGLHYYVKVFHRAVLHQPPKSSWKSHAIYATCFVAICLLDRAERRKKAYLEEGGAEGKKS >KZM98818 pep chromosome:ASM162521v1:4:27103497:27110401:-1 gene:DCAR_013820 transcript:KZM98818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSAYSDGTQNPLIWSSPEKGCTIEIGKQIFCNRSLNMKGIVAVGFDMDYTLAQYKPETFETLAYNGTIKKLVYDLGYPKELLEWKFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQELSKEDKVMAYGSTLIRDAFDEPDYALIDTLFSLAEAFLFAQLVDFKDNNPGLIPEATDYGQMYKDVRAAVDLCHRDGTLKEMVALDPKRYINEDKMIVPMLKMLRDSGRATFLVTNSLWDYTNVVMNFLCEPEPQSGSQTLTFDWLKYFDVVITGSAKPGFFHENRANLFEVEPKSGMLLNTDNGTPMVQVGSTSVDVQLKSRDKGFKVFQGGNVGHLHKLLAIESSSQVLYVGDHIYGDILRSKKILGWRTMLVVPELEKEVELLWEIRGSRKQLQTLRNERDSIENKIHRLEWSLKFEGVNADEKQKIHEELEELTSEREQVRISHQQAQRACHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYASQVTNLSLYSPDKYYRPSEDFLPHEFDILG >KZM96694 pep chromosome:ASM162521v1:4:4533834:4533998:1 gene:DCAR_015944 transcript:KZM96694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLHENTGRLLVRAKPIFLKRIVDNLSDAQRQWVVETEFEKVLLYNIKEYP >KZM96859 pep chromosome:ASM162521v1:4:7841779:7845147:-1 gene:DCAR_015779 transcript:KZM96859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALRAAIVSHIRLPVSQSQTLALNLSKLSTVRSFSSHGDDHLDKTQVIDRVLEVVKSHPKIDPSKVTPDVHFQKDLGLDSLDTVEIVMALEEEFKLEIPDKEADKIDSCGLAIEYIFNHPMSS >KZM96965 pep chromosome:ASM162521v1:4:9257402:9266965:-1 gene:DCAR_015673 transcript:KZM96965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRKNVYAVYNINVLLGVEVLAWLLTSRRLRRLPPLFAVAVVILLGVFAFISLLSPPITNHQLYHTNRRSLNTVLGARANVQNEIVFRVPKHGGSLIHELWSSSNSKYYYGCSNSSQDFRPAELKTNPDWYLMIATSGGLNQQRTGIIDAVVAAYILNATLVVPNLDQNSYWEDKSNFSEIFNIDRFVSYLSKDVKIIKQLPEREGNVTFPHHMRVPRKCNSTCYVNRVLPVLKKKHVVQLTKFDYRLSNQLESNLQKLRCRVNYHALMFTDSILQMGRKLVERMKMKSKDYIALHLRFESDMLAFSGCDYGGGEKERIELGAMRKRWKTLHKSNPDKERRQGKCPLSPEEVGLMLRALGFGSDVHIYVASGELYGGEETLAPLKAIFPNFHTKETIATKEELAPYSAFSSRMAALDFIVCEESSVFVTNNNGNMARMLAGRRRYFGHKPTIRPNAKKLSQLFKNRNSMAWEEFSSRVRTLQIGFMGQVKPLLVTCASGAAPSAANLAPGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPIPADFLRDWGAIVVNGDLSKPETIPATLVGVHTIIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFFSIHNCDKHPEVPLMEIKYCTEKFLQDSGINHTIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTLIALRNEKVNGKLLTFAGPRAWTTQEVIALCERLGGQDANVTTVPVSVLKLTRQLTRLFEWTSDVADRLAFSEVLSSDTVFSVPMTETYSLLGVDSKDIASLEKYLQDYFTNILKKLKNIKAQSKQSDFYI >KZM96776 pep chromosome:ASM162521v1:4:6165393:6168740:1 gene:DCAR_015862 transcript:KZM96776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METACNLFHNNSNNNNNNNNNNSSRGPFYNFTHFAWDNNINNWELATPSSHSHSAASHYDLFHHPPEMLYGGALYGGGVSGPHNHPDPHLMCLKLGKRNYFEDPNGRNVSTGLTISKRGRGYCDGGEAAVTVAIPATVPRCQVEGCHVALLNAKEYHRRHKVCELHSKAPKVVVLGMEQRFCQQCSRFHLVTEFDDTKRSCRRRLAGHNERRRKSSQEHSTTVIRNNNPSQGRALSLLSSRNDSSISSPDLSSRCSAALRELIAENRAALLAKQFVFDKDLPFHHAEDVNVQQNNHSSHHHYHHHPLVSTNESHMFSEPQGWDRFDEPGTNNVTLDLMQAPTSAFELLSMRGKSKEDEECSQLWSSFS >KZM97298 pep chromosome:ASM162521v1:4:13292565:13293035:-1 gene:DCAR_015340 transcript:KZM97298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTVSKAALAIIGLVALLSLSAPDAVEGLTCGQVTSSLSQCMNYLKMGGVLPPGCCSGVKSLNGMAKTPLDRKQACTCLKSVSGSIKGINYGLAAGLPGKCGISIPYKISPGTDCSKVQ >KZM98876 pep chromosome:ASM162521v1:4:27584010:27586703:-1 gene:DCAR_013762 transcript:KZM98876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVAKENLPKALIPETQLEAKIVEAMQYRESEGTSLKSFNSIVLKFPKIDSSLQKCKATFQQFDEDANGVIDREELKHCFRELGIKFTEEEATDLFEACDIAHDTGMKFSEFIVLLCLVYLLKQRSTDPHAKSRTGLPDLEATFETLVEAFVFLDKNRDGHVSRKEMVEAINEPTPGGHSSGCIAMKRFEEMDWDKNGMVNFKEFLFAFTRWVGLDEEEEDEDEDDDEGEKN >KZM96695 pep chromosome:ASM162521v1:4:4569430:4570135:1 gene:DCAR_015943 transcript:KZM96695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRQDEVFSGEEHDFVAPAEQFGKSKHSRLQTHEPEDMKKMVTFGACQKADKIIKKRTRQAEGTMKSNMDAAIDEGSQRGSGHKVIAKKSIKLSIGGVGNLAILRYPFKRTEGSKICPPAKNPKIQKDNQKAVLNKLRIFYAHKRVNL >KZM98840 pep chromosome:ASM162521v1:4:27297705:27298025:1 gene:DCAR_013798 transcript:KZM98840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEGRNIGREPVRVVIVSTQYIETDPASFKSVVQSLTGKHSHDLPPPHPKRAVRRRFAPPSQSKVAPGCGEEGIGASGVAINDLDGLVVEELPSWNELSQLCII >KZM97158 pep chromosome:ASM162521v1:4:11615401:11618138:1 gene:DCAR_015480 transcript:KZM97158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGVEVKAGEPLHVAPEDGELIHISQVALGEVKNVKSANYVPVRLQVDDKKYVIGTLSAEKAPQIMFDLVLEKDFTLSHDWKDGSLYFCGYTAAAEGEYPFLCDIFLPIYWVYIRVLSFLKLMPSISKLCPGNVFFSQVTDVSFLLLYNVSLTFVIFSTDDDGQFGEARPDLKFSDFSDEDESEDEEIIEKGKPVADVQAAVPAKSKAAAAKEGTSAKPKVTLVDPKKEDSDDSEDSDEDSDDESEDDLDDDDSDDGMSEDEETPKKVESSKKRPSGSEIKTPASIKKSKTETPQKTDGKKGAHTATPHPAKKSDKKAAETPKSSGQVTCKSCSKTFNSEKGLESHSKAKHGGK >KZM98452 pep chromosome:ASM162521v1:4:24098648:24104164:-1 gene:DCAR_014186 transcript:KZM98452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSRIYLTIYNWTVFIGWFQVLFIAVKTLIESGHEHVYDAVEKPLLLAQSAAFLEIGSRLYVTWGILYSFPEVRTHILVSSLVISWGITEVIRYSFFGTKEAFGSAPSFLLWLRYSAFLLLYPTGISSEVGLIYVAMPYMKVSEKYCIRMPNKWNSSFDYFSAAIATLGLLQFITPWSAAIRQPLLVQLHAQPKEESSIKNQKGVVEHEEVY >KZM98500 pep chromosome:ASM162521v1:4:24497500:24498195:-1 gene:DCAR_014138 transcript:KZM98500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASFDVQAKKRYGVQLDDQGSEDFSLSASSSDEISSAASSDFSDVEDHSPTSLNDMSSLLQQLPIKRGLSRHFEGKSQSFTDLSNVSCLEDLAKPEHPYNKKLKSCKSYVGLYGESQRSNQQMMSSHIIPKSASSRLISKKTSRGSCSSFITSAAKRNHSDTTTRPPTHPSSYRSSDPQTPLFEAKDSLRCVIPFFTA >KZM98719 pep chromosome:ASM162521v1:4:26391258:26393616:1 gene:DCAR_013919 transcript:KZM98719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDENCAGDARPSISQRDFLKTATQRADMGIGPTRRALSSIDLNGARYAPYVATKRAALREKNPVSRTNVLQNSTVHRPVTRKLAAQLAAKQYQPLVKDNEIKIADADIDIDAGEKKDPLVEYKDDLYFYCKNVEISFCAPSNYMSSQFDINERMRRIMIDWLIEVHDKFNLRPETLYLTVNLMDRFLAVQQITRKKLQLVGVTAMLIASKYEEISAPAVEDLISITDRAFTRTEVLQMEILMVNKLQFDLSIPTVYIFVRRFLEAAKSGNEMERLAFYMIDLCLVEYQMLKFPPSMLAAAAVFTAQCTLGKAAEWSKLSEKLTDYNNHELKECSQLMVGFHRRAAAGKVTAVFRKYNTYKYGYAARAEPAHFLLVPST >KZM96712 pep chromosome:ASM162521v1:4:4768089:4769730:-1 gene:DCAR_015926 transcript:KZM96712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQKMMNNPLSGSMESLKSQSCCSKLLSNSSNESLLLEASKKNPLSLDSEKKSLTPSISSLNFDVLKFDVLDGEMKVQSPDNSALWESFFTDQLDGGDFMISSPVRNLPSPQGTRKWASTGASTFSLCRSHCKKEDYMLVGRYLHYLNRVVTTLGDSMQRVTSCFTEALNARLNATLTTKSTSSNSNPFTPFPPDSIEILKIYQILYQACPYIKCAHFTANQAIFEAFESEQRVHVIGLHILQGYQWPAFMQALTAHPGGPPFLQITGVGTSHEAVMETGRCLSELAHSLRVALRISPCW >KZM99243 pep chromosome:ASM162521v1:4:30508289:30508849:1 gene:DCAR_013395 transcript:KZM99243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPVDLDALDTSCTTASDRKIACEQVADADGNSHSPSRSSDAAEEFNDVPPDFPAESFWLSQDAEFDWFDRNAFLERKESTRGNICSATNQSNNTTSQRFAKQLNSKAAILGMPNTQKSNHVDNKRRQSKAPIMRLFPSKRSESIKSTAGIAEPSSPKVSCIGRGRSKKSRSXGEGGGGEDEIGG >KZM98898 pep chromosome:ASM162521v1:4:27763099:27763491:1 gene:DCAR_013740 transcript:KZM98898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNLMLRKCKTLSRQLGRSSSYSSLRSKSTRDDLWGVDQGNEHRETIIVGSSRRRYAVKSEFLSHPLLSALIEKSKKQNPGSVGCGSDIIAVKCEVVLFDHLLWMLENADPTNLTPDSFEELADLYVV >KZM97048 pep chromosome:ASM162521v1:4:10077185:10078425:-1 gene:DCAR_015590 transcript:KZM97048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIDLLCASPASTAICSSTDQRTMVRDHGTRSMDRRSDHYISSSTDQRTMVRDHGTRSMARRSDHYISDHHRRKSKTNIPAIPCSSQLPINPAFYQNNRKSASESGRGLRRASSAKIVNDLATTPHGSSRHLLSDKTLSDVLSQTDSLSAPVSSEPALPRSLSSKEPVIPRSLSSNDSALVSRDQALRPFGQLISSSDSLVLKPVNKQLGASQSARIIESSAQKSSSARSQSHYQVVELMVSIHCKGCEGKVRKHISRIEGVTSFSIDRATKKVTVIGEVTPLGVLSSISKVKSAQFWPYPTSSS >KZM99898 pep chromosome:ASM162521v1:4:35693334:35693786:1 gene:DCAR_012740 transcript:KZM99898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPYPTPVDAGVLCLILASTARSVSTVMKIVCFILRALGIVVSWDAYNTESPTNLSASRDSCLETSIEEFRSQIPAITYDSLCYKQLEHECTVCLTEFKAEAVINHLSCGHVFHKICLEKWLNYRNPTCPNCRKYMVCLEDVKDTCSM >KZM99720 pep chromosome:ASM162521v1:4:34253923:34263098:1 gene:DCAR_012918 transcript:KZM99720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATKSELNVSTSDFVPEVAGDSADGDASPVEVDKELLCPICMQMMKGAFVTACGHSFCYTCIVTHLENKHDCPSCGNFLSANQLFPNFVLDKLLKKASTRQISKTVSSAAQFRQALQKGCEVSVKELDALLSLLAERKRILEQEEAERNMQILLDLLQYLRKQRVDELKEIQKDMQFVKEDINVVERERINSCRTRNRSLPEVHMLTDDYTMVRSWSSSVEKNTSTSISSPQNSRGRMSTWNFLSKNVAGKTQAVKLGPHKKDNMNISNPQHTSSSGLSVVRKKRVQSQFNELQECYLQKRRQLVDKVDDQVESQSSVMQREGFSDGLSDFQSVLSTFTRYSRMRVIAELRHGDLMHTANIVSSIEFDRDDELFATAGVSRSIKVFDFASVVNEPADVHLPVAEMSTQFKLSCLSWNKCMKNHLASSDYEGIVTVWDMATRQSVIEYEEHEKRAWSVDFSSTEPSMLVSGGDDCKVKVWCTKQESSVLNIDMKANICCVKYNPGSSIHVAVGSADHNLHYYDLRNTSQPIHMFNGHRKTVSYVKFLSTSEVASASTDSTLRIWDVKENLPVRTFKGHTNEKNFVGLSVNKEFIACGSETNEVFAYHKAISRPMTWHRFGSPDIDDTDEESGSCFISSVCWKSDSPTLLAANSQGTIKVLVLAE >KZM99754 pep chromosome:ASM162521v1:4:34531007:34532125:-1 gene:DCAR_012884 transcript:KZM99754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVSLFLCFPALLFITAALYLYNQLYTNLPPSPFPALPIIGHLWLLKKPLHKALSRLSTKYGSVFYLIYGSRRVLVVSSASAAEECFTKNDVVFANRPNILFGKYLGNNFTSIVWVGYGEYWRNLRKLCAQEILSPHRLQKLAVIRVEEVRSMVRRFYGLSRGGVEVVEMRPVFFELLFNVLTRMIAGKRYYGEESGKTEEAKRFQEIMKETARLASVADMGDFVTVLKWFWFRDLEKQFVELSKRRDVFMQNLIDECRDSGSQGENRTLIQILLDLNEANPDYYKDDVIKSLMQHEHNLKSSVLTLMALLRLSVE >KZM98255 pep chromosome:ASM162521v1:4:22284995:22286564:-1 gene:DCAR_014383 transcript:KZM98255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIGSKPRSTEQCRMHIHRLANVCKSCKAFLTMKLKKSSSRHKLDHAINKKHKSEDLKSPKLNSDKNEQNNGTGALRGLSGNLFNHKGHHASRRSSYSQPLGRATDTMSGNLSSLPKSTSQNIFGRNSVDGIRRQPSPLPRTMSTKIMYSNSSGVIKPPVVEKKLACTLEEICFGCVKKVKITRDVVTDNREIVQEDEVLTINVKPGWRNGTKITFEGKGNEVPGGETGDVVFLIAENNHLLFRKDGNDLVFEIEIPLVEALTGCRLSVPLLGGEKTMITMEDVIYPGYEKIVAGQGMPKAKEPGERGDLIVKFEVEFPRQLTDEQRSDVYNILHDSCW >KZM97294 pep chromosome:ASM162521v1:4:13268319:13271569:-1 gene:DCAR_015344 transcript:KZM97294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRMDAGDYAEMDPSGRYGRLRDVLGKGAMKTVYRAYDEELGMEVAWNQVKLNDVFRSPDELHRLYSEVHLLKTLDHDSIMQFYTSWIDVNRRTFNFITEMFTSGTLREYRKKYRRVSIQAIKKWARQILEGLVYLHGHDPPVIHRDLKCDNIFVNGNLGQVKIGDLGLAATLCGSKQAHSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMLTSEFPYSECSNPAQIYKKVTSGNLPKAFYKIKDVKAQEFVGKCLDIASNRPSATELLMDPFLAPEEEKEPESPDNVDSRLLASAILRIPIPSDHDHKSVSRPLMKSPKKNTKMMITGTMNAEDDTIFLKVQISDKNGQARNIYFPYDIASDTALDVASEMVKELEITDWDSNEIAEMIDEEISALLPNWKESDVVQNLHHQQQSFNYDNDDEDGIIHHPFYSPSSQNSSHASLPGLFTSAHDWPQGDFLLNDDASSQSSLASYKYSNMNYIEKDFDLLSCKRGEQDFNAKHQKSSTRMSQQSLQKPCHSSYGSISSTNQSRMPRVGSLVDVRSQLLHRTLVEEIAKRRLFNTVGAVENIGYHEPKEFSSKDWSPGFSRR >KZM98029 pep chromosome:ASM162521v1:4:20509513:20510277:1 gene:DCAR_014609 transcript:KZM98029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSESQTSQSSPYHLAVTRVAVAQICRSVGFKSVQNSALSVITDIAGKYLQAIASGAVSAANSAGRTESNVVDIVAALEHLHSNTGFPGGSGVNFGFCSSGLLTDVMKFVKYTDEIPFAKPLRRERLRDKISNTCYENCENRRSYVPKWLPLMPEIRGLEVKRREYWPFTADKEMGVAVEGKNVEIGKREMLPVKRPKLKFKIEKGGIGFKGGLQNSGKRVLGEIWSENGESSRVGDDKKIVSYYVRRKKTTNT >KZM96908 pep chromosome:ASM162521v1:4:8618971:8619871:1 gene:DCAR_015730 transcript:KZM96908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRYWDWKCFKETSEDNVEVVKLLTVCWLDVRGKFKMSDLTPGITYNVSYVVKLTQSSSGWELPMTLKLGVPGRTEQRRQVSLLKKPKGEWFELNLGNVYAVDNENGEVYFDIYEHGGHWKTGLLIKGVIIKPIVLTPDLSSSSS >KZM97919 pep chromosome:ASM162521v1:4:19432439:19435544:-1 gene:DCAR_014719 transcript:KZM97919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHVYSSPLPTLFFPTTQNPLFRSQRLRSGQRRLLIRASQLQTIKLDKSTLKVSEAISEGELWAASALRVRSFYDFKPSTFAIQDHKKYLAEREYEALKERVAGKRLGFKRVSCINATLPLSQISSISEDLSNTCKISLCGEDRVVVGSLDINQCIRLPDEITGMKPEGLKADFARAYISNVCVAEELYRNGLGYALVAESKRVAGHWGITDLYVHVAFDNEAAKNLYIKSGFVHENDEPAWQARFLDRPRRILLWSDLSNCYHL >KZM96667 pep chromosome:ASM162521v1:4:3579816:3580229:1 gene:DCAR_015971 transcript:KZM96667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPNTGLFVGLNKGHIVTKKELAPRPSDRKGKTSKRTHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMR >KZM99597 pep chromosome:ASM162521v1:4:33317610:33318284:1 gene:DCAR_013041 transcript:KZM99597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTKSPAIDLTVRDAHLSIQQDNASMHVGTSVWPCSLVVVKFAERWTSPTAVIDGAVNPYADLLDFRNKRAVELGTGCGVAGMGLYLLGLNDVVLTDIAPVMPALKHNLKRNKPVLGRMLKMAQLYWTNADQIKALSPPFDFVIATDVVYIEETVGPLIDAMSALVADNGVVLLGYQLRSPEADTLFWEMCPRVFNVEKVPHEHLHSEYAYEEADVYVLRKKL >KZM98838 pep chromosome:ASM162521v1:4:27266037:27271823:1 gene:DCAR_013800 transcript:KZM98838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISARSCDLSEYRLKLDDQLMVISGKGERRGRAALVVLGDIGRSPRMQYHALSLARQACLEVDIVAYGGSDPHSAVLEHQSIHIHKMKPWPAIPHLPKILQPLMLLFKPVFQFLMLLWFLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRRSKFIVDWHNFGYTLLALSLGRSSPFVAVYRWFEKHYGKMANGSLCVTQAMQLELAQNWGIKYGILLTSSKATVLYDQPPEFFHPTLLEEKHKLFCRIEKNLNEPSGHRDCISNGKDEHNPNATLFTTQVGNDIKLNQNRPALIVSSTSWTPDEDFNILLEAALMYDRRVAAILNEDDSTPEDVLSREYCEGKQFLYPRLLFIITGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVKVEKNGLLFSSSSELADELMMLFKGYPDECDALKLLRKGVHETRSSVSWETEWEAKAKPLVNEARSTFSLKPSL >KZM97555 pep chromosome:ASM162521v1:4:15768891:15769505:1 gene:DCAR_015083 transcript:KZM97555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDKTIMNHIMLRFRPIAPKPVMTGSDSTYSDLAKKEAIGKKRTKRKYVRVNKHVKKNSAQEPKKKSDDDDKTGSDLRPAESWVSLECVTGGFGHGRRLGCTDAEKVRSLERDTCPGFVSDGDGGVRWVNLAYKQMVGSKEELVVWLMNKERIPVGEEAFSCRVRVDSGGRGKVVPCDVWRMDGDLGCYAWRLDLDAALSLGR >KZM98379 pep chromosome:ASM162521v1:4:23438256:23443413:-1 gene:DCAR_014259 transcript:KZM98379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASEGFSTRSFSELSEDEFMVRVGVDLVAAAKRNLGFLRLVDESSWLHKRPTILEAIRRYDELWMPLISDLMVGISPPMILPPLDIEWVWFCHTLNPASYRQYCEARFSKLIGKAAIFNEENEDYALNRCREIWVQKYPNEPFENEEDSNQEKNIICNEDLLAEVSKQRCLYAKFHEPYMSELVYLIASKRRFKGFLHMMQKFSDGCCRLVPTTDVLLMLMTHQSYPTVYATDVKEMEGIMWKIVGPCDAVKPNEVEMTKKLWEQTFEEPYEKAGCEVISEVHKIKQPIYWKVTDLDVNTKYKSLLPRFISEVCVMVKLNPKTNITAEGTSNEFLRLRMLRCHKELNINQPLSKFTSDSWKKALHLFCEFGTKGLVLELRHPKGRCFRGSSLVDTITFTWNALLRAPSLTLGREISEKVRLFASMTPPVQAPYLLKCVADRVTDDSGAMISDVILKMNQYRPQEGRWLSRTVLDHAGRECFVIRIRVAGGFWRRGGEVPTAVKWEDRIMEIREGSWFYEAGSIGRAPAKVVGSAIPKEPPEGWQAAWCFSTGHELLVKYGSSTSISGLCLDLKTPMPTNSWLRLLIGRQLQYREQTSRDTNDSKEYNERNAEQEVDGDDGFVTLVRYSEENPDGIATGLINWKLLLVELHPEEDAAFILLLCISLLRSVTEMKKEDIGGLLIRRRLKEAKLGARDWGSVVLHPSSYSPTISTPYVHPWHWNPKVLMAMDKVDMTGPIGVRFPPEEGGNKLYKDAVIA >KZM98169 pep chromosome:ASM162521v1:4:21667328:21672434:1 gene:DCAR_014469 transcript:KZM98169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSKKSTRKASQNFQSSSRAIEKRLIQRHMQRIPATSTCDYAPAYPEFRHGIIQGESIPCTDQFPGYVFMCNGKTKADCYKYRVFGLPSARMDMLKKIKPHMRLFLYDFDMKLLYGVYVAASDGKLGIEPAAFGGRFSAQVANLILLFRPVAELSPGISGPLMPNTVQSATIIPRSSELRSQTASELSHEYNQYLARLNHTNEGVASAIRHVEPGLSLANAPHTLNTNRDLPPDLVSSSQFGASNAAEVYQAYPFGNPVLHHRSTGMPYDTGSLMLHQLAPRNNRYMQGKTASYWVQMASENEKGGHSSSPASTVIGTESIGASDAVIGQAPSTVQEISDRRTVQSTINVHNNNPNVLAVTNADAHHAPAEPVTQLHSEAPSHDHAHLHSSSSGSVYREVQAPQDPGHMCAGPDRGLPLAGSGLGANSVSISLSKTAPAAALGTSHTESILQVGHAHNYNPGMSVASPNMPVQVHAQLLHQVPVQAHGQLQHQVQAQAHGQLLHQVQVQAHGQLLHQVPIQAHGQMQPQVPAQSNSSAHPYYEDPGQVYQYYAQMPSSDNSAVYWAGVAHDPNQAYQYPHMPASGHSAPYWTGVAYQDPGQAYQDPHLLTYGQTGSYNHNAAVNDPSLQGQVYNAAYGTAASHMPMMPSST >KZM99136 pep chromosome:ASM162521v1:4:29704504:29709834:-1 gene:DCAR_013502 transcript:KZM99136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEVVDDDGSVVTSSMFTTLGLEFLEDYDGDVENLGVRDQDGYIASSDDENRGTSASGSKDTGDDVVVNLKGNKRRKKGGKIVREEDDLDKILAELGVASPSASADNNVLIDQTEANVAAGNGVVSEIEEGNSEMSAAAKKKRKKKERKKEKKAAAAAAAASSAEEKHEEIINQVAGEEKKVSKHVKNMQKRLAKIKAEAERKNREEEERLKKEEQERLEQEKLVREAEERKRLKKEKRLRKKQEGKILTGKQKEEARRLEAMRNQFLASAGGLPLTSTALKRPEYQTKKSNPHSQENGCTSSNTNEITEANKKQEAMPCLDSLETEEVEEMNLMDVKGEPDVVEEDVTEEDDDEEWDAKGLDDYDLDILGKYIEFDDEQTELEPKSVLNNGIKSSPTVTCYTKPSMFTEKVSSTALVEFQDNIKSLLIGDVAADSKQRAIAEKKVSQKADSENLRSPICCIMGHVDAGKTKLLDCIRGTNVQENEAGGITQQIGATYFPAEILKDKTRELKPDAALKVPGLLIIDTPGHESFINLRSRGSGLCDIAILVVDIMDGLKPQTVESLKLLKMRKTPFIVALNKVDRLYGWKTCQNAPFVKAKNQQCKDVQNEFNKRLTEIIGNFKEQGWNSDLYYKIKNKDMGETISIVPTSAISGEGIPDMLLLLVKWCQKTMVDKLTYSEKLQCTVLEVKASEGDGTTIDVVLVNGVLHEGDQIVVCGMQGPIVTTIRALSTPHPMKELRVKGTYLHHKEIKAAQFIKIAAQDLKHAIAGTNIFVVGPDDDLEVIKKLTMGDKKTVMDRTDKTGKGICVQAPTLGSLEALLDFLKTPEVNIPVGGIGIGPVHKKDVMKARVMLEKKKKEYATILAFDVKVSPDAWELADKYGVRIFIADTIYSLIHQFKAYLNTHKEEKKKEVADETVFPCVLKIIPGRVFNKKDPIILGVDVLEGIAKVGTPICISQKEFIDIGRITHIRNNEQPVDLAKKGSAVSIKITGSNSLEKQMMFGRQFNTEDLLVSHLSGRSIDILKELYWDELSDNLRKLVVNLKKLFKIQ >KZM96816 pep chromosome:ASM162521v1:4:6904589:6910032:-1 gene:DCAR_015822 transcript:KZM96816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEAKQRYQNIVVMRHGDRIDNFDPSWAINAERKWDPPLIEAGKTRAFNTGRKLRENLGFPIHRIFASPFLRCLQTAAGVLSGLCSDYGDPTRIDVTNLKVSIEYGLCEMLNTTAIRPPMAPEDRNFTFNILECEAIFPGGTVDHTVKPVYQKLPTWEETVAAARDRYVDVIKALADRYPSENLLLVTHAEGVGVSVSAFAKEDLTVYEVEYCAYSELQRFISFDKDNTCTANNLEVVLDQVVKTGISYYSASKLPSEP >KZM97853 pep chromosome:ASM162521v1:4:18455028:18457847:1 gene:DCAR_014785 transcript:KZM97853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPIAIIDDSPIKTKVSAPPHRSRISMDGDDPSVPVGAPKVPFFRSLKQRKENGWIISLFVFLHVVAFATTMFVNNCWRNSHRHCALSSLGRFSFQPLAENPLLGPSASTLEEMGALRRTLLVQNHENWRLLTASWLHAGVFHLIINIASILLIGIHLEQEFGPVRIGVIYILSAITGNMVAALFLQDRPTVTSSATLFGLLGTMLSGLIQNWAIYSRKNEALRIFSLILVINLVLGLLPYVNNFSNIGGFFCGFILGFVLLFKPDLGTLAQSKAGLFEYEVKHSFKLKQKLDRPVLRIVFLVLFVLLLAGLLIGYLRGINMNKSCTLCRYMDCIPSKWWTCSDKTMHCEANIWPVPVAYPFLQLITSILPLLNLWTDLFA >KZM98520 pep chromosome:ASM162521v1:4:24672471:24676227:-1 gene:DCAR_014118 transcript:KZM98520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPTTVILERETDKLKPLIVSKSRSQATTRRVTPTFSTGIVTTSSEKRLPNGDLFIGRFSGNVPHGSGKYLWKDGCMKHGYGTKGYRNGDYYEGMWRKNLQDGKGKYVWRNGNEYVGEWKNGLINGRGVLIWRNGNRYDGNWENGVPKGSGVFTWPDGGCYVGSWNKESENRMLNGTFYPGGGNGGCNWKEDMLKFNSKLVAPLMLGDKGSDMRKRSSVEGRGSVEGGRGSMEGGRVFPRICIWESDGEAGDITCDIIDNVEATMLYRDGNMLPRDDVGKFRRNPCCFNREVKKPGQMITKGHKHYDLMLNLQLGIRHSVGKHSSIVRDLKPSDFDPKEKFWTRFPSEGSKITPPHPSAEFRWKDYCPVDDRFMIKTVKKSEVKVLIKMLPSYYKHICRYENSLVTKFFGVHCVKPVGGVKTRFVVMGNLFCSEYRIHRRFDLKGSSHGRTTDKPEDEIDETTTLKDLDLNYVFRLQQNWYQDMIKQIDRDCEFLEAERIMDYSLLVGLHFRDDNTGEKMGLSPFLLRTGKKDSLQNEKFMRGCRFLEAELQEMDRVLAGRKPLIRLGANMPARAERVARRSDFDQCNPGSSETDEVVLYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKLYSKRFRDFVGRIFVEDG >KZM99287 pep chromosome:ASM162521v1:4:30841797:30844657:1 gene:DCAR_013351 transcript:KZM99287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGNSLPSGSDGTKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQNMHVLKPIPARDKDLCRFHADDYVSFLRGITPETQQDQARQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGNCDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGHASGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVILQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIELEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQILDDIKAKLLDNLSKLQHAPSVPFQERPPDTEFPEADEDQDEDGRWGRESEMDVDDQRKPFSGRVKMEIFEPEQKEAVDAREGEHARGMDATFTETASLKASNPISISMDGMHINSEQGNASKQSDGPADMDP >KZM99018 pep chromosome:ASM162521v1:4:28770569:28771236:1 gene:DCAR_013620 transcript:KZM99018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSGGRSSLNPNAPLFVPAAVRQVEDFSPEWWQLVTTSTWFHDYWVSQQQGDDDFYGNNEDDLADIVDLLPDSIDLGADEELMSMEAQYEQFIQSAEAGNKTSLYAQNGMPAIGTDHAGLMRSMSLVNSYQERSPKSPVESARYWEKPAKLVSPKNSGRRIQQPR >KZM99629 pep chromosome:ASM162521v1:4:33560692:33566004:-1 gene:DCAR_013009 transcript:KZM99629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRRPGQTRPDPNPPQPYNIIPIHDLLADHPSLRFPEVRAAAAALRAVGDLRKPPFAPWLPHMDLLDWLGAFFGFQNDNVRNQREHIVLHLANAQMRLTPPPDNIDSLDPTVLRRFRRKLLKNYSDWCSFLGRKPNIWISDSSRGAHSDQRRELLYVSLYLLIWGESANLRFMPECICFIFHNLSMELNKILEDYIDENTGRPILPSVSGENAFLIKIVTPIYDTIKAEVENSRNGTAPHSNWRNYDDINEYFWSRRCFDKLNWPLDRGSNFFVADVRGGKRVGKTGFVEQRSFWNLFRSFDKLWIMLILFLQAAIIVAWEEREYPWQALEERSVQVTLLTVFITWSGLRFLQSILDAGMQYSLVTRETMGLGVRMVLKSVVAAIWILIFGVFYGRIVKEKNKYGKWEKHEVNNQVVTFLEIALVYVIPELLALSLFIVPWIRNFIENRNWRIFYVLSWWFQSRSFVGRGLREGLMDNVKYTLFWIVVLATKFCFSYFLQIKPMVNPTQALLDMKDVTYEWHQFFGKSNRFAVGILWLPVVLIYLMDIQIWYSIYSSFVGAGVGLFQHLGEIRNMQQLRLRFQFFASAIQFNLMPEEQLLNARGSLKSKFRDAINRLKLRYGLGRPFKKLESSQVEANKFALIWNEIILTFREEDILSDKEVELLELPQDTWNVRVIRWPCLLLCNELLLALSQAKELVDAPDKWLWYKICKYEYRRCAVIETYDCVKHLLLHIIKYDVEEHSIVTVLFQEIDHSLQNEKFTKTFNLKALPRIHAKLIILLDLIIKPTKDVNKIVNILQALYETAIRDFFKEKRNADQLREDGLAPRRAVSGDRLLFENAIELPDTDHETFYRQARRLHTILTSRDSMNNVPKNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVLYSKENLRTENEDGISTLYYLQTIYADEWENFLERMRREGLAKNGEIWTDKLRDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDSASEMDIREGSRELASMGRSRSLDSFNSERSQSARSLSRADSTVNLLFKGHEYGTALMKYTYVVACQIYGTQKAKKDPHAEDILYLMKNNEALRVAYVDVVPSGRPDPDYYSVLVKYDQQLEKEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDSYFEEALKMRNLLEEYRHYYGLRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFSCTLRGGNVTHHEYVQVGKGRDVGLNQIAMFEAKVASGNGEQVLSREVYRLGHRLDFFRMLSFFYTTVGFFLSTTMIILTVYAFLWGRLYLALSGIESSNAADDAADNKALGAILNQQFILQLGLFTALPMIVENSLEHGFLSAIWDFITMQLQLSSVFFTFSMGTRAHYFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAIELGLILVVYASYSAVAKGTFVYIALTISSWFLVISWMMAPFLFNPSGFDWLKTVYDFDDFMNWIWFRGGVFAKADQGWERWWYEEQDHLRTTGLWGKFLEIILDLRFFFFQYGIVYQLGISDGSNSIFVYLLSWIYVGMALAVYSTVAYARDKYAAREHIYYRLVQFLLIIIFVLVIIALLQFTEFKFVDIFTSLLAFLPTGWGFISIAQVLRPFLENTMIWETVVSVARLYDILFGVIVMTPVAVLSWLPGFQSMQTRILFNEAFSRGLQITKIVTGKKSSDM >KZM99800 pep chromosome:ASM162521v1:4:34965847:34967606:-1 gene:DCAR_012838 transcript:KZM99800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNHKPSSPITFVSSKKMNPNKTMDLHSNDDDELQRWPTPFEALEEMKAIGKISGPTAMTGLLLYSRAMISMLFLGYLGELELAAGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQMKLLGLTLQRTVLLLLLTSVPISFMWLNMNTILLWCGQDKEISSMAQTFIVFAIPDLFFLSLLHPLRVYLRTQNITLPVTTCSAISVVIHIPLNLFLVVHFKMGIAGVAIAMVWTNLNLFILLCAFVYFSGVYKDSWVAPSMDCVRGWSSLLGLAIPTCVSVCLEWWWYELMIMLCGLLVNPRATIASMGILIQTTSLVYVFPSALSLGVSTRVGNELGANRPAKARISMIISLICAVALGLAAMLFTTLMRHYWGRFFTTDTEILDLTAMALPIAGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVAFLMGFVFKMGFAGLWLGLLAAQASCAILMLCVLCKTDWMVQVERAKELTKSPPSQKPAPILPITSSNPSPTSYKKNNGHSLDESVEADPLIPTTTECV >KZM98183 pep chromosome:ASM162521v1:4:21760101:21760712:1 gene:DCAR_014455 transcript:KZM98183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMKIYAYCTITMLLMVFISTNARQADRSCINELVPCLNYLNGTRDPQDDCCDPLKSVIKSNPECLCSLITNKGTKQAQEAGIDVNEAQQLPARCGQHVNPISCLTDSGGSADSKKSDESSASRNGRMVFFVAAWAIIIQVLWISS >KZM98587 pep chromosome:ASM162521v1:4:25250622:25252018:-1 gene:DCAR_014051 transcript:KZM98587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYENVIGGKLKLKGKALDVKAGGMKKKKKKHSKAVSDKVSEVISNEALSGGNTDLTDQYEEDTDDAQKYDGDKNAAPHIESLTPAERRYMEQRQKIDEHKLAKVANKSHRDRISDFNQYLANMSEHYDIPKVGPG >KZM97319 pep chromosome:ASM162521v1:4:13484646:13490563:-1 gene:DCAR_015319 transcript:KZM97319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGDSDSNSKILARWVMGGVVGAAAIGLYVLYPQELGFFRRKKKGPIRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDAEITVNKGPPVTPLNERMIMVSAVKWVDEVIPDAPYAITEEFMRKLFDEYNIDYIIHGDDPCILPDGSDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCMRERSSSDSNSHSSLQRQFSHGHSQKSDDGASGNGTRISHFLPTSRRIVQFSNGKGPGPDARIVYIDGAFDLFHAGHVEILRLARGLGDFLLVGIHTDQTVSANRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISIVVHGTVAEDTDYQKEERLPYDVPASMGIVKLLDSPLDITTSTIIKRIVSNHEAYQKRNERKAESERRYYEDKSYVSGD >KZM98549 pep chromosome:ASM162521v1:4:24965523:24976016:1 gene:DCAR_014089 transcript:KZM98549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELSTDSILSKRIVRSFLQFLDSVEPSPGVDPEGLEVAKECLLDVFKINHPIDSQSSDSLVEIFRLKDSTENKSNSTHKQSSTNVPSTSATLNKETDRTGAAHTQGISKDEMFGQFFGALEKAHFFKTMPDGADDEDQLDRATRTFHTAVAELEKSGCQTYDRKSLAEILKSQGNKAMQLKRYCDAVELYTFAIALFEDNAVFYCNRAAAYTQINQYTEAIADCVRSIEIDPNYGKAYSRLGFAYYAQGNYRDAIDKGFSKALQLDPTNESVKENIRAAEQKLREEYRRAGHYQYSSSSSHQGDPYHQSEGGSGSHGTMPSQFTSVPLTANGMPVDIGSLIRNMTANYQGAHPQDEPQGDSNNTTPPDADIRIGGNINVNLGDQMPEELSGALRSVMEMFSGTAPPPPPPPPHGNSQDNMSRRSGQS >KZM99438 pep chromosome:ASM162521v1:4:32063298:32065443:1 gene:DCAR_013200 transcript:KZM99438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSADNINLVVSKNIKLERMFSMKGGKGEASYANNSQAQAQHARSMLHLLRETLDGVEINKVPCFVVVDLGCSCGSNTIYMIDVIVKHMIKRFEASGVEPPEFSAFFSDLPSNDFNTLFQLLPPLANHGGSSMEECLASDAHRSYFAAAVPGSFHRRLFPSASVDFFYSAFSLHWLSQVPESVMDKRSAAYNEGKVFIQGAKESTAVAYRKRWQSDLSDFLSSRKCEMRRGGSMFLVCLGRTSVNPTDQGGAGLLFGTHFQDAWNDLVLEGLISTEKRDSFNIPVYAPSLQDFKEVVEAEGSFAINKLEVFRGGSPLVVSQPEDANEVGRALANSCRSVCGVLVDAHIGESLSHDLFSRVQSRATASANQILEQLQFFHIVASLSFT >KZM98883 pep chromosome:ASM162521v1:4:27635286:27636887:1 gene:DCAR_013755 transcript:KZM98883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAIQSSPYSKTESYVDNKRKDDIRMANINAARSVADAVRTSLGPKGMDKMISTASGEVIITNDGATILNKMEVLQPAAKFLVELSKSQDIVAGYGTTTVVVIAGALLKQCQTLLSTGVHPTVISEALHKVSLKSIDVLTAMSVPVELSDRESLIKSASTSLNSKVVSQYSSLLAPLAVDAVLNVVDSVNPDLVDLRDVKIVKKLGGTVDDTELVKGLVFDKKVSHASGGLTRVENAKIGVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTELSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFRAEKLGFADLVEEVSLGERKIVKITGIKEMGRTTTVLVRGSNQLVLDEAERSLHDALCVVRCLVNKKFLIAGGGAPEIELSRQLGAWAKVLQGMEGYCVKSFVEALEVIPYTLAENAGLNPILIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAISLATECVRMILKIDDIVTVR >KZM99362 pep chromosome:ASM162521v1:4:31465117:31469700:-1 gene:DCAR_013276 transcript:KZM99362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVNVIHCCLDSIRQISEDIKGAIIYLDAGCTESFQLLGAFPLLLELGVQAICSLENMSPLDVVAGWNSQFDPESKIVVITTRLLSDAHRYILRCLSTHQSVWQCTIYTSISEVAHSTYPDSPLGPDAFHEYETLLVQDYMELNKRSETKSQLPVDTELKESSIEEEGWAQLTPTEEEGWAQLPPTEEGISNFGSISNAEDLSGNAQTMHEESEGQKLVVSVLHFPMILCPFSPRVFVLPSEGTVTESFLCTQHENSLSPGLPPLSTKLDSYSEDIPPGASLTAQLLYHLAIKMDLKLEIFSLGGLSKTIGKLLTDMSSLYDVGRRKRTAGLLLMDRTLDLLTPCSHGDSLAGRIYSSLPRRQHNSSSQSKGSQSQLKDAPQKLRRDPLDVKIPLADILNEEDSSNNFGLSNSIEAFLQGWNSYKSDSQITEYSDLSKKHQGEKSFSSDSELLSGSFVSTDNFNGTPYLEALLDRKTKDGTMLIKKWLLETLRKENVSGNVKVRPGLPSKAELRNMIKALAKSQSSLIRNKGIIQIAAATLHAMEESHSAKWDAFASAEKILTVNAGDTSQSLAAQMSDLINKSCLLRSQGNKAGSSQGLISFQDALLLTISGYILAGENFPTSGSGGPFSWQEEHFLKEAIVDAILENPEAGKLKFLHGLAKELEANIEKNKTKQTNEESSEQIDDFDDDQWGAWGDEDNNKNEVYSDMQLKLDLRDRVDNLFKFLHKLSGLKTTISPTLGSESNYSGDLYSSKGLLHKILTRVLNKYDIPGMEYHSSTVGRLFKSGFGRFGLGQAKPTLADQSVILVFVVGGISALEVREAQEALSDSGRPDIELMLGGTTLLTPNDMFELLLGESSYT >KZM98036 pep chromosome:ASM162521v1:4:20567534:20568132:1 gene:DCAR_014602 transcript:KZM98036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTASLEEGRNQWVDCQYYSSIVGGNPNMILLGQLLEQRRTGKSAAPIKVSQPLPPYIEPESSFSRYITMSMPNNHASSSMNVATLTPGLGGAAFGSSEKIATTVQGIGGVPFGSAGHMNVEYQMHSYENAVVPLKSQYVSHGNVLPMIEQACSLVPATFKISSES >KZM97124 pep chromosome:ASM162521v1:4:11168367:11173933:-1 gene:DCAR_015514 transcript:KZM97124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVRSMLKPKVEPQKQLREWQRRLRRECLNVDRQIRDIQREEKNVQKAIKEAAKRNDMGSAKSLAKEIIRSRKTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKIVNNLMKAPEVAVTMQEFSKEMTKAGVIEEMVNDSLDSALDSEDIEEETEEEIDKVLTAIAGETAAQLPEAARRERLKQPAQSVEDAEEEGADDEEELEAIRARLAKVRS >KZM97286 pep chromosome:ASM162521v1:4:13153176:13159244:1 gene:DCAR_015352 transcript:KZM97286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKYPSSSSSSAYSANVLVEKATSEFLSGPDWTINIDICDAINLNHGLAKDVVKALKKRLQHKNPHVHLLALTLLETMVKNCGDHVHAVIIERNVLQDMIKIVKKKASSLFCVPFIYTTMGVREKILVLLDSWQEAFGGAGGKYPQFYWSYEELRRSGVEFPQRASDSVLIFTPQVTHSTAGHAFAGYGIPSSSSTTLEEALASEVETLSMSSISSMQEVLDLLSAMLQAVDTNDRTAIQDEVIVDLVEQCRSNHKKLMPMLTTTGQVPFLLLSDITKDEELLAKGLELNDNIQNELAKHDAIALNHVLPTEVINSKPQLSEVPNSSLKGDEDSWFRSSPHVVPCAPLTVETKHVAEEEEEEDDEFAQLARRHSKIQPAPSQDKYVGVGETQPSLSNALASTDPLNHVKTTKEQDMIDFLSLTLSSTGTHILESSSLTMQQASGLPSAQESSFNQQKHTGKQVDFSGHVAAWAQPQIQQQQLHHQIQPQPQIKAMIQPQPQSLPAPQPPLQPESRNQSQQPLFQIQSQSPQFSSGYPPSQPQPPQFQTHAQSPQFPLVYPPPPWAATSGYYANPAQQSSTASNGPVQGTGSLQQVTSAHHFESKELYCGEHAQLSSMPRGDAFTAGQTPFIPTYRLFEDRNVWGNGDGRYKTNTSPSL >KZM99185 pep chromosome:ASM162521v1:4:30049199:30052591:-1 gene:DCAR_013453 transcript:KZM99185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVWKDDVDNGDGDVDTSPGQLSSTAEDRCYTKKIVSSNCKTEEVEPGKFIRKCEKTEQLIKDCVGRPSEVLQSNKEYTEEDITEQVLKGSSSIGSSPSEMFSFPGLRSDIDAIEKGIFSSMHRFFDAAEEMRNGFFGAFGTPNIYDRDSQAWPSTRRGIPVEGKPPKDASPKSDDSDGHVDLSAMAKDV >KZM98854 pep chromosome:ASM162521v1:4:27392435:27396062:1 gene:DCAR_013784 transcript:KZM98854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKGSSQYEHHHHDEEQPPPPPPPQYGTFQGVANYPQPQPAMGFPHPVAPPGASGQPTAHYYAHGYQASVPGYAVVEGRPIREPRLPCCGIGCAWFLFIIGFFLAAIPWYFGAFVLLCARYDHREKPGYVACTIAAVLAAFATIFDLTSDSW >KZM98808 pep chromosome:ASM162521v1:4:27048325:27050852:-1 gene:DCAR_013830 transcript:KZM98808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVELETNKEQSGPTAPLILGLQPAALLDHVARVDASLLSQIPGESGGSFPVDIEELRHILNELNTHTLSSTDDKSATKTIAGGSVANTIRGLAAGFGVSCGIIGAFGDDEQGNLFVDNMSSYGVNLSRLRKKSGHTAQCVCLVDALGNRTMRPCLSSAVKVQADELTSDDFKGSKWLVMRYSAYNLEVIHEAVRIAKKEGLSVSLDLASFEMVRKFRIPLLQLLKSGNIDLCFANEDEATELLRGEEIADPEAALEFLGKHCQWAVVTLGPNGCIVKHKNEIVRVPAIGEAKAVDATGAGDLFAGGFLYGLVKGLSVEECCKVGACSGGAVIRSLGGEVSPENWQWMYKHMKTKGLSIPSSSVMSSEY >KZM98075 pep chromosome:ASM162521v1:4:20912384:20915132:1 gene:DCAR_014563 transcript:KZM98075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVDAFLEILSRPAIGEVMVGIFVFMGPVWVAFLLGLVVGWAWKPRWACSLVAKFQSFAPALLSPSMDLASDLMQVQTRSVDSSVMEVGSKKEQLIKLKYKEDSTLRSPHTEEDDGLAVTEADFEHLSQLVDRRDGGLPWRHMMDRSTNDMSYQAWIREPEIGPPQYCSRTVYENATPEMLRDFFWDDEFRLKWDDMILHAETLEECPTTGTMTVHWIRKFPFFCSDREYIIGRRIWESGRSYYCVTKGVPNSSVARRQKPRRVDLYYSSWLIKAVESRKGDGQLTACEVILFHHEDMGIPWEIAKFGVKQGMWGAVRKIERGFRAYQKERASEQPLTRCAFMAQINTKIDPCHLKSLETDENLEATEVVKSPEKQAGMNLPKLIVFGGAVALACSLDRGLLTKAVIFSAARRFGNIGKGAFPRT >KZM98380 pep chromosome:ASM162521v1:4:23450542:23463371:1 gene:DCAR_014258 transcript:KZM98380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERLLSGDGENEVAGLSSYSSFRSSSTGIFEQLPKATIVSVSKPDASDFSPLLLSYTIQLQYKQFTWHLTKKASQVIYLHFELKKRAIVEDFHEKQEQVKEWLQNMGIAEQTAVVHDDDEPEDGAVPVCTEDNAKHRYVPSRAALPIIRPSLGRQQTIAEKAKVAMQGYLNHFLGNMDIVNSREVCKFLEVSKLSFLQEYGPKLKEDYVMVKHLPKISRTNAETSGCLCFWSCCNNKWQKVWAVLKPGFFALLEDPNNPKLLDIIIFDMLPTSNGDGKGQVYLADKVKERNPLRYAFQPPQIVGLMNVYLVSPVVSSGAGLISTELSSKIVTQCDRLPRSSICVLSWWGRAHQLSFVFFQAELGETYQLNQNHKPKVSSGNRSMKLRAGSHAKIKDWVSAINDVGFKLPEGWCHPHRFDSFAPSRGLTEDGSQAQWFVDGQTAFEAIASAIENANSEIYITGWWVCPELYLRRPFHSHASSRLDALLEAKAKLGVKIYILLYKEVPLALKINSAYSMRKLLLIHENVKVLRYPDHLSTGIYLWSHHDKIVIVDNKICFIGGLDLCFGRYDTLEHKVGDYPVDTWPGKDYYNPRESEPNSWEDVMKDELDREKYHRMPWHDVHCALWGPPCRDVARHFVQRWNHAKNNKASSEQAIPLLMPQHHMVLPHYMGQSKKIDIDSQGDEVKHKDISTHESFSFPSESIPLLLPQEANQVDSGSVDNNLNGFQCTGTDHIDQVEPFVTNMKKEVPADEINIKDHTCNMIMEDGEFPTSEDWLDIQELSYQVASDDKIEQVGPRTKCHCQIIRSVSQWSAGTSQTEDSIHRAYCSLIEQAEHFVYIENQFFISGLSGDEIIQNRVSEAIYRRIMKADKEEKVFRVIIVIPLLPGFQGGLDDGGAATVRALTHWQYRTISRGKDSLLYKLNTLLGSKTDDYISFYGLRTHGKLCDNGSVVTSQVYVHSKLMIIDDRIALIASSNINDRSLLGSRDSEIGVLVEDKDWVESSMNGEPWRAGKFAYSLRISLWLEHLGLNPSEINLIRDPVVGTTYKDLWSATAKRNAAIYHDVFACIPNDSIHSRSALRQSVSHWKEKLGSTTIDLGVAPERLQITENGETKVIDTVEKLKATKGFLVSFPLEFMCQEDLRPMFIESVRACDTCG >KZM97067 pep chromosome:ASM162521v1:4:10367584:10369856:1 gene:DCAR_015571 transcript:KZM97067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPLYLILSLFLLTILPILHSLPAIPDPTTQPLQPFLPSSSPPLTIPANPEQSDLTACPLHLSDDLFRGIQSACTGKHNKHYKSRCCPALAAWLYSAYSTVALANAGRSLQTMSYGDLPQLPDDSETCVDALHKGLKTKGIELVKVNETCDVVYCYCGIRLHPLTCPSAFSVDGKSGKLVGDHSVHRLEKNCLRHGVNGCSNCLHSLSLLNEERTRNTTASEDRTSKMKNKDCDLMGLTWLLAKNRSAYMHTVSAVFGAIMKTTDGRNPQSCTLSSDGMPLAVDSTELNSQSSASHLHYQFYLYIITMISLLYNSLVAL >KZM98889 pep chromosome:ASM162521v1:4:27691578:27692474:1 gene:DCAR_013749 transcript:KZM98889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVMMSSVSLKPSQFSVEKLGAVRGLPSLARPISFKVQASGVKKIKTDTPYGTGGGMNLRDGKDASGRKPTGKGVYQYVDKYGANVDGYSPIYDRNEWSESGDRYAGGTTGLLIWAVTLAGILGGGALLVYSTSALAS >KZM98915 pep chromosome:ASM162521v1:4:27920166:27929637:1 gene:DCAR_013723 transcript:KZM98915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLSSPSTIYQNNPIPFPIYPTKRAKHKKLFIQSHPPLRLLRPNYRPSRPIFRIYSSADPNGSEDSPWLRLSESIRRGSERFLQKFGESVKKETGFDVEAVNAEVFGFVGRAKEVANKGQTEFDSFRTRVLPDFIEWNKRDRWKDIKNWETRRLGALVLYVFVVVFSCRRVYMGVRAPFLARQQQEVTEAYMEALIPEPTPTNIRRYKKGLWRKTTPKGLKLKKFIEEPDGTITRDSSYVGEDAWEDDDADTSNNYEKQTTKYDGELSAEGEKSLQRDLEISDQNQENKSTWRERLQTWNEVLRKEKLSEQLDSLNAKYVVEFDMKEVENSLRKDIVEKARDAQGTRALWISKRWWRYRPKLPYTYFLQKLDCSEVEAVVFTEDLKQLYVTMKEGFPLEYIVNIPLDPHLFAKISSSGVDVDLLQRRQSHYLLKVAIALLPGILILWFIREAVMLLHITNKRFLYKKYNQLFDMAYAENFILPVGEAAETKSMYKEVVLGGDVWDLLDELMVYMHNPMQYYEKEVKFVRGVLLSGPPGTGKTLFARTLAKESGMPFVFASGAEFTDSEKSGAARINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLEGEKEKTGVDRFSLRQSVIFICATNRPDELDLDFVRPGIIDRRLYIGLPDARQRVQIFGVHSRGKQLAEDLVFRTVGYSGADMRNLVNEAGIMSVRKGHSKIYQQDIVDVLDKQLLEGMGVLLTEEEQQKCEESVTFEKKRLLAVHEAGHILLAHLFPKFDWHAFSQLLPGGKETAISVFYPREDTVDQGYTTFGYMKMQMVVAHGGRCAERVVFGDDLTDGGTDDLEKITKIAREMVISPMNARLGLTALTRRIGLVDRPDNPDGELIKYKWDDPHVIPADMTLEVSELFTRELTRYIEETEELAMNGLLANRHILDLIAKELLEKSRITGLEIGERIQEFSPVMFEDFVKPFQIDLEEDGPLPHSNKLRYKPLDIYPAPLHRC >KZM98988 pep chromosome:ASM162521v1:4:28549412:28549594:1 gene:DCAR_013650 transcript:KZM98988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCDGPDGCKMQINGYALSVLLANGSEKELFYWVTHRSHLLHQRNLGFSLLYFGFDRYSK >KZM97803 pep chromosome:ASM162521v1:4:17992848:17993599:-1 gene:DCAR_014835 transcript:KZM97803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGKLKKGAAGRKGDGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAKRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKSRIIPRHLLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSEKAAAAKEPTKSPSKAAKSPKKA >KZM97404 pep chromosome:ASM162521v1:4:14274845:14290630:-1 gene:DCAR_015234 transcript:KZM97404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVPTKIGQREVVRFLIADGSISHKVTIWGDFATAVSSDYYKPDLEQPVICIMTNTKIGVFMDHVQVGTLPSTRVFFNLDVEPVIEFRNRLIEIGYKSPGGSADVAMNSAPVLEHVSFASLIANSESMVAKETVLSTFTVSRIEEEDDFWFHSCTACQAEVLRTERKFKCETCNRSFPYADKRFRILVLADDKTHACNVLLMDRIVKQILGTTVTNMLNEMKKAPATSSVSEMYKKIIGKEISAKIILTEGNKNGDSNIYEAVELFDKTVNDSSSGDKSPETNPNTFSSSGIVQGIELFHTPGSAGSVSKKIKTEDDIVHIG >KZM97746 pep chromosome:ASM162521v1:4:17475005:17477702:1 gene:DCAR_014892 transcript:KZM97746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCINVSAATEQLCYIPCNFCNIVLAVSVPCSSLYEIVTVRCGHCSNLWSVNMAAAAFQALSTWQEHQPHQPILMYTIYLTDKQAPNSGYSPEYRSDMSSSSSARSNNKITTRPLPINPPEQRVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLESNNNQSKLDENAAKFRMPRSAYLNK >KZM99199 pep chromosome:ASM162521v1:4:30149339:30149968:1 gene:DCAR_013439 transcript:KZM99199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKECGDHKQKRQNRIRRLCACLLVFCFLVLLVFLITWAILQPKKPSFVVRDATIYDFKVASSPDLFTSNFQITVSSRNPNDHIGIYYDKLDVYASYRGQQLTYYTVIPPTYQGHKSMNVWSPFISGTSVPIAPFIGEALNLDQSNGGIQMMIKINGRVRWKVGAFVSGRYHLHVTCPVYIPYGSKNDNFDGETSIKVPLLASCAVSV >KZM99560 pep chromosome:ASM162521v1:4:33050309:33050467:1 gene:DCAR_013078 transcript:KZM99560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVAATCSRCGSSAKVADIETSTRFCKIPFHWKSRKAIVCTFCGATLKSYA >KZM99636 pep chromosome:ASM162521v1:4:33607360:33608221:-1 gene:DCAR_013002 transcript:KZM99636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQRKNKHVPTQGIQGDVQKKGRKMGGFEKQVKERAKEMKHYLNKGAKVVGESCKKGWLKLKNIRSG >KZM96732 pep chromosome:ASM162521v1:4:5467031:5470291:-1 gene:DCAR_015906 transcript:KZM96732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCAVSAPTTCITFPRSPLRKLGPRRVTVSAVAVEPDGVASKVEYTPWLIAGLGNPGNKYHGTRHNVGFEMIDRISEEEGIVLNTIQSKTLFGIGECFLVLSSCIGEVPVLLAKPQTYMNFSGESVGSLAAYYQVPLRHILLVYDEMSLPNGVLRLQPKGGHGYHNGVKSVMEHLDGRREFPRFCIGIGNPPGTMDMKAYLLQKFSLIERKQVDAALEQGVDAVRTLILNGFNSKVNRFNLGQKYKYHKV >KZM97851 pep chromosome:ASM162521v1:4:18373075:18382814:1 gene:DCAR_014787 transcript:KZM97851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAVSLSNNFSLYNPPKPLVCKRTTPSSLHFNPSLTSFPVYKSSSPLLLFSSKTKTPLSLKSSFSVKASNFSPQINDQDEVHLDDSKNTGVDGEEKSPTIKSLLKVYKEAVLIGDERTTSQVESMICEVENEKNELVMKTSTLAAEINSGKERYIRLQADFDNFRKRSEKEKLTIRSDAEGEVIRSLLPMVDNFERAKQQLKLQTDQEKKIDASYQGIYKQFVEIMRSLRVAVVATVGKPFDPLEISAIPYLCYSRQNVGSGVGTRLHEAIAREPSQEYKEGIIVQEFRRGFLLGDRLLRPATVKVSAGPGKKKHPTVVKEPTEQSAAAAGVDDSSSYKPSSATTSSNLITDQQSLLVLKDHVTSDPHKFLQKNWSATTNPNICNWIGVSCGLKHQRVTALDLSYMDLRGTIPPHIGNLTFLASLDLTSNSFHGAIPKELSGLRRLEKIYLESNNFTGLVPSWFESLPKLRTLSLKNNSLVGIIPVSLGNIGKLEILSLSYNYLNGHVPEELGNLSRLWFLDLKYNQLTGSVFKAIFKLSALTRVDLTGNGFSGSLPLDMCDRVPNLEGLFISQNQFFGQIPSSLYKCKTLQYLSLSYNGFDGSIPREIGNLTMLKSLYLGDNQYKDSSVHSLSVLDYSNTIKHKLLDYNSRISIGMTREIRSDMASEKLVMQTSGTLAGSIPMEIGNLINLEILSLKNGSLTGVIPSSIYNISSLTMLDLSFNKLTGNISSSVGNFQSLQEFYLTQNMFTGSIPREIGNLTLLKLLYLHSNNLTGLLPQEIGDLTTLERFGIHDNSLTGIIPVRIYNMSSLKVLDLGRNQLSGSLPSRINLPNLEELYLAGNELSGTIPSSISNASKLTILSLTSNSFTGSIPNTIGNLRLLRRLLLGENNLTRESSSMELNFISSLTNCQHLDLLSISLNQLNGVLPKSIGNLSTFLTTFEAFGCKLKGEIPTEIGSLTGLEAILLDNNELTGHIPPTLQRLNNLARLYLEHNKLQGSIPNELCRLNNLGDLYLSDNKLNGSIPVCLGDLRSMQRLYLDSNQLNSTIPSSLWSLTDLIGLNLSSNMISGSISMEIQNLKQITEIGLSWNQLDGYLPSTIGGAQMLIYLSVSHNKLQGSLPRTLGNLINLEFLDLSNNRFSGEIPKSLEALRYLNYFNVSFNSLQGKIPTGGLFSNFTLQSYLENDGLCGIPSMQVCKNEAHRKSWSKYVRILKYIVPSIAVSTLALFLIFVVITRRRRNIRSSRQVSLSPSAWERFTYAEIVKATNSFSESNLIGEGGMGSVYRGILPNGVNIAVKVFNLHSDRALESFNVECNIIGSIRHRNLIKIISSCTNLTFRALILEYMPNGNLESWLYSQNRCLDVLQRINIMIDVASALEYLHHGLPTTIVHSDLKPSNVLLDEDMVAHVCDFGISQLLGEEEHMTQTSTLGTIGYMAPEYGTEGIVSAEGDVYSFGILLLETFTGKRPTDEMFSAEQNLISWVNEGLQGSVIEVTDEKLADQGQELTSSELQCISSVFKLAMNCSTYTPKERTNMAEAAGRLDKIRNKFLAEIEQVKNLQFQ >KZM99627 pep chromosome:ASM162521v1:4:33547257:33550776:-1 gene:DCAR_013011 transcript:KZM99627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPLLSSPAIGRRSDAIAHGTLYQKAAALIDLAEGGMGIPEEILDDHLTFADAVKYYFFFIHFNWIWFLNSFALLYLNFLEKPLWCGQDLAVSCHNRDYYFLGQLPHLNEAASLIYEGITLVILTAHVIFPVSYEGFNLYWKNNLHKAKASTLLSQPLQFMVFQTPEIIFLLILVIDIFIYGLYLSPVALNSLPFRIAPYIRVVFFILNIRVLRKTLLVLAGMIATFFNVVLLGLLFLLFSSWLAYVVFENTEQGRQVFTSYGATTYHMFILFTTANNPDVWIPAYKESRWYSLFFILYMLVAVYFVTNLILAVVYTSFKGQLAKQVVARDLKTNAILKKAFNLIDENDFGYLDKEQCSHLFKELSRYSTLPNIPEEDYGLIFRELDNTNDSKISLVEFTDICHAIAVIFQKGDSVPWLEKFQFYRAPLSQKLKAFVRGPRFRYVVIFILILNVVTVVVETTLDIQDKSGQKFWEQVEFVFGWLYFLEMLLKVYSLGFENYWRAGQNRFDFIITMLIVIFETATFVSPERLLFLSNGQWIRYLLIARMLRLIRLLLFVPRYRAFVATFLNLIPSLMPYLGTIFCVLCMYCSLGVQIFGGLVNSGNARLAETPLADNDYLVFNFNDYPSGMVTLFNLLVTVNWQAWMQSYKQLTDSAWSYAYFVSFYLVTVLLLLDLVVAFVLDAFFARMELEEADKDDRDEVSVSKDDRDEVSVRKESRRRYAKMKTPTRKVDILLRHMLSPELDQHAQAQYYGV >KZM97256 pep chromosome:ASM162521v1:4:12873457:12874984:-1 gene:DCAR_015382 transcript:KZM97256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSTKKVACSNKDIISDLPQNVIEIILCFLPILDAVRTSVLSKKWRHCWTTIPDIVFDCDFSHYMLWDKLDQYKDKQLMAYKYVRIINKLLLLHSGPILSFSLNFLPMCDAGVIHDYVDQWIPLLLRKGMKRLTLEDHVQDYFTALHHFSSVELSHLRLANACLPYTPAFKGFTYLRKLELIDVYDLDDWILDCPVLEMLTLVICEGILHKNFNAPKLKYFHQLHRDLDLGYSLDGLENVAECALSLRLAEDLITETNTSNVVKAFSTLHKIETFSAGLCFIKYLAAGGSPNRLSKPLPYLKTLDISDIDFTLSSEVSCLLCLIRSAPNLCKLHISATHDAVKENLIEYWVEDPEDCTLEHLEVVTFSYFNGVQAELELVKFLLAHSPLLKTMFIHCSADIKRDVETTKAKEMWNYSTASSKAQIKYLNDPVHIDYFGDWVQEFDLGD >KZM98427 pep chromosome:ASM162521v1:4:23870552:23900488:-1 gene:DCAR_014211 transcript:KZM98427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLKKLEKVQNTIMFLQNHNLIDSSSSPHLNHPDSNRFLANLLLLLIQPCGQLDMEAKSHLISATLPKISASFLEEASLFLGENIGEEGFCNGIHSDQKAVVQSLNSEISDMAIVGYDAMQRANSTLEDFCRSYFMFHGMDANKPQTTFRYLPLLSFTESYIYQLDNLNEKLMQLPTSGDPLNKPPDAEGNRSCIISSAESFKTDPFRPLVLLLERLGLLTERIKEEFKCGEQYWTIERKLCSALTNRLEISIDDVKMAIHLKSFDYRVLNLLLYQLRGEKRRKG >KZM97377 pep chromosome:ASM162521v1:4:14010240:14016331:1 gene:DCAR_015261 transcript:KZM97377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLFFRSSTSNNGNDSSPPLSNDKQSYLENSSHGGRKAIDKVKTKKQVSGNQKSSISPSLRRSRSYSSGSIHEGGLGQTDLCFSNYDNGSPCHSIISRNQSDLRSSRFQTLSPERNSQAKWFKDASLQHSHVFEKPDGIISSRASFGSSESSSYCSSNVSSKVLDRYIVGEQLQETSVPKNTCHQKIRDEDRTGGGKRPPRVSIPTDGVNQKPRSQSFRETKESHQFFSTKDWVETGFGDESPRKLAKHVIERLSQARPLPAASSRKFDSDIPFTVEDIYGGEENRFSRLDSDGGQQKEISSLAERNGFCYETFRDPDSAAAEQDLDKKLLAKFEQAEERAMFLSEELKQENFLGDGRVSVHGLVQTIRSLAEERSNMALEVAAVLKDRVAEKASFAEELRLAKLELDSRTQKLEKEKNELQFSLEKELDRRSSEWSSKLEKYQSEEHRLRERVRELAEQNVSLQREASSFRERDTHSRNQIVSTEEQLQDLTTSIEQARAENQKLHKTIFEMQEKFRAAKDDRDCIHRSYEEKEKECKDFHRSVTRMLRTCSEQEKTIEGLRAGLRDEVAKQRFMDNPDSQIANLQMELMRLTGVEQNLRKEVESYRYEIDSLRHENINLLHRLKGGRNVNGSTFKLDQELFDCVCYMQNKTPTFLNECIQLCAKLLQHIKEKSGLSSHVKHGTEVIENGVDAQFIVDSDVRIQGFKRGAENLIQSLRSVSSVLQEKATTKESQQDSFQKELSHRSDQNLEDNLRSELRAEVLLTRLLRERLYSKELDIEQLQAEQAACVRGNDILQCEVQNALDTVSCVTHKMKDLELQMINKDENINRLQHDLQECRKELTIVRGILPKVSEERDLMWDKVKQYSENNMLLNSEVNDLKKKVENLDEEILLKEGQITILKDSMGKPFDLLASPDLDREFLLE >KZM98085 pep chromosome:ASM162521v1:4:20967787:20969660:-1 gene:DCAR_014553 transcript:KZM98085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALTLVSPHSTCSFSQLHTKSQPAPLQFYLSPANETITCRPFRSFGRRFVTRSVPSGLRIRSAATKPAKSPVEEEWKKKREVLLEKRVRSVDVKEAFRLQNENNFVILDVRPEAEFREAHPPGAVNVQIFRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIESVTSKLDKSSKIIVACSTGGTMKPTMNLPEGQQSRSLIAAYLLVLNGYTNVYHLEGGLYTWFKQDLPAVTENEE >KZM97578 pep chromosome:ASM162521v1:4:15951975:15952448:1 gene:DCAR_015060 transcript:KZM97578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRPRHIQIHSQATHQNGPTTSQVLAIITLLPVGATLLGLAGITFIGTVIGLAVTTPVFIIFSPVIVPAVLAIGLAVAGVMASGVFGISGLSSLSWLLTLFRQTAEAMPETVDAAKKRALDVASYAGQKTKEAGQTIQNKAARNDGKEGVPRTLAE >KZM99198 pep chromosome:ASM162521v1:4:30142158:30142847:1 gene:DCAR_013440 transcript:KZM99198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHRAAAATFLGGAGQQPPATRPTTDRQQYNRITKVICTCVRDIMLALIIALICIAFISIIASLIIRPRSPRFTVISAAIAGVNVTGSEFKAACNLSVLAHNPNTNLVIWYRKLEVLLLYGSDYELSGTTIAPMFQSKRNQTVISARLVSDDVDVDNDVVEGVASELRRGVITFRVRVLAGVRFKRGKWVTKKYKVKADCDGIDIGVLNATGTGNLIEPGIQCEVDMI >KZM96589 pep chromosome:ASM162521v1:4:1575051:1582599:1 gene:DCAR_016049 transcript:KZM96589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCWFFVGLVFIVTCDAAAEEVTVKLLKTPRAITNQNAAKFAFEILVGSNGNACTNCTTNCKLNDHLPLGCESGEVTYARLKDGNHTFEVCTKGVYGVVCSSYTWIVDTVPPTAFVAAAKSFTNETNISVNVSFSESCSGLGGFQCTSVDSCNLLVYGAGQVIPSTLNIIQPNLTYSLMVNLSSSVQYGRVILVMDKNFCTDAAGNKFSRSQNSWFILHFDRRTAVVNLRTHIPERLLQLGRETRTVQATNIHKNLELYLYFNKPVINSATEISNSIHTTQGSLLPISGDSLGNHRFGFKVNNISSLAIVTVSLDSDLLASRYGSTVSPVAPITFLFDSQRPAVRLSTTSNMRTRHKSLPILIRFMKPVFGFNSSHVSVSGGYVQGFREASLSSYSVDIHIQEDGGIVSISVPENITKDVAGNRNLASNILQVKHYSLPAISLVLSSFATATFIVTALSAGLLTISVASLQSIGAFGRRSSSLTTDPTRNLFRIACHIQTFALSRWLAVTLPVEYHEFARGLQWSIPYFSLPWDIGHTQSVIVPSIWPTNPHSSSSKFVHLGTSQHVEPTSEHADVATSLYGLPLSPAEYRSFFESQNIPEAEYVSNANESDGWSDFKKSMFWLAVLGGSLLLLHVLLLLILKFRKTKTEKQKIYGALVLPRFEIFLIILALPCVCEASAALLKGGSTAGTIVGSLLLAAVSFAVLALFLFLSAGITYGKLLQYKEVHKEGQKSHWYQELIRVTLGPGKRGQWTWINQSNSVYLTKFGPLFEDVRGPPKYMLSQFVAESSHISGDRIIASDDETEDAEAPFIQKLFGILRIYYTLIETIKRVCLGILAGSNSENWSSKAPTIILLCITSFQLFFMVLKKPFIKKKVQLVEIISVSSELGIFAICFVLLEKTFSAKDEKNIGICMLALFLLAFLPQIMNEWYALYRQTQQLDPAGKSLWKGLKAALVGFLLYFIPQKLMKNAYNSLELDKFGDKVLADPSSSGDRNRSSGSRASSGNEKPWMKQLRELAKSSFSKDTSGTPNDPSTSGTRWSGLWGGTRGSRSSSLSTSGETKSKPKGLYKDLEAIFASK >KZM98651 pep chromosome:ASM162521v1:4:25784396:25785874:1 gene:DCAR_013987 transcript:KZM98651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEALVQYIVSYMNNAKDVAFSNCVSKRWKDSMPYLKSLYFPRNIFDNLTGDTPDCIIRQMVSSICRLETLVVYCPFTSVGLASWLSVQGSFLRNLELRMDNLGDHQACTKSPSKLDCLRAASNVESLRLWGVLMIHPPNWDYFLKLRNLEIVGAKLEDPALAHALKACPNLTHLSLLGCEGLRSVPIELPQLQQCKLDFCGLGDCSLSVTSPTLESLQVQGCGWLQVPETKCLRTLSIANNSGRVYMVDFGKLSALESLSIRGVQWCWDAVSKILKLASEVKYLYMKVEFTGDFEALLPFPETDFVEFFSNHQKLQKFDIHGAMFAALCQKNSLRHIDSSFVIPCLEEVTVTIRSPLNAEQKMSTLESLVKYGKNLKKMTIKILQMKSNHSSADDFFEEICRFRYMNHKIISIE >KZM99055 pep chromosome:ASM162521v1:4:29054124:29059601:-1 gene:DCAR_013583 transcript:KZM99055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLQKWKCTWSHVATIATIVALVSLLDIFLFHRVPYSTYLGSMQLRNSCTSVNCSTQGGNDNLMQNSPPLIDLDAQFPADLHKAVVYNGAPWKAEIGRWLSGCNSNATALKISEGISGKSCKNNCSGQGICNHALGQCRCFHGFTGEGCAEKVQLICNYPASDEEPYGRWVVSICPAYCDTTRAMCFCGEGAKYPSRPVAEACGFEVNLPSEPGAPALTNWSKADLDNIFTTNASKPGWCNVDPMEVYANKAKFKKECDCKYDGLWGMFCEVPVLCTCINQCSGHGHCRGGFCQRCFTSNEGLRGNMNIPRKKGCVKGWYGADCSIPSVLASIGKWPQWLRPAQVNIPDHTQITGDLDNFTAVVEKKRPLIYVYDLPPEFNSLLLEGRHYKLECVNRIYDGENNTIWTSNLYGSQMALYEQLLASPYRTTNGEEADYFFVPVLDSCIITRADDAPHLSMQEHSGLRSSLTLEFYKNAYNHIVDQYPYWNRSSGRDHIWSFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKISPDRRGDHPCFDPDKDLVIPAWKDPDLESLKLKLWSWPREKRKILFYFNGNLGPAYENGRPEATYSMGIRQKVAEEFGSSPNKEGKLGKQHADDVTVTSVRSDNYHKDLASSVFCGVMPGDGWSGRMEDSILQGCIPVIIQDGIFLPYENVLNYKSFSVRIKEDEVPSMINVLRGINETEINFLLENVKKIWQRFLYRDSILLEAERQKTGFGHVSPWAEELSQLKEDDVFGTLIQVFSTFLTGDVLSPQIN >KZM99603 pep chromosome:ASM162521v1:4:33353542:33354204:1 gene:DCAR_013035 transcript:KZM99603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNVIENGTGTNNLTTIEEQLQPLLDDHQLPGTQEKPRKTPAQKVMRKTFKRTALLANLLPTGSVLAFNILSPAFTHEGTCPTVVNKTLTLVLLGLCTVSCFFLCFTDSFRDERGKVRYGIATINGLWIVDCSVKVPPEDGEKYRIRFLDFVHGFMSMLVFGAVALFDQNTVKCLYPMPTEEEKELLATLPLAVGLICSMFFICFPSQRHGIGFPLSRH >KZM98487 pep chromosome:ASM162521v1:4:24370265:24370933:1 gene:DCAR_014151 transcript:KZM98487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQINYSPCPYSWEELYHQSFFPSHRDDYQHSTRDDGSSMFGTFSDQEPKGSSDTYEEEVIVKGQQEDAELADEIKNEEKRYIGVRKRPWGKFAAEIRDSTRNGRRVWLGTFDSEEEAAVVYDQAAYLMRGSLAHLNFSVKRVQDSLREVKYRCKQGCSPAEALKESHKLRNKTKKKTGSCSDIKQGNNNNNNNNMLVFQDLGADLLEELLAKSASSSTSF >KZM97819 pep chromosome:ASM162521v1:4:18101503:18105355:-1 gene:DCAR_014819 transcript:KZM97819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNCNSILSLRCSMGKVIKLYNAQFVVNLSELGQDDPFLQNATHHLQSLKVPWYTTSILKGEGEELGYVVKQIKIPDQNVLDVIFYHADFKQDNSTGSGNHRIHRLTNLLKASTSDWRIVVGSQSLEGCDDGPQKSDLYREFLKYGVASELSCDICFFEESYLTYIISLNLKAKLVQTDAYLSAQSCNGNVQKEGMTHIHNITEMRRGPYFTSINEKRILHSDVGNGFLLHRVGSIEIVTYLVTLKGEVVHQTSLKQGGRHFI >KZM98176 pep chromosome:ASM162521v1:4:21720929:21721702:1 gene:DCAR_014462 transcript:KZM98176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHS-3 description:Chalcone synthase MPGADYQLTKLLGLRPSVKRFMMYQQGCFAGGTVLRLAKDLAENNAGARVLVVCSEITAVTFRGPSDSHLDSLVGQALFGDGAAAVIVGSDPDLSVERPLFQLISAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLKEAFGPIGISDWNSLFWIAHPGGPAILDQVELKLGLKEEKMRATRQVLSDYGNMSSACVLFILDEMRKKSIEEGKATTGDGLDWGVLFGFGPGLTVETVVLHSVPATITH >KZM98041 pep chromosome:ASM162521v1:4:20598679:20599904:-1 gene:DCAR_014597 transcript:KZM98041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVGSVLSYGLWFIFQVKLFKVFPCKYLSTMLICITAAVQQVVVGLCIDHSKSSWRLGFDLHLITILYSGAFATAATFCLVSWAVAERGPTYPSMFNPLSLITIAIVEAIFMHEELKLGSLIGMLVIIIGLYAFLGAKNKEFKYLNRKISETTATTQFPATNGISNNIDKSNAGCDQSAKVFCPSTVAPTKSADDRADVTNADSENYCVTRITKM >KZM99709 pep chromosome:ASM162521v1:4:34177106:34177405:1 gene:DCAR_012929 transcript:KZM99709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQIKYHTQSSLPALGFHLRFCQMEGNIRGWHCTVEISTIYNCKFATTSSTDIWDQNYLGGEDTFTIQKNVHLQFPRGNSDACKLLIWRINHCIHSNT >KZM99326 pep chromosome:ASM162521v1:4:31124885:31125950:-1 gene:DCAR_013312 transcript:KZM99326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFMSLFLLLSILMTTLAQLAAADFYKDAEITWGDQRAKILNGGRDLTLSLDQASGSGFQSKNEYLFGRFDMQLKLVPGNSAGTVTTFYLSSQGAGHDEIDFEFLGNSSGSPYTIHTNVFAQGKGNKEQQFQLWFDPTSSFHTYSIVWNPQRIIFLVDNNPIRVFNNQESIGVPFPKSQHMRVYASLWNADDWATQGGRVKTDWTKAPFTAYYRNFNIKGCPKSSTGSSSCGSSTASSDNEGWRTHELDAAGRNRLRWVQSKHMVYNYCSDKQRFANAVPAECKRSRFL >KZM98404 pep chromosome:ASM162521v1:4:23659601:23660596:1 gene:DCAR_014234 transcript:KZM98404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGVDFDLYNSCTSSPSSYSDPCSEELMKALEPFMKSASSTDTSSSISSLSPSPTSNFYYPFDSSFSTQPPTFPVYSPPESTTQMFSTGFSGFAHMGMDQTGSLGLNQITPSQILQIQAQIQLQNQQNYYSSISSLPPQPQRSSNFLGPKPVQMKHTGSPTKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDRAAYKLRGDFARLNFPHLKLDQELSTFKPLHSTVDAKLQAICQNLNKEPKQKSAKKPKTSKPAFVKVEEASNGSDLSGGSSPESEISFLDFSEPCFDESENFMLQKFPSVEIDWEALTSSLMS >KZM98916 pep chromosome:ASM162521v1:4:27930844:27934998:1 gene:DCAR_013722 transcript:KZM98916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHQLTGDFPLFSVKKTPKQPLTTPTPTPPTQTSKPQFLKETSSTPNPTSSFSQLGLSDWALQTCKELGLKAPTPVQHSCIPRIIAGDDVLGIAQTGSGKTAAFALPMLHKLAEDPYGVFGLVMTPTRELAYQLAEQFRALGSCLNLRCIVVVGGMDSLTQAHALMQRPHIVIATPGRIKALINGNPDIASVFSKTKFLVLDEADRVLEPSYEDELRVIFQCLPKSRQTLLFSATMTSDLEALLELSQNKVYFFEAYEGLETVESLRQEYLLIPKNVKDVYLMHIMSKMEDMKIRSAIVFVSTCRTCHLLSLILEELDLEVAALHSFKSQSLRLSALHRFKSGQVPVLLATDVASRGLDIPTVDLVINYDIPRYPKDYVHRVGRTARAGRGGLALSFVTQNDVELFLRIEAELNKKLEKFDCKENEVIADITKNNVPNSFVIAILDEQVYQARRVATMKLVDDGFEDKENERKAQKQKMLEEKGLLKGKNKKRKKGGKGGHH >KZM97897 pep chromosome:ASM162521v1:4:19168668:19171371:-1 gene:DCAR_014741 transcript:KZM97897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETQNLVPISVSPHRIRDTTTKLELTVDEVVEEYVGSLGFSQIIHVFLVSLAWIFDSQNTLVTIFTDAQPAAWQCISPPCIRNDTANAAAVCSMVAGSWEWMGGSKSSIIAEWSLVCDRKFLAAAPASLFFIGSLLGSGFYGWIADAYLGRKKTVLLSCLLSSITSFLTSFSPNIWVYALLRFSNGFARSGIGICCLVLSTEAVGRKWRGQSPRWLLVKGRSKEAFDILKRYAHLNGRKLPANLCLLEPSQGKTGCGEARMNLWSTKWAAKRMITLMIAGFGVGSVYYGVQLNVENLDFNLYFSVGLNAMMEIPAVFIGSVLLSFTNRRSLFSWSAYIAGISSIICILFSRGLKKGVESKGAWTQLLVEGIGFMAASTAFDVLYIYCVELFPTNVRNFAVSMLRQSLMLGASIAPLFVVLGRLSPSLSFLVFGILSIFSGTLSLWLPETKDAPLYETLEQQEDEEKLNSLSVESALELGK >KZM98825 pep chromosome:ASM162521v1:4:27161003:27171714:1 gene:DCAR_013813 transcript:KZM98825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQSGRGGRERSRRDYPSRSEERSHHGRSSAPPSRHLWVGNLSHNLSERTLKEHFLRFGELESLAFQPGRSYAFVNYKHDEEAFAAIRALQGFFVAGNSLKIEFAKGEKSSSSSVDEEYLLRRDDQRSAVRGSPYHHREPRAHRSSPDPSYPNKSKMDDKSVEPSEVLWIGFPALLKVDEIILRKAFSPFGEIVKITAFPGRSYAFVRFRNVMSACRAKDALHGKLFGNPRVHICFARNESSGRNPLNAPSSPSARSYGRMEPENFRHDRNYENISGDPSMRSPRFISDMESRDPDILPFQRKGNIWPVNNGAFEQGFQDLGPEMGPPRGVYEHRASSPRDRGARFRDYSPQNFPRQGQLYDDAWDLPEDAMLFHESKKLKTNPFPHEHELPEFPFRDPEQVKHVLPRIPDYHRRDAFDKSFDSGSFGGEQIPDRAINLTQPYSERSEHWNTPYESLQVGSLPLPPNQRPTPELHQPSSNEVWKWEGTIAKGGTPVCRARCFPVGKMLDMILPDFLDCTARTGLDMLAKHYYQSTSAWVVFFVPETDVDISFYNEFMNYLGEKQRAAVAKIDDNTTLFLVPPSDFSEKVLKVPGKLSISGVILRLETPGPSIDSHNMHERKDTNFGSFQGDTSYPRQISPSESYASTAPYPNHVNPGVNNLPFHGKLPAPPPSYAGLIHTDRTLPDTMNDDRHINKQNPPLGQNWPVHNPQNPNAGARNITQPSSSAFDSVNQGYNPATPRAAPQTNFANYTTGFSGTPYPGSSNPSHDTNPPSSSSLLAAALQPEKLAQLASSLLGQQRQTGVVSAGQDFRQSSSTSQSEHMYRPQQNISVSSNQVASDYPPSQFSQQQQVQQYQQHQTSNVPAAAPPRELSNVTPGNQQNQNAGTQEDGDADPQKRLQATLQLAAALLQQIQQGKQN >KZM99821 pep chromosome:ASM162521v1:4:35142475:35143389:1 gene:DCAR_012817 transcript:KZM99821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLICGSFNNQEDEDFEFLGSFTSPRSRKPAKWHSFGGSKGNKNPYADRGLDKFSALVAELDSRRKKIYTQKGSEDISLIGFVYSNSDDYKPIVVKLKNKKQQSMITEKDKLKPDHVVSPPASSEIEPAKPDQAKVLSRIGSYIKTTPKKVRFEVVKNINTDNLRQPWYYISLITIFILVSITVFGRSFAVLCTTLGWYLVPTIQNLDVKKKPAAKKKIYAKALSYNDKLITSRDNEMVDSKKTKTMKKAMSYSYNKNVKISSSTFDHGIASPSSVLSGYKSPPQPASPPLDRRLSFPTQGN >KZM96967 pep chromosome:ASM162521v1:4:9287493:9289418:-1 gene:DCAR_015671 transcript:KZM96967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQVAIIGAGISGLAACRYCKAKGLNPIVFESESSVEYASMDHTAAANLIRDKKVAVVGFQKSALDIAMECSSVNGPEHPCTVVYRTKHWGIPYFLPFGIPLLYPYLNRFSELMVHKPGESLALSLLVSILTPLRWGISKYIERYMTSKFGLAKFDMVPEHSFLKEINSCSIAILPEDFYNRVEKGGIKLKMSQAFCFSEKGILFDDEVESEDVDMVILATGFKYFEKLKDIFVSPTFQSHIANASGLYRNCLHPRVPQLAVIGFSESISNLHTSEMSCRWLAELLDGTFSLPSIKEMEDDVKEWDKYMKKYCGSYSTKWCIGGLKIWYNDQLCKDMGWISKRKGGFFAELFEPYSPLDYIRP >KZM97363 pep chromosome:ASM162521v1:4:13899481:13903142:-1 gene:DCAR_015275 transcript:KZM97363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISNLKALLHDISCLLGLSSCENVSFEPVKRYYQKVEEILMVIKLVLDAVHDAQVAFDESLQEEFASFSGLVLQVESLMTKVHSSSSDMFEFLKGSDQYLHDKLSTATLEHCLQKIKHWGFDQTSALIKREVRNMGDNSDPDLECLVKITDSLSLKSNQELLIEAVALENLKGNVDKSDRKGEANYLHQLLALVTCMHEQFVLLKLSESYETVHIPANVCCPLSLEVMTDPVIVASGQTYERAFIQRWFKLGLTVCPKTRQTLAHTNLIPNYIAKALITNWCESNGVDLPESVKSVKLNWHSSLLDHATSGAAFDPHMAQLRNNQFTLSDSTQTLGCLEECFTNNGEIVSTSHPRSSPEDSSSGETVNGRVLGMDSLSSPASETRTIISDVETHIKKMVEDLKCTSMEVKRDATAELRLLAKYNMDNRIIITNCGGIPLLVNLLLSEDMKTQENAVTALLNLSINDDSKAAIVGADAIEPLIHVLESGTDEAKENSAATFFSLSVNKENRVMIGKSRVIQPLVNLLGNGTLRGKTDAATALYNLSMFHKNMVKIVQAGAVKYLVELMDPAAGLVDKAVVLLSNLATIPEGRSAIVDEGGIPVLVEVVELGSARGKENAAAALLQLCTDSSKICKMILQEGAVPPLVSLSQSGTTRAKEKAQALLTCFRDQLLTRTHLAQSTTFPCLLDVALEIGVVPSTKPVCFLQIR >KZM98207 pep chromosome:ASM162521v1:4:21916888:21933266:-1 gene:DCAR_014431 transcript:KZM98207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSDEDELLQMALQEQSQRDVNYQKSSSSGKPVRNHVQQPAKASPARQSRKSNQSSSARKQRQSEDYDDDSEVEMLSISSGDEDLGRDRAVRPPKGGRDDDKWDGGEPDCWKRVDEGELGRRVRDMREARTAPIVQIDQKPANLRKGLQNLQSIPRGIECIDPLGLGLIDNKSLRLVYDRKALSPSKAEKDYPDQELRGVAVELLNFGTTFSQWMIHNPSPFSSCGTLQLRISGSKEKLMYFSEKFDAKLFLSRVHQETSADDLEAGAIALKTDFEGRTSQRKKLVKENFDCFVSCKTTIDEEDPEGSGTTHLYDCIQGVSSVANRAFEPLFERQAQTEKIRSVQGMLQRFRTLFNLPSTIRNSISKGEYDLAVREYRKAKSIVLPMHVGILKRVLEEVEKVMQEFKGMLYKSMEDPHIDLTKLENTVRLLLELEPELDPVWHYLNIQNQRIRGLIEKCTLDHEAMMEQLQNEIREKAVSEAKWRQLQQAMNDPLDDDYGSTLEDIEPLMLSSEEVDAYRGKYIRRLTAVLILHVPAFWKTAQSVSSGKFAKVQNTFQDFEELNILRPYMNDSIMEISKACQAFEADSAPSVAVTAMRTLQSEITKVYILRLCSWMRTSTEELAKEESWTPVSVLERNKSPYTISLLPLAFRSILVSAMDQINLMVQSLRSEAKRSEDIYMQLQEIQESARLAFLNCLLDFAGHLERIGGELAQNKSNRGSPHFENGYSDDQPQMSFDPLPESVIHPLQQLLMVLSNIGYCKDELSRELYNKYKHIWQQSRGKEEEDADMQDLSTSFSGLEEKVLAQYTFAKAQLIRAAAVNYMLDAGVQWGSAPAVKGVRDAAVELLHSLVAVHAEVFAGCKPLLDKTLGILVEGLIDTLLSLFHENEEKDLRALDANGFCQLMLELEYFETILNPYFTHEARDSLKSLQGVLLEKATESVTESAENERQQGSAVSPDDLIALAQQYSSELLQAELERTRVNTACFVESYPLDSVPESTRASIRGSIDSPSGSFKSSQSFQGRQRRR >KZM99003 pep chromosome:ASM162521v1:4:28674365:28675861:-1 gene:DCAR_013635 transcript:KZM99003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNTRWLDDSAAPVFILTPFSESEIQAAVACSQSNGVKLRIKSGGHDYEGLSFRSSTSSPFVIIDMSQLRDVRVDVANEVAWVGAGATIGELYYAIAKESSVHGFPAGTAPSVGVGGQFSGGGFGWLVRKYGLAADNVLDARIVDASGRLLDRGKMGEDLFWAIRGAGGGSFGIITSWKIKLVQVPPIMTTFTKHKLLTENATALLDEWQTIAPKLPNNLVIRILARLQNKNGEKSVKISFNSLFQGAKSDLMSIMNEKFPSLGLMESDCFEMPWANTSLLYWGAKQGNEYPLEDILNRTDRLVGTYKGKSDFVRTPIPTSAFQGIWNLFTEESGLMIFEPFGGKMDEFSETDLPFPYRNGTLYNMQYLVHWQNNDQEQGQRSVEWINKMYKYMTPFVTSNPRGAYVNYRDLDLGMNQPQGKSNYDEAKVWGEKYFGNNFKKLCSLKHRVDPQDFFSYEQSIPPVSSESEQATVMTSKLPPIEAMRAPPTKWYSGWS >KZM97160 pep chromosome:ASM162521v1:4:11636356:11638071:-1 gene:DCAR_015478 transcript:KZM97160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSNSSFTSPTQTTPANKIFTVNEQGWVIQIRKTLEEEFEEEDNSESPVCIYSVPSTLVFTCPEAYTPQQVALGPYHHWRQELYEMERHKIAAARRTMRHVKCFKAFQEIVDQFHKLEPKIRACYHKYLTVSTETLTWMMAIDVPFLLEFLEGYAMKEGMLLSRSKSRLTLSVLDAAERKSSHIEIMRDILMLENQVPLFLLRKMLEFELGSVDAADDTLLAMLKGFSIELYPLKTPENLPKILIHDSVHILDFFYRLLMPKDFEKSQVTEDEDFYGGNEKEEEITIDEETNNRVIKFFNSMFATLSNLNIHPVRFLKAILSSKPLKLLLKMPWTIITKLPILNMLKEPIEKIFMRHEKEDGKAEDDVSRPPLIEELTIPSVTVLSQAGVILVPTDGGICSTNFDVKTRTLSLPRVNLDVNAGIIMRNMVAYESCHASGPLIFSRYTELMNGIVDTKDDVKLLREKGIILNRLKSDEEAADMWNGMSRSVKLTKVPFLDKVVEDVNKYYNGRWKVKAEKCIRSYIYGSWRILTVLACILILGLLALQTFCSVYTCSRVIRQYATPDSTQP >KZM98773 pep chromosome:ASM162521v1:4:26787954:26788340:-1 gene:DCAR_013865 transcript:KZM98773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNKSQRDSFVIQVKDIKQSPCNQNHREPLKFNFSSMKIFNRFRRILMVLVFPFPSSGSHRSSRRTTSESSFPRRSSCDRSEPPKTSCSSSYYSSSSHYDEAISDCIEFFNRSSQEDAFDAKISDLMV >KZM98715 pep chromosome:ASM162521v1:4:26370196:26372374:-1 gene:DCAR_013923 transcript:KZM98715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSELKKDQLGNSGLELDTCISHKQPPISTKKLALTDVQNDNRVLQYHRESLFSTDGGPIADKSKICGTKRPIPESLTSHPFRPLSDRTTTKEHLVYTSKKLEPVQGNGKSGHNADRSVSNPLPKPHHNMQQEILPKQTLVLEDNTNHVSMATSNHTIPKNTCSYLNSPLDSLPISLANPGNGMGPAENDCSKVISKVPISAHSRRVDDRKWEERYIHLQNFLKMCDDESICRDHVQKLRHLTPAELSIYAVELERRAIQLTIEEGKELQRMKALKILEKSATTANPLQMSQPSQSKK >KZM99191 pep chromosome:ASM162521v1:4:30081603:30088425:-1 gene:DCAR_013447 transcript:KZM99191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDYDNEYDDGFSTPLATPFTLPNDEPQLYLVNDRWWNEARGAVLSSDITGVSYLTTSMFSKVDDFWEVNEHSSEISMKMMREETEGGGEGVLCEYALLLDWMFLRALKWHNDLNKGETILSAQDTMQDPFSLKIRYFLGKMNILDAKISRKENEAGTFERACNIFCVDDDGQFRIRDFSGQITQFSVNDRNIPDSALQNEGGKLALASGDLFRKLWTPGARPVAPSIFKSALSAFAPQFSGYNQQDAQEFLAFLLDGLHEDLNRVKCKPYIEVKDADNIPDNEVADEHWRNHLARNDSIIVDVCQGQFRSKLVCPICKKVSITFDPFMYVSLPLPSTTMRTMTLTVLSTDGSCMPIPVTVTVPKFGKCEDLVKAVSVACSLRDDETLLLAEVYGSQIMHFLDKPSDSIDLLRDSDQLVAYRLPKDSGDSSYLVVFMHQYEHTPMYKYVDNWKKFGIPLLARMSDISKGSDIREQFLKLLSPFLMSVEDVFVEYDDAQTSGSEDSRTKDGGSSVTINVYVNSEVEDGDVNSVVEDDLNVRDDFHFSLVEEHSLLAGTPIEMNEPLPSPRSCRKYTVLVTWPNKMMNLYDTARLISLPEICKSSLFTKRPQESVSLYKCLDGFLKEEPLGPEDMWYCPKCKEHRQASKKLDLWRLPEILVIHLKRFSYNRFSKSKLGTFVDFPVEDLDLTHYIVHRTSEVSERYSLYAIVNHYGSLSGGHYTAFVQHGQNQWYEFDDSHVSPVAEEQIKTSAAYVLFYRRI >KZM99516 pep chromosome:ASM162521v1:4:32691543:32692325:1 gene:DCAR_013122 transcript:KZM99516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRTSKDEWVISRVFQKTIPGATFPGGKKRLNTTSTNYPELSSSSSVSLPPLLDTLPYNATATSDLDSCSFDGSASTAATKEHVPCFSTSASPSCFNKYSSMFHSVSPAAAMVDPAAAMPPSSLAAFQSNVGVSAFPSLRSLQENMQLPFFFSPVAPSPVFGGGEVGNWPAPDTQKSGATELDCIWSF >KZM97457 pep chromosome:ASM162521v1:4:14868629:14869796:-1 gene:DCAR_015181 transcript:KZM97457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAVKIGDATKGIASVVAFTVMKSMLFVEAPKANDTILFYNSTNNIGFIAVNATA >KZM97080 pep chromosome:ASM162521v1:4:10581740:10584671:-1 gene:DCAR_015558 transcript:KZM97080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFEVPVYLRSAISKMWLASTEFIINFVRETTEDGKELVFDHGAPYFTATNADVHRVVADWEARGFVAEWKESFGSFNFISRTFSDYEKDGSCKKFVGTPKMNSICRALVSEPGVETKFGVGVGRLEWLEDEGSWSLNGMDGQNLGHFKGVIASDKNVFSPRFTDLTGRPPPLGVLLFRLQAFQKKAIKLIIRVLFELVSDPKIVYHSGLTPKKLPEMVENNPLIAVEVLTKMIHSTEISEYFTVLVNMDMSLHSMEVVNRLTTAVDLPTEFVHMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNKIINGQ >KZM98687 pep chromosome:ASM162521v1:4:26110784:26112608:-1 gene:DCAR_013951 transcript:KZM98687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGSRISVHAYPLVSLASGMEYKDIISPPWLIPLLKADYFVPCQFHGASSKCECNMYCLDCMGNALCSYCLINHKDHRVIQIRRSSYHNVVRASEIQKYIDITCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICARSLLDTFRFCSLGCKLGGIMRGDHELTFTLKVKDYHGYYGSEFDEPSTPKKIQKNHVFNRFNEVSLFSNDSPRFCYGGYDKYSGSTSGDEEQYHRSISPGTPPIFNHRNPSRRKGIPHRAPF >KZM99169 pep chromosome:ASM162521v1:4:29932812:29934406:1 gene:DCAR_013469 transcript:KZM99169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVVGMVVSNKMQKSVVVAVDRLFHNKLYNRYVKRTSKFMAHDEQNQCNIGDRTPAASVDVIDHLPGKYEGYYPVRCSSFKMILLSENHIRT >KZM99737 pep chromosome:ASM162521v1:4:34390984:34391292:1 gene:DCAR_012901 transcript:KZM99737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMLVTMRQNLQNMRKSPRVADESMFGDQGNGVVNAGRARHGWNGFSVLCSIVLAPLSLLSCLSHPHISGTDGVWVSGDIARISEMNHLMVSDSMRYAILM >KZM99718 pep chromosome:ASM162521v1:4:34242546:34244699:1 gene:DCAR_012920 transcript:KZM99718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKLKKAIGAVKDQTSISLAKVASSTNLEVSILKATTHENIPTEERYVVEVVDLVSSDKSYAKSCARAIGKRIGRTRNWIVALKSLMLVLRIFQDGDPYFPREVLHATRRGGKILSLANFRDESNSSPKDYTAFVKAFALYLDERLDCFLTGKLQRRYTFKDTENGGHRRHQRQNEAIRDMKPPMLLDRIVFWQRLLDRAIATSPTGAARTHRLVLIALYAIVQESFDLYRDISDGLTLLLEGFFQLQYNLCVNAFEACVKASRQYEELIEYYSYCQSLGLDPEESNRNAFPQQEQKEQETSSADNWELVLAETSSQPIQQMPNINFSLDNLYNQSAVFPPEYANNPENQTTLHLEPDKPNNFDPFTEPEHINGQDTSSGQGWQQVLADTATQQSQQQPDFISSEDNMSNQTETTSTVTYNPFLEDLSGLDTVPSIADPTTDAGLENFEPGFQTNDAISAAPMFQETTPAYALPTFQAAAPTFSAQNPNENDPFSDLPTEQMFNASINQQNLLHEQQSWLQQQNEIIAKHMA >KZM99205 pep chromosome:ASM162521v1:4:30212875:30216596:1 gene:DCAR_013433 transcript:KZM99205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVRRSSSRFNRICVFCGSSSGNKATYQDAAVELGKELVERRIDLVYGGGSVGLMGLISQAVHDGGRHVLGVIPRTLMPREITGVTVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLSFIDKAVDEGFISPTARRIIVSAPTAKDLVRELEEYVPEHDEVTSKLIWEEVGRRNYVPEAGVSTT >KZM98559 pep chromosome:ASM162521v1:4:25021976:25022580:-1 gene:DCAR_014079 transcript:KZM98559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGKILYLEPLATRIKSRRQKLEKDAGRGRVTTRPSSGIADDAGDPVTATTERQEEAEGERETCMLSDKLKTLNKISSHLNIMTIISLAVHLVYVGQKINVICV >KZM96990 pep chromosome:ASM162521v1:4:9521659:9524661:1 gene:DCAR_015648 transcript:KZM96990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEESTEIFSKLNVAVRVMHECFHPFKNMRTGNDLVEDIIFNRRSEQHHVNYEGFYTILLEKNEELITVATVRVLGKEVAEVPLVATRYSYRQRGMCHTLMNELEKVLMRLGVQRVILPAAPSALNTWISSFGFSIMPKLERQIYISCNVLSFESSTMCQKILLHNQSISVPVSMCPPPLFIYIKANTSKYLID >KZM97354 pep chromosome:ASM162521v1:4:13851336:13852076:1 gene:DCAR_015284 transcript:KZM97354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTHSDEDDEETVSLCDLPIYSESPKAKNDNDQMSSSVEQPDQFEFFSEEWSGLVPSPIDNFLFCGKLIVNKGYKQSVVSETPHKLRESTKERAQENQRGLQWNLNARTSKSRDQKGKCKKKNDSGYAQAPSSSSASMSNGIITRKNDNLANRITILKSPTRSRWFVFLFRSKRDLTDMETRETMQRHNNKIMLQQSQVVSGKIANSKSRGIRWWKLIRLLGCDNLHRADAVIKSSYSRAPLIRE >KZM97686 pep chromosome:ASM162521v1:4:16863268:16864104:-1 gene:DCAR_014952 transcript:KZM97686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHYSVFMNALSTLTPPQLSDLVASISALLQRHNRRLATLLSSPALFSLTLRHLESLSLHHKSLLIAQHLLSSLTHLSHFMHTKTSAPSYSASNIKLRDLDAVLLLLLFCELRQHDPTALEAEPSKWRLVLCNYYMYNTMLTFSSMIVSDTEVLNKFVELLSKFLKFVGVVDCDGSGKEGKEVAAAAAVVVALPSVEVTGGGKECVICKEEMKQGRDVCKMPCTHLFHWMCILPWLRKTNTCPCCRYRLPSDDVSGEIERLWEVLVKMGSGSHSFGY >KZM96857 pep chromosome:ASM162521v1:4:7824292:7827275:-1 gene:DCAR_015781 transcript:KZM96857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNILAVDDDRTCLLILKEWLEKWGYQVISADTRAEVMCIGIKNGAERFFVKPLVANNFKDIWQFVEWWKRTRSNNTTPLTQINGSSEESRTAVIDSHRDNDNNTLSGNTTGRGRKRLVWTSDLHTRFVEAILVIGYHRAVPTNIFEVMNVKGLTRGHVASHLQKYQKFLKHVLAGKSNIEFSNWTDLNYHSEFVFGNPDTVLLNQLRNEQREGKLAPHNPLRQPKQGNINMTARAPNGSLSLFPRLPPLTIEGSSRRAIYATLMQELDSSTNVASTGLGSVNSHKAHTQNMGSIIGSSTSNFIHNLSYSTNHMDYYHQNPLAQEHENYSNSANTNMPLGGNHFTPPSKVHLENNFQSYQLVDVGEEDNNNFSHENNFHHDQLSQLENKHGDPSMGISSGGNHFENTSAMDALELDGKIMTDESWFNNIGGEGDNDYWLNIEFDEDVDKDQNRGDI >KZM98892 pep chromosome:ASM162521v1:4:27721982:27723962:-1 gene:DCAR_013746 transcript:KZM98892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFQTGNLRFLHSLSLSPIKNPSVEQSRKCSGFSWQIPHVQSFSFTKGFSRVFASAHVAISPKDSVFTIPNWRNGKNDGRSKDYRLNDAFLYMEYMVGKGHTADVGNATQLLYDLCKLNKLRKATRVMEMMVSSGTTPDAASYAYLVNHLCKKGNVGHAMQLVERMEEYGYPMNIVTYNSIVRGLCMHGNLNQSLQFVDRLMQKGLMPNAFTYAILLEAAYKEKGVNEAIRLLDDIIDKGGNPNLVSYNVLLTGMCKEGRTDEALAFFRNMPSQGFNPNVVSYNILLRSLCQNGRWDEANELLSEMVGEERAPSVVTYNILIASLAYNGRVDHAFDVLDEMFDGPFRPTAATFNPIISRLCQEKKVDAVVRCLDQMIYRQCNPNDGTYNAISVLCKEGMVKEAFSVFRGLCDKQNSSSYDFFKNVISALCRKGNTYPALQLMYEMTKWGFTPGCYTYSSLIRGLCMEGMQNEAVQILYIMEESGYRPDIDNFNALILGLCKSQRTNMSLEIFEMMIEKNFKPSETTYTILVEGIVHEGEKELAIMVLEELHAKQVISQSTMERLVMQYDLDKLLV >KZM99226 pep chromosome:ASM162521v1:4:30383112:30387548:-1 gene:DCAR_013412 transcript:KZM99226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNIISRVIVIGNGVAGAENQCIGLIRALGFSHPHSFYRVTRPRGGINERLHWLSVPLHRKIDRFLRQTSGHELYRLGAIGNSRVLPSADNAGSSSFLEADAKQIAISARETREKYGPLLVVASGRDTIPVSSSIKRLAPEHVFVVQIQHPRSNLKRFDLVITPRHDYYPLTPQAQEQVPWFLRRWITPREHPGKNVILTVGALHQADSTALQNAASAWHDKLAPLLKPLLVVNIGGPTSHCQYGTDLAKQLTASLANILPSCGSVRISFSRRTPAKISDIILRAFHDNPKVHIWDGSDPNPHMGNLAWADAFVITADSVSMLSEACSTGKPVYVIGSERCTWKFADFQKSLFERGVLRPFTGKENVSESWSYVPLSDTADAAVQVIKALATRGWKLVIVAHEMLYFSGNCTILPDASTEFCVEILVVELIYWKLIYSTNFEHLYLCT >KZM97316 pep chromosome:ASM162521v1:4:13458739:13459280:1 gene:DCAR_015322 transcript:KZM97316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVLIANPEGNILVERFNGVPAEERLHWRSFLVKLGADNLKGIKNEELLVACHKYVIPFLL >KZM98783 pep chromosome:ASM162521v1:4:26871464:26872717:-1 gene:DCAR_013855 transcript:KZM98783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSLKIGDGTARFKRATFCSSAVNLLMLFSVITTNLFALYAFTSSPRDHTHFSLHHHKNISLISEQVSLILREIDSSQKKLTQMEKELLGYESIDLSKPNIPIELKNFLQHHQLPLGKDSRTGITEMVASVGHSCERSLDLLAQYMKYKVNGPCPDDWSLGQRLILAGCEPLPRRRCFAKSIPKVGLLPYPNSLWKNVSEKIYSWSGLGCKNLACLNSKKLNRDCSGCFDLVNGYEKERFVKGRGKNDFLIEDVLALGSGGTRTGLDIGGGSGTFAARMAEKNVTIVTATLNVDAPFNEFIAARGLFPVYLSLNHRFPFYDGAFDLVHVANGLDIGGRPEKLEFLMFDIDRILRAGGLFWLDNFLCTNDDKRKVLTRLIEQFGYKKLKWVVGERINGGGNSEVYLSAVLQKPVRL >KZM98939 pep chromosome:ASM162521v1:4:28155747:28165096:1 gene:DCAR_013699 transcript:KZM98939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIKSAICDGVLTFLWVFCVSTVGPLTYVIATALSIKGIFSLAITTVLVFLLLFIFGFIGEILGGATFNPTATAAFYAAGVRGVSLFSAALRFPAQAAGAVGGALAIKEVMPEQYKHMLKGPSLKVDLHTGAIAETVLTFTITFAVLFIVLRGPRSPIVKTWLLAMSTVTLIVLGASYTGPSMNPANFSSILDSRSPIFLHIIIGYHRRHCLFPAAVICKYVAGRSVNTYQAAPKGELESVQESQSLKPPSTSAGTGQIRYRSPSAAELVDVQSQSQLADKTMKRNRQSFSDKFHRNRGLILVISVPLVLVTFVLFVMPTRDDAVPLNRKISPSSGGDYKYAVIFDAGSSGSRVHVFCFDKHLDLVPIGNELELFIQKKPGLSAYPTDPKAAALSLQSLLEKAEAVVPPELRSKTAVKVGATAGLRQLGVEASDKILQSVRDYLKDGSNLKAKSDWVTVLDGSQEGAYQWVTLNYLLGRLGKKYSDTVGVVDLGGGSVQMAYAISESDAAKAPKATSGEDSYVKEMFLKGTKYYLYVHSYLHYGLLAARAEILKVTEDSGSPCIVPGFDGTYKYGGAVYKASHSPSGSSMQKCREVATKALKVNESVCTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFIDKELPVVKVRPVDFENAAKRACETSLKEAKSTYPKVEADNLPYLCMDLVYQFTLLVDGFALDPWQEITLVKQVKYQNSLVEAAWPLGSAIDVVSSS >KZM99528 pep chromosome:ASM162521v1:4:32765020:32766065:1 gene:DCAR_013110 transcript:KZM99528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLSIFPLRGMANPSKIYFAEDKFKQSPPPPSPRIPRFAYLISGSRGDLEKLWRTLQSLYHPLNHYVLHLDLESPAEERLELASRVEKEPMFATVGNVFMITKANMVTYRGPTMVANTLHACAILLKRTKDWDWFINLSASDYPLVTQDDLLSTFVGLNRDLNFIEHTTDLGWKEGQRAMPLIIDPGLYQTTKSDIFWVTPRRELPTAFKLFTVQLSHIRKIT >KZM99706 pep chromosome:ASM162521v1:4:34167541:34168662:-1 gene:DCAR_012932 transcript:KZM99706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLPEHIILEEILTRLPAASLARFKFVSKSWMALFSHPNFVKSHINRKEDYLIRTCPGGIGILSRTTLTESHIEDVPASFDGLVGSVTGLVCGVSESRNCFILWNPILHVYKQIPLPKHSKYSLFGFCWDSATEDFKLVAKKFDSSSAVVYSTKTNRWINIRAFRIPYAGDEFPAVIVKGNPYWTGYFRSPGILKFEARTNRFTCLGLTCVAGKRYSLCSVNDCLARIEYSLTRGNCLELYQFNDGRGVWSKMYTINIKTTYIFTIPKCFNYSGEIVFSGCHEWCDTKLNEVESLEYEFGYELGPSRLHRYSYTPSLIILDGMKTSTRHLRDKHRKLRSVWCMRLPRKYRRSRHFSVYDISLPTYCGGNVE >KZM99884 pep chromosome:ASM162521v1:4:35582484:35582666:1 gene:DCAR_012754 transcript:KZM99884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLPNKSWVEFLKFVLGRSPVLEVMRVSPHVDYNEKMNMANEVLHFRRASPKVDIRFFD >KZM97884 pep chromosome:ASM162521v1:4:18932674:18941147:1 gene:DCAR_014754 transcript:KZM97884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLWITKVKDGQHLSEDDLQLLCEYVKDILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTVDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEYNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTVDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEYNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEKEVKFFTETEENNQMRGPRMGVPYFL >KZM97448 pep chromosome:ASM162521v1:4:14764733:14764900:-1 gene:DCAR_015190 transcript:KZM97448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFKVSMKINKERLGAMYYRVEDTQWDAVDWKLGIVQNQNDCIGEVGGSGVGWC >KZM98290 pep chromosome:ASM162521v1:4:22591200:22593765:-1 gene:DCAR_014348 transcript:KZM98290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVDNSGGAAAVNLGNDSFAGSDSAGGDEGDRNSAGNRWPREETLVLLQVRQSMDEAFRDSNLKAPLWDEVSRKLGEYGYTRSAKKCKEKFENIYKYHRRTKEGKSGRQDGKNYRYYEQLEIFDNQSSYPINQDKQTYSMEGTMSMPKPTSGSVMMAKPVSQVPHGDTHISGFELMDTSTSTTSSSGGGHAKKKRKLMEYFNGLMKQVLERQENLQKKFIEAIEKLEKDRMSREEEWRAQQLATKKRQQGILAHERSASAAKDAALLSFLQKISEQSPPLQLPMNSAPLEKILSMSQPQYNIRESSPVTKSIVHYSPGFPSSRWPKAEVEALITVRENLDMQYHDSGSKGSVWEEVSSAMMRLGYDRSSKRCKEKWENINKYYRRVKESNKKRRQDSKTCPYFDRLESLYEKSECATICQVLNCHEVVGADDNGFCCGVWVLSQERSNGSQERSNGS >KZM98902 pep chromosome:ASM162521v1:4:27791097:27792292:1 gene:DCAR_013736 transcript:KZM98902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAQGRQMSLFPPQLISNKLVMNGMDGNANVYGTPVGYGGVPLLSGTTTDSFVPVYGSGVTNSFPVKSHCAMKADSSLTCNFPVSRKRTRDEINPLLCFQNNQSLNQLNRSGSYTFLGEDISLQIQHQQLEIDHFIAQHTEKMRLELEERRKRNSKRIITAVEEGIAKRLRAKEEEIDKIGKLNWMLEDKVKSLCIENQIWRDLAQSNEATANALRSNLEQVLSHVQNDQFHRANDNANLIADDAESCCGSNYEDEKRGKEMRNDQMDDGATSRVRKGSHVEKRNRLCRNCGKVESCVLLLPCRHLCLCTVCGSSLHTCPICKSTKNASVHVNMSSS >KZM98219 pep chromosome:ASM162521v1:4:22046629:22047819:1 gene:DCAR_014419 transcript:KZM98219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTHISKSLNLLYSIPSDDNNIDDFNPLFSHLNSTLLSSHTNPNQPISKSNKILKPHLKFGSTSISVETQTTNLEKPISQNWIRTGSKDVPVVFKNLSVLERALIGAGGGGIAGAFTYVCLHPLDTIKTKLQAKGAKDMYNGTFDAIAKTFQSKGILGFYSGVSAVIVGSTFSSAMYFGTCEFGKSILSKFDYPTVLIPPTAGAMGNIISSAIMVPKELITQRMQTGAKGRSWEVLLRILEKDGFLGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLSKTNQTNLNPLQSVICGALAGATSATLTTPLDVVKTRLMTQVHRDTAAGVSATVKQILRDEGWVGFTHGMGPRVIHSACFSALGYFAFETARLAILHQYLSQKQLREDNLDLAVPHK >KZM97231 pep chromosome:ASM162521v1:4:12563710:12571294:-1 gene:DCAR_015407 transcript:KZM97231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVKSSNYSSKDHGRKDKASAGKKAITVLDEVSKESIEDKYMVDKELGRGEFGVTYLCIDRSTREMLACKSISKRKLRTAVDVDDVRREVAIMKHLPQDSSIVTLREACEDDSAVHIVMELCEGGELFDRIVARGHYTERAAAGVVKTIMEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLRRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAIIRGQIDFKREPWPSISESAKSLVRQMLEPDPKIRLTAKQVLEHPWILNAKKAPNVPLGDVVKSRLKQFSLMNRFKRKALRVIAEFLSNEEIGDIKELFNKIDTDNDGIVSVEELKVGLQKFGSQLAEADVQLLIEAVIAEFLSNEEIGDIKELFNKIDTDNDGIVSVEELKVGLQKFGSQLAEADVQLLIEAVDVNGKGTLDYGEFIAVSLHLQRMANDEHLHKAFSYFDKDSNGYIEPEELRDALMEDGADDCTNVANDIFQEVDTDKDGKISYEEFAAMMKTGTDWRKASRHYSRGRFNSLSVKLVKDGSINLGSE >KZM99112 pep chromosome:ASM162521v1:4:29509613:29511799:-1 gene:DCAR_013526 transcript:KZM99112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPIPYKFDAKDLDKQLEELNKSATRTADEIALFMLQKQIPRLHLNPTFENSPAFRDFEVQYNALPNNLKLCLLCFSVFPARAIIKKRLMVYWWIAEGFIDQKGDAEKAKDLEQLGAEYFNELVKKDFIKPYHNKRRLEVETCKMPSFIRSAVISIAARAKFFDFHSTGRPEVNCRSSFRSALFNGIHGLESDFDFEKFHVVFNVCEDILDIKPEWIHRMKNVVVLYLGRWQSIGNHHIEVNEEKFLEALGDMKQLKLLSLQGISRIVALPDQVTKLENLTILDLRACHNLERIPREIGLLKSLTHLDLSECYLLENIPKGLSKLKNLLVLKGFAVVEPSKDSCNLKDLSKLPKLRKLSIFTGLKDFPKEDDLKAFQQLTALTKLKIVWGGKGRDPSNQPQNESTMGEKSENYQEVSMERQKPTLENKDNEGSTDPPAPGTGPEHSVASTEPDAVRAPEGDNRETPQDKTTLSSKGSTLQDMAKLPKLKDLKPNFCFGETTPHETGTKIAASDAMDSVAPVLAPRLPSEPAAEPGKVTGEATRPELLSTPESKVATAATEDTEKVPSSKMSNTNSSSRSAVLKSSSKQAKQKKWWNRIKRSPENGKKNGDSQHLPAKLQKLELQCFPNTKAPDWLHPTKLKYLEKLYIRGGQLSDLGGNTREWNGKVIPWAVKILRLKYITGLAMHWKEVDKLFPQLSFLEKVDCPQLTFFPCDEAGVWIKKDKVLE >KZM97021 pep chromosome:ASM162521v1:4:9841422:9843004:1 gene:DCAR_015617 transcript:KZM97021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQMGNGGDKRWSLEGTTALVTGGTRGIGHSIVEELAGFGAAIYTCARDKKCLDQCLEEWEIKGFNVTGSVCDLKSRLEREQLMESVASAFGGKLNILVNNAGIAILKETTEFTAEDFSNIMGTNFEASYHLCQLAHPLLKASGNGSIVSISSVAGVVALPLVSIYSSSKGAINQLTKSLACEWATDNIRVNCVAPWIIKTDLIAGIEEDPGHKEIIDRMVYRTPMRRPGEQKEVSGVVAFLCLPAASYTTGQIVCVDGGHTVCGY >KZM99896 pep chromosome:ASM162521v1:4:35672684:35681333:1 gene:DCAR_012742 transcript:KZM99896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCNSETEEETIAVNKKRARRVSFAENTSVHIFDRDEETESPTDPLAISTDVNPTELGLFNDELGFRRNSIDPKDILRNDDEEEEEDDDDDDDMDARPSFFRPMESPSSGSGFGSATSNDEDNFFGPVSASFIRPGRLSDSATYDDNHEVTMDSTAFSMNFRSLAKSDSGGDLLTSTGVHLTFDEKTPTQDCIPSNEGSTMVLTVAKRPNPQSSPPTAKLRRNSDSNDMSLVGEISRQYDYGGLSPGLDKLLAQTSKDLHASDETGYIESLKNIKSGISLTKEHGLAHSIVDGDEEYKEMVRSSTSHGGISPTEVVSIEQNISATGCGTLSSLETKHHELGGSTLQVWNSPLISSINSLSPKQRQIVDDSLNLSKSPWHMTPSLKQASYFVGSDDRMHKNSASSIQKSISKLELLQASPFSVAVSAKISSSNFRPLHYLPRTPLGSALKSKSTYVKDADGDRTESFIQEKGDRKQDKKIETPKDIRCIVPAKGLRSALKSGRSPYNVSAANPYADQQKKESGLVTLLPQSFCPPEQSEQHVLSSDDPSEVKLVTGGTDASIIGVTLECMEGKRVIGTPHNSTSPVCRKIDGMSSTLPNYLGTQSQEKSDLRGDGASACFLAATVDNKEPLSHHGRVESSSPIVHNNHCRGSPVAENLNDGDIYHAENNQGNCGTIKKSPIPLEHRVSHIFQYKTPNGDSETEREQINARNDLVDEGEHVSFSQKSANDPSIRKNLGTLFAQSPGNEEKNVIHSDSIYPISILGRQSPSSNQVTTVVGSYTRKRSNEEITPQDESTKAKKSPKLCIGGCDPDVSKCSVSPGSTGGNVLKHWVDIQSKISEITKNLLSLPADELSLQSIDVLEDIVVGLLRKQKYQMLRADMQSQKTNHPLSNHHHIRVVEAKSHLHKLIEEKAKLQLKRVKRDISLKKAQIMRSGVQECQMLRSDHSVLHPQKALNVQADIHPQRFSDRQLQSSQDKVTALEEVLEDLERSVTKLTESFLVRCKIKEKLDSAETVVLVNDYLTKRACCRFSRLDMQLWDIVHLENRNGHSNILLNYLGFITQRVNVVFRPVSIVSVSYELHNMNIIKNLPGVDARTAFAYVFDAEPTRKYVSSRSVAEETQISSLLLGSMLDVVEEVQLARLELRNLIQCTFCSESVEQLDLQLYFLNLKSGKRATFTFDLSCLKRGVYPSEIIPSIMKAPADEQQKFCSKQILSEVRAAVQSLRVGYLRIIRACRCISQAIEASNS >KZM97896 pep chromosome:ASM162521v1:4:19164562:19165104:1 gene:DCAR_014742 transcript:KZM97896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRRLWTYALTFSCIAGFIVIVLNQFQDQLVFYITPEEAINKYSADPSKSKFRLGGLVLEGSVNQPASSSEMEFVITDLRKDILVRYQGSLPDLFREGHSVVVEGFVKPFTEEIRECKEAKSVTMKARAWDCYFSATEVLAKHDEKYMPAEVANALERNKKIIAEEAKAAEEKAAAVTG >KZM99109 pep chromosome:ASM162521v1:4:29482135:29495949:1 gene:DCAR_013529 transcript:KZM99109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSAVLSDDEDEMEVYDNEEEEDDEDETGQDEYENDGFIVDDEEQEEGGDSDEEMQKKRRRKKRESQKNYVLDEDDYELLEDNNVTGFRRPEESKKFKRLKKAQRDHHSGLSGGNEFDRSGRDVLQHSLFGDDEGTELEDIAEEEQLEEDNELGDEDDLGDFIVEEDLDEHGAPMRQLFDSVTKSLKAAVSEREVDDVDSKFNLHFPPGEVGDVNGQYKRPKRRSQYSSCSKAGLWGLVSKLGYSSEQFGLQLSLEKMRMEELLDSKETPEEAALNFICAMFETPQNVLRGARHMAALEICWEPYVRKHVRSIYMDNAVVSTIPTPDGNVAIDASHQYATIKWLREKPLGKFMDAQWLQIQKAEEEKLIKVTLKLPEPVLSKLISDSNENYLSDGVSKSAQLWNEQRKLILQDAFFDFLLPSMEKEARLLLAGRARSWLLLEYGKLLWDRVSVAPYQRKEQDLSSDEEAAPRVMACCWGPGKPATTFVMLDSCGEVLDVLYAGSICNRGQNVNDQQRKKNDQQRVLKFMTEHQPHVVVLGAANLSCTRLKEDIYEIIFKMVEETPREVGHDMDGISILYGDETFPHLYENSRISSDQLPLQSGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLSPLEDFLTSDDKFGMIEQIMVDVTNQVGLDVNLALSHEWLFSTMQFISGLGPRKAASLVRSLVRNGAIFTRKDLLTEHGIGKRVFVNSVGFLRVRRTGMAASTSQFIDMLDDTRIHPEFYGLAQELARDVYLEDVQDDTVDYDDDEVLQLAIEHVREKPHLLKSLEVHEYAKSKQLESKIQTLNLIRLELIHGFQDWRKPYAEPSQDEEFCMISGETEDSLAEGRIVQATVRRVLPQKAICVLDSGLVGILGKEDYADDWKELPDLNEKLNEGEILSCKIKSIQKNRYQLFLSCKESEMRNNQYHNHQNLDPHYHEDRSSLPGDQDKTRKQKELGRKYFKPRLIVHPRFQNITADEAVEFLSDKDIGEIVVRPSSRGPSFLTLTLKVYDGIYAHKDIIEGGKEQKDLTSLLRIGKTLKVGDQTFEDLDEVIDRYVDPLVAQLKVMLNYRKFKKGTKAEIDECLRIEKAENPMRIVYCFGISYEHPGTCILTYIRTLNPHHEYVGVYPTGFKFRRKMFGEIDRLVAYFQRHIDDPQKSELSVRSAAAMVPLGSAAIGGQSGGWDDSRSGGDGSSAGRGDFKNGGSQDGHPSGIPRPYGGMGRGRGRGRGSYNGSGRGDGYSSGKQDVDTWTQSDDKWGGNGSGDGKNTGGWDGSGGTAGGWGGSGGGSDGTAAGWGGSGGGTDGSGGGSEGNAGGWGGSGGGSDGIAGGWGGSGGGTDGTAAGGTTGGWGGSGGGTDGTAGGGTTGGWGGSDGGSDVTAGGWGGSVGNTGGGSSGATGGGISGWGGSGGSDTGGGWGGGADTGGATGGWGGSGGGWGGGGSSGGAASGWGASGGDNGSYGGSEGVDSRSGGRGRGRDGRGRGRGRDGRGRGRGGDSERGGSSWGGGGGGSNDGTAGGWGGGGSSGGAAGGWAASGGDNVGYGGNGGSGGLDSGSSGRGRGFDGRGRGRGRDGGGRGRGGDGESGGSSWGSGGGNRGRGGGGRGRGGRGGSDEGSGGGWGGGANGGGGSSSSWGGSGSSGHWGGGSGGDEGGGWGSNKGKSGGSNSNWGGAGNGGGGNGGGGSGGGKEGWGTGSNSSWGGGNAGASDGGWGSDKGGGSNSSWGGPGNGGGSGGDKGGWGSGSNSSWGGGNASASGGGGGGGWGADVGKGGGTDEAGGSGTGGWGGGSNSGWGGGNAGASGSGKDVPKSGSSGWG >KZM96651 pep chromosome:ASM162521v1:4:2992930:2994753:-1 gene:DCAR_015987 transcript:KZM96651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDYHVNVHDPVGVFGENDDNVISWTSRISSLVKRNKSQEAIELFKIMLVNEHKVNYVTMLSLIRASGATHSENITRVMHGFVIKFGFELEVSVVTALLSVYSAWDMNIVLKLFEGTWNKDVVLWSAMVSACVRSGMYVEAFNTFRGMQYYGVKPNLVTVVTILPACAELGELWFGKEIHGYSLKAMLNSHTNVQNSLVHMYAKCRKFEASAKVFGGIQHKDLISWRTMISGCMENKNPRKALNVFLYMLFSSYKPDENIVQEALTAAIQARERYFGFGLHSLVLKSGYMAFVSIVTGLLHMYAKFGNVGYARHLFNQIQQKDFIIYSAMISAYAQSEAPSDAFIIYKAMQLANQKPNEITFVSLLHASTTMADKGTGESIHAHIKKVGYSLNSFLTSSLIDLYCKYGSIRQAKAIFEEMPAKDLICWSSMINGYGINGYGTEALETFSNMLDSGIMPNDVVFVSVLSACSHCGLDDEGWDWFYAMEEKFCVTPKLAHYACMVDMLSRRGYVKEALEFVSKLPIEPDIRIWGALLAGCRKSSNSTEVAEVAVHRLISLDPENTSYHVFLSNMYAEQNRWEDVDRLRNLVEEKGLRKDAGCSIVATNL >KZM97304 pep chromosome:ASM162521v1:4:13346101:13347222:-1 gene:DCAR_015334 transcript:KZM97304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPYELIDEILYRLPVKYLLRCRCVSKEWCSVIDNNAFVKKHHRRTFECDPDGGVVISGDGRVFLTDVESLRDDKVEVVELDDSIVCGAEFVGAANGLVCLCKNEKKVFVLCNPATRKYRKLPSVPSMFASEFDEVEVTLCGFGYDRVNDDYKVVKIAECEECTMVIMYSLKSNSWKRIQDIGKNIQFIPERGKFVGGALHWMTIKYPRNCCGIVFGVDLGLEQFKEDPFPDVHGTFVCLVHVGGSLCITDNYSGSHTDVWLMNDQREGSPWYKAFTVEQPGPFGPFKFIRPVVFSNSGNDVLIEVDRTKLLWYDLEKKAVRNVRIHGIPTKFDTHLYTESLFQLTERKQLQKPSQYKKQPKKRYKIVNYLW >KZM99274 pep chromosome:ASM162521v1:4:30716952:30719559:1 gene:DCAR_013364 transcript:KZM99274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLPTPRSSAFLHHHHQHHPQQQHQLFRSDSTATAGTSAATLDMDLQMSGGGGNGDGGTGRWPRQETLTLLEIRSRLDPKFKEANQKGPLWDEVSRIMSVDHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGESSSNNPTTSVLNNAHLSGAGVAAFPYGSSQVPNQEVFHQTPRQMIDDSFTPSNSSAFVTSSSDEYCSDLNAAALKRKGKRSWKAKIKDFIDGQMRKLMDKQEVWLEKMMKSIEHKEQERMMREEEWRKQEAARTEREHKFWEKERAWIEARDAGLMEALHKLTGTKDIRAPVRSSSPDQGDHGELLSMRAAHHQHPLQNPNDNGSETMMPNSSESWPECEITRLIQLRSSMEPMFVQQSGTSEEEVLWEEIASNMACLGYDNRSAAMCKEKWESINNYLAKAKDQSSKKRKENNVTPTVSSSCFYFQNNESICNNQGGEGEYRETNDLGGGGALGGLELTTSLLIQSGHHENASRPPPDSNVASSTMQGSSFRFFMGDQTDNLWENYGLKPSKGDN >KZM97791 pep chromosome:ASM162521v1:4:17873016:17877975:-1 gene:DCAR_014847 transcript:KZM97791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLAVGVIVSCWVIPISILVNRIVPDPYMDEIFHVPQARHYCKGNFTSWDPMITTPPGLYFLSLAHVASFFPGILCIQAVSSFFDACSTAALRSTNGVLAVICSIVVYEIISQLRPELDKRRATLYTVVLALYPLHWFFTYLYYTDVASLTAVLASYLMCMKKNYPLSAVLGALAVLVRQTNIVWILFVGCSGIINYTIGVQTDKHCEQLDDSSELDQKDGQLSLGKTAATGSNLRRRRLSNFVDTSDHSSPKNFSPSSNSSDLIDDIKEILITSWYIKWRLLLLFSPYAVLLVAFVGFVYWNGSIVLGAKEAHAVSPHFAQLMYFSLISALLMVPVHFTLSQTAVLFQSFCKLRVLGIVLCLTGLTTAFLSVHFFSIAHPYLLADNRHYTFYIWRKIINVHWSTKYLMVPLYVYSWFSILSVLAKFQKKVWVLVYFLACAAVLIPSPLIEFRYYTIPFFFLILHSHVNSDRNWVLMGILYTVINIFTMAMFLFKPFSWHHEAGIQRFIW >KZM96640 pep chromosome:ASM162521v1:4:2805034:2805204:1 gene:DCAR_015998 transcript:KZM96640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTRQRERRRKKAGEKERWRETHSERKPGVRGQKGKRHNVHMQIDCWPLKKKVNM >KZM98777 pep chromosome:ASM162521v1:4:26807860:26808729:-1 gene:DCAR_013861 transcript:KZM98777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSLLTRGLVMVLGYAYPAYECYKTVELNKPDVEQLRFWCQYWILVAMLTVMERVGDTFVSWVPMYSEAKLFFYIYLWYPKTKGTSYVYDSFFRPYIAKHETDIDRNLIELRTRAGDYAILYWQRAASYGQTRVLDILQYIASQSTPRPRSTQACIYQKVVMF >KZM98431 pep chromosome:ASM162521v1:4:23920262:23920733:-1 gene:DCAR_014207 transcript:KZM98431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVEGSPGDKLVPWSYIGTDSAITLCKATNVCHTYVRDQDPLIISAIEDAEAVWKRGLVEKLGLANGPSRNT >KZM98835 pep chromosome:ASM162521v1:4:27245024:27245275:1 gene:DCAR_013803 transcript:KZM98835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFAETPGSFGEKQFMLDRDMGLLFDEHPPANYGLGEVIDGEEPQVVGRILLCQDQRDAPPFLWRFLSLPARLLRAVAKWLF >KZM98463 pep chromosome:ASM162521v1:4:24196187:24196587:1 gene:DCAR_014175 transcript:KZM98463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKALLILSLLFLVASFDIVEGYNRLRPGDCKPRCSYRCSATSHRKPCMFFCQKCCAKCLCVPPGTYGNKQTCPCYNRWKTQQGTPKCP >KZM97322 pep chromosome:ASM162521v1:4:13507172:13512049:1 gene:DCAR_015316 transcript:KZM97322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQMLPSFCSCPCISLGRTKSYKPLQSYSSSSVSPSLVIDEVQTATSQRPPLVSPCPRRLILLRHAHSSYSSASLRDHDRPLSEAGEADAIKVSQKLHQLGWVPELILSSDAVRTRETLKCMQEKVKELSEAEVHFISSFYSIAAMDGQTAQHLQQAICKYARDETLTVMCMGHNRGWEEAASMLSGASIELKTCNAALLEASGNSWEEAFSLAGFGGWKLHYIVKPNSNVDNIGQLS >KZM96977 pep chromosome:ASM162521v1:4:9378509:9379493:1 gene:DCAR_015661 transcript:KZM96977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRRSGVGVRITQKRNDEDEIVIEDDNAGEDDGVDASDGEDENPSNGEETLKYLYNVELYYGGHFVHVPYESYTSSVKRVYKHVDLEKLSIDELKSCFKGPVEHSKGKKGGAQEKQPAPDPMEEESAEVHLQEQEILTGEDDLMNETMAEMETSVAQEAGPSKGMKFMPTPSLIQLTSTGCTPPASTPPTPASQPAKKKAPRKSVKSFAPPRPKKQ >KZM99626 pep chromosome:ASM162521v1:4:33542875:33546336:1 gene:DCAR_013012 transcript:KZM99626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTVIDVGIAAVANSDSVSSDSTAITHNEEQTGTELGNLTASIVGSTSATPSSVYIHDSIFDMSCNGDENNGDDKEGGGNDRDENDDDGSYISDYDDNDDYMFENDDDNDDDYLNMQAQFDNVDLPPGVEASVSWIKGATSSMNASKDSMVPHFGSGSEAKTSVALVSGVPNFVLENIGASSSTLHVGSGPDVKEEAAEEHCVTEDEAEREEDAMKTEAEKEHDVMKNHDWFKRFDTVNDFVDHHYSAVGFEGQQQPSKTWTKKIHDEWKMLEKGLPDTIYVRVYETRMDLLRAIIIGPAGTPYHDGLFVFDVLFPPNYPDVPPHFEDFVAGHFRTNARRILLACKAYTEGAAIGSLGNNVQVESSQSFKEAVAKMMNSLVSIFARNGSKDCEEFRHSG >KZM96978 pep chromosome:ASM162521v1:4:9390024:9393987:1 gene:DCAR_015660 transcript:KZM96978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAPLTPNNMLATLSDNNMDFDLMDTLFDDGCWLAASPSNFWPQGLSPVASDFSSYYFSTTDADNINHLEPNSLQEDFQEEEERLNYSENVRLVWETSASLAPESSLVDGNRMNTSLWIPPSEDPNSTTSVKNKLFQAFEHLKHHTRDDFLIQIWVPVKKEGQNVLSTNNQPFSLDPKSKSLEWYRNVSSNYQFAAQEDSKEYFGLPGRVFLKKLPEWTADVRRLRREDFPRIDYAQQCNVRGSIALPVFERGSGACLGVVEIVTTGPDCRPELDDVCKALEDREEVAEIKEILTSVCKRQNLPLAQTWVPCTQQSTEQCRSNGDMACLSVDASACYYVQDEQVLSFHEACCKKHLLSNEGVVGRALMTNHPCFTTEISAFNEIEYPLAYHAKMARLHGAVAIRFRSIYTDSISFIIEFFLPIDCKDLEEQKQSVSLLSSQIRQLCHGSHFLPDVEIETENLSPVRENSPPGGRLVEEIHTKFVSSSSSEEATRDELSWISQMLEAQQKGNGISVSLGNQKQEPEDFNMNTDWIIPDGRFFSSGAEPSLHKNIVQDKSRGTAKNGVELSSVKGQRSSGAKKAGEHRKLKAERTISLQVLRQYFAGSLKDAAASIGVCPTTLKRICRQHGISRWPSRKIKKVGHSLKKLQLVIDSVQGSEGAIQLSSFYTNFPELSSQNVTGTSSLSPSKIDNQLKPQPTQHRESLLSPATTASQSTSSSSPSSSSSYCCSTEAKEAKVNANFSGTGDAPPAVGVLKRALSDAKLHDSVQEDTKFLVRSHSYKLFSELPPLGSLIPPQKGNNNQALKNGTSFRVKATLGEEKIRFSMPQYWGFTDLQREISRRFNIDIEDVNKLDLRYLDEDSEWILLTCNDDLVECIDIHRSSKSHTIKLSLRHSRYPNLGSSLDSCGPS >KZM98547 pep chromosome:ASM162521v1:4:24955805:24956836:1 gene:DCAR_014091 transcript:KZM98547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGPSFLRQLSEKEGWKSTSKRWGGNNNNRRYNVGDGQEGQYWKQQMEGLSSQNNMVYGNENGGLVMKKRVMVVVDQSSHSKHAMMWALTHVANKGDSLTLLHIVPAHSSQPSSDSPCLASSLASLCKACKPEVEVEALWIQGPKLSTVMSQVKKLEVSVLVLGQKKPSSLFTCLWGRSSTEKFVEECINNVECLTIGVRKQGQGIGGYLISTRWQKDFWLLA >KZM99552 pep chromosome:ASM162521v1:4:32948027:32949850:-1 gene:DCAR_013086 transcript:KZM99552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAASFLPSSFSINKEGKANVSLKETSLFGVTFSDSLRTDFSSLRTRRGCRQISQTGAIRSQAVATTPSVNRATGEGKKTLRKGSVIITGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGMPKENYTIMHLDLASLDSVRQFVETFRRSERPLDVLVCNAAVYFPTAKEPTYTADGFELSVGTNHLGHFLLSRLLLDDLNKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGMNSSAMIDGAEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRTLFPPFQKYITKGYVSEAESGKRLAQVVSEPSLTKSGVYWSWNKDSASFENQLSEEASDVEKARKVWEVSEKLVGLA >KZM99778 pep chromosome:ASM162521v1:4:34761301:34768042:1 gene:DCAR_012860 transcript:KZM99778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRGDLGFVAVVAAVFAVIGIVIRRKWRTAAARRREVERLLVFAAEEAERAELEASVQYGAAVAKEESVSEKLVDRSECALCFSVATKFCSRCKAVRYCSGKCQIIHWRQGHKQECRPYSIVKKGNEEKFSCQKEFNQEEPRNYGDSYELDKSQYAKQVETSPGTYTDVGRSDLLHSKDDIEGEGLTVDAGSNLSEGSSEPTCTSSKASLDASVYDSDGLTRIQSAIDVLLKSESACVDMDRNRSPFAETSSNLKKSSIKSVQYKPDSSDGDSHSFSSSTSGWSVDGSSDSLSEPYTPSSGFWEGNINSRRSRNGANYDGTQSILSDFGGDVMLSPVSSMRYSADMAKSLTRGMPQQGFNSNTMISNGGPSASRTKRYVSDATSSVKLCKDNLKSKSLNLETSASMHVETGCNSRVLNSRETKSSSSDNHCARPCANDQGHLIMKDFKNISNSPSLCSERSNKVRNDTISSSHALESQEVGSVLCRDSDTGLTSSTSRDAGLTSSTSRDAGHIANLSKVSDGVHGFAGSSSKSPINSTSARNGLKSTMLKVVDQLKPSKISKPYSTGLDSGTVGKYNVKGLFSYELFVKLYNWNKVDMQPCGLINCGNSCYANAVLQCLAFTPPITAYLLQRLHSKTCQNKDWCFTCEFENLIVKAREGSTPLSPIRILSHIENIGSHLDYGKEEDAHEFLRCAIDTLQSSCLKEATSKISGSLNEETTLMGLTFGGYLRSKIKCMKCGGKSERNDRIMDLTVEIDGNICTLEEALRKFTSTEILDGENKYNCSRCKSYEKAKKKLTIIEAPNVLTIALKRFQSGKFGKLSKSIEFSEILNMAPFMSSTSDTSPIYRLYGVVVHLDIMNASFSGHYICYVKNIQNKWFKIDDSSVNAVELESVLTKGAYMLLYARCSPRAPKLIRNSMVPRDPRKPKNPSLKSRSHSTGLLDSPRTNHLNYQTGEGFYQTHPSFQRVSSVLEDDSSSDNSSSIFSEAASCSTESSTKDSMSADDYFDQIFGDFGHKLNSSWWNTSDSDTSSSSSSPSPLYTKNIPYSSSDHYVPGYNEENHCSADPAASAEDGRVWANQPGKSSNLDSLKGKGSTPILYSDKTKQCREVVDSNSDFSCSRCRATNLNSIGKINPLNNVKSGISHRRYSRGQSD >KZM97371 pep chromosome:ASM162521v1:4:13954728:13954997:-1 gene:DCAR_015267 transcript:KZM97371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRKLIRSLNRTFNDAIDVVAFLLWLEKDKLSVNAVYKVLTEWPNHLGGMLAVPVLALLEWLKNNALVWERRGDISQIWELCLEVVSF >KZM98376 pep chromosome:ASM162521v1:4:23395912:23397811:1 gene:DCAR_014262 transcript:KZM98376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHKDKNAPWLSVPQFGDWDLKGQVPDYSMDFSKIREMRKQNKRDVSRASLGNEEELISSATKPSSGHQTIHHNYNQDHSPTMRRSIFSYFNCCVKA >KZM98329 pep chromosome:ASM162521v1:4:22971087:22974097:1 gene:DCAR_014309 transcript:KZM98329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWENNPEEGKDAHTSNTITTINNNINTNSNNGGAGMFVKVMTDEQMEVLRKQIAVYATICQQLVDLHKSLTNQHDLAGVRMGNPYCDPSMGHKITGRQRWTPTPVQLQILERMFEQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQQVSAPNNAESEVETEVDSPNHNKTKSEDFQSQHESAPRSEELCFQNPEISSGMHSLDPGSHKVEPPYPSGSSSTPAASMGQMSFYGSMLSNPRMEHLIGKMENPGNYNTFLHPDDYNMTG >KZM97848 pep chromosome:ASM162521v1:4:18343029:18347091:-1 gene:DCAR_014790 transcript:KZM97848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWGSRLWAPPESNGQLMSRQRQEQELQIISEDCTTKKKKSEESKDVLGEVHKTHDAIQSLDKSISMLQMELAATRSAQEVVKSDETSRSSQEEQPKKKAFIVIGINTAFSSRKRRDSVRQTWMPQGEKLVQLEKEKGIIIRFMIGHSATSNSILDRAIDSEESQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDADFYVKVDDDVHVNLGVFAATLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSVEKLKFVHERCGEGDEALWNALL >KZM97434 pep chromosome:ASM162521v1:4:14674815:14676097:1 gene:DCAR_015204 transcript:KZM97434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNMGGLYGLVVILLWTVSVRGQDVGSVISKSMFEDFLKHRNDDICPAKGFYTYEAFIDAAKSFGAFGTSGDPDTQKREVAAFFAQTSHETTGGGPSLPDGPYAWGYCFKEEQNPTDYCVADPEWPCVPNKNYHGRGPIQISYNYNYGPAGKAIDYDLLGNPDLVASDPTVSFKTALWFWMTPQSLKPSSHDVITGVWKPSEADSAAGRVPGYGVVTNIINGIECGKGSNSGGEGRIGFYKRYCSILGISPGENLDCYNQKPFGA >KZM99738 pep chromosome:ASM162521v1:4:34397540:34398508:-1 gene:DCAR_012900 transcript:KZM99738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQLLFLVLISLSSFTFATRTLNALVEDPSSQLQYHNGPLLAGKISVNLIWYGKFKPAQRAIISDFVTSLTSASSSSMEPAVSTWWKTTEKYYNLAKSNKKFTNPGLSLKLGKQVIDEKYTLGKSLSQKQIITLASKGEDRNAINVVLTSSDVVVPGFCSNRCGSHGSSSLNQLNSKVKNSKAKSYKFAYIWVGNSETQCPGYCAWPFHQPIYGPQAAPLVAPNNDVGLDGMVINLAALLAGTATNPFGNGFYQGTAEAPLEAASACTGVYAKGAYPGYAGDLLVDATTGASYNAHGANGRKYLVPALFDPATAVCSTIV >KZM97799 pep chromosome:ASM162521v1:4:17967632:17970289:1 gene:DCAR_014839 transcript:KZM97799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNITSIKTSSNGVWQGDNPLDFAFPLLIVQTTLILVVSRFLAFLLKPLRQPKVIAEIVGGIILGPSALGRQQDYMHRLFPKWSTPILESVASIGLLFFLFLVGLELDLSSIRRSGKRAIFIAAAGISLPFTLGIGVAFLLRKTIEGADKVGVAQYIVFMGVALSITAFPVLARILAELKLLTTRVGETAMAAAAFNDVVAWILLALAVALAGSGTPGGPQKSPLISVWVLLSGVAFVAFMMLVIRPAMKWVATRCSPESDIVDEAYICLTLAGVLVSGFMTDFIGIHSIFGGFVFGLTIPKGEFAQRLIERIEDFISGLLLPLYFASSGLKTDVSKIRGAEAWGLLVLIISTACAGKIIGTFAVAMMCSISARESLTLGFLMNTKGLVELIVLNIGKEKKVLNDEVFAILVLMALFTTFITTPTVMAIYKPARGVSTHRRLESIPDSAKDELRVLACVHGPGNIPSLINLIETTRSTKKSQLKLYIMHLVELTERSSSIMMVQRFRKNGFPFLNRFGQGGMHDRVAVGFQAYGQLGHVSVRTTTAISALSTMHEDICHVAERKRVPMILLPFHKQWRKINEDEVEKVGHGWRAVNQRVLKNAPCSVAVLVDRGLGGSQQTPGPTATVAQRVCLIFFGGPDDREALQLSGRMAEHPAVKVTVIRFLAKEGAAAANAVVVLKPSSTKSRDNSYTFTTAEVNPEREKELDDEAMTGFRQRWEGMVDYKEKTANNIVESVVGIGRSGEYELIVIGKGRCPSNMVAEVADRQAEHPELGPIGDVLASSGKGILSSVVVIQQHDVAHVEETPVSKIVISQEV >KZM98211 pep chromosome:ASM162521v1:4:21960196:21961941:-1 gene:DCAR_014427 transcript:KZM98211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSIGLESTTILSVEWNTDMKEVGEELNPTSKKEIVKVNKNAHLRCLPITEPDVDDEITGEKDAYMTQVLAQYQKSLVQITSNQLGYPVNHEYDYGALGQFQHFSINNLGDPFMDSTYGVHSRQFEIGVLDWFARLWEIERDEYWGYVTNCGTEGNLHGILVGREVFPNGILYASEDSHYSVFRAARMYRMDCVKVRTLLTGQIDCADFKSQLLANQGRPAIVNVNIGTTVKGAVDDLDLVIQTLEECGLSDDKFYIHCDGALFGLMIPFVDSAPKVSFKKAIGSFSVSGHKFVGCPMPCGVQITRMKHIKALSSNVDYIATRDATIMGSRNGHSPIFLWYTLNKKGYKGFRNEVQRCLKNAHYLRDRLKEAGISAMLNELSSTVVFERPRDEDFVRQWNLVCLRNMSHIVVMPNVTVDKLNSFVKELTEKRSVWYQGQKVQPPCLAADIGKGNCECALCK >KZM98324 pep chromosome:ASM162521v1:4:22929420:22937109:1 gene:DCAR_014314 transcript:KZM98324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDNNNNMNSRKELRHVESMAAFPSGAGNIPHLNAVILGEALASEENDLIFPSRDFCSQALIPSPQKYLEMYKRSIEDPAGFWSDIASDFYWKKKWGHQVFVENLDVRKGAIKIQWFKGGVSNICYNCLDRIIESGDGDKVAIYWEGNEPGADGVLTYNQLLQRVCQLANYLKDNGVEKGNAVLIYLPMLMELPIAMLACARIGAVHSVVFAGFSAEAVAQRIIDCRPKIVITCNAVMRGPKIINLKDIVDAALDEASHNGVTVDTCLTFENESAMTRKATKWQAGRDIWWQDVVPKYPQVCDVVWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMIYTATTFKYAFDYKQSDVYWCTADCGWITGHSYVTYGPLLNGATVVVYEGAPNYPDSGRSWAIVDKYKVTLFYTAPTLVRSLMRDGDEHVTRHSRKSLRVLGSVGEPINPSAWRWYFNVVGDSRCPISDTWWQTETGGFMITPIPGAWPQKPGSATLPFFGVQPVIVDEKGIEIKGECSGYLCIKGSWPGAFRTLYGDHDRYETTYFKPFPGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKSLILAVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAARQLDELGDTSTLADPCVVDQLIALADC >KZM97500 pep chromosome:ASM162521v1:4:15299527:15301396:1 gene:DCAR_015138 transcript:KZM97500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDQENATAILSNSSGTDTSNCSGSLNKKRKARVLMPEAPVPREVNESMVELQFEKKLTRSDVEGRQHRIIIPKAYGEILFPETATRACFYFMDDMAAAKVWTFLIRSWTKGASKTYVLEGTEEYIQEHGVKEGDYIKIYKDHETSRFAIGWRKNDQDTDKSLADGLKKVDSPATSSEIASDQKNGIPANSSRVAFANDYHPYFSPHDETYDISINDVVDPEYVQKNFNMTWDEFQGFNPFEFP >KZM99034 pep chromosome:ASM162521v1:4:28899930:28901333:-1 gene:DCAR_013604 transcript:KZM99034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKSSLSTVATTASAAEIWGMGFFLIFFPEEEEDEINNPNTKKLKPSITFNTLLRRTNSVHLVSKAQSTISICALLVFFSLLLFTLSTFEPTATPSFPRRQLSSIKLYKPKPRPIVRETVHAFQGMGSLYTRGSRAMNSLIICHVMDSVSISELGLFLRLLHRSSLTSRSDVLFVFPSGKSNGYDYVIRQENDSVLELIARFKDLNGSSELEASFDVTQFVKSSEKMKGSAEAIWGRRIRSGNFSGENETELTQLSYGSVVGFEVDELDPENSLAGFMDHVPLSLRRWACYTLLLGRLRRKFKHVMLVDVKDVLLLGDPLGRVKNQSPESVYLSTISTATAKHGRKNHQKDVITPSIISGGARGIRRLANSMVTEIVRVAMQHKKKNSVTDSVVLNQLVGNDLLTSNIKVVVSPESIPEASSLSSVVLSNHSVIRRGNSNVEEFRVALMKHICSFKLDSSVYTSDC >KZM99591 pep chromosome:ASM162521v1:4:33269387:33270124:1 gene:DCAR_013047 transcript:KZM99591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHTLSLSTLTLLLLLSLSHAATPSAPAPGPAGPINITAILEDGSQFTTFIRFLTDNEISDQINNQVNTSTDGMTVFAPTDNAFSNLPAGTLNKLTDRQQIQLIQYHILPKYYDLTSLLTVSNPVRTLLSGQDDGVYGLNFTGQATQVNVSTGIVDTLINNPLRQKKPLAVYQVDKVLLPAEFYEAKPPAAAPKAKGKGEVEAAPAPKPSKEKPADDSSDSGRMMNVGFGLVGGVGLFCMGMLF >KZM97171 pep chromosome:ASM162521v1:4:11753682:11753948:1 gene:DCAR_015467 transcript:KZM97171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLVGTESPPAGYCPSRTASPVSPSIGHRDSPPRHLAAGCAHRCAPPHGARTPLRTPARCAHPAAHPRTVRAPRCDSLAVRRIPAS >KZM96737 pep chromosome:ASM162521v1:4:5537267:5537422:-1 gene:DCAR_015901 transcript:KZM96737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSNNEVWGNFNNFVAPEFGVIGGMSDIWDVSSSEVAVGPEFDIWRADEY >KZM98836 pep chromosome:ASM162521v1:4:27253908:27257821:-1 gene:DCAR_013802 transcript:KZM98836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQMTWQQQSNNSKQRKINRPPLGLKNLGNSCYLNSVLQCLTYTPPLANFCLKSQHTSLCDLAVAERDRKSDCPFCILERRIVRSLSSDLTLDAPYKLNNCLRLFAEHFRLGRQEDAHEFLRYVIDACHNTCLRLKKLQQHRRKAVVNGGKAVVNGGGGGSDGIGGSSVVKDIFGGALQSQVKCLSCGKESNKVDEIMDISLDVMHSGSLKEALHKFFQAEVLDGNNKYKCDNCKKLVAAKKQMSILQAPNVLVIQLKRFEGIFGGKIDKAIAFEEVLVLSSYMCKASQDPHPEYNLFASIVHSGFSPDSGHYYAYIKDPMNRWYCCNDSFVTLSTLKEVLSEKVYILFFSRTKQRPVTTSTESTTNGIKYNGINGNGTSKVLKAGPHKVVSMKQAGDPSCDEDKLTLSKVDNAPSSPKMPIKNNGKSNVKQISTPVNNVKLVFHKKESSEKNGDVKSLNFKKKTESNGLPFKDTNGISKSSDIVIDGERSHSVLLPNGKDSIVSTSNNSSAAKSNSPSQQLLGNGSISSLEPTLAFTQKLQEENSCTLSAEDAMSNLGIENSNSNERTAESCNDGQTHLNLDKMSFKRKLQPEDSYISLAEGNKSYARPGETNFKSELQGNDMCILLADDAESRRKLQELKATYVILIYNAMVLYFLQISYNSFGLTS >KZM97971 pep chromosome:ASM162521v1:4:20002585:20003616:1 gene:DCAR_014667 transcript:KZM97971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCLSGGGRTYGFDLDIVKSTSTSSRTSHSSSPSSTLSESSNSPITILHRKPRTPRKRPNQTYNEAALLLSTAYPKIFPTKHLTKLCKSSKLYSSFVDEAQELLLPFRTIDNSGFLLHQPILEKRILGLVPKVVDSCERVCHSPQDSDLCGNSLEICDEHQDDFDAESILDEEIEEGIDSIMGNLSVNNDSASDESTSTTCIGYPLGLGFSGNFDYGFGMRGGGVRALRDADESNWWSFPAVDVADITPNFKKTPSAAAQKKKKKVKKIVELKYLDSPPVHNPVAAEEELPMLSGGPRLKLNYDKVSEAWSDRRSTSDIYVGLSTSTSSRKSRSKKVQTISI >KZM98438 pep chromosome:ASM162521v1:4:23981435:23982783:-1 gene:DCAR_014200 transcript:KZM98438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSSSDSLGALMSLCPTTEEHSPGNNHMYGRDFQSSLDGLDEEGCIEESGQIEKKRRLSVDQVKALEKNFEVDNKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDSLKHNYDTLQHDNESLLKEIKELKAKYGEKNEGNPVKEEVMASENKDMYEEILVTNKSLAAIDSIAQCDDPTAEPNLVASAVTTFFQDGSSDSDSSAILNEDNNNNSPNSSTAVFGHQFMPSSSSNCFQFSSASDSKTILGDAQKAYQPQFVKIEEHDFFSGDESCNFFSDDQAPSLQWYCHDQWN >KZM97312 pep chromosome:ASM162521v1:4:13410055:13411023:1 gene:DCAR_015326 transcript:KZM97312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIRGVVVIFMVAVLAEVGEAARSLSSNWKPRLEGGNYDYSYSYCLSWRLAAETNDIRGWRTVPSECLRHVQSYMLLGQYNLDLNLIIFEHIFPYINSIPLSNDGYDAWILDIDDTCLSNIVYYKLRQFGCEPYDPQGFKMWASRGGCPAVPATLRLFNKLVETGLKVFLVSGRDEETLYQATADNLHNQEFIGYERLILRSAANRGQGGVVFKSEKRKQLVEEGYRIWGNVGDQWSDLQGDFTGNRTFKLPNPMYFVP >KZM98585 pep chromosome:ASM162521v1:4:25239736:25240304:1 gene:DCAR_014053 transcript:KZM98585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRESYFAVFLVICLVAKAAAQQASNVRATYHLYEPEKIGWNYLTASVYCATWDADKPLAFRQKYGWTAFCGPVGPRGQESCGKCLRVTNTRTNAQQIVRIVDQCSNGGLDLDIGVFRQLDTDGLGNQQGFLTVNYEFVNCGD >KZM99575 pep chromosome:ASM162521v1:4:33144013:33145363:1 gene:DCAR_013063 transcript:KZM99575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACHVLALAQPNGPVKALFPMSFELSFSSVLSSLSFRGKGAEGKGNSVFSNSEAVKSLSDFLNSLLPFPLPTDQNVRMLFRNWCPMLSKLSNMEANTMKLIWLLGHSAFSSRKMWVPPVVSDPLSCAKSVVEVDVNNPFKPLSCNGCNPLGHLTAACAIIIKGRVKKQKPKMLRLGTPKWLMV >KZM97362 pep chromosome:ASM162521v1:4:13893938:13896128:1 gene:DCAR_015276 transcript:KZM97362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGKTLKEVKVEVIEATDESFKEYGQVIGPSPDGEEFGPQDAQLDLSRGTPRLYIMNLSGRSLKFGSITHHASVTQCLGSIGGNTWYLGVAKSSILDPNQVEGDKGPNVVQAHAGHFYVPPAIEDVRVFRFSGPKFVKLNVGTWHAGPLFKPQEMAFYNLELSNTNVVDHTTHYFKNVDNAMFTFDE >KZM97747 pep chromosome:ASM162521v1:4:17484682:17487432:1 gene:DCAR_014891 transcript:KZM97747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILAGSPALKNVITRVETQKSGLLGRNIEDGQPLSPMAQLFHEPGSNLYIVAIMGFKTKLQPDIVKPILMHIFVKHPRFSSLQVEDKESGELKWIPTEVDLEKHVIVPDLDPNMDGADQFVEDYVSNLSKTNIVNSKPMWEIHLLNVKTSDAEAVLIYRIHHSIGDGMSLTINDVVLGVTQAGLSRYLNRRYGELQNNIGLITDQEQKKSKSYLPKSIRLRAAFFFNLRASTKIDAVPDEEGTETARFGNKIGYVILPFKIGLRDDPLDYVRQAKAVIDRKKASLEPFFTYLFLKFFIKFFGIRAAGALSHKIFCNTTLWFSNVPGPREEISFHGHDMTFSACSCYGQPSALLIHVISYTDKISFVLSTEEDTIPDPHSLCDDLEESFKLIKAAVVPS >KZM98675 pep chromosome:ASM162521v1:4:25942750:25943676:-1 gene:DCAR_013963 transcript:KZM98675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFFQLISCISLLFLLLLVPSNSENPKAPPFGFLKPLEGCKKGENLEGLRELKQYLNKFGYLNYNSSKAHDNDDFDDFLEAAMKAYQANYNLNVTGTLDSETLSKMVTPRCGVPDIINGTNSMTRNKKRHRHNNSPKKLHTVSHYTFFQGSPRWPADKTSLTYWFNPRTIQTDAMPAFVRAFDKWASITQYFTFAQTEDYESSDLKISFERGDHGDGSSFDGRGGVLAHAFRPTNGNLHCDADELWSIGALQDYTDLETVALHEIGHLLGLDHSSVEDAIMFPTIRSGVTKDLHDDDIQGIKALYNI >KZM98028 pep chromosome:ASM162521v1:4:20503389:20506220:-1 gene:DCAR_014610 transcript:KZM98028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVVPLQGLIVHGRGGLVLGSLLPCALFYFLQFHLKRHRSPANSTPSSSSSSPIRAQLSSRASPIAKPNESPYYIGMDMVRSDPYHSLRSPDGIIDLGIAENRLSLDLIETWLLQNSNDSLLQGGLTIGGLATYQPFDGLMDMKVAMSGFVSEVIGGTISLNPSQLVLTSGATPAVEILCFCLADPGDAFLIPAPYYPGFDRDVLYRTGVELIPVHCGSSDGFMLSKNTLEQTFNQARKRGKRIRGVLISNPSNPVGNILNRELLYNLLTFAEEKNIHIISDEVFAGSVYGNEEFVSMAEILQSEDFEKERVHIIYGLSKDLSLPGYRVGAIYSCNENVLAASKKLTRFCSISTPTQQLLISMLSDKRFIQQYIKINRERLTKMYDLFVEGLKQLGIECAKSSGGLYCWVNMSGLISSRDEKGELALWHKLLNIAKINITPGSACHCIEPGWFRCCFTTLQENDIPIVMGRIQKITPIGTSQTGIP >KZM99007 pep chromosome:ASM162521v1:4:28707763:28709337:1 gene:DCAR_013631 transcript:KZM99007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCIPSLLPLVLLILFLSQLSKATSVDFVQCLERYANNSTSISQVTYTPDNSSYTAVLQFSIRNLRHASLPDSQKPVVIVTPVDETQIQMVVNCSRRHGLQIRTRSGGHDFEGLSYRTTSNISFVLLDMINIRSVNVDLANATAWVESGATIGELYYAISQTSATHAFPAGVWCNVGVGGLISGGGYGMLRRKYGLAADNVIDARMVDVNGRILNRTSMGEDLFWAIRGGGGSSFGVIISWKIKLVQVPAIVTVFTVDKTLEQNATGILHKWQSVAPSVDKELDIRIQGTCVLSNTSKREDKKTIRLTFMSLFLGRIDTLLPLMQISFPELGLTRENCLEMSWIQSVLFFTSLPPGASPSVLTNRTLLPKFATKAKSSYLKGIWELMLSRDPGTTIVMLTPYGGRMSEISESQIPFPHRAGNLYMIYIGVFWAGDTQQALNWIRNLYNYLNPYVLNSPRSSYVNYNDLDLGINNLQGPTSYQQASIWGKKYFKDNFDRLVRVKFSADPTNFFRHEQSIPPIPL >KZM97798 pep chromosome:ASM162521v1:4:17939338:17943589:-1 gene:DCAR_014840 transcript:KZM97798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLSMSLDDIITMNKHKQQQQQRLSSPPPHSGAAPSRRFFNRTVHRSFPYPRSHVEAPETKWKHDKFARYAAAHVSYPHLQVEAPEMEWKHDMFAQYAPAHISYPPMLNRLYYVETATKILVSNLNYAVSENDIKDLFSVVGDVKKISVHYDKSGRSEGSAEIIYSNWKDAEAAVKRYNNIQLDGKPMEVKIVGMVTATSAVMSPYGCYGLRRDVGKGSELVGLSQGGNMHTSGRGLRRWNGGKAYKNLSAEDLDADLDNYHAEVKQKH >KZM99432 pep chromosome:ASM162521v1:4:31988668:31995089:-1 gene:DCAR_013206 transcript:KZM99432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLLISFLYLAAFAAAQTSTKKPSPWQTLKASRFCKNNGGTVFWFEIETAGDAPLVIANGGFSGLFPHSSSIAYAFALQTGLPNTILWCDVQLTSDGYGVCLPDLLLNNGSDTGDQFPNKKKTYLINGNRTTGWFSVDFTLSELSKVSLIQGVYSRTFQFDQNLFPVMTIQEVVKQVKPPGLWLNIQHAAFFDQHKLNIRSFVLSTSRSSIVNYISSPEVNFLKSIVARLKATPTKLVFRFLGKKDIEPSTNQTYDSLLKNLTFIKTFASGILVPKTYIWPVDPKLNLQPSTSVVIDAHKEGLKIYASDFANDVPLAYDFSYDPVDEYLKFIDNDNFSVDGVLSDFPITPSAAIDCYSHMDKNDSSPAKPLIITHEGASGDYPGCTDLAYKKAITDGADILDCPVQMTKDGLPFCLGSINLIDRTNAVQAYSTLTMDIPELNGAGIFSFNLTWKEIQDLKPQIFNPYAEEKLYRNQNNKNKGNLMLLSDFLGLAYNATSISGVVISIEEASFLAKQGLSVTDAVLDALSTNDYDNQTIKRVMIQSTNSAVLTKIKEKKNFEFVYEVDEVIRDALNSTMLDIKKFADAVVIKKKSVFSRNNIGFLIGTTDVVPKLKAFKLPVYVKLFQNEFFPQAWDFFSDARVELNSFVVGADIDGVITEFPRTANNYRRNRCLGLGTNTPPYMTPVEPGSLLTVVLPQPPAQAPSPVLSDSNVLEPPLPSVAVAPTTANTTTPALPPTNPRNGQSKLVLSVLVANLPLLLAAILSL >KZM98205 pep chromosome:ASM162521v1:4:21905359:21907509:-1 gene:DCAR_014433 transcript:KZM98205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHGASPPPPPPGFAGIGGAPAMEVEEEGGGGGGEESERTSGSSGGNRWPKQETIALLKIRSEMDAEFRDSSLKGPLWQQVSGKLAELGYNRSAKKCREKFENVYKYNKRTKDGRTTKPDGKTYRFFDELQALDTQSSSQPARIVATSSMLLNTTTQTIPTSTVSSTPSPIASTLNMSTNPIPSIRVGDVPSFNMVSLSNSTFSSSSTSSDEQRGERKRKRKSKWKDFFEGLMGEVIKKQEELEKKFLDELEKRERDRMIREEAWRVQEMTRINREHELLVQERSMAAAKDAAVLEFLQKISDQQKNPSSNPITIPQLQQLQLQIQPLVQPSQPPPPPPPIPQQLVIPQPQPQPQPSTPAVAPPQPQSSRGGTDNLQPPAPSRWPKAEIEALILLRKDLDNKYNETSPKGPLWEDISAGMRRMGYNRNAKRCKEKWENINKYYKKVKESNKKRPEDAKTCPYFHQLDALYKEKSKLSHGSASTPMLPNIVQPEQQWPLQQHRQQQQDTEMEDPESENNDNFNEGDEEDGEDDDDTGAGYEIVPNKQPASSQGF >KZM98709 pep chromosome:ASM162521v1:4:26288222:26295257:-1 gene:DCAR_013929 transcript:KZM98709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADQNRTGFLGRAEFYNALKLVTVAQSKRDLTPDIVKAALYGPASAKIPAPQINLAALSAPQTNLTPPATAPQISPRPPYTAPQVNSRGPSPTPHANQMPPSLPQTQNFGFRGQVPPNTGMNQQYFPAQANQSMGSPVPMPSGTAPRPLQGVSGSSFLGGGSITSSPVSANLSNDWLGGQSGVSSTGPPSSQVPSRGISPSIPSYGQKLPDPVSIAKSPAGPGNGFASNSMFGGDMFSANQSAPKQASSAPTYSANTAPSSSGNPPVTSATQPSTKLDPLESLNAFTRQSKGGISASQPVSKPNQQLPVQNNSSVGSSAMPAEMGNSASSQPQPSWPKMTRAGIQRYHKVFVEVDTDRDGRITGEQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCVALYLMERYREGQHLPETLPNSVMLDETLLALAGPPTASYGSTAWGSAPGMRSQGMPGSQPVNSAASRTPMPSGFSNQQKAGVSSMENSQMSQQSNGGHPSADVKNSEESETEKVVLFKSRCENRLNEITERAIADKREAELLGKKYEEKYKQVAEIASKLTIEEAAFREIQERKMEYNQAIIKMQQGGSADGILQVRADRLQSDLEELLKALTERCKRHGVQIKSAAVIELPKGWQPGIPEVSAIWDEEWDKFDDEGFSFDVLAPTDAKSGSSHNEISSPNDILSPDNLSNMDDKSENLFNQGEDTIENESAFNHSGEMYVKSPTESPTRQNEFESPSRDGSDNHFRKSFEADNETQRSFDEPTWGTFDNNDDTDSVWGFSSMNAKDSDHEKHGEKSFFESSNFAGSPVRTGSPDANDLFPKKSPFGFEDSFPSSPLSRAGNSPSRYSEASGDQFFNNMSRFDSFGGEDRDVSSRRESFARFDSMTSSSGFDHSRGFSFDDSDPFGSSGPFKVSSGSETQKKESDNWNAF >KZM96626 pep chromosome:ASM162521v1:4:2625741:2649588:-1 gene:DCAR_016012 transcript:KZM96626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAAFKMMHSPTAIETCASGYITHSNQLPKLPSIQTEDSDWLSIKPNVTASIPNLVVTAANVLEVYVVRVSEDSGGSGKGSVVDKRGGVMDGVSGASLELVCHYRLHGNIYSMAILPCGGADGRRRDSIILTFDDAKISVLEFDDSVHGLRTSSMHCFEGPEWLYLRRGRENFPTGPLVKVDPQGRCAGVLVYGLQMIVLKASQAGGFVGDDSTLGAGGASCARIESSYIISLRDMEMKHVKDFVFINGYIEPVLVILYEHELTWAGRVSWKHHTCGISALSISTTLKQHPLIWSASNLPHEAYKLLAVPSPIGGVIVISTNSIHYHSQSASCILALNNFAVSVDGSQETTRSNFSLELDAANATWLSNDVAMLSTKTGELLLLKLVYDGRVVQRLDLSKSKASVLTSGITTIGNSLFFLASRLGDSLLVQFTSGVGASTLPPGMKEEVGDIDVDVHQAKRLRRSSSDALQDMVNDELSLYGSGPNNAESTEKIFSFTVRDSLINVGPLKDFSYGLRINADHNATGIAKQSNYELVCCSGHGKNGALCVLQKSIRPEVITQEPIPGCKGLWTVYHKTSRSHTIDSSKMASDDDEYHAYLIISLENRTMVLQTVNNLEEVTESVDYYVQGSTVAAGNLFGRLRVIQIYARGARILDGAFMTQELNFKAPNSESGSGSESPTVSSVSIADPYVLLRMNDGSIQLIVGDPSSCTVSTSFPAVFENSKKSIASCTLYHDKGPEPWLRKTSTDAWLSSGVGEAIDGSDSTLDQGDVYCVVCYESGILQVFDVPNFCCVFSVDNFESGKAYLGDTFVQESANDSQNHLRKNSEETENGRKENNQRIKVVELAMHRWSGQHSRPFLFGILTDGTVLCYQAYLYEGSESSVKIEEIVPVHDSVNLNNASSSRLKNLRFARVPLDTYIKEEILPETPSPRITTFKNVGGFPGLFIAGSRPIWFMIFRERLRIHPQLCDGPIAAFTILHNVYCNHGIIYVTQQGTLKICQLPSLLCYDNYWPVQKISLKGTPHQVTYSAEKNLYPLIVSVPVVKPLNQVLSSLVDQEAGHQIEHDNFSSDGTYAVEEFEVRILEPEKSGGPWQIRGTIPMQSSENALTVRVVTLFNTTTRENETLLAIGTAYVQGEDVAGRGRVLLFSVERIAESSQTTISEVYSKELKGAISAVASLQGHLLIASGPKVILHKWTGSDLTGVAFYDAPPLYVVSLNIVKNFILLGDIHKSIYFLSWKEQGAQLTLLAKDFGSLDCFATEFLIDGSTLSLTVSDDQKNVQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLPTPDRTNAAAVPDKTNRFALLFGTLDGSVGCIAPLDELTFRRLQSLQKKLVESVPHVAGLNPRSFRQFHSKGKAHRPGPDSIVDCELLCQFEMLVLEQQHEIANQIGTTRSQIVSNLNDLALGTSFL >KZM97906 pep chromosome:ASM162521v1:4:19315135:19315398:-1 gene:DCAR_014732 transcript:KZM97906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPRTGLLSFHCEKRQVFAALWSQPRAVPCTLGAIALKPTLEDPNSLLTWRGQARLALRWPNRQDQAQHPTIQPSLELQARVTKPS >KZM97031 pep chromosome:ASM162521v1:4:9941930:9944585:-1 gene:DCAR_015607 transcript:KZM97031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGRGSPDMHVPMRLLLPGYSGANEGSDRRQLAVYNPPPPPPPSQPGFGGSRFKLPEGWEVEEVPRADASRIDKYYYEPSTGHKFRSLKEVERYINGEVYTPRRRRSKALKFGSGGSHGKYYYEPGTGRKFRSLSSVDRHLADQNENTRLSETPADLRAYNMPLSNAYKIGSHVKNSNSFWKNNNQREEVQSATFNSPPLKINWVIASPGGNTWSPFIGGSLVPQPVQQQWCKRYMLSINDGRYIAPNF >KZM96891 pep chromosome:ASM162521v1:4:8384874:8385888:1 gene:DCAR_015747 transcript:KZM96891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLLAMLMKGDDVYLMNVGDSRAILAQKVDLERISEETLSDLDRIDVDEFCSFTSLASSQLTVDHSTSVEEEVQRIKSEHRDDSSAIIKDRVKDFLKVTRAFGAGFLKQSNKIVRLQCLLMHYEDLVIIYGTHEELVKDDRGSGGTGAVDFVSTHRQNDPILSSQNAIKISRLCSI >KZM99540 pep chromosome:ASM162521v1:4:32853722:32854664:1 gene:DCAR_013098 transcript:KZM99540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLSMYKGMNHSLNLKFLMGLLKHCRSGKDTPKFPYMLHHGIQNLMAVAAGAHLQ >KZM98284 pep chromosome:ASM162521v1:4:22548847:22549314:1 gene:DCAR_014354 transcript:KZM98284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEDDEDQSGGYGYGYEQHNSSIAQQNYTTHQPSYTMAQQSYNVAQQSGYGDNSYYASNDDTGYYASNDRRYVSQVGLNQAMPSRYNYQQQYQMNNRRVVKPAAVYAQRPSGWGWGSSTNSRQYYGNQASKYGDSGYDVHQNSSGGYQSEWVYN >KZM98693 pep chromosome:ASM162521v1:4:26176463:26178865:-1 gene:DCAR_013945 transcript:KZM98693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRDEATRAKDIAERRFLAKDIAGAKKFALKAQNLYPQLDGITQLLATLDIYIYSENKINGEPDWYGVLGVNPLDTEDAIRKQYRKLALMLHPDKNKAKGADGAFNLISQAWSLLSDKAKRSAYDKALHIQHQGGVSSVPPGQNGFYNFAQGSNMKAAKDSAASVPSASHAQQPTASPFHVSSRNEKPTSPSHKNKSGTVPSSPHKQRPPNAPFKSHKRKRVPNVPDPPQEPKQTTFWTACHGCKMQYEYPRMYINRNLLCPNCRDPFFSFEIAPPPAKREAFAHHHKVSKSKLSEINRSESTNVTSGKSGGRDNHDKFQWAPFSKKAGAASAAQAASVVQHAYEKVKRERVEAQAATKREEALQKKKHASDIANGILSNENSDVGDGRCSMEDLGDDGMSVYLDSMGTGAGELSGLRRLNSKHVKVRKSIEPSRNRDLSNVQSLHHLTIKAKKDILERVKEWNSAPVTKSIEANVRLTEKSDEKEKRKDYQIVHGVRKNDKTSQTFNALDENPANKCTLGAFCGNIDRMRMSMEVPDSEFHDFDKYRSEKCFEENQVWAVYDNDDGMPRYYGMVHQVICLDPFKLKIHWLSFKYSSDWGILSCTGSAISKACGNFTIGKQEIIESVNSFSHKVKWTKGIGGCVQIYPRCGDVWCLYRNWCPEWNELTADEIVHQYEIVQILEDDCNDEGGSVVVIPLVKVAGFKSVFLPHLDRNQIQRIPIGEISRFSHHIPSYSITVPEAPWGYLELDPAATPAQYLKVIADSDFTSAEIMGSEEKYNQQTIQVDNVKNCKTVQEN >KZM99460 pep chromosome:ASM162521v1:4:32231861:32243769:1 gene:DCAR_013178 transcript:KZM99460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ACC1-1 description:Acetyl-CoA carboylase 1-like MSEAQRRPLVAAVGRNNGYINGVVPLRPPSTVSEIDEFCFALGGNKPIHSILIANNGMAAVKFIRSVRTWAYETFGTEKAILMVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLILEMAEITHVDAVWPGWGHASENPELPDALHAKGIIFLGPPSTSMAALGDKIGSSLIAQAAEVPTLPWSGSHVKIPSDSCLVTIPDDIYSEACVSTTEEALASCQVVGYPAMIKASWGGGGKGIRKVYNDDEVKALFKQVQGEVPGSPIFIMKVASQLCIAVIAVFKEDIKRQIIEEGPITVAPRETVKKLEQAARRLAKSVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGKDHGGGYDTWRRTSSVATPFNFDEAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTVDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAMVSEYVGYLEKGQIPPKHISLVSSQVSLNIEGSKYTIDMVRGGPGSYKLKLNESSVEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLVPDGSHVDADTPYAEVEVMKMCMPLLSPAAGNIQFKMSEGQAMQAGELIANLDLDDPSAVRKAEPFHGSFPLLGPPTAISGKVHQRCAASLNASQMILAGYEHNIEEVVQSLLSCLDNPELPFLQWQECLSVLATRLPKELRNELETKYKEYQVIPGLQNVDFPAKILRGVLESHLSSCSTKEKGAQERLIEPLLSLVKSYEGGRESHARVIVRSLFDEYLSVEELFSDNIQADVIERLRLQYKKDLLKVMDIVLSHQGIKNKNKLILRLMEQLVYPNPAAYRDQLIRFSALNHTNYSQLALKASQLLEQTKLSELRSSIARSLSELEMFTEEGESMDTPKRKIAINERMEDLVSAPLAVEDALVGLFDHSDPTLQRRVVETYIRRLYQPYLVSGSVRMQWHRSGLITSWEFIEDHLEKNINGSDYHLVEKPGIQKKYEKKWGAMVIIKSLQFLPTVLSAALKEMTPDSDKSIPSGSDGRASHNNMMHIALAGINNQMSSLQDSGDEDQAQERINKLAKILREKEVSHNLRSAGVAVVSCIIQRDEGRGPMRHSFYWSGEKLHYDEEPLLRHLEPPLSIYLELEKLKGYNKIKYTPSRDRQWHLYTVVDKALPIQRMFLRTLIRQPTANESYMVYQGLDTGKTQAAWTLPYTSRSILRSLMTAMEEVELHVHNATVKSDHAHMYLYIIQEQKINDLLPHSKVFSDNGQEEAAVGKILGELTREIHKSVGVKMHRLGICEWEVKLWITSAGQANGAWRVVVTNATGHTCVVHIYRELEDASTHKVVYHSISQQGPLHGVPVNAQYQPLGVLDRRRLLARKSNTTYCYDFPLAFEAALEKCWESHLPSSMKPKDKVLKFKEFTFANLNGNSEIPLVTVDRQPGLNDVGMVAWLMEMCTPEFPSGREIIIVANDVTFKNGSFGPKEDAFFQAVTELACIKKLPLIYLAANSGARIGVAEEVKSCFKVGWSDESSPERGFQYVYLTPEDYKNIGSSVIAHELQLPSGETRWVIDTIVGKEDGLGVENLTGSGAIAGAYSRAYRETFTLTYVTGRTVGIGAYLSRLGMRCIQRIDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSFVPAYSGGPLPISTPLDFPERPVTYLPENTCDPRAAICGSLDGNGNWLGGMFDRDSFVEALEGWARTVVTGRAKLGGIPVGIIATETQTMMQVIPADPGQLDSHERVVPQAGQVWFPDSANKTAQALMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMGGELRGGAWVVIDSRINSDHIEMFAERTAKGNVLEPEGLIEIKFRTKELLECMGRLDKQLIDLNAELSEAKSTRAIANVESLQQQIKAREKQLLPIYTQIATKFAELHDTSSRMAAKGVIKEVVDWANSRSFFYKRLNRRVAEGSLIKIVRDAAGDQLSYKAALDMIKNWFTSSGSQDTWLDDEAFFAWKNNLNNYHDKLQELRMQKVTFQLLQMSDSTLDLQALPQGLAALLQKVEPSVKKQLVEELQKVINSQASVPVNGKVKVPV >KZM97605 pep chromosome:ASM162521v1:4:16167035:16172279:-1 gene:DCAR_015033 transcript:KZM97605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVSEINTSAGRRRAMTSKKAPEKNLKLTTLGKRKKLGPQGGFSSIGIRRKTCMQSGNDDDDFVTSPAALREKGHVMSLQKWSETSPKLSTVRKKKYAVEVELSSAAGSKPKPAGTLTNNKVERKLGLNKQREEKRSKTAEQIDSSNSVRGTKRRKMNAEYLYVDRVKNNTTKEVVREIPAYLAWSDALLREREDNEILDDNFGIGEILEWAADECNNDIENDNEKSAPEVQNEEKDYVSDSEASADCHDFSDEKVVFDILEKKITVLSRIFEDFMTSQEKASTMFPSSERNAMIKQKLSDLFSCYKEKIGCMGDYPTSQNINVSQHDLDSLEPLSTQDIADIDRALVEYSASKSKISAARDLNDETPSFSLGLTQTETHEINEDINVLNIAKECAKDSDGGREAIEVARTDDNIAKLDRPRRQVHLSDNAKSPYVIRIVNDLRRRQINPEQEAVWQWLFSNRKRKDEYLFVWIDRMCTKAQFQSLKFNSWVEGTVIDCWSYLRNYGERLRGPNSPFRCFLTVETTLVALANNGKSFEHRYDNFMEHVDMCWCTINYLHQKTYVIADFDMFFFPIHQGVHHYLICYNIKHPSWDIIDNNKHYKDTSAVYGDAPSTLHKLFVRMLKQENVLTWEKVSALEPNFIEMEWQTNDNVVDCGIFVMRHMETYMGGRRIPWAGTLQNEKVGKNQMEKLRKIYCHQMLTSPLNEKREEIMKAVGKSLNVMRAR >KZM98725 pep chromosome:ASM162521v1:4:26421242:26424484:-1 gene:DCAR_013913 transcript:KZM98725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLPLHPPIPTRSFSTHSPSLHLTRHHHSLLSPPLATTSSLSYDQNDVASLQNRRYDFTPLLQFISNHDSSEFDSSSSDSPTELEPVELELAESYRAVPAPLWHTLLKSLSSETTSISKAYALVMWLKKHNLCFSYELLYSILIHALGRSEKLYEAFLLSQRQTLTPLTYNALIGACARNGDLEKALNLMARMRRDGYQPDFVNYSLVIQSLTRSNKIDSAMLLKLYEEIERDMIEVDGQLLNDITVGFARAGDVNKAMLFLGMIQGIGLSPKTATLVDVISVLGTSGRTEEAEAVFEELKEGGLKPRTRAYNALLKGYVKTGALKDAEWIVSEMESSGVSPDEHTYSLLIDAYGNAGRWESARIVLKEMEANDVQPNSFVFSRILASYRDRGEWQRSFQVLKEMKECGVQPDRQFYNVMIDSFGKYNCLDHAMATLERMKEEGIEPDTVTWNTLIDCHCKSGHHNKAEELFDEMQEIGCLPCTTTYNIMINSFGEQERWDGVKNLLGKMQSQGLLPNVITYTTLVDIYGKSGRFNDAIECLEVMKSAGLKPSPTMYNALINAYAQRGLSEQAVNAFRVMRADGLKPSNLALNSLINAFGEDRRDTEAFSVLQYMKENGLKPDVVTYTTLMKALIRVEKFNEVPAVYEEMILSGCHPDRKARAMLRSALRYMKQTLRS >KZM99615 pep chromosome:ASM162521v1:4:33451295:33453163:1 gene:DCAR_013023 transcript:KZM99615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLWSDDEVPVSPTGQYFNSSVLSISVLSVLESEIPIDDSLALTLLKDLFLPINPRFSSIMVEDNNGVKQWKKVFVELQDHVYLYSSSSRGAQRLLFSNSRHGDLRKYICGYFDTTTGGKTEPKSYLEILQTVGIDKPEELLLVTSDMEAAMTARAVGLEVVIILRPGNAPLPGSHNFKTTESLLQI >KZM97852 pep chromosome:ASM162521v1:4:18446500:18450461:-1 gene:DCAR_014786 transcript:KZM97852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVLARTAISVVFLVFLSSIEVQGRNSHFSSPDHLVSDGSVTNDGAKQGNKTGSSILQLKGLDSSEEQCEHMYGFLPCSESVFGHLFLIIVYEYLLYHGETYLLSGGGRIFEILGTGFFGASAFPVIAQLPESLILLVTGLFSSDEGAQENVLTGVGMVAGSTIFNLTLLWGTCLFLGRQVFVNKTNSIPVSDPSAESQQKKPPSLLSGYGVTSDAETSFTAKIMLVSVVPFIIILAPKIFNVSYNSRAYNIDILVTLFALIVLLLAYFSYQFSHSSIQDRRLDYLKVEHQTHVLAVINHVQEYVPERLLDDHGAPNEDAISRLFQKLDKDGDQQLSHAELTELFNRIKLSKSVLSREKVMENILNELDHDGNEQISLTEFMKTVKHWLHTTMCAVDEPASHSHDPGQPIKHLDEIYDGVFMNNVLGFSVLLSVVYFRGLVWHFSAEVMIVVIVCSVMGLIASFISRFPVWIMFIAYSLYPLSLIIVYLVDDYFWLP >KZM97367 pep chromosome:ASM162521v1:4:13924047:13925044:-1 gene:DCAR_015271 transcript:KZM97367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETFLEVILAILLPPVGVFLRYGCGVEFWICLLLTLLGYIPGIIYAIYVLVG >KZM97664 pep chromosome:ASM162521v1:4:16692797:16693006:1 gene:DCAR_014974 transcript:KZM97664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEVKNKKGGRESRAVKCTQSRCARAVSCIVQAHLHRPEEMDLELLARGLWLTTDVYTTSVPPHFVVQS >KZM99647 pep chromosome:ASM162521v1:4:33726946:33731486:-1 gene:DCAR_012991 transcript:KZM99647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRISLLARTLKSKPNLPSLYLSTFTSSHSFSSSPSIESSKSQHQTLESLRHRLAAESPTLTDFIKLNSDEEYSVDVGTKKKPLPKPKWMKESIPGGEKYTHIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRLQASPGFPECELPWQAYKVSPYTVLYQDSWPQSFTMMAWFCNVKTSRTPPPPDPNEPSNVAEAIASWGLDYVVITSVDRDDLPDQGSGHFAETVQKLKALKPNMLIEALVPDFRGDPICVEKVAKSGLEVLAHNIETVEELQSAVRDHRANFKQSIDVLMKAKEYAPAGTLTKTSVMLGCGETPEQVVRTMGKVREAGVDVITFGQYMRPSKRHMPVSEYITPEAFEKYRILGMEMGFRYVASGPMVRSSYKAGEYYIKSMIEADRAASSSSS >KZM97284 pep chromosome:ASM162521v1:4:13131614:13133244:1 gene:DCAR_015354 transcript:KZM97284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQVVQEKNLTGIHHLGMETYSQTSHKRLEGKVAIVTGGAKGIGEATVRLFVKHGAKVIIADVEDSLGNALANTLSPSATYVHCNVSSEEDIESLINSTVSRHGRLDILFNNAGVLGNQSKHKSILNFDVDEFDRVMNVNVRGVALGMKHAARVMIPKGHGCIISTSSVAGVMGGLGPHAYTASKHAIVGLTKNVACELGRYGIRVNCVSPFGVATSMLVNAWRGDGGGDEEMDMYFETGPNEKEVERMEEFVKGLANLKGEALRAKDVAEAALYLASDESKYVSGHNLVVDGGVTSSRNCVGL >KZM96622 pep chromosome:ASM162521v1:4:2421441:2422171:1 gene:DCAR_016016 transcript:KZM96622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFGVAITDETLRQMAKYRNKKITQEDRAREAMRLIHAEDKNLNALQHVLDLKDKYGSGVSTLCLVYNATGNTLQLVEQKDWLGYVYNEQPPTSFENGQWLAFLHAHPSSQALGCEAVRVYRGQNVHGEVRDYMIAWSAPWGPDYQNSAYTEVREKDHFPQYWSYIKGLLEKAEKITTDETDKNCASAVGIGGLTSPEFIAILKHKFSPQP >KZM98949 pep chromosome:ASM162521v1:4:28237874:28239703:-1 gene:DCAR_013689 transcript:KZM98949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPKRNPELRKDTVNNRWVIFSPARSRRPSDFKSKSNPNPNNQSACPFCIGHEHECAPEIFRVPSDSTAEWKIRVIQNLYPALSRDLPVEPEVTESGSGEVSLSGFGFHDVVIEAPVHSVHLPDLSPVQIGQVLLAYKKRILQLAGYEFIKYVQVFKNHGASAGASMSHSHSQMIALPVIPPTVSARIDSMKEHFDQTGKCSLCEIQLDRLLINESAHFVSIAPFASTSPFELWIIPRNHYSHFHELNEEQATDLGGSLKLVLQKMSLQLNNPPYNFMIHTSPLKVSQPELPYCHWYLQIVPQLGTIGGFEMGSGCYINPVFPEDAAKILKEVNLPN >KZM97982 pep chromosome:ASM162521v1:4:20083048:20086318:-1 gene:DCAR_014656 transcript:KZM97982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLCRNLGDPTVVPDSTATSALTIDKSHPIPQLNSPTGVRVRVKATSLNFANFLQIQGKYQEKYPLPFIPGSDFSGVVDSVGPQVTAFSVGDHVCGFISLGSFAQFVVIDQADLFRVPDGCDLVAAGGLPVAYGTSHVALDHRAHLSPGQVLLVLGAAGGVGIAAVQIGKVCGATVIAVARGDEKVCLLKSMGVDHVVDLSTGNITESVKGFLKAKKLRGVDVLYDPVGGKVTKESLKLLNWGAQILIIGFASGEVPVIPANIALVKNWTIHGLYWGSHKLNKPGVLEDSLNELLSWLAKGLITINVSHTFSLSQANLAFAAIRDRKVIGKVMIVFDDPKNVKSKL >KZM98539 pep chromosome:ASM162521v1:4:24859017:24861935:-1 gene:DCAR_014099 transcript:KZM98539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINCKFAYPARRTEQDRRMLSRNFPARSSVVFIPTILIFSILFQIGESNDNSQEVTCSTTCVAQNCDTIGIRYGKYCGVGWSGCPGEKPCDDLDACCKVHDDCVGQKGMNNVECHQNFRHCIKKVQKSEKRGFSEVCPVDVVVPTMDQGMEIAIMFSEFGNSKLEL >KZM96971 pep chromosome:ASM162521v1:4:9319293:9320273:-1 gene:DCAR_015667 transcript:KZM96971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKQRSPPATISQPSQNDAVEEHAASSESERSPVKRTATPDPKQKSGSKPDPKRSRKRGSEKEEKVGVSKKARVEKNSSVKRVWSDEDAIAIVQGLIDYELEYDEDPREKMRALEKEWKDVLVKELQLCANKLELKTKLAKVLLDQMLSSDP >KZM97670 pep chromosome:ASM162521v1:4:16743038:16743826:-1 gene:DCAR_014968 transcript:KZM97670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGSKPLSSPSRSEKFPPPLMSFLRSNVGSKSRGKSRSGPLFFRKRNIAAASETQEPSSPKVTCIGQVRVRRSVRANSSKSRAKVKCPTLWFPKNLFCNSRKNNFGSVRPVLRKWVLFLRFGYCRKVDVEEDSPVSNRRSEYIKDTETEETEEAKQSFVSSTPPKNAFLLTRCRSAPYRSSSLASRFLGSPLSSPETEENLENLDYEEHEECSEEVKSSIHGRNSEASTQSLKDEAALLEPLILTRCKSEPARTGERLMNQ >KZM99152 pep chromosome:ASM162521v1:4:29800368:29805416:-1 gene:DCAR_013486 transcript:KZM99152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGGRNGDYHSGFRCLIRRKKADSNDNQGGQQLARTLTAFDLIAIGIGSTIGAGVYILVGTVAREYTGPAIAISFLIAGIAAGLSAFCYAELACRCPSAGSAYHYSYICVGEGVAWLIGWSMILEFTLGGAAVARGISPNVALFFGGMDKLPAFLGRLNIYGTVVDPCAAILVLLVTGLLCTGIKESSLVQATVTTINIVALVFILIAGGIVGFRTGWKGYQISSGYFPFGINGILSGSAAVFFSYVGFDAVTSTAEEVKNPNRDMPLGIGMSVATCCIFYMLVSSVVVGLVPYNELDPDTPISSAFASYGMGWAVYIISTGTVTSLCAALMGAILPQPRILMAMARDGLLPSFLSHINKRTQVPVKGTILTGIFIAALSFLMDVSELAGMVSVGTLLGFTAVAVSVLILRYVPPGEVSVPSTVSEYDVSVSLPLSTETQDIYGENPEDSVRHPLIDKGVSQNGSSELLRRRIASWSIALVCIGALVLSSAASAKDLHSIPRYTCCTIGGALLLCCLTALTFIKQYDDIQISGQTIGFKCPYVPFLPVVCILINTYLLINLGASTWTRVSVWLLAGALLYLFYGRKHSLLSGAILVPSADPFNTSAAHPRTD >KZM96749 pep chromosome:ASM162521v1:4:5746945:5748760:-1 gene:DCAR_015889 transcript:KZM96749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSVEKTSSGREYKVKDMSLADFGKLELELSEVEMPGLMSCRTEFGPSQPFKGVRITGSLHMTIQTGVLIQTLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPDGGPDLVVDDGGDTTLLIHEGVKAEEEFAKSGALPDPKSTDNAEFQIVLTIIRDGLKSDPKRYHKMKDRLEETTTGVKRLYQMQLNGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVAGYGDVGKGCAAALKQAGARVIVTEIDPICALQATMEGLQVLTLPDVLSVADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPDTKSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKERSSGKYEKKVYVLPKHLDEKVAALHLEKLGAKLTKLTKDQADYISVPVEGPYKPAHYRY >KZM96982 pep chromosome:ASM162521v1:4:9436882:9438666:-1 gene:DCAR_015656 transcript:KZM96982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVCVTGASGFLASWLIKRLLLSGYHVTGTVRNPDDHKKLAHLWKLEGAKERLQLVKAELTEEGSFDNAIFGCAGVFHTASPAEILKPAIDGTLNVLRSCKKNPSLRRVVLTSSSSTVRARDISSSKVALDESSWSSVELCEQYQLWYVLSKTMAEKAAWEFCNDNNIDLVTVLPSFVIGPSLPPHLCSTAADVLGLLKGETERFQWHGRMGYVHIDDVAFCHILVYEHEEAHGRYLCSSTVIDNEELTSILSARYPGLPIPKRFEKLDRPYYELDTTKLKSLGFKFKSIEEMFDDCIASLKEQGHLQH >KZM99099 pep chromosome:ASM162521v1:4:29402674:29404454:-1 gene:DCAR_013539 transcript:KZM99099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKRLLLSSTLSPTTSDPQPKHLVQPTTSHELHPIRPITESQPSDPSNQPPHHPPNILGNTSHKFETKQKAKLEDASKDEQQENGAGTRKQKINSAAAPVKETVSLTPLSSRPVNERWFDVDKYIPVNKRKGLDVEKSSQETPMLEKAKRMRSKTNKNCGATSVQQNDREQDKGNDDTNCTKHNMNVVKNTSKRGGVIMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMANVKGRAKMPTAASAAAPHKDTIDNTTNHNHPAKKVAVSSSGVQPDRDYNKETVMLDGSYDNDEDKEEDADDEDYNDEARKKPLVVKKKRMKLGVVKARSLSSLLNQTNNAVVVAADDN >KZM98409 pep chromosome:ASM162521v1:4:23730306:23730701:1 gene:DCAR_014229 transcript:KZM98409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKFTGSQPQNQLEYPSSAAPAVSFPQFTASVSTTSAYQQMLTSVPDHSLLKQSSQLSQSDDMRSQPSSSTVDKPADDGYNLRSRYKCTHSNCPVKKIVERALDGQVPEIVYKGQHNLERPQANKHRKDI >KZM99420 pep chromosome:ASM162521v1:4:31915883:31919498:-1 gene:DCAR_013218 transcript:KZM99420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETLFQDIFRVVGLDPDGKKFDKVTRIEAKSEQFDMYMQLDVNTDIYPLHEGDKFMMVLASTLNLDGTPDTGYFTPGGRKSLADKFEYVMNGKLYRISEEGSGANLKADIYVSFGGLLMMLKGDPSIAAKFELDQRLFILMRKADRQ >KZM99777 pep chromosome:ASM162521v1:4:34749039:34752954:-1 gene:DCAR_012861 transcript:KZM99777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAPNFSHGTDSNTARVRVKRKALNSVLEQCQRAIQLLNDTGFVNDDDDDVCNENADEIDRSNETDEAMSVHNWIVAFNSLVELGSNELRELRSLLKSRVERPDFLEKIESAQVSVPQNTSEEGSTWDMISEKDAWESGSLDLEEDYVLVRQEDIVDGIACFMAAYLLSLKETKDLTPNQLQNALSKTFSLKKKRGKLQKAWDGSKLIYNVASWGATAIGYVPRVELV >KZM96813 pep chromosome:ASM162521v1:4:6747326:6756085:-1 gene:DCAR_015825 transcript:KZM96813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGLHEFLSAVYPHYHVMIWSSSRTVIQTSPDDLVLVVYLLENRIAPAHEGLELGIGGASIIIALDACGCNEAQIRKQYKNLGDLGLVAKVSHSSQSLMRKPEAFSH >KZM98381 pep chromosome:ASM162521v1:4:23465164:23476481:-1 gene:DCAR_014257 transcript:KZM98381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGITSGLAVVLNDDDRKESSQKNRRISYCDDFGDQSVERTLEHVLDLPYRTINPLTCPVDTNTVRAIIRNDISKSYGKLNNVARHKAGVSTMGDGYGLHKVMIEESSVCGDIRIVKPPLLLESNAMFSSARANACVWKGKWMFEVILETSGIQQLGWVTLSCPFTDHEGVGDADDSYAYDGKRVSKWNKEAEAYGQSWVVGDVIGCCIDLDRDEISFYRNGVSLGVAFDGIRKMVPGLGYYPAISLSQGERCELNFGARPFRHPIKGFLPIQPPPLTNSLAAHLLHCFSRLLDIQCMETSGSSTVDRMRRLKRFVSFEDIFNPVSRAIIGELYVAIDAEAGSAEYIAGGPLLSFIIEVFKLHAPHDYRMLDRVIDILLEFQGSTLIFENIFNAIACCCKTASVVITECPYSGSYPYLALVCHMLRREELMIIWWKSDFEFLFEAFLSQKIPNKQDLRCMMPCVWWPGSSEDISYESSMMLTTTALAEAVDKAISPSGGGQQSFPVALFLKNNPHRVDISRLGGSYSHLSKSHPVSKDEEDEVIRWEEGCMDGEGIKVTHSGRTKPCCCSSYDDFTRTSKNPVRYTAKDSQGHCSSLPERASHGAAECSTGSLNDEIADKPSTSDQSESGFGYRSLQWARIVPRESNMSSAILEEEELLDALLLLYHLGLAPIFKQASSCISHQAQSISLLEETDKQIRERATGEQLKRLKEARTVYREELMDCVRKCAWYRISLCARWKQRGMYATCMWIVQLLLILSKMDSVFIYIPEYYLETLVDCFHVLRKSDPPFVPSAIFINQGLASFVSFIVTHFNDPRISSAELKDLLLQSISVLVQYKESLAALEMNEAATQSLPRALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSTSSVTFQNLLREACIGDEELFSAFLNRLFNTLSWAMTEFSVSIREIQEKYKIMDLQQRKCSVIFDLSCNLARVLEFCTREIPQAFLSGSDMNLRRLVELIVFILNHLMAVADPDFIELTIRRPGQSPEKINRGMILAPLAGIILNLFDTSMNTKDNDIAGIFASMDCPDTVVCGFQYLIEYDWAASFRGDVQLAKLRQLEKISSLLICRTEAHESERKFYEGEVEGDDGTCCICYACDADTRFVPCSHNSCFGCISRHLLNCQRCFFCNATVTKIVPNDMKAA >KZM98655 pep chromosome:ASM162521v1:4:25827752:25828720:-1 gene:DCAR_013983 transcript:KZM98655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELLTYTIAGGGFILIGGWEAILSSSETLKSPDTSDDSSSKSHSLTFLFISLLSFFFIVNSFFSLFDALNLDDHTGLVLQLEVISIAALFLLYSVLGFLNHVKRSPFSFPLPLLSLLCLFAFVEEFIMFYLQKKDPIGIENRYFDLLLVPISVCVFATMLELKNPDSGFPRLGRAIGLILHGMWFVQMGISFFSDLIVHGCNLHEKSRGNFTIRCKGHPEYHRGKAIATLQFNCHLALLVAFVVGAYAVVCKKHGVRKESTRYRPIGAEMLQMDSTAQFTLDSDDEGDEIENKIKNDAMVPMHKALTVVQDVGANGYGSRH >KZM99417 pep chromosome:ASM162521v1:4:31908198:31909955:1 gene:DCAR_013221 transcript:KZM99417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPFPSILFTFLFIVFLLVKFWNRFETNNRLAPKLPPGPWKLPIIGSIHHIAGSLPHHTLRELAKKHGPLMHLKLGEISAVVVSSPETARDIMKIHDINFASRPILLATELMSYGSANITFSPYGGYWRQVRKLCVFELLSTKRVQLFRSVREAEVANLIKLLASTNHSPVNLTAKLFATAYSTTSKAAFGDETKDQQTFISIVTELTKIASGFNVADLYPSIKPFQWISGVRQKLVKLQQQTDQILEKIIREHIEAKTRMTGESGLHEDLVDVLLKFQDKGGEFQLKKDNIKAVLSDIFVAGSETSATAVDWAMSEMLKNPRIMKKAQDEVRQVFSSKGSVDETEFYRLNYLKSIIKETLRLHPSAPLLLPRECRQKCKIQGYDIPEKTRVIVNAWAIGRDPKYWEKAECFYPERFLDSSVDYKGADFEYIPFGSGRRVCPGMSFGIANIELLLANFLYHFDWKLPCEMKNEELDLTETFGVTVRRRDDLYVIPIPHYF >KZM99910 pep chromosome:ASM162521v1:4:35807279:35808874:1 gene:DCAR_012728 transcript:KZM99910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTCLALQLQSSSTLQHVHIIHSIITRRFIHSTFLFNNLISAYIRFAQLISARNLFDSMSKPNVVSWTALLNAYITFGLDYQAFSFLAALFHSGILPNANTYVCILNFSTRTLDFRLGQQIHARILKQCSTNLILDTAVLYFYAQCGDLGDMFRAFQRIKHRDVVSWTTIISACSQRQLGQEAFAMFLQMLNQGFAPNEFTLSSLLKACGDEQALGFGRQLHGAVVKQICKNDVFIHTSLLDMYAKCGEIVHSRTVFNCMTKRNTVSWTSMIAGYARNGFGEEAIHLFRVMRRRKISANKLTMVSILKACGLARDLVTGKEMHAQIVKNCIHGNIYLGSTLVWLYCRCGEYTTAYHVLEGLPLKDVVSWTAMISGCARPGHEDEALRFLKEMLGEGVEPNSFTYSSALKACAKQKNIWQGRLLHSSINKTPALSDVFVSSSLINMYAKCGCVSEATQIFDSMPERNLISWKAIILCYAKNGFCGEALRFMYRMQVEGIEVDDYILSTVLTTCGDFGWSKETSLENCLLAN >KZM99630 pep chromosome:ASM162521v1:4:33572028:33577084:-1 gene:DCAR_013008 transcript:KZM99630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKKKHLKKYAILFPRLLALIATFLAAIITATSHEKARIFTISFEAKYSDSPALKYFVIANVIASIYGLLLLFLPLDNSFWRFIVVPDVVVIMLLSTSISAALAIAHVGKKGNYHAGWLPICGQFHKYCDQVTAGLAAGFLTINEALPMASGSSSGRNNSGPKGYDFASDDILCSYEDYANQENSNGVHSSDPVGANSSKQEFHKSRMAKSAVYPASSYSPPEESINQDVIATVELTMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSELARDNGEADSKLKFLEKHLQEVHRSVQILRDKQELAETQKELAKIQLAQKESNSATHSQQGEERASPPASEPKKNDNTSDARGQQLALALPSQIPPQPSVPSRPVEHHQQPMPQQPPPNVTPAQGYYLPPVQLPNLPSSMQPSHGQYLPSNSQYQTQPQPAQSQVNQTPQNHSLPQYQQQWPQQMTQQGQQHPQTSMQPQIRPTSPAVYPPNYMPSQAATPPETLPNSISMQLPYSGMSQPVPSRADVMPYGYGGVGRPAQPQPQQQPPPHQHLKASFGAQPGEGYAASGPHQTLSSGNAYMMYDAEGGRQQHSSQSHFPQGGYSQNQQPNSSTNMMPRPPQFMRNHPYNELVEKLVSMGYRGEHVASVIQRLEESGQPVDFNAVLDRLNVHPSGGGSQTGWSG >KZM99562 pep chromosome:ASM162521v1:4:33056615:33064798:1 gene:DCAR_013076 transcript:KZM99562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLSSSAYCSAASAAYPAAIPIRRRRSSSVACLQNTSIFVPEVDKAVDSLYSEFRSVDNLVASNTARVLKAFQNARVSSHHFGGCTGYGHEEAGGREALDQCFAEIFGAESALVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGVRDSNELGSLRDFGVEYREVPVGDGGLDWNALNVAIKPQTKCALIQRSCGYSWRRSLSVNEIGRAIKIIKMQNPDCLVMVDNCYGEFVELIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGQKKLVKAAAARLSAPGLGIDCGSTPGDIMRAFFQGLYLSPQMVGEAIKGSLLIAEVMAMKGYKVQPLPRVTRHDTVQVYSSNFTPAVQLGSREVLLAFCEAVQRSSPVGSFTKPIAGATPGYASEVIFADGTFIDGSTSELSCDGPLRVPYAVFCQGGASNKKKRVVLVRSNGGPGFNGGGFKVDSRILGNIALAVGLTYLSATGQLGWILDTILSVSLLVVIIPVVGIGAFIWWAGRDVVQDTCPNCKSEFQTFKSMLGEDAQLCPYCGQPFSVVGNKFVQDPVNFSSQSTPFDQTYDDFSPRSTKGKGSKAIVDIEAEVVDAD >KZM97970 pep chromosome:ASM162521v1:4:19989663:19992201:-1 gene:DCAR_014668 transcript:KZM97970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPPKERTPTMSSTAVPYTGGDIKKSGELGKMFDISSMDTRSRKSGPINNAPSRTGSFGGAASHSNPMTTNSGNRMGSGPNGGVPGSVSMKKTTSGPLNKHGEPIKKASGPQGGANSRHNSGPLPPVLPTTGLITSGPITSGPLNASGAPRKASGPLDYTGSHKQSSASVLQNPAVTKLGQEHENSFFKAFPKIIFWSIILLFVMGFIAGIFILAAVGNPILFVVVVILFLVVTIVFVWNSCWGTRAVTGFVDRYPDCELRTAKNGQFVKVSGVVTCGNIPLESSFQRVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGVRSVERHVVDFYISDFQSGLRALVKAGSGAKVTPYVDESIAVDINQSNRDMSPEFVRWLGKRNLSGDDRVMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPDPVPTGCLWSKCTLPAHLEGIVIRCEDSSKIDAIPV >KZM97537 pep chromosome:ASM162521v1:4:15605770:15609431:-1 gene:DCAR_015101 transcript:KZM97537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQTDFDRILFFEHARKAAEASYSKDPLDSENLTRWGGALLELSQYQNVVEAKKMLDDAMSKFDEALMVDPKKHDALWCMGNANTSYAFLTPDTEEAKVYFDKAADYFQQAVDQDGGNELYLKSLEVAAKAPELHSEVHKQGGFAQQAALGTGPSTSTSAKVGNAKKSSDLKYDIFGWVILVVAIVAWTGFAKSNMPSPPPR >KZM98265 pep chromosome:ASM162521v1:4:22384909:22394181:1 gene:DCAR_014373 transcript:KZM98265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENNEPLPPGVPSVPSYTPNSAASVNMATCYPQSFQNNVNPHHQYQYSYNSHNTVSPSNVALAPPQHFNPHFNPSPRLDPAQYAPCVSNQFPANTSSPLEASKKLNDGNLVEVHQANVLEENQSKDMDSECFSNQSGIQSAVQSAQNILEQKSAKLYETDRRLEVHSADIENAVQDAVLREQETVVQKVIQGQREWRGVTEPPENQDDIIAKRHDQNAIKEHLLNMTTKHRAEMATKRGKTSVAEQGNSEIGNGYGVPGGGAYSGASVPNLEHDVRSEQKHGESKLPEYLKLKLRARGILKDEQAKSLKTQTQTLTPKILPPGWIEACDPASGSLYYYNEKSGISQWERPVDSSLNLEPPASSPVPADWQVLFDEATGKNYYYNTKTFVTQWEQPGLSHQVPLVDHETKVSGQDAKENQGDQPSAFKKCLGCGGWGVGLVQTWGYCNHCTRLSNLPQGQLNNVQKEQQTFDTESIAGDSGNGFSKNRSNFKPPLGKSTKKDSRKRAYTEEDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEVASQNKKPSSKFAPISKRGDGSDGLGDAD >KZM97314 pep chromosome:ASM162521v1:4:13447609:13450801:1 gene:DCAR_015324 transcript:KZM97314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGHSSDAPPSPSSASSSPGSTFRFTASNFFRSPMSSFLEYSGVLHNYPHYNSISAPAPRLEESVPVVSPTLSNASRSSNGEVSIRIISAGEQEENQTIQSASPSPVVHHVASTTAASASDQELDHSIATAASVASLASAALNVHTNSATDPSPTERDPVNANPNAQPTDAAALNHTTDSSYQRYDIHQAARWIEQALPFSMLLLLVFIRQHLQGFLLTIWVAAFMFKSNDILRKQTALKGERRYSTLFGISFVFILHVTIVYWWYWTDLWYSLAMIPPKANPPFWNAIFIIMVNDALVRQAAMVIKCIILMCYKNSKGRTYRKQGQMLTLVEYLVLLYRALLPAPVWYRFFSNKEYGSLFSSIIAGLYLTFKLISIVEKVQYLFASLRALSRTEIQYGTYATSEQVSESGDLCAICQEKMHSPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPAELRSFADGSTSLFFQLS >KZM98097 pep chromosome:ASM162521v1:4:21075394:21079932:1 gene:DCAR_014541 transcript:KZM98097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPLDFEEDDNLIASVSVEEEEELDHVIKFDISDFQICDRVSIGLGGRVLKKLARRKLLYHSYSMQVHGYACSSETDDDGSFTLVHGYHGSFSLKHWLQQADWLPTLEATLALDEESAKRVGDDTVGGPAVSRQLRIIRVLMRDLLIGVNYLHSHGLAHTELRLENIHISPLDRHIKVGILGNAADFSENSPNDLAADDDNLRRQMMIAFDMRCVGFIMAKMVVRELMDPVIFSKFKAFLTQGNEPSCLREFLLQTLNKTSTSGNAGLQILDRNWGAGWNLLSLLLASRPSDRIRCLEALRHPFLCGPRWRVDPSMDFIRWSLGSTAVRIIEEYIYGQQQRTRVAHFIELMEMLNCHSKPKNWLDMLPGKWRLLYCTGRHIGLTFRQPPARVLIGNVHLTVNRTSKVNTSLSFKSDMGFRVLVGKEWPHDKAGIDGELHVNSLFRLMAGRRLYLKQEKDATKLPPGTSNAQDSAMEKLSGKKWGKALPFEEFPSSLRVAKLVSGDVEVGISLDKPLSNNVKIAQDVVQEIRTQVPPEMFDLSKIVCGTYIDKRLLVLRGVNGSALLFTRSCMDENYT >KZM98868 pep chromosome:ASM162521v1:4:27509472:27509955:-1 gene:DCAR_013770 transcript:KZM98868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLAAPTLLHFSGDNQLLHAAKSISSSTTSLPRRRFCATALHAVADPTPVMAESRRPASLYEVLGLSKNASSVEIKSAYRSLAKMHHPDSALYDLSLRAAAKMRDRRRFEYAAAPASYQKGYCTPRRWETDQCW >KZM97651 pep chromosome:ASM162521v1:4:16548189:16550348:1 gene:DCAR_014987 transcript:KZM97651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSTTSSLHFLSLTPKTLSFSPSCSSFKALSFFPKSFAASLSSCSSSKLYRAALPLKVAVSSDFGLDEEEGAAALSSDVDEDVDDRSFSPDLKIFVGNLPFSCDSALLAGLFGRAGTVEMVEVIYDKITGRSRGFAFVTMSTVEEVEAAVQQFNGYELDGRPLRVNSGPPPPRDENSFRAPRGGGRSFDNTNRLYVGNLSWDVDNVTLESFFSEQGKVLDAKVVYDRESGRSRGFGFVTYGSSDEVNRAIDNLNGADLEGRQIRVSVAEAPPPRRQY >KZM99316 pep chromosome:ASM162521v1:4:31042001:31050586:1 gene:DCAR_013322 transcript:KZM99316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSVAAEWQILHDRFYRKPELYQMQWKHVDLSRNKVAAAPFGGPIAVIRDDSKIVQLYAESALRKLRVFNSAGLQLSELIWRNPGGRLIGMSWTDEQTLVCITQDGSVYMYNIDLESIGSAVSMGVDCFTNSVVECVFWGNGVVCINEAFQLFCIQDFRNPVPCQLADTGIEDFPLCMAVIEPQYTMSGNVAVLLSVGDQILIVEEDGVQQLGVEVGPIQKMAVSQTAKFLAAFTHDGRLLIMPTDFSEIAFEHTCEADENLRLIRSSLPEAVEACIDAAGQEFSISQQRKLLRAGSYGQAFCSQFQRDRIQEMSKILRVLNAVRNPEIGICLSIQQYKLLTPSVLIGRLINTHHHLLALRISEYLGMDQEVVIMHWACLKITASSAIPDSTLLEILLEKLKLCKGISYAAVAAHADKNGRRKLAAMLVENEPRSSKQVPLLLSIGEEDAALMKATESGDTDLVYLVLFHIWQKRPALEFFGMIRARQLARDLFSNYARCYRHEFLKDFFLSSGQLQDVAFLLLKESWELAKSPMASKGAPLHGPRIKLIEKAHNLFSETKEHLFESKAAEEHAKLLRIQHELEVTTKQPIFVDSSISDTIRTCIVLGNHRAALKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYRPFVEACTDADEKGEALKYIPKLADPREKAEAYARIGMAKEAADAASQAKDGELLARLKLTFAQNAAASSIFDTLRDRLSFPGA >KZM97723 pep chromosome:ASM162521v1:4:17258485:17259828:1 gene:DCAR_014915 transcript:KZM97723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTLESLVFKPVQELAVDCKILPERYIHKCIDEAFHVDPPVIDIPVIDLNLIQPPSPSADQVLEKLRTSLSSCGCVQVIGHGISNSLLDQMHSVGKDFFALPLEEKLKCSKTAQDIEGYGNASAHSDEIHNWSDLLNLTISPEDQRKFQFWPQNPKIFSYNLWFPSETLQEYTDKLVLLNKVVLKALPRSLNLKESCFLDQYGENANMFARFNYYPPCPRPDLTLGVKEHADGSVITFLLQDNEAGGLQILKDDHWFTVPTVPGALLINVGDQAEIMSNGIFKSPLHRVVTNSERERLTVAVFSNPDPSRYVEPAEDLISEKSPRLYKKVHNYLRIYFESFQQGMTGIEAAKI >KZM99391 pep chromosome:ASM162521v1:4:31761617:31765848:1 gene:DCAR_013247 transcript:KZM99391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDMVGIDPSLANAFRRILIAEVLVLFICRIVLAASHSGFENDDPKENNTIVFKLHVRCERGSPRITVKSDELKWLPNGSEFSLETSAANSTSKPKTYTSFHTSQETLHDFSKKPIAPCHPDIILAKLGPGQEIELEAHAVKGMGKTHAKWSPVATAWYRMLPEVVLLKEVEDERAEKLVKTCPVNVFDIEDVGKGKKRAVVARPRGCTLCRECIREDEFKQDIALRRVKDHFIFTIESTGALPPEVLFTEAVKILEDKCERVITELS >KZM97414 pep chromosome:ASM162521v1:4:14460190:14460654:1 gene:DCAR_015224 transcript:KZM97414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSLGKIYGSIESLNASYMEPNVNKDHVLNPRVYSSHGDAPLLLGHKSEGDQDNDTKYLKSNVKWCNCGRPMNYVKKTYPSPEKKKGVETGKGGYVKELANYMIMDDLVVKPMSTISSITLLSEVKDMSAVKTLEVFIGKNEVYGIRASPAVS >KZM97493 pep chromosome:ASM162521v1:4:15224287:15227093:1 gene:DCAR_015145 transcript:KZM97493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNWELKKCCNHEQVVFITTISVCTVVILALWRTVLLMPFKLVTVFIHEASHALACKLTCGHVEGIQVHADEGGSTQTRGGVYWFILPAGYLGSSFWGMVLILASTNLLAARIGAGCLVIALIIVLLIAKNWTLRALCIGFIVFIAIIWVLQETTDIRVLRYVILFIGVMNSLFSVYDIYDDLISRRVNISDAEKFAEVCPCPCNGVGWGVICIANQGTTTQSYNCYLSLFLIGYK >KZM99253 pep chromosome:ASM162521v1:4:30546560:30547033:-1 gene:DCAR_013385 transcript:KZM99253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSISCSDEEKKFQVRKLEISDKSKGFIELLQQLTVCDSVSDQEFQSCFEEIGSYGDNHVICVIEDGCSQKIIATGSVFIERKFIRNCSKVGHIEDVVVDSNARGMQLGKKIVEYLTDHARAVGCYKVILDCSAKNKAFYEKCGFEQKELQMAKYFI >KZM99622 pep chromosome:ASM162521v1:4:33496930:33504669:1 gene:DCAR_013016 transcript:KZM99622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYDISTQTQHLRRHISALARQERILKMQIKKHPSRANHNKTLIEKKICKHSRFRRKISLIQNRFKNNLQQRISSMDIIVKYYTRTMRAMILQTPTESFERNDDISQKSTPMEVNSIRIEANPSRTANRFNENIGQNSTQRELESTLPKANTSRTAPRFNDDIGQNSTPMEVDSILPEANPSMTANRFNENIGQNSTQMELESTLLEADTSRTAHRFNENIGRNSTQMELESTLPEADTSETAHRFNENIGQNSTQMELESTLPEANTSETAHRFNGKALMTFLRCSGVCFLTSRRPQMGLTKKTTLNLLVLLLSVLCFEPHLHEGADVIGIGQTLSGNQTISSKANRNHPVFNPYDSQLKLFPNGNLALLNDSRIQIWSSNSTVKRYNSSVAILLDSGNFVIRDNQDSSDIIWQSFDYPTDTWLPGGKIGYNFNIKKEKIYLTSWRNAEDPAPGRYSLELNSGALGVQDYKLYGDMTSSSGAWRGLDYELFPDFISNFTYTSDANENVFTYEVAIPKRVTRFMIDTEGKVKQFVCRGDFPACHWDLLWDWPNTKCDVLYFCGTFETCNEGKVFPCDCLPGYERVWDGDYSSCIRKSRLECGVGGGSEDTFLSIIVQYPSSSSTKYSHFLYVKSDEECESACLRTCTCTGYYYVSDGKCVIMNDEVYNIKLPSVDMYGISYRVRIAKSGKASKTSVWIVVAASGGFIILLAAVILYILQQWKRKMGIYDGAAEDLMVFKYKYIRKSTKNFSEKLGEGGFGSVLKGTLPNSKAIAVKMLKNLKQGEKQFRAEVSKIGQIQHINLVRLQGFCIQGRRNMELLDDGDYFPALIADKISKGEEVLMQFLDHKLEGKADSNEVTRACKVACWCIQDDEKNRPTMGLVIQILEGISEVENPPFPQFLRGFTKYNQTESIVYQHFTSSATSSEG >KZM97188 pep chromosome:ASM162521v1:4:12027998:12029249:1 gene:DCAR_015450 transcript:KZM97188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGLDILSRPNSSWLFESKPTKWTLEENKQFENALALFDKDTPDRWYNIAAMIPGKTVNDVMKQYKELEEDVSVIEAGLIPVPGYASDNSFELEWINNQGFEGLKQFYVPGGKRSPSDRPCDQERKKGLAWTEEEHRQFLRGLKKYGKGDWRNISRNFVTSRTPTQVASHAQKYFIRQLSGGKDKRRSSIHDITTVNLAEAKSTSPDNPKPPSANKFIAVEQQHQQDSSNIGMGKALHDWNIQNQGAVMAFEMPNRMKTEAFSGNSTYDTRKSFLQGPQFGLYNSVSWTQP >KZM98918 pep chromosome:ASM162521v1:4:27941914:27942663:-1 gene:DCAR_013720 transcript:KZM98918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESEEPQQQQEAVIDSAGQMTYGVPHYHAVGLGVATGTPVVPVSAPTQHPTGATSQQQPEYYEAQHVYQQQQLQLRTQLQAFWANQIQEIGQTPDFKNHSLPLARIKKIMKADEDVRMISSEAPVIFAKACEMFILELTMRSWLLTEENKRRTLQKNDIAAAISRTDIFDFLVDIIPRDELKEEGLGITKATIPLLGSPADSVPYYYVPQQHAVEQAGFYPDQQAHPQLPYMSWQQPHEHKDQEENGD >KZM98154 pep chromosome:ASM162521v1:4:21517672:21521730:1 gene:DCAR_014484 transcript:KZM98154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQGKYQPRFRGTKSDGFFRGSPRGDGVFRIRGSPRGDEVFRGSPRGDGGFRGSPNYNQQRPGVVQQKNQQHQHLWLRKRPETLLSLRDMLPFNFRGPVKISSQDWKAQVIKPPPDNRFKTEDVTATKGNEFEDYFLKRELHSIALTGSNILARAKNGTGKTAAFCIPALEMIDPDKNVIKVAILVSTRELALQTSQVCKELGKLLKIQVMVTTGGTSLKDDIMRLEVLQLLHLKQFLR >KZM97272 pep chromosome:ASM162521v1:4:12976917:12979108:1 gene:DCAR_015366 transcript:KZM97272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNKLKYALTYREVQSILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEESKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLEANKIVDFIKFDVGNVVMVTGGRNTGRVGILKNREKHKGSFETVHIQDALGHEFATRLGNVFTLGKGSKPWVSLPKGKGIKLTIIEEARKRTAAQAATTA >KZM99712 pep chromosome:ASM162521v1:4:34187648:34192614:-1 gene:DCAR_012926 transcript:KZM99712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNGNVHAALAAGKLFSAAPTPSPWRGVSGFSEVQSSRLKLSLPLPSVLKDHFRVVDGPPSSAAGNPDEIKNLFPNLFGQSSVMLLPGGSSSEDNKSLRIGVVLSGGQAPGGHTVISGIFDYLQERAKGSKMYGFKGGPAGIMKFKYVELTSDVINTYRNQGGFDMICSGRDKIETPEQLKQAADTVQKLDLDGLVVIGGDDSNTNACILAEYFRVQNLKTRVIGCPKTIDGDLKCKEVPISFGFDTATKIYAELIGNIMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAQNLTLKNVMGYITDIICKRAELGYNYGVILIPEGLIDFIPEVQQLISELNEILARDTVDKEGVWKKKLRSQSCQLFEILPQDIQNQLLLKRDPHGNVQVSKIETEKMLIQMIETELEKRRQEGLYNKTFIGQPHFFGYEGRCGLPSNFDSNYCYALGYGAAALLQFGKTGLISSVANLGAPVEKWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKLASMRDILALTNRYISPGPIQFVGPTSNILNETLTLELGAQA >KZM99488 pep chromosome:ASM162521v1:4:32507990:32509640:1 gene:DCAR_013150 transcript:KZM99488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >KZM96797 pep chromosome:ASM162521v1:4:6416894:6417487:-1 gene:DCAR_015841 transcript:KZM96797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEIFMESDLSYLLQSIEHQLLDDSELSNSFPLVNPWESSTEPILNNFFYMENTVEHSFNMEYTPQLEVINEHKEKEKATVPVTHPPQERSRYRGIRRRPWGKFAAEIRSPAKKGKRVWLGTYETPEDAALAYDRAAFKIHGSAAKLNFPHLIGKNIPEPIKVTPRQRTSTSSSCSSSSSSSNSGSAHKKSAKAIN >KZM97847 pep chromosome:ASM162521v1:4:18335641:18336219:-1 gene:DCAR_014791 transcript:KZM97847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNDSYNQIYCNTSCVTRTTTANFLPFLCRLSTKTAVKFSEPSPRPKKNDPSSPKVGCMGQIKRHNKISSTPTPAAAKLKYTQLRKMFSGRNLLMATTTNPTNNTNYCRWNSNTKIDTSVHKRPRFRNRDHGNCAVVVPLNLAELDPPLPVVKPSCDRGEEGSLWKRRGLQIQQIELPCNNNALLPPPSVP >KZM98128 pep chromosome:ASM162521v1:4:21283509:21283667:1 gene:DCAR_014510 transcript:KZM98128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMIIILNKESKSFFLCHAPIFSFITIFKHLSDLNLCASFSFQLRYNVITL >KZM99564 pep chromosome:ASM162521v1:4:33070682:33070870:-1 gene:DCAR_013074 transcript:KZM99564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEMQAKVETVEEERNSATQRQSSTNCVKASDNLGELLAEPSDESTPQKANRKKVFIRSRL >KZM99332 pep chromosome:ASM162521v1:4:31171940:31173024:-1 gene:DCAR_013306 transcript:KZM99332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICMLALLILSVLVSTQAPQATADFYKDAEITWGDQRAKILNAGRDLTLSLDQASGSGFQSKNEYLFGRFDMQLKLVPGNSAGTVTTFYLSSQGAGHDEIDFEFLGNSSGSPYTIHTNVFAQGKGNKEQQFQLWFDPTSSFHTYSIVWNPQRIIFLVDNNPIRVFNNQESIGVPFPKSQHMRVYASLWNADDWATQGGRVKTDWTKAPFTAYYRNFNIKGCPKSSSGSSSCGSSTSSNTNEGWRTHQLDAAGRNRLRWVQSKHMVYNYCSDKQRFANAVPAECKRSRFL >KZM97675 pep chromosome:ASM162521v1:4:16773214:16774580:1 gene:DCAR_014963 transcript:KZM97675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KZM99666 pep chromosome:ASM162521v1:4:33844471:33852248:-1 gene:DCAR_012972 transcript:KZM99666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSASDDFVQEFYIPDYLLVPGKKGEYLRHIPECPVVVFINSRSGGQLGGDLLITYRSILNPNQVYDLNEETPDKVLRRLFINLEKLKTNGDAVAHKVEEKLRIIVAGGDGTAGWLLGVVCDLKLSCPPPIATVPLGTGNNLPFAFGWGKKNPGTDRDSVKSFLSQVKGAKEMKVDSWHILLRMKVPKEGACEPIAPLDLPSSLHAFHRVSSSDDLNKEGCHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQINNQKTYAKLASSQGWFSASLHHPSSKNIGQLAKVMIMKKQGEWQELKVSPCIRSIICLNLPSFSGGLNPWGTPNKRRTRDKDLTPPYVDDGLIEIVGFKDAWHGLVLLTPKGHGKRLAQAHRVKFEFHKGAASETFMRIDGEPWKQPLPVDDDTVVVEISHLHQVKMLATPGCRSKSVNDPSTPHNHDAEDDDDSNDEDDATDDGSKKFGAADTFRIPDEVDISQIS >KZM97455 pep chromosome:ASM162521v1:4:14852793:14853984:1 gene:DCAR_015183 transcript:KZM97455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQAVKNGDATKGNAQAVAFTAMKPMLFVEPPKANDAVLFYKSEPNDVVCLGRLDEQHRLHRRECHRLSVPLGSVSVLHSMFSHLSDCGERN >KZM98104 pep chromosome:ASM162521v1:4:21144193:21151272:-1 gene:DCAR_014534 transcript:KZM98104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQQRIKKYILGPDISLVSTVLCLDFVIVESVMSSVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFAELNQAIAMISYFASSSEAAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGNDARLVSIEVLHVVFSAFGFVHKITTFEKTAGFQALVQFTDSETASSAKDALDGRSIPSYLIPELSPCSLKITYSAHTDLSVKFQSHRSRDYTNPSLPVNPSAIDVTGQISMGLDGKRLEPESNVLLASIENMPYELTLDVLHMVFSTFGTVLKIAMFDKNGGIQALVQYPDVQTAVVAKQALEGHCVYDGGYCKLHISFSRHTDLSIKVNNNRSRDYTIPNVPLLSTQPSMLAQQSPSLLGPGGPQYNATQFAPVHEGQAMPQPPSGWNSGAPAGPQPMQGQMHPHHYMPANMPSEYGHSVMHNPNSFHHAGPYPHYPPQ >KZM97210 pep chromosome:ASM162521v1:4:12282161:12283534:1 gene:DCAR_015428 transcript:KZM97210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATYSFKIQITKNYRVSSELRAIRYKAYTPLKVPLGPLHRDNPDLQNMEKEKRRYMSYFFDRLVNSSKEKGQIICESSDNSGHIWARNTCTKVVSELEEEARAFYAEDINLDKDHLVAMLLLDACFMLELFHRCLLISVPNNNDQSLPLDPLAGSFPMVQALRNDLMLLENQIPYVVLQKLFDLVPDLKKVSIGTPDMSLEGHIFCFFNSVPMLQHKILNFKDGSYRHLLDMLYHDCCFSNTSKSPPSMISPHSKNNKNWGLTKCATELYKSGVRLVCDQNRAVVDIRYNEGEISIPQVIIGKSSDTVFRNLIALEQTSTGGHSVTSYVKIMSTLIRSPKDADLLEQLGIIKKSDGETDASAFFERLCRGVVFVDFCYTDLCKEVNDYHLPVWKWRRLKGYYRIKYVEWKESTKDMMNRDHFQNRWHPLKFLATSILILLAFLQTFYTIRAYYHPA >KZM97754 pep chromosome:ASM162521v1:4:17532840:17534551:1 gene:DCAR_014884 transcript:KZM97754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSNQIDETLPEWRDKFLSYKELKKRLKLINPSVAAVDDSSRPEKRRRLSAGENMSDEEIDFLNLLEDELEKFNSFFVEKEEEYIIRLKDLRDRVASAKDWSEEMIDIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALLRLPFIQKVVQQPFFTTDLLYKLVKECEAMLDGLFPMAELPPSSEILNKDDTLTSAPAKNDDTVEIAKDLADIEYMKSLYMKSTISALRALKEIRSGSSTVSAFSLPPLQISGREDAWIQTPVLPQEAK >KZM96854 pep chromosome:ASM162521v1:4:7695248:7698856:1 gene:DCAR_015784 transcript:KZM96854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQPTMVVRDYDDPHSPQYVWPALELHGPSEGLVSQVIKGKQTLKGGKGNNKNKLPPPTNYTGPSLHGCSTEAPVQLFKTHVGGTELVSATFTKNGSYVYTQGALTKALAAAKRKVGEGNSIASQLDDTMHKDGTGDEAGGEDAT >KZM98794 pep chromosome:ASM162521v1:4:26954480:26962150:1 gene:DCAR_013844 transcript:KZM98794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERNSWPTMDLLRSEKMQLVQLIIPIESAHRTVSYLGDLGLFQFNDLNTEKSPFQRTYAAQIKRCAEMARKLRFFKEQMTKAGFLPSGRFDVNTDVNLDVLEVNLGVYEAELTEMNTNNEKLQRAYNELLEYKLVLQKAGEFFHSAQSNAVAQQRETDVYRVGDRSVDSPLLLEQEMSSDLSSHVKLGSVSGLVPREKSMAFEKILFRATRGNVFLKQAVVEDSVTDPVSGEKAEKNVFVVFYSGERAKSKILKICDAFGANRYPFTDDLGKQFQMITEVSAKLSELKTTIDIGQLHRGNLLQTIGYDFEKWNLLVKKEKSIYHVLNMLSIDVTRKCLVAEGWCPVFATNQIQSVLDRAIFDSSSQVGVIFQVLRTEEPPPTFFRTNKVTSAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLFLATLYFIIKEKKLSGQKLGDILDMTFGGRYVILLMSIFSIYTGLIYNEFFSVPFELFGRSAYDCRDSNCSEAYTIGLIKVRDTYPFGLDPKWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYYNAKFFRNDINIWYQFIPQMIFLNSLFGYLSLLILVKWCTGSQADLYHVMIYMFLSPTDDLGDNQLFLGQKYLQILLLLLALVAVPWMLFPKPLLLKKQHEERHRGQSYTQLYNMDESAEFESKSVGSHGHGDFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGFNNIVVLVIGVIVFIFATVGVLLIMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLSEDEE >KZM99217 pep chromosome:ASM162521v1:4:30310638:30311030:-1 gene:DCAR_013421 transcript:KZM99217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASDENSVWLCKIITEEEIKDKQLVLCESDMSKYIYAHAPHLEDLIEEKGMMVPVKMKTHKCRGDVSYSFISDGTFLKKEIEDMYVLGWDGVAEMYTPSEGNYIYLKYSTVPAVDEIEVFEFFISEVH >KZM96922 pep chromosome:ASM162521v1:4:8708537:8710132:1 gene:DCAR_015716 transcript:KZM96922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDSYDLLCTIYIGQGFANGTIDLGGLLVSQISSFSKVWAALEGGPDNRGATFYEPSLIPEGFFMLGSYSQPNNRPLFGWVLVAKDVTNNASQGALALPTNYTLVWSSESRDIKQDGVGYIWLPTPPQGYNPIGHVVTNSSERPPLDMIRCVRSDLTALIEIEGLIWRPDNGLNVYTSRPAARGSNDSSVPAGTFVVQTDGVALSLSCLKNVRNSLSAMPNVSQIQELVRAYSPMLYFHPDEQFLPSSVSWFFQNGALLYTKGNESNPVAIDPTGSNLPLNGTDDGAYWLDLPINQTIRDSLKKGNLQNAEAYLHVKPRLGATFTDIVVWIFYPFNGAAKAKVEVFHNVRLGKIGEHVGDWEHVTLRISNFDGELKTVYFSQHNSGTWVSSSDLEFGNGSKPIVYVSLHGHAAFPHPGLVLEGTDEVGIRNDMAKGDSVMDAGATFSVVNAEYLGTSTIVEPSWLNYAGQWGPNVTYDIDTELTSVMELLPGDLESEFKKILKDIPHEVLGEEGPVGPKMKDNWNGDERV >KZM97899 pep chromosome:ASM162521v1:4:19221153:19225334:1 gene:DCAR_014739 transcript:KZM97899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDKNLRCVHCGFPVKLLYIQYSPGNIRLMKCEKCKQVADEYIECENMILVIDLILHKEKVYRHLFYNMFTRETLNFEIWEFPSSVLYINDIVVLSSNTVALKVITESSMPKCLAVCFSAYAVKFLTMEMLNGGLLIFGGIDWA >KZM96983 pep chromosome:ASM162521v1:4:9441239:9447385:1 gene:DCAR_015655 transcript:KZM96983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSFGVKQYQEGGLIPPQEHSSSKGSSNNNSNNSTTTITPQEFVKENNHSPIPDNNHKDHILALVSPKKDNTMNRTGNSQSHYVLGHITPNIRDLYTLGRKLGQGQFGTTYLCTENTTGTEYACKSISKRKLISREDVEDVRREIQIMHHLAGHSNIVTIKGAYEDHLYVHIVMELCNGGELFDRIIQRGHYSERKAAELTKIIVGVVESCHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFSDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGTIDFDSDPWPVISDSAKDLITKMLCSRPSDRLTAHEVLCHPWICENGVAPDRSLDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKTMDTDNSGAITFDELKAGLRKYGSTLKDTEIRDLMDAADVDNSGTIDYGEFVAATLHLNKLEREEHLVAAFKYFDKDSSGYITVDELQQAWAEHNMTDVFLEDIIKEVDQDNDGRIDYGEFVAMMQKDNAGIGRRTMRNSLNISMRDVDVRGAL >KZM97978 pep chromosome:ASM162521v1:4:20040063:20057420:-1 gene:DCAR_014660 transcript:KZM97978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKQKRPHRSVGVTHVNNLSQESKLESGNLNEDSKIEEPDVPFLVQVDRSSWNSAEHYDIAEIVLTDLEISEPFCGYVLSEDFYRDSKYSVRFRLMNVSGYVGRIKLGHWPVFSGSDMYIELVEKRVLDDGETDVVLVTGRFDGPEEGVSGLVHLASLGILTLRPVVGVTLSESGLSLRMRVGILKSAFDACESLFDNTRGLWRRSMMRIMAWLRPEVITSESRYGCSAKVDVENGLPMETDGSSADLQKRKKFNAAGFYEAIKPSKEYPMLDDMPDLLPKLRPYQRRAAYWMVQREKGGSEIWGEKERDQFVYPLCTPVNLIDSCSTMFYNSFSGSVSLHQDYEVPYVSGGILADEMGLGKTVEVLACIFAHRESASGDGHMTNDHADCVGYLTDRSQNINRSKRDSEIVVEDGAHICQICSELILAAGSPITTGATLIVCPAPILPQWHSEILRHTRSGSLKICVYEGVRNTSIKNASLTDIGELLNADIVLTTYDVLKDDLSHDSDRHEGDRHFMRFQKRYPVIPTSLTRLLWWRICLDEAQMVESNVAAATEMALRLHARNRWCITGTPIQRKLDDLYGLLRFLKASPYDVIRWWTEVIRDPYENGDAGAMAFTHNLFKHIMWRSEKLHISEELQIPPQEERVSWISLSPIEENFYQRQYDTCVGYAREIMLSFKKDFLRRNVSDSRSSDALSDHVVTHVEAAKLLNSLLKLRQACCHPQVGSSGLRSLQQSPMTMEEILSVLVGKTKLEGEEALRRLVVSLNALAAIAVIKQDFAQSASLYREALTVIEEHSEDFRVDPLLNIHLHHNLAEILPLTTDFINEFHSDCKCLETAECKVEEMLDEACHEPATKKVKLSKEKSSDITVCSLASNRCLRLTSDNLKKKFLSVFNSKLSLAQAEFRKSYVQVNDILTERKNKHMTWWLDTLHCVEQNKETSSELIRKIGEAISGTLNTSKTSKISSCFQSITALKYYLQTTLDSLEDSRRSLLDGLMEIDNRMENPRVEDIERVRYCPNCYSGDGIMCVHCELDELFQVYEARLFRLNKRKNGGLITSAEEAVEQQKKKSALNQFYWKLSQAGKSSTSATVRTEDDGKKRDAGEKVVVTRSSSDVEVILGIMKSYSKALLGREVMATATKHLLLLEGMRKEYVQARSLATAQAQVLRAHDEIKMATSRLRLRENEDDISVDALTPADLDPANVEYTSEKFVALSTLSRVKGQLRYLKGLVQSKQIRKTSSLSHDKDASVNATENACLTEDSEEMCPVCQEKLSNRKMVFQCGHITCCKCLFAMIDRRRTQCGGFQCTWVHCPTCRIRTDFGNIAFVDDGQQISSVQTSENSPEDSINVQGSYSTKVEAVTKRILWIKSTTPEAKVLVFSSWNDVLDVLQHAFTANNINFIRMQGGRRSHIAIRRFRGDKNSLKGSALQDCQPEIETIQVLLILVQHGANGLNLLEAQHVILVEPLLNPAAELQAISRVHRIGQENKTIVHRFIVQNTVEESIYKLNKSRTSSSYISANKKNIDQPVLTLKDLESLFRVATSSEDPELIEEQSGSLSHLPPSLAAAVAAERRLMERSS >KZM99307 pep chromosome:ASM162521v1:4:30977296:30980938:-1 gene:DCAR_013331 transcript:KZM99307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLVKSSLFSRRFKLASLTQQSFSILQNTHHSFSTDHLISQQAPQFSTFSGNIHRDTYVFGNKSVPGVKRDFHGGGLLNFRGSFGLSNAVRSVVEEVNDEEVKKGGSGNDEGLEISKLGISSEIVYALAKKGITKLFPIQKAVLEPAMQGRDMIGRARTGTGKTLAFGIPILDKIIRFNAKHGAGKNPLAICLAPTRELARQVNKEFCESAPNLETLCCYGGVPISAQMRQLYAGIDIVVGTPGRIIDLIKRRALDLSEVQFVVLDEADQMLDVGFADDVETILENLPQKHQTMMFSATMPSWIAKLSQKFLNNPLTIDLVGDSSQKLAEGITLYSIMSDMHERPAIIGPLVTEHAKGGKCIVFTQTKRDADRLTYSMQRSFSCESLHGDHSQNQRERTLSGFRNGEFNILVATDVAARGLDVPNVDLVIHFELPNTSEMFVHRSGRTGRAGKKGSAILIYSSEQSRDVKGIERQVGCRFAELPKIVVESGSGDMFRETGNFGGRFGSPGSYGSGRFGNSGRFNSYGGSEGGSYGGRYGGDYGRTGGFGGSSGRSGGGFGGSSGRSGGGFGGSSGRSGGGFGGSSGGGFGGSSGRSGGGFGGSFGPSGGGFGESSGRSGGGFGGSFGSSGGGFGGSFGPSGGGFSESSGRSSGGFGEFSGRSGDGFGGSSDYSRGSGRVSSFDGSGRGGSGGFGNRGSADRSNGFGESASGRSSGFGDSHSSKDTRSSWFNDSVDD >KZM96720 pep chromosome:ASM162521v1:4:5065266:5067881:1 gene:DCAR_015918 transcript:KZM96720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDMIERYRKCTKDVHSNKTPVVQDMQHLKLETACLAKKIELLEVAKRKLLGEGLGSCTLEEIQQVEQQLEKSVCTIRDRKMKVYNEQIEQLKEKEKTLAADNAILSAKCELQPPQESNEDKEYSPSTETENSDVETELYIGLRKKISSM >KZM99487 pep chromosome:ASM162521v1:4:32497944:32498939:1 gene:DCAR_013151 transcript:KZM99487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSNLPRRIIKETQRLLSEPGTVECISYYPAKEVPDDICNDKYCTLHSRDNYQPNMNYGAGYPPLFRGGLNYNYPPMSSFVPPPPPLPPYGQ >KZM98309 pep chromosome:ASM162521v1:4:22797572:22798623:1 gene:DCAR_014329 transcript:KZM98309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIQRNGDFGSESKEFNFWDDQRVEKYDKDADSGSSGLEFQTKTSDSDAYSPTFWATSTRHEATNLLQQHSQYSVRSPTSRLQVMVDDRRVITNMVQNMPESSFELSLRDIVDDRTNMNAGEKKIEKKKCVERTKMVRSQSMDSGVFLLKMFLPACLSAKKKSTSGICSRASPGPSFDGSKKPVEKEWWSMMFLVSRRKINNCSSNSSSRSSGSIHNSKYFCSTAVSTKINGPRTYFTSHFVTWIL >KZM97693 pep chromosome:ASM162521v1:4:16992606:16994987:-1 gene:DCAR_014945 transcript:KZM97693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSAEKRDDMLLHSAVSAENLGLVVEILATNEDKELKELLSKQNQSGETALYVAAKLGYVDFVKEMIKYYDIGDAGIKARNGSDAFHIAAKQGNLEVVKILMDALPELSLTFDQSNTTALHSASSQGHAEVVKFLMEKNGNLVTIGKSNKKTALHSAARNEHLEVVKVLLSKETEIAMMTDKKGQTALHMAVKGQSVEVVDELISSKPDLINMLDGKGNTALHIATRKGRTKIILALLSHKEILDKKAINKSGETALDTAEKTGRSEIASILEEHGVQSAKNMKLPPPTNAAKELKQTVSDIKHEVHHQIEHTRQTRKQMKGIGKQINKMHLEGLNNAITSTTVVAVLIATVAFAAIFTVPGQYVDNPKDANSVVSLGEAHIASKPQFTIFLISDSLALFISLAVVVVQTSIVVVEKKGKKQMMAIINKLMWLACVSISVAFFALCFIVVGEHEFLLALGVTIVGTLIMAATLGTMSYWVILHRIEASNLRSVRRSARSSKSRSFSHYITSDSENNEYKNLYAI >KZM99409 pep chromosome:ASM162521v1:4:31858222:31859784:1 gene:DCAR_013229 transcript:KZM99409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADCAVGFGRNAIGGRDGEIYVVTDSEDDDPKNPSPGTLRHAVIQDEPLWIIFDRDMVITLKGELIMNSYKTIDGRGADVSISSGPCITIQSVTNIIIHGIFIHDCVPSVANSTVIDGPDHYELRGAADGDGISIFAGRDIWIDHCTLTNCRDGLIDVVYGSTAITISNNYMFDHNEVMLLGHHDDFLPDKNMQVTIAFNYFGEGLVQRIPRYIKCLLKVSFRVQVTKRDNTKGYEEWKDWNWRSVGDVLQNGAYFRTSGQQTPQSYAKASSLVARPASEIEKIIPTAGVLDCTIDQHC >KZM97117 pep chromosome:ASM162521v1:4:10984143:10986643:1 gene:DCAR_015521 transcript:KZM97117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGVSEEVIKKACELSMKAHECCDESSNYLYEECQLDSTAVAVFSFAGAWSVTDLFAENCSSYGETKIDLALFPSLKSIGSQEAALVNEAFLCRFKVVFGNSSLSVKVDGAVKEQKQVVFAGHGLGGPMANFATLWFLEKYTRIGCIATPRCVTFGSPLVGNRILPHAVRRENWSQYFIHFVIRHDIVPCIMFAPYLSVEKELQKVLDFLNPRSVLYKNSSVFLSSEASSFVEKVLTNLSSVASYDACNLAGCKNLLVDNFSSFMELSPYRPFGTYIFCSNNGRMVVVRNSDAVLQLLFHSSQLQSRDECAMFAGQVLEMSLGYKDIFQESVEEERVIYLDSASVEFMKTEKMTLDDLGLSLKAKVCLLTAVEFEEQKLICEKNIDKEEIIEKLNWLEDYRSNCEHRKKCCYDAFRIKADEDDFHAGVVSLQLGGMWNDILEMLKMNQLPDKFEGNKEWIELGTMYRRLAEPIAIGSYYARGLNDDSGPFMIKGRSNVFKFTQRWLDHKEQFPVEIISESCFWAEVEELIILEKKKTYDGLKERIISLERQVLHWHEARVLESGVFFENSTFTKWWYTLPEQHKSESCIRELFSQY >KZM98395 pep chromosome:ASM162521v1:4:23595505:23599211:-1 gene:DCAR_014243 transcript:KZM98395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIESFKVESPNVKYTESEIESVYTYETTELVHEQRDGAYQWIVKPKSVKYDFKTDTRVPKFGVMLVGWGGNNGSTLTAGVIANKKGISWATKDKVQQANYFGSLTQASTIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISNMNLADAMGRARVLDIDLQKQLIPYMESMVPLPGIFDPDFIAANQGSRANNIIKGTKKEQIDQIIKDIREFKEANKVDKVVVLWTANTERYSNVVVGLNDTEENLFASVERNEAEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIRRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEAGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGTNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKAEAEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMILEYK >KZM98757 pep chromosome:ASM162521v1:4:26677730:26678317:-1 gene:DCAR_013881 transcript:KZM98757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVMLHHNRKLDRHMSAHPSEFFPYPTFPFASFNGGAAPYTPPFSFQGPPPPMDPRAHHYHHQQPPLLPLPIPNPYQNNNKRVNNKLTRHQSLSVKKSNFSGAGPKREKTGPVLPARRLGPEPKDVPKVLFSGKSNTVNIKEIDFVASTVVSPPPSSLPLPTFSIRPKLAANSPAVAVVDDGATNGLRRILHLP >KZM97813 pep chromosome:ASM162521v1:4:18069035:18070256:1 gene:DCAR_014825 transcript:KZM97813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQESVLIFLAIFSPTVLAYSGIKGAYWPSWEADALSPASIPTSYFTHLFYAFVEVNNATYELSITQPDDKWMGNFTATLHAKSPSPKVILSIGGANTGTMFSDTVSSHKRRSAFINSTIVVARKYGFDGLDLDWEFPNSILDMSNLATFFTAWRFAVDKEARVSGKPRILLSAAVYYSSEMFSTSPPLSYPGHVIKKYVDFVSPMCFDFHGTWDPLKTGSPAPLYDNYSNLSTSYGISSWLKSGVPSDKVVMGLPTYGRTWELVNSSDHNIGSPALGAGSPPVLTYDQIVDFNLENNATVVYDEATVSTYSYSGKNWTGYDNVESVINKVKFAKDQGLGGYFFWALGDDKNWVLSAAASKAWDS >KZM98227 pep chromosome:ASM162521v1:4:22088925:22090341:-1 gene:DCAR_014411 transcript:KZM98227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATKVEKYRELLDAENVKDVEWRFGAPPNYDVVDELFEQGRTKIWPAGSLEEKVQKLVKTWEMEMFHKTRPEDFKTIDPDKFTLSVNGRQGLAMGDIKRIKGGYNAMLQTQLPEHLRVYNPAEESADSSHVVFTTAFPRGFALEILQVYAGPPVIVYKFRHWGFVEGPFKGHAPTGEKAEFQGIAIFELDENSKIFKVELFYDRGELLGGLVKGEKTTDSTGGMASSCPVMRTG >KZM97590 pep chromosome:ASM162521v1:4:16061582:16062301:1 gene:DCAR_015048 transcript:KZM97590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQSETPLLCPTFSSYTRDGSVETATRVSNDYKSSIGEDENDDLFEFESGSPAVRKSAVYPVFNREALHNDIDKKIEKLDDSVLVVPLKTLFLEEERENRISTSSSDESDDEAAEPSCMWRRKGVGSSPSPSDCKKSRSTGSVLFKRFKICDLLRSSSDGKESYLFSAKIDKSSNKIDYRKSPGRVTGKVKAAPASSAHELFYVQNRSIKEGDKRKSFLPYRKDLVGFFSVLGRASRT >KZM98299 pep chromosome:ASM162521v1:4:22682827:22698719:-1 gene:DCAR_014339 transcript:KZM98299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSQEQMPSASQAAHLRRSSRSAALTNHPVEVFDNEVVPASLQSSIAPILRVAAEIQSSHPRVAYLCRAYALDKTDRTDPNSNGRGVMQFKTSLLQRLNRDEVSSLASRVKESDAKEIGAFYYEHYTRVLDKGDQADRQVLSICLYFLAQLGKAYQTANVLFEVLCAVNISEEVEEVAPEIIAAAKDVQAKKKLYAPYNILPLDSSGETQAIMQFKEVIAAVDALRNTSSLNWPPQSDQYRSKSGELDVLDWLRVMFGFQANFSFLDSLPQGQQEIQQRKILYMGLYFLIWGEAANVRFMPECLCYIFHNMAYELHGLLAGNVSIITGENIKPSYGGDDESFLRKVITPIYRVIDKEAKKSRNGKAPHSAWCNYDDLNEYFWSSACFSLGWPMHDDCDFFKSTSTVTQALFIIAWSEISLLDIFQKDVLYRLSSIFITAAFLRFLQSVLDLFLNFPAFLRWTFSDVMRNILKIVVSLAWSLLLPVCYLHQNNSLDFNTIKDVLSLLNQVKGIPPLYLLAVGLYLLPNVLAAVLFMFPILLRSIENSDYLIIRLLLWWSQPRVYVGRGMHESQFVLVKYTLFWILLVCCKFTFSFFVQIKPLVRPTKDIMNIKHVHYAWHEFFPKGMSLLLVISFMPFFRSHHGAVISLWLPVLLVYFMDTQIWYAIFSTLLGGVIGAFDRLGEIRTLGMLRSRFQSLPGAFNKYLVPSDPNKTMRTPKHLSKVTSNGKSEAAKFAQLWNEVIRCFREEDLISDRKDFQIFFSEITFLVTSYRTEVDPSLELIQWPPFLLASKIPIALDMAVQFRSRDSELWKRISADDYMKCAVIECYESLKLVLNVLVVGETEKRLISIIIREVENNISKNTFLASFRMGHLPTFCQKFVQLVEILKDADPLKRDTVVLLLQDMFEVVTCDMMVNEICELVEFKRSKKDSGRQLFDKTDALDDIVFPPVVTAQWEEQIRRLYLLLTVKESAVDVPTNLEARRRVTFFTNSLFMKMPYAPRVRKMLSFSVMTPYYSEETVYSKSDLEMENEDDEWDNFIERLNCKECELWDTEENILQLRHWASLRGQTLCRTIRGMMYYRRALKLQAFLDMAKESEMLEGYKAVTLAPEEDVNSQKLYAQLEAVADMKFTYVATCQNYGNQKRQGDRHATDILNLMVNNPSLRVAYIDEVEEKEGENIQKVYYSVLVKAVENLDQEIYRIKLPGSAKIGEGKPENQNHAIIFSRGEALQTIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPSILGVREHIFTGRVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCFFTTTGFYVSSVIVILTVYAFLYGRLYLSLSGLERTIVRFSRSKGNNALTAVMASQSVVQLGLLMALPMITEIALERGFITAAKDLVIMQLQLAPVFFTFSLGTKAHYFCRTILHGGATYRATGRGFVVRHEKFAENYRMYSRSHFTKGFEMLILLMVYQIYGSATQESTSYIFLTFSIWFLVASFLFAPFLFNPSGFEWPKIVEDYDDWSKWISQHGGIGIPAVRSWESWWAEEQEHLQCTGLIGQLAEIILSIRFFIYQYGIVYHLHVANNDKSIMVYALSWLVIITIMIIFKLVSMGRKKFGADFQLMFRLLKLFLTVTFIVTIVILFKVLGLTTGDIFISLLAFLPTGWALLLIAQSCRRQVKWLGMWGSVKALARAYEYLMGHMIFIPVALLAWFPFVSEFQTRLLFNQAFSRGLQIRRILAGGKKNK >KZM97183 pep chromosome:ASM162521v1:4:11997891:11999950:1 gene:DCAR_015455 transcript:KZM97183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGQGSYMAPAEGNPAVAVVGQHFMVPYPVDLTIVRKMLTISEGNFGVTDANGNIMFQVKGKLLSFRDRRILLDAAGNPVLSLQQKMISLHNRWEVYRGDSKDSRDFLFTVKKSSLLQVKTQLDVFLASNTSEHNCDFKIKGSWFERSCTIYAGTTSTVIAQMHKKHSVSSIVLGKDKFAVTVYPHVDYAFIVALIVILEEINEARSNEGSSGSYMAPAGGNPAVASCSGATVHVKGKFLNLRDRRILLDAAGNPILSFQQKVGQCKRCAPHFSSRWPDFEKQELALTRSHWQVMA >KZM98795 pep chromosome:ASM162521v1:4:26963373:26965190:-1 gene:DCAR_013843 transcript:KZM98795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQATHRFPMDHFLTFSLLSLLLSFAAAGDFSDDQLIRQVVPEEEQSVNLLNADHHFSLFKAKFGKSYSDEKEHDYRFSVFKANLLRAKRNQLLDPSAEHGVTQFSDLTPTEFKQRYLGLKTPAFLESAQKAPILPTNNLPEDFDWRDKGAVTGVKNQGSCGSCWSFSTTGALEGAHFLATGELISLSEQQLVDCDHECDQDGVCDAGCNGGLMNTAFQYTVKAGGLQLEKDYPYTGRDGKCQFDKNKIAASVANYSVVSVDEDQIAANLVKNGPLAGNSYPYST >KZM99616 pep chromosome:ASM162521v1:4:33457479:33460235:1 gene:DCAR_013022 transcript:KZM99616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLWSDDEVPVSPTGQYFNSSVLSISVLSVLESEIPIDDSLALTLLKDLFLPINPRFSSIMVEDNNGVKQWKKVFVELQDHVNVPTFPEGKFPEFYDACLNDYLSKIAMESFPKGRPLWNIHIIKYPTSNAAGSLVFKLHHALGDGFSLMGALLSCLKRCDNPGLPLTFPNFQMKTDLDDEKETVFNRLTKRFSTVINSASDFGWSLLKSSILQDDKTPIRSGTEGVEFLAINLITMSFSLDQIKEIKGKLGVTINDVLTGVIFLGTRLYMDATSQESRNGVSTALVLLNTRNIGGYTSVAEMVDASAAEKQWGNQFGFLHVSVPELNGTEYSNPTQFVYKAQKIIKRKRESAAVFLTGRLLETVRRYRGPEATAQYIHSTLNNSSMTISNMIGPLERMSLADHPIKGFYFMVVGVPQSLTITMVSYMKVVRIAVGMEKGLMDPQKFKSSVESAFDMIYKAAVRS >KZM98210 pep chromosome:ASM162521v1:4:21955553:21958481:1 gene:DCAR_014428 transcript:KZM98210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLDQMPETPTRRTHHRRAQSETFFRFDDDDLLLDDVVADFDLSTLDLPSPDVKQQQPPSGSGPPTSHLRSLSVDAEFFDGLSLADDDKGSGHGSGHGSGATRGTHRHSNSMDGSSSSFDSMMVFDNNSIKKALAPDKLAELSLIDPKRAKRILANRQSAARSKERKTRYTSELERKVHTLRNEATTLSAQVTLLQRDTTGLTIENKELKLRLQALEQQAHLRDALNERLREEVQRLKMETGQGPAVNGNNFNRGLPPQFASQTQFSSRPQSYHFGNHQAQQFQQQQLHMPHSASHNTQTRSGQPQPSFADFN >KZM99175 pep chromosome:ASM162521v1:4:29991635:29994058:-1 gene:DCAR_013463 transcript:KZM99175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYMATSSREDQSLNSYEDCPCDCDCDICNCATAKQSDSGTRQLSTPVKRKLRELEEGNRLVDVSQVARVEMSNECVALREMVSNQQISIVDLSIELEEERNAASTAANEAMSMILRLQKEKAEIQMEARQFKRFAEERMAHDSHEIGALEDLVYKREQTIQALTCEIRAYKDRLMSFGLTEEEAAAVGEKGEAALNRVEESLDLQNETPTYDYPAIKCNSSADEALSEVEGDLFDVEKYALCDTPSSREHLKDLENRINDLEKSPRTEVDFYGTKTVFEKVIVGRSPRRSRHITRFSSDSSSLHFATVKETGSEVADDSPKFDSVKKFDSINSERLSNLKKVDNASEVGDDMSDRVYTIDSVHEVSSVDARDAEVSIRICEDYTTTPMESPNTADAGTSEIKKLYLRLQALEADRESMRQALISMRTDKAQLLLLKEIAQQFCKDKSPARVEVRKSSVGGKFSIMSIFKLAASFIFWKKKASRSRMSPNNVGLLMLLEKGPSIGQWRCLTRTQV >KZM99473 pep chromosome:ASM162521v1:4:32355002:32358300:1 gene:DCAR_013165 transcript:KZM99473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPHSGKSLDEMETQDKPDFKKLDLNSDTNIKKGVSVCPKIPVVNVANRNHSGELGKTRSGVMGHRRSSSTGAPLIYSGRTPSSSNTSTSSSSMSTNGSGSGGGNGGGNLMSSVSSGASGNGNLYPSGNICPSGKILKSNMINRGSNRSEKLGSGSVNYGHGNIIKGGGNARCGEDNVGKRGVVGFDAEEVKNQGNELYKKGKFGEALVLYDKAIGMAPENAAYRSNKAAALTMLGRLGEAVVECEEAVRLDSGYGRAHQRLASLFLRLGQVENAKDHLCLQGQPPDTTELQKLQLLEKHISRCVDARKVGDWKGVLQECDAIMVAGAVSSPQIIACKAEAFLKLHQYEDADSSLSNLSKLEPYPTSCSQNRFFGILLEAYVLFVRAKVDIAFGRFENAAAAAEKAVKIDFNNMEVAMLLNSVKLVTRARSQGRDLFGAGKFTEACAAYGEGLKYNLCNSILYCNRAVCWSKLGLWQESVEDCNQALKIQPNYTKALLRRAVSNAKLEEWAAAVRDYEILRRELPGDSEVAESLSRAQDALMKLRRGDAYVKPGDSSEFSGSDHFKGVMHSPGGSSSDASRSHKKYPEL >KZM97502 pep chromosome:ASM162521v1:4:15310498:15311832:-1 gene:DCAR_015136 transcript:KZM97502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPEEEMQDQQGDEQQHQPYGQEYYNHQENPNVEFTPPPTYPPPTPPAQYQNEPQYQMPQPPQFQPFNQNPPQPPQLQAFNQNQPGYPPPQQPSSQYAGYNQNPAQMGQSPRPAVGYPQMQVNVGTENWNSELFGCLEDPQNALITAFFPCVTFGQIAEIVDNGHSSCTTQGVLYGAALVCLGMPCLVSCSYRTKLRSRYELMETPAPDWFTHCFCEPCALCQEYRELRHRGFDPSIGWQGNLALQERNYPMKPPASPGMMHR >KZM98565 pep chromosome:ASM162521v1:4:25093157:25099664:-1 gene:DCAR_014073 transcript:KZM98565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTQEEEIVLGTASPVTSDHKRKHDDLESDSLPLAPELNGNSEPDSVRKIDEVEDDSEAKRQRLDDKDDVSVTAAENGHQERENVPEEVGVESLTPAEDDQQVAEDEAATGEVAKQVNDEELAAEHQQYHAAHENDKLENAEEKAASDGEPLKNPEQVEAPSADISQQELVTSAQNQDMSEGKSMSRKMEVPNNKVGVLIGKAGDTIRYLQYNSGARIQITRDAEADPHSGSRPVELIGTLENIIKAEKLIKDVIAEADAGGSPALVARGFNTVQAAGAGEQIQIQVPNEKVGLIIGKGGETIKNLQTRSGARIQLIPQHLPEGDQSKERTVRVTGDKKQIELAREMIKEVMDQMVRPSSISGGYNQHQNSRPLGPAAHWGPRGHSSQQGGYDYQQRGPYQSHNQQYPHQPYGNYPPQQMGPRSNVGWEQRPHGPHGGYDYYGGPGHASDASKPIHTHAPGPSPMMGPPPSQPNYNYGQPQGGDYGQPPYSQTTPPVQSYGQGYNETKYDNQGAMQQPYGGHVAPQQPSAFPQGTAPGYGQQDQYGRPPSFGMPQQGPQVQSYGQPRAAQPANMPYQGPISSYGQAAPTQQPYPYASGGQMQQTYPPYSSAPAVDAYNQPLATAAAGYPQQGVQPVSGYGQAGVQQASGYAQAGTTGAYVQYPSSQPGYTEQAAPNTAAAYGAYQGTEDPAYSGAPAASYGAQPVVQPTYSQPATAQPVYDQSVPQSGGYATAPAAYR >KZM99613 pep chromosome:ASM162521v1:4:33433187:33440298:1 gene:DCAR_013025 transcript:KZM99613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEKKGTVTSLASLFPPDEARKASQRVLDTLVEHERELHQLNQFVSDNNNVIDLVQRLPEQLHHDIMVPFGKAAFFPGRIIHTNEFKVLLGENYYVDRTSKQTTEILKRRGNTLQSQVDSIKAVMQDLKAEASFFDATASEAAEGLVEIREDYIEEASSETVSHAGMFTALISYMKTADEDEEYARLFSRMDELEKEELEAENGDECESEDGDVKDLGNQVSLDNRVKNLEHEASKVQPASKVLPRTSEHSKVQPATKVLPHSSERSKLQPALKGGPHNTHTAMSKPSISDKEQRIQLQTTPTNEVHMASSMFVRFSFVVVVMVVGCLVEPSDALEYYVGGKEGWSLKPSKTFNHWASLNRFQVHDSLIFKYENGTDSVLQVDQEGYITCNKTNPILALENKGDHSTFDLDHSGFFFFISGHADNCQKGQKVIILVMAPRNYTHKSPTHAPAPSQQASAPGPSVVEGISPVSGPSQGISPVSSQAPAPFQGVPHVLPPAQSPVHKPRPITSPAPAPSRVGSSTHHHTHSPAPAPSRAGASTHHHTTPAPAPSRAGTSTSTHHHHTHSPAPSPSRVATTTHHHPPAASPVQQVTAAPSPAPTPINKNPPAASPGPSQAAAPGPSDQAPSPDDGQSAAAATSFGGDLLMAALLVWMIFT >KZM98617 pep chromosome:ASM162521v1:4:25497528:25502813:1 gene:DCAR_014021 transcript:KZM98617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWKRARTLAEETAKRSHDFTVEAARKSQAFTAEAARRSQDFSIGSSKLADIVSEASRKADLIRVEAIKRADQIKSKIPNASALSKIGVSSHEEEEEDLERFGVTEELTDFVRNISVSTFKEFPLQDDTEMSDIPTVSNIRQDLTKWQEVHAKLVLSTVKEISKLRYELCPRVMKERKFWRIYFILVNSHVAPYEKRYNEDARRRSAEEVKNDMKSSEVGTLSKSETGKTVQKTKSVSSAAAEQDLDVFLLGDLGDSDDAPDGKDEGFDDDDDFDKL >KZM98824 pep chromosome:ASM162521v1:4:27159054:27159341:1 gene:DCAR_013814 transcript:KZM98824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLSDLHVLASDGTSGVMLLLCFVVMSLSIISMIIFGCGDSGGSSPRRRHHQHAAHAAAAHHHQHAAHAAAAHQHAAHAAHHHHAAAAHHAGH >KZM99037 pep chromosome:ASM162521v1:4:28920593:28923859:-1 gene:DCAR_013601 transcript:KZM99037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDRVNPKGEPGSKSTSRHPSRFSESKSLDFSTWVNDNLYKVFIVGLLIATVVALFFLRNAGDSAALLCFQSQAKPIEKTLHFPAVDWTKVEEIRDKSTPYANFQSENWIVVSVESYPTDSVRNLAKIKGWQVLAIGDSRTPDDWSLKGTIFLSLQQQAKLGFRVVDYLPYGSFVRKSVGYLFAIQHGAKRIFDADDRGEVIGNDLGKHFDVDLVGENARQEVILQYGRENPNRTVVNPYIHFGQRSVWPRGLPLENVGDIGHELYYNQVFGGKQFIQQGISNGLPDIDSVFYFTRKPGLDGFDIRFDERSPKVALPQGVMAPVNSFNTMYHSSAFWGLMLPVSVSSMASDVLRGYWGQRLLWEIGGYLVVYPPTVHRYDRVEAYPFSEEKDLHVNVGRLIKFLISWRSSKHRFFEKILELSFAMAEEGFWSEKDVKFTAAWLQDLIAVGYKQPRLMSLELDRPQANIGHGDRQDFIPQKLPSVHLGVDESGTVNYEIGNLIRWRKNFGNVVLIMFCTGPIERTALEWRLLYGRIFKSVIILSEQKNVDLAVEEAKLDHVYKHLPNILNRFTSAEGFLFLQDDTILNYWNLIQADKDKLWITNKVSKSWSSVPVSGKSDWFVQQAEMVKKVVATMPVHFQVSYKESMKSDMTLTLCSSEVFYIPRRSVADYIDLVNLIGHLDMHQKVAIPMIFLAMDSADNFDSIFNSMVYKRKQPSNSSTFYSPEAAAVHPWNVSSEQDFIKLIRIMAAGDPLLMELV >KZM97085 pep chromosome:ASM162521v1:4:10658442:10658960:1 gene:DCAR_015553 transcript:KZM97085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSTSYFDSLSFNIQNFISTSSSIITSSPSSPPFPAPLPPPPPPRQPEEVVLVSADNATSPNTTCSDNLTTSRVVTLKEEKSIMHNMSDKELLWLASMLPKIRDFPYERVPKVAFMFLTPGSLPLSPLWEMFFKGHEGLYSIYVHAHPSYHYNYPKNSVFYGRAIPSKVS >KZM98385 pep chromosome:ASM162521v1:4:23511421:23513581:-1 gene:DCAR_014253 transcript:KZM98385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKKNAKKPAASPATNSCENDPKEHEIQDREDAFNDQEVQRQSAAIRTIRDAETERVLTGLRLLRLYFNKDQMRTPVLQYFKEHLPNLEHIGQVKNGNYEVQWKAMDENLYTGHADGGNMHAYLLNQMSMAYPDCNATMPSLGGCGVSTETVKTRILGADALNIRKFNLEEPSDTRMPGFQESVQTPGAYSQRLSFGMTPKSRRVPKHGEMLLSVHGSPLGVYKEDSMEAINESGED >KZM96973 pep chromosome:ASM162521v1:4:9331829:9334974:1 gene:DCAR_015665 transcript:KZM96973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLQNKYWVLRHGKSIPNDQGIIVSSMENGVLDKYKLAPEGIDQARLAGQTFLKELEKNHLSIKDVRICYSPFSRTRHTAEMVASVLDLPFEGPQCKVVKDLHERYFGPSFELMSHDKYADIWALDKKDPFQPPEGGESVADVVSRLTNALLTIEAEFKGCAVLVVSHGDPLQILQTILNAAKDTKGSDSNDLTSRIQAVKLPSIISQHRKFALLTGEIRAVI >KZM97762 pep chromosome:ASM162521v1:4:17597884:17599898:-1 gene:DCAR_014876 transcript:KZM97762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKITEGVEKMKIAAGDSPKKNRIQVSNTKKPLFFYVNLAKMEILLGKTANFDELMAAAAAERELAAGDGEEWEMVGNS >KZM98819 pep chromosome:ASM162521v1:4:27114590:27117253:1 gene:DCAR_013819 transcript:KZM98819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAITNPFYLTCLIILSLMQVTTIAGEKHSYVVYLGSHSHGPEISSTDLDRVTESHYEFLGTFLGSNKAKDAIFYSYTRHINGFAAILEEEEAAQIANHPDVVSVFLNKARKLHTTRSWEFLGLENNGVIHPSSIWKKARFGEDVIIGNLDTGVWPESKSFSDEGFGPIPSKWNGICVNGLDKSFRCNRKLIGARYFNRGFASVYGPLNSTYESPRDDDGHGSHTLSTAAGNFVPGANVLGYANGTAKGGSPKARVVAYKVCWLYKGEGSCFDADVLAAVDAAIFDGVDVLSTSLGGSPGTPFFEDGIAIASFHAAKHGISVVCSAGNSGPLAGTVANVAPWQFTIGASTMDREFPAYVVLGNKMQFKGQSLSKALPNKLFYPLISSKGAKAVHATIEDAERCKPNSLDPRKVKGKIILCLRGDNGRVDKGNQALIAGAVAMILANDKESANEIIADPHVLPASHITYSEGLAVARYIRTAKSPVAYITPPTTQLGVKPAPFMAAFSSQGPSSVAPEILKVLKTA >KZM96726 pep chromosome:ASM162521v1:4:5366117:5367056:1 gene:DCAR_015912 transcript:KZM96726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGVLSMANSGPNTNGSQFFITYGKQPHLNGLYTIFGKVIHGFEVLDIMEKTPTGPGDRPLAEIRLNRVTIHANPLAA >KZM98966 pep chromosome:ASM162521v1:4:28339872:28351827:-1 gene:DCAR_013672 transcript:KZM98966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRMKSVMQGVRAKFSVIVLVLITLGIAAASIYGLLKPISNGCTMTYMYPTYIPISTPKNVSAEKYGLYLYHEGWQKIDFDEHIKQLSGTPVLFIPGNGGSYKQVRSLAAESERAYQGGPLENELYQQATLTLEEDGGPINVNNISVPTQYSSFMDWFAVDLEGEHSAMDGQILEEHTEYVVYAIHRILDQYKESRDARKSEGAAIYGSLPRSVILVGHSMGGFVARAAIVHSHLRKSAVETIITLSTPHQSPPVTLQPSLGHYYTHVNQEWRKGYEVHTSRSGHYISGPKLGHIVVVSISGGIHDFQVRSKLESLDGIVPPTHGFMISTTSMQNVWLSMEHQVILWCNQVVVQVSHTLLHLIDSKTSQPFTESRERLAIFTKMLHSGVPESWLKQSQATQKSLHASSKNGILPGSHVHTLPACPSTIRWNEAGLERDLYIQTPTVTVLAMDGRRRWLDIKKLGLNGKGHFVFVTNLVPCSGVRLHLWPEKGNSASDLPLTKRVLEVTSKMVKIPSGPAPRQIEPGSQTEQAPPSAVFMLDPKDMYGFKFLTISVAPPPVFSGRPPPATSMAVGQFFDPDEGKTVFSPYLLLQSMVSQQDMILKEDHPLALDLSFSISLGLLPVKFSLEPTGCGIQKSELVEEVKDMEINKLCKRRCFPPVALAWDVSSGLHIFPNLYSHTIMVDSSPGYWDSPKGSEKTTLLLLVDPHCSYKTSISVSVAAAAGRFMLLYWSQIIGFSFAVVFFALMQQAYSWELDLPIPSMLTALESNMRMPLPFFSLVITPIVAALFYSFMSSQRFPSIISFFVISILCYAFANGIVVVLILISQWVFYVAASIHVFIKKCFLTASFRSHARSRELFDFGDRGSSEYKRLQIKSDSRTNKSLPVDEHSSNSPDSARSYGDTQLEIFHHQHGLLVLHLMSMLMFIPSLVAWFQRFGTGQRFPWFLDSALTLGIILHGVCGSKPEYSFWFPLPGSDRWEVKQSFGYLLGGFACCLYALTMAPYGAFYAMACIGIVAFTFRIIDRRNRERGDAYYSNRKHSHRH >KZM97705 pep chromosome:ASM162521v1:4:17077503:17077796:1 gene:DCAR_014933 transcript:KZM97705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAITHTNIEARNVKLWKNNVVEQDPHQYDHMLPKGQVPPSGPSHGNNPPLERSYGLPNVLPSQHFHIHILPKGGHPPPGPSHGTTPDPPGPPPTL >KZM98201 pep chromosome:ASM162521v1:4:21875968:21884365:1 gene:DCAR_014437 transcript:KZM98201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNKGLIPSAPGQIFVQRKQLKAIIESLLPACTEPDIKSGEPFTAEAIIANPPAYGHAHVAEALGVPLHIFFTMPWTPTHEFPHPLARVPQSAGYWLSYIVVDLMIWWGIRGYINEFRKKKLNLPPIAYFSTYHGSLSHYPTGYMWSPHVVPKPVDWGPLVDVVGYCFLNLGSKYKSPEDFSQWIQKGSRPIYIGFGSMPLEDPKKTTDIVLEALKSTGQRGIIGRGWGNLGTSPQTSDSVFFLEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGERIHQRGLGPAPIPIDKLSVEALSDAINIMLQPEVKSLAMELAKLIENEDGVASAVDAFHRHLPPQLPVSDDEPPETETDDSPNVLQWVFIQIGKVCSIPCG >KZM97453 pep chromosome:ASM162521v1:4:14822758:14825278:1 gene:DCAR_015185 transcript:KZM97453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHEEEGIFEQRKALMVPPNGRISTSLLGLFPCLLMAGXCSESSWPISVSFNGWYDPLPKWTKWVEKMVPKYQYVWQKSGIFEALMGSMCKIQKNKEVVFGVAERWCCETSTFRFQWAEATVTLEDVLVLGGFSVLGHPFSMPLENRNLVGVKERLFEGDVTKRYLEWWEKSVVDLLGGTKAVLKGLRSLTSTSDLPGKHKWGDDLMQIWRFSVRDRQNASDAISLAVSGNCLTPLSQPSQSSKKRSIQELLNVNVQPISAVKTNESTSSAEGNVPDVPPGFPPKSDQGKGLLKSSNMQHVQNMLDVDIKPILLIKDNPNGSTASNKGDDPDVPPGFPPKPDQGNDILKCCNMQHMQNRSVVHIKPFLIVKDNCLPSLSEGSDDVPPGFTPLSQKAKEKAQASIGKGYEPRQMCTNNPFLALKKQRSSSSAEGNGGSRMLEGLLEPMGTTKQSEATIRTTEPAAEIAQSHETRPESSIQSEGGFNFDERSSFLTTKLSDLDMDASIKLVEKVLAEMKAKRSGSAGVKQEPDC >KZM97076 pep chromosome:ASM162521v1:4:10521625:10521936:1 gene:DCAR_015562 transcript:KZM97076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVVTFLNPLLLNSPPAIGPHFTTGISLEILRSYQAYNAMDSSSTNVSSQPQPQPSADAAVDPFWLRLFRTLVIVSPVSNNQDYGGVLRVFTDSRLLRVSTD >KZM99872 pep chromosome:ASM162521v1:4:35479494:35487414:-1 gene:DCAR_012766 transcript:KZM99872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQPVKILSLSHLIHQSLPLTAASSLSSSNPKPKAKLPRIDKTQNESPTPTNPKTLKPLKGPTVLVGTLNLPIHRNLEPLPSNSASGCSKISCFSFSDGDSSVCCDILDFHPRVIGKKIRVLAWNYIPFKYGGGFLEIIKWAFAESSGFLVPCSLKVESFPLCLEFPGNVKENCRARCYVHGVIESVSPVTVVPCNAGGKDDKRASLVSGFLTKVLVCECKLCSSSDPDKALCGIHERHCIDNFTKSFIVYIYDFASCWHPVVSKLVGNYVSLTGLKKKLIFIGKEEESQLMYVTSEKSKLHLPRFQDQCVLFQKTIVLGKGECGEYTGIVTEIYMQGMVIEFDKKVMLLLTDQLLNFTHSLRVGAIVSVRNVHFVHPSFSWTNLLVLGACFKTSIHVKSFSPMKTGCNIQGHSESLLRKFIDSLSFSARMWVLLTISCFKNKFSRILSETDILGSKHKQGVAQKYCTSCLPSSVMRSRHGIFVEYCKHDSCGCGSEPDCGCLKLVVPMSSFISHCKATWIKMTARKAGSDIMSNDNLESPQICGRKSFSQPTKMMLQSKDIDVVLLGSLKSSLSPGSLQLIDATGSIDIVSPNLPSSLSIGTIYQVSDFTAVMEGISDLADHLESVPTGLFSCQSILNNAIFVEGSNLTVYMYCHRIGELYRSRPVFPGFSYKETLEDLKGRFHLLKVTHKFPVLQRFQDDHIITERLNAYAEAIILPWDLLIPDKAEDQITAISKPLSSDLVENYDKSYEKTTKRCKTIETSSSGPNYAQNESAGNSSYCVNTFRRIYKEKICSGSSYPLEIPCVTLYFKETMFNCRNDKTKITSTSYSGVRKVLLEFEQRDFCEFQSLKIGGCYIIKHQKEDRYCSINNSSALSIGKVLITSRAIPLSISFSSQEALLAIDQTPLIHDIFFCNKVTVPKDSHGVELPSLKFMNACPHSCSEVKMFLSPESLAFLEGNIEYLGQGFVKPISSFEELTNISEHMTRSVKSVRNSNLDVFLPRGKLISLHGHVVAFHSTDQSSLAVNIGYGSSFGGYVPNFIKHMTQSACIHVLVENHMVKIFGKLSKHAFPVGFGAGVNATFHRILVAGGQNEFLLIPASVIILGPALVINSQYLSATLEFPSIPLLDIGPVSLISEMDQCPSYMLLKLHCKIIAVYILVLEKNEKVVQSHRIAHICSPANIPLAGFILDDGSSSCCCWTNNEMALTLLRLDKEYEATGESSMGSKKTLLTKSRDPNSVKLDKILQQHGRIVVRNCGSTSDASFQDIIVGSNKIMSSRDEDFLKLSILNACSRSNLWTVVGRAMDSVDTKRLENQLTSLNMPNLPMRNIWGMEVHHSNQLSEARSIFEELKASKIFEEVSMLLS >KZM98020 pep chromosome:ASM162521v1:4:20443502:20445406:-1 gene:DCAR_014618 transcript:KZM98020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSRVYSGADRAGIVSKIRLENFMCHSNLEIQLGDFINFVTGQNGSGKSAILTALCVAFGCRARGTQRAASLKDFIKTGCSYALVQVELKNEGEDAFKPETYGDSIVIERRISESTSSTILKDHQGFNFV >KZM97713 pep chromosome:ASM162521v1:4:17141757:17148684:1 gene:DCAR_014925 transcript:KZM97713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVASHIMLQCAFNSCLSMSDMEIKRRPYHRNCNCALHKPKDSHPAACFRHGNVAFSTKLSWTDCSITLSSPKISSQSLFVRSSSASSGKGAYEPYEGMYINMI >KZM96755 pep chromosome:ASM162521v1:4:5848451:5849446:-1 gene:DCAR_015883 transcript:KZM96755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSQIPSIMCTITLSQTSCRKLNLRKTFDHRFTFLRRTVCCTKSSPWEPSPVTYALTDGTADEFLKETPNIFQKLNSNKTIEASLPITEELADENKQSTSQLQYLRWPLWLLGPLLLLVTGIVPTLWLPISSIFLGPNIASLLSLTGLDCIFNLGASLFLLMADSCARPETSTRSCISQAPLSYRYWNIIASVAGYIIPLSLIFCSQSGLLQPQLSFISYAVLLGPYLLLLTVQILTEMLTWHWQSPVWLVTPVVYETYRVLQLMRGLKLGAELGSPGWMMHALRGLVCWWVVVLGMQLMRVAWYAGFTATTQQKLSTTAVSEESYLVV >KZM97489 pep chromosome:ASM162521v1:4:15199437:15202011:-1 gene:DCAR_015149 transcript:KZM97489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKLLRPRSYFPNLISNIISILCLSVFIPRHNAKVDLDMYNNCSKPTTSPCGTDLGWGVNYPFWGEDIRPSYCGLEEYELSCEENSLVVDFASDIKYNVLAINPFTITIELKPLGNPLKSICEPSSSSNQDTEHNDPVFYSRENSEVIYVFYNCSDHAQTTSIKANFSCGNDNRDPVYFFRNDSFEQAQKKLSSCNYTRLPVHMSLLEEFISDHNPAQETAEKLLVGKFEVYYNVENNQVCKECYEHDDGLCWKDTYVGSKDPCLYQSGSKKSKGLIIGAAVGVLGGTILLLSVLVIFCCRKKTNYGSFLFSKNVSSFPKDVDAFIKQYGSSIPRRFRYSTIKKVTNSFKDELGKGGYGTVYRGRLSDGRVVAVKVLNATKGNGEEFINEVASIGRTSHVNVVTLLGFCYEGKRRALIYEFMPNGSLEKFIYGTNPLLDGQHLGWEKLLRIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDKDFCPKISDFGLAKLYTTNESAVSSLLQARGTIGYIAPEVISRNFGQVSHKSDVYSYGMMILEMVGGRKNVNASADHTSQIYYPRWLYKRLQFDDVLNMANEISAEENELVRKMVMVGLWCIQIYPSQRPSISKVIEMLEGQTAALEIPPRPYLCSVPGSPSNSPEKPVFCSSSGTEPTLSA >KZM97895 pep chromosome:ASM162521v1:4:19162321:19163518:-1 gene:DCAR_014743 transcript:KZM97895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRAKNPDDDSTDRSAVEGAMLGELGLKIQEIELFYLIPGAGLPHGILPVETQTDLDDFVNMVPYSHHQVLYATTKKITLSNELMDFSFTQFFEDERIERIEHMRVEVEAEKAPMADDVVKKPAKKKRRIPPPNPPFRTRKKGRYSMLRGLFKNTSETPVIIEDEGPELTQKGVQVNETTAERGCRSVKLQQRRRTRSLKLKQRRRLAQM >KZM97977 pep chromosome:ASM162521v1:4:20037645:20038082:-1 gene:DCAR_014661 transcript:KZM97977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATLSSCKISHSLAPLMIPQTTNYSVNTKLPQTQKKLIGCTSTKLWHGKRACGLVMGCAKSGGGSLTEMEAKIKSERDSDFEGKCQGKEGIVELMECLESEAIMGEDEGKDASDYNRRAKIFDKSAQVFQALKEETEESREDA >KZM99618 pep chromosome:ASM162521v1:4:33478825:33481287:1 gene:DCAR_013020 transcript:KZM99618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVFIYVLVPDLLQHYTSGVLYNMFLESKKTSLYGLLIFVLLFETCICEGTDLITTGQTLSGNETISSANGTFELGFFTPGKSRNYYIGIWYKNSANKTVVWVANRNHPVSNPYNSELKLFPNGNLALLNESRIQIWSSNSTAEKDNSSLAILFDNGNFMTRDTQDSSNIIWQSFDYPTDNWLPGGGKVGYNKIKKEKIYLTPWKNAENPAPSIFSLEVEPNGTSHNLFYNRTIQYWSTGDWTGKSFVLVPEIDRNPLISNLQYITNVNESKFTYDIGIPKVLTRFMIDTTGQLKQFIFRDGQWISYWTRPDQCEVLKYCGAFGICNQLKAPFCTCLKEYEPKVSKNWALGDHTDGCIRPSFRCGVGKGKDKFYSIKNMRFSFQDAGDSHSLDVESDKECKSACLSDCSCTGYVFNGGKCVVWNGEVYNLQELASDDSRGSVFHVRITKSGSDRKTSVWIVVGAIGGSFILLGMVAMVILQLRKQKVGRYNGAEGDLTLFKYKDISKSTKDFSEKLGEGGFGTVFRGTLPNSRDIAVKRLKNLKQGEKQFRTEVSTIGQIQHINLVRLQGFCIEGEAITAKADVFSYGNLLFEIISGRRNRDLLDDGDYFPALVAEKLSKGEDVLNMLDQKLEGDADSSEVTRACKVACWCIQDDEKNRPAMGLVLQILEGISEVGIPPIPRFLQGFIGDNKFQTIVYQHQTFDTTTYNS >KZM96913 pep chromosome:ASM162521v1:4:8657088:8664915:1 gene:DCAR_015725 transcript:KZM96913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFNREFRKILSGLTLPENPELESSAARISPKKELQVENLLKRLNKTPQKSIKLEPSYYPEGPFGDKRVNTSKSRKRLKPIKQLWHLNGNCDKGTIPVRRTTKEDILRAGSIKNYGKKKEIANILGPNSAKPQPDASTEHEYSFASVMGEKYIGTRAIMEVWKPEVEQPNEFSLAQIWVLGGSFDGSLNSIEAGWQVYPNMYRDDSTRLFAYWTRDQYKSTGCYNLVCPGFVQISERIALGVEPSYYPEEPSDDKRANTSKSGEGLKPIKQLWRLKGNSDKGTIPIRRTKKTDVLRAGSIKNYGKKKKNLEPSVYPEGPFDDKRVKTSKSTEGLKPIKQLWHLNGNCDEGTIPIRRTKKADVLRAGSIKIYGKKIKNVNILHTNSTEPQYDPPNYNRHEHTIAYVHGDKYFGTKATINVWQPKVEQPNEFSISQIWVLGGQLDDHLNSVEAGWMVSQHLYGDNNTRLFTYWTRDAYQSTGCYNLLCSGFVQINKEIALGASIAPVSSYQGSQYDITILIWKDPKGDNWWMKYVNNDKVIGYWPGSLFTNLADGATAIEWGGEVINLASGGQHTTTQMGSGHFPGEGYTKASFFKNIQVVDGSNKLKFPEDVQTSSEQPSCYDIQLQNRQGGAFFFYGGPGRNPKCP >KZM99061 pep chromosome:ASM162521v1:4:29121168:29121344:-1 gene:DCAR_013577 transcript:KZM99061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLISIIMLAIVSSAVAVSAQLAPAPSPDVGASFALPVSTMFIGTSLLISLCGLLRH >KZM99511 pep chromosome:ASM162521v1:4:32660684:32665023:-1 gene:DCAR_013127 transcript:KZM99511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSTSGKRCKIAEKDDLENRVVEQSSPQQLQTSDPVPACLKLVQNCYNCGQEGHYASRCAKPRGTCYECGEAGHKARDCKQPRKKSHVPRVPALSAQGRSGEETCVSGSFSAGGSSFKMDFKTLAQSTISRPLCLYFTLGCCTKMEDQSHLKMFNHSFPELDTKRFDLKHAKTQQFDFFLVLDLEGKVEILEFPVLMIDAKTLKLVDFFHRFVRPTGMSKEYTNQYVDGKYGKLGVNGIWHETAIPFKEVIQQFEDWLATHRRWAKESKGYLNKGAFITCGNWDIKTKIPEQYVVAGMKLPPYFMEWINLKDIYLNFYNRENPGLWRPEICVFSKMLLIYPDPRVCGINIKQHDTEHGDDMATGMVPMLSQLKMQVLGTHHVGIDDTRNIARVLQRLIVDGAVLQLTGWKTMNGKVEYLFNNRIKLKHQKDN >KZM99261 pep chromosome:ASM162521v1:4:30617041:30618543:1 gene:DCAR_013377 transcript:KZM99261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEASWLLQLLRSPLSLLIFPLIILFVSLLYLVKPRVYCKCDICQTYLTSSWRLQFHNLCDWYAHLLRNSPTKTIHIHVLRNTITANPANVEYMLTTKFDNYPKGKSFSTILGDLLGRGIFNVDGESWRFQRKIASLELGRASIRSYAFQVASNEIQTRLVPLLSSFVGKEKRGGSLDLQDVFRRFSFDNICRFSFGLDTKCLELSFPESEYAASLDLASRLSAERALAASPFVWKIKRLLSIGSEKKLKECIKMINKLAQEVIQQKRKEGFSDDQDLLSRFMGTIDDDTYLGDVIVSFVLAGRDTVSSALTSLFWLLAHHPLVVSAIRDEADRVIGTNQEPTKNMEQMSELHYLQATVYESMRLFPPVQFDSKFCLNDDVLPDKTFVKSGTRVTYHPYAMGRMPEIWGPDCLEFKPERWLQDGVFVQVNPYKYPVFQAGLRVCLGKEMALMELKSVALSLVRRFHFDLALPSHEMTPRFSPGLTAAFSGGLPVFVRKI >KZM97936 pep chromosome:ASM162521v1:4:19590649:19591407:-1 gene:DCAR_014702 transcript:KZM97936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYNSRYQDQQNAEQQEEDDTISLADFPMAPDQQDHSSPPEKIDVFEFSTPNSTMCHAEDIINCGKLILFKEQDQSPSLNLPQWHKSPVMHDHASESLYNRRRRSESLTELKISACRSNSTNSMRGINMRTSRSLDYQKLSRNSSLSSDSPDYRNNSRGSSRFDASAVKVPKPRWYIFMFGSVKFPPEINLQDIKSRQLHRTTSKSLFPSFEVVKKPPTNRKRSWGVLKVLSCRDDASVNVTAPLACVPRV >KZM98305 pep chromosome:ASM162521v1:4:22779523:22780572:-1 gene:DCAR_014333 transcript:KZM98305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLHDPSMLPNHTLRHLIDQWIRKAPRLSTDHYLTTLGSEFSLTRIRNNLESSQISLDDKLKILADIEALSGELPSKNYCLIRLGLFSMLLDIVFGDHHPHYQDNLNFIEQVLVCVVKLFPYSDLGSLNVLNKESKIARFVLLFDQESVVIRKCLCLLVEKIASSLYTRQLCIVLAQQQSILQGIVQILNDKSDASEAGVKSVSALCCLDLNRESLVRAGAVHGLIDYILRTYKHETSLAPVAMKALEVLLRLESARNEIVHHPNGISALVKMVFRVSDHEGSKSAINSLMILCRDSIRAREEVITAGVLTQLLLLLQSQCNERTKTKARMLLKLLRTMWIEDSKHDY >KZM99093 pep chromosome:ASM162521v1:4:29357764:29362611:-1 gene:DCAR_013545 transcript:KZM99093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSIQVTPFYGTSCSNSPVTIFCRKLHSAVNFGRKIGRHRVGRVMMVQQPVQGASATYAKEMERLSAKESLLLAFKDAGGFEGLVSGKTTDVQRIDVNERITSLERLNPTPRPTTSPFLEGRWNFEWLGTGTPGLFAAKIVFERFPSSLTNLSKMDVLIKDGNAKITAQLKFLNSIESKFVLSTKLSVEGPLRMKEEYAEGIVESPTIDESTIPEQLRGAFGQAATTMQQLPVPIRDVVTNGLKVPLSGSYQRLFMISYLDEEILIIRDTAGIPEVLTRLDTPAETMESVTEYVS >KZM98705 pep chromosome:ASM162521v1:4:26270665:26273042:1 gene:DCAR_013933 transcript:KZM98705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKAALVSSSLPTNIRLPSKNSYSFPAQCSSKRLQVAEFSGLRSSVSLTYSKNASEGSFFDVVASQLSPKTSGSSPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVIVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKHIKVVSNRDPLQLPWAEMGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEKDYGHDVANIISNASCTTNCLAPFVKVLDEEFGLIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINVAKKGLTAEDVNAAFRKAAEGPLKGILEVCDLPLVSVDFRCSDVSCTIDSSLSMVMGDDMVKVVAWYDNEWGYSQRVVDLADLVASKWPGVVVPGSGDPLEDFCETNPADEECKVYE >KZM98043 pep chromosome:ASM162521v1:4:20621240:20621683:1 gene:DCAR_014595 transcript:KZM98043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATRRCASRKSGRRMVLVVVIIAIFLQISDHQVQAQGGNCSSTMSNLNVCAPFVLPGATNMNPSADCCAVVQSIEHDCYCSTLQIAAQIPTHCNLPPLSCSAD >KZM98024 pep chromosome:ASM162521v1:4:20472728:20473522:-1 gene:DCAR_014614 transcript:KZM98024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWSAENATKAYLRTIKMGNTDKEPNVAEFVSALSAGNNAQLMVVACANTAGSPTLGLVAAAHQTGGRVICIVRGEEELQSSMDALGCNASRVEFVVGEPQLLLSGKYRNADLVVIDCNLENHEEIFRVVQMSAGRSKISTTVLGYNAFCKESWQWGGSRTHLLPIGEGLLMTRIAENIEFRGGENGGPGKKSHWIVKVDKCTGEEHVFRVRMPHGRSTIKA >KZM97462 pep chromosome:ASM162521v1:4:14932974:14933420:1 gene:DCAR_015176 transcript:KZM97462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLTDHKFFFFAFGCAALVVTMYHCLLSIFCHPRQDDFLEQPQDTGQGEVDIRSIPTHKYTTSNKSPKEECPVCLSEFEDGEDLRTLPKCLHTFHVVCIDLWLYSHPNCPTCRTRTDTVDSGSNRGAMMNSVSSRNSQDLEAQYATV >KZM97528 pep chromosome:ASM162521v1:4:15565290:15568591:-1 gene:DCAR_015110 transcript:KZM97528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFPFGFSPQPGVNLPANTHQPRPLGHPPYEELGTSEQIDESAGVEVDSVMVGNGPESTAGPIVASAAEDPAVAQINDGPLLVVSSVPDSVPHNNIGPSTVLGKRGRGRPPKLNGVPKKVWGRPAMYANKKGPRGRPRGRPKPVPTYTMSLRPRGRPRKDPVGAIVTVDQAHAGGNVSGGVMPAAKIHWEPHGNSLGRPTKDVQDAGSLTSEIYLMAYHGLKARIEHYRTRIKSAVSVIQPYLHESAVTALGALQDLEDLANMELLSVPINSQTAVNVQGAPGDDLNTPVNVKDVATENIQSLPLTGEDAQLTFQGRQTDAETAQVTFQSAAEPVQGQQQEPHPLQS >KZM97674 pep chromosome:ASM162521v1:4:16767739:16771979:-1 gene:DCAR_014964 transcript:KZM97674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELASNKSWRDELASLVEDAGIRYSGAVADGISVSTPSFSTQSEPVEPESFVDQVKGFGKAWGEIIIEFGKGCKDVAAQQTILTSDSYVVKKISGPCAEVLGRLSFLNEFLPEDRHPVHVWTILFSAFILSLAVLGVNTRDVSSVPLVKKVYIHPPTATRIVLPDGRHLAYHEQGVTADRARLSLIAPHSFLSSRLAGIPGVKVDLLEEFGVRLITYDLPGFGESDPHPSRSLNSSALDILYLANSVAISNKFWVLGYSGGAMHAWAALKYIPDKIAGAAMFGPMVNPYQSGMTKEEISGIWEKWTRKRKLMYYLARSFPNMLGYFYRRTFLSGKHGQLEKWLSLSLGNRDKALTQGPLFEAFWHRDVEESVRQGSTKPFIEEASLLVSDWGFRLSDLHVQQQCPKKGIFSWLKFMYAQEECRLTGYLGPIHIWQGMDDRLAPPSMAAYVSRVLPNAMVHKLPEDGHFSYFFYCDECHRKIFTTLFGTPRGPVNDTTQIEPEESE >KZM98239 pep chromosome:ASM162521v1:4:22159336:22161569:1 gene:DCAR_014399 transcript:KZM98239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSFESLGLGPNVIKAIKRRGYSVPTPLQQKAVRLILSGADVLALARPGSGKTVAFLVPMLERLRDHSEQGSGARALILSPTVSSALRTLESAQNLGRYTDLRIGLLIGGDSRQSQCEELAQNPDIIIATPNRIMHHLFELKDLSLHDVEYVVFDEADSILNMGFEEQLHQIMAHVNDNRQTLLFSATLPSALVEFAKAGLRDPQLVRVDLISPDLKLVFFTLKQEEKHAALLYLIEEKIRPDEQTLIFVSTKYHVEFLNVLCQERGIRPSVCYGDMEQDARKMAVSQFRSRNTMLLIVTDLAARGIDIPLLDNVINWDFPPIPEIFFRRVGRAARAGRTGTAFSFVTNEDMPHVLDLHLFLSRPIRAAPTEEEVSHNVNNLMSKIDQAIANGESVYGRFPQTVLDLYADRVRELLDSSTELHSLERPCTQAFRFYNKTKAKPSTASVRRSKKLPRDGLHPIFKSLLGGDEITALAYLEQLKKYRPKRTILEAEGEVANSKKVKRSSSHWVDVMKRKRAVNEEIIIKNI >KZM97694 pep chromosome:ASM162521v1:4:17002778:17006532:1 gene:DCAR_014944 transcript:KZM97694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHHGRTSNGHRKNHYIVPNSNSAAPPYYNNPSAPSSQSQPSSSSSSDMGLSLPYAHVDSNLRALAGQAEGFGRCAIGGLHGPLYHVTTLDDGPGSLRDGCRKKEPLWIVFEVSGTIELSSYLNVSSHKTIDGRGQKIKLTGKGLRLKECEHVIVCNLDFEGGRGHDVDGIQIKPHSKHIWIDRCSLRDYDDGLIDITRESTDITISRCHFSQHDKTMLIGADASHTGDRCIRITIHHCFFDGTRQRHPRVRFGKVHLYNNYTRYWGIYAICASVESQIYSQCNIYEAGQKKVAFKYYTEKAGDKEEASSGCIISEGDLFIAGTQPGLLDVAAQHTTFHPSEFYPTWTVEPPSDSLKQVLQHCSGWQSLPLPADQLPAAKQQ >KZM99524 pep chromosome:ASM162521v1:4:32735477:32737956:1 gene:DCAR_013114 transcript:KZM99524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKSIGVVGGGQMGSGIAQLAAVNGITVWLHDTDAGALTRALSSISDSIQRFVSKGQLSQEVKTEALRCLRCTSNLEDLASADIVIEAIVESEDVKKSLFVQLDKIVKKSAILASNTSSISITRLAAATGRPQQVIGMHFMNPPPIMKLVEIVRGADTSEDTFNVTKALAERFGKTVICSQDYSGFVVNRILMPMINEAFHALYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLHAGLGDAKYVPCPLLVQLVDAGRLGRKRGIGVYNYNKVSKETKPSSPRL >KZM97466 pep chromosome:ASM162521v1:4:14958031:14961148:-1 gene:DCAR_015172 transcript:KZM97466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRNDVIMEIEGHMAQGDRMVVGGLSPLSETLWKERANTEFIGDVSARLSWKDLTVMVTLSNGETQNVLEGLTGFAEPGTFTALMGPSGSGKSTLLDSLASRLAANAFLSGNIYLNGRKQKLSYGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMAWEEKRSLVESTIVEMGLQDCAETVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQASEAYEFFAQAGFPCPSLRNPSDHFLRCINSDFDKVKATLKGSMKLRFEANDDPLDKTTTAEATRTLTEFYRSSHYFYSAREKVEAMSKLKGTVLDSGGSQASFLMQAFTLTKRSFVNMSRDFGYYWLRLVIYIVVTVCIGTIYLNVGTSYNSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVVAFVISNTLSAMPFLLMITFISGTICYFMVHLHSGFTHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLVFDNQTPDQPKIPGEYVLEYVFQIDVNRSKWVDLSVIFSMIVIYRIIFFLMIKINEDLTPWLRGYMARRRIMQKNGGQNTTVAPYGLTQSPSLRNYVDNIATGGGRRRQPPRRV >KZM98190 pep chromosome:ASM162521v1:4:21794171:21794473:-1 gene:DCAR_014448 transcript:KZM98190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKRIYQSTENIVRWNSFGFWQVKLHQQPVLFHFVPCCFSWSDKTQISTFNESSFSHRALLESKAYGQFSFSESGFTLYWLPLDPGCAFELDALASSPQ >KZM99325 pep chromosome:ASM162521v1:4:31123440:31123866:1 gene:DCAR_013313 transcript:KZM99325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLTGADNSFQKAICKRQERISRIIVKHYQPIAWGKLEFGNGANLRADMTLAGFDGLKIQRSDQSLVFLGYGSQNGKVPLGSLSLSLDKEVMNSFDDARALTYCSSRLCY >KZM99426 pep chromosome:ASM162521v1:4:31945785:31947431:1 gene:DCAR_013212 transcript:KZM99426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYYSMQSSQDQQYFYQSGRFYNEPAHEFESQLWNHHQYLDDNLWLDDSSQEMQVSGKASQSYGTPETATAIGGYTGTNFVVSRSANGSPVSGESSQTYPSVVNHSPGNNIYNSQVSDSFYMGDFVNLKHKIKEIETAMLGPESDTEMSADISLFGAQSEMSSEKDYVNQMIAIISNGDIKEVLVNCAKAVADNDLLTAEWLISELRPMVSVSGNPLQRLGAYMLEGLVARLSSSGNSICKTLKCKEPTSSELFSYMNVLYEICPYFKFGYMSANGAIAEAMKDENSIHIIDIQIGEGAQWFTLIQALAARPGGPPRIRITGIADASLDYVRGGGLDIVGQRLSSLADSCKVPFEFNAATISGSDIDSIKILPGEAIAVNFAFILHHIPDESVGTVNHRDKILRFAKSLSPKVVTVVEQEANTNTLFMPRFLESMNYHMAIFESIDVTLPRDHKQRISVEQHCFARDIVNTVACEGAERVERQELLEKWRSRFIEAGFKPYPLSSYVNATIKSLLENYNECYTLKEKDGALYLGWLKQNLVAASAWR >KZM97873 pep chromosome:ASM162521v1:4:18856066:18857490:1 gene:DCAR_014765 transcript:KZM97873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNHPAQKPITKYFTLRDLWLSFEKWSAYGAKTKVMVNREEVDQFFAPSLSAIQIYTKEPYESERNRGKNISLQTDDYYFKPYFEFAEKVSPYNRTPLFDTVENLSKSNPGLQTLTSIDLTPSSWMCVAWYPICQIPNIGIPIKDFEAAFLTFHTISSFYQDFHQEGMSSSGVQSSPSISLPPFGCATYKVQGDIWFNHGHSDYGSLSHLNKAAESWIRQLDFEHHDFNFFTRQTGHHLVGAKPSAKPSAELYFPCVPNFSGFWP >KZM97009 pep chromosome:ASM162521v1:4:9722148:9724037:-1 gene:DCAR_015629 transcript:KZM97009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPQKLSSILWLCHLTNLYFISSASSSCLVDFGYVNTLPWKKSTCTDQPIDKVNCCQTIQSLLGVGLAKYLNKTSMFYFPDSQTATSCMSDFNRRLTSLSINVSCTSGLYDELVVNRTSNCGGITTLEDWKKEVGLSELDSTCNGELLTPTRCNACSDAGQRVAPTLASMKANSTRCFFYTCLYAAGIVNQDGPEDIATASCILGLAMVESASKKKNVVYKIVFGSLGAILGVLTTWGLIILYQKLKEGRRLAALREEYVRGVKAKVLPNTGAKWFHVDELEQATKGFSKKNLIGQGGFGVVYKGTLLDGTIVAVKQLLDMDINGDDQEFTNEAEIISKIRHRNLLALRGFCVTSDASKGNRRYLVYDFMPNGSLDEHLFNDEQEDSISRPPLDWPVRQKIIIDVAKGLAYLHYGIKPAIYHRDIKTTNILLDSEMKARLADFGLAKQTTEGESHLTTRVAGTYGYLAPEYALYGQLTEKSDVYSFGIIILEILSGRKVLETSSTSSRLLITDWAWDHVKSGNVDGIFDIRMGESGSKAVMERFVHVGILCAHVMVALRPTISEALKMLEGDVEVPMLPDRPLPLSHESLRYIPQLATSTVDTSGGRSSLGISGSRSSSDISKARSSI >KZM96825 pep chromosome:ASM162521v1:4:7048514:7050739:-1 gene:DCAR_015813 transcript:KZM96825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLILGNKKKVDQLGLKTLADGLNLKVVKAKVQDDDEHCSNKEYVPDEQENEEIMEKEVAKKKQMKTKKQKVVTNPIRRPRTRSVITASNQMESTAQSSPVAENLPPPPPLTENLPPPPPLPENLPPPPPLPENFPPPPPLREELQPPLPSKKMPTKYDIPEEGRTYTLRTIGSLWRLHKTRIKKDHYFKYDNDDDRLKNKPEVIPVEELKVLLNYWADEEVQKTEKKIPPEQLAPKGNIYEITHKRDPKRKYKTNPDAEDENEEPVKKTKPWTELAFGQIRVMVKLGDINPDFKNLDVEELWAGDASEDDEEDNGEDDNNSEEDDIGEEGHGDEDDNN >KZM97150 pep chromosome:ASM162521v1:4:11525751:11525957:-1 gene:DCAR_015488 transcript:KZM97150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEELEQLEVALAAPHGPMEVGLAANFLAGAAFQLAPQAPENQEADIHDFVFEDDLDIEEGGVVMQD >KZM99194 pep chromosome:ASM162521v1:4:30106649:30109328:-1 gene:DCAR_013444 transcript:KZM99194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMHSVYYKEDDEGAAAYSPIGHLSSASVPWWTQLPSAQSACPSKSSVDHSSTEDQFTHDAGDCKSLKDGGKPIQSGAAFATQATPREYGSSFELGFGKPVSSANPYGDHCYGAFSTYLPQFTGRVMLPLNLASDDGPIFVNAKQYHGILRRRKSRAKAELEHKLTKSRKPYLHRSRHLHAMRRPRGCGGRFLNTKKRDDGKGTNSNGGRQLFQPTGSQNSEVLQSDSSNLTSPREVNYCRQNLSGSEATSMFSMADLNHFPMSNVRTSGVSLADMMTGHSVFTHSKWFAEADSCCNLKV >KZM99366 pep chromosome:ASM162521v1:4:31508581:31525987:1 gene:DCAR_013272 transcript:KZM99366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSRDEAGPSEQSHSSTNCWPADFIEKFGSASLNSRNNSSSDKQLISENVYDNYSSQTASQILWSTGMLSGPIPDGFYSVIHEKKLKDLFDYVPPLDELSALEHEGLWCDIIFVDSEKDKKLSKLKQLIVTLVKGLSSNSPAMIKKIAGLVSDVHKRPTGKAACEEISHACSSRGVQMLGQIKNGSCRSRAILFKVLADAVGLESRLIVGFPNQGAPECVDSYKHMSVIVVQNSVEFLVDLMRFPGQLIPQSTKAMFMTHVSATGESDSAENDSCDSPLEPNSPLYGVSDILDPDSADKDNRQQYQRRLEASLNVPGPSLRSMMLRSTSIDRNLSLSQSEPNIATTFWRRSRKKVIAETRTASSSPEHPSFRARGRSMLSGDPKSFREYSDDIATSRSEGTSTPGTRRLRRRSISITPEIGDDIVRAVRAMNETLKQDRLLRQQGNVSSPHSSAGKNSGDDLQKSVTDFHPDGRDELSAKNSSVHAFPKEYFSSQKAMSLPSSPHEYSSQASARRENDEMVSTWNKILESHMFQNKLLLPFSEWNIDFSEITVGSRVGIGFFGEVFRGTWNGTDVAIKVFLEQDLTAENMEDFCNEVTILGGLMCIHRMNIVHRDIKSANCLVNKHLTVKICDFGLSQMMVDGPINDSSSAGTPEWMAPELFRNEPFNEKCDIFSLGVIMWELSTLSRPWHGTPPERVVYTVGHEGSRLEIPKGPLSGIIADEDVLQFEDGYLVETVVEGNELGVMPYSIRVSQDGELFAVDEINSNIVKITPPLSHYSRARLVAGSFQGYTGHVDGKANDARFNHPRGVTMDDKGNVYVADTANLAIRKIGDAGFLLLLSDED >KZM98689 pep chromosome:ASM162521v1:4:26125430:26131335:1 gene:DCAR_013949 transcript:KZM98689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFGVPRELSHLQKLRSQYQPELPPCLQGKSVRVEFSDATASTDPSGAHTISRSFPHTYGQPLAHFLRASAKVPDAQIISEHPAVRVGVVFCGRQSPGGHNVIWGLHNALKTHNTSSTLLGFLGGSEGLFAQKTLEITDEILATYKNQGGYDLLGRTKDQIRTTEQVTAALSTCKALKLDSLVIIGGVTSNTDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVEANVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAAEKLTLFDLTKQLCDAVQTRAEQDKYHGVILLPEGLIESIPEVYALLQEIHGLLREGHSVDNISSKLSPWASALFEFLPLFIRKQLLLHPESDDSAQLSQIETEKLLAHLVEEEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHIAYHVLAAGLNGYLATVTNLKNPLNKWRCGAAPITAMMTVKRYGSGPGGAFSGKPAVHPATVDLKGKSYELLTQNAAKFLLEDIYRNPGPLQFDGPGADAKAVTLCVEDQDYMGRIKELEVYLDKVRTIVKPGCSQDVLKAALSAMAAVTNILSMVSSPANSGV >KZM98316 pep chromosome:ASM162521v1:4:22851291:22859100:1 gene:DCAR_014322 transcript:KZM98316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGALLADLYNPNIIHTPSQALLPPCLFTDKKHSFLSSQSLKFQKQSHFGSVRTCAVQFTSAVSNGPSPLADIDWDNLGFGFLPTDYMYMMKCAQGETFTDGELQRFGNIELSPSAGILNYGQGLFEGLKAYKTEDGNVLLFRPEENGERLSLGAERMCMPSPSVEQFVKAVKETVLANERWIPPPGKGSLYIRPLLMGSGSVLGLAPAPEYTFLIYVSPVGNYFKEGLSPINLIIETEMHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDSIEKKYLEEVSSCNVFVVKGNVISTPAIKGTILPGITRKSIIDVARSLGFQAEERRVTVDELLDADEVFVTGTAVVVSPVGSITYFGKRISYGSSGVGVVTQQLYSALTRLQMGLEEDNMNWIVKLK >KZM99232 pep chromosome:ASM162521v1:4:30446925:30449363:-1 gene:DCAR_013406 transcript:KZM99232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKISHKYHSYEYGSTSFLLVVLILFITTTTLYIHGVEASHDFHYNLQSVEAENVSQLHRTSYHFQPKQNWINAPMYYNGIYHLFYQYNPKGAVWGNIVWAHSVSTDLINWKALEPAIVPSKPFDQYGCWSGSATILPGNKPVILYTGITEGPPKNVQVQNYAIPANLSDPYLREWIKPDNNPLVVANNGENGTAFRDPTTAWLSKSGYWKMLVGSRDNDTGIAYLYKSKDFVNWTKAKDPIHSKPETGMWECPDFFPVSLKGVNGLDASVTGEGVKHVLKVSLDVTRYEYYTVGTYVTEEDRYVPDNTSVDGWAGLRLDYGNFYASKSFFDPAKNRRVIWSWANESDTAAHDVAKGWAGIQLIPRTILLDPNGKQLMQWPVQELETLRGAKVVLTDKQMLVMGHRIEVNGITAAQADVEVTFSFGSLEKAEPFDPEWLEYDAQKICSLKGSTVQGGVGPFGLLTLASQELEEYTPVFFRVFKAQNTHKVLMCSDATRSSLTEGLYRPSFAGFVDVDIAADKQISLRSLIDNSVVESFGARGRTCISSRVYPTLAVYENAHLYVFNNGSETITVENLAAWSMKKPLHMN >KZM99128 pep chromosome:ASM162521v1:4:29638667:29644600:1 gene:DCAR_013510 transcript:KZM99128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGSSFTVLLCTLIGLCALNQCCGVGLEANVTAQLFVNASKVSARQIPQSLFGVFFEEINHAGEGGLWAELVSNRGFEAGGQNIPSNIDPWSKIGDDSSVILSTDRSSCFDRNKIALHMEVLCDSEGPKICPTGGVGIYNPGFWGMNIEEGKNYKLVLHVRSLNAINVSASLTDSTGSQTLASAKILASDVSNWTKLEVLLEAKATNHNSRLQLTTTQKGVIWFDQVSLMPLDTYKGHGFRNDLFKMVADMKPGFIRFPGGCFVEGEWLRNAFRWKDTVGPWAERPGHFGDVWNYWTDDGLGHLEFFQLAEDLGAEPIWVFNNGISHQDEVETSNISPFIQEILDGLEFAKGDASTTWGSVRAAMGHPAPFDLKYVAIGNEDCGKKYYRGNYLKFYNAIKLAYPDIKMISNCDGSSTKLDHPADMYDYHIYSDANNVFSRANAFDHSSRSGPKAFVSEYAVTGKDAGQGSLLASLAEAGFLIGIEKNSDVVEMASYAPLFVNVNDRRWNPDAIVFNSAQAYGTPSYWMQHFFKESNGATLLNSTLRSDSSASLIASAIIWKNTEDDKHYLRLKLVNFGNSPVNLKISVQGLDLNSKSAASSKKTVLTSTNLMDENSFAQPNKVAPVKLDLENADRNMDVVLSPHSFTSIDLLTASTISPWTEGDDSFYRSSI >KZM97415 pep chromosome:ASM162521v1:4:14463157:14464177:1 gene:DCAR_015223 transcript:KZM97415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAANSEKISLKLLVDRNENKVIFGEAGKDFVDFLFYVLTLPVGTVVTLLSKEKMVGSLGKIYESIESMQDNYMQPDLNKDHVLHPNVYSKIELGDTALLLGNERKGEQLKRAKYLYRCSSGCAYASDKQVKCTNCGRSINSQMTYVKSEENEEAAEVRKGGFVQDLVTYMVMDNLVAVDLLKASFMTNNVLTSLFLGNQKA >KZM98572 pep chromosome:ASM162521v1:4:25168773:25170288:-1 gene:DCAR_014066 transcript:KZM98572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSKITCILALLFFSLALVSQARVLDPVNHSNNIPRFVSVKGSHFTLDQSSFLFNGFNSYWMMTVAADPNMRHQVTEVFRDASAAGMSVCRTWAFADGGSNSLQLSPGTYDERVFQGLDFVISEAKRFNIYLILSFVNNYKDYGGRPQYVQWARNAGVQVKNDDDFYTHPVVKGYYRNHVQRVITRINTITKVAYKDDSTIMAWELMNEPRCDADSSGRTVNGWVQEMASLVKSVDNKHLLTIGMEGFYGDTMPEKKQFNPGYQVGTDYISNHLIKEIDFATIHAYPDIWLAKQNEDAQMAFMGRWMQSHTTDAQAILKKPLVIAEFGKSNKDPGFNTGARDLYMNAVYTSIYKYAQNGGTLGGSLVWQVLAQGMQQYGDGYEIVLSENPTTSSVISRQSHAMSTLSH >KZM99264 pep chromosome:ASM162521v1:4:30644620:30645924:-1 gene:DCAR_013374 transcript:KZM99264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAYLLAVLGGNTSPTADDIKNILGSVGAEAEDDRIELLLSQVKGKDITELIASGREKLASVPSGGAGAVAVAAPATGGAAPPAAAEAKKEEKAEDEGESDDVSTEYNLNTTVISSYRNLFYYTIVVILKYQFHHLIEILTSNNNRTHALICNSLIVKHIDVRIFLHF >KZM96804 pep chromosome:ASM162521v1:4:6626127:6626288:-1 gene:DCAR_015834 transcript:KZM96804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTSEEEAALKAGVKKHGAGKWRTILKDPEFSGVCCICAQMWTSRTS >KZM99165 pep chromosome:ASM162521v1:4:29909375:29910646:-1 gene:DCAR_013473 transcript:KZM99165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIEINLEGEEHVAKEEIYVMVESKTKNPTETKKDKTEVELEVKPKSLDTDGSKQKEEKQKEHKDIEIKKEKKGKDEETEKLKDHEEAGSGKKDKKEKKDKEGKDKSKKKKDDVGKSEEDKEEKKKKKDKKKEAKDSKDTAVKEEEHDEGKMKQADEQKEESKDESKKKEKKKDKEKVKGKEEKDKDDTNDESLDAEDVEKKKKEKKEKKGGMEEEKEGKKKDKKEKKGGKDEEKEGKKKKEKKKENDENEVEGKDEEKEEKKKEKKKEKDGNEVEGKDEEKEKKKKKKENKKENDENEVECKDEEKERKKKEKKKEKDENEVEATSREIKMDDSEEMPEGETEKKVEEEIEEGKDKKGKEKKKDKSEKKIKKEGKNKGKDPEKLKSKLEKINSKIEVLLQEKAEILALIKESEQDSHVPA >KZM99502 pep chromosome:ASM162521v1:4:32606163:32609354:-1 gene:DCAR_013136 transcript:KZM99502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGLEANWPKPILSNSWTIESIVYFELVNIKCDLALLEAQQQTTSTHVTVGAVIDGTSRAGKEANVSLQIALEDISRKADQRLVLHIINSRGEPALASLSAKRLIAAREVQVIIGPHTWQEASRVAEVSNQGKIPMFSLADVTPIWAMEHWPFLVQASGTNQDAQMKAVAAIVQSWDWHRVNIIYEDDTVSAFGSVIPYLKESLQEVGAEISNLVPLESVIASSLVAELTRLREQQCRVFIVHTSMKLATRLFQKAKELKMVEEDYIWIVTNSITDFFHSLDLTTIHSIQGVIGVEKYFSTSSIRFADFKRRFQKKFSLDYPEEGNDQPGISAVEIYDALWAVAHTYGGRNMQVPKHRATFMEKMASVHFYGLTGRVQYNARKLTPSHMFRIVNVIGKSYKELGTWSKGLGFSESIGDNAVYNSSMQNLGQVIWPGQSLYTPKGWALSNSSGFWRIGVPTEGMFKQIINISYDPQTNNFTFTGFVIDTFREVMVRLPYHAPYEFIPYNGTFDSLVEQVYLKKFDGVVGVSVIADRYKYAEFTRTYTRSSLTMLVPVQSETPSRSWLFMKPFTKAMWLLILAITIYNGFVIWLIERKHNQRLQGSATDQAGILIWLSFTTLFSLQGGRLHNNLSRLVVVVWLFVALVITQSYSASLTSMLSVQKLEAKVSDIDTLKTSNAAVGHTRGFYGRYLEEVLGFKSINLRSFSSQEEFANALKTGKIAAGFMNDTYLKLFLAKHCRSFVAVGPSYTVGGHGFAFPMGSPIIADLDKALLEVTESGKLRELEDKTIGEEGCVGVDSSNDDEVSLSIDSFWIIFLFTGGTTTFSLAIYVLDRLKESWKHHK >KZM99765 pep chromosome:ASM162521v1:4:34621629:34634553:-1 gene:DCAR_012873 transcript:KZM99765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKQRAFQIESVLVALKQSSELDFLKSSGALLLESFVDPVVIHHREAHTIPRLGKRDFQKSLGTALLKLFANPVVIHHPKGQTVPRLGQTSRFEARGFCKSSGEGRAMANRMVSSLQSIENSDAISYLSQKEAAEVDEILMGPLGFSVDQLMELAGLSVASAIAEVYTASQHNNVLVICGPGNNGGDGLVAARHLHHFGYKPVVCYPKRTAKTLYTGLVTQLESLGVSFIPVEDLPTELSENFDILVDAMFGFSFHGTPRPPFDELIQRLVHQRIISQGSPVISIDIPSGWHVEDGDISGEGIKPDMLVSLTAPKLCAKKFEGMHHFLGGRFVPPLIINKFKLQLPPYPGTSMCVRIGKALNIDISALRENYISPEFREDQVEADPFDQFKKWFEDAVAASLKEPNAMALSTAGKDGRPSSRMVLLKGFDKDGFVWYTNYGSQKARELSENPHAALLFYWDGLNRQVRVEGSVQKVSEEESEQYFHSRPRGSQIGAIVSKQSSVIPGRDVLQQYHKELEEKFSDGSAIEISDLVCLANQILLNKNIDISTIPKPKHWGGYRLKPKAFEFWQGQQSRLHDRLRYSPIEIEGRMAWKIERLAP >KZM96954 pep chromosome:ASM162521v1:4:9108269:9110401:-1 gene:DCAR_015684 transcript:KZM96954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSSICSPNFLQIPKPLATTQALLPSKVSVNIFHSHPSQFSHKFALSSTLKTTRLSVVAEETAVVTQSSDVAKRLYVGNIPRNLKNDELQKIVEEHGAVDKVEIMFDKYSGRSRRFGFVTMKTVEDANAAIEKLDGTEIGGRVIKVNVTEKPLTIPDFSLLQAEETQFVDSPYKVYVGNLAKEVTSDILKGFFSETANAVSAKVSRVPGTSKSSGYGFVTFSSEEDVETAVSTLNNAVSSPPVLN >KZM97379 pep chromosome:ASM162521v1:4:14034422:14036427:1 gene:DCAR_015259 transcript:KZM97379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEVSRSIGDAYLKRSEFNREPLLPKFRVREPFDRPILKAEPSIVVQKLYVEDQFLIFASDGLWEHLSNQEAVDIVNNCRRNGIARKLIKAALMDAAKKREMRYSDLKKVDRGVRRHFHDDITVIVVFLDSNFISRSSSNGPMVSVRGGGNSSIGVNNLV >KZM97618 pep chromosome:ASM162521v1:4:16258680:16260122:1 gene:DCAR_015020 transcript:KZM97618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNARTKIELMKPVLLKAGIPFAISIAGLVLARFTLGRKDAGLKDSANESQFNDSPELCNDQESCHDDLEIIEGDQHLTNIHDMSSLDTLHTQTIRELEEEIVCLKGKVKDLEGRELELKFRFLHYLEMKDQEMELMELENCLSLEIVKSEYLDRELLSMEAEIKRFEGMVIDFLKLSRQIQISILENKVLYRIIRRLLRKAEEMSHVLQRKNRETEAGHAEISRNHEELSRREDRISVLENKLLEMKHVTEKQEVENHELLSKLNLAENSASLKNEERAALEGYNQLVNEFEQLQRDRAAEVKELVYLRWSNACLRHELIRRNQQGENVEAKNSHQVELCYEEDGLEGESESSVILDDQGESSLESTTPSRDHAHAHSKRLKLIEKFKRWVEGSEKTKRKSEQKNHGEVVKCLGKHMVSDGADEIQVSARNSCSSACHNSPNVMNST >KZM97935 pep chromosome:ASM162521v1:4:19586300:19587298:-1 gene:DCAR_014703 transcript:KZM97935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEILLPAQKCTLGCPILDQFLAGGVPCGSITELSGESGSGKTQLALQLLLSAQLPLSLGGLSASSLYLHSEPPYPFRRFEQLSKAFYLSYPQLFDSVECVCNNVHVQDLHSADHLFDVVLKLDSALAEESRKAMPIKVIVIDSIAALFRSEFENTPFDLKRRASLFFKISIKLKLLAKRYGIAVLVTNQVVDVMRSSGDLNALRIGNIDLYSSGRRICPALGISWASCVNSRLFLSMNEEIVGNAMGEGDANISCRRTKREMHVVFAPHLPSSSCEYVINREGVFGVGGQIKASVSGTSFKSDASKNPTFLLCDKDYSCGKSVTLDSFSS >KZM99349 pep chromosome:ASM162521v1:4:31293591:31296909:-1 gene:DCAR_013289 transcript:KZM99349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFSIAGIAILISFVVATQAQAQAVVPRSTCDIYKGKWVYDPSYPLYDPAKCPFLESREFDCQRNGRPDRYYLHFRWQPTACNLPRFNGREFLMRFRGQQIMFVGDSLSLNQWQSLTCMIHTSVPQASYVNRKIGGLSNFTFPDYKVSLLFSRNAFLVDIKSENIGRVLDLDSVEGSRKLWEGVDVLIFNSWHWWLHTGRQQPWDVVRQGNATYLDMNRLVAYQKALYTWARWVNTRVDARKTKVIFQGVSPDHASGPKGSNCMGAKVPLHELSPQGTVNPAEIVLQRVLSGMRKEVYLLDITGLSQLRIDGHPSAYGIGGSRIPDCSHWCLPGLPDTWNQLLYTALLP >KZM97794 pep chromosome:ASM162521v1:4:17910033:17911305:-1 gene:DCAR_014844 transcript:KZM97794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIPPYLDPPNWQQNPHNYFRSGNNDLLPPAPPPAPPQQHGVGGAGSIRPGSMVDQARMANIPMPEAALKCPRCESTHTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRSSKGSSSSASKSEPANSGSSNTTLPSYNTNAATPPTSSMLGGLITSQIPQLRFLPPLNHLMDYATPGRETAGFSYGGSTSGTPVVSTSEMNFQMGSNLFSGSSGGGLEQWRLHQMPQFPNFLGGFDPSMSHSGVLYQINNQDGGVEASKLSSSSSMMSQLASVKMEANNNNNNNNQNHESSSARQFTAAPVEGNEHHWSTNVGTTAWTDLSGFSPSSTSNPL >KZM98563 pep chromosome:ASM162521v1:4:25074329:25075522:-1 gene:DCAR_014075 transcript:KZM98563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDVFGDIENKANGVKGVDSQVNYDHVSEIVEVIRSGGSDLGLLLDSRSSNISVKLVAQIFQVLNNDKIPALHFLQWLQVSYPELCYSSRVCSSMICNCGWLEDYKTMILMLRGFKAKRVCLDETAFEFLPVLTTSQASIKDSIRKVIDALVEVGGSCCGSGISSLIKMLCSLGSFNLAEFVINITGKNVSYYNIIIREKCRKCLVGEMHGLLEEMREFGCDPNISTYNYLLSHLCVSGRMAEACSLINLMQESGTTPDALSFDILIQYSCKTGKLGIAHQFYNQILLRGIKPRIQTHVAFVKSNFSAGNCDEALEYVHNLDNQHLQSSNMLYSLVAYLHLKKGHLIVARSILIQMMDKGLKPNFLVFIKVVKRLQKSCKGGLARDLRNRFSRFIV >KZM99648 pep chromosome:ASM162521v1:4:33736131:33744043:1 gene:DCAR_012990 transcript:KZM99648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRLSGLSDLNWQICGQLCVWLVLIFAARLSDAYTNPGDVAAINSLYVALGSPLLPGWVGVGGDPCADSWQGVQCIDSNISAIIFNAANLGGELGDSLGSFASIRSIDFSGNQIGGNIPSSLPVTLQNLFLSANKFTGSIPSSLSSLSQLSAMSLDNNQLTGEIPDSFQGLTVLVNLDLSANNLSGQLPPSVESLSSLMTFHLQNNQLSGTLDVLQDLPLRDLNVANNMFSGPIPEKLLSIPKFTNDGNPFNTSDSPLSPLSPPSPLPGSRPPAPPFFGVPSSGQTPGKQRPAKQTPSKPADGPSATEESNSKRTGKTNTKKIVWISIASVLFLAILVLLLLLFIPRLCRRSHEANRIPKRHEIAPYTGNRENMGDNESLIQPINQIPKVPAEKSKEEQPTRPRTMEWAAKPKREEFNVQRTSQTTKPDDYETDLTRFDMDIIKPPPPPPSPPPPPPPPPPPPPPPLTFDKVIVRPIPAAEIPEKPSTRPLPLTSVRCYTVASLQQYTNSFSQENLIGEGMLGSVYRAQLPTGKILAVKKLNKRASSQLSDDEFVDMVNSIDKIRHANVVELMGYCAEHGQKLLIYEYCGNGTLQDALHADDEFKKRLLWNTRIRMALGAARALEYLHDFCEPPIIHRNFKSANILLDDDLAVHVSECGLAPLASTGSVSQLSGNLLSAYGYGAPEFESGIYTSMSDVYSFGVVMLELLTGRKSYDRTRTRGEQFLVRWAVPQLHDIDALSGMVDPSLNGEYPAKSLSHFADIILRCVQAEPEFRPPMSEVVQDLIQVIRRE >KZM99077 pep chromosome:ASM162521v1:4:29256638:29258394:-1 gene:DCAR_013561 transcript:KZM99077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTKTKVSRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGVFPRHDAKPAAAEPVVKPPKYYPADDVKKPLANKRKARPTKLRASITPGTVLIILSGRFKGKRVVFLKQLASGLLLVTGPFKINGVPLRRVNQAYVIATSTKVDIAGVNVEKFDDKYFAKEVAKKNKKGEGEFFEAEKDEKKTLPAAKKEDQQGVDAVLLKSIECVPDLKTYLSARFSLMSGMKPHELVF >KZM97975 pep chromosome:ASM162521v1:4:20030649:20032536:1 gene:DCAR_014663 transcript:KZM97975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSASLVDGGRVEGDVQELHVLAVDDNLIDRKIVEKLLKNFSCKVTTAENGLRALEYLGLRDDHQDTLSGNGSKVNMIITDYCMPGMTGYELLKKIKESSMLKEVPVVIMSSENIPTRINKCLEEGAQMFMLKPLKQSDVKKLRGQLMKCGS >KZM97018 pep chromosome:ASM162521v1:4:9824868:9825620:-1 gene:DCAR_015620 transcript:KZM97018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATAIYMASYGMEHLSLFVEAPGMFGDLHTYLERDMGLYLPAFMVENIEKFDSGEVEDPPSPPSPLPKKRRISPYPHSSVPDHCPIGEKCACLGPPASMKVAFRFAPVKPPVGVIDPGASTSKGKTKVLSGFSFNNDGLFSQEAIQIIDSGELASILNLKAKAGMDLDMQIRKDKSVKDFLEDLTRKKLQSLNLAEEMRRLESEEDPAFNYMGLEISVSFSSPKLELMEVEEVLLELKKLKDSNAPASI >KZM97411 pep chromosome:ASM162521v1:4:14435260:14435691:1 gene:DCAR_015227 transcript:KZM97411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNCRCGTAAALKTSWTDSNPGRRFWGCMRFKDNRSNACNFHQWFDPPMCARSKAIIPGLLRRIRNLEDDAKKNEAAAGVNRPVKRKKSILVLLFVLLIVWVFVRANKNASPSVLELP >KZM99387 pep chromosome:ASM162521v1:4:31741085:31743768:-1 gene:DCAR_013251 transcript:KZM99387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLSRLLLHSPLKSTAAATHLPSTFRLFSSAGGGGGDDFWNDATPSNWTMGLTKEHFDGEAVGRKIDTPEEPPSQPSQPQQTPPRPQQSPSQPRPKAGPTATTQFANAQWTEKEIDLMRDIETANKKGKAFVDGWGERLKEMSVLLKQVREPGARGSYLKDSEKAEMYKLHKENPEVYTVEKLAKDYRIMRQRVHAILWLKQDEEEMEKKLGYPLDDSVELLLDTCPEFFSSSDREFHVASLPYKPDFKIMPEGWDGTTRDPDEVHYEISMKEDEMLYQEFVQRMNFNKMKMAKQIKCHKYSRRRPNDGWNFTIEKMGPRGKRGNGGGWKFVSLADGSSRPLNELEKMFVKRETPRRRRKLIEKD >KZM97350 pep chromosome:ASM162521v1:4:13812270:13812854:-1 gene:DCAR_015288 transcript:KZM97350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSTENSRQGPDSEKADDGDVEFEETVSLCDLAMQNKSSCTEDDYQYFSKEEEDFFEFFTDLESNTKSIASYSPEKVVFGGKVMSNQGKQKAEKEHSGRRKLVKYDSIGSSGSKRHTKLLLILLGLPPKTQTEKEILVDIRNRQNQHGPSTLFQTDRGNDKKVVHHPRVKKGFWRAVNVASCFGGQFMQSPIH >KZM99759 pep chromosome:ASM162521v1:4:34565646:34567502:-1 gene:DCAR_012879 transcript:KZM99759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIARSIVCGISCTSITPLPRYRALVFNPIFETRVRGHGNKSLYSANRSLRFSTWQHESKIKRNFAVYSSIPPEVPVSSGGLPGGLPGSWKNWLLGMVVTVLIPLLTNKWGALLKWTQQVESAVQTVEDIVEAVEDAAEKVEKFAEDIIEDLPEGKFKTALGRIEHVAEEVAKDAKQIDNVIDKFQEVEDKVHAYIEEKQSNRTAEKDKDKED >KZM97475 pep chromosome:ASM162521v1:4:15052300:15057983:-1 gene:DCAR_015163 transcript:KZM97475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTLFMVGETGGNDYNFALLEGKTIDEAKSMVPEVVGVIIDAVRRVISLGAVQVVVPGNFPIGCVPIFLTAFQTTNATAYDKNQCLKDLNEFAVFHNQYLKQAISILKKENPETKIVYADYYNAFLWLLENCSYLGFDITSALKACCGSGGKYRYSSSRVCGRAKLPVCSNPDQFISWDGANDHNLAVFEAITIEDVQNMVSEVVKIFTDAVRLISIMFVGHLGELALSGASMATSFASVTGFILLSGLGSRGAAVATSISYWIHVVLLALYVKFSSSCAKSWTGFSKECLQDILTFIRLAVPSGIMVCLELWSFEMMVLLAGLLPNPQLETSVLSISLTTAENCWMIPFGLGASVSTRVSNELGASHPQTARLAVSVVFVLATTVGILVGVLLLLIRDIWGYAYSNEVEVVQYVATMMPILATSNIFDGIQCALSGVVRGCGFQQIGVYINLGSYYLVGLPCSVLFAFVLHFGGQGLWLGIICALLVQVFLLLLVIARIDWNKEV >KZM98671 pep chromosome:ASM162521v1:4:25921449:25923045:1 gene:DCAR_013967 transcript:KZM98671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHAVNRWLRPEVYPLFAAVGVAVGICGMQLIRNISGNPEVRVTKENRAAGVLDNFAEGEKYSQHALRKFVRNKSPEIMPSINAFFANPED >KZM97844 pep chromosome:ASM162521v1:4:18314265:18315266:-1 gene:DCAR_014794 transcript:KZM97844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNNAAGNSDSRRTRSSSCPLRSCCSKGIKDVHKKKKQVTLSEKKEWGDAVCSVCLEYPHNAVLLLCSSYHKGCRPYMCATSYRYSNCLDQYKKAYTKVNLTESSFPMHTSIDESNLSLGLDWSEEKKEISELLCPLCRGQVKGWTVVEPARKYLDKKKRACMQDKCSFVGSYKELRKHVRAAHPWSRPREVDPSLAEKWKKLENERDQNDVMSTIRSSMPGAVIMGDYVIEGNYRGFSRNYDVGDYLGLGDVLLRLESYRGDEDDDSYPDEILEGGFNSWDEEDDVGIHPSRSSSGRLNNYITRVGRRHNRMLMGVSRRQRRRRASNENQ >KZM98048 pep chromosome:ASM162521v1:4:20648918:20649559:-1 gene:DCAR_014590 transcript:KZM98048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLSPKPTCILFNKPINPFSKSNHPKPHHQNNTTPSSNHHISCKSEYKTERGLQFDVGDTFFRHESATGRDLAVLAAAIHKKANNDKLRVLDAMCGCGIRSLRYLVEADADFVLANDADDFHRSVVLSNLSAKVPRISEDGRGRRWLVTNDDANRVMMECCLRRDYFDFIDIDSFGSDSSFLRSAFEALRFGGLVYVTSTDGYTSGGHRPLQ >KZM98788 pep chromosome:ASM162521v1:4:26902002:26906271:1 gene:DCAR_013850 transcript:KZM98788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSHLSIHTSLFPPPPNPKRPTKLSAVNNTSTFSGSKWADRLISDFQFLPSNSDLLEHSTPSSVSYPPAPTAPQRNVSMPIDFYRVLGAETHFLGDGIKRAYQERLNQQPQFGFSDDALISRRQILDAAFQTLVNPRSKREYNQSLASDEYDTIVTQVPFNNVPGALCLLQESGETEVVLQVGESLLRERLPKSFKQDVVLAMALAYVDFSRSAMALSPPDFVRGCEFLERALKLLQEEGASSLAPDLQAQIDETLEEIKPRYVLELLALPLGDEYLSKRAEGLQGVRNILWAVGGGGATAVSGGFTREDFMNEAFLYMTVDEQVDLFVATPSNIPAQSFEVYGVALALVAQAFTGKKPHLIRDADNLFQQLQQTKVTALGNSISVYTDGQNCEIDFALERGLCSLLVGEVDECCSWLGLDSEHSPYRDPSIVTFVTENSTLDKEIDLLPGLCKLLETWLMEVVFPRFRETNNIVFKLRDYYDEPTVLKYLERLERVGGSPLAAAAAIARIGAEATAVLDSVKVSAIQALQKVFPLGPGQATVRRQGNGETVESEEYEGQRDPDDSSYIIGKTEEDKHDDLLEREMMTYIIKDASVKIMAAGVVIGFLTLAGMKFLPSRNRSIVSRMDKGSAMASDVTNAGFFINENLEAMPRMDVRLAEGLVRKWQNTKSQALGSNHCFENLSEVLDGQMLKIWADRAMEIANHGWSWDYSLSNLNIDSVTISLDGRRAIVEATLEESAQLTDAGHPENNDSYNTTYTTRYEMAYSSSGWKITEGAVLKS >KZM98647 pep chromosome:ASM162521v1:4:25759642:25766408:1 gene:DCAR_013991 transcript:KZM98647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWEQPYSGPNDSRAKDSVKESFQRRFIQEEAFVRIAPAISGVADRTTAHNLFKALAVGKEGISYAVWSTYINELLKVHEGRKSFQFEASPCLPGERILTLGSSRKRPVLKWENNMAWPGKLTLTDKALYFEAVGLGRQKGPIRMDLANHKSRVEKARVGPLGSDLFDSAISVTSGSEQKPWALEFVDLGGEMRRDVWHALISEVISLYKFIQEFGPKDGDRSVNDVYGAQKGNSKAATYAYNGIARLQALQFMRKLLDEPAKLVQFTYLQHAPHGDIVYQTLAVNCWGGPLITKYPEVGYQAGQRASLSGEVSESNNHVFDIDGSVYLRKWMRSPSWTSNASVVFWKNSTVKHGVVLSKNLAVADMTLIEKAVKTCREKYRVVEKTQATIDAAMIEGIPSNIDLFKELALPLTVIAKNFNKLKRWEEPYLTASFLAITYTLIFRNLLSYIFPTALMILAAGMLLLKGLKEQGRLGRFFGKVTIRDQPPSNTIQKIIALKEAMRDMEKFLQRLNVSLLKLRTIVLAGQPQITTEIALLLLLGSTVLLIVPFKYILSFLIFDLFTRDLEFRREMVLKFTSMVKERWDTVPAAPVIVLPYVEDKTGSAIKEIESTSQSERQTERSQDGSKPR >KZM99235 pep chromosome:ASM162521v1:4:30465447:30465830:-1 gene:DCAR_013403 transcript:KZM99235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKFYAVKKLAKKVQSMKKVDSEQSQRHSLLKDSGDHEDDQYSTPTGFLAVYVGEDRKRFVVPTGYLSHPLFKMLLEKAYKEFGFKQMSGLVVPCSVAAFQEVISAVECCNGNFDFGNLVEEFLS >KZM96721 pep chromosome:ASM162521v1:4:5151724:5158716:1 gene:DCAR_015917 transcript:KZM96721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKGLHRNKGGGGGSGSRFPIAVVIFVSILAPLLFFVGRGIYATTATTSFDEQDVEDSSMKQVIDVIKANTNDLGPFSLDSFRKSNLSASWKFIRQEIPVDQTASLNEAEAAKIVRETPKGKDAGHVQFVDTPAKLARRQLREKKREKRAADLVKQDDEITVKLENDAFERSRSVDSAVLGKYNLWRKENEDGNSDSTVRLIRDQIIMARVYVSIATMKNQTDLARELQNRLKESQHALRDASSDSDLGPSAPERIKSMGQVLSKAKDKLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIEYYLLSPEKREFPRSENLENPKLYHYALFSDNVLAASVVVNSAILNAKEPEKHVFHLVSDKLNFAAISMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKAYYFKSDHPTTAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWSVDLNGNVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQTMNEDRVLWKLGTLPPGLMTFYRLTHPLDKSWHVLGLGYSPTVDKTEIENAAVIHYNGNMKPWLELAMTKYRPYWTKYIKVDHPFIRSCKLSE >KZM98832 pep chromosome:ASM162521v1:4:27228872:27229797:-1 gene:DCAR_013806 transcript:KZM98832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEVVLLDAWVSMFGMRARIALAEKCVKYEYVEEDLRNKSPRLLQANPINKKIPVLIHNGKPICESLIIVQYIDEVWTDKPSLLPSDAYQRAQARFWADFIDKKIYDAGRKIWTTKGEEQEAAKKDLIDNLKVLESELGDKPYFGGESFGFLDVALVTYSSWFEAYETVGNFSIEAECPKLIAWVKRCLERESVAKALPESKKVVDFVMGLKQRMGI >KZM97410 pep chromosome:ASM162521v1:4:14374629:14382293:1 gene:DCAR_015228 transcript:KZM97410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPLNPRTLAFQLLFFISYSVLLVTSRTLPSISIPDDSHSNSNSQVFIWPLPSNFTFGNQTLSINPDLSLAVSGNGGNSGFLADAFDRYRRIIFKHESKLRRNVNVAYDIEKISVVVHSDDQELKLGVDESYSLLLGKGNGYSIVGEVTIEANTVYGALRGMETFSQLCDFNYETKTVQVYKAPWYISDKPKFVYRGLMLDTSRHYLPIDVIKHVIESMSYAKLNVFHWHIIDEESFPLEVPTYPNLWKGAYTKWERYTVEDANEIVSYARARGINVMAEIDVPGHAESWGAGYPDLWPSPSCREPLDVSKNSTFDVISGILTDMRKIFPFGLFHLGGDEVNTDCWNYTPHVKQWLHDHNMTTKDAYQYFVLRAQELAISKGYTPVNWEETFNTFSDKLNPRTVVHNWLGPGVCPKAVAKGFKCIYSNQGVWYLDHLDIPWYEYYNADPVEGITDASKQELVLGGEVCMWSETVDASNVQQTIWPRAAAAAGMAILLSV >KZM97506 pep chromosome:ASM162521v1:4:15353219:15354259:1 gene:DCAR_015132 transcript:KZM97506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSDGYVSVNTPAFTENDFNSALGIQDLSASSSSCFFHSSSPFNLYEDEAIYSQHLQAILCETNYQGMVETNNVSVTGNTEKPSLIDVNVKLPDQVVVRKKMCKKDRHSKINTAHGPRDRRMRLSLEVARKFFWLQDLLGFDKASKTVEWLIQHSKIAIKELAERFPHINKGSSLVTGSALSTSDCDVVSGMDESIPRTMSSDKGVPSTGRKEKRTRSVRKPTFHPRAKESREKAREKARERTKEKRQQQQQLDVQQSGSLMSWRPFGTGEEPRAPSHNNTSNYSDRLPVEAGNRPNARKLQLQSQGIREDIVNISDANTHLETGSCSPSALFNYHQQINFWNFS >KZM97069 pep chromosome:ASM162521v1:4:10385705:10391307:-1 gene:DCAR_015569 transcript:KZM97069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPSVSLAHSLYLAKRDDQVITDCRGRPTLPGNNDMCSICNKKLAITSAYLFFRRNIGTTTTSTKLGSFLIIASIAKALSGTGGIRNLDGDSIPLSESLVLQVLRRNSIDISKKLSFFQWCSLRPDYKHSAKTYSQIFRSVCLHCHKNQQQQLLEDILNLLGFMKQDGVVLDSATYKLLLDAFIRCGKFSSALKILDYYESDLEMKTTADHLNLDIYNSVLVALVRNDQLDLALTIFFKLFDASNVSSTDNGQRNNNNHNVFPSAFACNELFVALRKAEMKEKFRGIFDKLREKHNCLLDTWGYNICIHAFGCWGDLAMSLRLFKEMKEKSVGSSGFYGPDLCTYNSLLHVLCSSGKVKDALLVWEELKGSGHEPDAFSYRILIQGCSKSYLIDDATKILNEMQYNGFRPDTVVYNSLLDGFLKARKLAEACHLYEKMIDEGVRASCWTHNILIDGLFKNGRGEAAYTLFSDLKKKGQFVDGITYSIVIMHVSREGLIEDALDLVKEMQIRGFPVDLVTLTSLLISLYKHDRWDWTDRLMKHVRGGNLMPAVLKWNANMEASVKGPQSREKDYTPIFPSTGEFSDILQFLSSSSGVIGMNLAKEGVGQNDKGTSKIDVDPWSSSPYMDLLAEQVDSKEHLSSLFFLSRAKRIEEKGIDSFDIDMVNTYLSIFLAKGKLSLACKLFEIFRDMGVNPVSYTYNSLLSSFVKKGYFNEAWGVLQEMDEKDCHSDIATYNMIIQGLGKLGRADLASAVLEKLMKQGGYLDIVMYNTLINALGKAGRIDDANKLFEQMKVSGINPDVVTYNTLIEVHSKAGRLKDAYKCLKMMLDAGCPPNHVTDTTLDYLEREIEKTVGDSMTGSYGPSKQNSDSCSLSTLCTDIFLYNSKDYSRETSGADNSYNAMLHSLDGLYYEIGLQEGTSVIVVVQPAQGKPSQLKQCSV >KZM97425 pep chromosome:ASM162521v1:4:14570172:14571638:-1 gene:DCAR_015213 transcript:KZM97425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGRKGGIVCGIAAVVIFSMLARVVMGNLRSAPPSLVGSDADSAPVIDSADSEHLADASVESGEAKTPVIDSAVRDAVSEHLPDASVETKEAETPVIDSAVCDAVSEHLPDASVETKEAETPVIDSAVCDAVSEHLPDASVETKEAETPVIDSAVCDAVSEHLPDASVETKEAETPVIDSAVCDAVSEHLPDASVETKEAETPVIDSAVCDAVSEHLPDASVETEDVETPVTDSAVRDADADSVSDSSVEAGEVDADADSVSDFSVEDADADSVSDSSVTGEVEAPVIDYNAHDADADSVSDFSVEDADAVSDSSVETGEVEAPLIDSDERDADADSVPVSSVESGVETAIIDSDVRDADSVHLPDALRDAEAESDSVPDASGEAESDSVPDASGEAGDVRDADSEDLPDASVEASVINSGVRGDADSVLATISGDGSAAAVSVFASYSGNSTTADDFVIVDAKNADEEGGLGGEFVFVKSNSYRE >KZM99472 pep chromosome:ASM162521v1:4:32352085:32352339:-1 gene:DCAR_013166 transcript:KZM99472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEITLYIHHVGEFVQGLGCIDHTEERIRRDGRRRICGSKAGASEWCRAAAACDGDDGDRDSGSSGGERSGGGREREVAGVPA >KZM97805 pep chromosome:ASM162521v1:4:18002148:18004589:-1 gene:DCAR_014833 transcript:KZM97805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPVETKTMTTESLKTSHPPLNERILSSMTRRSVAAHPWHDLEIGPGTPVIFNAVIEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFVPRTLCEDNDPIDVLVIMQEPILPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRDYNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVDDFLPATKAYEAVQHSMNLYADYIVEGLRR >KZM99321 pep chromosome:ASM162521v1:4:31081843:31085291:-1 gene:DCAR_013317 transcript:KZM99321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGDIGADDLALLEKKQDNQKHQPKRDVMQVACGLWTDGLICAFESVQSRRKRAGSKFVAKTASAQRDTVVKQTSSKIPPTKSSRQEVKNTVLDSVPLAESVGNYNDPLVGHDSEHDCLSSYSNPEDKYPGRYWKPIGWSRISELVQTVQVDTDWASQPIEFADSEDDISVAEIAAPYWEQPVGPTWWCHVIAGHPSITAWLSNAQWLHPAISTALRDESKLISERMKHLFYEVPVRVAGGLLFELLGQSAGDPFAEEDDIPVVMRSWQAQNFLVTTLHVKGSASNVNVLGVAEVQELLTAGGSNVPQTIHEVIALLACRLARWDDRLFRKYIFGAADEIELKFMNRRNHEDMHLFTVILNQEIRRLSTQVIRVKWSLHAREEIIFELLQHLRGNATRNLLEGIRKSTRAMIGEQEAVRGRLFTIQDVMQSTVRAWLQDKSLHITHNLGVFGGCGLVLSIVTGLFGINVDGIPGNEGTPYAFALFSGLLLLLGVALIAMGLVYLGLKRPVAEEQVQVRKLELQELVKMFQHEAESHAQVRKSTSHNTFASNAASLVRDGEEGYILID >KZM97072 pep chromosome:ASM162521v1:4:10443578:10447153:1 gene:DCAR_015566 transcript:KZM97072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGDGQYTDFPATPTHGGQFIQYNIFGNLFEVTAKYRPPITPIGRGAYGIVCSILNTETNEMVAVKKIANAFDNYMDAKRTLREIKLLRHLDHENVIAITDVIPPPVRREFTDVYVGMELMDTDLHQIIRSNQGLSEEHCQYFLYQLLRGLKYIHSANIIHRDLKPSNLLLNANCDLKICDFGLARHNTDDEFMTEYVVTRWYRAPELLLNSSDYSAAIDVWSVGCIYMELMNRKPLFAGKDHVHQMRLLTELLGTPTEADLGFVRNEDAKRYIMQLPRHPRQSLRQLYPQVHPLAIDLIDKMLTFDPSKRITVEEALAHPYLARLHDIADEPVCTKPFSFEFETAHLGEEQIKDMIYQEALAFNPHCA >KZM99768 pep chromosome:ASM162521v1:4:34661031:34661957:-1 gene:DCAR_012870 transcript:KZM99768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGSASSYNRKLIAYPYSSPPPPPPVVPQYLYSSPPPPPPLVFSSPPPPPPCPPPPSPTPHYHYSSPPPPPPKKHHHYQPPPPPPPPVEYPHPPKASPPTASPPGASPPYTPHKPPTASPPGTPYTPHKPPTASPPGASPPGTPYTPHKPPSASPPGGSSKPPGASPPGGYSYPPETGAPPNWPIMPPSAYPPVYPPGGLTPGGPTPGGPTPFPPTLPPGVDISTPPPSGGGSNHTTVIVVCATLGGVFFLAFLALGLFCLAKKKKKPVMIPAAAAAGYGHHTVGESSHHLATGGAATGAGHASYGD >KZM98462 pep chromosome:ASM162521v1:4:24188420:24190012:1 gene:DCAR_014176 transcript:KZM98462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKRDRPPEKGQGSSAAKMWIEDQPDTMDELLAVLGYKVRASDMTVIAKKLEQLEVAMGIDTVHGATHLSETTHYNPADISTWVESMLTELSPSVSETHSSLIHDNHSEYDLRAIPGGAIYGGDSSTSSGSKRMKVSESCVVESGPGPLVVDSQEAGVRLVHTLLACAEAIQVDDLKLADALVKHVSLLSTSQVGSMRKVASYFAHALARKIYKIFPKDSLEVSFLDMYQMHFYESCPYLKFAHFTANQAILEAFAGCNRVHVIDFGLKQGMQWPALLQALALRNGGPPSFRLTGIGPPQPDNTDALQEVGYKLAQLAESIGIEFEFRGFVANSLADIDASMLNIRPREEEAVAVNSVFELHRLLARPGAIEKVLNSIKKMNPKIVTVVEQESNHNSPVFLDRFTQALHYYSTMFDSLETTGFTQTNDTQDLLMSDVYLGRQICNVVSCEGPDRVERHETLTQWRTRMCEAGFDSVHLGSNAYKQASMLLALFAGGDGYQVEENDGSLMLGWHTRSLIATSAWQLVGPV >KZM99068 pep chromosome:ASM162521v1:4:29186817:29191192:-1 gene:DCAR_013570 transcript:KZM99068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKLTSYSLPPNSTNSKFAISNSNLKQALLEMAFQGTEMKFEGYDLLLNECVSQKGLIEGQRVQAHMIKTQYLPPVYLRNRLIVFYTKCECLEDARMVFDEIPERNVVSWTAMISGYSRRGYASEALVLFVEMLKSGIEPNEFTFATVLTSCSGVSGLLHGRQIHSHIIKLSFDAHVYVGSSLLDLYAKTGWIHEARVVFDGLPERDVVSCTAIISGYAQLGFDEEALKLFCQLQEEGMISNYVTYASVLTAISGLAAYEHGRQVHSHMASAKPFATAAGTLRSRLSTSLRLRGGGAGPSRWTSPGHQEHPNGYFLNRTPLPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTIETWAHQKALERLEAQQMAPLSDESD >KZM98016 pep chromosome:ASM162521v1:4:20397979:20401199:-1 gene:DCAR_014622 transcript:KZM98016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGAARNLTEEERKGYKEVTWDDKEVCSFYMVRFCPHDLFVNTRSDLGPCPKIHDQKLKDRTLELKYELPVHVNSSSFEASPRHDSYVPKFEADLAHFCEKLVMDLDRRVRRGRERLDQEVELPPPPAVPVEKSEQLSVLEEKMKNLLEQVEALGEAGKVDEAEALMRKVDVLNAEKTALTQQPQNDKVLMLAQEKKMALCETCGSFLIANDAIERTQSHVTGKQHIGYGMVRDFLTEFKEAKEKAREEERLAREKEVEERRKQRENEIESRRRRSNSSDRDRNRYRDKDRERGRSRDQDRQRSHERNGRGSREGGRGSDWKYNSSRNGREGSRDRYRERNRSRSHSPIRRGHRRSP >KZM96744 pep chromosome:ASM162521v1:4:5591146:5591535:1 gene:DCAR_015894 transcript:KZM96744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMRAVNILILLNIIIFTSSVSCNKLPCPPSSSGKSPVPKPEAKCPKDTLKFGVCGDWLGLVHETIGAKPSAECCALIKGLANVEAAVCLCTVIKAHVVELVKLEVPVALSLLLNSCGKKVPDGFVCA >KZM98798 pep chromosome:ASM162521v1:4:26983626:26986768:1 gene:DCAR_013840 transcript:KZM98798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTWGCARFFHLLLPLCLILMINGVEFCYGGITSTYLRDKNLSLDMPLHSDVFSLPAGYNAPQQVHITQGDREGKGVIISWVTPDELGSSTVLYWAEDSKVKNIANSTVVTYKYYDYNSGYIHHCTIENLEYDTKYIYEIGDGSNARRFWFITPPKVGPDVPYTFGLIGDLGQTFDSNKTLTHYELNPAKGQTMLFVGDLSYADCYPNHDNTRWDTWGRFIERNAAYQPWIWTAGNHEIDFVPEIGEYEPFKPYKHRYFVPYRAANSTSPLWYSVKRASAYIIVMSSYSAYGKYTPQYKWLTNELPKVNRSETPWLIVLMHAPMYNSYAHHYMEGETMRVMYESWFVEYKVDVVFSGHVHAYERSERISNIRYNVVNGECTPMSDQSAPVYITIGDGGNQEGLVTEMTEPQPSYSAYREASFGHGTFEIKNKTHAYFSWHRNQDDYAVEADSLWFHNRYWKSSEQLAVASYR >KZM99697 pep chromosome:ASM162521v1:4:34114038:34115684:1 gene:DCAR_012941 transcript:KZM99697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPMLTKFHAGYFRISLALCSQALLWKTLGHPPDNAHAYRRMLGMLPSTAFILLWSLALLTLLSLSFLYTLKCFLNFASVKDEFLNHVGVNYLFAPWISCLLLLQSAPFFTPNTLFYQLLWWIFVLPILVLDVKLYGQWFTKGKNFLSTVANPASQLSVIGNLVGARAAALMGCKESAICMFSFGMVHYLVLFVTLYQRLAGSDRLPAMLRPVFFLFFAAPSMASLAWISISGSYDNASKMLFFLSLFLFMSLVCRPKLFKKSMKRFNVASFPLTVLALCSTEYAQEVKSSEAHLLMVILSVISVLVTLVLMVFTAIHTDLSSQALNSRPSADLILTTSRSSTDEL >KZM98156 pep chromosome:ASM162521v1:4:21539870:21540190:1 gene:DCAR_014482 transcript:KZM98156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFDINFNGLEKIKVDHGLEKIKVDHGLDKMKLKVDHGIDLMSILKVIMGGLGLLFAGRLTGSVRAGRNAEETSVRHSQGEERRVRVVVFNPRLPAKTGPNRRHSY >KZM99353 pep chromosome:ASM162521v1:4:31382399:31382701:-1 gene:DCAR_013285 transcript:KZM99353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIYMCFGAFLAIGLVILGWRILIWVWLRPRKLEKFLRKQGLRGNPYRIFFGDIRELVKMLAEAKSRPIGLGDDILPRVVPGDVHTVEKHGILILAYLP >KZM97615 pep chromosome:ASM162521v1:4:16235515:16238407:-1 gene:DCAR_015023 transcript:KZM97615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTTGLVLYLLLAFSVAVVSVLFIPAPESHLLALHGSQIKVWPDLELNWRVVVATVIGFLGSGFGTVGGVGGGGIFVPMLNLIVGFDTKSAAALSKCMIMGASGASVWYNLRVQHPCREVAIIDYDLALLFQPMLMLGITLGVSLSVVFPYWLITILIIVLFLGTSSRSFFKAIKLWKEESLLKKEMTKQQGMIISRVELLVDTVYEPLVEKEEKTALQIIVSNLKWKRILALLVLWVSFLFLQIIKNGLVVCSTWYWVLNLLQLPFAFGVFGYECCKLHKECLERKNAGNLESVCEASIEWTAPNLAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPEVASATATFVMMFSSSLSVVEFYLLDRFPIPYAMYLMFVSVLAGFWGQFFVRKLIAILRRASIIVFILSAVIFSSALTMGVIGIEKSIEMIQGHEFMGFLPFCTSQ >KZM98117 pep chromosome:ASM162521v1:4:21211302:21213704:1 gene:DCAR_014521 transcript:KZM98117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITEKNRREISKYLFQEGVCYAKKDYNLAKHPDIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSDIVPSTLKKSAKPLGRPMGGPPGDRPRGPPRFEGDRPRFGDRDGYRAGPRGPPGEFGGEKGGAPADYQPAFRGSGRGGFGRGSGGFGGAPPS >KZM97603 pep chromosome:ASM162521v1:4:16150908:16159149:-1 gene:DCAR_015035 transcript:KZM97603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQKPINNKRKRGGARKPLPQTPSPQPHNDVVAPDHDCASVDAPPMGKVMPSMDAVVKVFCTHTEPNYSLPWQRKRQYSSSSSGFVIGGKRVLTNAHSVQHFTQIKLKKRGSDTKYLATVLAIGTECDIALLTVSNEEFWEGVSPLEFGGLPVLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGATELLGLQIDAAINSGNSGGPAFSDSGKCVGIAFQSLKHDDAENIGYVIPTPVILHFIQDYEENGMYTGFPILGVEWQKMENPDLRMSMGMGPEQKGVRIKRIEPTAPESHLLKPSDVILSFDGVDIANDGTAQNSVPFRDGERIGFSYLVSQKYAGDKALVKILRNSETLEFDIKLATHTRLIPAHIKGKPPSYYIVAGFVFSAVSVPYLRSEYGKDYDFDAPVKLLDKYLHGMAQSVDEQVVVLSQVLVADINIGYEEIVNTQVLAFNGKPVKNLKGLAYMVENCDEEYMKFNLEYQQIVVLQTKKAKAATTDILTTHCIPSAMSDDLKA >KZM96715 pep chromosome:ASM162521v1:4:4910458:4911882:-1 gene:DCAR_015923 transcript:KZM96715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQKLHSNPSSKPLQTLPLLHTMERKYQNENHPTDFQTYKHKSFYKKQKYMHHNPSSHNSRQQNHHTPSQNTHVPRQINTPYAKPTVTAPKSILVDLSNLGKLDNMLLNLQPGMMICVPQNGVVHNLFLAAKWENMVFYRGQNYFVHLIGEFYANMIVQKGLDDVLKISTVVHNKNMLVDVNTLNRCLKLGEHVPHQPCINIYEKFVFDKKEFELFVGHFCDADVPLGLCEENCAIEYHHFTPLYQQVAIIVRSNLLPKPKNAHYFDFVDLKVMFQLVTNQIEFNINYVILLNMIMAFEVEYLPYGLLLTSLFELYHIAMPRILAEKIEYCDIINLVKPQVPLRNCKPFAVSPVCISPTVMITGNTHASVKNGAEINKLKGEIEILKEMTTSIVARLDQLEGKNKEDSTVGNVEGIDEKMDRLFSEEMVNEMVDKNDKMAIDEAEKSDKEILPGMIDLTDDMGFVSVDGPEKA >KZM99816 pep chromosome:ASM162521v1:4:35110816:35117533:-1 gene:DCAR_012822 transcript:KZM99816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIYYNSSVEYKPSPVVALATSPDDSRVAAAREDGSLEIWLVSPGAIGWHCQLTIHGDPNSRVTSLVWCRSSGFDSFGRLFSCSVDGSVSEWDLFELIQKTVVSIGVTIWQMASAPCKNSRSHEMRHSQYIKAINDSSDDDCSDSENDDDSVVTTDGSVIEDTSVAMACDDGCVRIYTVSDELVYNRSLPRVSGRTLSVTWSPDANKIYSGSSDGFIRCWDPKTAREIYRITVGLGGLGNGPEICIWSLLALRNGSLVSADSSGSVQFWDSQMGTLLQAHSRHKGDVNALSTVPSHNNVFSAGSDGQVILYKLSKDASGSTKEKSSVRTVNKWVYVGYSRAHTHDVRALTVAVPISREDTFPDEKVKRARVSKSYHTGVPMLISAGDDTKLFAYAATEFTQFYPHDICPVPQKPPMQLVLNTVFNQSSLLLIQASKWLNVFCVRAKSSGHPDVSSGPSVGTATTDLVVRVKSKSSQKIICSSMSNSGVYFSYSDQIKPSLLKLNNTEARKSAWTIEKRKLPSLPFAHSMCFTSDSSRLMIAGNDRKIYVVDVESLKLICMYTPRSKDIDDEILSEPPITKMFTSPNGQWLAAVNCFGDVYIFNLEIQRQQWFISRLDGAFVTAGGFTPRNSNIFVISTSSNQLYAFDVDAKQLGDWSIRHTLPRFYQEFPGEVTGLAFPPSPNASSLIVYSSRAMCLIDCGTKVSQVDDNDLSKDLDATLRKLQTSPLDKKLKRKLRASREPETKHNVRDFKENVLFVGYLSKGSLLVMEKPWSQVVRTLDAPPVHRHIYGT >KZM98884 pep chromosome:ASM162521v1:4:27639290:27644431:-1 gene:DCAR_013754 transcript:KZM98884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIENPPSDPHLKLTADDDDDVDRIHTSSASQIEVVVDLFKSVSSDFEDNHNQDSSNPPPKFSIRDYVCSTRSKDIATNWPFSEKNLQLCQKQGVKDLLPPFQSLDAVREQSVKGCVVNHNLPDQENLSNSDRKTVRQSHQHDSVFANGASCNQKLNLDRLHIISTVSDHGDGEIPSEVKQSHSTKDSGAAILLDSSTKQVKGAILPEIRETGIIIQQEPPLKKPKAILKLGTSAGTSTKEDSTTNSFIISEIMAYKVCPICKTFSSTSNTTLNAHIDQCLSGESTLKWSADPKVIKHRIKPRKMRTMVDIYATAKHCTLEDLDKRNGTNWAMSPNPVDQSGELCLKERAERMPASDIKENGDKEGEVYIDTNGTKVRILSKHSEGDTVIGNSRAQKGDKGSISLVEKKKKKPYNVLKHQKFLKLTPHLKPCSSKPRHPTFETPVGSSRNIDVDRPSEKEVHLGECSNAQEPIKLDDSGIIRQWVGSKRSGPAKTPRQDNHQHSGHHLKHLVGEKDHTYLADSYGGSNCILNRQQSFKDTISSQSSKRMETSSDEHGTDFCRGQPPLKRQREELPYLSSKGVGLGKRSVISPKHKKLRKEGTIMRDSGNSSLNRASPGSSSLSNKAVKINTSDSLVFAAKSSCMHQTLSSKATKFTSARKRHFFTNEGTVRGSGSEFKMQSSAPTRSKVNRKFETDSDFTRKLSHSNDDHADITDKQFNLSNFTAKMSHRQTRVLRKRRNSDAMKVFSKEDSPDSLKNSPPEPPCYDRGEGETKEFSPVDFSQSLDNSEDSVDGEESESEDPLAFSKHIATGKAFKEGFGGSLKSSSNSLDPEFHEFPTSSRASKSERRLEVNQRHSCGHPISPTDPVVGGRPEFFSADRGGHVMIGDNSHMETQLDTKDEQLNYFSEVDPIPIPGPPGSFLPSPRHMGSDDLQGKSSLSTCKIQFTEDHRDHGRRAESDSPTSAISDISNPTLEISQSKSSKSFFDEPLAIQDETRKGCSGAAQLSQALNVGAELSNVHTLRINVNFPEKTPVSLNSEQSCCCSRKEGVEGVPVNFQESQLLRRRTISSLPSPEKHMENDCSERFSNINSRSETFSLSNYPNVGPGTILNHPSRILAPEHIEKKFSAEHEYEFSSQKDHDSASPSASTPVLRLMGKNLMVVNRDTDAFLQHRQNHSDLMNPQPHLQTGTVARLASGGVDSKDYQSYHQLYAQGPVNFSRDRRQDAIGGKFNVKYPYMCGSDPDAKAPPTHIQSSGLTPSINAADARKSPVEQYVYNGGSCLLSEEQRSRERPDNSMTNGLEKKIRTPEAKSWGVGSTSSREIIIIDDATDIKVDSAIGMMCNEEMRRTRVSLSGNSFPGAPHLSPRYASPFCSNMVEGAGSIYGRRPVVHNTSFQLPISDGNATRPLKWNGNPEGSSLLNPSTATSSSPSQPRPTFYYYPSYA >KZM98586 pep chromosome:ASM162521v1:4:25241441:25248547:1 gene:DCAR_014052 transcript:KZM98586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGSNDATKWSETVEDLVDAGDTDKAISYLETLVSNLENKSDELGKLSTLLFDLSRLYSTKGLSLKADHAQSRAITLQQLSHHAQHDVKAVHTSKEFHVLHNETSTSEEIMENGNADKSLKSLDDNSPMGGCSDDDWEAVADRAPEELLSTEDIPDVSNISLKDKDTQIIKRRGRGTFSYKKQGLYSDQHSDDPAIDISEDEVATDNSQDTAHGSQDTKIRDLKYGTRHVLVLADFPPSTRTNDLEKLLEGFKDRFAVRWVNDTVALAVFATPSIAREACNSLQCSFKSHILESDDDLLSSISPKDLEPPRPRPKTSARAANRLIANVMGLKLPSSSFGARELRQQEEARRKRIVSRQSMKDDAWGDD >KZM99306 pep chromosome:ASM162521v1:4:30971549:30974044:-1 gene:DCAR_013332 transcript:KZM99306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRYRNGEVWDFEHEMAISGLGMGREVILGLDGGTTSTVCVCIPIVPFTDHLPDPPPLLARAVAGCSNHNSVGETAARETLEQVMAEALSKSGSTRSAVRAVCLAVSGVNHPTDQQRILNWLSAIFPSHVQLFVENDAVAALASGTLGELHGCVLIAGTGTIAYGFTSDRRDARASGAGPILGDWGSGYGIAAQALTAVIRAHDGRGPHTSLVCRILQELDLISPDELIGWTYADPSWARIAALVPVVITCAEAGDQVANKILLDAVQELASSVKAVVRRLELCGKDGEEHFPLVMVGGILEANKRWDIGKEVIACISKDFPGVLPIRPKVEPAIGAALLAWNILMKQSRRNALRS >KZM99288 pep chromosome:ASM162521v1:4:30848726:30850254:1 gene:DCAR_013350 transcript:KZM99288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSLLGVICIWLNTSGEDIMWHFLKTGVALGIELEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQILDDIKAKLLDNLSKLQHAPSVPFQERPPDTEFPEADEDQDEDGRWGRESEMDVDDQRKPFSGRVKMEIFEPEQKEAVDAREGEHARGMDATFTETASLKASNPISISMDGMHINSEQGNASKQSDGPADMDP >KZM98540 pep chromosome:ASM162521v1:4:24866079:24868169:1 gene:DCAR_014098 transcript:KZM98540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVQSGAAGMYLISSVVLKQGMSRYVLVVYRNAVGALVLAPFAIVLERKIRPKMTISVFLQIMALAILEPVLDQNFSYLGMSLTSASYTSAIMNAVPAVTFIVAVLLRLEFLKMREMGSQAKVIGTIVTFGGALIMTVYKGPIVNLIWSPKESLFDTGSATASTDQHWLSGTVFILIGCIAWSLFFVLQSITLKKYPAELSLAFLICLMGAILSAAVTLVAEAHHPDVWAVGWNSKLLAPAYAGVVSSGLTYYIQGIVMQTRGPVFVTAFNPLCMIIVAVLGSIVLAEKLHIGAIIGAIVIAVGLYAVVWGKGKDKIDITASDEIGHQLPMTKMDTAHFNISGDTNTQKYAAAAAY >KZM96947 pep chromosome:ASM162521v1:4:9001193:9004669:1 gene:DCAR_015691 transcript:KZM96947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASCATESSHPNAKTDTICEGCKKKPSKYKCPACSIRSCSLPCVKAHKLSTGCTGKRQLTQIIPLSKFDDNLLISDYNMLEDVKRVADSAKRKRTKLCGNYQYKLPFPLFNLRNAAESRRTKILFLSTGMSKRQTNQTFYDQRMESLKSDENGSFLNGLPGKRLFHGQLNGGFILQMLYYMTTATS >KZM99038 pep chromosome:ASM162521v1:4:28926535:28928611:1 gene:DCAR_013600 transcript:KZM99038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEASSFPCEDEQIVASALLLLSTPSISSSTSSYGNNMNLLVASSKSYNSSTSVMTIDEASSSASLKRLRVVGKSPISVASREIKLKVVRKSRSKILRISDCQKASSGKLATVSWGSETKSEASSCLSSTTSTSVSSAQTCKTTAKNKAVGTPHLHRRADSIMNLLAHRDGISEIRIRQFLGDSPDTSKALRMLMKQAAVKRSGAGGRCDPYIYMIA >KZM99748 pep chromosome:ASM162521v1:4:34483047:34488235:1 gene:DCAR_012890 transcript:KZM99748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQLLFALISASLLFLYTIKKHLSNSKGAQFRPKLPPGPRKVPVIGNLLQLRGALPHHSLRALAGIYGPVMHLQLGEISAVIISSAEAAKEVMKTHDIAFSNRPELLASRILFYNCTGIISANYGDYWRMLRKICLSELLSAKRVQSFGFIREEEVGQLIRSVSLSGKSAINMTEKFFSMTNTIVSRAAFGHKCKHQQDFIQMMKEISTLASGFMFPDIFPSLKFLHPISNAKAALEKIHKRLDGILENIINEHRADRSTGKVAEEDLVDVLLKLQESSDLEVPITTENIKAIILPLCHVEERMALLRFREGFEILKTASHRPSAYPKILSWKLQGDGSADCCSWEGVYCDQQTGHVDGLDLSSSFLYGSIDSESSLFSLSYLRSLNLADNHFNYSLIPSKIASLSRLSYLNLSSSVFSGQIPSEILKLSNLTSLDLSFNVDFSSQENLLKLETHDLRSLAGNLTHLRELNLSMVNISSAIPDSLTSLLFLSALGLRKCGLYGEIPIGIFLLPDLRILDVGKNRKLRGHVPEIVDSSLKLEELRLDYTDISGKLPDSIMKLKSLRILDINYCLFSGFIPATISNMTTLTTLDLSRNYISGKVPSLASMSQLSYLSLAHNNFTGKISTSFANLTSLTHLDLGNNKFSGIVPSWFMNLTHLTHLDLSYNTLQGSVPTSLSQIENLEYLNLFHANLSGIVEVDIFLRLKKLTHLKLSQNYFSVVENNQTNITLPQFKYLALSGCKIKKFPHFLRFQDELEDLFLDSNQIEGLIPEWIWNKSRGSMDSIWLGGNQLTGFDNNPAVLPWTRLRLLDLEDNMMQGSLPVPPASTLTYFASDNRMTGEISPLICNAKSLILLQLSYNNLVGEIPSCLGNFSNDLMILNLKANNFRGVIPEMSPKLKKKVSNLITFVDLSSNKFAGNIPDSIGSFSDLQSLNLSNNFLSGSIPKFTENLTALESFDISRNNLTGKIPPQLAGLGFLAYFDVSFNRLTGPIPQGKQFDLFQNDSYKGNMALCGPPLSKKCGKQAPLSPPLISQEDDDSNSFLNVVGVIIASIGFGSGLIGGIIYGDKLATKCYMYITIRFLSKYMK >KZM99433 pep chromosome:ASM162521v1:4:31997911:31998225:-1 gene:DCAR_013205 transcript:KZM99433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTGISVSCLMALVVLVAVVSADKAPTICNVGVSELGHCLPAITGDKPSWPTKDCCKVMHKVNLPCLCNYKDKFLKYGISPKNALALPKKCGLKLPKQCRGKY >KZM99095 pep chromosome:ASM162521v1:4:29372350:29376004:-1 gene:DCAR_013543 transcript:KZM99095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHNRLVGKLTILVYAVAGSIVLKDYFEAGAIVFLFTFSQWLEFKASHKATSAMSSLVDIVPQTAFIAETGERANADQVQLNTIISIKPGDVIPIDGVVVQGNCDVDEKTLTGESFPVSKQIGSTVWAGTINLNGHLNVNTTALPEDCVVTRMANLVEEAQKNKSKTQRIVDKFTKYYTPFIIAIALCLVIVPSAIGAHNLSEWCRLALVVLVSACPCALVLSTPVAAFCALSNAAKSGLLVKGAEHLETLAKVKIMAFDKTGTITTGEFIVADFKPILQDDHITLDKLLYWVSSIESKSSHPMAEALVKYAKSYSVDPKPDEVEEFHNFPGEGIYGKIDGKDIYIGNKRIALRAGCLTLPTIGDDEMEGKSIGYIFSGSFPAGIFSLSDVCRTGVKEAIQELKSMGIKTAMLTGDHQAAAKHAQDQIDGALELVHSELLPEDKARIIKDFQHESTTAMIGDGLNDAAALATADIGISMGISGSALAMETGNIILMSNDIRKIPLAVRLARKTKLKILENLFLSVITKTAIVVLAIMGHPLVWAAVLADAGTCLLVICNSMLLLAHKDNHSKGNFKSSISRHGNRIALSHKHCCSVIKRLKKHMHTRHFPKKCSAQSLSNGICSLSCGFQMKTTLAKDQGCCEFDDQKSQGVQHNVAIFESEPHCRQRCCSANQVETKCIPHFNSPKYQCESMNSKSFGEIGLLPKDHSGCEHDVPVHGVQQNVVISESNSHCHQRCCSANQDETRCIPGFNSPKNQYESASTKSFGKMEPLPKDQGCCELDDNPAHEVQQNVAMSESESKCHQHCCPANQVERKCIPHFNSPKHQSESASPKSFGEIEPFPKDQGYCELDDNQAREVQQNVAIYESKSKCQQHCCSANQVDIKCIPDSNPPKHQCKSGSPKSFGAMEPLSNSAATDGCCDNGDDKLDSNVDFQSSEVRQVRGCCKKEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSLNWL >KZM99474 pep chromosome:ASM162521v1:4:32360328:32362839:1 gene:DCAR_013164 transcript:KZM99474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQCCGEFEAGRECRIKNLTVQKYLEFCELHKHSDLTEVLVDAVDSIQLMELTRSTITDDNLSSNAFITSEEAIKDLTCLNWIECSVTSFKTFNSVNDSLKNAKDVALKSKTEKKKPQKMSRLKDVAERACSLASGDQFSAKGDTGDCAAADLLSLSSLLTTETELPNMRRSENIVNPACYLASGDQCYAKGNTSDSEASDDLTLSSFLTTIKKRPKKRRLEDTLKPACSLASGDQNSAKMNIGKPAASDHLSLSWFLPEAGEQVLQALTQTRPSPKRHTRKEFQKKKEMQQSTN >KZM99567 pep chromosome:ASM162521v1:4:33086473:33092997:-1 gene:DCAR_013071 transcript:KZM99567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPSRPEILSLFRSLLRTSRQFSDYNIREYSKRRTIDAFRLNKHATDPSAAFADGKSQLEVAKRQALVYSLYAPKTCCGWGNFVTKNLNRSINQAVMERVWVQKVSAPNIELSWLEIRQFRMSSSFNSMTKSRNSGKVSPKWIVLSCIFTFALGMLVSSRVWLLPESKGQIMWSLQRNQDLQLLADDCTTKKNNEILGEFQKTHEAIQSLDNSIDDLRMELPSVERSSSHKLSGIETNTTKQKAFMVIGINTAFSSRKRRDSVRQTWMPQGEKLLKLEKEKGIVVRFMIGHSATSNSILDRAIDSEDSQHNDFLRLDHVEGYHELSAKTKTFLSTAYAKWDADFYVKIDDDVHVNLGMLAATLARHRLKPRVYIGCMKSGPVLSRKNVKYHEPEFWKFGEEGNKYLRHATGQIYAVSKNLAKYVSDNQAILHKYANEDVSLGTWFIGLEVEHINEHSMCCGTPPECEWKAQAGSVCVASFDWSCSGICKSVDRIKEVHAKCSEDASAIWGVSL >KZM99736 pep chromosome:ASM162521v1:4:34385226:34385792:-1 gene:DCAR_012902 transcript:KZM99736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPFAVTFSIFASLFILSSAQTCKNYTFTSNRMFNSCLDLPYHHAHLHWNYFPSTDKLAMAYRARQDSKGWVAWAINPTKTGMVGAQALVAFHNSNGSMTVYPTPVKDYNPSMLPGTLTFQVANISAEYKNNEMIIFAVLGPLVNITKVNHVWQSGFAVSNNVPQMHEISSTNLKSFGDLDFLAV >KZM97954 pep chromosome:ASM162521v1:4:19741638:19742070:1 gene:DCAR_014684 transcript:KZM97954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLHTLFLCLLTAAFYLASQSLAAAASPAQFHLLGRRYMRDVKIPHSSQVDACPKLKIVPTKQKAMYTIKPELLQNESASNEQELVYHTDYHGVTTHPNPNPNPKHPKP >KZM97859 pep chromosome:ASM162521v1:4:18526931:18534315:1 gene:DCAR_014779 transcript:KZM97859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCAESIEVSQISGYRLLFKALTVIPISHYVIGLYCVFLVFLYNFLELHFFEDLFSGGSVVNLTYHSGSELYQSVVSKCKILHTRYLSTPWLASPHFHTTLIELFGRPPAFTYKRELFHASDGGTIALDWLMSSSVLGSPSDDGNVIPKNSTTPIVVVVPGLTSDSSAAYVKLFAFKMAKHGWNVLVTNHRGMGGVSFSSERIYNAGWTMDIRDINNHLREEYPDAPLYLVGLSIGANIVGKYLGEDGDRVPVAGAAVICSPWDLLIGSRFIKRRLVQRFYDRVLAIGLQGYAMLWVRAVNEYFDVLHSSPLTHKQKKVLFLTIYLNQF >KZM98516 pep chromosome:ASM162521v1:4:24627137:24627562:1 gene:DCAR_014122 transcript:KZM98516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLKYNRVRDHRRSFHGPCRGFRLNARRFSVYRLRAKFYYLFKILSGRWRSWYKHARNICHSHRKKESREFVSRNAYPRVQNRLRSFGRSNSFYSEAIADCLEFIKRSSVSVDENTREQEDYALVQGKFSQQEFEISSL >KZM98644 pep chromosome:ASM162521v1:4:25735520:25739873:-1 gene:DCAR_013994 transcript:KZM98644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDEEESRGVSDERHINEEYKTWKKNTPLLYDLVITHALEWPSLTVQWLPPSQLPHKLILGTHTSENEPNYLMLAQVNLPSQDPDSFLNTPNGNGAVSPHQPNPQVQIIQQINHDGEVNRARYMPQNPYIIATKTVSAEVYVFEYNKHPSKPPLDGACSPDLRLRGHSTEGYGLSWSPFKQGHLLSGSDDAQICLWDINVVPKNKTLDAMQTFKVHEGVVEDVAWHQKHENLFGSVGDDHYLHIWDLRAPVVTKPSQSVVAHQSEVNCLAFNPFNEWVLVTGSTDSTVKLFDLRKLSTAVHTLDSHKEEIFQVGWSPQHETMLGSCCLGRRLMVWDLSRIGDEQTPQEAEDGPPELLFVHGGHTSKISDFSWNQSEDWVIASVAEDNILQVWQMADHIYLGEETAPGEDATKGSDA >KZM97629 pep chromosome:ASM162521v1:4:16360662:16362120:1 gene:DCAR_015009 transcript:KZM97629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTRRRNAVVREQQDPNSTAPPHLRRVDVALGDGDAEALRQALDNLLGSIDDPIENGDTALHLACVYGHLTCVQVTFNSLPSFSSAILLLITGNFRLFVQILIERGASLEAKDEDGEIPLHGASAAGYADIVQLLLNKGSEMNIVKRMLDSVDEEGDTPLHHAAKAEHVNVILLLLANGASPTTTNFHGQIPSELADPGTHAKEILTNAVGTYVASR >KZM96781 pep chromosome:ASM162521v1:4:6239656:6256273:1 gene:DCAR_015857 transcript:KZM96781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKDNSTISHSKSDSFNHSMEYQLDTKVLQFQNLKLVQKLEAQKVECTALENKYSQLRDKQLPYDNTLEVVHKAWEEVVIDLESSSKRLKNRAGLCLGARNEFNEDDCSSPREDEFLKRLVETGATESSFLSNIHSQVNEVRQAVGERTKMILSNLVGGINDLWCLKGGLYASAQNPEDGYCRQNTSIKMEEEVKTLRLLVSDLHLKHRTLSSELQNQRDIDAKNKAQLKHLKAELDSTIAELDESNCRLATLKAEKNAVKGVNFPVLVGNKHVASERARNKQEDLHDMESTLKDLLDQSSSRLIELKRLYEERIGLLKQLADLQNTLKSVKFVSSSRAYLLVKDQLAKAKASVLQYQVLYEKLQVEKDNLAWREKESIMESDVVDVFHQSTIVNEYRIEELEKEIEKQVSSRLLIETKLEEASREPGRKEIIAEFKALVSSFPDNMRSMQEQLTKYKEVASDVHSLRAEVQSLSDVFNRKAKEVETLSVRSSDQVAEIKKLQAVVEDLKESDLDLKLILEMYRCESIDSRDISEARTAENKAWAQVQSLNSALDEQNLESRVKKAVEAEATTQQKLAAAEAEIADLRQNFENSRREKVKLSDVLKSKQEENEVYLSEIETIGQAYDDVQTQNQHLLQQITERDDYNMKLVIEGARARQLRDTILMEKQTMYRTFQQASSLVDFFETKTSRIEDQARMCSDQVQRLAEDRVQKSVSLENTQRRLSDANKSSQRMTKLLEESQSKLARKRDALANLQIELEKERYERKRVEEEVEVARRKVLRLRSEIEGSPVVQRLQQELKEYKDILKCSICLERPKEVVITKCYHLFCGPCVQKIAEGRHRKCPVCAASFGANDVKPVYI >KZM96588 pep chromosome:ASM162521v1:4:1552568:1554265:-1 gene:DCAR_016050 transcript:KZM96588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDTENMHISKDRCLLKRQINTLKVPEVICDPESSILKEYPIGSGKALQFIYSSKQFNTSGELPAGTATTDAVVVSFDPHRVYLGHPDYSEFKAVRVKTQVSEEVERALVKLGPARLSGRGQEKYDAARSFSAILQVVIRIIYFRIGEASALH >KZM99651 pep chromosome:ASM162521v1:4:33758421:33760223:-1 gene:DCAR_012987 transcript:KZM99651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGMELAVRELMFNDEEVKGIDEFMMGDGDHATVTCGCTSHRLGDTVGTLRVYLDGSLKIECYCPGCADEGALISPFAFVKHAQRETTKKWRHNIWVITDGIKVPLKKTALLKYYNRALQTVRANRPQTGRANHHDEFVCCSVCGKERRFHQRNKEECRAYHDASRNVNWQCSDMPNGRNGRLQCGDKEERATRRMYKRCTRSKTCTGCTKCVCFGCVTCRFEDCSCQSCVDFTRTMENRRNAENYQVSGSFPTSQCISLNH >KZM98666 pep chromosome:ASM162521v1:4:25896540:25897091:-1 gene:DCAR_013972 transcript:KZM98666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSSYVEPLKLENIVKNFASKQEPVPLSFRSIGALPSDNNVLFLAPNPSLSLLHFHLQLCDAMKKEGVEIGEEYRADSWIPYCPVAEEVSRSRMAEAFTVLRDLKLPVSGYAMEIGLVEYSPVRELFSYALGNAVEP >KZM99054 pep chromosome:ASM162521v1:4:29048315:29051500:-1 gene:DCAR_013584 transcript:KZM99054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSISSALSLEAKSSGSHSNLTYSYPRRTVVFCSAKPVAPPVIEQTNTEKLGRIGSLSQVSGVLGCQWGDEGKGKLVDILADHFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEETMCVVGNGVVVHLPGLFKEIDGLEASGVSCRGRILVSDRAHLLFDFHQAVDGLREAELANSFIGTTKRGIGPCYSSKVIRNGIRVGDLRHMDTFPQKLDLLLADAASRFEGFKYTPDMLKEEVERYKRFAERLEPYITDTVHFINKSISEKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGVAPRVLGDIVGVVKAYTTRVGSGPFPTEMLGKDGDLLRFAGQEFGTTTGRPRRCGWLDVVALKFCCQINGFSSLNLTKLDVLSDLPEIELGVSYKYVDGTPVESFPGDLRVLEQIKVEYEKMPGWQSDISSIRNYSDLPKAARDYVERIEELVGVPIHYIGIGPGRDALIYK >KZM98061 pep chromosome:ASM162521v1:4:20802148:20806251:1 gene:DCAR_014577 transcript:KZM98061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKSFKASRLSMSSAADGPDSQHKPPMPPTVTFGRRTSSGRYISYSRDDLDSELGSNDFMNYTVHLPPTPDNQPMDPISQKVEEQYVSSSLFTGGFNSVTRAHLMDKVTESEVNHPQMAGSKGSLCAIPGCDGKVMTDERGVDILPCDCGYKICRDCYLDAVKTGDGICPGCKEQYKATDLDEAEEDRRPLPLPLPLPAGMSKMERRLSLMKSTKSQLMRSQTGDFDHNRWLFETNGTYGYGNAIWPKEGGGFVNGNGEKTADPSDLVSKPWRPLTRKLKIPAAVISPYRLLIVIRLVVLALFLQWRITHPNNDAIWLWGMSIVCELWFAFSWVLDQMPKLCPINRSTDLNVLKEKDPYKNKVRTDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKQQRQRRDDEPLESIKIPKATWMADGTHWPGTWLTPSAEHTKGDHAGVIQVMLKPPSDEPLHGTTDEIGTFDLTDIDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMKEGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRAKEHHPGCCSCCFGRNKHATVSHTPEENRALRMGDSDDEEMNLSLAPKKFGNSTYLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDTSTVAEAISVISCWYEDKTEWGERVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLDVTFLAYLLTITITLCLLAILEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGGEDEDDDFADLYLVKWTSLMIPPITIMMTNLIAIAVGFSRTIYSSVPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPEGANEIGGSFQFP >KZM98983 pep chromosome:ASM162521v1:4:28511714:28512589:-1 gene:DCAR_013655 transcript:KZM98983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVEECSNWLSNWEQQLPSPDELMPLNQSLITPNLLVAFNLTPNPSPNTNSNAPFFSNPQTLKNPSTPSLQTPSSHPDSATDFESSELGGGNSGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGGHSGGSGSGLDSATDHLFASSPVPAHFLHPVRPNSDQFLPFVPVVALQQQQHHHNQMAVVSGQYRQMGQFEHPYNVARQAHQQQQVHMMGTPVHKLVPTSYIEDLESATATVANGRKALTLFPTGDD >KZM99100 pep chromosome:ASM162521v1:4:29406838:29409138:-1 gene:DCAR_013538 transcript:KZM99100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGLMLLSQELQLIEIISLLFAQRPGLNMESEKGTTSMEIEKGQSGANRVSSALKPNFEPLKAHEISNGQVQFRKVSVPPHRYTPLKKAWMEIYSPVYEQMKVDIRMNLKGRKVELKTRSDTPDISNLQKSADFVHAFMLGFDVIDAVALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENSTKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRAVTSRLAERF >KZM98976 pep chromosome:ASM162521v1:4:28443836:28449227:1 gene:DCAR_013662 transcript:KZM98976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVLRVHLPSDIPIVGCELTPYVLLRRPDKSVSTDDVNEFGPVDGHFLRYKWYRIQSDKKVAICSVHPSEQATLQCMGCVKAKIPVGKSYHCSSKCFSDAWQHHRVLHERAASAVNENGNEEEELFGRFNSTGSGGLAISQSNSSLSNGTTPLYPAAVAQRNGGETWFEVGYAKTYTPTADDIGHVLKFECVVVDAETKLPAGHVNTLLTSRVIPAPSPTPRRLISVSGVDVTGHLDLDGRLSSSGTFTVLSYNILADAYATNELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHFDEFFLPELDKHGYQALFKKKTAEVFSGNGNTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLIDALVPNAQRRSALNRLVKDNVALIVVLEAKFSNQGVDNPGKRQLVCVANTHVNIHQDLKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLALGKVDPMHPELAVDPLGILRPANKLLHQLPLVSAYSSFARIGAGLGFEQQRRRMDPNTNEPLFTNCTRDFIGTLDYIFYSADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRTRRG >KZM99721 pep chromosome:ASM162521v1:4:34269273:34273050:1 gene:DCAR_012917 transcript:KZM99721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQVKRNVEGLRRLRIQPDEKDDGGGGGGGGGNDTSMYPDRPGEPDCIYYLRTGMCGYGADCRFNHPSNLGLQIGQYGTELPQRVGQPDCGYFLKTGTCKYGASCKYHHPRDRHGAGPLVLNTLGLPMRQDENPCPYYMRNGACKFGIGCKFHHPQPDSAVPTGPAVYGSTASWSSPKSTYISAPRSGSQTYMPVIYPPSQSMASAPEWNTYMSNLSPAPSNFYGESGFRGAVPLSSAGVSSLPERPDQPECRYFMNTGSCKYGSDCKYNHPREKIAQLTASSLGPLGLPLRPSPKHPDWSGKQEAANNNNSNANTREDSPEKAGSPSAKAEVPEAPLN >KZM97797 pep chromosome:ASM162521v1:4:17937651:17937905:1 gene:DCAR_014841 transcript:KZM97797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTXCSQDLSRECHS >KZM99348 pep chromosome:ASM162521v1:4:31275395:31292300:-1 gene:DCAR_013290 transcript:KZM99348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSISAIVVLTILAAKEIEGGGGAGTCNIYEGNWIYDPSYPLYNPADCPFLESREFDCQKNGRPDKNYLHYRWQPTGCNLPRFDAHDFLQRFRGKQLMFVGDSLSLNQWQSLTCMIHTSLPQAPYISNKIGGLSNFTFPDYKVSFLFSRNAYLVDIRSEIIGRVMYIESVEYSRGLWEGMDFLIFDSWHWWTHTGSDQLWDFIREGNATYKDMNRLVAYEKAINTWAKWANSRADPAKNRLIFQGVSPDHARDLSRNYLNNTIPRTWASMNLTGLVLSSNSFSGELPEELSNLTNLTDMRISDNNFTGKIPDFIQRWSQIEKLDISFNNLAGDIPSNFVNLAKADYIDKVHSCLKKNFPCSAPSRDYKYFLYINCGGEDVVINNNTRFEADVEFRGASMFYSRQNWAFSSTGIFMDNDLDADAYITTNTSALKNVSASLLNLYKTARTSPVSLTYYGLCLGNGNYTVSLHFAEIVFTNDRSFNSLGKRIFDVYIQEKLVLKDFNIEAEAGGDGKPIIKNFTVAVTSHTLKIHFYWAGKGTTGIPLRGVYGPLISAIAVEPNFKPPKVNGKKKHVALVLEVAGGALSLCLIILCILWRKGCLGGKISADSGNSFFIAYVLQERGNLLELVDPALGSEYSSEEAMVLLNVALMCTNAAPTLRPTMSQAVSMLEGRTNVQELLSDPGFSTINPKLKAIRNHFWENPTQTVSMSTEGPLADASSLSNVDKADDSVILD >KZM99451 pep chromosome:ASM162521v1:4:32163669:32167888:1 gene:DCAR_013187 transcript:KZM99451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNGRPLKRMKRRVTADVNDFFTFPSGESPVDGPFRNSVKAFVSKYALLPPPSSLLPQLMTRQIVFRVGDSAESNSDGDDLATVCLDVVEENVARSRSVYCDQCRVVGWSGNPVCAKRYHFIIKADGSSIGGYNKPCSSCGDSFPGSDLRCKSCSHEMTAEDAEDWMYNQLEDTTHLLHAVVHTNGYGHLLRVNGREGGSRVLSGCHIMDFWDRLCKVLAVRKVSVMDVSKKYGLEFRLLHAITKGHPWYGDWGYEFGAGSFGLTVDAYNMAVETLSNTPLSIFTSQGRKPRTRLQDLISIYQSISEDELVNIRDLFCFLMNLIRAAHTSPVRVDDATFKKRKLCDSRILSAWTDNDIFRVEKAMFKVMRAISGSSWVPWRALRGAVCKAGPPELLDYCLKELKGKHAANGMVVNSRCNPESGALEYRLERVSVSENESSNSICHYPSGTNHPAESNLLHDLRYLYEAMLHPLTMLSFVPEIKLSRSIESARKLLDCKQFVKNYHPEKFFTVMHPSAVQLSCEVDLVDLAEDDAIKPPPEVIILPCIATISDVKIEGAKAFQEVYLSLRRFQAEEIIGYGGVPESTQVKLLFGSTETVRIRGKCQGKNGSSKFRMERGVESWIVDCICGARDDDGERMLACDVCGVWQHTRCSGIPDHEAVPAKYVCRVCSDRATKAKGDMVDLAYGSANGKIRVNWTTKA >KZM98479 pep chromosome:ASM162521v1:4:24294957:24301219:-1 gene:DCAR_014159 transcript:KZM98479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGLLASRALRSSRPINSPTTFLRTIISTPELHNNTSSAAAVADTPPPPPPDRTPVGGARVHFANPDDAIEVFVDGFPVKIPKGMTVLQACEVAGVDIPRFCYHNRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEVKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEIAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTESIDVTDAVGSNIRIDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRDADGRFKTVSWRDALAVVAEAFHQVKPTEIVGVAGKLSDAESMMALKDLLNKMGSNNVWCEGNGLNVNADLRSGYLLNSGISGLENADLFLLVGTQPRVEAAMVNARIRKTVLATQAKVGYVGPPADLNYNHEHLGTGPEVLTQIAEGRHPFSSLLKNAKNPAIVVGAGIFERKDKDAIFSVVEAIAKQGNAIRPDWNGLNILLLNAAQAAALDLGLVPEADNSLETAKFVYLMGADDVNLEKLPSDAFVVYQGHHGDRGVYRANVILPAAAFSEKEGIYVNTEGYVQQTLPAVPTVGDAREDWKIIRALSEVAGVPLPYDTVGAVRSRMSTVAPHLLNLDEREPTTFTAEVKPKCSEKMSKDPFTNVVENFYMTDAITRASKIMAQCSALLSKK >KZM97519 pep chromosome:ASM162521v1:4:15488314:15491374:1 gene:DCAR_015119 transcript:KZM97519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVIPSIVRTWKTTNCTDSYDWSAIRCFGSTGEASNVDEYLWLMGRANYKPVIEYCGGTEIGGGFVTGSLLQRQSLAAFSTPAMGCSLFIIGNDGIPIPPKVPGIGELALYPFIFGSSSILLNGNHYNVYFKDMPSWHGKMLRRHGDMFELTSNGYYHAHGRADDTMNLGGIKVSSVEIERITNAVDDSVHETAAIGVPPQGGGPERLVIAVVFKDSNVSTPDLDKLRVSLNSALQKKLNPLFKVSHIVPVSSLPRTATNKVMRRILRQQFTKIDQNSKL >KZM98478 pep chromosome:ASM162521v1:4:24290106:24291461:-1 gene:DCAR_014160 transcript:KZM98478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYTTIFLHLLVMFSYHVNELSAIQNFNPNSFSPVFNDSLSLSFPLTPLTLSPNSTLRSSLISSQQISASSKSYSHGSFHFRSWFKYSMALIVSLPIGTPPQVQQMVIDTGSQLSWIQCRKKNPRKPHHKTSFDPSRSSSYSILPCNHPQCKPAIPDFTLPTSCDRTRHCHYSYFYADGTYAEGNLVREKLSFSRYQSTPPLILGCAMKSRDAEGILGMNLGRFSLPYQIRLSKFSYCVPFRQKLANVMPDGVFYLGQNPYSHTFHYVDILTFARSHLMPNFDPLAYTLKMVGISIGGKKLDIASSTFLPNAGGAGQTMIDSGTEYTFLVDAAYTKVRQEIVRLVGGKIKRGFVYENTLDMCFDGNAVEIGRSIGDLVFQFDKGVEIMIEKERVLENVGGGVHCFGIGRSELLGATSNIIGNFHQQNLWVEFDLRSLKVGFGKADCSRSV >KZM99174 pep chromosome:ASM162521v1:4:29989428:29990671:1 gene:DCAR_013464 transcript:KZM99174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKVTAETGAFTAFWQFLTYWAFEYLDINRPKARRGNVFPRAKRWICPETSTENANPHFFGPQFIACRCQLNYVEESQVGLTISHLVTWVPYPEKCYPPAMLPTIKLAKKRIPFRSIETWEYYLGERCLRQLSSPRQVPKDPPQIMHGTGEGQNDVKWKGIPAEDLVDRSLEYPSWFANASIGRILNEKHQPDLIPISQAEYIKMKEDRNALEEECAKLREELYGLKKVTVIPFSLELKKLNLPKVRRISEQALATFSWFLAIYYVPEV >KZM96759 pep chromosome:ASM162521v1:4:5882185:5882503:-1 gene:DCAR_015879 transcript:KZM96759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISVRGSGVYINREKGYSIDPIYIDDPLFPANNVGRNCFRIHQCVKVSNMFVKVSLSYAVSFTFEVDLSENFY >KZM98579 pep chromosome:ASM162521v1:4:25207471:25208100:1 gene:DCAR_014059 transcript:KZM98579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTFGFLMEEGYVYLAIADVGLGKPELLQFLEHIRDEFGKMNKGLRKSMSNLDSLCLQEQLVPVIRHLISTLEKVSETSECLTETPSPPGQSEAVASTKAPLLGKSSKLEKKKMKEHAIAMRDIQMEEHRRSTDRAIKMDTENLESSRLDASGSSLSRKDSARIRSSNSNVRRKYWRQVRIVLAIDAAVCLLLFVIWLLICGGTQCI >KZM97132 pep chromosome:ASM162521v1:4:11280392:11281959:1 gene:DCAR_015506 transcript:KZM97132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTLLSLTTPSQSLLFPLSNPNPKFLSTSFHGPSLKITPLSLSLSAGPYKPLTVVAATKKAVAVLKGTSTVEGVVTLTQDDDGPTTVNVKITGLTPGPHGFHLHEFGDTTNGCMSTGPHFNPKGLTHGAPEDEVRHAGDLGNIIANADGVAEATIVDTQIPLSGPNAVIGRALVVHELEDDLGKGGHELSLSTGNAGGRLACGMKYAPRYC >KZM96686 pep chromosome:ASM162521v1:4:4169223:4171280:1 gene:DCAR_015952 transcript:KZM96686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNKFGFVITENKSQAAKLIKKFHKKTICNSTLFLDYAKPRPGKTTKQVLKSGVGQAHMGPSELENQSPPSKERQKSSDVFKSQPRSPRPSNSSPPANSGEVDRDSKEFIVLSMDEDFQKELNLSLALETCIKTNVESVEAILAGLGFGDALVRGISQFSFLAFFPGIENIEELDLDFMHIGFKSVKKVEWGNVIPKRKVDVEFRGLPLIAWTESNCKMILGKWGEVLSYYPLVDEAGVYQVPRVYFETSRYEEINEQISVQIGGSIWQITIVEVMADLQPAISSGEDENSDDKTRRHTEEATTPQDIQNSQQDFQEKGIQEVQDDNLENHAIKGCDSSKDVEKDSGTIINHVIPGDHEADKTQVSFHKVSLDIIVEEEEVITPIIQNSHLGAIIEKQRLEQDRKSDTLEAYKPLEPLSEPEVSSGSLINLEKPELSGKQATVKAIDLTSSDIEDSSGSLINPVTPPSLSPLRETLETYQTQVNSVEQTQVTLVPLLEGIQYDNNDWDLKWKSREASSEEGTCSQTSASQKSVILDDDEAATQNALQRQEEETAILLSHIDKIRIKSNRGRPCKGYKKIKVIKAFKLPRRRKLKGKQMGLPVILPHNGRLDEARLVYDSALHMGLIPINSEEVSLNLIRANLRK >KZM97332 pep chromosome:ASM162521v1:4:13633818:13637720:1 gene:DCAR_015306 transcript:KZM97332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVDVKGLGLHDYYEVIDRPMDFSTIKNQMEAMDGAGYKHVREIYADMLLVFNNAMKYNDETSDVHLMAKTLLEKFEEKWLQLLPKVTEEDKRREEEKVEAQLKKQLAQEAARAELARDLTNELNEANKQLEELGGILGKKCRKLSIKEKRGIGISLAQLCPEDLAKALEIVSQDKPTFQATGEEVELDISAQSEFTLWRLKFFLRDALGSQRNSSSNGGKNTTKSRHAAVSNTSAIASKRKRDICNALAKTAKKRGKKLCS >KZM97581 pep chromosome:ASM162521v1:4:15981503:15983381:-1 gene:DCAR_015057 transcript:KZM97581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLQNLFEDQLLPSTSASNGINDVELVDSSFQKLYNEFKDLIQNPNDPKLSVTLDESCSLSSQNQCIREEKAKKRGKIDERYWSKEEDSVTDYMYKMQKGPWSEEEDSKLVNAHKNLGNKWAEITKLLPGRSEISIKNRWNAMKRRCLNAIKQRQKPNCSNLENYIKSLISNKDQSSAICIDSDVVSRAQGGSNGLPSSVPNDLYDDDNSSNLESLTNLIYNSDEDDSGFVELRDLLDDE >KZM99221 pep chromosome:ASM162521v1:4:30342053:30351031:-1 gene:DCAR_013417 transcript:KZM99221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHMQPFASADDGVTVNGNSQPSTSGNVENLAMRLKQSSQGEDYNAGLVQTLHDAARVFELAIKEKSSRSKTSWFSTAWLGVDRNSWVKALSYQASVYSLLQSGCEISSRGDGRDRDINVFVQRSLLRQSAPLESEIREKMLAKQPDAYDWFWSEQVPAVVTSFVNYFEKDQSFTAATTVWGKGISVDAGNAIDKSLLILALSCIAAITKLGPAKVSCAQFFSIIPDTTGRLMDMLVEFIPIGKAYHTVEDIGLRREFLVHFGHRAASSRVKNDGGTEEVTFWVSLLQNQLLRAIDRERIWSKLTTSETIEVLDRDLAVFGFFIALGRSTQSFLYASGFEVVDKPIEGFIRHLIGGSVLYYPQLSSISSYQLYVEVVCEELDWIPFYPISHDASKGSHGHKSKREGPPNAEAIPLVLEVCLHWIQSFIKYSTWLENPSNVKAAAFLSKGEQMIEKAVKKSVLRNRSGRDLDSFDKALESVEDAMIRLEELLQELHVSSDSSRKEHLKAACSDLERMRKLKKEAEFLEASFRAKAASLQQADDLYYQDNFSMAVSEPKSNEIQRFELLRNELMELEQRVQKSADQSLSEEEEDILTDDTAGYTGGTGLIKAQKENIIEKSLDKLKETSTDVLQGTQLLAIDVAAASELLRRVVIGDELTEKEKQALRRTLTDLASVVPIGFLMLLPVTAVGHAAILAAIQRYVPGLIPSTYGAERLDLLRQLEKVKEMETTEVKPTENANE >KZM98044 pep chromosome:ASM162521v1:4:20622293:20623922:-1 gene:DCAR_014594 transcript:KZM98044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPRIPISNFVLIRLEKLGALEWTKVVHKHQGWRLVTCMWLHAGIIHLVVNMFSLVFIGIRLEQQFGFVRIGVIYLFSGLGGSILSALFIHNSISVGASGALFGLLGAMLSELLTNWTIYSNKAAAIITLLVIVVINLAVGLLPHVDNYAHIGGFLSGFLLGFVFLLRPQYGWVRRSSLPADVRAKSKYKGYQYVLWLVSLILLIAGFTVGLVMLFRGVNGNDHCDWCRYLDCISTSKWKCDR >KZM99825 pep chromosome:ASM162521v1:4:35174587:35176038:-1 gene:DCAR_012813 transcript:KZM99825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVLLKEEWEYNSLTKMFSGDDEEADCMLHFMQNFGTPSDFLSTGEATRNVAVFEEDALFYSSENANSNFYLSQDITDSRTSATNFSTGSVGFPNYFSNISYLTPEMMNEMMPHEFVAHDERDYNMNALGDVVQDNAMCLKEEIADGRSTDLVSIKRKFEDPELSEAVKENRVNAAASSDQNPKKKTRVPRDTVKSKKNLQGKKNQQAVQINKNDAESPRAIISSRSGSCISEDDSSGSQEPIGGSDAKDSALNSSGKARAGRGAATDPQSLYARKRRERINERLRTLQHLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDETWMYAPIAYNGMDMGLYQNISP >KZM98833 pep chromosome:ASM162521v1:4:27235158:27236118:-1 gene:DCAR_013805 transcript:KZM98833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKVVLLDFWVSMFGMRARIALAEKCVEYEYVEEDLRNKSPRLLQANPINKKIPVLLHNDKPICESLIIVQYIDEVWTNKPSLLPSDPYERAQARFWADYVDKKIYDGGRKTTWSKGEEKEAGKKDLIESLKVLEKELGDKPYFGGESFGFVDIALVPFSSWFAAYEAEGNFSIEAECPKLIAWVKRCLERESVSKALADPKKVVDFVNTLKQKMAA >KZM99679 pep chromosome:ASM162521v1:4:33929322:33935329:1 gene:DCAR_012959 transcript:KZM99679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLWAGPAQVFLRKRNEIDTRLQSLAISAAELDLTTSMADYSKDDFTQLVKRLSDLFHSLDSRSVGAIAGLAVAIVFTWRLLRSPSEPQRRQRKRQNPAASNSGVANLTNSNHISFGVSSEVASAQNVNDEFFQSVKPTLGQIVRQRLSEGRKVTCRLLGVILEESTPEELQKQATVRSSVLEVLLEITKFCDLYLMERVLDDESEKKVLVALEDAGIFTSGGIIKDKVLFCSTETGRTSFVRQLEPDLHIDTNPEIIQQLSRFIRHQLHIASVKPEQPTSSITSSPSLEEFFGCV >KZM96823 pep chromosome:ASM162521v1:4:7004310:7005231:-1 gene:DCAR_015815 transcript:KZM96823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENALSKLVSGGGVNVSEYGPDCTPVIVYNFLYVLPKLVSGGGVNLGEYGRDRTHVIVYNFLYETISFNALALDPKATL >KZM99914 pep chromosome:ASM162521v1:4:35889426:35896502:1 gene:DCAR_012724 transcript:KZM99914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPAHGIRNNRQVFSVVNGGAQDLAATNGSNAGSDYGGDFTKDEVDALLNEKMKTKNKFNLKEKCDQMMEYIKKLRRCIRSFQQLEGNYLFEQDRLNNLLELAHNKFTDMELLMNSKEEEFNSIIVGLRKNHMSLQEKVFEEESNKLAALETLNKEKMERASAERLQTSLSEELARAQRENSSVAQKIISLTDMYKRLQEYNTSLQDYNSKLQKELATTNETLKQAEKEKSIVVENLSNLRSQYNSLQDQLTSSRASQDETMKQNEAIINEIGCLRGDLQKTRIDRDQLESELEAVKNEHVKYKECAKKSFAELEILKLKSNELEVKCSSDNDQIRKLEDHLAAAEKKLQMSDMSAMETRMGFEDQKKMILELQSRLATSELKIVDGEKLRKKLHNTILELKGNIRVFCRVRPLLTEDGIVTEENIVTYPTTTEALGRGIDLIQHGQKHSFTYDKVFMPEASQDEVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKSGSSSNKEGLIPRSLQQIFETKQSLEQQGWKYDMQVSMLEIYNETIRDLLSTNRSSVDMSKQYIIKHDTSGNTHVSDLTIIDVRSSREVSFLLDRAAQSRSVGKTQMNEQSSRSHFVFTLRITGVNESTAQQVQGVLNLVDLAGSERLSRSGSTGDRLKETQAINKSLSSLSDVIFALAKKEEHIPYRNSKLTYLLQPCLGGESKTLMVVNVSPDQSSVAESLCSLRFAARVNACEIGIPRRQTNMRASDHHRLSYG >KZM98121 pep chromosome:ASM162521v1:4:21230309:21236164:-1 gene:DCAR_014517 transcript:KZM98121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALRLPFLISAITTTTTSTAGYGRSILRHKYSRRLCTAAISTEKIAAGETEERRENKKAGVIAPRSQDFNAWYLDVIFNAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQLIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIQSYRDLPLMINQWVNVTRWEMRTKPFVRTLEFLWQEGHTAHATPEEAEQEALQMINVYIKFAYEQAAIPVIAGRKSSVETFAGAVKTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFADENGQREHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPKLAPIQVVIVPIWRKTDEKSGVMNAAISVKDFLHTAGIRVKIDDTDQKTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVISRRDVPGKQGKVFGISMEPSILVDYIEEKLNEVQSSLLYAATSFRDSNIVDVSSYDELKEAISQGKWARGPWSASDEEELRVKDETGATIRCFPFEQPQGPHTCLMTGNSADEVAIFAKSY >KZM96788 pep chromosome:ASM162521v1:4:6317335:6318000:1 gene:DCAR_015850 transcript:KZM96788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSAALSDSDLAVLESIRQHLFEDTDVLECCFDNTSPCSEQTYGSEVSLDDLLMDEENTDQVASFIFDFSKETSCCSLDDFDIIQGPLYNDPVEFEDPGKIELEVVAAENGAAQKWQRYRGVRRRPWGKFAAEIRNPAKRGSRIWLGTYDRPEEAALAYDKAAFKLRGSRAKLNFPDMLEPVDVAARCQSTEPTASSSSSSSTSTSDFQSSKRRKTRPLA >KZM97220 pep chromosome:ASM162521v1:4:12390316:12392902:-1 gene:DCAR_015418 transcript:KZM97220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALFSPSSLFAQDDDSSTDEENAEAQQKFVERNHQFPGMELLIREFTFHQLNANLLWPGSFAFAEWLVQHKSWIEGKRIIELGSGTGGLAIFLWKLFQIDITTSDYDDSEIEDNIAHNCKVNGVNPVLPHIKLTPRVSVCEM >KZM97547 pep chromosome:ASM162521v1:4:15687644:15691636:-1 gene:DCAR_015091 transcript:KZM97547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRILISSSTALLAILVIALSYQASASPPTKPASTEAVAGICSAAEIMHGYKCQEYDVTTADGYILSLQRFPQGKNGGGGMNKQPVLLQHGVLVDGMTWLLNSADQSLALILADNGYDVWIANTRGTRFSRRHVSLDPSNPDFWDWTWDELVAHDLPAAIDFVFKQTGQKIHYVGHSMGTLIALTSFSEGKQVDKVKSAALLSPIAYLSHMTTALGILAARAFVGEITTVAGFAEFNPKGEPVANFLKVLCLDPKVNCFDLITALTGKNCCLNTSTVELFLKNEPQSTSTKNMVHLAQTFRNGRLAKYDYGSANFNTQHYGDSEPPVYNLANIPRDLPLFLSYGGQDSLSDVKDVENLLDNLKLHDVDKINIQYIKDFAHADFIMGTTSKDIVYNQIMAFFKRHT >KZM97401 pep chromosome:ASM162521v1:4:14252935:14254242:1 gene:DCAR_015237 transcript:KZM97401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYECYKPPEERRLATQKCAQIVLRNKNLGLEEYRFLLRTIVNSGIGEETYGPRNIVLENLEERPRLSHSLSDMDELCFDTLDSLFARSTIPPSEIDILVVNVSLLSPMPSLTARIVNRYKMKPDVKTFNLCGMGCSASIVAIDLVQHLFKIHKNANAIVVSTESMAANWYCGQERSMMLSNCLFRSGGCSMLFSNNTSFKKQAILKLKCMIRTHTGSDDEAYGCCIQDEDGLGYRGFRLTKALTKVGVHALTKNLQILVPKVLPMKELLRYVIVSSPFWRKSKSTEQLGGLNLKSGIDHFCIHPGGRAVIEGVGKSLGLKEYDIEPARMALHRFGNTSAGGLWYVLGYMEAKKRLKKGDKILMISLGAGFKCNNCVWEVVRDLDNGNVWKDCIDNYPPENIINPFAERYGWINDKNLDTMAVDEIRDQFKHLI >KZM97260 pep chromosome:ASM162521v1:4:12899789:12901332:-1 gene:DCAR_015378 transcript:KZM97260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRKMKGGFVKKDRISELPRNLQEIILCFLPIRDAVRTSILSTKWRHSWTMIPRLSFNYSMFDYEDSDERWFSFVEPHGRQMNALKFVSAINKVLLRHNGPILKFSMETPSECDPLITHDYINQWIPLFARKGIKQLVLCDTELREVGLHQFASLDLTHLRLLSVWFPYTPVNGRFTCLKNLELIDATYDFGPSIFHCPVLEKLTLIGCQGIFHTNFLAPNLKCLLQICREITSDFSSIGLENLTEFSFMLTFVPEKQTETSNVVKFLSNLPKIEKFSAGLCFIKADCYLYGKKDLKNCWIDDSEISSFDNLEIVTFSDFQGRIAELELVKFLLAHSPSLKTMSIHRSEGMKKDVALAMTDDMLEFPRSSSRAKIRRLIRRVSITDFDDELWADYYL >KZM99903 pep chromosome:ASM162521v1:4:35729393:35729905:1 gene:DCAR_012735 transcript:KZM99903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQLTAAMGIKKTGRQLAGSPNIFVMANDAVFRSSLICLAVVILGVGLYTQSAKKMMGTYVLGMLGVCGILLPDWDYFDRPISHWCSPITLKKTTHDSAAAPSPSSSSSSTTRFKVYPIRLMIYALIYTYAFYKWWMWIFISN >KZM98816 pep chromosome:ASM162521v1:4:27091025:27092572:-1 gene:DCAR_013822 transcript:KZM98816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGNIPGGGADVAVGVQIHHSSSRLLPDFLQTVNLKYVKLGYHYLISNLFTLFLVPFIIITLVQASQTSSHDIHHLWLHLKYNLVFVILFFAASVFALTMFIMTRPRPVYLIDYSCYRPPDELKAPADQFMRHSRLTGDFDESALEFQRKILERSGLGEETYVPEAMHSIPPRPSMAAAREEAENVMYGALDSLFRNTGVKAKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNVRSFNLGGMGCSAGVIAVDLAKDMLLVHRNTYAVVVSTENITQNWYFGNKKAMLIPNCLFRVGGSAVLLSNKTGDRRRAKYKLVHVVRTHCGADDKAFQCVYQEQDDVGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFATLLVKKSFNPRVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMALHRFGNTSSSSIWYELAYTEAKGRMHKGHRVWQIAFGSGFKCNSAVWQALRNVKVSSNGPWEDCIDKYPVKVVS >KZM97673 pep chromosome:ASM162521v1:4:16765589:16766475:1 gene:DCAR_014965 transcript:KZM97673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQATPLIVGLAVAATAYAGKYSIQAWSAFKARPATARMRKFYEGGFQPTMTRREAALILGVRESTPIEKVKEAHRRVMVANHPDAGGSHYLASKINEAKDMMLRKTKGSGSAF >KZM99308 pep chromosome:ASM162521v1:4:30982225:30997164:-1 gene:DCAR_013330 transcript:KZM99308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQAIRSLDAFPRAEDHLMQKTQSGAFVSVVGLVIMTTLFVHELRYYLTTYTVHQMAVDLKRGETLPIHINMTFPSLPCDVISVDAIDMSGKHEVDLDTNIWKLRLNSDGYIIGTEYISDLVEKGHTSHKHDTVKDVKQALLTGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQMIFEGATHVNVSHIIHDLSFGPKYPGIHNPLDGTSRIVQKGSGTFKYYIKVVPTEYNYLSKEVLPTNQFSVTEYFSPMHEFERTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFAVTGMLDRWMYRLVEAVVKPNAKSGYR >KZM98778 pep chromosome:ASM162521v1:4:26819032:26822472:1 gene:DCAR_013860 transcript:KZM98778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKKSRGLVGWFIVLIVLAVVGVAIWLTVRKKSDHSDSVPSVPDSPGAINSKYSDALKVAMQFFDVQKSGKLVDNQIEWRGDSGLSDGKEAGVDLSKGMYDAGDHMKFGFPMAYTATVLSWSILEYGDQMKAVDMLESAKDSLKWITDYLRNAHASDDVLYVQVGDPDVDHKCWDRPEDMKEKRPVAQVNPSAPGSDVAAETAAALASASLVFRSSDSTYSSSLLKHAQQLFNFADKNRGIYSMSIPKVQTYYNSTGFGDELLWAAGWLYHATGDKSYIDYVTGENGESFARWGSPTWFSWDDKLPGVHVLLSRVNFFPLKGTPNSANLHNYRKTAKAIMCNVLPKSPSVTSSKTDSGLLWVSEWNALQHPVASAFLALVFSDYMLSSRTGKISCDGRSFSPVDLRKFAMSQADYVLGDNPLKMSYLVGYGDKFPQYVHHRGASIPADESPSCSEGWKWLDSAQPNPNVAVGALVGGPFRNETFVDSRNNTMQVEPSTYNSAVMVALLSGLVATSSVVKTFA >KZM96767 pep chromosome:ASM162521v1:4:5976852:5977510:-1 gene:DCAR_015871 transcript:KZM96767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLTFDRRKADERVAESRRDVKPPYVDPPIWDSLCDWWHSPKFKAMSPQNKINRPTNDVIHTTGAKPYIKFRQW >KZM99297 pep chromosome:ASM162521v1:4:30914749:30917358:-1 gene:DCAR_013341 transcript:KZM99297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDEVAVGIDLGTTYSCVGVWQHDRVEIITNDLGNRTTPSCVAFTETERFIGEAATNQAALNPLNTVFDAKRLIGRKFSDSTVQSDMKFWPFKVIGDCDKKPKIVVNYKGVERQFSPEELSSMVLLRMKEIAEDYLGKNVTNVVVTVPAHFSDSQRQATKDAARIAGLNVLRILVEPTAAAVAYGLDKQLTSSSAGEKVVLIFDLGGGTFDVSLLKIKKDNVKVLATAGDTHLGGEDFDNRLLNHVVEDFKTKYKKDISRDAKSLRRLRNACEKAKRFLSHTGTTTINVDSLHEGIDYSAKITRAKFENLNLDLFRSCVDTVKKCLEDAGMDKKKCYTSRDNQTTVGFNVFEGERARAADNKLLGKFELSDLPLAPRAKVEMEVTFTIDADGVLNVSAENKITGTKNSITIKREGILTEEEIEKMIKDAKQFKAEDEEFSKVKARVAFDGCVYKIRDMSEENDKLEASVKSMISYYFKEATQWIDANPDAEAYEYEYKKRQFEATCSQLIPGSAQDSES >KZM96851 pep chromosome:ASM162521v1:4:7669485:7671080:-1 gene:DCAR_015787 transcript:KZM96851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSYSICFVLLLAISCASSSALLKDENPTKNFVKCLNRYSADISDLVYTQESSNFTQTLLSTINNLRFAKPETPKPLVIVRPVSESQIQTVIFCSRKHDLQMRIRSGGHSFEGLSYVSPLPFVILDIINLKTFSFDAETETAWIGSGLTNGELYYRIGQKSDTLGFPSGLWANVGVGGILSGGGYGMLMRKYGLAADHVIDARLIDANGRILDRKSMGEDWFWAIRGGGGGSFGVVLSWKVKLVPVPKIITVFKVFRTIEQNLTNTFFRYQAVAPKFPKELEIKADGQCILSDASPRADKKTMIFLFEAMYLGGADQLLSVMQEQLPELGVIREDCFEVNFLQAGVYFSGFPLFTPPEILLNLTILPRPAFKSNNDYTKEPIPVEGLEGIWDLMYKLPPQKATLQFTPYGGRMDEISESALPFPYRAGTWFKFNMFAETDTDEADRIEWIRSITTYLTPYVTSNPRSSYVNYVNLWLGTNNLQGPTSYQQASKWGKRYFRNNFDRLVRIKTMVDPGNFFRHEQSIPPLSL >KZM98856 pep chromosome:ASM162521v1:4:27410157:27412262:1 gene:DCAR_013782 transcript:KZM98856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYTPKNILITGAAGFIASHVANRLVRSYPEYKIVVLDKLDYCSNLKNLNPSRTAPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGMQLPIHGDGANVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTKKERRVTDVARDICKLFSVDPETNINYVENRPFNDQRYFLDDQKLKNLGWAERTTWEEGLKKTMDWYTSNPDWWGDVSGALLPHPRMLMMPGGIERHFDGSENRDAESTRFVDKSAPSQVSSSDPKSTVPQKLALKFLIYGRTGWIGGLLGKLCEKQGIPYEYGRGRLEYRSQLVSDLQIVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVTGTLTLADVCREQGLLMINFATGCIFEYDAAHPEGSGIGFKEEDTPNFHGSFYSKTKAMVEELLKEFDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLKGIWNFTNPGVVSHNEILEMYKQYIDPSFKYSNFTLEEQAKVIVAARSNNEMDGAKLKAEFPELLSIKESLIKYVFEPNKKV >KZM98679 pep chromosome:ASM162521v1:4:25975118:25980964:-1 gene:DCAR_013959 transcript:KZM98679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVSVSTTPTGGGHSEEFAPVTADSFTKDGIKISVGDCAVFKAPKDSAPFLGMIHRLITSKEEGLRLSVSWLYRPADVKLVKGLPLEAAPNEVFYSFNKAEIPAASLLHLYKVAFLRKGVELPSGLSSIVCRRVYDTEAKCLRWFSDRDYVKERQKEVDQLLDKTNIDMYAAAQPGGRSPKALNGPQVKPSSDSAPNSSSSYHSQAKGKKRELLVQGSDSVKRERTCKADDADSGQLRPDQILKLEIAKITDRGGLTDFEGVDKLVQLMQPDSSMKKLDLACRIILANVIAVTDRFDCLTRFVQLRGLPVLDDWLQQAHKGKLGDNSSPKEGDKSVDEFLLSLLRALDKLPVNLHALQTCNIGKSVNHLRTNRNSEIQKKARSLVDTWKKRVEAEMNMIDAKSGSSRGGSWPTKSLNSEVSNVVGRRNGEPTEPGVTNSSVQTGASKIPSAKLGISEKLSVGSPGTAKQSPSVTASEVAGTKDLSTVALAGAGSSDAPLTTIKEDRAGSSDAPLTTIKEDKSNSSSQSQNNSQSCSSEYGKTISCREEARSSTCVSIGVNRTSSGVSRNRKPNNCNDGTAVQGTQKETSIGKMSSELRSLSSEKLSPTRLTSERTPDAPHVDNGNSRRLIVRLPNTARSPACSASGGSAEDVSATSGKGSPSMQVEERVENDGKVKGKIGTFQRNGSMNVAVDTNQQKDGLPIYEDANMSSGGLNSDELSRGGECSDKLTETPKDAHSPSRSAAKSGKSYEALFSSMNALVDSCVKVSEANVNASVGDDIGMNLLASVAAGEISRSNVSPSGSSGKKSSLPEESCSGEGMRLRHTDEDRDQNEDHRREVSNGAIIGHGSSKNSSHSNPSAKCTDVNMEKKLSTDSRTALFRCEEKNAGRGAALKACNGPVPKSHERPHEPVQEDTAAMSSENVKKKVNAASDEVDQFQEPRKPTNTRARDVNASSSKPEVGTDISNETIEVNGVPVPHDSAATLKNLEVKANEESPSCSSSHRCDEDKRNVKLSGMRGKMEDTELPGLSSTVGKREPEAAKDGEINLPLQTKQSEDQTPGLSSLTVHQMSENSHGISEKKDLNNLHLSGSPTKTTALPVQESKELIKSSGSKSDVAEEFTYIPDISTVTASVSESTVKVDFDLNEGLPIDDGISGDLDKLPDSGNVSSIPLPFTLPVPISLAEGRPSVTVASAAKGPFVPPENPLRLKGELGWRGSAATSAFRPAEPRKNQDNPPCTVSVPLVGSSATKQSRPLLDFDLNIPDQRAMEEVAPQKSVATSSETRRSEHIGAGFNLDLNICDDSPDIGHFSVSSCRMEMPKRPVGPSLYGAESSTSRDFDLNNGPGPDEGGYEAAPHAKNSIPFASSVPPVRMNNMELGNFAWIPPGVSYSPLAMPPILPGRGDQNYLTHPSANSQGIMLPPGNTSFNPELYRGPVLSSSPAVGYTPNIPFQYPGLFPFETNFPLSSNSYSGVSTPFTESSSGGPHYLPAIPPQVMRPTGMGSTHYSRPFVMSIPGSTSNVPESKKWGSQGFDLNAGPGVTELDRREERLPSALRQLPLTASQALPDEQLKMYQQMAGGVVKRKEPDGGFDGDRINHKHPPWQ >KZM99657 pep chromosome:ASM162521v1:4:33795582:33796721:-1 gene:DCAR_012981 transcript:KZM99657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPPVVAAKKGQWTPDEDIKLVIYVQEHGASNWSLVPENAGLKRSGKSCRLRWVNHLRPGIKVGKFTHEEELIIKHHHALLGNRWAAIAAHLTGRTDNDVKNYWYTHMKKKLDKVDGPVDEPTKNPVAPPSTLALVAAPPAVAPTLPPTLDPAVAPTVASVAPPTLAPAAAPPTVTHAVTIPVVTHAATPVFAHRCVLPTPTFAHASVFAPDRAVFDNPLTAPTSSFASGRAILDYSFTAPAPAPNFVRGREGFN >KZM99770 pep chromosome:ASM162521v1:4:34668126:34669592:-1 gene:DCAR_012868 transcript:KZM99770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSSCNAESSISISTCISSPNQTIPDNFRVKQFSYSLLHSSTNAFSSSNFLGKGSQSSVYKASLYDGKVTAAVKLTSLSAADNEIEILSRIRSPRIVNLLGFSIDSNNRRLIVVEFMPNGTLHDLLHVSGSVPSWNRRLRLAIQIAKGVSHLHSLSPPVIHRDIKSSNVLIDFSRSARLSDFGLALRGHGDDARVKCTPPAGTFGYLDPCYLAPCDLSTKSDVFSFGILLLEMISGRKAIDMKFSPPSVVDWAVPIIKSGDHLGICDRRIKRPENEAVVREIVIVAARCVRSKAEKRPEMSEVVQWLKEARKGVFSSSTTIWSSFGRRVGCSTQRVMYDALEEYKCSSSDVVKASRSGNRRNRKVSSVVSAELESLKFGSDSILVSNLGSGRIRLKSKSIGSINEIEIGLLDENVVRKKLGLGVKGSSVRGLRKSRSTSVLQGRELVENNKEEGVEENVKIANSSELVVSKLLIDNLGKQLKKLDY >KZM96970 pep chromosome:ASM162521v1:4:9309830:9311656:-1 gene:DCAR_015668 transcript:KZM96970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLNQPLNSTTTTANEQVYKILIYDKFCQDILSPLIHVKDLRKHGVTLYFLIDKDRQPINDDVPAVYFVRPSQENVQLIISDASRGLYNSFHLNFSSSIPRPLLEDLASGTLSSDSIHRVGKVFDQYMEFVTLDDNLFSLADKNCYVKLNDPSAGDKEIEEIVDRIVGGLFCVLATLGVVPVIRCPRGGPAEMVASLLDQRLRDHLLVKNNLFTEGGNFSGSLQKPILCLFDRNFELSVAIQHDFRYKPLVHDVLGLRLNRLNVQGEKGGTKSFELDRFDPFWVANGSLEFPGVANEIETQLNKYKRDVDEVNRRTGGSDGAEFDGTDLIGNTKHLMNAVNSLPELTERKKVIDKHTHIATVLLGEIKERSLDSYATKECDMMVRGGIDRSELVAVLKGKGTKMDKLRFAIMYLISTESLPPSEVEMVESALRESEVDTSAFQYVKKIKALNLSLASANSASRSNIVDWAEKLYGQSLSAVTAGVKNLLSSDHQLALTRTVDALMEGRPNPEIESYLVFDPRAPKSSSSSSHLRGPFKEAIVFMIGGGNYVEYGSLQDLAQHQQPVKHVIYGTTEILTGGEFVEQLAALGQKMGLGGGSSSSAGPVS >KZM97582 pep chromosome:ASM162521v1:4:15985934:15986152:1 gene:DCAR_015056 transcript:KZM97582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSHSMSLLCIYKRNHYNPPSREVVGGVMGLRKFASWIDSSDEYQSSMSCGLPLEGSESLRGSKGVSFRKD >KZM99532 pep chromosome:ASM162521v1:4:32785419:32785853:1 gene:DCAR_013106 transcript:KZM99532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYTFFVICVLHSVVALTCGAIMMFYTNELVVFSHGKETASKLTGSTPHDQLLIQTSDSFSGLLLFAIGFLLMMVAFVKDKEFQGFFARGCVLLHVAMAVWRIYFDRKLEDLGGTWLRLVVGDIVLGLSWCFFLVYTWREKYD >KZM97317 pep chromosome:ASM162521v1:4:13469224:13470843:1 gene:DCAR_015321 transcript:KZM97317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANLNSVTQESPQSTHIDLTDGQFMLDACAWCQKVVPFNVHDCEDINVCGDCKVLLLEELGTPIRDSRQRQTARVRRARYNNSESRENLFSQQFTSMLNLSRQRQQTTHEHDTQSVDTAGGRSMNRTSSQTTPLGSGRWRRVLSDTESDGVDSLYGESESNVSFSGYISISGYGEESDASADGHSFMDSDFLAHPGAGIYIDIGSDIDPMHAGVDQWNSDDQDEEGEDDNDNEWGEGDPGRNTGLSPSPGVRLHLPLPSPTRWHRPFRSPESHLRIRERRRTYMPDAVANLEESELHYYGEDPSNFLDARGFEELIENLADIDGSRRGAPPAAVSFVKSLPSLVISEDQEKQDGLVCAICKDSLSAGTVVNQLPCFHLYHHSCILPWLSARSTCPLCRFELPTDVKDCAQSTLRDLVINEISQNSLNDDGFSEISDSSSETVEDSEVIRHSVEQRVLESGARSLECSGGQNLRRRWFIAATAPIISLVGIVLVLWLGSPLTEGTQPVHHDNCTEHPNHNLLSGPHSQRENRGRRWWPF >KZM99033 pep chromosome:ASM162521v1:4:28883928:28884722:1 gene:DCAR_013605 transcript:KZM99033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFELSRTSSCSRSSSKQIIPSNYIRSTNSTMLDHSSDVHYMFSGKPLVAKRSSAVFHWHLGGFLRSLITLISLPMTLPTRRWLTLSKPLSIQPPLGKKVTGTLFGNRRGHVSFAVQDDPRSEPVLIIELAMSTASLVKEMSSGLVRIALECEKPRGARGKPRKLFSEPRWSMYCNGKKCGNALLRDCSDSYWRVLDTVQSVSVGAGVIPVVDDGKESAAEGELLYMRARFERVVGTRDSEAFYMMNPDGNGGPELSIFLLRI >KZM98895 pep chromosome:ASM162521v1:4:27743192:27746140:1 gene:DCAR_013743 transcript:KZM98895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIVTSLGDIVVDLHTDRCPLTCKNFLKLCKIKYYNGCLFHNVQKDFTAQTGDPTGTGSGGDSIYKYLYGDQARFFGDEIHLDLKHSKTGTVAMASAGQNLNASQFYMTLRDDLDYLDEKHTIFGEVAEGLETLSRINEAYVDEKGRPYKNIRIKHTYILEDPFDDPPQLAELVPDASPEGKPKDEVDDEVRLEDDWVPMDEQLAPGELEEVIRTKEAHSRAVVLESIGDIPDAEIKPPENVLFVCKLNPVTEDEDLHTIFSRFGTVTSADIIRDFKTGDSLCYAFIGEFIDIASVISFLFVFKYSLLIILAI >KZM97313 pep chromosome:ASM162521v1:4:13411772:13413729:-1 gene:DCAR_015325 transcript:KZM97313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLKDHGLSKLELTNFPGGSETFELIIKYCYGMNFEITTANVASLRCASEYLEMSEAYYEENLIARTEIYLNEVVCQSLENSLEALSACELLLPTAEEVGITKKCVDAISRNACKEQLASGLSRLQFDGDSTELKDKCLEWWIEDLSILSINFYRRVISAMANCGVRVDSITASLMHYAQSSLKGIGKQQIWNPARPDNGSMIVCQRVIVETLVSLLPTEKSCLIPLNFLFGMLRMAIMVDADLASRLELERRIALRMDLVSLDDLLIPSAQTGDSLFDVETVHRILVHFLQCVNEEENDDCGYESEGIGSPSHGSVLKVGRLIDAYLAEIAPDPYLCLTKFIDIIEVLPDYSRVIDDGIYRAIDIYIKAHPMLSEQERKKLCKLIDCEKLSQEASNHAAQNDRLPVQMAVRVLYFEQLRLKNSLSGSSGDLFLSQKISSGVPSAAMSPRDTYSSLRRENRELKQEISRMRVRLSDLEKEQVCMKQGMMDKSGNGRTFLTSLSKGIGRIAIFSGPPGGKQKKSARKSKVTDGKTRRSKRYSLS >KZM96645 pep chromosome:ASM162521v1:4:2850439:2851109:1 gene:DCAR_015993 transcript:KZM96645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKYELGLGAAVPRQAPVAPSSDPVERKLRGNLDAAKRKAAKYALESVPSARDESVDEDSEEELESRSRAISKRPATNSKSSLQARKKQK >KZM97602 pep chromosome:ASM162521v1:4:16138346:16139419:-1 gene:DCAR_015036 transcript:KZM97602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISGIQYQFMQKDGVFTYPPPLSSSITSPYSVTSTTSHLKSSSSGSKISPFVLIIIVVLAVLFFISGLLHLLVRFLTKHSSSTASQSDRFPEISSADALQRQLQQLFHLHDSGLDQAFIDALPVFVYKEIKGLKQPFDCAVCLCEFNENDKLRLLPTCSHAFHLSCIDTWLLSNSSCPLCRGTLFSPGFPIENPMFDFDDPREEEFHGIRENEASSNQKSVIEESVVDKGLLPVRLGKFRKLNHRAEEAGEETSSSNLDARRCYSMGSYQYVVDDITLEVALNSGRDGRISELHGQDFSGDDNDRGKKISVGTKTDSYSISKIWLWSKKGKFASSSETQLNYSLSSDTALPWIDRP >KZM96629 pep chromosome:ASM162521v1:4:2678260:2678724:-1 gene:DCAR_016009 transcript:KZM96629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILLIKTGKHATVVEKEPVKKKKNVNGNEEDKEDKKGDEGVGKDGEKFGEPAAHEAPVNGKKRGERGGKARGDCATDRKTRGETRGNGAEEE >KZM99447 pep chromosome:ASM162521v1:4:32127815:32139258:1 gene:DCAR_013191 transcript:KZM99447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNNGTVKIAGSQTIPDNTGIPRSSPLTSLSSSRYHIEGEVSPQFNLSAHRLMPLTDVNGSGTLKQETKLLNEKYVLEKQIANLRLVFDQQQQDLVDAESRAIEYRQYIMEENIRLSYALQEAQQERSTFISLLMPILAEHGFQPQVADAQSIVSSVKNIGLELVPQPIYANGKSKTLSDPVNTSDLDILARHQSVLGGGTANNLEIDDFGVYSPIASRNIASQSIPAQSALSREDSHPQSGETPSNKQVKFSDNVSRIEMDDSDMDGNQIVTDPSADWASKSSPYAADDPSPSYSPYLPPVFEEPSSSFSEAADDDPLPAVESLQISGEAYPGRELQASGYSINGTTSCNFEWVRHLEDGSVRYIEGAKQPNYLVSADDIDTYLAIEVQPMDDRKRKGELVKVFANEHRKITCEPEMQNVIKKTLHIGHATYPVSLLVEDSDMWESATLLVKRDGFSIKVKGTETSIGSVSEKFSPAITTGGSV >KZM99156 pep chromosome:ASM162521v1:4:29844742:29852029:-1 gene:DCAR_013482 transcript:KZM99156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKELTPLSTSLSKLSGSLRGISTDKDFHFYNNFDAFKLPVAEISSASQLMLDAIAANSSQLFGKQLGLDDDDDDDAYDWLVNVNDEVFERLDSAIDEFETLRKSGKAVNVRAENGGGEDLSLSEVKVAKKDDKVLGARPKIPFHIPSIVKPQKQYRILVNNSKEPFQHVWLQRSEDGSRFIHPLEKFSPLDFADKNVSTVESVKPLPVDFTTYTLVENLDDLKELAAKLRCADEFAVDLEHNQYRSYQGLTCLMQISTRTEDFVVDTLKLRIYIGPHLRELFKDPSKKKVMHGADRDIMWLQRDFGIYVCNMFDTGQASRVLKLERNSLEYLLNHYCEVAANKEYQNADWRLRPLTDDMLRYAREDTHYLLYIYDLMKIELLSLSTGSESSDGSLVEVYQRSYDICMQLYEKDVPTDSTLLHIYGIQSADLNAQQLAVAAGLSEWRDVVARAEDESTGFILPNKTLLEIAKQMPTTTSMLRRAVKSRHHYIDRNLGSVVSIIRNSMQNAAAYETIAEKLKEDRQKAISDGKSVVADGPEPLSNQAVPDTSDSVQNENILEIATSTSQVKRSEHQGSSDHATDNLNTQNDKGGSVPALPRNTFNMSNQIREASTNFSHLGLGNEATIQVLKKPNRGFGALLGNPKRKHDPEKVESKEAKLEQIRSSVNLPFHAFSGKVETLQPVEESAKPPQSLCHKEPVALSAAKSQGQDILVIEDGSDDDMLDEDPKFADDKLEQTEGNTPADIPNSPESDEELLNVDDPKLMDNKLEQTEGSVVLSNNRPVLDIIMLDDDSDEETVKDTPNSISDEFLQDKVSTPLSCNEKDETMSFSDPSSGHLQTSNGPGKTKAVKNSQEQGPSSPLQIKPFDYEAARKEVHFGEDRRRNGGDEGEKNKRDKGNRKKNAGKGQSEGGEESGGFQLGRRRQAFPVTGNRSATFR >KZM98251 pep chromosome:ASM162521v1:4:22253929:22257203:-1 gene:DCAR_014387 transcript:KZM98251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMISGAPASGKGTQCELITKKYGLVHIAAGDLLRAEIAEGTENGKRAKEYMEKGDLVPNEIVVMMVKDRLSQPDSVEKGWLLDGFPRSSSQATALQEFGFKPDLFILLDVPQDILVDRVVGRRLDPVSGKIYHLKYSPPESEEIAARLTQRFDDTEEKVKLRLETHNQNVESVLSLYQDIIVKVDGSADKDDVFAQIDKALAKLS >KZM98580 pep chromosome:ASM162521v1:4:25211801:25215025:1 gene:DCAR_014058 transcript:KZM98580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINCTIPVIDLHDFPAQSSKLIRACQDWGCFRVINYQKTLPDSLMSEMKCVVRSLFELPQEIKLRNVDNIVDRGYMAPSQNNPLYEAFGLFVSSPKDVHDFCSKLNASHHQRATIIKYVEAVRELFADITGKLADGLGISGFTIQGWPYQFRINKYNFAQATVGSRGVQMHTDSGFITILQDDDGVGGLEVMDKSGIFVPVDPCPGTLVVNLGDAAAAWSNGRFCNVKHRVQCKEGNLRYSIAAFLWGPNEPVEAPAELVDSAHPRLYAPFTFEDYRKLRVSTNQQAGEALDLFRLDGCTNGDN >KZM98811 pep chromosome:ASM162521v1:4:27069945:27071275:-1 gene:DCAR_013827 transcript:KZM98811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSFELLAFIFGLLGNIISFLVFLAPLPTFYQIYTKKSSDGFQSIPYSVALFSAALLLYYAFLKTNAYMIVSINGIGCVIESIYLFLYVLYASKKSKVFTIGLVLIFNVGGLGVIVLFSLLLVEGPDRVTLVGWICAAINIAVFAAPLSIMKQVIQTKSVEFMPFTLSFFLTLCATMWFFYGFFVRDYYIALPNVLGFLLGFAQMMLYCIYKNAKKESVYELDELKAKNKGQSAEGKLYTPTKIHKADSINGKELELHQVVVSTAPDHN >KZM96808 pep chromosome:ASM162521v1:4:6676720:6677267:1 gene:DCAR_015830 transcript:KZM96808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSLEDCQSISKEPEMPRGAGSVRNATISIILLGESAIDKIVGQISRPTPRSPLQNL >KZM97658 pep chromosome:ASM162521v1:4:16621429:16621599:1 gene:DCAR_014980 transcript:KZM97658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAWLPACHLQNPSSEVDGVWRMACEEPCMPLFYFLLDSAHCSVNVCYSWLMFLCF >KZM97887 pep chromosome:ASM162521v1:4:19009425:19028407:1 gene:DCAR_014751 transcript:KZM97887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGQETVKLSTLVKRTAEESFVSLTELVGKCKETELSDSEKKISLLKYVVKTQQRLLRLNVLAKWCQQVSLIQYSQQLASTLSSHDTCFTQAADSLFFMHEGLQQARAPIYDVPSTIEIFLTGSYQRLPKCVEDVGLQSILTDNQQKPALKKLDTLVRSKLLEVSLPKEISEVKVSDGTALVCVDGEFKVLVTLGYRGHLSMWRILHMELLVGESSGPVKLEEVRRHILGDDLERRMAAADNPFVTLYSVLHELCVVLVMDTVTRQVQALRQGRWKDVIRFELISDSNLGKGGKSVSQTSQDGETDSNVLRTPGLKIIYWLDLDKNPGAPDVGSSPFIIIEPGPDLQIKCLHSSFVVDPLTDKEAELSLDQSCIDVEKLLLRAICCNRYTRLLEIYKELGSNDLICRAADDVLLCSQEDEPDAGDKQKDDQFNAKGQEVLRVRAYGSSYFTLRINIRSGRFLLHSSGNMLASSTLSDFEESLNNGTMTAANVFVSLKSRSILHLFACIGRFLGLQVYDQGNSAVRVPKNILSGSTMLVMGFPECGNSYFLLMQLDEDFKPLFKLLETQPDLFGKAQSFVDLNNVIRMKNIDMGMMHILEDDLNLSLHKSAKLLAQVNNMGALQTPAKGLLSEFSTRAPKFDSVPPSSFSSVVDEVFELERGSSTPYSVQSPNSMFNNSPASVVGSVTRSFHGMQAGTSPKWEAGSQITDLPKVANINPNHSNLPYLSRNLMDLSQSSSASVLSSGPGKSAPVKKLSASKSDQDIPSLRSPFAAELGPYNRIDADHISCGNQSARLSRQPVLQTSTLSAKTNASTNSLPVGTAAGSLYVSGSRSLVTTTLSKGPDPAIISSPSLDIVPRQEKGLGKRTLSDMFNLIPSLRFSDVSKRSSKRRKLTESSLIHQPSSQMVTTAIGRTDAYNYAEVVAEANKGNSASNVYVSALLQVVSHCSLCIKHAQLTSQMEALDIPYVEEIGLRTVSSKLWFRLPSLREDTWRNICLRLGRLGSMHWDVKINDKHFRDLWDLQRGSNSTSWGSDVRIANTSDIDSHICYDAEGVILSYNSVEADSIKKLVADIQRLYNSRMFALGMRKLLGASSNEKFEDSAQLSDATVSAGVKSTGEVVNKSLEYVRRSFRIEAVGLMSLWFSYGSGALARFVVEWESGKKGCTMHVTPDQLWPHTKFLEDFINGAEVAPLLDCIRLTAGPLHALAAATCPARASPVSGVPGVASSNPTVSKQIGYNPSQGPTSSGTNFGQVSSGLGGNTGAFTNPGAHSTQNLHTAAMLAAVAAAGRSTPGVVPSSLLPIDVSVVLRGPYWIRIIYRKNFAVDMRCYAGDQVWLQPATPPKGGPSAGGSLPCPQFRPFIMEHVAQELNGLDPNFPGIQQAGDLGNSNYSGLGPGPQLLANNGNRAGIPGSTEISHLGNQVNGLSRVGNSLSASSNLASPGLPLCRPTGVPANVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHSQQQQQNPAIAELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPVSVLREFLKLIAWKKGLAQAPVGETAPTQKSRIELCLENHSGLDMHGNPGNTSSSKSNIQYDRPRNSVDFGLTVVLDQAHMPHLNAAGGAAWLPYCVSVRLRYSFGEKPNVSFLGMEGSHGGRACWQRLDDWDKCEQRVIRTVEMNGSSTGELNQGRLKLIADNVQKTLQACLQGLKDGSANF >KZM96775 pep chromosome:ASM162521v1:4:6142441:6149607:1 gene:DCAR_015863 transcript:KZM96775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGNPDILGDRQSGQDVRTQNVMACQAVGNIVKTSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYIEEKLAVKVEKLGKDSLVNCAKTSMSSKLISGDSDFFANLVVEAVQACKMTNARGEIKYPIKGINILKAHGKSAKDSYLLKGYALNTGRAAQGMPMRVAPARIACLDFNLQKTKMQMGVQVLVSDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDLRHVAKATGATAVSTFADMEGDETFDSSFLGHADEVVEERIADDDVVMIKGTKNSSAVSLILRGANDYMLDEMDRALHDALCIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKKHLSSMGLDLVKGSVRNNLEAGVIEPAMSKVKILQFATEAAITILRIDDMIKLVKDESQDGQD >KZM98042 pep chromosome:ASM162521v1:4:20614884:20618950:-1 gene:DCAR_014596 transcript:KZM98042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKKRFKESKVAMGMLVVQGFAVGLQILSRIILTRGTFIFALMTYRQIVGAVCVAPLAFYYDRSHFKKLTRLACFWLFMVALTGISMAMGLYYYGLRDTTATYATNFLNLIPITTFIFSAIFRIEKLGLHTRVGKIKIMGAMICLTGALTVALYKGPAVISHHDAHPLVVEKHKPKYLRGSMFLVGSVLCYGLWFIFQVKLFKVFPCKYMSTMLICITAAVQQVVVGLCIDHSKSSWRLGFDLQLINILYSGAFATAATFCLVSWAVAERGPTYPSMFNPLSLITIAVVEAVFMSEKLKLGSLIGMLVIIAGLYAFLWAKNKEFKNMNQTISVTAASTELPVTNGSSNNNETNNAGCDQAAKLRCPSTVAPTSSPDEHADVTIADTVKITV >KZM97326 pep chromosome:ASM162521v1:4:13542827:13547855:-1 gene:DCAR_015312 transcript:KZM97326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTLGKLSDRVFANYNIYKGKVALSASPRLPQFSKLDSGSLKVDRQGTIMLSFSPAIGERKYDWEKKQFFALSTTEVGSLISLGPNDTCEFYHDPSMKSSNAGQVRKTLQVKPYADGSGYFISLSVVNNILNISDRFTVPVTRAEFAVMRTAFSV >KZM98519 pep chromosome:ASM162521v1:4:24652494:24656134:-1 gene:DCAR_014119 transcript:KZM98519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPCSPSLHSFHDLELNQDNCTSKKTKEGCGSRKGKVLKEPEAIGMPVGWQQLTRSNRAPDGIDEDLMYRASMSKAHSALRAPIFDCSYESQEAVQVTEQLNTRVPSLDAYFGTQLVHGMEELGTLSLKRNDYFGNQQSMQELGQLNSTLLHDADLLSQQRLLGMGQLNFRPQMMQGCFDMQHNLRDMEHSDVRPVVLHAMGSKQSQSKHLPL >KZM99628 pep chromosome:ASM162521v1:4:33551859:33557402:-1 gene:DCAR_013010 transcript:KZM99628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLDGRSLLSKRSLERSSAFKRGPDAIVNGSPYEKAAALIDLAEDGMGLPEEILYDESNFADATKYYFLFIRFDLIWTLNYFALLLLNFLEKPLWCSQNLETSCDDRDYYFLGQLPYLNGAGSLIYEGITLAILIVHMFFPISYEGFNLYFKRNLNKLKIIFLLFLVADIFIYVLYLSPVAIYYLPFRIAPYIRVAFFILNIRDLRDTLVVVAGMIITYLNVLLLGLLFLLFSSWLAYVIFEDTAQGKMTFTSFGATTYHMFILFTTSNNPDVWIPAYKASRWYSLFFILYVLLGVYFVTNLVLAVVYDSFKGQLAKQIVAKDSMRDRILKKAFDFIVDQDFNYLNKDQCSLLLKELSRYRTLPEISEEDFGLIFDELDDGCGNDTKRDSQISFEEFTDICNAIAVRFQKENCRPWLFGFRFYHTDLSKMLRNFVVSSSFEYVVKFVLMLNIITVVIETTLDIQDSSGQRFWEQLEVVFGWLYFLELLLKLYTHGFENYWRDGQNRFDFVITMVIVIIETATFLSLPFLLNREWIRYLLIARMLRLIRLLIFVGRYRAFVSTFLTLIPSLMPYLGTIFCVLCVYCSFGVQLFGGLVNAGNLRLAETDLADSDYLLFNFNDYQSGMVTLFNLLVMGNWQIWMQSYKELTYSAWSYIYFVSFYLVTVLLLLNLVVAFVLEAFFAEMDLEDEEKLNNENNTSEGKDNGEDKKGKGKDDDKGKKNEGREPRRRYAGTKTRSQRVEMLLHRMLSSELKEHEELKEHAECSNA >KZM96852 pep chromosome:ASM162521v1:4:7686289:7686546:-1 gene:DCAR_015786 transcript:KZM96852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGEESISNLMKKKGLGWIEWLRGWFNVIYEMLFERIMASHLENPIPLPLLPKDFTCIITASTSGIDREIARFSFVSLSLFFS >KZM97066 pep chromosome:ASM162521v1:4:10358588:10358803:-1 gene:DCAR_015572 transcript:KZM97066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMKSPACQHKSKPKQELPPRRGQVKLNIVGNLIKFVVGLSDRKERNGGNGGACICMSCSATAKTSPQDF >KZM98086 pep chromosome:ASM162521v1:4:20992164:20992786:1 gene:DCAR_014552 transcript:KZM98086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYGPRLYSCCKCRNHIALHDDIISKAFQGRNGRAFLFSHAMNITVGRKEDRNLMTGLHTVADISCADCCEVLGWKYERAYEPTQKYKEGKFILEKSKIVNENW >KZM98252 pep chromosome:ASM162521v1:4:22264839:22269881:-1 gene:DCAR_014386 transcript:KZM98252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVPMIITLLFYSVIVVSAKKKFVLTLDSTNFTQIVAKYNFVVVEFYAPWCGHCKKLAPQYEKAAKKLSSHDPPVVLAKVDANEEGNKALAREYEIRGFPTLKIVRNGGTIIQDYKGPREADGIVTYLKKQSGPASTEIKSTEDADKLIVDNKIAVVGIFPEFSGEKFKNFTTLSEKLRSDYEFGHTLDAKHLPRGDSSLSEPTIRLLKPFDELVVDFQDFNVETLEKSVQESSLPLVTLFNKDPSNHPSIIKFFNSPDAKALLFMNFSSDLFDVFKSKLHEVAQQFKGQGIIFLMGDVETSAQAFQFYGVKDEHVPVIVIQTNDGQKYLKAHVEPDHIAPWVKDYKDGNVRPFLKSEPIPEANTEPVKVVVGETFEDMVSKSEKNVLIEFYAPWCGHCKKLAPILDEIALSLSKDPEIVIAKIDASANDIPSETFDVKGYPTLYFKSANGVLTQYQGDRTKEDILLFIQKHRETIVQQTLSKDEL >KZM99293 pep chromosome:ASM162521v1:4:30884377:30891277:-1 gene:DCAR_013345 transcript:KZM99293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPSATEAEIKKAYYIKARQVHPDKNPNDPLAAQNFQASLNLVTFQYMFILSEVLGEAYQVLSDPTQRQAYDAYGKSGISTDAIIDPAAIFAMLFGSELFEDYIGQLAMASMASMDISAEEQFDAKKLQEKMRVVQKEREERLAQILKDRLNLYVQGNKVDFVKDAEAEVSRLSSAAYGVDMLNTIGYIYARQSAKELGKNVMLLGLPFVAEWFRNKGHYIKSQVTAATGAIALIQLQEDMKRQLSSEGNYTEEELEEYMQSHKKVMIDSLWKLNVADIEATLSRVCHMVLQDSNVKKDELRARAKGLKTLGKIFQRVKSADSTGSETAPKSDTHKLNGNQSSTAETSYAAFASQSPYVEAPQFPGAERNYNFPMPAAPPGAHRHL >KZM99875 pep chromosome:ASM162521v1:4:35504182:35506886:1 gene:DCAR_012763 transcript:KZM99875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGMRAALFVYVSTVLESIAFIGNALTLFVYFYGSMNFSLTKSATMLTNYMGSTYLLSLLGGFICDTYLTRFNSSVIFGLIEVLGYGILATQAFIDNLRPARCKDITLLLMNKCEKADDGQTLMLYSGLYLVALGAGGIKAAVPSLGADQFDEKIPEEAVHIPTYFNWYFFSFVIGAIIGCTFLVWVNTNQGTDWGFLVSTLAVLAAVVFLCTGYSFLRHSIPKGSPLIRIAQVFVAAFKNRKLSCPETIEGYHQISGSKDETGTDQILKKTNQFKFLDRAAIINTTDSSDTGPWSVCTVTQVEEVKIVVRMLPIIASTIFLNTCLAQLQTFTIQQANTLDRNVLGIHVPSSSIPVIPLIVMFFAVPLYDRFAVPALRKLTGIPTGIRQLQRIGVGLVLGSLSMVVAGYVETRRKRVAVHHNMVDSPNPLPMNVMWLGFQYGVFGIADMFTLVGLLDFFYSESSAGMKSLGTAFTWFSSSMGYFLSTVIVNVVDDVSGGWLKSNNLNRDKLNYYFYLFAGLSTLNFGFYLLCSTWYRYKDVEVKELEGENKVEMGGV >KZM98790 pep chromosome:ASM162521v1:4:26919380:26923967:1 gene:DCAR_013848 transcript:KZM98790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRKQASKLRDQVAKQQQHLQRDIIRGVEGYIVTGSKQLEIDSKLSESSRKYGSENTCTSSSTLSRAALNYSIARGQIEKERAELLKILGTQVAEPLRDMVAGAPLENARHLAQRYNKLRQETEAQAIEVSKRQAKLRETDGNPESISKLEAAERKLQELKSNMVILGKEASTAMSDVEGQQQKLTLQRLITMVEAEQNYHKKVSNILNQLEIEILSERKRVDDNHRAAANAKPSPSYDGLSGVLFHQRDARSADSMEYFFGEVVHPYQAESDVELTLSFGDYVVVRKVSNNGWAEGECQGKAGWFPFSCIERREQVLASKVAVVY >KZM99120 pep chromosome:ASM162521v1:4:29584376:29587218:-1 gene:DCAR_013518 transcript:KZM99120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGRLVLVALTIFVAVVNGNSEGDALYALRRSVKDPDNVLQSWDPNLVSPCTWFHVTCNQDNQVTRLDLGNSNLSGHLVPDLGKLEHLQYLELYKNNIGGTIPAELGNLKNLISLDLYNNNITGKIPATLGKLKSLVFMRLNDNHLVGRIPRELASISSLKVVDVSSNNLCGPIPTTGPFEHIPLNNFENNPRLEGPELQGLASYDTNCT >KZM99430 pep chromosome:ASM162521v1:4:31973601:31980309:1 gene:DCAR_013208 transcript:KZM99430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKRFMGTLSASSIRNLLPKSLSNKRKTSNKSSFNSENIPPLSDPNIQSSTLPQAIKKSPIKVQNLRDRVVESDPVAVASDPSVKVVVRIRAANSKEGLGDFSVRNISDDSILVEERKFKFDSVLNSKSKQEDVFELVGVPLVKNTLAGYNTSLLAYGQTGSGKSYTMWGPPSAMLETNMANGQQGVAPRIFQMLFSEIQREKEISEDKQINYQCRCSFLEIYNDQIGDLLDPTQRNLKIKDDTKTGFYVENLTEEYVGSYEDVTQIMIKGLSSRKVGATSINSKSSRSHVVFTCIVESWCKNTASKCFSSTKTSRITLVDLAGLERTTLDDAGKQCIKEGKYVKKSISQLGHLVNILAESTQSSKTESIPYESSCLTHILRESLGGNAKLSIICAISPDNKCSTETVSTLRFGVRAKSISNHPVINEITEDDVNGLTDQIRQLKEELIKTKSNDCNYGKFGGHSARESLNQLRVSINRSLILPRIEDDSEKEVHIDADDIRKLSLQLDNLQNSIEDLTSEQYISCSDDSEKEENSSDEFQTSLTCENSFISCDGRESIASRNSISINACRQSQSAVLEEPAPSDSPKIGNAPRRSVMLSSSHLAGQENLSDSSKFTSDVLRQSRIGTDILRYSLRSSKIIPGTTESLAASLKRGVQIMDLHQRNSVSMKDSVEFSFEHFALKSCQTIDKANSPVHTLAEERQSSDRSSTRFLCSACNEILTSATGDVQNSSNTSLSRSNELEDQTSMATDIKLADATKREKELETLCEQQKAEIEQLKLLVEQSKNQIQTNKSIEHGNALPLEVLKNEIVPIQELQPEQLPYDESKQLLKQISCGNQETGVTNEQCDHDSIISFDKDEKETLLKEIESLRSKSQSDSDASLRKSTARLRSSSLLLQSIQMRKSGAYSSLGNSEEELENERQRWMEMESDWISLTDDLRIDLESIRQRAEKAEMELRLEKKCTEELDDVLKRSVLGHARMIEHYAELQEKYMDLVSKHRSIMEGVAEVNRAAAKAGGKGKSRFAKSLASELSVLRVERDRERDLLRKENRSLKIQLRDTAEAVHAAGELLVRLREAEEAASVAEENFTKVDEENDKLKKQVEKLKRKHKMEMITMKQYLAESRLPEAALRPLFREESDVAHNDDITSTTHDDDQAWRAEFGAIYQDQY >KZM96964 pep chromosome:ASM162521v1:4:9241904:9246705:-1 gene:DCAR_015674 transcript:KZM96964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTSSKLDDLPAVALCRDRCNFLNDAIHHRYALADAHLAYFLSLKSIGISLHRFFHLRFTASPAPPILNLPTQRKLDPSPPASPIHHIHSHSNSVGSHLQFNSSDDDVSDSEHLHLHSESDSPIHNHNHQNHHNNYNSNYNDNYINNDNSPYGHLNYIDHETLAQYNNNDTSHFMNMNYMRRNTTPSVLYEQRPPVSETVKFGDFSGSSSSAAAYNPYMYNNNSQVASTSYSSYGNSSYGGFFGSLPPENVIPAAATTAASTSKPPPSPPRGGSAWDFLNPFETFQEYYPPPHTPSMNSKELREEEGIPDLEDEDEVLKEVQGNQKFVDEGGGGGGPGGSRVSRDEYFKAALEDQVEGKGKESSHETEVHYRTSRGRESMDEDPVEYEVHMIDKKVVDKDEDKSGGHRPGLKTFRDDSEVVREIQVQFERASEMGNELSKMLEIGKHPHSRKHAAYQAVSSKMLNAIAPSLSIVSSEASTSESAPSLNYDDTVGLSSTNLSSTLQKLLLWEKKLYEEVKIEEKLRVQHERKSRKLKRLSEKGAEAHKIETTRILVRTLSTKTRIAIQAVDKISVKINELRDNELWPQLIGFIQGLTRLWKAMLDCHQSQLQAIGAARQLDDIVSNRYSSDAHLEATLQLEQELLNWVFRFSCWVASQKGYVKALNSWLLKCLLYEPEETADGIAPFSPGRMGAPPVFVICNQWSQALDTISEKEVIETMRYFAASVLQLWERDKSEMRQRMTVNKETELKVKNLEREDQKIHKEIQELDKRIVLVSGDANSLSVTGHVVYQSETSSNTSFQLSMQRIFEAMEKFTASSLKAYEELLQRSEDLRLAGEQDTFS >KZM96987 pep chromosome:ASM162521v1:4:9479320:9485914:-1 gene:DCAR_015651 transcript:KZM96987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVSNMSTSTRKLIYKDPNAPTEERIIDLMSQMTLKEKIGQMTQIERSVATPSAITDLSIGSILSGGGSKPFEKANSADWADMVDGFQKAALDSRLGIPLFYGSDAVHGNNNVYGATVFPHNIGLGATRDADLARRIGVATALEVRASGVQYAFSPCIAVCRDPRWGRSYESYGEDTEIVRKMTSILLGLQGEPPQGHENGYPFVAGRNNVIACAKHFVGDGGTDKGKNEGDTIVSYDDLKRIHMPAYLDCIAQGVCTVMASYSSWNGKKLHTDRFLLTEVLKGQLGFKGIVITDWEALDRLANPHGSNYRQCVLSTVSAGVDMVMVPFRYQLFIDDLLSLVESGEIPMSRIDDAVERILRVKFVAGLFEYPYSDRSLLDIVGCKTHRELAREAVRKSLVLLKNGKDPKKPFLPLDKNAQKILVVGKHADDLGYQCGGWTATWEGTSGRITIGTTILDAIREAVGEETEVVYEENPTADTFAEQNFSFAIVAVGEAPYVETGGDNLELTIPFNGSEIISSVADKVPTVAILISGRPLVLYPWLLEKVDALVAAWLPGSEGNGITDVIFGDYEFQGKLPITWFRNADQLPMHHEMKSCEPLFPLGFGLTTNKKV >KZM98230 pep chromosome:ASM162521v1:4:22114959:22116637:1 gene:DCAR_014408 transcript:KZM98230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLCFYFLVTGDLIGLFLFLAPTITFKRIILNKSTEEFSGIPYLMTMLNCALSGWYGMPFVSPNNILVVTLNGTGASIEAIYVLIFIIYAPKKEKLKILGILAFVLALFSTIALISVFALHHQNRKIFCGLAAGIFSVIMYGSPLSVMRLVIRTRSVEYMPFFLSLFSFLCGTSWFIFGLLGKDLFLSVPNGVGGLLGIAQLILYAIYYKDKGKTKNSTTDKSTEMGLAGCDHKSGNDEQKLGSEYRKASQDRS >KZM99267 pep chromosome:ASM162521v1:4:30652211:30656726:1 gene:DCAR_013371 transcript:KZM99267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKHKPTEPRTVCCIGDIHGHITKLQSLCSNLQAQIGPSDFNSALIIFLGDYCDRGNNTSQVLDFLISLPSKYPQQTHVFLSGNHDLAFAGFVGALPAPAGGAHFSDTWSEYEANEEREGWYKDLEYERMHVQSRRWAGKMSGLGPVSKSEYKGSIYDAGPTFESYGFHMDHLIKSHLRSLQRTICEGIAKMDLIKAVPVEHKKFLANMVWVHEEDNVCIKTREGIKQCRLIAVHAGLEKKRGVEEQLTYLRAKDTSIPKVEALSGRKNVWDLPEDLAKSSTIVVSGHHGKLHIEGHRLIIDEGGGLEHNPVAAVVLPSMTIVRDTDQPKN >KZM98383 pep chromosome:ASM162521v1:4:23494862:23497276:-1 gene:DCAR_014255 transcript:KZM98383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNITTLQVLDLSNNRLSGPVPDNGSFSLFTPISFANNLNLCGPVTGRPCPGSPPFSPPPPFIPPSTVQPPGQNGPTGAIAGGVAAGAALLFAAPAMAFAWWRRRKPREHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSTILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERQPSEPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLARLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKSLLKEKKLEMLVDPDLQNNYIDTEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAEKWDEWQKVEVIHQDVELAPHRTSEWILDSTDNLHAFELSGPR >KZM99277 pep chromosome:ASM162521v1:4:30763100:30773188:1 gene:DCAR_013361 transcript:KZM99277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNSNQCSLLSSCHLGTLIQKFQISSSQNHSQLADWPRFNERGMYFSCIVFGLMLDVFFVDDKQAVSAYFQANWDHLKLDNFNSLQGVLNGSPISHGFRTPPLPTFNSRQPLSNITNLGGGLNASPSSVVGNYHGYRTPNVHTLNSREPLSNVTNLGGELTGSPTSESHGFHTPNRTNLEGNRTRNCGRKAGTRCVATSENTPQTAPRDSTVPCSHIFNNLIGATNTPRTSSSVRSGAFRSTTSTNNTSCSAKNRTPGLLNAESNGFGSTPLPMHPSRQPLSNITNASGTRTTNRVHKAPTRSTTTSTNSPESAPKKKTVPRSDIFKNLFASTNSPQSCTTQNVRNRSVDTTQVRCSRLFQATNDDTNYEEIENSRPTDIPSEDEERLSDSDRSDVSEDSSEPEPFEDAPPMHQEMFNQFHSLLEIGTERSEWSISVRVQALWKSINRTTNEFRGYNMVLTDVQCFFTVDVIGKLEQSALSTYVSKEDSKKTNVKFKIFDASAQMNVTFFNLFGESFEKALKAMAEAEVIIVIACAKVNKYEGELYLTNYPATRFYLNPKHYSLIEFENSVTRKKAEEQLLSKMFTIAEIKNLTDTHIEKDVRCSVKVKKVEEQYNWYENCCPGCGEEVNKVEGRFRCTAECKRNIPWPDKRFRLTTVCSDASGILAIIFPDDEIQRIIGKEVFDIENDESQVGADGSTFPPLLKEFEKRDYIVTITISALNINKTSKVYKAKKLDNPEENLGENEPAELKSAETVDHTMETVSETVAEPRTSSPPTEKSSNRPRGIKNKIPVKCGILAETPNTKMKKS >KZM99046 pep chromosome:ASM162521v1:4:28969373:28970799:-1 gene:DCAR_013592 transcript:KZM99046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKGGVVLLTSPTDGNGSRLCKENLHPNPNAPCINPGGKMKVDSQQRSIGSILHSVGKPNGITRTSITSSGNVRIPGQIVDPLLVVC >KZM98103 pep chromosome:ASM162521v1:4:21140262:21142436:1 gene:DCAR_014535 transcript:KZM98103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRDEAGRAKIIAEKKLEDRDFAGAKKFALKAQNLYPPLEGLSQLLTVLDVYIAAENKIRGEMDCYGVLGVPCSSDDETIKKQYRKLALILHPDKNKTIGADGAFKLLSEAWGLLSDKAKRLAYNQRRSLNGFQQRVPSQSGRPSAVPSGNGFHNVTSRAPHLKPKSHKKTASPPVFRTDTFWTICNQCKMHYEYLKVYLNNTLLCPNCHQAFLAAEIAPPDNLPQSSSANSEQSHQKISKQAPNGKSGKNITSTQNVRQGGSAAPNLHKHSNLHSGTSNVCRTGKGEPSIAAKSANVVQQANERLKRAREESQDTFYRVELPSKRRAVDGAGSGLQNRFGLHGFFGIINKPNGTRELTPLENRNMLIGKALKDVKSKLSEWTFDAARKAEERRKIEQRKQEKLKNGIKFDEKLSVIGEYSLAKDGTREYVNCTSVDGESKEDPIAPAMNVPDPDFHDFDIDRTESSFGDNQIWAAYDDDDGMPRFYAFIHKVICKKPFKMRISWLNSKTNNEFGPLEWVSCGFSKTCGVFRVGKYEINKSLNSFSHKVKWTKGARGVLQILPKKGDIWALYRNWSRDWNELTPDEVIHKYDMVEVQDDYSEEQGVSVTPLVKVAGFKTVFHPHRDSDKVMKIPNEEMFRFSHQVPHYTLTGQEAENAPKGYLELDPAATPLELLQVLPEIDKVPAMKEEVNVEDQPQGASGNGVVDTAKGALLAEEREQML >KZM97709 pep chromosome:ASM162521v1:4:17120925:17124035:1 gene:DCAR_014929 transcript:KZM97709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAASHMMLQCAFDSCLSMSDIEIERRPYHRNCSCALHKLKDARPTACFQHGNAAFPKKQSWSDCSISTTTPKSSSQSLFVSNLAELEYSCKFTSPHSTQTPITETSTYKFKEKETSTIMDAVSGHMLPQYVFDSSLSMSDMDIERRPYHRNCSCALHKPKDARPKACFQHGNVAFSKIQSWIDCSMSVAATKSSSQSLFNSDLSGKNRDKDGLLLLRNKGIHGISSGR >KZM96575 pep chromosome:ASM162521v1:4:933102:945012:1 gene:DCAR_016063 transcript:KZM96575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSCALTSHLLLSPNTLSSPPTFHTFRSKCQVNRRGFSLRGLLVTGASAMPSSLIAQPHQGLERLPFKPEGYNFWNWRGHKIHYVEQGEGFPIVLIHGFGASAYHWRYNIPELSKRYKVYAIDLLGFGWSEKALVDYDALIWRDEIVDFLKVIVKEPAVVVGNSLGGFTALLTAEALPEQIVGVALLNSAGQFGNPIDETVEPTELSFGSTVLAPVKDIFQRVVLGFLFWQAKQPARIESVLKSVYKNTTNVDDYLVESILQPATDPNAGEVYYRLMTRFMSNQRKYTLDSVLGNLSCPLLLLWGDLDPWVGPAKAISIKEFYPKTSIVNLQAGHCPHDEVPELVNKGLIDWMSSLQFTSPVML >KZM97649 pep chromosome:ASM162521v1:4:16530475:16533932:-1 gene:DCAR_014989 transcript:KZM97649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAL-3 description:Phenylalanine ammonia-lyase MAYTNGHHENGNGVDLCMKKEDPLSWGVAAEALKGSHLEEVKRMVAEYRKPVVKLGGETLTISQVAAISARDDSGVKVELSEAARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGSGAEAGNNTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKFLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPTGVTLSPEEAFKLAGVEGGFFELQPKEGLALVNGTAVGSGMASMVLFEANILAVLAEVMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKMIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTSEAVEILKLMSTTFLVGLCQAIDLRHLEENMKSTVKNTVSQVAKRVLTMGVNGELHPSRFCEKDLLRVVDREYIFAYIDDPCSATYPLMQKLRETLVEHALNNGDKERNLSTSIFQKIAAFEDELKALLPKEVESARAAVESGNPAIPNRIKECRSYPLYKFVREELGTEYLTGEKVTSPGEEFDKVFTAMTKGEIIDPLLECLQSWNGAPLPIC >KZM99094 pep chromosome:ASM162521v1:4:29364118:29371011:1 gene:DCAR_013544 transcript:KZM99094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAAAATAKVEHITKASSVELLSKFAQVSSKSNNNKLALPLVKKKNKNLRLSTKRQKINREKPDGTNYESLLNNCAADRKSLLAPAANRKSTASIRLAKARNLKNKSLLGSLQKGLNYDYEEYEREPSSSRGRTWFRPDFRDKGSKAGGSNYKGPRSGRRRGFQFCEDDDDVENLFQSAFGGNKHYFWSFMSDEPPRSSSGYSYNSRYSSRWKWTHEEDYDTDADRSESESNSTSDRKTLGLSATGPLNADDVKIAYRKCAMKWHPDRHQGSSKAVAEEKFKVCSAAYQSLCDKLALN >KZM98357 pep chromosome:ASM162521v1:4:23211719:23220534:1 gene:DCAR_014281 transcript:KZM98357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDTPNNADNDSAHPADNHRHLAGESTDFVVTQPENESYRKRKSSMLPLEVGTRVLCRWRDSKHHPVKVIERRRVPSGGPNDYEYYVHYTEFNRRLDEWVKLEQLDLKSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRPEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >KZM98599 pep chromosome:ASM162521v1:4:25326904:25328754:1 gene:DCAR_014039 transcript:KZM98599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVSPQSKDSKNSKHKPRLRSSNNKYLRPGALAQIRYSKAKAAPRADARKERLGGLDGVENVEGSGVQIDGAKGVVDEGPLFLSPVRYGSGPVVGPFDVGRCNSLQRTPKTPSAAEDCDSDSRLEALPVDLLVKIMCHLHHDQLKAVFHVSQRIRKAVVIARQFHFNYTTPDRSRQEMLRIMTPLPTEHWPFSKRDGRGVVLPSPKTPKAPKHGPRPPSRIKLSEGPQIAAVLFQEPTFSARCMGPALHETLFKSLASNRVLFYEDELCNAVAQNKLR >KZM97962 pep chromosome:ASM162521v1:4:19824456:19833899:1 gene:DCAR_014676 transcript:KZM97962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTHHHRLPSVLQEPKFTSRKHGRGYGDTGDGYLTDQLMKVQVVNEVNKDFVIEALRSIAELITYGDQHDASFFEFFMEKQVMGEFVRVLRISKTVIISLQLLQTVSIMIQNLKSEHSIYYMFSNEYINYLITYKFDFRNEELVSYYISFLRAISGKLNKNTISLLIKTQNDEVVAFPLYEEAIRFAFHEESMVRTAVRSLTLNVYHVGDESVNTYVASRPRADYFVKLIEFFRDQCISLNELVGNTTNNKSSEATSKILSAVDDIEDNLYYFSDVISAGIPDVGRLITDNLLKLLIFPYLLPSLRMEEMRFDAVASLYVLCCILRIVKIKDLANTVAAALFCHIEAFIPSSEARLNGCTCGICFTQESQEASNGVDALQTDSGSSQNSTACLQKTIKVSLLDHKESCLALREQVFILKITLLACRDALLSYIAVGDDVQVMGSINVMATLLQAKELDESMLDALGILPERKKHKKLLLQALVGEGSGEEQLFSEEGNVIKEGCGDELTSYIDRLKNQYGVSCSCPEVAESPRIHKNQVFDALVSLFCRSDISAETLWDGGWLLRQLLPYRETEFNNNHLNLLKDSYMKCNSRLIEEFRGSWPDLLITVLCDEWRKCKRAIEASSPRKELKCILLPQHKLLAEDQSDSSLAAGERMRELVKVFVLFHQLRIFSVGRPLPDQPPINPPIDFPEKCRAKIAGVDNIGPKPSTELSLADAVPCKIAFERGKERHFFFLAISLGSSGWVVLAEELSSKVRRGVVRIIAPLAGCNPKIDDKHSRWLHLRIRPTTFPLRDAVNFTAQAKTKSRPLVDGRWTLAFRDESSCKFAQSMVVEELNLQSKEVERRLGPLLDFERAVNYLNSSDLEPSSPRIPVNSL >KZM99108 pep chromosome:ASM162521v1:4:29461766:29472124:-1 gene:DCAR_013530 transcript:KZM99108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFGVLADKKSLKSAKASFRIPYFTQWGQSLLVCGSEPVLGAWNVKKGVLLSPTHQGDELIWGGTLTVPAGFDSEYSYYVVNDQRTVLRWEAGKKRRLVLPDEVQDGEVVEFHGLWQAGSDALPFRSAFKNVIFGKCLSLNSEITPGIIQNKLDGKDGIVVQFKICCPNIEEETTVYVIGSSLKLGRWKVQDSLKLNYAGDSLWQADCIMQKDDFPIKYPLGLKYSKAGDFSLETGPNRELFIDFSTNQAKYVVLSDGMMREMPWRGAGVAIPMFSIRSEADLGAGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVNMMWWDSYPYSSLSVCALHPLYLRVQALSQDIPKDIKQEIEMARGQLNGKHVDYEATLAKKLSIAKKMFSLEKDVILNSSSFSKFFTENEDWLKPYAAFCFLRDFFGTADHSQWGLFSHFSTDKLEKLTSKDSFHYDIICFHYYIQFHLHIQLLEASEYARRKGVVLKGDLPIGVDRNSVDTWVYPSLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLVGKFRPSIPLSQEELEKEGIWDFDRLSRPYILQENLKSLTIAAVPFSGLQEIFGPSWSVVAANFMNEYQKNFYEVFKEDCNTERKIASALKLCMEKSLFSEDEVELRRKLFDLMQNIALIKDPEDPRKFYPRFNIEDTSSFKDLDEHSKNVLKRLYYDYYFHRQENLWRENALKTLPALLNSSDMLACGEDLGLIPSCVHPVMQELGLIGLRIQRMPSDAGLEFGIPSQYDYMTVSSAIMVCAPSCHDCSTLRAWWEEDEERRCQFFKTMMGSEKLPPSQCVPEIVNFILRQHVESPSMWAIFPLQDLLALREEYTTRPAVEETINDPTNPRHYWRFRVHVTLESLLKDKELTKAIKDLVRGSGRSHPLSAEAESNVTQQVHLVSDKQEITVGQDKLPLANKLNGVPETKTIPVL >KZM99117 pep chromosome:ASM162521v1:4:29570270:29573066:-1 gene:DCAR_013521 transcript:KZM99117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVPYKAQGSEQISPVAAVGGPPVETSPPPAGANAKQRLRWTSDLHDRFVDAIAQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADGSKEDKKISGDSLDSMDSPPGLQINEALRMQMEVQKRLHEQLEVQRQLQMRIEAQGKYLQKIIEEQQKLGSSLVGTESQPGGEDKQFQSYSHQATDASVQPLSPRKKQKVINEGPDGSAPSLAAPEIGNHWDPKWYNNDSQFRGPMD >KZM97177 pep chromosome:ASM162521v1:4:11943165:11953841:1 gene:DCAR_015461 transcript:KZM97177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSSNSDISLPGIFASSAFAACFAEICTIPLDTAKVRLQIQKKAVAGDGVALPKYRGMLGTVGTIAKEEGLSALWKGIVPGLHRQCLYGGLRIGLYEPVKNLYVGENFVGDVPLMKKILAGLTTGALAIAVANPTDLVKVRLQAEGKLAPGIPRRYNGALNAYSTIVRQEGVRALWTGLGPNVARNAIINAAELASYDQTILKIPGFTDNVFTHLLSGLGAGFVAVCVGSPVDVVKSRMMGDSTYKNTLDCFVKTLKNDGPFAFYKGFIPNFGRLGSWNVIMFLTLEQMCRKMYTGTTAFFKVASVDFCRDVESTILDEPIRRANIRAGVPGAGLNPRSDNISQFCRGSTQPFQPSPSARRLSEVDLSGTYHAEFVIKYKQEFERDLDPQTYQVFYRNKRLASEVLPSRSIAICFEVATFPNSKSELEEEILPLEQMKMEPITSS >KZM97215 pep chromosome:ASM162521v1:4:12321233:12327941:-1 gene:DCAR_015423 transcript:KZM97215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCTLRLSFPIFHLKWSANGPQRLRNFSTYNSKFDSSQGESQDVSERPNREEAQSQSHVILVERYGNGTSKRYILDENSRLSTYVDVVKSKPDRVGNSESSNGELWWLPDNVKDFILPAGFPESVSADYLEYIMLQFPTNVTGWICHALVTSSLLKARQSNSGLGVGSFSGTTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDGDPKQWRMYADFIGSAGSIFDLTTPLYPSNFLLLASLGNLTKAVARGLKDPSFRVIQNHFSISGNLGEVAAKEEVWEVAAQLVGLGLGILILINLKRARILVQSHVSGSSIPGSHDCNSKEDILMWEKFIKPRIRFGVPLENMVGGEIPGSEGGDIPGSKVKMLLLLYEKEKYILVVNQKEPKEFEALVSFKEGATSLTVLRSVWQTYWLYQNLADTDAYINKLEESLNVLSTRFENFLQQLKEAGWDINLLSLKVPKDIFIEETHGA >KZM99733 pep chromosome:ASM162521v1:4:34362295:34364654:1 gene:DCAR_012905 transcript:KZM99733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTDSTKVVFNRIQKLEPENVSKIVGYLLLQDHGEREMIRLAFSPDNVLLSLIDKAKTGLLSNSVASLSASPPQMSPVPISEVPLQFTPFPPSQSFSSLSSAIRVLNPYWDPQISAEQMALRNLEYVPVGYSESPPDDYRFQNQLQFFSLEDQMDVGNSNQSDASGSYYLPKAAVGPRASRRSPSMPEFPVKVCHYFSKGFCKHGSNCRYFHSHLIPESFGQMFNPSTNEITNEDQDFSLRSLEKLEMEITELLKSRRGFPVSIASLPMLYYEKYGKTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDSYRPHGQHCVILAKDFPKYMESNGERNEHGGILAGSRQIYLTFPAESTFSEQDVSNYFNKYGPVQDVRIPCQQRRMFGFVTFVYSESVKQILAKGNPHFVCGARVLVKPYREKSRLSERKCTENFYHSMHYGSHILDAESDIHSSHKFNDNSRILRKQAMEENECLLEFERMRFSEMQLAAKSVNPHSYFGHVNEMKLSEAHEDQSDLPSTEGFNYLLDVLNNGSGTSEETIRCIETKYNDHESNQGLNLPDSPFASPVAGGISTVS >KZM99837 pep chromosome:ASM162521v1:4:35273377:35273664:1 gene:DCAR_012801 transcript:KZM99837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGWFHKLIFLVLLVSAEEKQLPLSQNESSMRSVSQNEGSGLAKAVTELKQRRQQEQKQEQQQQQSEAPAVHSLDQFFAGKRSVPNASDPLHNR >KZM98282 pep chromosome:ASM162521v1:4:22542504:22543610:-1 gene:DCAR_014356 transcript:KZM98282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPTVGFTSLPLDQSNFDIQRPYDVPVNKRYSFINGVHRMWVYKSDKPHSPDSHTNPRTEIRIKGYDFSSGVWQFEAYGYVPKVTSGASIMQIFGGSPHATTLMLWTYKGTLAYYSNPVLVRHIYSRWFRLNVIYDVDANKVQVYIDGDLKLETTGRGGNSHFFKCGVYAQDHDSHYMESRWKNIKVLRKN >KZM97630 pep chromosome:ASM162521v1:4:16380039:16381820:-1 gene:DCAR_015008 transcript:KZM97630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGNSNGGCASAWQEKISLGFHVVKGRWFTIFATSLIMSVNGSSFMFGLYSNDIKSSLGYDQTTLNLVSFFKDLGGNLGIISGLINEFTPPWILLVIGAVMNFTGYFMIWLAVTGHTAKPQVWQLCLYIWIGADSQAFASTGSLITTVKNFPESRGIVLGLFKGYIGLSGAIITQIYHAMYGSDTKSVILLIAWLPALVSILFLPTFRIIKVARDKNEANIFFNLLYISLGLAGFLMVMIIFQKRVAFSRLEYGGATICVLILLFAPLNFVVREELSQWKTKKQLLTNPSNQLQVFTEKPSSTDKPAGPVSQQELLPESANAEAGNSPEKPFSSIIKAFKSPQRGEDFTILQGICSIDMLLLFVATCCGMGGTLTAIDNLGQIGQSSGYSAKSITTFVSLVSIWNYLGRVSAGFSSEMLLKKYNFPRPLMLTLVLLLTCIGHLLIAFAVPNSLYISSVLIGFCFGAQNPLVFAIISELFGLKYYSTLFQIGALASPVGAYVLNVKMAGHIYDSEAIRQLAAKQLVRQAGKELTCSGEVCYRFTFIVIAAVTLFGSVVSYVLVIRTRSFYKGDVYKKFKEESCTGEVVSERKS >KZM97736 pep chromosome:ASM162521v1:4:17334754:17338393:1 gene:DCAR_014902 transcript:KZM97736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGHKQSSFRKALGAIKDRTTVSLAKVHSDYKELDINIVKATNHVERPAKEKHIKTVFAFISGLRPRADVAYCIHALARRLAKTHNWAVALKTLILIHRALREVDPTFQEEMINYSRSKRHMLNMANFKDDSSPNAWDYSIWVRTYALFLEERLECIRVLKYDVETEYSRTKDIDTPELLVHLPALQQLLYRIIACQPQGAAFQNSVIKLALSLVASESSRVHNAIKEGTVNMVDKFFEMKRHDAQKALDIYKRAGKQPPVSVLQAMEDYVGEAPRNSIVRQDRSIDSAKVIEAIERQKSREVQEKRPPSPSPPPSESEPVKVEAPRQRQPDLLGLNNPSPAYPASDQKNASDLAIVPVEKQTTTTGSDLASVTSGWELALVTGLDPSESTPASSKLAGDMDKLTLDALYNDAIRRNNQNVSYNPWEQTSLANPAMTQPAYDPFHASNPLAAASSVQMAAMANQQQAYMLQQQRMMMMMNPQQQHFNSFGNPYGAAAHPYCSGMPLPAYSAYNRLI >KZM99609 pep chromosome:ASM162521v1:4:33407146:33407751:1 gene:DCAR_013029 transcript:KZM99609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPVVQEQVGPKAEQVGPDVEEVHYRGVRKRPWGRYAAEIRDPYKKCRVWLGSFHTAEDAARAYDAAAIRFRGARAKTNFAPVRQSPARSSVENSASGGSVGVHALPRVGVTRRLAAGFPVTTPCHPVVDPRVFCQFVYPPGMMCNVVHPIPNAWRAGGVHGGALSDSGSSSVVDGLHRSSGSTSKELNLELTLAPPSGY >KZM98430 pep chromosome:ASM162521v1:4:23917667:23918841:1 gene:DCAR_014208 transcript:KZM98430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGYGDSSQKIDYVFKVVLIGDSAVGKSQILARFARDEFSLDSKATIGVEFQTRTLNIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLQELRGQADKNIVIILLGNKNDLEDERAVSTEDAKEFAQQEGLFFLETSALQATNVEDAFMTVLTEIFNIVNKKTLVASEDQSNGNPALLTSKQILVPGPGQVVPAKKGMCCSS >KZM97279 pep chromosome:ASM162521v1:4:13046682:13055439:1 gene:DCAR_015359 transcript:KZM97279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVYYHIVPSTIGSMRCSLRSSVAFLITIFVICVIYFPRENGRLYDQKKDLLTDDHTSKRSPDQNHSSDSCDLFSGKWVFDNESYPLYKEDECPFMSDLLACKKFGRKDFNYQHWRWQPHQCNLRSTIVRAQAIYKHAKHWTDADILIFGTYLWWRRPFMNTLAEEWGKSTNGTCYNEQEMIKEEGYRGNGTDLRMMRIVEDTIDELKRRGLQVKLMNITQLSEYRKDGHPSIYRKQWLALSEEQLAKPTSYSDCTHWCLPGVPDVWNELLHSLQSSVAFLIAVFVIAVIYFAWKNGGLYDTSTSKWSADQNYSSESCNLFSGKWVFDNESYPLYREEECTFVYDENACQMFGRKDLNYQHWRWQPHRCNLKRFNATVLLERLRNKRMVFVGDSLIRGQWLSMVCLVNNFIPPALKSMHYSFNNSLITLKAQYMDSGTQKFEYSMINMMKEYNASIEFYWSPMLVESNSDDPWHHLVPDPTVRIKSIYKHARHWTNADILIFNAYNWWNRPFVNTLGEEWGKSARENCYNEQEMIKTEGYRGNETDPRMMRIVEDAISDLGKRGLPVKLVNITQLSEYRKDGHPSIYRKHWGALSEEQLSKPTSYSDCTHWCLPGVPDVWNELLYTHIFS >KZM97719 pep chromosome:ASM162521v1:4:17205911:17206522:1 gene:DCAR_014919 transcript:KZM97719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNRRTGSNSSVLRPQFPSGSFHSPRSSGSSPFASSSAAFSSHTSPFLRRSASPPRVNLYNSSSSLKFSIDRADSPSRSISAARRNETVKKPGNAPIPSQKRSCMCSPTNHPGSFRCSMHKNAANVSNQTTSYRGNRLNERRSAMTNSLVRIGTVEGELMKRTLAALIRPSSHSQKRRGSFQPRPSRLSVMSKAEDVPSDQ >KZM97885 pep chromosome:ASM162521v1:4:18977557:18989322:-1 gene:DCAR_014753 transcript:KZM97885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFASTSKHSGDIRRRPNNIGEVAVFVPGLRIPKPVDFSQSLGDHLSKNLVERLSALRTRVVVMAGQEGPAITRTKRRSATQHGGSTLGDLIQALDDYLPVLMGLVKEGTPLQHKVQFVWVNQEDEVEETAMFSAWYEVLSVLHLMAALLFSQANLLLLPRTSSDGHQPKVSEESRRSSIDIFLKAAGYLDCAIQQVLPQLPPELRRNLPVDLSEGVLRALCLQALGQGVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKTCEAFNAAAPLSRIPPLWGTMKFLSEKIPKDTSSKVRINRDLYSYEKIMETAPTLPDFALALKPDEFQLPPRSVCISHLFGKIALVNIGHLEAVVHILKQPCISGVSRVCKPIPQSSLIGNREKVGDNLKGVYVDIVCTFNGDPVWFIVSDRNPKYIYWHGNASCRNKGLKTRIENVLDAARSSISLRPTSVILFFSNGVEDDVSEGLRNDFAATDCHMDFSSFEFGFSEELEGEWVDILARSYERASVVEIKVEHLESSSSLCAKETLHGVTKPGISASREEKFGNYESFHSFVSLMDLSLINDKNLGPALLENSLSNLINFDTTALIAIVSGISNGGIEKLLATPESELRSRFKSNYDFVIAQVMSEVQNPIHMELGGVVSGKGGIICETVCSEFKELVSMCGGPNEKLRAKHLLEHLIVVPDSPSTRMMSLATTRKLALKNKVVFGTGDLWHAPTLSANMAFVRAVSQTGMSLLTIEHRPRALTGD >KZM99397 pep chromosome:ASM162521v1:4:31787696:31789251:1 gene:DCAR_013241 transcript:KZM99397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMLEQIAVVAVIVMGLSEIMGGVVGLRIMLEKQECLSHKADHGATVRASFVVVKVHGWRQSMSSGADLVVTGPSGEQIRDFRDKTSEMFEFVANKEGVYRFCFTNKSPFYETIDFDVHSSYFFHFDQEHAKDEHFKPLLESIAKLRDALYNIQYEQHWLGAQTSRQAIVNEKMGKRAIYKAVFESAALVSASGLQVYLLRRLFNRRLEMSGF >KZM97628 pep chromosome:ASM162521v1:4:16346450:16353669:1 gene:DCAR_015010 transcript:KZM97628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPGVIDDNVDAALSLPSRTKSLGAISFGSSDLPTTVGNTEVSRSSSGISSYRVGEFVLSNGDFYSGSLLGNKPEGSGLYIWSDNCKYDGEWRRGMRQGYGKMRWPSGAVYEGEFSGGYMHGTGTYTRADKLTYKGRWRLNLKHGLGYETYPNGDVLEGAWIQGTAEGPGKYTWVNGNVYLGNMKGGKMSGTGTLTWTTGDSYEGSWLNGMMHGFGVYTWYDGGYYVGTWTRGLKDGKGVFYPKGSKFPAVQELYFNALRIRGLLPDLRSQKQVSRIQHASSVDMGNFKIGGNRGSRRSPSINLSNRNLINMEQSRTTNVSLERRWSLEVAIEKHIGNDVSNNSVLDGEENEFDTNASILEREYMQGVLISEIVLNNKFASSRRAKRWQKKYAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRNSDFGPRASFWMTFPKEGSQLTPSHQSEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPDYHKHVRAYDNTLITKFFGLHRIEPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKVEIDENTTLKDLDLNYCFYLEPTWREALINCASFSMSPHIALACRLKGCSFVIYLKRNIGKSPVCAHTRIEIDSKFLEAQNIMDYSLLLGVHYRAPQHLRSLMSYKQSLADGLGVVAEEGSMEDEISPQGLVLVPRGMEDNSVVVGPHVRGRRLRTSATGGEEVDLLLPGTARLQIQLGVNMPARAEHIPGKKESEMFHDVYDVVLYVGIIDILQDYNAHKKIEHAYKSLQFDSLSISAVDPVFYSRRFLEFMKKVFPRNRVAP >KZM97269 pep chromosome:ASM162521v1:4:12964006:12964548:-1 gene:DCAR_015369 transcript:KZM97269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYNINSSFKYCDNNNEMQHLEKKKKMKSGKKQACGRKEVKLSTDPQSVAARERRHRISERFKILQSLVPGGSKLDTVSMLEEAIVYVKFLKNQLWWLIHYHTQQHQNINFNYNSNDYHVVLDEQPSTSFNDLPYDDRDPRRISTRDDSGLFLQNASSYDHEMAQILEFQDSWLAETSV >KZM96883 pep chromosome:ASM162521v1:4:8246097:8247947:-1 gene:DCAR_015755 transcript:KZM96883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSSSVITSHNSDAKSLGICVQEKLKSCKSLQELKQIHAFLIKTSSPIHFHHLIFTKTLSFSPCSSSSSDPTYAHSLFAKLPEPDIVAYNAVIRYFSSAKNGNNSLVALLVLVGLLENGLFPDNYTYPFVFKACMQLCKLREGEQVHAHVIKKGFVSDLYVVNNLMRLYAVCGGVDRMRKLFDGSPERDLVSWTTLIQGYVHMGFGREGVHVFFEMCSAGIRPDEIAMVVVISACAKLGDLRLGRKIHEYMFDHKVKFDVFVGNALVDMYLKCGCAEFARKVFNEMPVKNVVSWNSMIFGLAQQGKFREAIIFFIKMQSKGFKPDSLTLVGVLHSCANLGGLELGTWVHAYIDRHHLEADGFLGNALIDMYAKCGSIEKAVRVFKNMKRKDVYTCSTMIVGLALNGQAERALEVFLEMRNMKIEPNDVTFIGVLMACSHAGLLEDGRKHFIQMSKVYNLEPQVEHYCIMVDLLGRAGLISEAEEFIKEMPIVPDASVWGSLLGACRIHGHVELGKNVMEKLESIESEEDGTYVLMSNIYSSSDRWREASKLRKAMKELKIKKKPGCSLIELDGVVYEFRKGDKAHPKANVIYTLLAELHYQMRNPTDLIHSDII >KZM98286 pep chromosome:ASM162521v1:4:22551476:22556521:-1 gene:DCAR_014352 transcript:KZM98286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLYLKKPLFISTRTISINHIQLSHFSATPSPFHNTPSYSSRRHEDESRLVRVSVWWDFENCTLPKGNYAYRLAQCITSAVRANGIKGPISITAFGDVMQLSRSTQEVLSATGINITHVPNGGKNSADRSLLVDLMYWVSQNPPPAHLFLISGDRDFANILHRLRLSNYNILLSSPNTAPGVLCSAASIMWQWHALVKGEDLNGKHFNQPPDGPYGSWYGHYRLPLEDPFSVTEQSACSQLGDSSESGSEDTFRPVPEEVVKQLQHILKSHPDGMKITDLRQELNSSDVTLARDFYGYQKFSRFLLSMPHILEIKDLGSGLFSVKRVTSKYHDSADKNPSTNSTHVTTNEDRNQTISEKSSDPEEKSSVPSSLKVHVQEPLRNSKNLPEPTNQLEEPLKNVPDPLEKANSAKVQTDPLEKSELLIHVEIPSEKVPDNPLNVETVNNREFTNSYPCSSQEQDPAPEVGLLTRIWRKWINGKDGSSKENNIEKLDAFATSTDSNMKTEEIKSNIVESSGACNDPVGVAENLSSRDEMITDRSVTRSCEADDRSNRHPGFLSQIINWSKLWRSKELSDPVKISIDEKNLIRGDTMEKNPIFAAESFWNEMVTFINTPKGSDVVERSMTRVEMSHSLQKQGPPVLRNLIESDLLHLVDLLISDKKWVGECPSEKFPFKLIQPTDKGSSCQGLSSMFLDTQSEPGLPSLQKQTQKGYQNLPPAGDSLPTMHNNPNKSRSDVAADCRKLVEFIITEYPQGFSISRLRKLFLEKYGYSLEAHKFGYNNLVSLVQKMPWVKIEGGKIMPAAPSDIDLKSCGVESTDPSVPKADVGDAKSNFGADIFGTSKTSDQLDSSWEELGPVTYTTPKRQKMKSSSKKKRKEAVGQVKYDYELVPDDYLSSDEENLSSRGMDGRKHFRKNGEDSSLLQILDRYHNKEDDTRSKDCTRAVLDKSDAVDSFKKDSISSLSSSTLENKDHVGSCEHNLRPSKSYSFVSDEVVDDKDKLIDGILGSLKKSGGRSTESGI >KZM99824 pep chromosome:ASM162521v1:4:35166471:35167641:-1 gene:DCAR_012814 transcript:KZM99824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSDSFPRTLDQTSALSDDQEGFCRYKFTAEEDDEFFRVSVRKEPASASSLMNAGDWIVSARSDCIRWIFNAMTLMGLQLRTAYLSVAYFDKFLSRRMIDNDKYWAVRLLSVACLSLAAKMEECKVHSLEDFSMEDYCFEGQVIQRMEILVLNTLEWEMNLITPFHYLPYFVSKFWDRNPPRNVVPMDLIYAIIKDVELMSSRSSVIAAAATLLTLDETLTRQSIETKIETFACGVLEAVSSVLIPSLYCKLP >KZM97396 pep chromosome:ASM162521v1:4:14213374:14226293:1 gene:DCAR_015242 transcript:KZM97396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLRSAMNKAVEVGNKSNLTRAVKNYTDSVVHQAGQAVAELLQDRIGGRSFKSFKQTVARLEEASVSCEGPERTLLIKRWLMALKEIEEYKERNFEQQPSSEEPNENLKKPSIVLYYDYDMGGEPLNFRDVFLYSQALEGITISMIIEAPNEEEVSLLLLLFGFCLTGGKEFHHAIVSSIQDLSKAFASYKDEVLVKREELLQFAQSAISGLKLNAEIERIDDEASLLKKKLDEIVARAEAKGEGHGTAPEETTVSTMEDLKEALAHIRICSRLEGLLLKKKSLGNGDSLEVHTQKVDKLKVLSESLASSTAKAEKGISEKRVHKEEALKFRVIKTSEVDEIEKEITAEVADLEKQRDELEAALKKVNISLAAANARLHNEDELSRSLGTCTREADVLHTWMNFLGDTWALQSSTTETKEKEISAELERHESYFVNLVIQLLSAYENGLKPSIDRFKTYAENLKSLDEGSTDPSVVDSKELHPRKNLEEDYLDYDTKITTTFSVVDKIKEHFYSEQGVQSSKEDTRAKELFGNIEKLRVEFEGIERPSLELETSDYEADIPANKEESEEESPSGENLEDNYLKTPETTKPPETKTVVHPDSPSPKSKQVLAPEAEFESLASEFGKGDQDYSAEEIGGWEFDELEKELIDETTSKK >KZM99845 pep chromosome:ASM162521v1:4:35318090:35320402:1 gene:DCAR_012793 transcript:KZM99845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGAGEDSPANAVSQWTHTASRRYQHLLDKSTPHVLRRWIGFAAIVFIYALRVYFVQGFYIITYGLGIYILQLLLAFLSPQVDPEIHDGPGLPTRGSDEFRPFVRRLPEFKFWYSLIKAFCIAFVLTFFSAFDVPVFWPILLFYWIVLFISTMKRQIMHMIKYRYVPFTFGKQV >KZM98311 pep chromosome:ASM162521v1:4:22807890:22812055:1 gene:DCAR_014327 transcript:KZM98311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKYSRLDGRKSTNYCSSITFVVFVALCFAGVWMMTSSYMVPVKNVVETSEESKIEVAAKYSENSERNKDDTSSESNGSYENQSKQFEDNPGDLPEDATKGDSTKVDSQIETTEHPLYENQYMLKKSQDDNQSREEGTYGKEDVENKQKEDESNSGGKQDLNEASREDKLEASKDLNKVHTEGNKSKDKTDQKKEIFPSGAQSELLNETMTQDGAWETQAAESKKETSAFSEPGQKTGLSWKLCNATAGSDYIPCLDNFQALRRLKSTKHYEHRERHCPDDPPTCLVSLPEGYLRSIQWPTSREKIWYHNVPHTRLAEIKGHQNWVKVTGKYLVFPGGGTQFKHGALHYIDFIEQIVPDIAWGKRSRVILDVGCGVASFGGFLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDIVHCARCRVPWHIEGGKLLLELNRMLRPGGFFVWSATPVYQKLAEDVGIWEEMKRLTNALCWELMSISKDRVNRIGVAIYQKPSSNECYETRSKMEPPLCKESDDPNAAWNVPLQACMHKVPVGASERGSQWPEQWPARAEKPPYWLLSSQIGVYGKAAPDDFTTDYVKWKHVVSKSYLGGMGINWSTVRNVMDMRAIYGGFAAALKDMNVWVMNVVNIDAPDTLPIIFDRGLFGIYHDWCQSFSTYPRTYDLLHADHIFSNIKQKCNFEAFVVEVDRILRPQGNLIVRDTVETINELESIFKSMHWEVRMTFSKDGEGVMCVQKSIWRPKEAVVVPYAIA >KZM97616 pep chromosome:ASM162521v1:4:16240569:16245069:-1 gene:DCAR_015022 transcript:KZM97616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSITNIRPPTVNNRTVPSTVKIEASMVQARAAIKEACNGSEHRNDTAYVPKGSIYLNAPAFHSVISTKHPYWNRSLGADHFMLACHDWGPELSFAVPELYKNSIRAFCNANTSEKFIPSKDVSIPEIHLPDGTTKGMLGGPSPSERNILVFFAGGVHGPIRPILLQHWENKDKDVQVHQYLPKGKSYYKMMRKSKFCICASGYEVASPRMVEALYMGCVPVLIKDGYIKPFGDVLNWKTFSVEIAVKDIPDLKKILMGISEKQYIRLQSKASFPTILVLRWLYGRIGLPNRKPPGPPAWPLVGNIFDLGTMPHQDFYKLRPKYGPVLWLKLGLVDTMVIQSAKAAAELFKNHDAAFSDRQVPDALTACKYNQGSLAMGTYGPYWRTIRKLCSTEFLVTKRINDSTEIREKCVEKLVCWIEQNIASSQVEGRSGQVELAQLLFLMSFNLVGNLMLSKDLLDLSSNQGREFFNAMNQVMKWAGTPNLADFLPFLKPFDPFKVRKNMAKDMGVAMNIISSFLKDRGHESLSTEKVKKDFLDVLLEYQGDGKEGPDKISERNILIIILLLRNPESMRKVKTELESVIMPNKRVMESDMDKLPYLQAVVKETLRLHPTIPLLMRRNSKEDTKFMGYHVPKNTQVFVNVWAIGRDPDAWEDPLSFKPERFIGSNINYNGQHYELLPFGSGRRICVGMALAHRVLHLTLATLLWTFDWELDRSVTPGSLDMAERMGITLRKLEPLKAIPRKRILVKDEMII >KZM97040 pep chromosome:ASM162521v1:4:10039417:10041663:-1 gene:DCAR_015598 transcript:KZM97040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFSCCAVGVSENSPLKGTENGSVFDDSAGSVTTFGTLLDAEITPETIDFFVSDAHGDPDCPSQGFSSVEQALSSLRQGKFVIVVRDENEEAEGSLVMAASQASPKNVAFMVKHGSGIVSVGMKGEDLERLNIPLMSPENEDDVSAPFFTVSVDAKYGTSSGVSASDRAKTILRISSPDSRAEDFRRPGLVFPLKYRNGGVLTRAGHTEASVDLVMLAGLHSVSVLSDIVDAEDGSVSSLTNLRKLASEHSIPIVTISDLIRYRRKREKLVERTSVSSLPTKWGLFEAYAYRSKLDGIEHIVLVKGNIDNGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMQIIEQAGRGVVVYLRGHEGRGIGLGHKLEAYNLQDQGHDTVEANLELGFAADARDYGIGAQMLRDIGVHTMRLMTNNPAKFTGLKGYGLAAVGRVPVMTPITEENKRYLETKRTKMGHIYGSDIQGPLISRDKNDIKG >KZM98339 pep chromosome:ASM162521v1:4:23056086:23056469:1 gene:DCAR_014299 transcript:KZM98339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKDYKSPKPTRYSYSDIKKITNMFTHKLGQGGYGSVFKGQISTDIPVAVKVLHSASKAHGDDSNNEVGTIGKIHHVNVVRLLRYCADGCNQALVYEYQPNQSLEKFVYRREQQSFIGWRRCKILL >KZM97432 pep chromosome:ASM162521v1:4:14665257:14665655:1 gene:DCAR_015206 transcript:KZM97432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYVDVPEERVKFFTGKDVVMDLQIASGAMIQITKAYDVDAKFRPVRMIGNLENVEKAEKLIKAVVALADAGGSALLRKNECDSGDHGTRYDHCQKKESWYRSRDYLEYPEAWGPFQFDRKRSHKRSHGRQ >KZM97648 pep chromosome:ASM162521v1:4:16526481:16529183:1 gene:DCAR_014990 transcript:KZM97648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLTSARQVPDEMPPEVQPGHLQETDSIFNTFVGFSDGVAHFNFDSHLEKFSSGFKNGDSGTIFVSDSSTTLSPVLKCNSLEKINSESNRKLSFKHESETLKDMNHSELHTSYATKNAEKQVDVSDHLLRGVGSDHMEKDTAFSLGITGEGVSYSSRNVQDDEGRVVGRDIGLGSFNVHESEPSCQRNNVCASQRRSRKPTLRYIDESSEPISKHSKKRRRISTAPSAMKTSGVGSNAIKSRTPVLCSDESFMEAIQVPFDSQVQVPVESQKQVPVDSRVKVLVDSRVKVPVDSQVKVPVYSHVKAPVDYKKQAQGESQYHTPDSATETSLDKLEHDFSTPVISKKVKCSRKHKVWTIAEVRDLIDGVSQYGVGKWTEIKKLLFSSSAHRTPVDLKDKWRNLIKASCAEEQRKKEMQDKKGRNQPWRPLPKSILRRVRELAMIYPCPYNGNSEDLNVCQDSSTSYSSIKTGSSPHIRGKKLHRKIAL >KZM99795 pep chromosome:ASM162521v1:4:34921296:34922767:1 gene:DCAR_012843 transcript:KZM99795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSEAEDLIYSVKSLRAEFELLRGEISLLSEVVRGIQKCNSGGSYVSSYESDSDEIFARSQDNEVADKMSEERVICQDNKADEKTSDLSGERVISQDNSDLSEERVISQENKALSEEIWRSDNRGNDEKIAAEKMNDLSRDEGYNDKASEKMSDFSEALATDNAEIQKSENEERNNERVPDGKEQDQLRKEIESEISRVLDFCRLSCQGRCFPADFPLEVYYDHPGVDSVTLYRENKSEKIYVTASRPSIFTPVTAKNYAYHKSFSFKMQLHVAIWPVIADGRGIAFKCFAFSTGFLIEEVYASAHDSRGLNFSDLNGGLQTEFKKYLEMKGITTSTTNTIFGYMLDKVNREKLITLNKLRELGEA >KZM96577 pep chromosome:ASM162521v1:4:1104190:1106563:-1 gene:DCAR_016061 transcript:KZM96577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDRIQNLEKSRNNWRIKARVFRFWPTFSPETSTVKGYNMILLDDDNSHVHAYVYPDNWKAFGKEVVEGKVYTVENFQFEFMDMGDLVVGCASLAENQNAEFAYDVIGAVEQFKRVRRVSTRYGDRDQTGFTFTDEENQIGALPSTRIYFNLNIDAVDEYRDRLLEERYKPAEGSHEAIPETAPAPMIFKSSFKELIENPSSYESNSLLMIKILISKVEEEDNWWFNSCIPCHAEAEKVEKKFKCTECNCSFGYCEKRFRIIILADDNTLATNVILSDRVVKRLACTTVANILKDMKKLSNGNKSGDSNLFNAVDICESAMYENAIAEASPPQASSSFSTDAASVXASSSFSTDAASAIAGIESARAFTLTIGEALYS >KZM98481 pep chromosome:ASM162521v1:4:24316852:24320974:1 gene:DCAR_014157 transcript:KZM98481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANKKAYRDRLKARKPEKRINSPLVRYDDNDQPVCRVCNVVLRSESAWQAHEVTRKHHELKSSEELAKLKDKSEESSAAHDVKHEPSTVLQKTRQGSQLPSDFFDNHDLKRQKEGTAVVKSVNHESHREAIGPSQTQQMGSTETRSNHMQPPRENKSTKISATDNQQVKGALPEGFFDNKDADLRARGITPVKLDIKDEYKEFEKLIQEDLQQVDNRLEEEEYDAADTIEVAESVEQRVYRERVEILKRKKMELKAAKSNMQRRSSDLDGNDSSDQDSSSDDDSDDAVDWRAKHL >KZM98058 pep chromosome:ASM162521v1:4:20765116:20768883:1 gene:DCAR_014580 transcript:KZM98058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAMEEAKSLAQQQQLMLQHHLDQQQQHHLQRHQHQQMLFLQHQIQQQQHFQQQQAAAFSRFPANHPGSSFRSPLQPQSQQIPLINPNPSSNPLLISNLPQNPNPNLIPNSPPPAAASSAVPKVNRNTAEIQMAYQDLWKVCHPDYNTPFSSLEDACERLLPYHVVADYETEEEERILDSDTTGQVISRAQQWNNNIAAKVAEFAETFGKQVAAFNIINRKRAIGEFRLEERLLIEKLLLQEEKESLVELREQLESRQNAGREANLRMAAMVHAESQARAESQAHAEMMARGPIRPNALGSQGSNIQIGHDFAISEQQANPGQMISGWGNNAQRDENEPTEDFLNDEETGNGGAGMGEFDLNTT >KZM96633 pep chromosome:ASM162521v1:4:2713766:2715421:1 gene:DCAR_016005 transcript:KZM96633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGKIDALVDNQSAEELLSSVQDLVPVALEKAREVKVFLSRWKTIVSKLELVPSCLSDLSSHPCFSKNTLCNEQLQAVWKTLNEVIELAVICANGNYRGKLQMQSDLYGLSGRLDLNLRDCGLLIKTGVLGEVAVDSTRESEVCITEQLARLQIGHLEAKHRALDRVVKIMQEDENNVLSVFKRSNVSALVQLLTASSPRIREKAVTLICNLAECGSFDDWLVEEDALPPLIRLVESGSAIGKEKATISLQRLSVSVETARLTVEHGGVPPLIKICEIADSVVQAAAASTLKNISAVPEVRQFLAERGIIKVMINLLSCGSLLECKFSAAECLQNLTSTNENLRKCVISEGGLHSLLAYLDVPSPQEPGVGALRNLVGSASADILVSAGFLPRVVHVLRSGSLGAQKAAASAICRVCSSAELKKMVGEAGCISLLVKMLEAKSESCKELAAQAVSSLMTHPQNCKEVKRDGKSVPNLVQLLDPSPQNTAKKYAVSCLVLISSSKKCKRLMISYGAIGYLKKLCEMDIPGAKKLLERLERGKVRSLFSRK >KZM97346 pep chromosome:ASM162521v1:4:13797762:13799583:-1 gene:DCAR_015292 transcript:KZM97346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFPCSSGEKTDEQKTIRSSVRSSSTSVSADPGAKKSGSEFNSLDLSDTSVESNGRKSFASLSQKPSSLRVYAVSELKVATKNFNRSLMLGEGGFGGVYRGVGHKEWVTEVNVLGIVEHQNLVKLVGYSAEDDERGIERLLVYEYMPNRSVLDHLSSRSRTPLSWATRVKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLSHVSTAVVGTVGYAAPEYMQTGRLTAKSDVWSYGIFLYELITGRRPLDTNRPKNEQKLLEWVRPHLTDMKKFQLILDPRLNGKYSIKSAQRLAAIANRCLVRKPKLRPKMSEVLEMINLLMDTTETETPQVAVKLIIPKHSLERSLTEGLKRRFVDPIVGENKSLVWRTWRPKLVRTV >KZM98346 pep chromosome:ASM162521v1:4:23101774:23104221:1 gene:DCAR_014292 transcript:KZM98346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMKLLMVVVAVVILLTTVEGAGKKKNCSVSRCSDGGPGIHFPFWLKQNNSAPHPADDCAYLPEFQLLCGGDPRRRGEYQSRKDLTLVEYQHTVNTSIQGLHLSFKYKAVVSVINYRLRVLVMDTPFLVDVIPSSVVLANSSSTSYHLPFSTYTYDDSYICYRTYACIYARYARDYQLFDKDPFGCNYGYSSYTYYTFFNCSSSSPLIKNYEYPTIIVNSLSTKDFKVYAYSSASPTIDVLDNIRSCTKMYDISTKLDQSSQFCASPFYARESLSWSKNVGGRKCEAARESCNYVKSNSDTDFPYFQPSTGDSGRAIAKLLVAVLLPCIFLVVLGLIVWYHFIRLNKQKEEDELKIKMFMENYKALKPTRYSYRDIKRITNMFRHKLGQGGYGSVFKGHISTDIPVAVKVLHIDSKANGDDFVNEVGTIGKIHHVNVVRLLGYCADGCNRALVYEFQPNHSLEKFVYRREKPQNFIGWKKMQKIARGIAKGMEYLHYGCAQQILHFDIKPNNILLDKNFNPKIADFGLAKLCNKGQSMVSMTAARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGGKTNDMTTEQNASDVYFPEWIFHRLEKNEELVIQMENEDDSKIARKLTIVGLWCIGWHPIDRPSMKVVIQMLDADECPAMPPNPFSTPASGNATHAKSLFGERLHVISEAE >KZM99210 pep chromosome:ASM162521v1:4:30267772:30268701:-1 gene:DCAR_013428 transcript:KZM99210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKMKLIPFLFKPTEQILSSSSSASSWPWPNCGSPKTLSFRAEKIMNSVFVANDSRFLTSSSVCEQSSSILSTTVVLEEEEYLAEGADQTIESVIRGAKTSSERLFFDNHAGETTSCIVSDQHQLTKSNDNKSGDVTSSATNRIDCATSNERYKYCSSDKVLLMEMDSRDPYDDFKKSMEEMVEANGFNVKDDWESLHQLLKWYLQVNGKSNHGYIVGAFVDLMVSLEYSSASSSFSSPTSSSSSSTDYDHNNSSSSSATVITQSPVSALSFSSNYSTAPCLLTLFEEEEEEEEEEEKDAALDHRGST >KZM97743 pep chromosome:ASM162521v1:4:17452859:17454176:-1 gene:DCAR_014895 transcript:KZM97743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRVNVVAFFVVMVIGAAMQMESTDAQKTHDVNGPAGWIIPSSPNVYSTWAASQTFAVGDTLVFNFTTGAHTAAQVTKAAYDACTITNPIAVWQTGPSSVKLNSSGPHYYICTIPSHCSLGQKVAITVGAAATSPASAPSPAATPTEAPAPGSTATPSDAPGSTTTPSAETPGNSASFAAAAWPLTVLSAAAMALFF >KZM98482 pep chromosome:ASM162521v1:4:24325324:24325775:1 gene:DCAR_014156 transcript:KZM98482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSKQILESFKKRKRMPKLYDFHTFADPGCPITLTGPFRDNIRHFLQQCAQLEDYSIDGMPIWCTFLLHESKGLVIPLYTIEETAKNSFQPYCDQCRCTDEYADS >KZM97820 pep chromosome:ASM162521v1:4:18106626:18107309:-1 gene:DCAR_014818 transcript:KZM97820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKPPLPRLLLNNVSCMRNAQQILRHVNVSVHDGGALVLTGTNGSGKSTFLRMLAGFSKPSAGEILWNGHDITKSGIFHQYKLQLNWLSLKDAVKDKFTVLDNVQWFEVLEGKQGRALPALELMGLGRLAKDKARLLSMGQRKRLQIARLLAIDRPIWLLDEPSVALDDDGVKLLEHVIAEHRKQGGIVIVATHLPIQIEDAMYLRLPPRFPRRITFVDMLDRGD >KZM98055 pep chromosome:ASM162521v1:4:20736317:20742855:-1 gene:DCAR_014583 transcript:KZM98055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIRRFCSATALESSSLTESITKLKHLVHQKLNDQALIFYKHQLHGNASVSSSFILPSVIKACSQSQTTHQLFGFQLQGYIFKSGFDSDPVVTNSMVSMYAKFLDTGSASKLFDQMPCKDTVSWNAMINCLVQNGCGLEALGVFREMYALGFVPKAELIASVLSVCVQSGCVGAGREIHGIVVADERIDETVFLSTALIDLYWRCQEPRLAFYIFDNMEVRNEVSWTAMISGCAADHNYETAIDCFISMQVEGVKPNRVTLITILPACASTGCSEHGNQIHGYAFRHGYDSDIHFLSALIHMYCKSSETLDNAKLTFEFSKNKDVVLWSSIIAGCSQNEDSSEESIRYFNRMQEGEIAPNYVTMLGLISACTNLSSIGHGRVVHGYVLKYGMFSELSIGNCLVNMYSKCGSLTDSHQSFKELSTRDSISWSSLINAYALHGCGKEALSLFYDMQMSGIEADSITYLSVLSACNHAGLVEEGKTLFNEAVKADNQSLGAKHYACHIDLLGRAGKLEDACDVIKAMPTKPSIRIWSSLVSACKLHGRLGLAATLAHQLLLSEPENAALHTLLSMVYAESGNWPGVEEVRCNMKMRGLRKSYGFSRIEVENEPMRILGQWGYKRRRRGQIGGGLIPNAEKGGGSSRKKSWWKKFFFDEDGNWFGLKDEDMIPEEESVINDDEFSEGEKFEAWRRRAEAIVELREAQEDMRNEESRRWEDWIVEETHSSTWVQEPSNDGVGQSSDDFREDLADLIPGKGFAKSLSNFVLGNEDDDLLYEDRVFQYASINSAKFLAILIIVPTVMDYLVHDYVLLPFLDRYVKTVPLAAQILDVRKHQKLEMIEELKLDKAKYRLEVEIGKSPPLSDDEMWLELRHKALVLRDEWRLENRKAFANIWSDMVFGISLFILLYLNQSKVALLKFTGYKIINNISDAGKAFAIILVTDIFLG >KZM99632 pep chromosome:ASM162521v1:4:33588190:33588702:1 gene:DCAR_013006 transcript:KZM99632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSGFFVAASAAVVSSYSSSSDSKIHFSRQEDHVSGGYTDEFSCTTQTQEEQKQKQIKNSKSSDDKFAPRFDGLRFIETLVTAHR >KZM97393 pep chromosome:ASM162521v1:4:14200160:14201970:-1 gene:DCAR_015245 transcript:KZM97393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWRKLGGLIWHFFPYNYYNLLPNLWVQYIDAHAYAPVPLYDSPSDISGWRSIDCGTNRTQYEGMLQWEMDSSYTETGLNILVQNKTTREELNTLRFFPNNIQDNCYSVPAETLIARYIIRAGFYYGNYDGLSRPPAFSLFINDIKDGGVPFINSIETVALWDPMYSQMENKATYNLVTRTNLGGDEIRFDPLKFDEKYNRIWSKGVTPYNCDNITGLTDFTAAHENYPPDPVLKDSVQPRGTDTIILTVDVPESAPQIAYFVFYITELFEKDTDERRTMILEIDGQDHGTVEAPSKGETTVVTKYPVIVSGPTINITLTRDKNSTLPPMIAAMEVFTKWATHVKQNYKAPSSGAAGGFISFAFSLMIPFVLLLVA >KZM98292 pep chromosome:ASM162521v1:4:22616491:22618539:-1 gene:DCAR_014346 transcript:KZM98292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKKNTRAEHKRLPPGPRKLPIIGNLHQLSQPIHQALRRLSDQHCPLMFLQLGSVPTLVVSSAAMAKQVLKTHDLVFASRPSLYATKKLSYNGTNISLAPYGKYWREVRKIALVELLSAKRVESFEAIRNEEVAYMLKNVEDSTAKSASVNFTELMFMGLNNVVLRAIFSMNGNYCEDKGRSRVSEFCKLLDEVEELTGLGNIADSFPWMGWYNKLSGFDERLEKNFRALDGFYDMVIQEHRQQSGGSQHEDLVDVLLRVQNDPNQEIRLSDENIKGVLTDMFVAGTDTSSTTLVWIMTELMRKPSAMKKAQEEVRAVVKESGSLQVNESHLPKLAYLKMVMKEVLRLHPPAPLLVPRETTEICSIAGYEIPAKTRVLINATSIAMDPEYWENPEEFKPERFYNIDTDFRGQHFELLPFGAGRRGCPGINFAVVIIELAMASLLHSYNWNMPDGESAEDMNMEESVGIAVHKKTPLYLVASKPSAAI >KZM99249 pep chromosome:ASM162521v1:4:30522596:30523069:1 gene:DCAR_013389 transcript:KZM99249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLALQDNLVQVMKMDGKILEYKAPLKVHQVLAEYSGQAISRTLLGPQHLRPDADLCAGQFYYLLPVPVPSLELDKEYSNTKEVAGKENHAVVRIKLVVRKHDLEEMLSKGGTIEDMILQLQNEQLVDSFKNLNSDAAKNSKGWKPVLASIPEAC >KZM98318 pep chromosome:ASM162521v1:4:22878165:22882605:1 gene:DCAR_014320 transcript:KZM98318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLKTWRSTAFGLYGYLNFTKSSFLEHSKKFKAEDMQIKIEGKNCIVTGANSGIGYATAEGLASRGATVYMVCRSKERGEAALSEIQLATGNKNVYLEVCDLSSLRDIKALGSRLSEKDIPVHVLVNNAGVLEHNRTTTSEGYELNFAVNVLGTYTMTESLLPLLEKAAPDSRVITVSSGGMYAVPLTNDMQFNDGKFTGTEQYSRNKRVQVALTEKWSEMYGQKGIGFYSMHPGWAETPGVAKSLPGFAKSFEGKLRTREEGADTVIWLALQPKEKLVPGAFYFDRAEAPKHLLSTVTGSHSAIDSMLEELRSMSGISS >KZM99367 pep chromosome:ASM162521v1:4:31527179:31528809:1 gene:DCAR_013271 transcript:KZM99367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAGVTTIAGGKSNVAGYRDGPSEDAKFSADFDVVYLRPTCSLLVIDRGNAALRQISLNQDDCDQQYSSVSLEDIFLVVGAVIIGYTICMIQQGFGQSFLSRMQRPKVKKPQELVGKEKATPIVEILKEEPEAGWPSFGQLLVDLSKLALDAFAGGVIQLTPLNFWRRSSTNNLTPLKDTLVMPEDTAEPPLVQKHRAPAPLSDIRQTYTQIPSDKYSEVKPPKLRSSSFKDPSLSTKHRSSKRQEYAEFYGPNDVPTPHVRSKSQKDRTKHRQREKSGEVGYGVAGVEHKQAADMKPVNYDEAKFGHYGSRNKYGDSYQF >KZM98970 pep chromosome:ASM162521v1:4:28389172:28390011:1 gene:DCAR_013668 transcript:KZM98970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELARRPIAIIEVWDHNLEEEFSRIRRAVMSHPCISIDTEFPGTVVPDLKKRKFYELEPEEAYSLMKANVDASKLIQLGLTLSDPYGNLPGFGTEMGHVWQFNFREFDVDKDVQNISSIELLKRHGINFLWNKMYGVRSQDFARLFRGCFGPRCTWVTFHGGYDFGYLVKALTNSDLPGDLGTFKGLLCRFFGNSMYDVKAIMERFRLRGGLEALATEFKLARVAGTPHQAGSDSLLTMQLFIRMIQWCGHRNNGTALRPCMPYGLNLEVNKQVQEAGF >KZM98800 pep chromosome:ASM162521v1:4:26990146:26990530:-1 gene:DCAR_013838 transcript:KZM98800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARSAYNPDYVERPSVVVLMKIHILRKVDMDPLTQAQVDACPEAIILSLSPGEMEQENNISSEISNKAEESKISRIILSPVFVQFGSWFL >KZM98866 pep chromosome:ASM162521v1:4:27487699:27487890:-1 gene:DCAR_013772 transcript:KZM98866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCCGCKICTLCLCLIAVVITIGVLFGFGVFKKAYHSIHNDLHYSYSATGRRGGPALFAPSPF >KZM97027 pep chromosome:ASM162521v1:4:9894823:9895968:-1 gene:DCAR_015611 transcript:KZM97027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWPGNRDAQANVLSRLPTREFLGLKSVSKDSCRFVSELMSESSFIPQHSKKKEPNSGFFYQESFRGYDDDIKFVSYIIAGKEGVQVRRRVLEFLPEYVVLQASTNGLVCCQSCFGSKHRLIYICNPINKQWISLPFPQFDTKSSLALAFDPFSDVKDDATNFKVVRVYEADKKRYNSLFVFDVYSSENKTWRMSVEICHCTKKLFKNKGIFVAGYLYWLTNGDQILMFSPKEELSLLIAVPVPRAEYRSIPQMCLGEYKGKLCYVVVSVDGFVLWVLEDMFNSVWKLEHSVALDLLEVKHPSFPGNTSSRIQNQLVPLIDPLLVTDGQLFMRVSEDIFLYDFNTGEMKMPCHFSELGFNYFFSPLVLPYSASLVRLCNV >KZM96911 pep chromosome:ASM162521v1:4:8635147:8638026:1 gene:DCAR_015727 transcript:KZM96911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTESINSGVAAPEIDEIRSEIDDDERENEDIDNEFGELNSQDGEEDDEEAELSPKLAEGFYEIETVRRKRVRKGQVQYLIKWRGWPETANTWEPYENLVSCFDVIEAFEEGLRSGKHRSRKRKRRSTGLVPQGKKKTQGTPGASTSSPVTAGKVKFDEGNFIIPFVGDVSHEDGGWSEMGGIINDDAIGQGNGNGSVGIPPHVEEEKDGYEKDVNVGESTGTQLTNRGSGLLDINNLESQICFENGHQRDDSEGLDQANVRLRKRRKAASVKRFSQEQTAQPALITNYAAIDQGNGKKSVDIRQQVDEGRNHYDMDVNHGEYAGTPLINWSSNRVAVDILELKTSEAVCFENGHQKFDGVGQVQANVRLRKRRKVASLERFSPEQTPQTTRVTSYATIEQGNGNKSVDIPLQVEEGRDNYEMDVNPGEYAGTTLINWGSGRIGVDVLESNTSETICFEKGHQKVNGEGLVQANGRLRNRRKATSVKRFSQEQTPRVTYYAATGQGNGNESIDIPLQVEGKDHYEMDVNPGGYAGTPVINGDSSRMAADILESRTSEAFFFENGHQKVDGEGLVQANGRLRNRRKAASVKRFNQEQTPCVTNYAAQDLTTKNTRAGGIAGQNWDKNSVHRSTSDNSADTFSITEIIKPISFSSSGSIADILVTFMAKSDTYNIRATLSRNLDITLEETFEVCKQVECMKSGHCLGLSVVSEVWAQLCSLI >KZM97862 pep chromosome:ASM162521v1:4:18550742:18555139:1 gene:DCAR_014776 transcript:KZM97862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSLSCLFVILPPPRQRVPKFHGTWVSGKKVEPGVKVDLNEGDIVQLGGSSRRYILHWVPVSRAYNLDVPFVPPMDVLMSVKETENLSNQDENCCPSTETNQIQSQGTSMGKLDLSISKNEIGVSTLSPAMPEFGDFSCDNAEAKKGNLPNEEQKQNEISGIFSGQPLKEESIEEIKSQHSGEVRENLPDVSSPINDHNKDLFREEHEENEVFVLSSGTPFNDSAVTKFEDQQFDEEHQKNEIAGIFSATPLKESAVTKCENQQSDEENDEKYEENEISGFSSGTPLKELAVTQFENQQSDEENDEHLQALAGILSGISSAKSPSGDYNKISAFSSGQLLNDSVLEIVNQQYGEEHENLLALDGAISGMANVNSLNQQCGGKHENSQALDGAISDIVNLNRPIIDHNEHLLKEEHQISDLSSGQLFNESVLEIGSQQYDEVCENSQSCLSGIENADSSLTIYHNEHPLRGESEDNEVSGFSSGQFLNESVIEITSLQSDGEDEESPLNGVLSGIANEKGSPDINNYEHRILREEFDEHETSAFSCGQLFNESVLEIDHQQYDEVVKKSQALDGVPSGAEDANSSSTIYHNELSVSSSGQLHNDSVLETKYLHCDGVDEKPPALDGYLSGIADANRSPTIYHNEHQLKEDFQKNEISGFTSVQLLDESVVEIGIQQYYEDHEKSQAVDGVPHSLCKNYHNKNLPEFFTSSFSEDVEIICASRTENEQEKMSTSGCYSPCSSTVLERKDQLYLYEQDHSTQYHLNSEVHAVQSLKNNPSKSEQESNWPEIIRDSLSDAYAVISDSSEALDNESSLNGDNKQKEVTVVTGTPKIDLMNLSVCGESIHTEVCFEQLDEKTETGITFATNNVSEPKDANVNTPLRSEEQIGEWFPTNTEVKELYNENLDYESVPEELISNIEVFAEEIFTPDKENFTPNTPIQRSTKKTDKLEDFKLLDPLCLSSPTMNSICRNFVQNEELTFSSDKENHTPKVLQKSRLVQPASRNSARLNVEPATKNRMSRIPFKSLLSNSSGKSCSNDTTSKSSNSGKYAQLIEKNTVAGDNKRWIMVADTDCLLNKVSRKALQLLQGVKGTRLIIPRVVIRELDCMKRRGYLFTKAREISSALQWIEDCMKNTNWWIHIESLMEEGSHLTPALSLSTTEIEMEDLTFSSASFSASLSLLEIVSPTPGDHILECALLNRGMKNGENLVILSDDVSLKIKAMAEGIICEAAEEFRDSLVNPFSDRFLWAKSSPRGLTWSCIDDIVLREKYYRGPFKKPPSKSGESLKGLKLILVQNSHFSGLIPN >KZM98080 pep chromosome:ASM162521v1:4:20940907:20941383:1 gene:DCAR_014558 transcript:KZM98080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAAIADAQPPPPGPSQVPSNSIPLQGSYMEQPQGAAMFQQQQGGGVQKLPFQLNALRPQDQQQLMNFQQQQMQGQAGFRPGPNTGMHGMHQAQQHGVGPAGGLVDARGNRQDGSDAASGEGQVRSSSARGSGN >KZM98433 pep chromosome:ASM162521v1:4:23931972:23933879:-1 gene:DCAR_014205 transcript:KZM98433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHEVNTKSSEALSPRRASKVFAELTTVKELLSNSQKELAAKEKSFESMKHELDQAKQLEKQLADRDSTISRLDEELRNAKIFELRAMDLYTESKMRNKELESELEKEKQSEKRVLESGVSQTKELEAAKTELGESKLLIASLREQLQKLQSSSSVSSREKNPKNELAEKSASSKTVSSQQEIDALRKELKVALEGEEHNMKAMNDLALALREVATEANQAKEKLITAELELEHMKEEAEQMKVKARSTEEKYRKLLDEAKEELELHKNTADRLRLEAEDAQLAWSGKEMGFVGVIRQVEEEKALTDQENAKLTDSLKASENTSRKAREENHKLREIVKRSLNEANVAKEAAKIAKEEISALEGSLAEKDEALDYLTREIERLRISEAAANEKMKELKKLLSQANSELRTEDREEASTAFMSPESVFEDHKEDYSKEDTTIKKRFSLDAAIKKGFSFDLHDIKLHTKFEDEDDEMLIDEDPAKAEALKGSIFDPSSETPRSESYRSMSFKSESHTPIIASPRQHHRHHQRSSSITDVGNVNSVDVDPLDALTHSLDHSHSHHSTEGTHPEDLESDRQAHKKKKPALLKSFGSLLSRKSFSHAHHHHHQSHSHAHSSHSKKEPTTPTSSTTSDNQ >KZM99410 pep chromosome:ASM162521v1:4:31864334:31869749:1 gene:DCAR_013228 transcript:KZM99410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEEVVTEVKEVVDNGGAPVGKEVEPVVEKKGDGDDEVKDMEEDSKTEEEKIETSKMDVDKEEAEEPDKNDVKEDSESEANKEVEAAKIAEVEEGNATKPEDEGNDKVENTAGLSADDEKADKGTEKKVSKKKRGKGKPETAAQNPKEKKKAVEKKKEEPKTPVTPALASDRPVRERKSVERLVATVEKDSGKDFRVEKGPGTALKDIPNVAYKLSRKKSEETFKLLHSILFGRRGKDKQKLKIKEKLDKCVKEKLLEFCDLFDLPLTRATSKKEDIVTKLFEFLEAPHATTSELLAEKEQLSKGTKRKRSSKKVTSPSGSAPSKSSSKSRKMNGSASKGDGNKDASETEDEREEADDDAVHVEQENVNGVSDGSDAEKPERAQSEEKESDTEVGSEEDTKKRKRVSSNKSSMKKNSAEKAKAKKASIVKKASPPPKKASSKPSSSRSKVQDGDTSPKIFSRKKKTEVVKEKSPTPKKSASKEKAGKKVVKGKDKPKVEKLKPSDNELKTAIREILKQVDFNTATFTDILKLLGERFKTDLIPRKLSIKAMIQEELTKLADEADTEEEEEPEGVKADTPASAAGVDV >KZM98531 pep chromosome:ASM162521v1:4:24784996:24785770:-1 gene:DCAR_014107 transcript:KZM98531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMESTSSSIKSMRPCGLSMYFPICHLSQGHALGTWVFSVAGALIAIPVGIKRKSLAPLVFFGTTGTMVDIMLGISACEREHAERQQKLLEEQNATATGTLADVVTES >KZM98338 pep chromosome:ASM162521v1:4:23051090:23053435:-1 gene:DCAR_014300 transcript:KZM98338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSPKSVDEVVAEITRIHKSLPERPGIEEVEAATALIRNVEADDQARFDAIAKQTKNHEVPDELFSILQEMRKNLVIFRSKEQRREAVRLLDLENLYQEFDEMIQRASRCVASPMGSNSQATASNLSNNSYDSPVNGANTTKKVSSGLVYEKDAVKASAELFTRDDSYVKKAKPTFSSEGIVGARRSGDVLGPQIVDSTLRSVKISGQDGEKLSLIKLASLIEVSAKKGTKELNLQGKLMEQIEWLPDSIGKLSGLITLDLSENRIGALPSTIGGLSSLTKLDLHSNKLVEIPETLGDIHSLVFLDLRGNQLASLPATIGKLVRLQDLDLSSNLLPLLPESIGSLVSLKTLNIETNNIEELPHTIAQCSSLKELRADYNRLKALPEAVGRIESLEVLSVRYNNISKLPTTMASLSNLKELDISFNEVGSVPESLCFATTLVKMDISNNFADLQYLPRSIGNLEMLEELNMSNNQIRILPDSFKLLSKLRVLNVEGNPLEEPPRSVTDMGAQAVVQYMAELAAKRDVKAPPVKEKKSWASICFFSKSNKRKRNGMENLGGTYVEENVDLAELVLA >KZM99890 pep chromosome:ASM162521v1:4:35622011:35632767:-1 gene:DCAR_012748 transcript:KZM99890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVGERESFLFNYAAERPHESPGSSSSSTIVPRRRINSDDVDFNDVFGGPPRRFSVQTSDGTTSAEDAMSIRSFSSEKPVFGEEASVNRRKNHQSNDFFDDIYRSGDTLGRDFGTSLPASRIMSPSRPLPPNSEPFGASLPAHFSLPATLTNSMDYPTFVSSSSSKKEGNANGRSSPSYNLSRFSSQTMQEQDHFKSDVWSSSHASPLSNEFSPRKEESTYTGKPNDTERRGDYSDFSKSTEAPVCISEFHFSIYKWPQYEIPVVIPLHQRIKLRSKDKDKPNKSYSSKGRANEDTVSESLPTLTSNISVNSKYSKMPCEELEEANTLSKEETFRFPKEEVISQPLEALISRRNINESDDTVFSNKKEATVFTEEVQKPELKRVHSIIHDQINQQGGDITGKAEGKDNVAKTAKISNLNIGASKVVKEYEVEKISSHKKEVKKAISSHKTEVKEARSLGSPKNETENLKKGGARGKVKEFAKFFTQESISKKRNNSEARTQSCRWEGNITGTAVNDVSVAHASTFEMDTELHLPDASKLPDASFEVVEELEQQEKQHFPGSPIIHRSAEKSSSEEPNIHAEPTADGSKFTPDEDIDDLSQMNYMIEELSEDQVNLSVTSEDHETTKALDAKIYKWSTGKKGNIRSLLSTLQYVLWAESGWKPVPLVNIIEGNGVKRAYQRAMLCLHPDKLQQKGATPHQKYTAEKVFDILQVKSNYGSGSTPVYLNVYDLTPLNGYAYWAGFGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKTIFIGTTCLDPVQVREFIEHHAASYNGDSYHLIVKNCNHFCKDICYKLTGKDIPKWVNRLAKLGSVFNFMLPEALRITAVEHDPNCQPFENEKRRLRSGFSCLSSISMRQKQLSTSSLFLQSPLKGCLPQREVRQSNNASPNER >KZM97398 pep chromosome:ASM162521v1:4:14231128:14233936:-1 gene:DCAR_015240 transcript:KZM97398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSLVEQSLEPSPSPSPSAATAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGDLAAVKKILDDINNQMVGTLSGADFDNEVAEIRASVVNEVNELGETSMFTAAEKGHLEVVKELLKYSSKETLTKKNRSMFDPLHIAATQGHHAIVQVLLEHDPELSKTIGPSHATPLISAASRGHISVVNELLLKDCSLLEIPRSNGKNALHLAARQGHVEIVRSLLEKDPQLARRKDKKGQTALHMAVKGVSCEVVKLLLDADAAIVMLPDKFGYTALHVATRKKRAEIVNELLCLPDTNVNALTREHKTALDIAEGLPLSEDSSDIKDCLIRHGAVRANELNQPRDELRNTVSQIKKDVHTQLEQTKRTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDNDDGTAVVVSRASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERKVVEVINKLMWLASVCTSVAFMASSYIVVGRKYEWAAILVTVVGGVIMAGVLGTMTYYVFKSKRNRSMRKKGKSARSGSNSWHHSDYSNSEVDRIYAL >KZM97779 pep chromosome:ASM162521v1:4:17726410:17731829:-1 gene:DCAR_014859 transcript:KZM97779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRLASTWRMSVNEKKFIESSLLSDLRADGRRPFDYRRLTIKFGREDGSSEVQLGNTHVMAFVTSQLVQPYRDRPNEGTLSFYTEFSPMADPSFEAGRPGEFAVELGRIIDRGLRESKAVDTESLCVISGKLVWAIRIDVHILDNGGNLVDAANIAALAALSTFRRPECTLGGEDGQELIVHPPEVRDPIPLIIHHLPVAVTFGFIGKESIMVIDPTHLEEAVMGGRMTVTLNTNGDVCAIQKAGGDGILQSVIMQCLRIASVKAGDISSKIKNAVESFNTERALRKIKRHSSSSAVEVSEPAQKGGTELSRTHVGRLNSTPEESGVGQSNGMEVDGKILQQETSRRDSGAQSFIGGPSSWDPYSKGIDSDALRAPPASQVVVAPTKKLEDIKLDQPSDMELASPAAGNNSLTLPNEVADTGLQTNEEKTLKDAIKPKHKRRKKKTSSQQ >KZM97858 pep chromosome:ASM162521v1:4:18521596:18524620:1 gene:DCAR_014780 transcript:KZM97858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKVGFPDHFASVRRKIVNDTVVTHDNATVAKMLDSVIKDPVANVSQIGLNGTFVSDSVKRGDLEGAHVGNSSDLVKDESFGGDGNVNVEKSIEGKVSGSLVGESVGLADDLSSSDGTSSVSGDELVGSGEGLRFRNGTNLISELKFEQLVLHFEQLHFKLGTHLKMVQLEEATTSFHGGPEDEEEPTPLWKLILVAAIAAGVQFGWALQLSLLTPYVQLLGIPHTWSAYIWLCGPVSGMLVQPIVGYYSDRCTSKFGRRRPYIIGGACFVACAVVLIGFAADIGYAAGDNLSKELKPRAITVFVVGFWILDVANNMLQGPCRAMLADLCKDDKARMRSCNVFFSFFMAVGNILGYAAGSYKNLYKIFSFSKTDACDVYCANLKSCFIIAIIFLMTMVAVATSLVAEKPITVDEIEDDSSKGGIPFFGEIFGAFKDLSRPMLLLLLVTCLNWIAWDPFLLFDTDWMGKEVYGGTPNEGKAYDRGVREGSLGLMLNSAVLGLTSLVVEFTIHGVGGVKILWGVVNFILTFGFAMMMVVTEVASNQRKYGPDGEPLTPSAGIRATALFIFAILGIPLSDLILIPVNQVTYSIPFALASIFSADSKAGQGLSLGVLNISICIPQILVSVTSGPIDEAFGGGNLPMFAVGMVCALVSGILAMVLIPKPEDNAIDLTLTPVRQY >KZM96572 pep chromosome:ASM162521v1:4:263762:263929:-1 gene:DCAR_016066 transcript:KZM96572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVVLMLSSNMKLPHPKQPGFFMERYLLRQDQFLSKPNLSSSTQLTITTLLPRQ >KZM96758 pep chromosome:ASM162521v1:4:5875034:5876074:1 gene:DCAR_015880 transcript:KZM96758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSDSAGKGRSFGKIMFSEICLHDKMMIPEKFVRKYGKQLHVGVSLKVPGGAFWHVDLVGDGDKVWLQNGWPKFARFYSLAFGHFLVFKYQGNSQFDVFIYDQRGVEVDYPVDSSLHMNEQKNLNLGEFSQVRKRVAIDVDGLKACKKTRANSACAEACHFGECLIRKLQHKKALVSRLDGNIQPPEQGEIDIYTQQQGFDMHEMVLESSDDETDSSGEDMATQNGGTSATRRGLSGGFRMSTKSRLTKTMVIGLSAAAHEEKDRHASCSRNGSEAEAXGQVKERLNLTIFTSILSINLDSIPPICAIQREARPHF >KZM98471 pep chromosome:ASM162521v1:4:24256024:24257072:-1 gene:DCAR_014167 transcript:KZM98471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTLHNIITVLIITLSPFLDVTHSTSDTVIYGGCSKIKYTPGSPYESNLDSLLTSLASSATSSSYNKYTITGPSPQDVVSGLFQCRGDLAQPDCATCVAHSVSQLGSLCPQTCGGAAQLDGCFVKYDNTTFIGVQDKSLVMKKCASSVGYDANLMDGRDAVLASVGGATGSYRVGGSGNTQGMSQCVEDLSASECQDCVMEAIGRLKSDCEGAVSGEMFLAKCYAKYSSTTGDHAFKPDQEINDSGNSNQAESGNSDEDHSEGEQTFAIIIGILAGVVLLVIFLTFLGRVLGGNAGMSSLWFKNYNASSILQYM >KZM97169 pep chromosome:ASM162521v1:4:11730107:11734998:-1 gene:DCAR_015469 transcript:KZM97169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTFLAKSPVRMNDRSKGKMLKRKTKNKKQTNRCNNVIKRGESVKMPRLESTRRPVELNNIKHEPQANHSDILDAMKQVKFQLKLFVEKERNRVVFAEAGSDFIDTLFSFLTLPMGTIVRILNNNLESESRVIGSFSTLYTSVKNLDSRLLWSDECKQMLLSPRNAAEAECLQLKLNLDDSAPGEPVKMPDTFLAKSPVRMNDRSKGKMLKRKTKNKKQTNRCNNVIKRGESVKMPRLESTRRPVELNNIKHEPQANHSDILDAMKQVKFQLKLFVEKERNRVVFAEAGSDFIDTLFSFLTLPMGTIVRILNNNLESESRVIGSFSTLYTSVKNLDSRLLWSDECKQMLLSPRNAAEAECLQLKLNLDDSAPGTYFICEDWGCSRNSSAFLSTYCTTRCSCGKLMNRGITGSVTPNVKNVQHKGGVFSGEIEYYIVSDDLHVFPKQLTVSVELLKKCRVKDLDTVEERTLVIGFKEMLNVLKCSLKSESPLTEVFLCNGADRPAIIQSKVGSLAETRTCRDKETTEDAMTIKLIVQKSSKKVLFALAEKNFVEFLFSFLTIPLGSITRLLSGNISVGSVSNLYQSVSSLNTKAPLYYCLSDKRDSQYIGCLVEYKVDCNYELKCTPLTLKNPKFEEEYVRSNGMFMVTDDLVVSPFSAIASISIMQQLKICPSDVEEQVVRIGMEEALKILKASFESTAALTNAFSGDIPLTKQLLKEEH >KZM98467 pep chromosome:ASM162521v1:4:24231607:24238683:1 gene:DCAR_014171 transcript:KZM98467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTKSEENWSEEHDHLPLKQRLKILHANNKVSDLAIAASEFVVKKEDEECVVQDVDSAHFHVEGRIERFLLDESHCGRTRESCIPKIEQDISCQPNQTNAEAGCDNLSGSTVNCLVDRCSDEVKAIKSNSETPAYILDDLDHVDLKERWRMLLLSRKSLGATEPVCKENSIELAANIAGGVQNAVIGKEDSYSVKEEYLSVGDSEKVPRGCTAVVCGDSNIESSHRIAAESASTNDICSSKITSGQHEPCGGKFVLSSSGKHFTVPITPSTTSVNVKVEPIENNELDEKILEYSLMTNLVAVKNEPITSDAFSEDDLDHMLLSTRIKLLTSRQIAKAEKVSEDSRKIEISSPDCEPISSKSDPPARVKRSRKRKKTATDSVEIALEEDAPGLLQVLVDKGVLIDEMKLYGQTEVDEAIDESFSEFSELETVISKLFSQRHSLIKLATLKGTKGEKISYCLACLISLVEQARYLQFRKWPVEWGWCRDLQSFIFVFERHKRIVLERPEYGYATYFFELVDSLSIDWQIKRLVTAMKLTSCSRISLIENRALTVGKDLTEGEAGVLSDYGWVPDTGLGTMLNYRDRVVHDRKNEADSSEWRSKIGNLLMDGYNGGTIVSTSIPKKFADKTVVHNPAIKLEI >KZM96844 pep chromosome:ASM162521v1:4:7545063:7548373:1 gene:DCAR_015794 transcript:KZM96844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLINCSGCQTPLQLPHGAKSIRCALCQAITQIADPRAVAAPPAASHAPPPPSPFNHAPPGPPPSPHGRKKAVIVGISYKFSRHELKGCINDAKCMKYLLINKFSFPEASIIMLTEEERDPFKIPTKQNMRMALFWLVQGCQPGDSLVFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINTAIVRPIPPGVKLHAIIDACHSGTVLDLPFLCRMNRSGQYVWEDHRPRSGIWKGSSGGEVISFSGCDDNQTSADTSALSKITSTGAMTFCFIQAIERGHGQGATYGSILTAMRHAIRSAGGGGGGGGGGDVVTTLIGMLLTGGSASGGLQQVPSYTDILHATSIFCRFVTMCS >KZM99333 pep chromosome:ASM162521v1:4:31174943:31175993:-1 gene:DCAR_013305 transcript:KZM99333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAQLAAADFYKDAEITWGDQRAKILNGGRDLTLSLDQTSGSGFQSKNEYLFGRFDMQLKLVPGNSAGTVTTFYLSSQGAGHDEIDFEFLGNSSGSPYTIHTNVFAQGKGDKEQQFQLWFDPTSSFHTYSIVWNPQKIIFLVDNNPIRVFNNQESIGVPFPKNQHMRVYASLWNADDWATQGGRVKTNWTKAPFTANYRNFNINGCPKTSSGSSSCGSSTASSNTNEGWRTHELDAAGRNRLRWVQSKHMVYNYCSDKKRFANAVPAECKHSRFL >KZM98010 pep chromosome:ASM162521v1:4:20351258:20352905:1 gene:DCAR_014628 transcript:KZM98010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGVACDYAGKTLAFHVDQGSNSNYIAFVIEFEEGDGDLAGVGLMETGSLKTTSNNEQVITAEWRKMQQSWGAVWKLDAGGELQPPLSIRLTSQYSEQTLVAKNVIPVGWKPGATYRSLVNFL >KZM97196 pep chromosome:ASM162521v1:4:12122222:12123487:1 gene:DCAR_015442 transcript:KZM97196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTPNLQVRITSSSNDEEWIHLIDSKLSLVRRNQQDLGICRVPDVLRAEEPRAYTPKMVSIGPYHRNKPELRAMEEFKWRYTSDFIDQLAETDTGNIQINESHGESIENSPQTLALKKCCKVISEQEVEARAFYAVDISLDTYQLVQMFLLDACFILEYMRRIQLTREIKRSRAVPWVPESSELLVSQLTNIIALTQDLMLLENQIPYNILQQLFDLIPIARRITDASGQVLSLQELAFAFFHAFTDHLCYNISPLKIPIQDATFTHLLDMLYQICSSASEISSPLEEEDWTRLKWGSKSCAAELIKSGFRISLHPSRASMVDIKFKEGEIFLPSFVNDDFTSPLFRNLIALEQSRNGRQVIISYIFFMTSLLRSEEDLNILDGAGTILNFHKGTFIPTYIQGLLIGNSPEDFVFRDLCM >KZM98530 pep chromosome:ASM162521v1:4:24773193:24781360:1 gene:DCAR_014108 transcript:KZM98530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILDQSHDFSDSKDKKHKRRFNRKSKQISPLPDEEPVQQEAGQLLRSCREPIACEISMADSNARSGYHLSRQSQKRRNYYTTYWSKEAVTAALERGDVFRALFRVNAHNRLEAYCKIEGVPIDILISGSDAQNRAVEGDIVVIEIDPLSLWTKMKGSSGASFNASVSNDCNSAGASINCKGKGDVDVDFHEERNTYHLENGSQESVALAGNGLVNGNISCSNEHHGEVNAVQKLCALTMAFPSKRPTGKVVAIIEKSRRRNGIVGFLDLKQWVSQRKDFSEKAEAIKLSSLFSSMKYIPFAPNDSKFPKLMVPMTSLPDCIKRRLEVGDAKVGTELVAAQIVNWGEEDNAPEAHVIRVFGCGGDAEPCISAILFQNAINSSEFSSETLSCLPSVNWVIPLEEYQSRRDIRNFCIFTIDPASTTDVDDALSINWLSSGICRVGVHIADASYFVLPETALDAEAEFRSTSVYLLRRKLPMLPSLLSENLGSLNPGVERLAFSIFWDINPTGEVLDRWIGRTIMQSCCKLSYEHAQDIIDGKFDVETSATSISGLPQMHGHFQWSNVVKSVKSLYAVSKILKENRYNDGALSLANPKLDFLFNEDGSPSNFVFQGSMESKSLVEEFMLLANRTAAEVISRAYPSTALLRRHPEPDLRKLREFEAFCCKHGLNLDTSSSGRLHLSLERIRQELKDDSVLFNIILSYATKSMQMATYFCSGNSEECENNWGHYALAVPLYTHFTSPLRRYPDIVVHRTMAAAVEAEAIYLKKRNNFNVDKTRRCLTGIYFDRDAVESHEAQKALSFAAAEHNLPCTEMLTGVAAHCNERKLAGRHVNDAIVKLYTWLLLRREKVLFSKARVVGLGPKFMSIYIQKLAIERRIYYDEVEGLTAEWLDATCTLVLSLSTSKRFQRRGSPAYCRPLEEAVLIVSPHKLMPAVDSSGENCNEVGIPQIEDRDGSTSVSHVQDVETAAFPLTLRILSTISVALHAVGGDDGPHDVGARLYVSSYCR >KZM98171 pep chromosome:ASM162521v1:4:21680302:21681225:1 gene:DCAR_014467 transcript:KZM98171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISDAITGKNENLSIPSIPPTVSAVKNPNSKIEYDISNHERFPPGDPSKRATAYLVLTGGRFVYASLIRLLVLKFILSMCASKDVLALASLEVDLSSIQPGTTVTVKWRGKPVFIRHRTQEDIQAAAKVDVNSLRDPQEDGVRVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLSENTMLIG >KZM98678 pep chromosome:ASM162521v1:4:25966926:25967753:-1 gene:DCAR_013960 transcript:KZM98678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKLLLLFLASLLLSTMVSAQNEELLAPVKAAPPVPVKGSPPPPPPAPEVKPPIFTPPFNTPLVSPPPPPPRNTKECYPPCVIRCKLHSRKNVCLRACVTCCDRCKCVPPGQYGNKEKCGKCYANMTTRGGRPKCP >KZM97822 pep chromosome:ASM162521v1:4:18116144:18117527:-1 gene:DCAR_014816 transcript:KZM97822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGAGGIGRGPTAAAALAAAQKQKTLQQRVDNDIGTLVDSFKFIVNVARVNDQPVRNSHEALMMKMRASRMVQSADSLLKLVSELKQTTTLSRFSTLNDHVEQRTEELNQLADETDGMLARIGDDAAASLTELESHYYSSAIGMNQIDNIK >KZM98683 pep chromosome:ASM162521v1:4:26069371:26070400:1 gene:DCAR_013955 transcript:KZM98683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRGANKQKHVFKKNPKALIWGRPPKVIGGKLLVSGYWGIARHCNYLGDLLLALSFSLPCGISSPVPYFYPIYLLILLIWRERRDEARCAQKYKDVWAEYCNVVPWRILPYVY >KZM96818 pep chromosome:ASM162521v1:4:6933526:6933732:1 gene:DCAR_015820 transcript:KZM96818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPKKITSAFKVWMIVKLEFQFQIMIVIISDFTGQKQRPIGIHSPTGIIYLQLPSFGHYNDKYFIFS >KZM97438 pep chromosome:ASM162521v1:4:14690660:14692532:1 gene:DCAR_015200 transcript:KZM97438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGIAVHDDCKLKFLELKAKRTYRSIIFKIEEIQKQVTVEKVGDPTESYEDFAASLPANECRYAVYDYDFVTQENCQKSRIFFIAWSPDSAKVRSKMIYASSKERFKRELDGIQVELQATDPTEMDMDVIKSRAA >KZM99605 pep chromosome:ASM162521v1:4:33370350:33373646:1 gene:DCAR_013033 transcript:KZM99605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVAPLRNPRDEAAGEPRFSIIDDEFPDLSGDHLLDSIDFDDLFIGMEDEDVLPSLEIESDDIFAEFNSVSGGDESETNATSLYVENFDNISSRSYNQEEDKVSGSDFGSGSGRLNQGEQIRNKSDEINVSAKVPTKEEAKRKKSSKNHQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMEIHCLTRHNIASHLQKYRSHRKHLLAREAEAASWTQRRQAYGAASEGGGCKREMNSTSPWLAPTLGFPPNNIPHHFRPLHVWGHPSMEQPLMHVWPPKHLAPSPSPPSPMWPPVTASPHLPQPPPNPSFWNSRYQRNGHSKIPRNHCFAPSMASPRFAAAAAPVPGIPPHAMYKVDPVSAPPRPPFDFHPSKESIDAAIGDVLSKPWLPLPLGLKPPSTDSVVGELHRQGVDNIPPGGACASTT >KZM98153 pep chromosome:ASM162521v1:4:21509906:21514121:1 gene:DCAR_014485 transcript:KZM98153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVTYSEPSEVTVSLSTLSTLVQNRPAFADMSTCGLETGCVRSSSGNAICSSIGELNEQKSPENNLSIPMSERSPLPFSRILGFSMRNSLTSNAQNSDDSAPSSTYGITVGESESNGSLARKRMLSPLSSRIFPKQFSGASLDIGGSNFQSDSQKNSSSYSRLTGQDFKKANIGRDKAFISPESSVSNYAAQNDFVYDSGMGSSVCFTDGPVLDQKELLSHAWCSSPGLDPLGESCRTKPRTSAILVSAETITSIPLSLSPLGPRLSANSKAEGGCRKERKEYRGEYLTFRDTEEALNKNALGIIFSYEEEEHSRIESKSFEDTAFLHNNLQSTSSENKFGKSWPFSRDIAGFSPCTKLDRNMKGLPVRRSLVGSFEESLLSGRLFGNFTQKIDGFLAVLSVTGGNFSPKSQKLPFSVTSIDGDNYLLYCASIDLAGNSPSTKCRRNSKEDLCIGNSRSSRSRLRIPVKGRIQLVLSNPEKTPLHTFFCNYDLSDMPAGTKTFLRQKVTLASSSPISTEGKEHWKTSDMKNENMQVSEMYKSDEVVRVSEGFGTGSETDVDQRTGGIDWLDRCHVPDHKSAHVCSKVNGNPGSVGALRYALHLRFLCPSPRKCSRSVEKGKSKFLSQPRSNIDNEERKIYLYNDLRVVFPQRHSDADEGKRTRDTSISAAESQHHYDLIPLFVYQFPAQLRNAVLSQSGLHKTV >KZM98796 pep chromosome:ASM162521v1:4:26969465:26969683:-1 gene:DCAR_013842 transcript:KZM98796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYKYLDSQGKKPLSTEQSSCDSGDREHFSIQVHSCISLRVRGVFILGKNELIPGQFIIIMGSWATIIHPMN >KZM96957 pep chromosome:ASM162521v1:4:9128538:9135169:-1 gene:DCAR_015681 transcript:KZM96957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYMSPEIILGKGHDKAADWWSVGILLFEMLTGKLLIIMYNVEGSMESLLAATNFMFPSPAADHFAKNKETVHSETCNFMNNHDSPQPQSLNLSRYQSVPSSFLESLVNDSAVAADYRSSNIETEMKFSDPGDCKYTKGLGTDSSKNLLSSGVENLFRGFGSNVGGENMNQVKKETSNGSRLSLVRQSSSPAGFSSSLADDDIGSVWISVESTFISLFVLDLYCKLLTRGLITGFTGMNDLGNFRSCNKTKAGLSSSTNRLGMNFSSVPSSHSRFMPQSAANVNENFGTSELYSANGHSGGNFNGPNLYNDPNCNSLKRNRDGEAKMLSSLPGLNKQNDDFNHYTSGLVHQLSLPSTSAEMATMENFLHFQQDSIPCKIRAKRGFATHPRSIAERVRRTRISARMKKLQDLFPNMDKQANTADMLDLAVVYIKDLQKEVQV >KZM99311 pep chromosome:ASM162521v1:4:31008632:31013284:-1 gene:DCAR_013327 transcript:KZM99311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVWMPSLSFPRSVDHLFIHFVTDTYYIDMGSTWKLEDHPKLPKGKIVAMVVLDGWGEAKPNNLIGLFDLKGAPDKWRLMRAHGTAVGLPTDDDMGNSEVGHNALGAGRIFAQGAKLCDLALESGKIFEGDGFKYIKESFASGTLHLIGLLSDGGVHSRLDQLQLLLKGAAQHGAKRIRIHVLTDGRDVSDGSSVGFVETIENDLTQLREKGVDAKIASGGGRMHVTMDRYENDWNVVKRGWEAQVLGEAPHKFKSALEAIKTLRAEPNANDQYLPPFVIVDENNKAVGPIVDGDAVVTFNYRADRMVMAAKAFEYENFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIDRTSGEYLTHNGVRTYACSETVKFGHVTFFWNGNRSGYFNAEMEEYVEIQSDVGITFNVQPKMKALEIAEKARDAILSRKFHQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYVVTADHGNAEDMVKRDKKGEPLLDKDGKVSILTSHTLEPVPVAIGGPGLSPGVKFRKDVPQGGLANVAATVMNLHGFVAPSDYETTLIEVVDN >KZM99713 pep chromosome:ASM162521v1:4:34201814:34204213:1 gene:DCAR_012925 transcript:KZM99713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRLVGDEEFRSADHVRIMMIKSCRNAEDVRWVVEYLNGLRKKGLHFSLYSCNALLIQFGKFDMVDAGKELYLRMLSDGIEPSLLTLNMMINMLCKRGRVQEAQMILCRIYQCDWVPDVFTYTSLILGYCRKRNLVAAFRVFDKMLKEGCLPNAATYSTLINGLCNESRVDEALELLNDMIEKKIEPTVYTYTVPINSLCAAGRVKEAIDLLFSMRRRGCLPNVQTYTALISGLSRARNHELAAGFYHKMLMDGLVPNTITYNVLINDLCAAAGRYSDALRIFDWMEKHGTLRNTGTYNDIIKIMCLTGQLEKAMILFDKMRDVGSLPTVVTYTTLIGGYLKMGKLKNATRLLQVMKENECEPDEWTYSELVSGFCKAGKLDSAIAFFEEMIDRNLSPNQVNYTTLIDGYCKEKKCDAAMILLEKMEAKGCLPSIETYNSVIYAFAREGKLDEAEKLFEVISERGLLPNVITYTTLIYGLCRNGGTSLAIKVLQKMTKRHCFPNVNTFNCLIYGLCLEGQAEDAEVLIEDMQRKGLSPDTVSYTSLIDGFVMSDKLDHAFVVLRKMVAMGCKPNYRTYGVLLKGLQKEYQSNVEKIVSQHESVCTSGPHNKDASFDVINNLLVRMSESDCEPNVDTYCTLVISLCREGKVHEAGLLVNHMEERGLCPNKEIYCSLLFAYCRNMRVDTAKEIFNLMVKKGFTPHLSNYKALICALCKENLVAEALNVFDNMFETPWNSDEVAWTILVDGLLQQGDADVCMKLLYKMESKGLAPSSQTYNLLAKELSRVEKCLDARQVLT >KZM98685 pep chromosome:ASM162521v1:4:26090400:26097645:1 gene:DCAR_013953 transcript:KZM98685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRGDSLKASCAPATPGKPQLCQETTGVSVLSETGQFPKSASCGSLRSSPEAINKFSGPTETSARGFSLHSVDKNMPFCELLALVNPGGAEKIASVSNSFVGSTVPATQIEDEESKQGHEKTCQPKEDKQLVNNTEGVVQQSEHSSDAVSKQVPEHSNPGQGSDVSLGRATPAQKKPRRKRYMPKVVREGQTKRTPNVKTQKPVNPNDASTLKRKCIQKKETSIPPNIDFVDLTETDEDAPSKTPTNLTRNIHPDTPSTTSLSSKPKTASRPRKLCKRSLNFDISSQVGEIKSSCDPAAESDLKSQAQGSYTEIQSTLQLGHGPEATAGKSQVEHISDVQSGSTRGNKETRRKRARGSSTRVKNIASLVETSEHILSTRSSTMQEDGNAHQPYIHAAKTTKKQTKKNTRNSGSSPGAMLSVDALADHLRLLDINAERKQGANPNTSAGSCNSARCQEQNTLVVYQRDGTLMNVKEQTPRPRVKLDQETIRVWNLLLQDINSEGINGNDEETKKWWEDERRTFNARANSFIKCMHLIQGDRTFSRWKGSVVDSVVGVFLTQNVTDHLSSSAYMSLAARFPLVLESNHGPLVEEVMNVPVSNPEDTPKEMKSKQPTLNERSVIIQEIDCDKNREVLNSNEPCVSRIDSGEVAMSSGLHREGTEVLWREEIGSSQGTARCSVDIVCQPQTSSPNLKNEVESIDKEKIYQVESVDFERNTGTLDVTGATGVFDESKSSSCLSENIKNARASCHVQRHRDSHSKPNGKTYKPNKGRKGKGKQPAAEWDSFRKQAQAKPNGVRLRTPNTMDSVDWEAVRLADIDEVAQIIRDRGMNNVLAERIKEFLDRLVTDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHVAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYELHYQMITFGKVFCTKNKPNCNACPLRGECRHFASAFASARLALPGPEKRNVETTECNVVDQNFVENIDRLQLPVSLSDQLSEANTQISHCLPIIEEPASPGPIIELPATPEAEREQELEDDIEDFFSEDPDDIPTINLNIEEFTQTLQEYIQQNMDLRESDVSKSLVALTPEAASIPAVKLKTTGRLRTEHQVYELPDTHPLLNGLEQRESDDPCSYLLAIWTPGETADSIQPPTGPCSNQESGSFCDDNTCFACSSTREAQSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHASSLRPLEVPRYLLWNLPRKTVYFGTSVTAIFRGMSMKDIQLCFWRGYVCVRGFDRESRAPKPLIARLHFPPSKLSKGNEKKEKE >KZM97422 pep chromosome:ASM162521v1:4:14537767:14538702:-1 gene:DCAR_015216 transcript:KZM97422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEANSGKISLKLLVDRNAKRVIFGEAGKEFVDFLFHFLSLPVGTVVKLLSKDKMVGSLGKLYESIEAMHANYMEPNLNKEHVLNPKVSSSSLANTPFLLGYQTNDKVDGSKVSYRCPNACNCQCCYFDGSISYSNCKIYVCDDPEAICPSCQGHMSTKLTYVNGPRKKAVKVEQGSGYVRGLVNYMVMDNLIVRPMSTISSIILLNTFKVKDLSALESKEVFIGKEEAVEMLKASFVTDKVLTSLFLGNQKP >KZM97892 pep chromosome:ASM162521v1:4:19071572:19077245:1 gene:DCAR_014746 transcript:KZM97892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMATTATSTLSLSLILYNHTTTIPSILKNPRNIIYPSLRFLAPESKGVVQIVSTNTSYEDDEFVVVNFYRFVYIKDPHREVSEHLSFLQGLDIHGRIYLNEQGINAQYSGPYKDAVAYVRWLKDDDRFSDILVQISHSHSGHAFPRLKLRYKPSLVQLEGGISHLPLLDLSMRAKPLTPIQWRSKLANVNKDYQGSANLNTTCILLDVRNGYEWDIGHFYGAERPDVDCFRSTSYGQSESESNNSNFVQDIDSDPLARVDKEKTEILMYCTGGIRCDVYSAILRQRGFKNLYTLKGGISHYLWSEGPVDWVGNLFVFDARLSLPPSSYKVEIKPGECTKQEVSGSSSFAKCYICSSQLSALRHRNCANLDCNLLFLCCAGCTNGLKGCCCLDCTSAPRLRPVLIGHQRYKKWHHYRDIEMQNS >KZM98887 pep chromosome:ASM162521v1:4:27672255:27676742:-1 gene:DCAR_013751 transcript:KZM98887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCSTFCRGDGDINKISSGATLDSKVNKFGGVDERALHLAQGRLIGNGASSKACLYTQQGKKGTNQDAMIVWENFSSRGDAVFCGVFDGHGPYGHMVARKVRDNLPVLLSAQWNGNLNNDQNCVAENKTENGNCVAENGNAAGSTDADENMDDGWCEPLEAEENTKLPEMYWPLRQSMLKAFKLMDKELKLHPAIDCFCSGSTTVALVQQGQDLVIGNVGDSRAVLATRDKDNSLTAEQLTIDLKPNLPREAARITECKGRVFALQDEPDVPRVWLPNSDTPGLAMARAFGDFCLKDFGLISMPDVYYHRITERDEFIILATDGVWDVISNKEAVDIVASAPGRATAARALIDCATRAWRLKYPTSKNDDCAVVCLYLDHACAPNVAQEQKDLTATLTPKEVLPVTVNDNKPTDIGSNSPSRSIMLEHSGTVRCCDEIVPVIETAEDKHPGNRVGQSQRSLAECISTAEEEEWSALEGVVRVNSLLSLPRFMSFDKGSASWRKVMTKS >KZM98260 pep chromosome:ASM162521v1:4:22344937:22348200:-1 gene:DCAR_014378 transcript:KZM98260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQQQHQVGGSNRIAALDVDNMDPEAQKSQKKPGWMNFFAYVGPGFLVSLAYLDPGNLETDMQAGADHGYELLWVILIGLIFALIIQSLAANLGVATVIGTAFALNILFNIPVWVGVLCTGCSTLLLLGLQRYGVRKLELLISILVFVMAACFFGELSYVKPPAQDVIKGMFIPKLSGQGATSDAIALLGALVMPHNLFLHSALVLSRKIPNSVRGINDACRYFLIESGFALFVAFLINVAVISVTGSVCNSPNMSQDNLDRCGDITLNSASFLLKNVLGKSSSTVYAIALLASGQSSTITGTYAGQYIMQGFIDLKMKTWIRNLVTRLIAITPSLIVSIIGGASGAGRLIIIASMILSFELPFALIPLLKFSSSKLKMGPHINSIYIIVFSWTLGLGIIGINIYYLITAFVGWITDNNLPKVANVLIGIIVFPFIAVYIISVIYLMFRKDTTSTFIDTTKTAESNDVFELDYAIPYREDLADITLPR >KZM98646 pep chromosome:ASM162521v1:4:25749181:25749995:1 gene:DCAR_013992 transcript:KZM98646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGRGRAAVPAALVLCLVLLLHNQVAEAAVYKVGGAGGWNFNTIRWPDAKHFKAGDVLVFKYNPSLHNVVKVNKRGYRSCVTPRGAKVYQTGNDRITLARGRNFFICNFPGHCEAQMKIAITAT >KZM99167 pep chromosome:ASM162521v1:4:29917678:29919215:-1 gene:DCAR_013471 transcript:KZM99167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGYSVNNEVFHDKDIFMENYKDMSRSFKIYIYPHSEDDPFANVLLPVDFEPTGNYASESYFKKVLVKSHFITEDPLEADLFFLPFSIARLRHDPRVGINGIQDFVRDYIFNISHSYPFWNRTGGADHFYVACHSIGRSAMDKADEVKLNAIQVVCSSSYFLSSYYAHKDVCLPQIWPRQGEPPKIAPTKRNRLVFFAGSINSPVREKLVEVWGNDSEISVHSGRLKTPYAEELLRSKFCLHVKGFEVNTARIGDALYYGCVPVIIANHYDLPFADILNWKSFSVIVPTLDIPLLKQVLKGITFNDHSRLQRNVLKVREHFQWHTPSVTFDAFDMVMYDLWLRRSSVRVRLTI >KZM99493 pep chromosome:ASM162521v1:4:32556696:32559724:1 gene:DCAR_013145 transcript:KZM99493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVYSSVSPICVGGSSFLYYAYASYIVLGMDFKDNAWTWNIQKKFNVVRQEVDEFVGVETMKYVENQVQTLGGTVKGFCSNVFQDFLSPALSNPVYHDEGTVPQEGKFVSETYKNSVSNLREKYADTDSKSLSSALSNPVDLDAELVPQKGKDLSQNYNKPLFVIEEKYADIASKQIYTNQDSVHHMPPPYRLNNVKKTMPTEGDNEITKALLPSVRDDSALYDNVKTSVSGYVVSDCEGLVAKERYMSEDSNLDFEELVAKERSLSENLNLDFERLVAKERSLSEGSNSDFEGLIANERSLSDDLISDFEGLIAKEKSLFEDSNSIGINDEASLIFVTNEDHSHKIIDEVVFAAVEDSVRVCSSVKERTFDPFFDDCHCKILGTPQSSEAECSISSGCQVVEPTLFSSISSLSNNSYYQSECFTLPEESVFYDHTDSVSSISESPDKSRFDISDLNMKTIDLSEKKRLNKSCIIEDNELLGATSFRPRRHRSYKQMIKDAYTKSKRLAKEYELLGIMYEDIDNELVQHERDSKLPSTHEKTPETGHSPTEDSGDCDWELL >KZM97240 pep chromosome:ASM162521v1:4:12696616:12700646:1 gene:DCAR_015398 transcript:KZM97240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMSRKLHSFRYICRSLYHHQHTAPSLRRLHGLPSLRPQSPQPFQPDKFVGRIGVQSVSVALHRQLCTSAAAPTANTTGKQVDEEKGSGDGGTGSGDSNQSSGQQAKFFRGSPVSWLSFLLLILTGAGIVFYYDNEKKRHIQVINDNSNAVKQGPSAGQAAIGGPFNLVNHDGKSVTEKDFLGKWTIVYFGFTHCPDICPDELQKLAAAIDKIKKKVGLEIVPLFISVDPERDNVEQVREYVKEFHPNLIGLTGSPDEVKKAARAYRVYYMKTEEEDSDYLVDHSIIMYLMDPNMQFVKFYGKNHDVDSLTDGVIQEIHQYIKARAQ >KZM99065 pep chromosome:ASM162521v1:4:29139264:29153447:1 gene:DCAR_013573 transcript:KZM99065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSRLWCDSCGSNRDTQTLDDGRACCSFCGKLLVEDNYSEEVTFAKDAGGQSRAQGTLNRLASGYSASRDRTLDGAYEDMQWMLSSVFNDDDSNVLRRARNFYRVWLSDVSLFIAAYEDMQWMLSSVFNDDDSNVLRRARNFYRIALERNFTKGRPKHLVEAACLYVACRTSEPDPKPYLLIEFAEFLGRNVYELGAVYLTLVQQLSLQDVQSIQKAVDPSLFIHRFANRLLGQTDVNVETTALKIIASMKRDWMQVKVVHICEATLTKRLIEFENTESGSLTIDEFTQKAEKHEAELRSLGQTTTGIKSSDKSEVLCKHKGELTFAHGLCRECYAEFMNFSGGLDGESEPPAFQRAQHERMEKEYAEKNAVDSSCDHMAIQGQNSNYVKYDKRSEIPGESRDENLHSSEDVNAGGATKEVPIDDASYSKLQGADYISAESPASETLSDIEDLEVDNYIHTAEEMQYKKIIWEELNREYVEEQLAKEAAAAAAKEACEAHMRNCPEDMEDARKLAAEVAAAMEQSKKERRQKRAAEAKNAAPPRTAAEATRQMLAKKKLDSKNNYDVLDELFNDSVSPDNKKSRLNDEVYASGTEYVKQNMKENDSELLPENENEGGPEEFSEGLQYGNEEDYIDDYGYDEEY >KZM99490 pep chromosome:ASM162521v1:4:32519648:32522509:1 gene:DCAR_013148 transcript:KZM99490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSRPFVATPKPKTLFPDILAPFAFATTRSMASSPFQKLQVHRDDNILDAYVVGKENAAGIVVLQEWWGVEFEIKNHAVAISQFGSGYRALILYRGKDGLDVAEAYFDPLNIFGKKRFRVKAVDDDDGHKGGDDDDKPEWLKRLEKFLKKYESLTKIVAYFKLITDIVKFMWWLYKYVLLPGYAIGKKFLKPEPRIVIALSDKGEEQKNKSGENHSNKGESQKKKQNEEESKTEEQKKKQNEEESKTEEQKKKQNEEESKTEEQNEEVSRWRIQFFKCVFYIYIQVQLHIHFGK >KZM99693 pep chromosome:ASM162521v1:4:34088667:34091690:1 gene:DCAR_012945 transcript:KZM99693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTVPEFKGLARTTAVESDSLNLVAEGCDPKALQEKYVKGEIEDILGEVARTRSPSAIQTLDKTISNLEVELAAARAAQESILSGSPISEDFNKTDQSSGRRKYLMVVGINTAFSSRKRRDSIRATWMPQGEKRKKLEEEKGIIIRFVIGHSATVGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKKYFATAVSSWDAEFYIKVDDDVHVNIATLGETLIRHRKKPRIYIGCMKSGPVLAQKGVRYHEPEYWKFGETGNKYFRHATGQLYAVSKDLATYISVNQHVLHKYANEDVSMGAWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWTCSGICRSVDRIKEVHRRCGEGENAVWKAAF >KZM99691 pep chromosome:ASM162521v1:4:34053655:34058028:-1 gene:DCAR_012947 transcript:KZM99691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHVLARAAVFTMILCMGVPVKVVGRNVSSSKQAVINIGALFTVNSVIGRSVKPAIKAAVDDVNSDSSILQGKHLNLIMSDTNCSGFLGTVEALQLMVNDVVAAIGPQSSGIAHIISHVVNELHVPLLSFGATDPTLSALQFPYFLRTTQSDYFQMQAIADLVEYYKWREVIAIFVDDDYGRNGISALGDALAKNRASIAYKAAFTPGAPISDINDLLVGVNLMESRVYVVHVNPDSGLRVFDVANHLGMMTSGYVWIATDWLSSVMDSSGTMDPDEMDLLQGVVVLRHHTPDSNSKKKFSSRWNQIKDKETSSFNPYALYAYDSVWLLSRALDVLLSEGENISFSYDPKLKETKGSTLHLSTLRSFDQGDKLLRILTTMNFTGLSGQIEFDQDRNLIHPAYEILNIGGTGSRRIGYWSNQSHLSVTAPGSSYMKPLNNSAKDQKLYDVIWPGETVKVPKGWVFPNNGKALQIAVPYRVTYKEFGTRDKGPIGVRGYCIDVFEAAVDLLPYPVPRTYILYGDGQRNPSFSDLVLDVSQHKYDAAVGDVTIVTNRTRIVDFTQPFMESGLVVVVPVNKVKSSAWAFLKPFSWEMWSVTGAFFLFVGAVVWILEHRENHEFRGTPRQQLITVFWFSFSTMFFAHRENTVSTMGRMVLILWLFVVLIINSSYTASLTSILTVQQLSSQIEGIDSLAKSNVPIGVQDGSFALNYLIDELQIAASRIKIMKTQDDYIDELRKGPKGGGVAAIVDELPYVELFLSNVNCEFRIVGQEFTRSGWGFSYISFSQAFQRDSPLAVDLSTAILQLSENGDLQRIHDKWLSKNSCSAQGNQVDDSRLSLTSFWGLFLICGVACFISLTVFFFRVYFQYRRYIPEDEENGFEDPESVSSDKRPSRGTSFKKFVDTKEIEIKDKLKRKGSESKSKQQISQIADGQLSSPS >KZM99497 pep chromosome:ASM162521v1:4:32587548:32589712:1 gene:DCAR_013141 transcript:KZM99497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEQTQKCSNSSGGGANGGHGGGRSTARSCKKSKQKKIPQRGLGVAQLERIRLEEQQKKDGLLMQTPTVVPSANSIVYMGNSGLNVPEVSINDLNLQNSVPRQHGLILNSDATHLDSGSIPLSNNAAGNWAHLWNSNSQYNLNGESQKIDCHSLAIHHNENLPCETKTPVWSIPMHRSEHFQYPRPSAMANIPSGSVKSSVPNVQIEPPSNQIYGANKCPRLWQEEEQMIGMKRSYPFIVEDAPGPSFYTKYPHAYASLIPGKDEAAPCSNDCISTIQPANTQFSREMPLCSNGVSEPKNKNILSEFGGSNGGFLTLAPPAELSSSYIRRHCQEVPDFDALPYQDITDEQVKWPETGPLTKQPFYSFLPPASAVNGLSAGGGSSNGEQSEAVDLDLKL >KZM98758 pep chromosome:ASM162521v1:4:26685577:26690994:1 gene:DCAR_013880 transcript:KZM98758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISRFTCYKNLRNQRLSGDFLSSKVQYSISYIYLFVLPDMYGKLNCETTAARMKVYTTGEKEVIMEPTLKWAGNPNILVAIKAFGLRVSIQVVDLQVFAFPRITLKPLVPTIPCFAKILVSLMEKPHVDFGLKLLGADAMSIPGLYSFVQGTIKEQVANMYLWPKTLEVVIMDPTKAMKRPVGILNVKVVRAMKLKKKDLLGASDPYVKLKISEDKLPSKKTTVKHKDLNPEWNEEFSITVKDPESQILDLVVYDWDKVGKHEKMGMNVIHLKDLTPEETKVLILDLLKNMDPDSVQNEKPQGQLVVEAMYKPFDDEERPPDSEDSEVQKAPDGTPAGGGLLVVIVHEGQDLEGKHHTNPSVRLLFRGEEKRTKVVKKNRDPKWDEEFQFMLDEPPSDDRIHVEVVSTSKRMGLLHPKDALGHVDISLADVVNNKRINENYELIDSKNGTVRIEMQWRTSS >KZM99056 pep chromosome:ASM162521v1:4:29066416:29067597:-1 gene:DCAR_013582 transcript:KZM99056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAYFIKTKWPEKAPKSEEERKDFIASVHKRKTMLFMVLIEKKLFPLRPGVAKLIDQTFAKGVKVAVCTTSNEKALLRSLKLYSSRKLQRQNTISE >KZM96655 pep chromosome:ASM162521v1:4:3035796:3036211:-1 gene:DCAR_015983 transcript:KZM96655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVHQNLSWKVVYNVVSVPIAAVVLLPHSDFAMIPSLSGAQGGEAIAECCS >KZM99610 pep chromosome:ASM162521v1:4:33415307:33416338:-1 gene:DCAR_013028 transcript:KZM99610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGGGDERRFQPHQLFLSKRLRPVDIPPRKLLSRRAANLEIPVYPRLDEETPLAKFLPCNNRDEEDEADVYSADQFRMFEFKVRKCTRSRSHDWTDCPFSHPGEKARRRDPRKFNYSGVVCQDFRRGMCPRGDRCEYSHGVFECWLHPARYRTQACKDGRSCTRKICFFAHTSKQLRILPQDSANGHHFCPHSHCSSLSPNSTLMRMPDGSPPVSPSLPMGAYRPTRGQMALAELAELKKRHGSGYKKELIKLFQSLNLDEKNSSTGRPKNRLFVDVSKLHDQVPMFFSPSSSESSSSPSDPSSSGSSHFSKNNLDLTEENGTSGAPDLGWVHELCDDWNN >KZM96960 pep chromosome:ASM162521v1:4:9201757:9211834:1 gene:DCAR_015678 transcript:KZM96960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSNTLVDEDAHIKDDHLKVSLQSRPILQRKRHRPDERNPMKAIPAAESEKVSQKSLASIVGEGHKNVGRRPESRVGDGDSRRQKGREIGGGGELGAGRRLRRYKSAGDVSPCQHDSAGDVSLIQQDSNVHFAATKDSLLQHFNKFGDVLKVIIVTDATTGQPKGKVLLRKKLQFVTSRPQIFRGSIFAASRFGRVPFPRRIPSLYQARPPVKARARSFQWKRGAKMATVESSYSANKNSIPLYPSKFQICPSRSQPNGNSSIV >KZM98943 pep chromosome:ASM162521v1:4:28190697:28191623:1 gene:DCAR_013695 transcript:KZM98943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFALNFFIILSLATVKCTYAQWTSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGMTCGACFEIKCVNAGKWCLSGSIVVTATNFCPPNSALPNNNGGWCNPPLQHFDLSQPIFQRIAQYKAGIVPVQYRRVACRKSGGIRFTINGHSYFNLVLITNVGGAGDVVSVSVKGSRSNNWQQLSRNWGQNWQSNSYLNGQALSFNVKTSDGRSVISNNVAPSNWGFGQTFTGKQF >KZM99536 pep chromosome:ASM162521v1:4:32808251:32818282:1 gene:DCAR_013102 transcript:KZM99536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMFTSVCQLAWPQTCLVLLLILYNLTISASKSSVSYSKHCDSVVPEATSTGYANFAFPYLETVRSSFTGGERILGNSGYSYPSSFDFHTSKNVYATETQGVYKIEAEMSFRVYNDVYYPQSNVTAGIPPRRRRRSGRLKFLLHGFWSEASGRGCFVGSASWHSSQVKQYEYKLISEEIARGFDVDVKKGEVLDSHPGYICSLFSMEYVPFDLEYASNCSSSIKNCSPVDRALGYMPTHIALYSIQCPEYGNKMRFLVQFTNSAYMGRYAMFNPNSTLVGEGLWDEKTNSLVIVGCRISSTSLGDVRVGDCSYRLSLWFPSVWSIKNRDKAVGQIWTNKTSEDLGYFGRTKLRSTSTYGYLNVPGLKYEYTGIERMSKLCPKKAAGRGKRYPRGQSYDMRFDMSVKEFAWGDAEPLFVGNKSYAHSPVYISNSRWGGYREIVESEAEVEDADNVPVNVSYKLRFYSMGDDKLGAGRSSLNASFDSNGQLVISAEGVYDAGTGSLCMVGCRNMGFNHSADCDIVLNFQFPESEGSNGGYIKGSMKSTRKQSDPLFFEKLSITATSFSSSQAQRSIWRIDLEITMVLISNTLACLSICYQIYYARKYPKTLSYISLVMLVILTLGHMIPLVLNFEALFMPKQDTRYMLLNSAGWLEVNEVIVRVVTMAAFLLQSRLLQLAWTAERSLWRIDLEITMVLISNTLACIFVSFQLYHVKRYPNSVPYTSLLMLVILTLGHMVPLVLNFEALFKPKQNTQNTMLSSSGWLEVNEVIVRVATMVAFLLQFRLLQLAWTARHTGENEPSISVAEKKSIFVSLPIYIFGGLVAFLVNWKKNYYASAPRAFHYSQAQGQQHTLWGDLRSYAGLILDGFLFPQVLLNIFHMSRESALSMPFYVGTTVVHSVPHAYDIYRAHNYVPAHVNGTYLYANPSADFYSAAWDIIIPMGGLLLAGIIFLQQKYGGRFINFREVELYAKVPVADT >KZM99856 pep chromosome:ASM162521v1:4:35380373:35380735:-1 gene:DCAR_012782 transcript:KZM99856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQSLSLLFLLICISYTTLPVSSQIETLDSNLQWPSASSGYAGVELELDEDQEDVIDGARRSLYWRSMHYYISYGALSANRIPCPARSGRSYYTHNCHSATGPAHPYSRGCSAITRCRR >KZM98009 pep chromosome:ASM162521v1:4:20340551:20346815:-1 gene:DCAR_014629 transcript:KZM98009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDKEEEDRLVLRTGSPNVKDTVLCCGFVLDHVGEVVLTLYPDYLSWIRVDSMFDNDQDTGSSCLGIQFVSKRDTTIKHSDAYAVELIDWGLIHESVLANATGCLLGQASEMFRFTIHCVQKSKTQPSLWTPAVYTFGHRDLRTCQMWVARINTFINMEAGRPKSLLVFVTCRAGQAFDMMVSISNRELNSYDGVLAVGGDGFFNEILNGLLLSRHKAPYPPSPKDFGHSHYKDEITLVHLKDKCIDPSEQNEDQSPLLSTSELNRSQIKNLRPEDNIGQSDREADFPFPNARFRFGLIPAGSTDAIVMCTTGTRDPITSALHIVLGKRISLDIAQIVRWKATITSKEEMSVRYAASFAGYGFYGDVITESERYRWMGPKRYDFAGTKVFLRHSSYEAEVAYVEVKKDEDGTAGFRTKSFWGLPRKSERVACRVKCNVCNAKPAQRPAEAPINEPHLHELKWLKSRGNFLSVGAAVISCRNEKAPDGLVADAHLSDGFLHLILIKDCPRAFYLWHLTQLARKGGNPLNFDFVEHHKTLAFTFTSIGKESIWNVDGELFPAHQLSAQVFRGLVSLFADGPDV >KZM97446 pep chromosome:ASM162521v1:4:14757298:14758254:-1 gene:DCAR_015192 transcript:KZM97446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTLTNAFALLADEQGKDATSIIALKTAELKKISDKNKKIAVEKKKAEAKNKNKKKKAKAKIGDGQANEEHNTVDEHSGIRVAREKEKEEEARRRLLAMDKEKKEEEARRRECWLARQAELAREREERRLAREAQLARQAEREEQRLAREAELVRQRQERWLAREAELARQAERRARSAAREAEREERRLAREEERKKEIQERRNKEMAMKTLREYEEEKAFEKQKADKEILNLKDEPLKKDSNIKKHGKVRKAMNIEEFLKLPTGREGSEGRDGHNKESASSDENNSIKVKAGHAPEFSEESFPLLGKVSKPAKVY >KZM98909 pep chromosome:ASM162521v1:4:27883376:27884024:1 gene:DCAR_013729 transcript:KZM98909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQMELYSYGSKFTWALNSIRR >KZM96723 pep chromosome:ASM162521v1:4:5285117:5287281:1 gene:DCAR_015915 transcript:KZM96723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSYLADMTNETTQWEIKARICSLWKIVETENAVTSLEMLLMDEQPVPSTVMLLFLENTTIDILGDNVIQIPRYAFQFVNETTLRGRADDNTLLSDVVGCYLGGVEGEESSESNDKRVLTILTNFSVKTRVIVPTTVPGVSNLKLHSNCGPTHVIVVSSVIVNKDEDPAIQHVSSVRDRFMSLIGSMTNAQKLAHQLAPDNRLLQKQVSIEQFQKVATGGSLQGELIAVTGTIVWLDNEGGWYYNSCKACLGDVTLAASEKYHCNCCAQTFDHPLTLFRIVVDVQDTSGSLKLRLLNPVVEPFLDTSAKKILNRKEPGDDSIPEELMALLGKTFQFKIRVNTDKLLSSLQNYIVVQIKDVAETLRADWKNCLASEVPNQAHGLAQNAGLLPRINPGYGAAPAQAQGLTQAPNTFM >KZM97336 pep chromosome:ASM162521v1:4:13660371:13663264:-1 gene:DCAR_015302 transcript:KZM97336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASIPAPPSRNWLELPPELTASIFHRVGAIDVLMNARKVCRTWRQLCSDPEMWRVVDLRYTGDLCDIDLRKMARKALEMSAGHLVDLTVRHFADDELLNFIADRSSQLRRVSLISCFSITSDGLSEMVKKLPLLEDLHLYRIHVSKQAIEVAGKHCTQLKSFKLNSQDYGYSNIGCDEEAVAIAENMHGLRHLQLFGNKITTDGLLAILEKCPHLESLDLRRCLNVANLEPDLLKRLSQQMKDLRLTYDSLEDFDLIDEIDDKFDDLDSFDDGNASGFSDIDLVSDDYDYVDEDDDVDDNDDDDDDEDDGGGSDEMYYV >KZM99416 pep chromosome:ASM162521v1:4:31905171:31906333:1 gene:DCAR_013222 transcript:KZM99416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACIQTKPISQNPNRSKIDDHVYDYAKLYRPSFQDYESCLPFSQIPEKMSGLSFVEDGDQDFLWRTMREEAKLDVEQEPILSAYYYSSILAHESLGRALANHLSMKLSDASLPSGSLFDLFVEVFTEDQEIMKCVRYDIVAVRERDPACISYVHCFLNFKGFLACQAHRAAHKLWSQNRKILALLIQNKVSEVFAVDIHPGAKIGRGILLDHATGVVIGETAVIGDNVSILHNVTLGGTGKACGDRHPKIGDGVLIGAGTCVLGNVKIGDGAKIGAGSVVIKGVPARTTAVGNPARLIGGKENPVKLDKIPIKLDKIPSLTMDHTSHISEWSDYVI >KZM97584 pep chromosome:ASM162521v1:4:16006911:16011437:1 gene:DCAR_015054 transcript:KZM97584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFVYVILGGGVAAGYAALEFTRKGVSSGELCIISQESVAPYERPALSKGFLLPEDPARLPSFHTCVGANEERLTPKWYKEHGIELVLGTRVKSADVRRKTLLTATGETISYKFLIIATGARALKLEEFGVSGSDAENVCYLRDLADATRLVSVMQSSAGGNAIVIGGGYIGMECAASLVINKINATMVFPEAQCMARLFTPKIAGHYEDYYISKGVQFVKGTVLSSFEFNSEGKVTGVNLRDGSQLAADMVVVGIGIRPNTSLFEGQLTLEKGGIKVNGHMQSSNSSVYAVGDVACFPVKLFGETRRLEHVDSARKSARHAVAAIMEPSKTLEFDYLPFFYSRVFSLSWQFYGDNAGEAIHFGDFSGTTFGAYWVSKGHLVGSFLEGGTKEQYEALAKATRLKPPVDDLTELERQGLSFALTLKHDPLPTPTVNVRIPDIVVEKPIYAWHATAGVIVAASVAAFAFWYGRRRRRW >KZM97248 pep chromosome:ASM162521v1:4:12806338:12820934:-1 gene:DCAR_015390 transcript:KZM97248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTQADLPTMYTLLSNSLSGDENLRKPAEAALSHSENRPGFCSCLLEVITAKDLVAQTDVRLLASVYLKNSVGRYWRNRRESCGISKEEKLHLRQKLLSHLREENYQIAVTLAVLISKIARFDYPKEWPELFSTLSQQLQSADVLTSQRIFLILFRTLKELSTKRLAADQRNFAQISSQFFDFSWQLWQRDAQAILHGFSVLAQSLSSNASETHDDLYITCQRWYLCSKILRQLIIAGFQSDARSMQEVRQVKEVSPLFLKAIESFLPHYKSFREKHLRFWDFLKKACTKLMKILVTIQKCHPYSFGDKCVLPLVIDFTLNTITDPGPELMSFEKYIIQCMSMVKTILECKEYKLNLTGRVMGENGVTFEKIKESMSSHVAGVISSLLPSDRVVILCNILIRRYFVLSASDVEEWYQNPESFHHEEDSVLWSEKLRPCAEALYIVLFENHSQLLGPVVVSILQEAMSECPSSVSEITQGLLLKEAAYGAAAYVYYELSNYLSFKDWFNGALSLELTNDHPNMRIIHRKVALILGQWVSEIKDDTRRAVYCALIKLLQDNDICVRLAAARSLYFHVEDATFSEQEFSDLFPVCWCSCFKLVEEVQEFDSKVQVLNTISALITHVNGVTPYANNLVQFFQKAWEESSGENLLQIQLLTALKNFVVALGYQSPLCYNMLVPILQGGLIINSPDELLEDYMLLWEATISHSPSMVPQLLGLFPHLVEILNRSSAHVKVAANIIEGYILLGGNEFLNLHASSVSRLLDFVVENINDKGLLSVIPVIDLLIQCFPVEVPQLISSTLQKLIVICLIGGDNHDPSKTTVKASSAAILARILVMNTNYLAQLTTQPSLLVLLQQAGFLSEEGVLLCLVDIWLDKIDNVTSIQRKTFGLALSIILTLKLPQVLDKLDQILSACTSVILGGSEDLTEESSSDNMSSARPQFPTKEYRKRQIKLSDPIHQLSLENSVRENLQTCAALHGKSFDSAIGRMHPAAFAQLKQALNMT >KZM99498 pep chromosome:ASM162521v1:4:32590566:32592830:-1 gene:DCAR_013140 transcript:KZM99498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPYGVKGRKRKKNREVYDKEEEEEVHEEGNEVVDDEENVAKRSKAEEDEDEAKAQHVADELSGIPIGPLVEDDKKNGVIFILERASLEVAKVGKTYQLLNSDEHANFLKRNNKNPADYRPDIAHQAMLMILDSTLNKAGKLKALYVRTEKGVLFEVKPHVRIPRTYKRFSGIILQLLQKLSISAVGKREKLLRVVKNPVTQYLPVNSRRIVQLLQKLSISAVGKREKLLRVVKNPVTQYLPVNSRRIGFSHSSEKLVDIGDYVNAVSNDVNLVFVVGAMAHGKIEKEFVDDFISISGYPLSAAYCISKITNALERKWKIL >KZM99335 pep chromosome:ASM162521v1:4:31192278:31199466:1 gene:DCAR_013303 transcript:KZM99335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMETTANSNPTQAAEEIKVLANDAFKAHKYSQAIDLYSKAIELSSENAVYWANRAFAHTKLEEYGSAIQDATKAVEIDPRYSKAYYRRGAAYLAMGKLKDALKDFQQVKKICPNDPDATKKLRECEKAVMKLKFEEAIALPVTERRSIADSIDYHTIGTHPESSLFSPQVAAVAVVLLAVLVIMMGTQAATVVATAAIASYLLFRRTWWGGYMGNIFTKSRALNLDVESQYTGARIEGDEVSLEFVKNMMEDFKARKCLHKRYAFQIVLQTRDMLRALPSLVDIHVPNGKHFTVCGDVHGQFYDLMNIFELNGLPSDENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIHLARGNHESKSMNKIYGFEGEVKSKLNDTFVELFAEVFCCLPLAHVLNDKVFVVHGGLFSVDGVKLSDIKKIDRFCEPPEEGLMCELLWSDPQPQPGRGPSKRGVGLSFGGDVTKRFLQENNLDLVVRSHEVKDEGYEVDHDGKLITVFSAPNYCDQMGNKGAYIRFEAPDMKPKIVTFAAVPHPDVKPMAYANNFLRMFS >KZM97374 pep chromosome:ASM162521v1:4:13988605:13991751:-1 gene:DCAR_015264 transcript:KZM97374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLNVNEATHELSTDSNCDEVEDHRHHHLFLSSTPRRQDSGTSISSVFNVAEEAPGENIGENTGESCCNFERRELKSVRSVELITRQLFPEKGDEMEKMSDEIESRWLNLSVSGGESRMMRVFEDRKAAVTEEVPVARMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAVKFRGPDADINFSATDYDDDLKQTKDMTKEEFVQILRRQSNGLSRGSSKYRGVTLHKNGRWGTQMDQFLGKQACNQAAVECNATAAATNSKPSTYGDSADAHHNLDLGLWISPPSNNQRGNDGVRNICLHDPSYHLSGAKRSKVETLAPAPSGRETPHYIPSWPVNHSGLAPGHELTAMKNMEAQVVPSHGISNWEWQRNNLGVVNPLPLLSTAASSGFSSTTWKFQPPSYR >KZM98179 pep chromosome:ASM162521v1:4:21737421:21739452:1 gene:DCAR_014459 transcript:KZM98179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCVYYFNFRVYSVFCQRIRVKFIVTYQIITCNRCIPYRVVRNNAEDSFDLESSLEVLMISSPNRDDLVFPKGGWENDETVEEAACREALEEAGVKGIIKGDSLGDWTFRSKSRQESGCLEGGCKVCMFALEVTEELDAWPEQENHDRKWLFIKDAFQLCRYKWMSTALEKFLEVMATEEKHGAGQSLVVLHPAQVSDVDDSLVVLNPAPASEVVTENPIMSTNCLPSLLSQNSTVLESFKCPAQQFHSTSDESNNRIRAGRLVSVIQV >KZM98296 pep chromosome:ASM162521v1:4:22651201:22652199:-1 gene:DCAR_014342 transcript:KZM98296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKLVHPVAEASATSPFGSLTADEFYARHSVKHSSEFITNSRGLKLFTQWWTPLPPTQIVGIIAAVHGFTGESSWFVQLTAVHFAKHGFAVCAIDHQGHGYSEGLVAHIPDINPVVDDCIAFFDSFRARYAPSLPSFLYSESLGGAIALLITLRRSGSKPYDGVVLNGAMCGISDKFKPAWPLEHFLSVVAAVIPTWQVIPTRGSIPDMSFRVDWKRKLAIASPRRSMARPRAATAQELLRVCRELQGRFEEIDVPLLIVHGGDDVVCDPACVEELYRRAASKDKTIKIYPGLLHQMIGEEEKDVETVFGDVVEWLKDRAARAAVHGGDV >KZM99266 pep chromosome:ASM162521v1:4:30649776:30651160:1 gene:DCAR_013372 transcript:KZM99266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPACKPNTHRIVCCIGDIHGHIDKLQSLWSNLETQIDPSHFQSALIIFLGDYCDRGPNTSKVLDFLISLPSKYPKQTHVFLCGNHDFAFAGFLGVLPEPADGSKFSDTWSGFEMNEAREGWYKEEGYERMHVQSRKWGGKKSGFTGKDLMKAVPPEHKKFLADLVWVHEEENVGIETAEGITEYKLIAVHAGLEKKRGVEEQLRYLKARDTSIPKVEALSGRENVWDMPEDLSNAATIVVSGHHGKLHSQGLRLIIDESGGREYNPMAAIVLPSMTIVRDTHVLK >KZM99476 pep chromosome:ASM162521v1:4:32375409:32387361:1 gene:DCAR_013162 transcript:KZM99476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDVEKLRGVIRDCMSKHLYSSAIFFADKVAAITSDPADIYMQAQALFLGRHYRRAFHLLNASKIVLRDLRFRYLAAKCLEELKEWDQCLLMLGDAKVDEYGNISHTKDCNLMYFDKDAEDREINISSAICFLRGKAYEALENRTQARLWYKAAVKADPLCYEALECLIDNYMLTSEEEVTLLSSLQFAPEDEWLSSFYSCLVRKYDKENTVEAKFKELEQEGSDIKSSNQSICTLKNNTDLLACKAEYYHQCGLCLGLPLVAIIIALKNMINQGVISGTSSYALNLPNSVVVAYGKATSFDRTFPPAWIGIGNAYAAQEEGDQAMSAYRTAARLFPGCHLPTLYIGMEHMRTNSFKLADQFLTQAKTICPSDPLVQNELGVSAYHIKEYITAVYWFKKTLAHIPSSLSVMWEPTVVNLAHALRKLRRYQEAISYYEKALTLSTRSVSTYAGLAYTYHLQDNYSDAITYYHKALWLNPEDQFCTEMLTLALADESRSGIDSITKSRRGELFM >KZM98358 pep chromosome:ASM162521v1:4:23222054:23222659:-1 gene:DCAR_014280 transcript:KZM98358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGTYTENHHHRNHKTLYHHRNGNPAASSSSANKTFLPILCRVSVKDTIAHRPRTLCPKKDDPSSPRVSCMGQVKHHHLTPSSTASTVKPHHKIVPGRSRNSSAKCNQPILIDQRRRRQLCTKFGDHDKNVRDNNSCAAVVPVLNLAELDPPLPVIKRVPQACDSEAVSLWKRRSRGDGLANIQIPTFQCAYSIRTSAQP >KZM98386 pep chromosome:ASM162521v1:4:23525151:23530901:1 gene:DCAR_014252 transcript:KZM98386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHRKFVSRSLQLAANTKCLYNLSRRTLTSSSPDFELPAFGYQPKPYSGPLADEVLAKRQKYLGSSLFYYYKKPLNIVEGKMQYLFDESGKRYLDAFAGIVTVSCGHCHPDIVDAITEQNKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLGMVALRNAYHGGSAGTIGLTALNTWKYPIPQGEIHHVLNPNPYRGVFGSDASLYAQDVQDHIDHGTSGNVAGFIAETIQGVGGAVELAPGYLKLVYDIVRKAGGLCIADEVQTGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIAHVMAQKIQFNTFGGNPVCSTGGLAVLRVLDKEKRQKHCADIGSHLIGRLRDLQQKHDIIGDVRGRGLMVGIELVTDKKDKTPAKAETAVLFEKLREHGVLVGKGGLHGNVFRIKPPMCFTKEDADFLVDVLDYSMTKL >KZM98533 pep chromosome:ASM162521v1:4:24805455:24806981:1 gene:DCAR_014105 transcript:KZM98533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVIDDDFHEFYFPDYCNTATTTTTTTTTTSASEDELCNWNDWSPLMDWEALSNGHDQDFNGLFESIMDDDSASLSQLQSSPELQQHPSPQPHEHSSPELMMISHDPEEITNNSEDTKGLRLVHLLMAAAEAMTGVNKSRDLARVILIRLKELVSPTQGTNMERLAAYFTQALQGLLEGTGSKNNNVLLNGPYHNRDEHQATDTLAAFQLLQDMSPYVKFGHFTANQAILEAVTNDKRIHIVDYDIMEGIQWASFMQSLVSRKDGPPGPHLRITALSRGGGGKRSITSVQETGRRLTAFAASIGQPFSFHQSKLDADESFKPSSLKLVRGEALIINCMLHLPHFSYRSPDSVVSFLSGAKTLDPRLVTLVEEEEEAEPTTDEGGFVSRFMESLHRYSALYDSLEAGFPMQGRARALVERVFLGPRIAGSLNRIYRDTEICSLSNYLCGMGFQRANISFANHCQAKLLLGLFNDGYRVEELASNKLVLGWKSRRLLSASIWTSPEQDL >KZM97421 pep chromosome:ASM162521v1:4:14535310:14536105:1 gene:DCAR_015217 transcript:KZM97421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITGRKGKREAYDSKRAAATAATISKHSAIFAVVLSLLLLLPVSEGSLWNRGPKITVSVQNNHTNYLSIRCFSFESSEKVRHLDAGEQFSFTFATNSLFPSATMVNCSTNMGVFVAYRFDYHCKKVRKCEWKFDLNQSYLLEPSASNWAPTWIPMDYNPNYESLERGGVVEAKYTN >KZM97064 pep chromosome:ASM162521v1:4:10335290:10336459:1 gene:DCAR_015574 transcript:KZM97064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLWAIPEQNEVKINVYCVVVQQPSAYGNTKSIGALMRDEYGGKVWGAMGPFNNFTEEQALMAGIQSACIYAQEHDLQEHVPIPEEQLEAFRLFNTVHANHYEEGKTNRQISWVPEHMNDAARYMAEYGLEHFSSFVELPWPETIGNLQFFMDRDMGMIIANPEVELLPNLGLGEVVDAPSPSPNRLKRGYNYLNTDECNFFLEGAFSTFVAEAPPPWVMSAPSWSNDPILAKIPPFKPSASTSADQRKGKAKMYEGYAFYEDGKLSNPVVEILESGALLHFSDVFANRVLNLEAHVLNGFYAKDILHYAVLDCLSMFENMFVDRHPFVADIVNTNELDLMPVSSVLSLMGYDDSDTQPPSKKSRRAASV >KZM98188 pep chromosome:ASM162521v1:4:21784275:21785990:-1 gene:DCAR_014450 transcript:KZM98188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFTNYFQILCSCVLIALLSNLCVSEAQDYPPVAEGLSLTFYDTSCPDLEATVRKQLEEEFTQDIGQAAGLLRLHFHDCFVQGCDASVLLDGSASGPGDTEQDAPPNLTLRAKAFEIVDKIRGLVHELCGAVVSCADIVALSARDSIVLSGGPDYKVPLGRRDGLSFATRNDTLANLPPPFANASSILSALANKSLDATDTVALSGAHTIGKAHCSSFTDRLYPQDPTMDETFATDLIGICPENSTVDGTTDQDFRSPDDFDNKYYVNLVNRQGLFTSDQDLFTDSTTSDIVTSFANNQTLFFEKFVIAMLKMGQMGVVTGTDGEIRTNCSAKNSDTTLLSTLVDVAGELNY >KZM99555 pep chromosome:ASM162521v1:4:33007665:33010621:1 gene:DCAR_013083 transcript:KZM99555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKTDVESQTQESSSALLFNRNLPVTLKFKDVVYKIKIQKLGGIFKKQQKSEEKIILNGITGSVFPGEMLAMLGPSGSGKTTLLTALGGRLGGKLSGTITFNGKPFSNSMKRNTGFVTQDDVMYPHLTVTETLVYTALLRLPGSITKEEKVTHAQAVITQLGLTKCKNSIIGDALLRGVSGGERKRVSIGQEMLINPSVLFLDEPTSGLDSTTAQRIVSTLWDLANGGRTVVMTIHQPSSRLFYMFHKVLLLSEGNTMYYGKGEEALDYFSSIGYAPSVTMNPSDFLLDLANGIVSGDSGEDQSTTKQALISAFRSNKAENVKAEMQQSSDGHMQIVPDDKKFARWSTSWWQQFTVLLSRGLKERKHDSFSGLKIGQVLIVSFLTGLLWWKSDITHIQDEKSATILGSVIMLSFLLAGGYYVQHVPAFISWIKYISTSNYTYKLLLISQYNSKDTYPCGEDKTCFVRDFPNVKTIGLNGKAVAVVALGIMLIGYRLIAYLALMRIGTKK >KZM97807 pep chromosome:ASM162521v1:4:18017362:18019415:1 gene:DCAR_014831 transcript:KZM97807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKVFMRAKPFLAVLFLQFGLAGMDILSKAALNEGMSNYVFVVYRHAIATIVIAPFAIILDKKVRPKMTKAMFGKIMLLGLLEPVIDQNLYIMGMKNTTATFAAAMCNVLPAITFVIACIFGLEKVKLKSMRSQAKVGGTLTTVGGAMLMTLVKGPVIDLIWTRGRTHQLQGSGGVDLQHSVRGSLMITVGCFSWACFMVLQAITLETYPAELSLTAWICLMGTVEGAAVAFVMERGNAAVWALNLDTKLLAAVYSGVFCSGLAYYVQGIIMKDRGPVFVTAFNPLSMIIVAVLGSFILGEQMYLGRVIGAVVIVAGLYLVVWGKSKDYKPESLSIDDGKAAKSEQIIEASNDDDEKHQKVITITESTEENAEDEGSKKTGNQTRR >KZM99695 pep chromosome:ASM162521v1:4:34104683:34105996:-1 gene:DCAR_012943 transcript:KZM99695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISSPPTSFNLAKTPNSRTPICPKRLYSSHFSFSWSSNFKNQSFCYKNQNPHQKRLKFKSCAIPGYDFSGFDGVQPVVEAAAVLTAIIVVHESGHFLAAIVQGIRVSKFAVGFGPILAKFNANNVEYSLRAFPLGGFVGFPDNDPDSDIPVDDVDLLKNRPIFDRIVVVSAGVIANVVFAYLIILMQILSVGLPVQDNFPGVLVPEVRPLSAAFRAGLLPGDVILGVNGVELSKQGPSLVSEVVNIIKKNPKADVMLKIKRSNQNIDITVSPDEISDGTGRIGVQLSPNVRFSNVRPKDVIEAFKYTGREFFGLTFNVLDSLKQTFFNFSQTASKVSGPVAIIAVGAEVAKSSSNGLYQFAAILNLNLAVINLLPLPALDGGSLALILVEAVRGGKKLPSEVEQGIMSSGFMLVTVLGLFLIVRDTLNLDFIKDLR >KZM96988 pep chromosome:ASM162521v1:4:9489848:9498098:-1 gene:DCAR_015650 transcript:KZM96988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFIISSSLYSPPHHHRPPDTTTKHSSPPSPTYSNFNKSHDHRLGEGLLLLRKRTGTRIFADVKSEPYDITGSPPESIPYKNEKDVADIVDEQGGEVVWWEEFPKRWTIVNMSIAILPMASEYNWNSTTVGLIQSSFFWGYLLTQIAGGIWADTVGGKRVLAFGVVWWSVATILTPVAAKIGLPFLLVVRALMGIGEGVAMPAMNNLLSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIHQYGWPSVFYSFGSLGTVWVALWLSKAQSSPLEDPELRPAEKRLILSSRVSKEPVKSIPWKAILSKRPVWALIVCHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAFSANLGGWIADTLVSRGLSVTSVRKIMQTVGFLGPAFFLTQLSHINSPGMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVLGTAATGYILQHGSWDDVFKVSVGLYLVGTVIWNLFSTAEGERVGLETTRLHLRARAAMSTLGVRVGTRAGSREEVKGGEIGQVGAEEGDQRGECDGVVGTKEGVVRW >KZM98742 pep chromosome:ASM162521v1:4:26579757:26590202:1 gene:DCAR_013896 transcript:KZM98742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTPLSHLFMTVFLYSFSTFMVVPAMTDVIMSAVCPGEDECSVAIYLTGVLHAVVGLGTLVMMPLIGNLSDTYGRKVMLTAPMVLAIFPSAILAYSRSPYFVYAYLVIKTLTSMLCEGSVFCIALAYVADNTAEKRRGSAFGILSGVTSCAFVCGNLFTRFISTSSAFQVSAAGTVIAVVYMRIFLPESVAGVGIAGTGDKTEDESLLVKDSSENLQLFKNMPSFRDTISLLKTSPTFSQAAAVAFFTTLGEVGLYTSMLYFLKAQFHYSKDQFADLMVINGIAGTISQMVLMPLVAPFIKEKKILAIGLFFSCVHMLLYSIAWSSWVPYMSAMVTVLAAIGSPCVRSIASKQVGPSEQGKAQGCLTGICSIANVISPLAFSPLTALFLSESAPFHFPGFSLMCASFLVMIAFILSIMMREAPVAETSTDDNPAPSLKQKHVSLISYFRVFPTIDLLNAPGHAKLGSQKTAASQVFSVFMVVPAMVDVIMSAVCPGKDECSIAIYLTGAQSVIVGLGAIVMVPLIGNLSDTYGRKVILTAPMVLAIFPSVILAYSRSPYYVYAYLLIKTVTSMLCDGSVICIALAYVADNVAEKQRVSAFGIVSGVASCAFVCGNLFTRFISTSSTFQVSAAGTIIAVLYMRIFLPESVAGTSTKTENESLLEKDSSEDLQVFKKMSSFHDTISLLRTSPTFLQAAAVAFFNTLGDAGVNASVLLTRVFDCDIQYYLKAQFQYSKNQFADLIVIIGIAGTISQMVFMPLIAPVIKEKNLLAIGLFSGFVHIFLSSIAWSSWVNYASAMVSVLSSIATPCVRSIASKQVGPSEQGKAQGCLTGICSIASVIAPLAFSPLTALFLSESAPFYFPGFSLMCASLLVLVAFILSIMIKEAPLAPNCSADPAEPSV >KZM99371 pep chromosome:ASM162521v1:4:31542272:31546659:1 gene:DCAR_013267 transcript:KZM99371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSLEAWEEVQRHSHDLADRFAQGGSRFDLDDSGDKRQRTLNFTSTYDSRRRYVKSSLSAGSEWWRVEASNGNDALGSEDSSSLLVQLGPILYIRDASLLLPVHFSNQHLIWYGYDRKTGMHTICPALWSKNRSWSLMSLICLNPVACPFMDLQHPNGQLTYTSGEGLYTSAFLPFRGGVLQAQGRYPGEMKFSYSRKDKWGTRITPTVQWPDRSFTLGLNQALSWKRSGLMVRPSVQVSLNPTFGGSNPGLRAEVVHSAREELSLICGCALTTRPSAYASVSLGRSKWNGNVGSSGIVVRAETPLGSVGRPSFSIQLNSALEF >KZM99820 pep chromosome:ASM162521v1:4:35134616:35137795:-1 gene:DCAR_012818 transcript:KZM99820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIFRRGADRLCMLRNAYSTDALVKNKPSPPPDVGLISGIPEQQLSRRVVIYSPARTASQQGSGKVGKWKINFMSTQKWENPLMGWTSTGDPYANVGDAALSFDSKEAAMAFAEKHGWEYVVKKHHTPLLKMKSYADNFKWKGPAGSEANYSKREKKQTQ >KZM98235 pep chromosome:ASM162521v1:4:22141611:22142549:-1 gene:DCAR_014403 transcript:KZM98235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAQEDMKLLGVFGIYKEGHKIMAPQRKIFNQITLAFILPLCFIFLAQVEISKILFSRIHFHHYGDHRNTAADWAVYVLFKLAYFTFLCLFSLLSTSAVVYSIACIYSHRDTSFKTILAVVPQVWKRLTITFIVIYVFNFIYAVVAVVTMVLCLSINNNVSIVFFFILLIVYIVGFVYLTIVWQLASVVTVLEKSYGITAMRKSRGLIKGKFWVALAIFFKLNILIWGIHFVFTAFVVYAYPWSYLMRFLIGVACLVVLVPIFLYGLVLQTVIYFVCKSYHNEAIDRPALSKHLGEYERLYEPDEVQMEHV >KZM96873 pep chromosome:ASM162521v1:4:8128373:8134706:-1 gene:DCAR_015765 transcript:KZM96873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMFTGTIPPTTNLKPLSHFPPKISSFIRCNVTEPVNRNDNGRPYMPSLVAQQGTLPSFLTSNQYERNYSAQKNETKLRIFSGTANPLLSQEIACYMGLDLGKIKIKRFADGEIYVQLQESVRGCDVYLVQPTSPPANENLMELLIMIDACRRASAKTITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVHGQPVILDYLASKAICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIANGAALLHQEGAREVYACTTHAVFSPPAIERLSSGLFQEVIITNTIPLSEHKYFPQLTVLSVANLLGETIWRVHDDYAGGIESFCNLGID >KZM97370 pep chromosome:ASM162521v1:4:13941958:13947950:-1 gene:DCAR_015268 transcript:KZM97370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYVVLRFGNLRTELNGLYSSLRGDECCFMVVFQYGSVVLFNVRDHEIDGYLKLVKKHASGLLPETRKDEYEVKEEPNLVTWMQGGLDYIMLQYLNIDGIRTIGSVLGQSIALDYYVRQVDGIVAEFTDINRVMEKTGTFTMERKKLFQLVGKANSNLADVIIKLGLFEKSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWVIIILIGAEILISVYDLTHKQGRI >KZM99083 pep chromosome:ASM162521v1:4:29297330:29302295:1 gene:DCAR_013555 transcript:KZM99083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDLHNSSGTDDEIAPTHFDRVSVGGHYSGTRRFVPNPASYPRMQFDMESQIHQLEQEAYRSVLRAFKAQSDAISWEKEGLITELRKELRVSDDEHRELLSTVNTDDIICTIREWRKAGENQTGMFNVSQPIHDVLPSPTVSASWKKQKTSQSGSLAFSRPMQGSHNQPAQPLSASSKWATSFDAGGQKSRSLGPQVPGLSGRYASTGPTPRGVSNGNGAPSLFLMNNNAEGRHALVYDRNTPKEAWEWVDLKEIPPEDIRWVDDDAGLSHQSGVGVQGPGDSNLIHGTYPNIGRGKGAMRDQNENEIPLSENGVVKKVSDEIEILHTDTLIKEVQKMFTTAHPSLLEIEKAKKMLKEHEQALIDVISKLGDAANSGSGAGQRSPHGQSMDIEQRWRNSLYSGNNHVPGSELDTRGARANGTAVANGSLNQHEDDIIEI >KZM97677 pep chromosome:ASM162521v1:4:16786628:16789168:-1 gene:DCAR_014961 transcript:KZM97677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKFGDIDLNSDLLPIEENKDFDENRTARMVNSLADDTLINQSSNQIRVDGARVCGVEEIRVSGSGKAVDSEVGGVLKSGGTETSDGGEQLNGMVSEDLNGVAGEGGTEVRTDLGVKNNGVAAVHSSVDMEDASFQGGNNVLSLVVNLCTDDAAFGETKFNSMEGERVNEMNNLSENPSIVLDGLVNNAATQLNSIEIENPGVTSEEKEACIKEVANSNKGCQKNDVIKEDLHIEKEGDYHVSDLVWGKVTSHPWWPGQIYDPSCASKKALKYKKKDSFLIAYFGDQSFAWNDASRIKPFRMYFSQLEKQSNMESYTHAVSCALEEVSRRVEYELTCSCLPKELVSKIESQEVVNAGIRKKKGVTVGGDIFSTAASFAPDGLVQYLKEIAATPLDTINSLEFVTARAQLLAFHRWKGKGHAQRPSFTMLGGLFESDDFLISEKERDLSKLTDYALAATNVDGEGKSVVEDSSFSKQKHITRDGLRPRKKKCMVDLMPEDYLDDEDDVEEEVVEKYIYPAGKESLMVESTRPTISRGNSGKIHVSPAEKLFEVGDRIRKVASQLSGSVSFLRSGESTSQHVKIEGLNHCRSSGVSFSGLEKVPKADMGNEYPVAEMLFHLSLVAGSPMEVGSNKLISVIRFFTDFRNSVCLDPENSQLLTSELSTNCNPKNSIDGFNGVEASYQTNMISQKISREHILNEVETEKEMTNVEEAAGQSNVNPSDANEEPEKDSPTAFILNFSDLESIPSEENLNKIFSRHGPLIESDTEILKKSNRAKVVFKRRDDAESAFSSPGKFSIFGASLIRYQLNYSPSPRKPSNLGRKRKRKDVTSDNDKGKGHQVMIESQ >KZM97042 pep chromosome:ASM162521v1:4:10060445:10060781:-1 gene:DCAR_015596 transcript:KZM97042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKNISSVTRSLFIAFFFIALTINTGRVAATRLIDQGPCNNFPDCDAHCKSIQFPQGGVCMPPSPGSPLRCYCID >KZM97035 pep chromosome:ASM162521v1:4:10015425:10017409:1 gene:DCAR_015603 transcript:KZM97035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTAMAIHIQLQDIILFFLGLFVGTISWRFFSTYVFRKNSCPGPPEPAGKWPLIGHLHLLGANKILHHTLGDMADRYGPIFCLNLGTKRTLVVTSWEVAKECFTAQDRVFATRPKSVVGKVVGYDSRVMIFQEYGSYWRQIRKLAMIELLSNRRLEMLKHVRESEVNLFIKELYEQWSRNGNGSKVVVEMKEKFGDLTTNFVVRTVAGKIYSGTGVQGNEESRRFQKAMADFMHLAGLFMVSDALPLLGWIDTLRGYRGEMKKSAEEIDLVLGSWLKEHQQKRNNISINRLDEDFIDVMLSAMEGNQFPDIDTDTAIKGTCLVLHLTLAQLLHAFELGTVLDSNIDMTESPGITNPKATPLEVTLTPRLPPEVY >KZM97361 pep chromosome:ASM162521v1:4:13890450:13892792:-1 gene:DCAR_015277 transcript:KZM97361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPPPENQAPPSQPPNKLTQTITQILTSPTTQNPHKSLTQFLPHLTPLIILSILSSKPLLSRPDKLLFFFKWTRNHSHTLPAADGKTPAHYLLTLLPTLFSHNKFFDAKALLVKHIANDPDHYLHWLIMCPQPSLPRPTKALLDTAVGAYVQLGHAHLALQVFGRMSRLNFKPNVLTCNTLLCCLVNRPFGNSVSFCRNVVDDAIKLSVELNTASFNILIKGYCLTNKFDDALELLNGMGEYNCFPDSVSYNTILDGLCRGGRLKEARELLSDMNSKGVSPSRNTYNILVRGYCRMGWLKEATKVMEVMALYDCLPDAWTYGTLINGLCREGKIDEGLRLRVEMKALNLVPDLATYNTLIDGCFHWRRKSEAYKLMDELSEKGIQKTGNLGDALKIMKKMGEKGIKVDNYITNIVLHALCKDRKLVEAYELLVTAERQGYIVDEVSYGTLIVGYFRDGNVDMAMNLWDEMKNKQIIPSIITYNSIITGLCKSGKTEQAIVKLNELLETLCKAGRLEDAVCLITEMDVKKLGPDKHTYKASISALTGAGRMKEAENIISKVVEINKFSQLDKEKVAGTCGTSDESKSGSDGHSERIRDLCRNGNYEEAMRTVEELSKKGITLDTSTYTDLIGELFKRKITKVKAGW >KZM97908 pep chromosome:ASM162521v1:4:19334007:19338647:-1 gene:DCAR_014730 transcript:KZM97908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPSSKMNLLVCAARLFEDPITNFLESTPSSRNNDISPFRDINPVELEKIVPDSNSMALVPYGENHKLDLTRKEDLPPATASETQIVNTNVTDAAQPTHPPYDEMITAAILAMKEKDGSSRQAIAKFIESEYKNLPLSHASLLTQHLRKMKIAGKLVMNKHSYMLPGSGVGQSQAAVVVEASSVGTKRKPGRPPKIQANGGGVDGVSGGGVGSVNGGLGVTAPFDPIEAVPNVVPMGEVDGARSVMEVTAPFDPIGAVPNVVPMGEVDGGTVVKRRPGRPPKMQGVAVEAEPIAVAEPGVGEKVKVGRKPGRPPKVNKGTGVVPAGRRPGRPPKVNNEIIAAGEGGSLLGKRKRGPPFKKTGMVSALMGMGIGLKRKPGRPPTKKRPIGSVMGPRPRGRPRKGSVLKPRGRPRKNVGGGIGSAIIVPVEGMGDTGRPSKLAVRRKPRKLSGKPLGRPKKGASLLAIQSSGQESISFQELKDRTTHFQSRVKHAVEVLRPYLSNELAPVAVGVLQEIEALALMDLCAPAAGGAIRGETEAVTGTNGTPMSDQAGPVCDPVAPLSAQGSEPVNQI >KZM97263 pep chromosome:ASM162521v1:4:12931352:12931780:1 gene:DCAR_015375 transcript:KZM97263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLRCCMLIVLLVLTSNSGIHVSARVSLFTNQSLRVNEQLITRSFSLSMQGDCNLVLRDNTRVLWSTSTAGWGTNCRVTMQDDGNLVVYNDQGALWASNTWRQGKDTYELILQEDRNLVIYKGAARTAIWSTRTQFLASE >KZM97419 pep chromosome:ASM162521v1:4:14529676:14530460:1 gene:DCAR_015219 transcript:KZM97419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKICLKLLVDRNANRVIFGEAGKDFVDFLFQFLSLPVGTVVKLLSKNKMVGSLGKIYESIENMQASYMEPNVIKDDVLNPKVYSSLGDTPLLLGNEGNADQLHETKFFYRCGSGCGYIASDLKTKCTSCGRIMTYQMNYVKSAENKEAGKISKSGYVKDLVTYMIMDDLTVKPMSNISGITLLSTFKVKDLSALESVEVYIGEKEAVDLLMASFVTKKVLTSLFLGNLKA >KZM99902 pep chromosome:ASM162521v1:4:35727227:35728741:-1 gene:DCAR_012736 transcript:KZM99902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWPFGVLPSSHYYYSLASCLCSSTAANEILISVVGNDTDIRLPSDRCFFAFREAPAFRNSESCASQRDPNNHVHIVMTLDDNYIRGTMAAVLSMLQHSTCPENLFFHFLYLHFQSTIFETIRSTFPYLNFNVYYFDSTRVDGKISKSIRQALDQPLNYARIYLSEILPEDVHRVIYLDSDIIVVDDIAKLYEVDMEDKVVAAPEYCRANFTYYFTDDFWADPILSRTFDGRTPCYFNTGVMVVDVDKWREGSYTNKVEKWMITQKQRRIYHLGSLPPILLVLAGDIKAVDHRWNQHGLGGDNFKGNCRSLHPGPISLLHWSGKGKPWLRLDSGKPCNIDHLWAPYDLYRSNRIALEE >KZM98267 pep chromosome:ASM162521v1:4:22399339:22407190:-1 gene:DCAR_014371 transcript:KZM98267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGDAAPARAPPAYLTAGGRQRFEVELRPGETTIVSWKKLVKDANRAAKDAAKSAKVKSPAPEMPAVAKPDSETRVAPGEPPEDDAQDAPPASRFSAVIEKIERLYMGKNSSDEEDLNDVPDDDEYDTEDSFIDDAELDEYFQVDNSAIKHDGFFVNRGKLERINEPTTSVSHQHQQQKKRKRKDLAERPGESNNGEAQNKNLKVSKKTAAGSLTLPEKDFTDSTLVMALPSVNCEGAMVQNQSNSSEIIAKKKSASVKKASHQSPMRVSNGEVVAEEKGDKLKAGVLPTERHSSKSKDRNEYSGTSNQMLNKKSVGSGEDMGQSAQQGEKIRIGEQSKQTMKVPHVQRKDGSSSKSKTTMLEKAIRDLEKMVAESRPPSTEISDADVLNQTSKRRMPPEMKPKLAKIARLARNLKILGDMSLSAKQEINARFEQMKKEIIDMVKVRVPLMKSKAIEQPGGTSDDFQKLGTTDKEVLKQKFSMDDALEDKICDLYDLYVQGMEEDSGPQAHKLYAELAELWPKGCMDNHGIKRAICRAKDRKKAVQGLYKDPEKKKRKKPISNKEEIQVDTNSVKLSQNVQDKLLTEPAVSVDKSVSGVTAPDTSTKASTVVVNGANMDRPKQEKLKGNSNNFNDVKITELLAKKKSKRKSESELGEALARSDKLNSEHAEDRNKSIKHSAVSSPKTNLQSVAPPTSSENMQ >KZM99859 pep chromosome:ASM162521v1:4:35393540:35394130:1 gene:DCAR_012779 transcript:KZM99859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSGDAVAKLGRSLRMMNLDRYFEQEPEFSVSEPVTKQKATAANKHIEDHYKNHHQSLQDRSERRLAVKRKRQEEQVTSDVKEEMMRALTEKEAEFMRL >KZM98082 pep chromosome:ASM162521v1:4:20947920:20948483:-1 gene:DCAR_014556 transcript:KZM98082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLSTEIEEGNKKSPWTAEGDLVLASHFQKPGLGSPVSMAPVPLLSSSLMSLKPPRGWSQNASHQQPMNHTNNHQLCNQTASQITEASSCSEIVVPYVFNIDNVAKWLQNWNSETLNSKSDNVGASNPPPLPPPVGLDVFSGDQQEIFLPAAEVATATSSGWQNKDDRLSPLKMPVLRNQDHWPFF >KZM99812 pep chromosome:ASM162521v1:4:35079167:35084460:1 gene:DCAR_012826 transcript:KZM99812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSDRKSRRSPKTTPLLPQKDDDSDVQSGASFYGAVFNLSTTIVGAGIMALPATLKQLGMIPGLIVIVLVGFLTESSIDLILRFTRAARVTSYSALVGDAFGGVGRTLLQICIVVNNLGMLIAYMIIIGDVLSGTVSDDVQHPGLMQEWFGDRWWTARSSVLIFTTVFVFAPLISFKRVDSLRYTSALSVGLAVVFVVITAGVAILKLMNGSIGMPRLLPQLDDQASFWKLFTTVPILVTAYICHHNIHPIQNELNDPTKMKSIVKTSIVFCSSVYITTSFFGFVLFGDQTLDDILANFDGDLGIPYGSMLNDLVRMSYGIHVMLVFPIVFYSLRLNLDGLIFPHAIPLIFDNQRFFSVTTALMGFIYMGANFVPSIWDAFQFTGATATVAVGYIFPAAVALRDTNGNGVKKDKLIPWVMMLLAVSSSTVAISSDLYAIYRKRNGFTS >KZM98694 pep chromosome:ASM162521v1:4:26185921:26191091:1 gene:DCAR_013944 transcript:KZM98694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKGTVCVTGAGGYIGSWVVKVLLSNNYVVHGTVRDPGSTKYSHLTKLEKASENLKLFKADLLDFDSLLTAIRGCDGVFHVASPVPATAVQNPEVELLEPAVKGTLNVLKACSEVKVKRVVLVSSVAAIAMNPSWPKGKLMDETSWSDKEFCRKIKSYYSLSKTEAESEAWEFARQSGIDLVTVCPSLVWGPLLQSTTNSSSLSLINIMKEGLKEMANNMGWYVDVRDVADALLLAYEKPEAEGRYICSAHAFYKQDLVEFVRKLYPNYNYPKSFTAGDGVDFKPNSEKLEKLGLSYRPLEETIKDSVESYRQSGAGGYIGSWVVKTLLSRDYVVHGTVRDPGSAKYSHLTKLEKASENLKLVKADLLDTDSLLVAIKGCDGVFHVASPVPATDVKDPQVELLEPAVKGTQNVLKACSEVKVKRVVLVSSVAAIAMNPSWPKEKLMDETSWSDKEFCKNIKDFYSLSKTEAESEAWECAKKSGINLVAVNPSLVWGPLLQSTTNASSLSLIRLMKEGKNKMANNMGWYVDVRDVADALLLAYEKPEAEGRYICSAHSFYKQDLVEFVKKLYPNYNYPKSFTAGNGVDFKPTTEKLQKLGLSFRPLEETIKDSVDSYKQSGSLD >KZM99350 pep chromosome:ASM162521v1:4:31306466:31308815:-1 gene:DCAR_013288 transcript:KZM99350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEDTEVSRRRVSMIAAHFAANDDVSAMATHVLPVNCSGSLTSFTQRRDNKVSFARQGSSSQACFMRQVSAEPQEQQQLNTGKSENQGIPYTSTTCSIERYRKSKEGPLFSRPIVQDYTFSASEPPRFARPYSGDANMFCEDIKNAPKSNDSTEWSPRMDIGEQGYNYLLLVELPGVCTKDIRVEVNNESLVVKGKRSVDLEKVAKSSDSSISGYHKMGIFQGPYHVSWPLPSNVDKDNVSAEFVYVVCSARLKLSIELEQHKVVGYEYEELQETSSGHSQCQAAIAIECLAYWPLVLPQVN >KZM98302 pep chromosome:ASM162521v1:4:22763069:22765331:1 gene:DCAR_014336 transcript:KZM98302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPREVEVENNNNNPSSSASALIGGGVRQQWPTIDGPLGLSESDSLWYARNFFIFGFFLLPLLWGVNCYLFWPVLRRSTSFPHLRPYIVRSAIAFSVFTAILGSWAITFIVGGERLFGHTWDELVMYNVADKYGLTGWI >KZM97566 pep chromosome:ASM162521v1:4:15866573:15866977:-1 gene:DCAR_015072 transcript:KZM97566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGRQHGMVRSFCSIPWPLNPNPQRRVINKFDTPPTAGLFTKVSSKPTNHSKFTGRCGKPKCSDCHMQPACKSKDKAKGSHKLKSATDVSIDFSYISWQLVDQRTKGMKKSNEYSATGILDRLGYDYDDHSD >KZM99598 pep chromosome:ASM162521v1:4:33319363:33322269:-1 gene:DCAR_013040 transcript:KZM99598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHFVLVHGACHGSWCWYKVATLLKSAGHKVTALDLGGAGINPKQRHQMTTLSDQLEPLMEFLAALQPEEKVILVGHSMGGIAISVAAEKFTKSIAAVVYVTAFMPNPANFNLHQLYQQVFDLQPDKNGKRFAEAGAFQDSKFMFENGPDSSSVLFGPNFMKCALYQLSPPEDLTLAMSLLRPFPIFRDDLSQKEAELTDRNYGSTRRVYIVCKEDLIITEETQKASIANYPPQDVKEISGADHMAMFSKPQELASVLQAVAQEM >KZM99893 pep chromosome:ASM162521v1:4:35644427:35656347:-1 gene:DCAR_012745 transcript:KZM99893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEKKIPLWLKSNVVKDLDPAVLDADPNNEALDKKGLTREMYKVRKHHTGKHKLGERKHISKLPKGSKSFSHGLGPKSGIRLIHPRPHSFVDLKEFLGSLRSRFSAAKEVVNSELTCFIHEVMEVLQKNNSMSPLELQMIEGLLILAKQCLGMNSLEFRSNCEIIVQDLTLKRQQNQTGDMKWLFTRMLFILTRCTRLLHFEKDSEPIDEKSFLKIKECLKRVPSCEMNWFMNTESADSDLDNAPNLRSGAKDSLEEKNQSDILQCESCWGADVPLNESVENVLKDVMVAEKIPSEASHTEDLSDRIQQFHEVDEDNLGGSVKNSDSGLLTEPNPSVDELDLVICRICEELVPSAQLEPHSYICAYADKCDITCSNLDERLQRHAEVLEQILDSLNLTVSATYDIPEGSISRTNNSIVPEGYSPKISDWQGKGAEGMFDDIHEMDTAYIEDTHLTTFSGIRGQSGFKISHGPPSSAESMTSASSANTPRSGSFDFSWIEHQNPSELEDVQQMTDLVDIARCVADADPSEERFHECLLACLEDLQDILQHSKHKALLIETFGCRIENLLREKYMLACELVDSRSVKSDSGMSPDNTSQSSRMSTPKHKDRTSIDDFEIIKPISKGAYGKVFLARKRTTGDLFAIKVLKKLDMLRKNDIERIVAERNILIRVRNPFVVRFFYSFTSKENLYLVMEYLNGGDLYSLVRNVGCLEEDVARVYIAELVLALEYLHSLEIVHRDVKPDNILIAHDGHIKLTDFGLSKIGLMNRTNDLSGYETNEYAPLKANNENSKKGAEDRSQQSAVGTPDYLAPEFLLGSKHGYAADWWSVGIILYELITGIPPFTGEHVEIIFDNILNRKIPWPPVPKDMSSEAQDLIDRLLLLDPNQRLGAQGSSEVKAHPFFRGINWESLALQKAAFVPHLDSVDDTSYFVSRFTDSFTARDNDQNTSDSDSDTTDSFLNSEGCEKLDDCGDLAEFESSPLDLSLMNFSFKNLSQLASINREVVLQSGKDSPRSSSPYKC >KZM98716 pep chromosome:ASM162521v1:4:26377767:26378498:1 gene:DCAR_013922 transcript:KZM98716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDFKFPPVVFPSGGNPGPGSQRRPATAPFQSPRSSNPGIPFLSFDVGAAATSFPPPQFSSSGSIPGGFEDEPPLLEELGINTKQIWNKTMSILNPFRVKPDLHEDSDLSGPFLFLMAFGLFQLLAGKLHFGIILGWVTVSALFLYVVFNMLAGRNGNLDLYRCLSLIGYCMLPIVILSALSLFVPQGGGVILGVTGVFVIWSTRVCTRLLVELASCGDEHRGLIAYACFLIYVLFSLLVVF >KZM97498 pep chromosome:ASM162521v1:4:15277638:15279195:-1 gene:DCAR_015140 transcript:KZM97498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTERKTANAVGGKTARACDSCLRKRARWYCAADDAFLCQVCDSLVHSANQLASRHDRVRLKTASFKQSESTSGDDLVPAWQGFTRKARTPRHTKPGLNSHFKDKERIINTSSLVPKMGSEEVSEEDETEAQLLYRVPIFDPFAAELCNVSTEVENLLVDDCKSEPVINHNELNAFLSSNDGNEGACDLDNLPLFLPSEMELEEFAADVETLLGKGFDEESCGIEALGLIECKEENVTENNFQGSGVKVEDEEQAIIASHFDPGLDGSAETIDWDFDYDSPMTGEEHEEVKVEVVAPSETTNSDAKEGGKKMFLRLNYDEVITSWDNKGCPWTSGVRPEFNPDDCWTDFMGLYAPSTQQPYGSEGGRNDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTSFAGGSAALPYQINK >KZM97641 pep chromosome:ASM162521v1:4:16479090:16482066:1 gene:DCAR_014997 transcript:KZM97641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIFHEEPEVKATSNIAGMLTDVWQKKRVTVIVPILNVALCICVAMSIMLFIERLYMTCVILGIKFLGKKRYTKYRLDALKDEVEKKKKEYPKVLVQIPMYNEREVYKLSIGAVCSLSWPSQCLVVQVLDDSTNKSIRDMVQAECKKWSCKGVNVMYENRDNRNGYKAGALREGLQKEYVQDCKFVAIFDADFQPDDKFLWKTIPYLLENPELGLVQAKWKFVNADECLMTRLQEMSLDYHFNVEQEVGSSTCQFFGFNGTAGVWRIEAINDAGGWKDRTTVEDMDLAVRASLRGWKFIFVGDLPVKNELPSTFKTYRFQQHRWSCGPANLFKKMTKEIIFCERVSVWKKIHVVYAFFFVRKIIAHWVTFLFYCVVIPACAVVPEVYLPKLVAIYVPAITTLLNSGGTRRSLHLLVFWILFENVMSLHRSKAALIGLLEANRVNEWVVTEKLGNATRQRSSNVRSPKKRQCLGERIHIGEIYVGLYLLTCAIFNMRLRNDHFFVYLYMQSAAFFIVGFGYVGITTN >KZM97793 pep chromosome:ASM162521v1:4:17899567:17902797:-1 gene:DCAR_014845 transcript:KZM97793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCQSKSFSHHHALQFGAPGNLPLSFLAPGRLMRVISVERDRAASDIWFHEYKHHGTLAPIEGRPSMTPVLRPRGIGLKYNLLFSTLKPRTERKAAGVPDCEGAATTKAPWNTPELFDLSALEGETIRVWEDGTKRIGRRSFSILRACMLPLHKWLINGNPTKEHDLVTKNDLEHLLHLLDVKGWDRPWQYLMDRSTSNMAYQAWHHEPELVVHRAELEGMNSENQRLRDMVDEVTNSYNNLQKHLVTFMRQQQQKVDNNEVEADVVGDIIIRVSNL >KZM97911 pep chromosome:ASM162521v1:4:19372767:19373810:-1 gene:DCAR_014727 transcript:KZM97911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHFPILFWSHLLLFSPPLSLQSPPPTIPAFIEQSDVSSACTLRFSDDLFTGINDACAATKTKLNSPQLHRSRCCPVLAAWLYTAYSDTALGRISHVPEKTSDLPMLPDESETCVKNLEKGLTNKGMKLVKPNETCDLVYCYCGITLHPLSCPESFFVSQKTGKLVGDSTVKKLEKNCLSTDPNGLIGLDGCSKCLNTLHLLNENKTSNSSKSEERNDKMHNRDCELMGLTWLLAKNRSAYIHTVSSVLRAIMMSKDGSSPQSCSLNSDGMPLAVNSFEINSQPSIPRLMSPVYLLVTTLSLSYMASVYNLEIW >KZM96888 pep chromosome:ASM162521v1:4:8329699:8330004:-1 gene:DCAR_015750 transcript:KZM96888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFISCKNTHTQHTLKAQQIPLFLPSFSHHHCNCGVSEPTPPAASCGRGGAPSSTLAHNPAPPYFLYKSLHTQNPRISIFIKTLASILLVNLKHVYVYLS >KZM98643 pep chromosome:ASM162521v1:4:25731692:25734502:-1 gene:DCAR_013995 transcript:KZM98643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRNKAVTLSKTKKKGREHKETIVNSIRESVEKYNSVYVFNFENMRNLKFKEFRDQLRSTSRFFLGSNKVMQVSLGRSVADEIRTGLHKVSKLLRGDSGLCCTNLPKEEVEKIFSEYEDYDFARTGSIATETVDLKEGPLDQFTHEMEPFLRKQGMPVRLNKGVVELVSDFVVCEEGKPISPEASRILRLLGIKMATFKLHLICRWSPEDFEVYKEGLEGSDIESS >KZM96625 pep chromosome:ASM162521v1:4:2624165:2624650:1 gene:DCAR_016013 transcript:KZM96625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESYSLEITIISCEGLRINRKKPVKRNTFVTVQTDSQNFNTTKLDMTGGSYPAWNEKLDVQMPMHVHFLNIDVQCKVSASTDRFIGRARIPVSDFTGPYFPENYLHILSYRLRDDNMERNGIINFSIRIKASGARRRITPMAASKVSNYGMVTGVPVWNS >KZM98567 pep chromosome:ASM162521v1:4:25126057:25127352:-1 gene:DCAR_014071 transcript:KZM98567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFQATEDRAATYVADSYLTKTKDVFSSIVEKGYVLGKALAVGTSDKVQKVDQIYQVSQQVNSAVAKSAVISNKYVSIGASWVTITCDSIAKAAAEVSQHAMEKVALAEEEQRRKTTGASWVTITCDSIAKAAAEVSQHAMEKVALAEEEQRRKTTGEEEQGRKTM >KZM99284 pep chromosome:ASM162521v1:4:30818308:30820350:-1 gene:DCAR_013354 transcript:KZM99284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNNPISGQWPLLYLSILCISLILHNSQAQQSYVNNKQLQCEQNYTTTLGYNCSRATTPSCLSYVTFRSNPPYTTPTSIANLLNSSPSEVSRLNNFSNDSPISPNTLVIVPVNCSCSGARAPGGPFYQHQTNYTLKAPGETYFSVANNTFQGLTTCQTLISQNPYNVRNLLIGMELSVPVRCACLSKKQVDEGYKYLLAYLIDWGDNVNRISREFGGSGAVNTSVLEANELTGESIIFPFTPLLVPLKREPNRLELSASPPLPPPSPTLPLNPDNDDDDSSKKWVFIGVGIGAGLLVLGCLSGFLVWFFRRKSRKEASQATAVVPNRYGDYHKPVETGGIPSSSWSITSEGVRYAIGSLAHYKFEELQQATGFFGEANRIKGSVYRGFFNGDCAAVKILRGDVSTEIGILKQINHSNIIRLSGYCVHQGNTYLVYEYAEKGSVSDMLHEPSLVKKDDTIPSDANVLGWIQRVQIAYHIADALNYLHNCINPPYIHKNLTSSNVLLDSNLRAKVANFRFARSLMDGEEGGGMQLTRHVVGTYGCMAPEYIENGLITPKLDVFSFGVVMLELLSGKEAVQHKNTETGKEDELLSAMIVEVLGGENVREKLGEFMDPCLKKQYPLDLAYSMAQLARSCVADDLNSRPSSAEVFMTLSKIHSSSLDWDPSDELEYSRSMSHGR >KZM98144 pep chromosome:ASM162521v1:4:21437896:21440348:1 gene:DCAR_014494 transcript:KZM98144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYAKFIRRMNPPRVTIENNSCVDATIIQVDSVNKHGILLEVVQVLTDLDLVITKAYISSDGGWFMDVFHVIDRDGYKITDQEVIRYIQETLESDTFFVSPIRGSVGLMPSQNHTAIELAGMDRPGLLSEVCAVLTDLHCNVVNAEIWTHNARAAAVVHVTDDSTGCAIEDPKRLLTIKELLRNVLKGSSDLKTAKMTLSTPGVTHRERRLHQIMFADRDYEITESSRDGKNDDKSSRPHVTIGNCIEKDYTVITMRSKDRPKLLFDTLCTLTDMQYVVFHGVVHTGRLEAYQEYYIRHVDGIPISSEAERVRVMQCLEAAIERRTSEALELQLLTEDRVGLLSDITRIFRENSLCIKRAEISTKSGKAKDTFYVTDVTGNPVEPKMIDSIRRQIGQAALHVRQNTSNSPTPPQEKTISFLFGNLFKARTFHNLKLIRSYS >KZM99103 pep chromosome:ASM162521v1:4:29434100:29435829:1 gene:DCAR_013535 transcript:KZM99103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSRFGNFLETAKPYIAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFAIVLERKIRPKISFSIFMQIFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEILDMKKIRCQAKVLGTAVTVAGAMLMTLYKGEVVNMFWSKYIHPSTYEPTTTAVDADKDWVKGSILLIIATFAWASFFILQNVTMRRYTAPMSLTCLVCFMGTLQSIVVTFVMEHKPDVWTIGFDMNLLAAAYAGIISSSVAYYVQGLVMEKRGPVFVTAFSPLMMIIVAIMGSFILAEKIYLGGILGAILIVMGLYSVLWGKYKEYKDKEEEDIIEAVKDVRNQMMIGGKDIEANEVELQKNAEPAMAPTFAISAPVAAPPMLAVEARRP >KZM99541 pep chromosome:ASM162521v1:4:32873132:32875870:1 gene:DCAR_013097 transcript:KZM99541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSGQSTWPHILVLLILYKFTISASKSVSYTKHCASVVPAATPTTYDTNVPFSELDTLNSFVPEPRRIFRQNSSVTSLNFRSVGRIYETDLKGVYKIDAELRIRVYNYIDDTYDFVSTSTQGRSSRRPRRFERLIFLIHGFWSESSGKGCLVGSAPWYSSKGEHITLEAMFKINFSMSSTYSNSFVTGEFESLSHLNDDSYFNPISVYSVPEVTWYEYKLISEETVKEYYVFHNAESSVPGSKLGKICSFFNKMHLSDFSLEYARSCNSSLRKCSLLDGVDKYSPGYVSLYIIQCNEYRKMMRILVRLPTPGYDERYDMLDPNTTLVGEGLWDEKTNSLVIVACRTSSSSSSGDAHLGDCSFRLSLYYPSVWSIKTRDKVVGRIWTNKTAQDVGYFDPINFRNSVGFIKVPGFKYEYTEIEKVYKLCPKKALTRGERYPSGEFYDMRFDMSLQDSEYIGGASAKPIFIGNKSYLDYSVFRTNSRQGGNGENVKSEVEFENVVSDNVQLNVSYKLGISLMSGVKSGSGRHSILHTSFRPHGYIIISAEGVYDSGTGSLCMTGCRNLAFRNFQDCDIVLHFHFPGSTRAKGGFMKGSIQSTRNQSDPLFFEQLNMTSSASTSSEERQSLWRIDLEITMVLICNMNACILVCFQLYHMKRYPSTVPHMSLVMLVILALGHLIPLVLNFEALSMAPQNTQTIKLGRFEWLEVNEVIVRVATMVAFIMQFRLLQLAWTARHAGQSTDPDISVAEIKTLLVLLPIYIVGGMDAYLLKWEKFNYSKSSRALNYSRVQYQQYTLWGYLRSYATLILDGFLFPQVILNLFQMSRQSALSMPFILGTTLVHSVPHAYHLYVNNYVYANPSADFYSAAWDTIIPLASFLLVAVIYLQQRYGGLVILPTKFRDLELYAKVPEA >KZM99384 pep chromosome:ASM162521v1:4:31717734:31724812:1 gene:DCAR_013254 transcript:KZM99384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKERRAAASGRRVKLDLFAEPSGDLGGPSAGGEVERDGNSKTRAGSPNSPSSSGQLPENPLSLLGQYSDYDLDDESSELVNNTVVDTPLTDFNNQANVAADKENEQNTGINSSTNHDSPVIGQHNIQNGIASTEILHELQESSTAQDDASDSVTLPTNKEHEEQDMSLNVAHDMQVNGDMSSGWKMVLHEESNQYYYWNTLTGETSWNIPDVFAEMTSEVKNNNDAKGTENAVVGMHESNSSLGVNPEVPADTQPAVGMVNDGNCKIEEMPNLSKHLKVSYEDPSAMPKDSCALHQESCSPEKLESKCSAAGACAGNMQNLVVPGEHESGTDLCSHILRRGEFLLERLNTLKGSKGRLIGHDKISKYTVEVETRVSDINSLSMHASSLLPFWLHCEKKFKQLESAISDEVLQFYESVEANENNLVPSEEANTSHDTSVAEEVLKERHSETENSVAVKNNLFTSIVHVNSPSDSNPEGICEVHEAAVPGELAPMAMVHSEEDVDMDVEMELEDVIPASASTYSPLEQQPIWPNAPAEHVDAGIPPPPDDDWIPPPPPDDETFPPPPPDDEPVPPPPLEEVPETSYPVPLPDPMMEPSFSYAAQYNVPYPGPSIEYYGQPNAEVQESNYYGTDPNQLSVPLQSYYETVPNAYPAPAPPVVNLGEHGSYYGLQDETAPPVPGVTGVQSSVLYFAASEGSLNSDQIKSNNITVSTDGTVFSTVNTESGKNPVQDSASVSDQAAATISATTISATQVSSMSSIPTVAAAAPKAQPKVPRNKKRAASTVVSTLKSNKKVSSLVDKWKAAKEELHEEEDEPENAYEMLEKKRQREIEEWRAQQIASGEAKDNANFQPLGGDWRERVKRKRARLMKKSVEDLSESVTNGNQQPDLDRLRKDLPSGWQVYWDDASKQVYYGNSVTSETTWIRPT >KZM97046 pep chromosome:ASM162521v1:4:10070054:10070384:-1 gene:DCAR_015592 transcript:KZM97046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKNISSVTRNLFIAFFFIALTINTEQVGATWLIDQGPCSNFPDCDAHCKSIQFPQGGLCKAPSPGAPLRCYCISGSS >KZM97129 pep chromosome:ASM162521v1:4:11252235:11253938:1 gene:DCAR_015509 transcript:KZM97129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEGRLAEDSRAAAKGSRLEFALQVLRGRWLALFASLTILAGVGGGYIFGIYSKEIKASLGYDQTTLNLIGFFKDLGGSVGLFSGLIAEVTPVWFVLLIGWSLNFVGYFVIWLAVSGKIAKPKVWQMCTYMCIAANSLNFANTGALVTCVKNFPENRGVLLGLLKGFAGLSGAIMTQIYLALYGNDPQSLILLIAWFPAALSLVFVYTVRTIKTGTQPNEVRVLYQFLYVSIALACFIMVMTITQKLVPNFPPIAYDASATLVCGLLFFPLFIVYREELHLWNQNRIPSSPKNEKIEIPAQSTPRSEEMERKSCFSNILDKPPRGEDYTILQAIFSVDMLILLISTACGLGSGLTLIDNLGQIGESLGYPTQTINTFVSLVNVWSYFGRISAGLLSEKLLIKFKFPRPLMITICLFLICVGHLLVAFPVPGSVYLASVIIGFSFGAQYLLFNAIISELFGLKYFATLFNFGGLAIPVGSYLLNVRITGVMYDHEAMKDLARKNMHRSSGEELTCIGAHCYRKSFSILAAAACFGAFSSLVLVFRTREYYKGDIYKKFGKETEAQEE >KZM99504 pep chromosome:ASM162521v1:4:32616047:32617948:1 gene:DCAR_013134 transcript:KZM99504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRADDDYDYLFKLVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRTIKVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRNITFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVPTETGKSFAEKEETFFMETSALESLNVESAFTEVLSQIYHVVSRKALEVGDDPVALPKGQTINVGSKDDVSAVKKIGCCSA >KZM97917 pep chromosome:ASM162521v1:4:19421348:19424982:1 gene:DCAR_014721 transcript:KZM97917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLVYAPFVVRGLVSSLGDDSMDWCIHVVILCALRATLYSCWTSYCNMYFLNRNRRIIQQGVDFKQIDKEWHWDNFIILQGMIASLGLYMFPLLTEVIPVWEPKGLIAIMILHIIVSEPLYYWAHRWFHGHYLFSRFHSFHHSSAVPQPFTVMGIPILGSSLIGYGSISMIYVYVLSFDFLRCLGHCNVEVIPHQIFDIFPVFKYLLYTPTYHSLHHTEMDSNYCLFMPLYDALGNTLNNKAWELHRTISINSGKNKCVPNFVFLAHVVDITSSTHVQFILRSVASMPYTTRIFMLPLWPVAFVIMLLMWAKSKVFLISFYNLRGKLHQTWAVPRFGFQYFLPFAKRGINKQIENAILRADRLGVKVISLAALNKNEALNGGGLLFVNKHPNLKVRVVHGNTLTAAVTLNEINEDVTEVFLTGATSKLGRAIALYLCRRRIRVLMLTSSADRFQKIQKEAPADCQKYLVLVTKYQAAKHCKTWIVGKWITAKEQNWAPPGTHFHQFVVPPIFTFRKDCTYGDLAAMRLPEDVEGLGCCEYTMERGVVHACHAGGAVHYLEGWTHHEVGALDVDRIDIVWEAALKHGFRPVSNKNNISPKQKSNVNINDI >KZM97587 pep chromosome:ASM162521v1:4:16019330:16019749:-1 gene:DCAR_015051 transcript:KZM97587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDPSKNPSQRAPHDGEDYTGDGQPKCSGKSCTAGVIADCVALCCCPCALLNLFTLAFVKVPYMIGKKCLRKRKRKKKKVNEVVESQRSEEGEWVESCMNNWSGRFEEEKIWLELYQIGHLGFGRLSCSELQSLGKEK >KZM97253 pep chromosome:ASM162521v1:4:12856083:12857598:-1 gene:DCAR_015385 transcript:KZM97253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSTRKARKTGRIKKDRISVLPRNILETILCCLPIRDAVRTSVLSRVWRHCWTTVPDLVFDECVRNMWMDHNRVLTALKLVSVINMVLLRHNGPILKFSLTIPLYCCDFEVVHDYIDQWIPVFSRMGIRQFTLDQYYQVHRVHNFSTLNLSHLRLRNVWFPYKSAVGGLTYLRIIELIKVSASEESIFSCPVLEKLSLIYCKGLLPSNFRAPNLKCLHQMYWEMTSEFSLSGLENLTEFSCRLSSWPEMHNEKSNMVKVFSSLHKIEKICIAKCSITGSDEAEEENLNSRWEDASEECTVDHLEIVTFSNFRGLEGELELVKFLLASSPLLKTMFIHYDWEMGKDDAFEILEEMLEFSRASPRAQIKHLKYPFHAVDYGPWVGPWLDALEE >KZM96779 pep chromosome:ASM162521v1:4:6210125:6211578:1 gene:DCAR_015859 transcript:KZM96779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQAKPQLPIIDFTEANLRPGSGSWLLTSNEVRHALEEYGCFVAVYDKINMQMSNDVFRASKELFELPLETKVKNVSDNIYYGYLKLPVIPLYESLGIRNATTCDGIRSFGNTMFPSGNEKFCKTMHSHANQLAELEQMVARMVFKSYGVEKHYESHVNSMDYLLRVMKYRLPQKEESNVGAHVHTDKSFITILHENQVGGLEIKTKANEWIAMRIPPFCYLVMAGDALLAWSNGKIHSAFHQVIIKGEKQRFSVGLFSFVNGIIEAPEELVDKEHPRQYKPFHHYDLMDFYANDEDNKTECTMQAFRL >KZM97195 pep chromosome:ASM162521v1:4:12114284:12115792:1 gene:DCAR_015443 transcript:KZM97195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRTSSFKGRITKNYSEHNAQKYSEWVRAVNGTLGLLRSRPHDSSTYRVPTKLRATQPGVYTPQVVCIGPFHLTTPALRATEELKWRYMLAYIDRVVETDTKNIRNNELGGVHDEKSAQISALNKCCEVVSGLEQDARAWYAEDINLDKHQFVEMLLVDACFILELFYRCNVMTIKKFKEHHIPSTHEDQVQFESLAGNYTMVTSLTFDLMRLENQIPYFILQKLFDIMPSSKRLIFSGTQELSLRQHILCFFHHIPILRINVFELDSNIQDATYSHILDVLCKVCNLTSWALPLHLTYGTWGFKRCSTELIKAGFQIARRQRSDSMSIVDIQFDKGLILIPSIIIDKSTVSLLRNLIALEQTRSGGQIITSYVILMSTLIRTREDADIFERLGILQNPTKHPDLTGFFTSFCREVLSERFYFRDLCNEVENYEIPLWRWYRMKGYMSITWLRWKKSLKDLKRDYFGNTWSFIAFLTAFFVILLTLLQTFYTVRAYYPPYH >KZM99394 pep chromosome:ASM162521v1:4:31779561:31779860:-1 gene:DCAR_013244 transcript:KZM99394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRGQQVTRKSYMLYDSNLCCMALKIASAETGRAGGGRGWRRQSIRLLIRLTTGRHQKKINPICPITPPVNATMPIGSILKLIQASRYDLRHYHDLYL >KZM97955 pep chromosome:ASM162521v1:4:19751403:19752504:1 gene:DCAR_014683 transcript:KZM97955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLINLQKMLSHSVFNESASQEMNNIEEQLTRILQSHNQRVLSNGDSIKPLVTRKTGHARFRRAPVVISTSSEQIEPAKPVEPAEVKLEANCVEMKEKIVKESKVLDSSNSSGSSSSVTREEGTVSNGKPLFSAPAPRTFSAGKPPLPTSHRKRCREVEVSQGLFGKPSGSRGCHCCKRRKTVIKREIKRVVTSGSSKVSPDDIPADKYSWKKYDQKLIPGTLYPRGYYKCNSASGCPARKHVERDSTDPTVLILIYEGEHRHHHHSSPHRHRSMKMPEGLTRLNNSSSGVGICC >KZM96606 pep chromosome:ASM162521v1:4:2047479:2052085:-1 gene:DCAR_016032 transcript:KZM96606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGVPDFNRGGPSSYGMPPPLMGGGSGRGGGFNGYPPFQPSVGSGFDIGRGGGDSRGRGFGNRGGGGFGGRMSSGGPGTHRGGRSYDSGGRGYEPGHGISRGGSFSGRGGGRSADGGRGFGGGRGGGGGRGFDVGRGRGGRHDGGSRGDLDNISLPRQDFGNLVPFKKDFYEESLSVRAMTEQEVAVYRARRDISVEGYDVPRPIRTFMEANFPAYSLEVFTRLGFVEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLMPALIHVSAQPRLAQGEGPIVLVLAPTRELAVQIQQEATKFGARANIRCTCIYGGAPKGPQIRDLQRGVEIVIATPGRLIDILEAQHTNLKRVTYLVLDEADRMLDMGFEPQIRKIIAQIRPDRQTLYWSATWPKEVETLARQFLCNPYKVIIGSPVLKANQSIKQVIEVVTEVEKYNRLIRLLREVMDGSRILIFVETKKGCDHVTKSLRMDGWPALSIHGDKSQDERDWVLAEFKSGRSLIMTATDVAARGLDVKDIKCVINYDFPSSLEDYVHRIGRTGRAGAKGTAYSFFTHSNAKHARDLIKILQEAGQVVPVSLSSLARSSGGHGGGGNFRNRGRGGYNNRGQISGSNAIPIGGKMQW >KZM97972 pep chromosome:ASM162521v1:4:20009244:20014252:1 gene:DCAR_014666 transcript:KZM97972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGNMSENYTPSIMYQRRKQLGDSDTVSPFQVPVASTSQNSIGNMSNNSSPTIYHRNKQGEQLRDSDTVFSFQTSTKANPTVSTSQHSNLGNMSKNSTPILYQRRKQIRDFDTDRPLQTSTKANPTNNSLSVISSEVPTLAANKTLVVDAEAAKNYEFLPFKNTIETASSRQSLGKEPGLVEDSRFNMIRDVDVCLANESCSSSKSNMDMCGASMKAKGDDTCECSSSEAFIQKSMRDDLSEKDIRILILKVLRSDGGPCRMRTHVSPEFPGSGNECSCLRQCKACDQSEFTLKMLICDQCEEAFHISCCYPRLRKLPLNEWLCYSCSKKKHKILKEMGRGRSAISKGTSGPIAAMLEDTEPYYTSVHIGLEYQAEVPDWSGPLTSEVHNFEEPLETSHSCSFQDGNSRKPSKISSICNWLQCQDIICGVGEGLDGTVCGKWRRAPLFEVQTDDWECFRCVLWDPAHADCAVPQEVDTSRVLKDLKFIQMLKPRLAAKTQTRLQ >KZM97013 pep chromosome:ASM162521v1:4:9775108:9776618:-1 gene:DCAR_015625 transcript:KZM97013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTRFSSASATPSPASASSSRVKTRSMKMKKPKLAKKSKKLKLTSTDDCAGPPELQLKKITPTAKEINELDLGSLVGKSKNVIIRNKETSQHDSDDDFVEPVGRAVKGVSSVEVVSRSAISLSSKSEAAIKNRLKELIM >KZM98196 pep chromosome:ASM162521v1:4:21840218:21842633:1 gene:DCAR_014442 transcript:KZM98196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSQQPVNNCSSSGNRQQGSGSALDLFLQRHTENIKKSRTAGNSSSFDNITSGRNANKRSPLCNVDLNSAPGFVLDSAVTGVRRRGRGPSIEILFKHSKSLSASAASRRSPLCSVDQNADSRFVLDSTVTGLGMNSNLNSCGEQQNELECYLRP >KZM96950 pep chromosome:ASM162521v1:4:9057969:9058145:-1 gene:DCAR_015688 transcript:KZM96950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFSGMLGCFSPSSSARVNDKENVKDKPNCLSSNHKSKSKAPIPVSYFPVNSRISYL >KZM96940 pep chromosome:ASM162521v1:4:8929380:8930599:-1 gene:DCAR_015698 transcript:KZM96940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYHGAHEVLFGVSVSSECGSASKEEYKMTPKKVAMKKSKLKGKGKRANFKFQDNMIPPHKGDLDMLDSGDFDQFLWGREIYKTTLESLKSNAREVDAANEDGSIKVADVVVYVVAVDRDVAAKVEDVTIEVAAIPIVTHAAPIVTHAAATSIVTPANLAATSLSTAATYTPTSATLTEPSSFATTTSHATSRISATTFCGQACHHLVS >KZM98659 pep chromosome:ASM162521v1:4:25850647:25853486:-1 gene:DCAR_013979 transcript:KZM98659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRQEVCTELMDVLVRDPLTSVDFKKLEALGGSKSVDFDDSEDLLVSRSSSWDEKDSEDLGEKIAEFKSEVRRKKRSARGGSREKKRKFESFNLHNDKPWMCQLVAVACLSLAAKVEETKCLFLMTYKYVHIFFVDESRFLFDSRNIQRMELLVLSSLEWKMNPVTPFSFFDHIITRLELESCPHWEFLRCDCLFHSIVTDSRILCYLPSVVATAIMCLVIKEVDVNNALEYRNQLLGILKISKERENECCNLITELLGDCGYKNFVNHKRKYCHVPTGPNVDFAKLEELEASKGVSSDDKSRESFDSLEERSNVEREVRKVTKRILDFDDEIGGDAEKRDGKEEKMKVRKAEKKRTSEGEGSEKKEKKKKRVNGTSEEMKLKEKASNKQKEEKERKAHLEQLHTESERLLRGSYLVCVLDNIVCVSVYANMDEESNVVVTDNENKVHVKETVEPVDLD >KZM99698 pep chromosome:ASM162521v1:4:34120911:34122744:1 gene:DCAR_012940 transcript:KZM99698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVMESQPNIQLVITESPTVPANIQASINPKTIFSSMLPILTKFHAGYFRITLSICGQALLWKNLSEPPTDAHIYRRMLNMLPSAAFVLLWSLALLTLVSFSILYILKCFYFFDSVNSEFLNHVGVNYLFAPWISWLLLLQTVPFFAPNTTYYLLLWWVFVVPIVALDVKIYGQWFTEGKQFLSTVANPASQLSVIGNLVGARAAAEMGWTESAICIFSLGMVHYLVLFVTLYQRLAGSDGLPAMLRPVFFLFIAAPSMASLTWISIAGKFDYFSKMLFFLSLFLFVSLICRPNLFKKSMRKFSVAWWAYSFPITILALSSAEYAHEVKSFIAYMLMIILSASSVLVILVLMVFSAINADMVFRPRDDLIPTTSSSSNESLNLGLQSSISVDGY >KZM99461 pep chromosome:ASM162521v1:4:32246563:32247417:-1 gene:DCAR_013177 transcript:KZM99461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADNFNEFFRHWLGEQNQDLRQLVAAAEAYENRRRQLQNCHQNRAGNGHKDNGERVLGQIVEKVVQHYEKYYEIKSRCENQDVLAMLSPSWRSKLEDAFVWIGGWRPSMAFMLLYSKLGLQVEAGLAELMRSVPTGDLADLSQDQIRRVDELQLNTIEEEKRISEKMAKQQQKMADSSMTELSHVVTEMIRNNETRPEEIGFDSARVRPVLKEKEEGLESVLHMADELRLTTLKKVINILSPIQGVHFLIAAAELHLRVHEWGTQRDATAAAAAASSSRNAGN >KZM98125 pep chromosome:ASM162521v1:4:21268663:21269244:-1 gene:DCAR_014513 transcript:KZM98125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRIAILKVMTMTDEKTKKKAMEAAADCYGIDSISVDTKEQMITVIGDMDLVTLVKKLKKVGKIDIVSVGPVIEEKKEKKK >KZM99247 pep chromosome:ASM162521v1:4:30517235:30517705:1 gene:DCAR_013391 transcript:KZM99247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLALQEKFVQVVKTDGKILEYRAPLKVHQVLSEYPGHEISLTPLVIRRLQADANMRAGHVYYLLPLSVPSLELNQYSNTKEVSEQENHGVVRIKLLIRKQDLEEMLGQGGPVEQLILQLQNKQLVRSFKNLESDASKNFTGWKPVLPTIPEAC >KZM98607 pep chromosome:ASM162521v1:4:25388917:25425389:1 gene:DCAR_014031 transcript:KZM98607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPMIELNEDELIRDEEGYDNSEIVSMIELYVRSGKGLSLHELACCAFSRVIDMIPTLLGWQDYCQDVSIEKAGWYLEPYDENELESLSRDISRDLHSTSLVPSTVSISRFREIETSNADNRHAAWDVKSSENPFFLTAAMQKSFEMALLAVSQRWPVLLYGTMGAGKSALIKKLAHDYGSRVLSIHMDEQIDGKTLIGSYVCSEKPGEFRWQPGSLTQAILKGYWVVFEDIDKAPADVQSIILPLLEGASSFLTGHGEALRVSEGFRLFSTVSCSTIDTSCAAEGRNSLSTLWRRVMIRPSSNDDLLSIVNAWYPDLEPLADKLIGLNLRKGKSTYRISLWVESALQFEWKVFLEAVDIFALYSSSANNRLTIMQEIAKLWKVPVSVANSLYPIHKPVIQDLRSDLRIGRISLQRIQTTLSYNTKSFVEIRSSLHLLERIACSVKCNEPVLLVGETGTGKTTLVQNLARRLGQKLTVLNLSQQSDVADLLGGFKPLNAQFVCIPLYLEFENLFATSFSSKRNEDFLACLRKFVADKNWRMLLSGFRRGVKLVLDSGKSGSKRKRPLDAWLDFSRKLETASARISSSSGMIFSFVEGAFVTALKNGEWILLDEVNLAPPETLQRIVGVLEEESGSLCLAERGDVEYIYRHPNFRVFGCMNPANDAGKRDLPFSIKSRFTEYFVDDVLDDEDLILFINQFVDDSHSNRELVNKMVQFYKAAKRQSEERLQDGANQKPQYSLRSLYRALEYTRKARKHFGFQKALYDGFCMFFLTLLDDSSAKVMHGLILSYLMGGSEPPQVPFDRYLVAKEAIVHDDVSHNYVLTRSVKEHLRNLARAIFIGRYPVLLQGPTSSGKTSLVQFLAAVTGHEFVRINNHEHTDLQEYLGSYITDADGRLVFHEGILVKAVRYGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELCETVSAHPNFMLFATQNPPTLYGGRKMLSRAFRNRFLELHVDEIPQDELSTILERRCKIPESYAKKMVEAMKELQLHRQSTKVFAGKHGFITPRDLFRWAERFRIFGNSYEDLARDGYYLLADRLRDDHEKRVVQEVLERQLRVKLIKNNLYKQEPDENERNVLMLGRHSEVPRNLGKIIWTDSMWRLYFLIERCYKLREPVLLVGETGGGKTTACQMLSICLGSKLHILNCHQFTETSDFLGGFYPVRERSSLSSEFKHQCEQMMQLKAFVYIWGDAIFSWDINHAAQTLSQLTVLLNNYRDGLVSHPDVTPPDLDVIEQIKVKLIELHKKWQSIFLWQDGPLVQAMKNGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGSDMEKITAHPDFFLLATMNPGGDYGKKELSPALRNRFTEIWVPPVGDISELRSIAQQRISDSNLFWLVDVMLHFWEWFNGLQTGRLLTDCKPTLNYTNHGMMENYGWADFKDSVDTSSIDMRQSQTRFGIHPFYIENGNDIPAGDGFEFLAPTTRRNALRVLRAMQLVKPVLLEGSPGVGKTSLVMALGKVSGNTVVRINLSEQTDIMDLLGSDLPVEADDGIQFAWSDGILLQAIKQGSWVLLDELNLAPQSVLEGLNAILDHRAEVYIPELGITFKCPSSFRVFACQNPSYQGGGRKGLPKSFLNRFTKVYIDELVEGDYVDICSSLYPSISNHLLMKLIRFNKLLHEDTMVHHKYGQKGSPWEFNLRDVIRSCQIIDDAPEMSKIDCFLDPVYVQRMRTLSDRQRVIELYEKVFELKSYINPFPRLQINSEFLTIGSVCINRNHSRSDKFTKSELHIMPGSRNSMEAVARCVRHQWLCILVGPPSSGKTSLLRSLAQLTGRVLNELNLSSATDISELLGCFEQYNALRNYRVSIGRVESYITEYSSLHLEPSSEVYMRRKYLIARWLTFLSSIDHGVSSSITTYDDNWTTKSLNSVRLFVEIMNDLCSVIENDRLAVSWSSKDLDMIMKTTNKLTDKGGRCSAKFEWVTGILIRAIENGEWIVLKNANLCNPTVLDRINSLVEQSGYIMVNECGNVDGKPVIVRPHQEFRMFLTVNPTYGEVSRAMRNRGVEIFVMKPNWLLHEDLIEKCDEIELIDVKRFLVLSGIPSGKLVDLMAKAHTFARETGVDLNVSITYLELARWVQLFRRLLSCGNRPGWSLQISWQHTYLSSLGEAEGADIVNQVANSLLSVSEAFKLGSFQASTICLAGGWPTPLKLQDLVSYSEESCVRQNCMYLESLAARCANFAFESTSVQNPGLSPAGSSQPYLLNLKMLHGVIFPKASTSMITYYVDEKELDSELYRNMLFYAANWTMEQATERDLDLYLLWFGWFSSKLQPYDKFLKFFLTMLREEQKHSIWHFILQCRDDMCQTNFELKGLPILSIELVDSSSQSPLRSSTKLLANAVKCIGLLRLSYQQWNAESEFGFSTETQCFVPLLRSLKELEEKVLDSLVRCPSFDETFKLYSDLLEAHVCLWKGLISSQFNFLVIAWRSLMKNAIKLKDLCRREVQNFQKESVKLDSVSSWPLRVQKSLLWVHGGHPYLPSSADVYLKQLQLLSFCELVWPRKKSWRLSVNNSPIEAALSTDPELRYIALEGSCLSSPIISMDQDSSHKIVQKLEEMHQMLLKRFEDDKNKLQAKTDSALQALNPDLSICVFSPNVLCSKSGLDNWLATLPFADETSLLLDMWLLEELSKIVVLKEDDIQPALSRLHVHLRSTLEYSLKFSSRPPTDFSAHQKILWILNAGASARAETPKIPSFVLELWFKWHTSLWMHCSTHLKNVLGVHGCDVMLPDVFFQPIKLAAVDPIIQSTSAIRDHFVHMLKLRVSSHNLLLRPSQLGNAKAFLQSTARCLFQQVIFALKKSFEADKFSAIKSWFCHNHKGGLMKEIIDEMILSLSSSNHHAFTTLIDSLVEPLLNELYLQCSSTDSEYSIACAWLRVGALRYHLLICCDDLDPAVKYSIRYSQLVEKIASLEVEIEVRKECEHLAGCFSMRDAAKERFTLLEKLKVEKRILQRRIVVRLDPGKFIKLKYECDEFISFVTTSLTLIRTVKTMNIQELTVQVQNCQETITRFIERLSDEYAAYIDIVQPVQVALYEIKLGLALLLSSDLQKRFLDSIGQDDMDKVLGEIYSFMRFPRDYLSEGPSLVLDSTAAKLSLDIICPKTIMTSNTSLLETLAALSTDVDAGKKMSSLQLKAAICKNILCRVAHSAAEARFMENSSFKILDTIFDEFSSLWMSMKVQVQTKEEHEAQQYKFRPRAFKIDNIIEVDISTLRNSVGNTAFSEWQDMISAEEEINVQTNADEHTESLEENWRFIEETVLDQMVLLHNALYGSMDLIQNPGSIRVTDSDRLSTFIDSYELGTRMIQSLEGLISSSLDAKLVPEHLLRLCIDHEQKFVCSHKTKESYNFYKDSNAPVMAKMVEPILALQKRITFLLHERDDHPALQKILDVIEMVLSIPLNTPLSKALSALQFLLSRIHTLQETVSKFPLADELEPIIFLVSSWQKLEFECWPALLNGVKAQFETNAGKLWFPLYSVLQRRHTDNMDEYNNTTIRSSYQRENVNVLYNTFGFYAQFLPTILAHTETSRKSIESELKELLKLCRWERSDTHLTMELSKRTRQKVMKIIQKYTDLLELPAMGFLAQDAALKGSQLQTPKPQNLVGDSSEMNKHILTIACDPIHYSDDNRSLWFTTLRSKVCIALHNLHHGPTTEIDLPQNSIKEVKVASEPYAEDTIKECLASGSFGLKYEEDCKEVLYTIEAICKIAADSSELWKDEKKSFGKKRALTEMLQKLDSSGLSKHRSNFLEDQVAINRDLWVLQPSYDLKHLLLTDGMLAMDFKVAALSEFQSIHFGSSEIKWKTANQYFFKSIASVEHLRHICLNFHKDFSLEEVRRACSYLYHLIALEQQQRSALYNFAEHLKNLNDCMSTIKNLFADSTVDNIDCLISSSRHVTFKCMWQQKQTLDILCATMLDECLLLRTVENNHMTTCQNGESPARNIRLFFEKYISDIRNSKDLLDKILLGCDRVITTEEFSLHPYGVTKQMEMMLNQNFMLIKGFGDDLSAFRKQCVGSVNDVLFGHVEHILEKCNLIAEEYLLAQKSRDPLESSSGIQWKTSDLEAMFGETLDQICKHIRKALQCVSSSSDGHALPEESQGNITGSVKKFVVLSENLQLDAICDELTKMVNIAGDMVTCNSIQNSNLCTVLGAHLKHLYLLLEPLQIFSEGLLDDFLVIHRSVSMMTHVIARIFASLFSQGYGVSAEENVDDTGHELPQDATNGTGMDDGDGVKDVSDQIENEDQLLRASENPSEEKDASKEVPSEDKKGIEMEEDFEAEAVSVSEGSEEEDEPGEEEQLDQKIGNAGDESEVVDEKCWDKNDDDNPNTQNEKYESGPSVKDEDPTARELRAKEDSGAEADETGNPDPNNFDEPNDDKGDQEDPESSEDVQDMDMEKEEAYLDPTGLKFEDKNTGSDNEIDMDESKGADDVEDTGPEEELDEKLDNMNVEETKSNDEMTDEAEAEAKNERLEENSQVDDSKNNNDKSTEMDLLAPEKNLSGPDAADNQAPNTDSATQPQCELQAAVRDVSLEAKWSNSSDIQNDLAPSRNLPSSSESEIAVPESSNGGKMSNDLPNSQMPQVESSSLQKVQPNPYRNVGDALEKWKERVKVSGDVQESNEESLLDKLDEDADEDADEYGFTSEVEKGTAQALGPALPDQINSNTAEIEVCGDDQNADREGNDDMAEMDVDQQPESHCSKNLALNDVKKSEKQIDMTDLHMQEEASPEVHIHDDGGPTSILGESLVSMRKSYMSEDINQIKTLSLSDNELGKACNTEEMPSDVKESGEALWRKYELRTTRLSQELAEQLRLVMEPTLANKLQGDYRTGKRINMKKVIAYIASQYRKDKIWLRRTRANKRDYQVVIAVDDSRSMSENNCGDVAIEALVTVCRAMSQLEVGNLAVASFGKKGNIKLLHEFDQPFNGEAGVKMLSSLTFKQENTIADEPMVDLLKYLNNKLDDAVANARLPSGHNPLQQLVLIIADGRFHEKENLKRCVRDILSKKRMVAFLLLDSPQESITDLMEASFQSGNVRFSKYMDSFPFPFYVLLKNIEALPRTLADLLRQWFELMQYNKD >KZM97368 pep chromosome:ASM162521v1:4:13930458:13931835:1 gene:DCAR_015270 transcript:KZM97368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSASPAGGSHESGGDQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKAYLARYREGDTKGSARGEGSAKKDQVGAQISNQQYAHQGSYSQGMSYVNSHI >KZM99818 pep chromosome:ASM162521v1:4:35130474:35130829:-1 gene:DCAR_012820 transcript:KZM99818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKLSTLFMISLILLFTLTCAARHQPSGVKPQEGMAAKGEEEPKTGEAKCGDGIAEEECMIRKTQEAHLDYIYTQDQKPRP >KZM96706 pep chromosome:ASM162521v1:4:4677166:4683590:1 gene:DCAR_015932 transcript:KZM96706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSTFTTTTTSSSPPSWGDKVSQAVISKYNSLPKKGKPQGREVSVLAAFIVSSPSQELKVVALGTGTKCIGRSRLSLNGDVVNDSHAEVVARRALLRYFYVELQSHINNNKLSNGSSKFASDDFLFQLEADGFGGGKYKLRPGWKLHLYISQLPCGDASLCSQLFPCLSSSLREGDSRSSTQKLNDLMEEFLESSVKTDGDCSQVIGTVQRKPGRGEATLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSTVTVGQPLHKFEISEVEDQLKRSLYDRILPLSDKLTSPFQVNKPVFSVASTPLKEFQHSETASSTLTCGYSIGWDKSGYHEVILGTTGRKQGTSKKGSEFPSSESALCKKRLFELFLSLRHECLTRYFSSKISYQKLKEGAQDYRSASKIVKGSPLLNNWLAKPSNFEEFSLVLQ >KZM99554 pep chromosome:ASM162521v1:4:32997376:32999112:-1 gene:DCAR_013084 transcript:KZM99554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIEGGYLADGKGLSNWDVFTHTAGNVLNGQNGDICDDHYHRYLEDIQIMHSLGVNAYRFSISWSRILPRGRFGEVNLAGIKFYNKIIDSLLLKGIEPFVTLSHHEHPQELQDQYEAWLSPQIQEDFAYFAEICFKSFGDRVKHWMTINEPDLFVLMAYISGEYPPRHCSPPFGNCSVGNSDVEPLIAMHNMLLCHAKAAKLYHEHFQAQQGGSIGIVISGTNYEPMTGKKVDRDAASRALAFTIGW >KZM98424 pep chromosome:ASM162521v1:4:23846982:23848124:1 gene:DCAR_014214 transcript:KZM98424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDETGLKKGPWTPEEDQKLAKHIQNHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEEQTILNLHSILGNKWSAIATNLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDIFASLPHLIALANLKELMDQHSWEEVQAARLQTEAAQMAKLQYLQLLLQPPNLNSPSFSNSNNMINHDIETYNLLASLSSLNKDNHVTLPQANASTDPILQSCSDNQDMINELQMPFSHMPDLQIPGNLSSPCASTPPLAAPLVTESSISNTGDACSTSSYGGPTTSYWSELFLEDPLFNSLA >KZM98370 pep chromosome:ASM162521v1:4:23331692:23334950:1 gene:DCAR_014268 transcript:KZM98370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAAESKSVKTTTGKSSTSMAAVNTLDNDVKLSLLPRQIKYCSEALKFLKNKRVYQSELLDAEFASLPQRVNGLEMLEAPHRFSVAYSEKNLNKNRYTDVVPFDTNLVRLNPCKDYRPSATGYINASFLMASPSENVSQFIAAQGPLPVHSPHATQGTILPSQGQIPDTYEDFWEMVLQHRCPVIVMLTPFADDKKKKKCGDYFQTDDGPREFGNICVTTISTETTPDSLVLRNLEVKYKESEEPPLSVLHILYLDWPDDGVPKGTLTLRKIWRRLSTVLPIKGPIVVHCSAGIGRTGAYCTVHNTMQRILIGDMSAWNIGETVKTFRSQRIGMIQTKEQYDYCYDAVIEELEDIVSEHCGHTSC >KZM97538 pep chromosome:ASM162521v1:4:15612087:15613560:-1 gene:DCAR_015100 transcript:KZM97538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVILLASAPLCFSRKTSTDCLYPQFYDHSCPNAIQIVKSIVSSAVARDTRMAASLLRLHFHDCFVKGCDASILLDSSGSVISEKSSNPNRKSVRGYGVIDQIKSALELECPQTVSCADIMALAARDSTVLTGGPSWDVPLGRRDSRGASLSGSNNNIPAPNNTFQTILIKFKLQGLDIVDLVALSGSHTIGKSRCVSFRQRLYNQSGDGQPDYALDQYYMEKLKNQCPRSGGDQNLFNLDFVSPTKFDNSYFKNLLASEGLLNSDQVLVTKNEASLELVRRYAEDTEEFFEQFAKSMVKMGNIFPLTGLAGEIRKSCRNINA >KZM98900 pep chromosome:ASM162521v1:4:27772055:27776112:1 gene:DCAR_013738 transcript:KZM98900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCKFCFSSPAEGAKRGRSPQVKKATPPPPPPRKVSPVPQSLKLCIRQLSRNVNENHLREILGNFGEVVSVKLEIDHRANLSKGFGYVEFKSRAEAEKAQAYMDGAQIDGNIVQANFTIPARITPPKAVAASRRDASRNDNLTVDAEKDGPKRQRELSPRRKVSPSRRRSPVARRRSPRRDMDSSPRRRDSPIRRRMNSPYRHGNSPPPRRKPASPFRGRSPSSPARRASPSRIRGRRSPPPQRRHSPRRARSPPRRSPVPRRRTRSPMRRPIRSRSRSNSPRRGRAPPGRRGRSSSSSRSPSPRKVVRKISRSRSPRRRGRSSSNSGSSSSPPPKP >KZM98680 pep chromosome:ASM162521v1:4:26048230:26055218:-1 gene:DCAR_013958 transcript:KZM98680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKDAFYVVRKGDIVGLYKNSADVQALLMCDPSITVFKGYSLPKETEAYLNSRGLKNSICSIGASSVKTDLFGPLAVCPFQQPASDKEKAVQMNSSEKRLQHGAGGSNPFSSPQQNQPRRENAMAVPPVSSYCTFILEFDGASKGNPGQAGAGVVLRAANGSLVYRLREGLGIATNNVAEYRSLILGLKVALQKRITHISVKGDSKLVCMQIQGLWKTKNQNMADLCKVAKELKDKFTWFEINHVEREWNPEADAQANLAVYLPEGEIQEECERK >KZM99843 pep chromosome:ASM162521v1:4:35306616:35308174:-1 gene:DCAR_012795 transcript:KZM99843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQHTSSFLLDSLYCQEHHLVVNDDYQTLEPLVPNQDLFCEEEEQEELSSLLSKEEPNVLCNELERNSLLAESRRDAVEWMLRVVEHYSFSSLTALLAVNYFDRFVFRFEFNEEKLWMVQLVSVSCLSLAAKMEEVHVPLLLDLQVEDPPYVFRAKTIQRMEVLMLSTLEWKMNPVTPISFLDYITRRLGLNNHICWEFLKGCDCLLLSIVADCRFMRFLPSVVATAIMLVVISNVEPCIGLDYQNELVGILGIDKGKLQDCCKLIQELISGGCRNNINKRKFSPFPGSPRGVTDLYWSSDSSNDPWEVTTAALASVSSSPEPIPKKRKARDQD >KZM97305 pep chromosome:ASM162521v1:4:13350939:13352118:-1 gene:DCAR_015333 transcript:KZM97305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPTVGFTSLPLDQSNFDIQRPYDVPVNKRYSFINGVHKMWVYKSDKPHSPDSHTNPRTEIRIQGYDFSSGVWQFEGYGYVPRVTSGACIMQIFGGSPHATTLMLRTYKGTLAYYRNPVLVQNIYSRWFRLNVIYDVDANKVQVYIDGDLKFETTGRGGKSHFFKCGVYAQDDDSNYMESRWKNIKVLKKN >KZM99202 pep chromosome:ASM162521v1:4:30173515:30173688:1 gene:DCAR_013436 transcript:KZM99202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRGELNLEMRMSITLMLVYIHILHVIEQVADSDWAGLIKIEREHPVLLFLKSEKP >KZM97365 pep chromosome:ASM162521v1:4:13915206:13916024:1 gene:DCAR_015273 transcript:KZM97365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEALQVAKLYRHLLKSVKKHIGTEEHKVHFTDFIKQEFQKSCQQIDPSLIQHKVKLARDYTILLNSVHHHKDLLFSYNIAVDRTDEMKKVLGKSAASVGLQLPEVYQP >KZM97710 pep chromosome:ASM162521v1:4:17126084:17129903:1 gene:DCAR_014928 transcript:KZM97710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAASHMMLHCAFDSCLSMSDMEIERRPYHRNCSCALHKPKDSRPAACFRHGNVAFSKKGSWSDCSITLSSPKISSQSLFLSVHLQITETSTYKFKEKETSKIMDAVSGHMLPQYVFDSSLSLSDMDIERRPYHRNCSCALHKPKDARPRACFQHGNVAFSKIQSWIDCSMSVAATKSSSQSLFNSDLSGKNRDKDGLLLLRNKGIHGISSGR >KZM99429 pep chromosome:ASM162521v1:4:31960893:31962182:-1 gene:DCAR_013209 transcript:KZM99429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPQSALSPLKNSVINEPQKHTSDLFIRNPGYLSKVNEAQKNGTNMYNDESFIGAVEVFVHQARDIHNICIYQKQDVYAKLCLTNDPENAVSTQIINGGGKNPVFNQNLRLNVKKIDTSIKCEIWMLSRVKNYLEDQLLGFALVPLSEVVMRNGKLEKDFSLSSTDLFHSPAGFVQLSLSYSGASPDVMAISALSNSVPDAEVPDSLASAFSKLEFPDPTIANENHMMVSEYYGIPCSNIDSQSSDSLESSDTDNNLNSETGADLVENSSTETICSNAIPKHDTPPSSVSTNGSPSASVPASCQSSDSPRNSKSPSEECISPPKDDQKEKAAESGDTVSDSTGGIAGNTFAKPVVSIKIEPEKKVEQQDFVNMYMKSMQEFTDSLAKMKLPLDGGSGTTSSGDSSTDQNLQGSTTPSSRVFYGSRAFF >KZM97353 pep chromosome:ASM162521v1:4:13838445:13839125:1 gene:DCAR_015285 transcript:KZM97353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNSQNIYFGSFKVPISATSDTNALQLEYNLHHLNTVQDNIIRGPTFQNPSSNIMFGSFSENYPIPTQIPMDATFSNQFSPQNIAFTSFSAGQQNYMGPFMSAGDFQITPISALQQNNSGGISTLIPNARSDGDLIPPCPQMKQINHSSFNGSPGMGVGINHTGTSSNGTHNANKKPIGRSRKRSRSAAMAIGSSSNSRPNKRIRFRFSLWKNEEHEIMKQEIPK >KZM97684 pep chromosome:ASM162521v1:4:16852039:16856340:-1 gene:DCAR_014954 transcript:KZM97684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIVTSGGNVAAKHSAQNEGGGDGLKTLECLRGRLLSERVASKAANVEAENLGNKLVELEEKLKEETKGRNRAQKRLKSLIKKLESLKIVYIVSDESSSHSTSFGGSELSVSSSSSSSLSLSSSSASSFSYPNRKPDVNDMKKPNSPFRKSLKCELEEPVMKYGSTVAETLDHSDIDNASTENPSLLSNDKISTDKTVSDNSCDELLCTQLSDNIKRDDHRSKYLVEDRETDRKSHQDRDETNDDDSMALVPVNIPATQSTSTLIQPLIAENARVEDVLDTLRYVKEEIRSSMERRRVRRVGLCRKFVSEHQEVSTSVVKKAFMATEEDFLSSVREQWHTKPQLAAVGTCCLVGIICDGLLYVANAGDSRVVLGRADRSATGITAVQLSRDHNVNIASERDELHSLHPADSHIVVLKHNVWRVKGIIQISRSIGDAYLKKAEFNREPLLAKFRLPAPFKKPILSAEPSTSTHKLNSKDRFLIFASDGLWEQLSNQEVVDIVHNYPRNGIARRLIKVALHVAARKREMRYSDLQNIDRGVRRHFHDDITVIAVFLDPQVTNARNICSIRAGGVAPATQF >KZM99469 pep chromosome:ASM162521v1:4:32307829:32320125:1 gene:DCAR_013169 transcript:KZM99469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEDLAIEAKEAAVREVAKLLTLPELLQSIASIKADYLTRQQANDAQLSTMVAEQECQTLIENHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEARDSLSDDKELISTYERLTALDGKRRFALAAASSHKEEVGKLREYFEDVDRTWETFDKKLWGHIYDFFKLAKESPQTLVRALRVVEMQEILDQQLAEEAAEAVGGGAMASVANPRRTPKKSTTAVPSNNSSQSKVKVQGKGYKDKCYEHIRMSVEARFNILLTELGSEDLKAAIEEARSIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQWLRLLSDRANELTNIEILKVTGWVVEYQENLIGLGVDESLAQVCSESGAMDPLMNAYVERMQATTRKWYMNILENDKVQPPKKTDDGKLYTPAAVDLFRILGEQVQIVRENSTDLMLYRIALAIIQASSTFTTYCFTKEQSSDCLYFSTVYDKPVLVSISRFPVQNLMLVAKTDRKSELGVMIDFQAAERKRLEEPASEIGLEPLCAMINNNLRCYDLAMELSSSTIESLPPNYAEQVNFEDTCKGFLEVAKEAVHQTVSVIFEDPGVQELLVKLYHREWSEGQVTEYLVATFSDYFGDIKMYIEERSFRRFVESCLEETVVIYVDHLLTQKNYIREETIERMRLDEEVIMDFFREYISVSKVENRLRIMSDLRDLASAESPDSFTLVYTNILEHQPDCPPEVVEKIVGLREGIPRKDAKEVVQECKEIYDNSLVDGNPPKAGFVFPKACDV >KZM99799 pep chromosome:ASM162521v1:4:34951661:34954018:1 gene:DCAR_012839 transcript:KZM99799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRSLSPYHDRSHTNGGSPFSVNSPSHKNANRSSSYSLSRFLAALSLQRHSRKAQPFWRKSLFRCLILFMLGFLLGLAPFNEVDVVSNHDFTFEIIKPPPVNVIENDVVMNHPVQLGERKLEKSVELVYRKELIVVTPTYNRALQAYYLGRLGNLLRLVPPPLIWIVVEMNEASMETAEILRNTGVMYRHLVCTKNSTSVKDRGVHQRNTALEHIERHKLDGIVYFADDDNVYSLELFDNIREIRRFGTWPVAMLAQSKNKAVLEGPVCNGSAVIGWNTNEKSKILRRFHVDMSGFAFNSTILWDPKRWKRPTTEPIRQLDTVKEGFQETTFIEQVVEDESQMEGIPQDCSRIMNWHLHIEARDLVYPDGWLLPKNLDVVLPIK >KZM97749 pep chromosome:ASM162521v1:4:17496726:17497131:-1 gene:DCAR_014889 transcript:KZM97749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRDCLIARNVVVTVVLILHLLILPLVPGESDVESSKLKVKMAVGSSPPKCVNKCLGCKPCMAALVISPPLKASSTSIFVRDESYYLLSWKCKCRNKYYQP >KZM99685 pep chromosome:ASM162521v1:4:34013694:34014235:-1 gene:DCAR_012953 transcript:KZM99685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVKQTTAKFMSRAPTLAAPSLRMHFHDCFVRGCDGSILLNSTKDNLAEKNAPPNLSLRGYQVIDAVKTAVESKCPGVVSCADILALVARDAVSMLKGHLLIYHLPFLTSLNSNHHLPPRV >KZM97340 pep chromosome:ASM162521v1:4:13714947:13718624:-1 gene:DCAR_015298 transcript:KZM97340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKWRKAKLALGLNLCAYIPPETNDLPEKSSDAALLSQSQNNWAFGPSRLSKSFSRSSKKTCSICLATMKRGDGNAVFTAECSHSFHFHCIASNVKHGNKICPVCRANWKEIPWQANTLEPLPGRSRINPVDLLPNNGLMTAVRQLPPRPVSYRHVAQLFQSPEPAVFNDDESLDHKTEKAEDISKFKSHVDVESGTTIAVKTYPEVPAVPQFKAYDNFTVLIHVKAPSFSSHDHSINQSNVSPIPQNPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLSPNDRLSVIAFSSTARRLFPLSRMSDTGRQQALQAVNSLVANGGTNIAEGLRKGAKVMEDRREKNPVANIILLSDGQDTYTMNSSSGSQNEPNYGLLLPTSIHRKESPGFKIPVHAFGFGMDHDALLMHSISEASGGTFSFIEAESVIQDAFAQCIGGLLSVVVKELRVTIESVDSQICLRSIKSGSYPNHLVHDGKTGYVEVGDMYADEERDFLVSVNVPAEFLNKETSLLKVRSVYINPLTKHTVTLDSEELRIGRPEKAGQEMVSVEVDRQRNRLQAAEAMAEARVAAEQGGLAAAIVILENCRKTLSQTVSAKAHDRLCIALDAELTEMQERLSSRNVYQSSGRAYILSGLSSHSWQRATARGDSTDGSSLVQAYQTQSMTEMLTRSQAALLSSPSVHRPLRPKPR >KZM98723 pep chromosome:ASM162521v1:4:26413669:26416735:1 gene:DCAR_013915 transcript:KZM98723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTNRCSANYVPLTPISFLKRSAIAYRDRLSIIYGENVKFTWKQTLERCTRLASALSQLGVSPGDVIAALAPNVPAMYELHFGVPMAGAVLCTLNIRHDSAMVSVLLKHSGAKIIIVDYQFLDIAKGALEILSKTVTQLPHLVVISESDKPSDSNTEICFSITSEYESLLATGSLDFEIRLPHDEWDPISLNYTSGTTSSPKGVVYSHRGAYLNSLAAALLNDMSSMPVYLWAVPMFHCNGWCLTWAIAALGGTNVCLRNVTAKNIFDSITLHHVTHMSGAPTVLNMIANAPPEVNKAIPGKVMVMTGGAPPPPQVIFKMEELGFSVTHSYGLTETYGPGTVCSWKPEWNTLPPDAQAKIKSRQGVHHIGLEEVDVKDPTTMKSVPPDAKTIGEVMFRGNTVMNGYLKDRQATEDAFKGGWFRSGDLGVKHEDGYIELKDRSKDIIISGGENISTIEVEAVLFSHPAILEAAIVGRPDDHWGETPCAFVKLKDGCDITGNEIISYCRSRLPHYMAPRTVVFEDLPKTSTGKTQKFILRQKARAMGSLPKSTTSKL >KZM96724 pep chromosome:ASM162521v1:4:5290085:5290726:-1 gene:DCAR_015914 transcript:KZM96724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDMVVVIMKSVMDDGFVSFFNLFKAWGQAKKASEIIHLLEHIPVCDMYPLRLVGNDVDMECYDRFFSIAEGLQVADAVLYRRAHDLLMGVGNVYVHLMELDVLAARGHFLSMVAAPAFRILIQKELSMASMIPCFVKLYMQDNFRSKFVSSVNHLHNIRDVAVARGCALGSKPLASCPIHDVDLDSPVNSAVNRECVLCDVASIFNAFRKA >KZM97926 pep chromosome:ASM162521v1:4:19510239:19511973:-1 gene:DCAR_014712 transcript:KZM97926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFKLCLYLLLINSTIYFRLVSGQNGTSATTDSDNQIVVDIGLILDFGSSTGITAISCVSMAFSDFYSANPHYSTRLALHRRNSDDIISAASSASELVNEVQVDAIIGPQNSKQAGLVEEIGGKSQVPIISFDRTTVPDSSELEGIASLVKVLGWHDLVVLYQDDAEEEFGPNFISSLTRTLQQESIHLSYVSAISASSSVPDIRKELRHLRSMQTRVFLVHVTSHDLASRLFSLAREVGMMSKGTAWIITDALSNSISSLNAATIESMEGVLGMRPYIPRSKNLDNFRIKWNLMQKKYHHTEKVGTDFYTCLQAYDTVWAFATAAEKIQVQQVQRSSEKLNAPSPAITQIRISETGPRLHDEILKTRFLGLSGKFKLEHGKLETPAFEVINMIGNGYRTVGYWTQKRGFSRKIASAAEEDHQGVVHPNYGENVLKPIIWPGDSTQKPKGWDIPAMPLQLRVVAALREHLLRLIGNYWMQSLSMEAYDEELSLGCKNGDSDTTLYEIPA >KZM99201 pep chromosome:ASM162521v1:4:30163211:30163924:1 gene:DCAR_013437 transcript:KZM99201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETPQPTLNGAFYGPSIPPEKSYHRPGRSRGGGCGFFNCCCGCLCSCIFNLIFQILFTILLIAAIIIFIFWLIFRPNPVKFHVTEASLTQFDLSTNNTLYYNLALNMTVRNPNKRIGIYYDQIEVRALYKGERFAVTNLTRFYQGHKKTDYLSPVFKGQNLLVLEKNDLSKFNSEKDSGIYSIDLKMYLKVRFKLALFKTMKFKPKIECNLKVPLDSKGKVSGNFTATKCDFDWRH >KZM98446 pep chromosome:ASM162521v1:4:24051073:24053815:-1 gene:DCAR_014192 transcript:KZM98446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPENLNRDQYLYLAKLAEQSERYEEMVSFMEHLVLTSTATAELTIEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNDEHVELVKTYRDQVETELTAVCAGVLKLMDSHLIPSAKESESKVFYLKMKGDYYRYLAEFKVGDERKQAAEETMIAYKAAQDIAAAGLPSTHPIRLGLALNFSVFYYEILNASEKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQVGNY >KZM99052 pep chromosome:ASM162521v1:4:29040632:29040847:1 gene:DCAR_013586 transcript:KZM99052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLFLTVAFSVAPLTYYLPPMRNLCPFLLSVEDFIRQSGVRSLRRVRLAHRLRLAFTRLFAPHPSSSSMY >KZM96895 pep chromosome:ASM162521v1:4:8434790:8438044:1 gene:DCAR_015743 transcript:KZM96895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLRLFTERTGEGAGEPVFSHDEELIHLQPSVAIALGNHSLEAPGTLYMTSRQVVWLSDSDRSKGYAVDFYSVSLHAVSRDQEAYPSPCIYAQIDTGDDDEESDSSDSESNDVLDLSKISELRLVPEDDSQLDILFDVFCECAELNPDPIESEGEEEHNWIFSAEQMVTDGAEVDDSEWNDVLAPTSSIGYSNGDNDLAHTVLQLQINDQRFEDPEEMDKDSDSANA >KZM98451 pep chromosome:ASM162521v1:4:24092607:24097980:1 gene:DCAR_014187 transcript:KZM98451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVEGLKVLHMDRNDYYGGESTSLNLNQLWKRFKSNDAAPETLGASRDYNVDMIPKFMMANGALVRVLIRTSVTKYLNFKAVDGSFVYNKGKIYKVPANDVEALKSPLMGLFEKRRARKFFVFVQDYNENEPKTHEGMDLKTVTAKAVISKYGLDDNTVDFIGHALALYKDDSYLEQPALDFVKKVKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPGCKVEFGDDGATIGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVARAICLMNHPIPNTGDAHSVQIILPQKQIKHKSDMYVFCCSYSHNVVPKGKYIAFVTTEAETDNPEAELKPGIDLLGSVEEIFFDTYDRYEPTNLDASDNCFISTSYDATTHFESTVDDVIAMYRRITGKDLDLSVDLSAASAAEE >KZM98119 pep chromosome:ASM162521v1:4:21219250:21221005:1 gene:DCAR_014519 transcript:KZM98119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BCH-2 description:beta-carotene hydroxylase MAARLSVGLFRVGKYEIQVPKQMTAVKTIRELSPSFIGLRLGNKRKLSMCFAVEENESPVAAAEAEESSRELERKIIESFTVAGGNRAEERMARKKTERFTYLVAAVMSSFGITSMAVFAVYSRFAWQMEGGEVPHSEMLATFSLAFGAAVGMEYWARWAHKALWHDSLWHMHESHHKPREGAFELNDVFAIINAVPAIALLAYGFFHKGLFPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPVANVPYLRKVAAAHQLHHMEKFNGVPFGLFLGPQELEQVGGNEELEKEINRRIKSSN >KZM97420 pep chromosome:ASM162521v1:4:14533455:14534253:1 gene:DCAR_015218 transcript:KZM97420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEANSGKLSLKLLVDRNAKRVIFGEAGKEFVDFLFNFMSLPVGTVIKILSKDKMVGSLGKIYESIEAMHANYMEANVNKEHVLNPKVSSSSLANTPLLGYNSTNNERIKSSVVYRCTNQYATCAYTYASTDTRAVCPSCGNYMSVELIYVPCPVEKAAKVQKGTGYVKGLVTYMVMDNLEVKPMSTISSITLLSTFKVKDLGALETVEVFIGKEEAVDMLKASFVTEKVLTSLFLGNKRA >KZM98000 pep chromosome:ASM162521v1:4:20259003:20262027:-1 gene:DCAR_014638 transcript:KZM98000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRILVVDDDRTSLIVAAACLKRWNYQVTAVSHPLEALSILEQRDENEKSYDVVLSDVHMPDMDGFKLMRRVNKEFNLPVVCGNPNKILINQLLEQRRTGKWADPIQASHPLPPYNEAEASFSMSTSNAMLMSNDYASSSDNVATPTQGPGCVPFQGTVTVAGPVVGLGGTPFQSAGNVNMENISTYIHRIQHLNYKANHDQPGSYDQNPLVPQQYNLTNGGLIGGVNNITFSSTNHIVPYNQNPLVPQQYNLTNGGLIGGVNNITFPSTNHMVPSSGTTPIFDGLSSKQQSTVALQDMQTADMTQQARILHCI >KZM96740 pep chromosome:ASM162521v1:4:5553346:5555975:-1 gene:DCAR_015898 transcript:KZM96740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGIVCVTGGTGYVASWLIMRLLQHGYAVNTTVRSHPDQKKDVSYLTNLPGASERLRIFNADLDRPESFNDSIQGCVGVFHVAHTIDFEGKEDEETNTKRSINATISILQACVDSKTVKRVVYTSSGSTVMFSGKDLSVLDEKTWTDIDFLRSLKTLGESYYISKTLTERAALDFADAHGLELVTVIPTFIHGPFITPHCPGSVRTSMSMIFGDEKMFAFSASTPFVHIDDIATAHIYLFEYPNARGRTHKTPSSPTREPNVEKVDCKGPGGMTIRQYHKE >KZM96581 pep chromosome:ASM162521v1:4:1292033:1295186:-1 gene:DCAR_016057 transcript:KZM96581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPTIQTLSILSPFQEPPAEVKKVSFIPSFLIQLLSSTLLTGHGFNHFSYDRMSNSKILNRYDKVEHLRPQRTEWTIRVRAQAVWIGINRETQDFRGFNVIFIDDSNARIHAFQNAKICDFFKDSLKEGCIYTLSNFHVRAYTKEDRNRDVRFNKHIYFANHTKLKLLIDNVTKIAPYDFDLIKLSDVAQCVADARFLVDVVGEIENTNIECNRNKDDDKKSHIRFRITDGRTSLNVTFFNQFGEEFEKALKDNISAQVIVVIVSAKANEHEAVIITDECEEAVVPSFTIAEIKKLGNEYKEQKQVQCIITVKKVDQKSNWCDNVCKTCGEEVNIVEGRFGIIMDEVVF >KZM97966 pep chromosome:ASM162521v1:4:19883280:19885244:1 gene:DCAR_014672 transcript:KZM97966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLDEYQLYWETNRYYNAEELGSLLLDDTLSAYYDSSSPDGGQSSAASKNIVSERNRRKKLNDRLYALRAVVPNITKMDKASIVKDAISYIQELQEQERRIQGEIAQLESMASKKQDLYSEDSKQADQTSACKKKKRTVHEPQQLCSYDSGKSSSTSSPIEVIELRVSYIGEKTIVVSLTCTKTTDTIIKLCQVFETLKLKIITANITSLSDTLLKTLFIEVFGGA >KZM97261 pep chromosome:ASM162521v1:4:12905516:12907077:-1 gene:DCAR_015377 transcript:KZM97261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSMKKIRRLRQDRISELPLKIKQIILCLLPIQDAVRTSVLSRKWRLCWTTMPNVTFDDQFSIWYKLEEYCYDRRVVTIRLVTVVNKVLLMHSGSILKFTLMLPRSQLCDGQIIYEFIDQWIPLLSRKGIKQLIVEEDYEFQETMAHDFSSLDLTHLRLTNVLFPYKPALGGFANLVNLELVHVTSNFGKSIFDCPGLEKLALILCEGLFPMNFRAPNLKCLHQVYDKLNLDYALAGLENLTEYSCMFLRSLLMPPETPNVVKVLGSLHKIEKFSSGREYLKHSECYHYEDEVCGCDDEGDLKNYWIKDSEDCTIDHLEIVTFSDFLGLRSEFELVKFLLGHSPMLNTMSIHRSIYIKEDVALTMAEEIMQYSRASSTAEIRYLDDPVIIDDFDNELWTYLFDI >KZM97776 pep chromosome:ASM162521v1:4:17700781:17705239:-1 gene:DCAR_014862 transcript:KZM97776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSTGSGAKNARRAFQYGKTHVVGPKGRHQATIVWLHGLGDEGSSWAELLDTLPLPNIKWICPTAPSQPITLFGGFPSTAWCDVSDLSEDANEDLEGLDATATYVASLLSAEPPGSEDRQHELLMLHERLNDTPDGIQEGREFLFKLGVGGFSMGAASALYSATCFVHGKFGNGDPYPANISVVVGLSGWLPCSKSLSNKVEGDEAAQRATSLPILLCHGRGITNISQVLSIGCIYF >KZM98598 pep chromosome:ASM162521v1:4:25321391:25324074:-1 gene:DCAR_014040 transcript:KZM98598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWDNLGDIANVAQLTGLDAVALIRLIAKAANTARMHKKNCKQFALHLKLIGNLLEQLKISELKRYPETREPLELLEDALRRSYVLVKSCQDRSFLYLLAMGWNIVYQFRRAQSEIDQYLKIIPLITLVDNARIRERMEVINMDQREYTFDEDDRKIQDVILKREPSRHDTVVLKKTLSCSYPNLPFKEAIQKENEKLQLELRRSQAHLDPSQCEVIQHLISVTQAVAVNSSPEKSLPAKTTSKSESNYSVVSSAKDQDDVCYTMKAETNSTPRNTSSFSSGHDLLSTRDPQKNEEWHSDLLGCCSKPSLCELV >KZM97199 pep chromosome:ASM162521v1:4:12148560:12148982:1 gene:DCAR_015439 transcript:KZM97199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLYVCYQSVDHFHLFWYDINHASWTGCLSSYLPSIYSDSVLVDDSVYSFHHNMILYLSASVAAAVGIIPPPTTTDGIPDYGMFRELEKYACVYPFNIQGWPDYDSPLVIALSVYSTLEVLIWVLCSLPHPVMDNIMT >KZM99577 pep chromosome:ASM162521v1:4:33153584:33163483:1 gene:DCAR_013061 transcript:KZM99577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRGASWVGDIYHKFEAMYLEAEEKICEDAVKFVESQVQNVGSNMKKFYTDVMQDLASPDSTAPVKVPATDLPLDSYADTKTDEEKKSGNKEDTKKIGNLFTNDLKVINQEDGDQVSSLVEDTTLIRKKIPRKDGRRKTNSPNQVSGCMKVPEKVCCQKTNLLNQVWDDQDGASLVLKMIHENATPEHMALASSQVSVEVEGWEWDSVEEEEEGKEEKEMSSCKGIDIEKSTTKGPISTDVLSLRTVGEGLAQASIEKNFAVKSDTLVDSKTCQMTGELVALSDIGKEVVSKTIDKMDLAGETTDEDEIKLEEGCVIVNEKNISFSSYKDGKPWSYKKKIREALSLKKKSSRRQEYKQLVAQYETANTSSSPARIDTVPHTVFPQSSMAELQTGNNDQTASAGSLLDSAVKATSHFPLSNVYQNASAGSLLDSAVKGQPECDDANSETWPGYAN >KZM98449 pep chromosome:ASM162521v1:4:24061500:24079540:-1 gene:DCAR_014189 transcript:KZM98449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELSNISPSYDRLILMVVDGLPAEFVLGRDDRPAPEIFRKSMPYTQSLLDRGVATGYHAKAAPPTVTMPRLKAMVSGSVGGFLDVALNFNTQELLDDNLIGQFFKIGWKMVMLGDETWLKLFPRSFTRHDGVHSFFVKDTVQVDHNVSRHLDAELLMIDWKLLVFNPFHFLNFLWCSYVYIFVISEILIGILVALTFSDDTNYIRIGFYESVLMGPKLEEMDEVIKKIHRSMVQNKHGRTLLMLVSDHGMTENGNHGGASYEEADALMLLIGLRDYDRRPSTHKTVNQVDITPTLALLFGVPIPINSAGILIADTLKSLEAAQQLRALELNTWQLFRLLQAQLPDLSCSSSCGVDKDGPGNDIGECDGNTEEIFCCLYIKAADLHKAWKSCQVLGSNKVEDYRSTVLAYNDFLRTASEWLSRRATNKPVGLLAFGLTGMILSSLILLSLLYQLEQDVCMIKEDRTSHFSSRMHKWHMDEIFVMAIVCFLVLSMGSSSLIEEEQYIWHFMTSTLYFLLLRKAVQSISSVNTQDQGNFMERQIKRRFIQMSSIIFVLISGRILRGWHQGGVNWTNFPDISKWLESEGTAYIKPVQLVSLLLLTTSGFYALSFLRPSKRFVIVVGLSFLFPAILVLQYIINYQSSGVPASSNNATLLVQIIYATLGTTTFGIVVAVPWFMPVRNPKGCSSHHYNVLHVGIADSLYLIGLVYIICWGLLQLLLQQPINSAPILLLLVQILASMCSSSNSDPEPKQWVEVAVVYYLGMAGHFALGNTNTIATIDVAGAYMGVSSHSTVLSGILMFMITYAAPMLALLSMLINLSRRSKISLVNAQDVDLHFLLKTTLAYPCLVPLGLNSIFLVAYTTILLLMRNHLFVWSVFSPK >KZM98494 pep chromosome:ASM162521v1:4:24439529:24442076:-1 gene:DCAR_014144 transcript:KZM98494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASDYIQNHTNDARTKSTSLSGSDLPAIADLQSPAKMQNKDQAISQDNCALKVSRESSINEEKSVKSIEIPPPRPKRKPILPYPRKNIRPFKTRACLSDEPGSSASPSLLVVEQETHSPVSVLSVVTPEATGVANSNVPNVVLLPASSNPDDHPSGLIKGETIISEEEKGSENGSNSPKGNNSSIEEEHVSTELRVFPEGRAFAKEESNEEVSTQTLKLFGQTVTVIVAEPSLSSSKDIPPSLPGKSFPASDAGVSWGAPAVYYMRYPTDKSNNVDDDSSTVLCSDFYRGVPYPFLQLHTSVLRREYNFFDGNNVEDEVLQKHGSSTGSNSGSINAEMDVKTASSVIFTQGFALNS >KZM97164 pep chromosome:ASM162521v1:4:11700523:11701758:-1 gene:DCAR_015474 transcript:KZM97164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSTDHSTGGAFVSETGAFIVTDDLHVIPNLPTTVFELFQKLGISDLDAVDEINLNVGYKEMLTILHCSLHSNSPLTSTFVSKGSKGSNSGCLLNYEMGNSSGNGMGKMEEAAEMAMTIKLVIQKSNNKMLFAHAEENFVNFLFSLLTIPLGGLARLSGNISFGSVGNLYNSLSTLDPEKHLKSPQLKNMLQNPQLAALHISQNQLFSFLETETEYYRQTKRVFLPSGGHIQNFYVVQNQVRGFLPNESCKKLLLKDPKFKGQYARPSMFIVTPDMHVASLTKFSVVSHFRRLGIPLSDVEEQVINIGMAEVQKILKASFESNLVLTDEDIKIMTKRIKREQT >KZM97163 pep chromosome:ASM162521v1:4:11691280:11694404:-1 gene:DCAR_015475 transcript:KZM97163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEATSNSTCVSPRSSGPATHRRRAFDLLTEPDDEVEVFHRREFLVEEYMTGLCKLLSSRKNASRWIVGMLMVVMMMIMFLKIWVMMESDYNDVGMISGGKSSRRLFAIQTWANYHQNVILSKPEEIKSSLVPSMPQRVLEKYPVPEIWMKPESDDYYQCISRQNNRTRTRTATNGYILVHANGGLNQMRTGICDMVAIAKIMNATLVLPSLDHESFWTDPSDFKDIFDWKHFIDVLKDDIDIIESLPPHLAAKKPYLKAPVSWSKASYYRGEMVSLLKKHKVIKFTHTDSRLANNGIPGSIQRLRCRSNYEALRYTQGIEDLGKILVDRLKKEDELYIALHLRYEKDMLAFTGCSHNLTADETEELRAMRYNVKHWKEKEIDSKKKRMTGGCPMSPREAAFFLKAMGYPSSTKIYIVAGETFGSNSMDAFRLEYPNVFTHSTLATKDEIEPFKHYQNRLAALDYIVALESDAFVYTYDGNMAKAVQGHRRFEGFRKTINPERLNFVRMIDQLDEGNITWKEFSSEVKSLHSDRLGTPYLRQRGETPRLEENFYANPLPGCVCQRSEKKLSSRRDYRIPKRNAAARR >KZM99069 pep chromosome:ASM162521v1:4:29193380:29197277:-1 gene:DCAR_013569 transcript:KZM99069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYFSAFSNLVILLSICFTTQSSSSEIIQLPQSILTLSVTDYGAVGDGVHYDTPYIQAAVNDCHALGGGHVIFPPGRYLTATIFLKSGVVLEVQENATILGGTKQRDYPAENSRWYVVVAEDAENVGITGGGEINGQGLKFVKRFDERKNVMVSWNTSGACLGDECRPRLVGFLRSKNVRVWNVNLIQPAYWCLHLVQCENSLIHDVSIYGDFNTPNNDGIDIDDSNNTFITRCNINTGDDAICPKTYDGPLYNLTATDCWIRTKSSAIKLGSASWFDFKGLVFDNITIVESHRGLGLQIRDGGNVSDITFSNINITTRYYDPSWWGRAEPIYVTTCPRNEDSKSGSISNLLFVNITATSENGVFLSGCKGGTLRNLKFENVNLTYRRWTNYMDGLVDYRPGCQGLVNHSSAGFLMEHIDGFEAVNVNMGWGEEHLGRWNTPLDFKPSTVNNISLINFHSGLFKQ >KZM99644 pep chromosome:ASM162521v1:4:33683890:33686225:-1 gene:DCAR_012994 transcript:KZM99644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEKKDSMQSNLRSLLDSTTPVPSSQFLSKSGIKKLNRIWHPWEREKIDFFTLSDLWNCYDEWSAYGAGVPIRLDNGENLVQYYVPYLSAIQIFISTQSLNSLRADADSTSETRDTFSDSFSDESESEKLSRWDGCSSEEWVFEQENLWHQNDRLGHLYCQYFEKSTPYGRVPLMDKINALSQKYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDLDDDDEMANTNSKWKKSEGIALAPFGLATYKMQGDVWVSNKNGGDHERLVSLLSVADSWLKQLRVQHHDFNYFTGVRRG >KZM96768 pep chromosome:ASM162521v1:4:5977695:5978033:-1 gene:DCAR_015870 transcript:KZM96768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLIPLVVMIVVVPVIRRGADRGRGAGRGDKGSHSGGGFHGGRCSSSTVPCSSGSGRRRGRGRGRGGGTNDGFNELSSYFERAERSISNGEYPNAPLPGEPKKGVVTFLGK >KZM99111 pep chromosome:ASM162521v1:4:29504495:29508370:1 gene:DCAR_013527 transcript:KZM99111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSIDPAKAAPIEELKPDSLVQLQSIYEQLTAKEGSNIVLKGERGVGKTWIAKKLSERATRGDQFHFSIWIFLNREYEKKTLCESIARQLSITFSAEEWEAERRKKDAKKEENKDMVGEELEKKIMKTLEGKKFLLILDDEGSKEQVDDTWGKMKALLPLDQASSFTILSTRMDNNSFGGMPAKTIDVHPLSRQESFSLAQKKMGKMFGTLPVNLQKLGKDFVGVCEGLPAKIILWAKVFSYYEKQNSGLKTLEAALEKAFSDENYDIVHLLWNADPYRFRILIDCCWEGRHFFRGRGGVHYNELITYWILEGYLGRIDSIEKAYEAGHRVLMDLLDCRIVKELEAGYVIMYKHELDLYALLQPGFGATAGLGLATVLDPKQDVFCGRITYGDGIMKAVDTFEDEQYVSTFMRDGSQLIGEFSLDSYLDSKQQLCVLAFFNSTIRSLSSLLSDMKSLRVLIFRGCDIMDEVKEVLDLENLAVLEISGPSCVELLPDNFFMKMPNLQILNLSELHITSLPASLYICQKLNWLIIRRCPKLESLGNMQKLTELMVLDLSDCTSLFSIKDKHLLSKTSLHTINFSNTRIKIIPIIKDLENLTHLFLSDCTDMGRLRGMASLDKLLVLGLSNSKKFREFHDSSFGTMTALKVIDLSGCSINRLPANISNPSYLYLRGCPRLKKLYSMEILKELEVLDVTGSSRLVEVEPDFFKQLTVLRVLNLSKTFVKSLPSVSSLSSLRELSLSYCASLEELKGLDALKHLEVIDLSHCSALKSIEDNSFQHMSRLQMLDLSFTKIKTLPDLSEANSLRRLILKKCTNLELLSLPDLSKLVELNLSSVILPNGDAKFVNSMCHLELLDLSETHLNHLPSMSNLKSLNQLYLGGCLDLNVAIDLHELTELEVLDLSGTAVSKLENLDRLCKLRHLLLRNCSNIEELLQHKMNDLLQPNVAVLHCEKSSLAHLELLDLPRKDSGGSDTTKNPQEHQNQQQWIISSWPAEAIENDDDNDEHIISVSGAQFLDLFEGNPTMLTTSFQKFRFLIRPMELKNTCRKKYFYKDELIYRDTWSTTGVAHSMQRSLEIRGFESYPTGVELVLSHAKFVILIDNPCIKWISESYLNTMKELEGCWIERCNEMECVMEMEVTTESGQELKEITNQEGNLESRKSAGAIGLKSIPSSGNFHGNSFNNLKYFYLNFCPKLSVVFPSSQRLENLEILEIKFCAELVSLFQGDSAELPRLLTLHLWELPKLNTVGALMPALRSLKYGECPILDVSSIKPLEETVKLDSAENYKTFK >KZM96863 pep chromosome:ASM162521v1:4:7934471:7941570:1 gene:DCAR_015775 transcript:KZM96863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMESLIGLVNRIQRACTALGDYGGGNNALSSLWDALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLQQTDKGQQEYAEFGHMPRRKFTDFAQVRREIAEETDRITGRTKMISPVPIHLSIFSPNVVNLTLIDLPGLTKVAVEGQSETIVEDIENMVRAYVEKPNCIILAISPANQDIATSDAIKLAKEVDPSGERTFGVLTKLDLMDKGTNAIDVLEGRALRLQQPWVGIVNRSQADINKNTDMMSARRREQEYFATSPDYGHLASKMGSEYLAKLLSKHLESVIKARIPSITALINKSIDELEAEMDYLGRPIGIDAGAQLYTILELCRAFDKIFKEHLDGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNVRKIVSEADGYQPHLIAPEQGYRRLIEGSLSYFRGPAEASVDAVHFVLKELVRKSVGETQELKRFPTLQTAIANAATEALEKFRDESKKTVTRLVDMESSYLTVDFFRKLPQEIGNPPAMRMEKGGNSAPTKGDKGDKGDKGGSPAPINVDRSAEGHFRRIGSNVSSYVGMVSDTLRSTIPKAVVYCQVRQAKQCLLNYFYMQIGKQEGKQLGVLLDEDPALMEKRLQCAKRLELYKAARDEIDSAAWVR >KZM99240 pep chromosome:ASM162521v1:4:30492117:30494042:-1 gene:DCAR_013398 transcript:KZM99240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSANSLCYSSTTILRFNTRYICSTSNTSSCSSWNTQLRELAKQGHYQKALYLYPQLLSSGASPNAFTFPFALKSCAALCLPVPGQQLHAHVIRTGCHSEPFVQTALMSMYCKCFLVENARKLFDESTYARRLTVCYNALIAGYTHNSEFCDAVLLFRRMRLLGVAVNSVTMLGLVPGCTVPLHLGFGMSLHCLNVKCGLDGDMSVGNCLLTMYVRCGSVWSGRKFFDEMPEKGLISWNAMISGYAQNGHANLVLDLYREMELKGVSPDPVTLVAVLSSCANLGASEVGMEVEQKIKRRGFGSNQFLQNALVNMYARCGNLVKAQAIFDDMPEKNLVSWTAIIGGYGIHGHGDDAVKLFDQMIDAGIRPDKTVFVSVLSACSHAGLTKRGLEYFDSMEKKYRLQPGSEHYSCVVDLLGRAGRLKEAQELIESMSGKPDGAVWGALLGACKIHRNVELAELAFERVIELEPTNIGYYVLLSNLYSETKYTEGILKVRVMMRERKLRKDPGFSSVEYGGRNHLFMAGDRSHPQTEEIYAMLNRLEDLAKETSERRKIDDDGGNSELVSCARVHSERLAIAFALMNTALGSRILVTKNLRVCTDCHIFIKLVSKIVDRQFVIRDATRFHHFQNGVCSCNDYW >KZM97574 pep chromosome:ASM162521v1:4:15919343:15926604:-1 gene:DCAR_015064 transcript:KZM97574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSADVTGIMDNAKELDRIREEQEDVLIEINKMHKKLQTTPEVVEKPGDTSLSKLKMLYAQAKELSENEVSIATQLISQMDTLLPSGPPGQQRRRVEGNEQKKKRMKSDSDISRVSPSMRNHLDALASLKGEQVAARVRLEDADKDDWFIVKVIHFDRESREFEVLDEEPGDDEDSSGQRKYKLPMSHIIPFPKRNDPSSAQEFPLGRHVLAVYPGTTALYKATVVQSRKRKIDDYILEFDDDEEDGSLPQRSVPFYKVVALPEGHGQ >KZM98372 pep chromosome:ASM162521v1:4:23354194:23357076:1 gene:DCAR_014266 transcript:KZM98372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGTKELNKPQPPSSAAMQLQTPVQKIPTATWKLVLVAAIAAGVQFGWALQLSLLTPYVQLLGIPHKWAAYIWLCGPISGMLVQPIVGYYSDHCQSSFGRRRPFIASGAGCVAISVILIGFAADIGYKAGDDMSKTLKPRAVTVFVIGFWILDVANNMLQGPCRALLADLCSGDTRRMRSANAFYSFFMAVGNILGYAAGSYNNLYKLFPFSKTHACDLYCANLKSCFIISIALLIIITVVALSVVREKQWSPDDADAADEPPSSGKIPVFGELLGALKDLPRPMLLLLIVTCLNWIAWFPFILFDTDWMGREIYGGTAGKGKLYDQGVRAGSLGLLLNSVVLGLTSIAVEYLVRGVGGVKILWGVVNFILAIGLVMTVVVSKVAQHQREHSANGQLLPPSAGVKAGALSLFSILGIPLSITYSIPFALASIYSSGSGAGQGLSLGVLNLAIVVPQMIVSVLAGPFDSLFGGGNLPAFVVGAISAAISGVLAIVLLPKPSKDAASKLSLSGTYH >KZM99437 pep chromosome:ASM162521v1:4:32042947:32049461:1 gene:DCAR_013201 transcript:KZM99437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVGGSEVRSEDLSVRVGEKRAGTGGDDAEGRAGKKPRNGGEVRGNLKRVAEIVLVLETLGKMRGGRSPTEAEVEMMSEARGKLAEVCREFKPKDVFPREAFGVVMDDLGLSKMNEQMLGFRAPNVPIAQKLKLTQEKMGKSEEFAVHSASYSSQKLQKNPGPASESGSSHAVRMLTSQKPGQASTASVGLQPASQLARVSAANSTALPYQLPTSEVRPLVLGETPGSNVGRDSSSSALPRPHFSGAYTSQVQVNSSGNHSRPVSWSVQPLQSTMSAKNAADSNARNASKKVEEAAKMSRAVPKVSKSITNQTSVQPQHIQQRTGPGHALSLKSKHTDIANLVQKLLQPTLHERPTWASPSRDYMNKSLACQLCKLISLEVDNVLVCDGCEKGYHLKCLKINNQKSIPRGEWHCAKCLSLTNGKALPPKYGRVLRNAITAPKVTSNAATVDISQDNKLGAAVGKVTGNGKSGIQDAPGGTMDNTTKSFAAGTEMTDKRVMHKETDDNFSGLVSTDVMKTSADSCIKSVGSSNEVSYDKKVIAQSASYPPADPQTVENISSNSVNPTTNFPGINSKVKDLNKSGSEIVHGARRDEQGTLHANHVKISETSSGPNKQDVAFSDGLHQVNWIGDILNVVEEKTYYQSCSIKGVVYKVHDHALFRLQDNILTPFKLQSMWEDSKTRSTWVIASRCYFPVDLPKAVGRPFAPDNNEVYESNHDTALKAGLIEGPCKVLPPRLFAEENQRKIRLGMEASGRLQPLFVCKWFFDERKGLFRDVTS >KZM97000 pep chromosome:ASM162521v1:4:9644897:9646966:1 gene:DCAR_015638 transcript:KZM97000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIAAPNKVRWGELEEEEDGEDYAYLLPPRQVIGPDHNGLKKVIEHKFNDEGHKVKITSTIRVRKLANARLSKRAVERRSWPKFGDAVHEDVGARLTMVSTEEILLERPRAPGSKEGEAKASGDALSQFGKAGAVLMVCRTCGKKGDHWSARCPYKDLAQPSETFNEQAPTSDAAAAPGATKGAYVPPSLRPGAERTTGSDMRRRTEENSVRVTNLSEDTREPDLLELFRTFGPVTRTYVAIDQKTGMSRGFGFVNFVSREDAERAINKLNGYGYDNLILNVEWAAPRAS >KZM99405 pep chromosome:ASM162521v1:4:31833831:31836571:-1 gene:DCAR_013233 transcript:KZM99405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKRWLKIHDFRYLLSSYLFNQDYGILAEVNAYLYTIKYTSAVVNAGDAQARDKFKQFPNHCSILLSKIFEDCGFSVEIMKAISGQAYEKTTSIQCQAFPVVLSERDIIGIAKTGSGKTAAFVLPMIVHIMDQTEIEKEEGPIGVICAPTRELAHLIYLEAKKFSKIRVSAVYGGMSKLEQFNELKAGGEIVVATPGRSKRDARKGGGKRAKGRGGGGNRGVRGVDFGLGIGYNAESKSAPLHVVPGRSAAVNSLRTGMTAQFKSSFVAASSGTLNARLSLVQACKLATGCCVVLCRVVLLGEVGILLQQAVK >KZM99449 pep chromosome:ASM162521v1:4:32155404:32157197:-1 gene:DCAR_013189 transcript:KZM99449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSQKSLSFLILSLICVSVCCASRSTFNDDPKKTNAHTQETIGASTFNNDDPKKTNAQTQETTSGSTFNDDPKKTNTHTQETIGASTFNNDDPKKTNAQTQETTSGSTFNDDPKKTNAHTQETIGASTFNNDDPKKTNAQTQETTSGSTFNDDPKKTNAHTQETIGASTFNNDDPKKTNAQTPETTSGSTFNDVPKKTNAHTQETIGASTFNNDDPKKTNAQTPETTSGSTFNDVPKKTNAHTQETIGASTFNNDDPKKTNAQTPETTSGSTFNDVPKKTNAHTQETIGASTFNNDDPKKTNAQTPETTSGSTFNDVPKKTNAHTQETIGASTFNNDDPKKTNAQTPETTSGSTFNDVPKKTNAHTQETIGASTFNNDDPKKTNAQTPETTSGSTFNDVPKKTNAHTQETIGASTFNNDDPKKTNAQTQETTGKSTSNNGDDKKNNADSQVTTSAVSTYSGYSCGNNGGGGSYTSGSCGSSSCSNGDGGSCSSGGGGGACMSSDCNPQPCQMHSSPCQMPVHCTPQCPNVQPIQSPCTPQYPQPQLQPCSSCNQQIHCPNNDCGIGGNSDVKTDSEDPYYENEGSEPKPPDVDGAN >KZM97543 pep chromosome:ASM162521v1:4:15659801:15660466:-1 gene:DCAR_015095 transcript:KZM97543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNTTGLGVILRRSNGNMISSIVGSVRRLTPLGNQLWAVFCGMRRAFLGTSRHVIVETDNLEAFGAIKFPNAATSPQVSSIVQQILLLKNNASWNCSIRYVYPRRNGVATYLALLGAELFTRLFFFMEPLGRAAELMDLDIGLGPHDPDLIEAEMVEEELELLEVALAAPQGPMEVGLAADFLAGAAFQMAPNAPDHQEAEIQDFIFEDDVEVEEEGVVM >KZM99808 pep chromosome:ASM162521v1:4:35035206:35039604:-1 gene:DCAR_012830 transcript:KZM99808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGFHNLPLASNDVGESNRFHNPVVKMEAGSNNSARHKFRYNNYYQDNIDHQSSNEAVAAEAIKAKIIAHPQYSSLLQAYMDCQKVGAPAEVVARLGAIRHEFEVGQQQASASCGETCKDPELDQFMEAYYHMLVKYREEISRPLQEATDFMQRIETQLNMLTTAPRQILNSDEKCEGIGSSEEDQDNSGGETDLPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKDARQKLLSWWDLHYKWPYPSVRKFSIHSCILMYIPKNEIHSCITPDTYIIKWNVFMTQETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGIHSQNAALYMEGHYVGEGPYRLGP >KZM99888 pep chromosome:ASM162521v1:4:35609815:35611906:1 gene:DCAR_012750 transcript:KZM99888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQREAAENSNGKPPVKKQSAGELRLHKDISELNLPKTCSMAFPNGKDDLMNFEVTIRPDEGYYSGGIFTFSFQISPIYPHEAPKVKCKTKIYHPNIDLEGNICLNILREDWKPVLNINTVIYGLYHLFTEPNHEDPLNHDAAAVLRDNPKLFENNVRRAMSGGYVGQTFFTRCM >KZM98878 pep chromosome:ASM162521v1:4:27597534:27602182:1 gene:DCAR_013760 transcript:KZM98878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIERVEDYDELRERRSDFENSEDERRQSRIGHFRKKALNASNKFTHSLKKKGVRKVNYRVPSVSIEDIRDAKEECAVQELRQKLIEMDLMPARHDDYYTLLRFLKARDFSVEKTIQMWVEMLKWRKEYGTDSILEDFKFEELEEVLQYYPQGYHGVDREGRPVYIERLGRAHPSRLMRITTLERYLKYHVQEFEKAIHEKFPACSIAAKRQICSTTTILDVQGLGVKNLTPTAASLVAAMARIDNNYYPETLHRMFVVNAGSAFKKVLWPAAMKFLDPKTIAKIHVLEPKSLGKLLEYIDSSDGSTVEAITVNDDPCCITAQNSCRIARLEPNLGDAGESDSTIYYNCDDHFSHVDYDNETDQVLGNCQEVSSSEGTLDREGTLIIQWLVTIQEKVVKRSLWRVAKTLLNFVVRLFAFSRSLPFESRRRTNVHPSKVIEEKPDEQSPIIGEAVTGEDYIHPCVERLQKVEQILDKLKNRPAEIPREKDQMLLHSLERIKSVEFDLDKTKRVLHATVMKNLEIAKLMENLQDSKFQLSKLFPLKYESVEKENVNADCRVIHCCNAAADHINFDPIRYLTNPLLRIQAS >KZM96878 pep chromosome:ASM162521v1:4:8165328:8171490:1 gene:DCAR_015760 transcript:KZM96878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDEEVRFVCPNFVSDYIFGVLKLPEEFCVGKGQNLPERLLLHVPPGVVWNGIYRKDRQWVEGVEKLMTFYCIKPYHLIVFDYIGGPSFNLKFFNPYGVEINYNYFKNSETATGLEGCILNPLEIEVDKLGGTLSYNVYHSGRSICEVVPGKKHIRKTEVYKVLKRSDWESLGIVESMESVKFSFRNITWLVKLLWRNGKLYFDRGWYRFAKAGNFGIGDAVVFHKTEWPQKFIATIFESEVLSKCNVSGVGQRDGVMEWFKMASPTFICTGEMEIPRVFTQLSHFKLDKTVNLILRDGETVSVKFCSERNFLFGMRNLVRLYSIDSTDVMVFTLIRQSTFVLSIFKFYGMESEYNAVEICKSGAMKKVRLEDIIILSDSDNSEEGMAVEQEENAEEAGEGIGEEPDEIPEENVSFRVTLKPSHVDKRQHGVLGPRAFGLGMTPKVPSFVKRSKNVDELFKIKDDTTEAPIAATPITQVSTPASVQNQPSNSSKRKLAQTTLDLSVSSQTRAIRKGKAVKVDPVVAQNQFKDLIDTHIPRETVVGWGKMDSVEALEALRFSAAQNAFFTLRFCDDLAGKTQYNLRLQGEVNKLKKELTAIEEKVNTTTAVVRRMRFVEASLKKDKDELQEQIKNLKDEKISQLEKIQELEVQVDALNTAASTVKSSMLAVEKARYDEGYNEGIRDYMRST >KZM98348 pep chromosome:ASM162521v1:4:23117561:23119024:1 gene:DCAR_014290 transcript:KZM98348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTHKRFPKSRGSRLVLPPRLRIPAPAPAPEKMTWRKKSVSEAAEPGDCKKTSVMMRNIPNQYKRDDLMEFIDKFCLENNMQYDFLYLPMDFKRHNNKGYAFINFTKASHARVFQDLMNGYKWGWVHLRNCNFKSSKTCEIAWAKIQGKNGLVRHFSNSNFPCFTKKYLPVQLSKNGLVRHFSNSNFPCFTKKYLPVQLSPPRNGSSSPSTLTIVGRCLRGR >KZM99044 pep chromosome:ASM162521v1:4:28949118:28954118:-1 gene:DCAR_013594 transcript:KZM99044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITPTISINDGELVIHGKTILKAVPDNIVLTPGSGAGLVAGAFIGATAPTSKSFHIFPVGIFEGHRFICCFRFKLWWMTQRMGSCGKDIPLETQFMLVESKDTSEGESETKTIYTVFLPLLEGQFRAVLQGNDKNELEICLESGDDSVKTKEGNSLVYMHAGTNPFEVINQGVKAVEKHLQTFCHREKKKLPAFLDWFGWCTWDAFYTDVTAEGVEDGFKSFSEGGISPRFLIIDDGWQQIGTDNKDSNCVVQEGAQFASRLTGIKENEKFQNKENTDNQPPGLQLIVNDAKNRHNVKFVYMWHAITGYWGGVKPDGAGLEHYDSALAYPVQSPGVLGNQPDIVMDSLSVQGLGLVHPKKVFNFFNELHSYLASCGVDGVKVDVQTIIETLGAGFGGRVSITRSYIQALEASISENFPDNGCISCMSHNTDGLYSARKLAVVRASDDYYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHAAARSIGGCPIYVSDKPGNHNFDILRKLVLPDGSVLRAQLPGRPTHDCLFTDPARDGKSLLKIWNVNKCSGVVGVFNCQGAGWCKEVKKIRIHDTSPETLTTTVKITDIDSIDQVAGPCWKGEAVIYAHRSGVLTKLPKGSAIPVTLKVLEYELFHFCPIQEIASNISFAAIGLLDMFNTGGAVEESKVNLAKPELCEEKATAVIELRVRGCGRFGAYSSQPPLKCRVEKVDAEFTYEAATGLVTLTLPVPEQEMFRWHIEISI >KZM97252 pep chromosome:ASM162521v1:4:12846830:12848324:-1 gene:DCAR_015386 transcript:KZM97252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRIRKSARFKKDIISELPQNLKETILCLLPIRDAVRTAILSRDWRHCWTTIPQLAFDDIFLDSVWDNYLEHFHDEELKMLKFVSVINKVILLHNGPILKFSLSCPQDIDNDTLVFQDYIDQWIPLLSRNGIKQLTIEGFMSEHSTALNFSSLNLTHLRLVDFWFPYTPASGGLTCLKYLELIDVVIAEEHVFDCPVLEKLTLVNCEGLFRMNFRAPHLKYFHQLVSFMTPEISLAGLQNLIQYSFWLSRDAIVHTKAFNVVKVLSCLPKIEKFSVGMNFIKYLAAGGAPNRLHEPLLYLKTLGIYDINLTDVNEVSTLLCLIQSSPNLCKLHIKADKDTKEGDLEDYWKKVSQKSIGDHLKIVIFSHFRGLKAELELVQFLLGHSPLLKTMFIHCGQDINKDVALAAAEEMLRFPRASTRAEIKHLEHVVEDIYSI >KZM99711 pep chromosome:ASM162521v1:4:34185576:34186625:1 gene:DCAR_012927 transcript:KZM99711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALPEHIILQEILTRLPAASLARFRFVCKSWLAHISHPNFVKSHIYRKEDYLIRTCPGGIGILSGTTLTESHIEDVPASFDGLVGSVTGLVCGISQSRNHFILWNPILHVYKQIPLPKHSRFSFFGFCWDSATENFKLVANFHSSSALVYSTKTNRWIDVGSYQIPYPTHEFPAVIVKGIPYWTEFFGSPGILKFEARTNKFTWLGVTCLAGKRYSLCSLNDCLTRIEYSLPGGNSLDLYQFNEGRGVWSKMYTIDVRTTFILTIPKCFNYSGEIVFSGCHERLHRYSYTPSLIILEGMKTSTRHLRDEHRKLRSVWCMRLPWKHRRSRHSSEFDLSSPTYSGGSIE >KZM98133 pep chromosome:ASM162521v1:4:21331148:21343416:1 gene:DCAR_014505 transcript:KZM98133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGAANLWVLLGLGIAGLFIMTKKIKKTIKADFGAFVERFQLLPPPQPAPPKAPHPLTGFSFAVSDIFDIDGHVTGFGNPDWARTHEAASQTSPVVLTLVEGGSTCVGKTVVDEMTFSISGENKHYDTPTNPAAPARVPGGSSSGAAVAVAANLVDFSLGVDVVGDVRIPAGFCGVLGFRPSHGAVSHTGIIPVASSLEAVGWFAKDPKILGLVGHVLLQLPFGVQRNPRSVLIADDCFELLKTPVSRITESVVRSTEKLLGKQVLKHMNLGEYISSKVPSLQKLNSNKANGEQKPSSLKSLAHTMQLLWRHEFKNNHGEWITSERRILDTVVTAQLHDSPDITDSEIENIQKVRNDLKVALNALLKDDAILVIPSVLHPPPKLGAKEISSEDYLTRTYSLMSLASMSGCCQVTIPMGFQDKSPVSVSFIARHGGDRFLLDTTKLMHASLQEQAEAAGKSNLSSNVVSREASAEIAKEKGNQAYKEKQFKRAVDLYSEAIKLNGNNATYYSNRAAAHLEAGSYLKAEADCTKAIDLDKKNVKSYLRRGTARELLGYFDGAIEDFKYALVLEPTNKRASTSADRLIKLFH >KZM97451 pep chromosome:ASM162521v1:4:14790716:14796292:-1 gene:DCAR_015187 transcript:KZM97451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKGNHGSGNSTTCNVDIQETLGDKIMMFSSDQVMVLEQFFAVNQVPSHVMRLAMIHENSVLSNLDSQQIEAWFENRRTLENSKMIAMRQLLMLEKSFLNDTVEQLSRQRLYLFRMLRFASTETYPAPGAPRSVSENLMVEARRELLLLENELLHGEFLEGTSEIFVKTSLDWTYFPVNGIIVDASVMLSFVKAAMIPDGTLNPFLRQHQEFVVFCSVKDIKLDQIFFKDGEKSSTAIVPALLPLEIKLKQTSLKEEDMLTAAASSFLPQDIKLEKIAFKDREESLTAVSSAFLPQRFGVAMGRYCVLGTWRYVPNGPAASGEVKFMLRFKFIN >KZM98402 pep chromosome:ASM162521v1:4:23642358:23643629:1 gene:DCAR_014236 transcript:KZM98402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGENSCDEVSKKRSALGDVTNLVGKRGLSEISGGDFEDRKKGKSVKQVCLEVENVGKKESLAEGGVNKDRGKEVLDCLGVCPGADEPNSKFISEVPKLLKNDFLHGGVEDINRNVADVSRESCVSGIPKPTSPAVLDSVDVGGDPAKDEGVGSQGEQSTTVFEQFDTDVDENELGDENLDSGKSESVDYLRFPESQESRSCGLERCVGFKGDGLSDSPVGIDLIKACTCSFCTKAAYIWSDLHYQDIKGRLAALKKSQKEANILAERSTKIRAANIHGQETPDVSELQSSLRGQWRSLFAHMEEIFGQESSQLVSSTLELALITLPAFAQIIKW >KZM99617 pep chromosome:ASM162521v1:4:33473954:33477304:1 gene:DCAR_013021 transcript:KZM99617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYEDMFAPVSPSGQYLNSSVLSLTIVAVLEFEVPIHDLQTVSLLKNLFIPINQRFSSIMVGEKNGAKKWKKVEVNIKDHVRVPIFPAGKSPEYYEKCLHEYLSRISLESLPEHQPLWEVHVLPFPTTKAAGNLIFKLHHSLGDGYSLIGALLSCLKRAENPALPLTFPARRISKSHEGGDVKSIMKRVPQVSSWILNTVMDFAWSVLKSSVLKDDVSPIRSGDVGLELLPMDISTMEFDLDYIKQIKTSLKVTLNDVITGVILLGTRLYMEGEEKNSGDANSTALVLLNTRNAEGYKSISEMVKPKATMPWGNHFSFLHVPLPKLSSPSPGHDPSAKFDPLDFVYASHHIIKRKRNNAAALLTGGLLDHVRKIKGPETTSRYIYNTMSNSSMGITNLIGPLEQMTLDDHPISGLYFAVAGVPQSLEITVLSYVGKLRITIAVEKDFIDVKKLKSCIQGSLDTIFEAAVPI >KZM98403 pep chromosome:ASM162521v1:4:23648688:23648927:-1 gene:DCAR_014235 transcript:KZM98403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGITDLRDFHDADSMIKVCMVMHLWSIAQNNIESITACIYLFKKSLGCLPKKEGFNGDMIMRLFNFDYICRCRMAFHY >KZM96969 pep chromosome:ASM162521v1:4:9302067:9306892:-1 gene:DCAR_015669 transcript:KZM96969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRAQPLLRRLSAQLTPTRSVTYMPRPGDGAPRTVTLIPGDGIGPLVTGAVEQVMDAMHAPVIFEKFDCHGDMKVVPPQVMESIKRNKVCLKGGLMTPMGGGVSSLNLQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYAGLEHEVVPGVVESLKVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAIFEQGASAGNVGKTELVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVESVILEGKYRTKDLGGSSTTQEVVDAVIAKLD >KZM99491 pep chromosome:ASM162521v1:4:32527869:32531056:-1 gene:DCAR_013147 transcript:KZM99491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGADTLADRLKRSLRYDQKRDDNDNGDVNKPDFREIDLGLGSGSPVSPLRPNVQVATSSRSSSSSSSSSGSFSGRNDQNPVAKKSASGSTAANTTNSGELSGSGHNSPTYSGRVKKQPVTRGSESGGSQLIYSGGGSVSSPPANVLPAGNICPSGKILKADVSAPRSSRPDVLGTGRGHYGHGSIMRGGGSVKFDAGGSSGVAGNSRAGVLAGKGVMSGGVDGEEMKRLGNEEYKRGHFSEALSYYDRAIAISPENAAYHYNKAAALIGLKRLGMAVRECEEVLRLDPGYVRAHYRLGSLYLCLGQVDNARRHICFTGIQPDPIELQKLHAVEKHLSKCTSARRIGDWKVVLREADAAVASGADASPQLFACKAEALLKLRQYADAELCISNIPRVGTSSLSCSQNKFLGMLSEAYILLVRSQIEMSMGRFDSAVTSAEKAAQIDTLSAEVSALLSNVRAVARARARGNDLFKSERFTEASAAYGEGLRLNPSSSVLYCNRAACWYKLGQWERSIDDCNQALNILPNYTKALLRRAASNSKLERWVEAVRDYEVLRKELPNDNDVAEALFHAQVGLRKSRGEDVHNMKFGGDVELVSGLEQFRAAISSPSASVVHFKSASDLQCNQISPFVDTLCSRYPSINFLKVDVRDSPGIANAENVKLVPTFKIYKNGSRLKEMICPSPEVLESSVRHYSS >KZM98200 pep chromosome:ASM162521v1:4:21872131:21873969:1 gene:DCAR_014438 transcript:KZM98200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDGYANSSEVQKDKGEHRQVARSLNFDADAAQESKTKSDNEQFGNGTLDGADDPSVSVSSESTYDPFGASSSDEEDNQIINPAATEEKTSSQPSDVGSVHQVNNHLKNCVKHSPRLEVARDWSISPSRHPRRGLGHSITTPVRTHRNHFFESHGVAISRSMTEKKDMPRHDLKLDRLSEREKKKLIVELVKIQNDGTVKVDLTDNAPVASELLEFQSVEGKRPCVDYTVNDSSKPIPKLKIAILVVGTRGDVQPFLAMSKRLQACSSYIAS >KZM97756 pep chromosome:ASM162521v1:4:17553802:17554848:1 gene:DCAR_014882 transcript:KZM97756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGAISQGVLKKILLSYTYVAVWIFLSFSVIVYNKYILDRKLYNWPYPISLTMIHMAFCSSLAFVLVKFFKVVEPVTMSKDLYLKSVVPIGLLYALSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVMFKKESFKSDTMVNMVSISFGVGIAAYGEAKFDVFGVVLQLGAVAFEATRLVLIQILLNAKGIKLNPITSLYYVAPCCLVFLSVPWFIVELPVLMATSSFHFDFLVFGTNSVCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTGINLVGYGLAFLGVAYYNHAKLQDMKANEAQKKEQQTDEEAGRLLEERGKDAEGKNESDK >KZM97527 pep chromosome:ASM162521v1:4:15553682:15561080:1 gene:DCAR_015111 transcript:KZM97527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNSLYDAVNGGGDVWINENRFRIVRQLGEGGFAFVYLVKEIVSTDASSADGVAKKFKNPSHVSDDGTYAMKKVLIQNSEQLELVREEIRVSSLFSHSNLLPLLDHAIISVKATPEQAWTHEAYLLFPVHLDGTLLDNAKVMKSKKEFFSTSDVLEIFRQLCAGLKHMHNLDPPYAHNDVKPGNVLLTHRKGQPPLAILMDFGSARPARKQIRSRSEALQLQEWASEHCSAPYRAPELWDCPSYADIDERTDIWSLGCTLYAIMYGVSPFEYALDESGGSLQLAIINAQVKWPAGPNPPYPEALHKFVTWMLQPQGTVRPRIDDIIIHVDKLAAKFSH >KZM98143 pep chromosome:ASM162521v1:4:21431750:21432511:1 gene:DCAR_014495 transcript:KZM98143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESDQAEVKDLQMVVAEKEEGKKQLGPKRTSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQAAEPSIIAATGTGTIPASALAATGGSVSQQGASLSAGLHHEFGGTGGSGNSRASWGRPQMGSAGIWPPAAAGYGYQPSASGPVSNNLGQESSNYLQKIGFSGYDMPVSNMGSMSFSSILGASNQQLPPGLELGLSQDGNIGVLSQMYQQIGHARVHQNQQHQNPSSKDNSQGSGQ >KZM96991 pep chromosome:ASM162521v1:4:9543158:9544650:-1 gene:DCAR_015647 transcript:KZM96991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALNQDNLSSNSQLASTFFEELLDSVIVDVASESHRIARLRLDRNLDEEEEELRLSAEARAKATDPSNSCEANSKYVVDIFGQTHPSVANEIFDCMNCGRSIMAGRFAPHLEKCMGKGRKARPKSTRSTTAAQNRQTRSNQGSTSSSYSNTASLNRLSNGSPNVGGNEFSNGIAEGL >KZM96912 pep chromosome:ASM162521v1:4:8652257:8655259:1 gene:DCAR_015726 transcript:KZM96912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLNCLVVLAFLVFVPYSCAARISLRKRVQVEKHLRRLNKVPHESIKLGPNYHPEGLLDDKKVNSFKSGEGLKPIKQLWHSNGKCAEGTIPVRRTKEEDVLRASSIKNYGKKKKNLNIRRPNSAEPQPDIVTQNGHQHAIAYVDEDKYFGAKATMNVWEPKIQQSNEFSLSQIWVLGGSFDGDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYEATGCYNLLCSGFIQINNQIAMGASIFPVSSYHGSQFDITILLWKDPNEGNWWMQFGNSNQVIGYWPASLFSNLADGATLIEWGGEVVNSASDGQHTTTQMGSGHFPGEGFGKASYFRNIQVVDGSNQLRVPKDVKTFTEQSSCYDVQTGKNGQWGTFFYYGGPGRNPKCP >KZM99361 pep chromosome:ASM162521v1:4:31446647:31447918:-1 gene:DCAR_013277 transcript:KZM99361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTELVKQGNENSRSSVPDSSVIIDVKAEAGTEIANSTTRKDLYKNDECRSSQSLEGRSREEGEKMSSGSSKSSSGESSKGDELKMCRICHLANWENSNSEILIQLGCECKNELGSSHLSCAQTWFKLKGNRLCEICGKNALNVSGVEETYANANNANNTNVILVVEWDEMGRFRSTTATATRGSPVIQDRERCHRHCRNVVLACLVLAFIVPWLFRIDLFG >KZM99064 pep chromosome:ASM162521v1:4:29128513:29137239:-1 gene:DCAR_013574 transcript:KZM99064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKNARVSEDIAGDDEHQQQNHEDLAVESSEQSLYGVLGVERTATQQEIKRAYHKLALRLHPDKNLGDEEAKEKFQQLQKVMSILGDEEKRAIYDQTGCVDDAELAEDVVRDLSEFFRTMYKKVTEADIDEFEANYRGSDSEKKDLIDMYKKCKGNMKRLFCFMICSDPKLDSHRFKDILDERIAAGEIKSTKAYEKWATQVSATKPPTTPPKRKGNRAKKDSDDLYAIIAQRQSERKGQINSMFSSLISKYGGDPDHMAEPSEEEFEAAKAKVETENGDAVKSKMEDYQVIEQIGRGAFGAAYLVLHKLEKKKYVLKKIRVAKQSEKFKETAHQEMDLISRLNHPYVVEYKDAWVEKESSVCIVTGYCDGGDMAEIVRKARGAYFPEEKLCKWLTQVLLALDYLHSNRVLHRDLKCSNIFLTKDGDIRLGDFGLAKLLQSEELASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEMAAHQPPFRAPDMSGLINKINRSSFSPLPIVYSSTLKQIIKSMLRKSPEHRPTAAELLRHPHLQPYLLRCRNPSSVFLPVKSPNRIKEKVMKKSPAKKPSCSKEIIERDVRVLNDMKPLPLFEENNDMQLGNSANSDNLETKRVDPTSYSAKVSVNSEDSRSVETSFGTTGCTGDEQESSQSLTPKASTEAQNSKASTSSQHEEQKEYTNGNNQQYQESGSERERTTEIEKQKEHTNGKNQHHQESGSESEITAEIEALLDKHLEMDPKRESLISNHSRMTMSSTGYTDKGVSIDEENSTHVFEADVEERCYVENSVEVNLSSESNRSNNGVEAKSDDSSNLGERQKADIEIIQEQVPPSDTSLQSTVSAVGGDDSKTEWTNPTQQRVDALESLLELCARLLKQNKLDELAGVLKPFGEEAVSSRETAIWLTKSLMTAQKINKEA >KZM99385 pep chromosome:ASM162521v1:4:31732095:31734517:-1 gene:DCAR_013253 transcript:KZM99385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPYSDPPKSDSTATNPAWQDMFRSASIRKPTDVTPPPPPQPPASPPEEPSISPPDQSPLGFGNDSQVKLALYIAMAHAGLAFIILILYGICKLLEEYLRPIQWAVLCSIPLRGIQQTLVSFWSEPLKLGLTETVLAVPVSVFRVFVGTLVDIRDACFRVVLRKKKVDVHRRKRSGFSIVLRWLVSFWLFVLAYEQLGGFGAFVLLLFGFMFTASSVESTMSTVSSFRSHSFRRSSISAFFTRGILKRLETIVAIGLIVAMIVGFLTGVIFFSYKVGIEGRDAVFSLKSHVEKSNYAEKIGVKKWMDDNDVPGMVDKYTMQFYETVSEQVDSLAMQYNLTEFVTGIKHFVITQTVDSSSKSTALMKPSPYTEKLLSLKKRFKDREWGEIYTEADAIFRELRVSREDLVKKAKEFAFQGLDVMQGVFSSGKSVIGGSAKIMFIIGDSIVSGAAGIFNFVSQTIIFFWVLYYLITSKSGGVTEQVICMIPISPSARSRCVEVLDKAISSALLATAEIAVFEGCLTWLLFRLYSIHFLYMSTVLAFISPLLPIFPYWLSTIPAALQLVLEGRYVLALSLSIIHLILIEYGCLEIQEDIPGYSAYLTGLSIIGGMTLFPSAVEGAIMGPLITTVVLALKDLYAEFVLGEKGNGR >KZM96906 pep chromosome:ASM162521v1:4:8608829:8612793:1 gene:DCAR_015732 transcript:KZM96906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFLFVHHIHGLQISYFMTLLISVKYRETIYSRVQCSATVTTVLDTPSLEAHSNSVAANRSWSESTSNAVLDLEKLHLASLEVHSNSVATDRPWTHISDMDPPSEATLPMENLLTSEEAVIAAAASEAVALARAAAKSAKDAAMINSHKNSTKIDVKPTALTYEAVDSLSERPELTQLGVAGVSQISQSEGRSDVEEPTVEELQILQEELGKSIAVRSSRQPERKARRARAAEKASATVVSVKSGSMSKKKRASQEIDHSDPLRYLRQTTSSSKLLTATEEQELSEGIQALLRLEKIYKELSERYGGEPSFIQWASAAGVDQITLRRQINYGKFCKDKMIKSNVRLVISIAKNYLKSGMNLQDLVQEGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKFLSDQSRTIRLPIYMVEAGYRVKEARQNLYNKNGRQASHEELAEATGLTMSRLSNVLLAPKPPRSLDQKIGFDLDLKPSDVIADPEAETSEELLIKELMRQDLNKVLDTLKPREKQVVRWRFGLDDGRMKTLQEIGEIMGVSRERIRQIELCAFRKLKNKRRTKSLQQYIIG >KZM97356 pep chromosome:ASM162521v1:4:13856358:13858499:-1 gene:DCAR_015282 transcript:KZM97356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILIVGGTGNMGKFIVETSAKLGHPTFVLVREATLTDPSKLQLIDSFKSLGVTIVHGDLFDHVSLVKAIKQVDVVISSLGHGQLADQDKLLAAIVEAGNVKRFFPSEFGQDADRLNAVEPAKSGYACKSQFRRAVEAAGVPFTYIACNFFAGFFLPNLAQTGAKAPPRDKAIILGDGTKKVVFNSEEDIATYTIKAVDDPRTLNKILYVMPPHNTLSFNDVLSLWEKKIGKTLEKIFVPEEQVLENIKESPPLISVMLSIGHSAFVNGDQTNFEIEPSFGVEASTLYPDVKYTTVDEYLNHFV >KZM99508 pep chromosome:ASM162521v1:4:32641287:32647302:1 gene:DCAR_013130 transcript:KZM99508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMLQANNATVPAREVLEALAQKFSTSPERSGKFVVQMKQVWNWFQNRRYAIRARRVPGKQNTSALSRDDSAVPKNVAQAAQLQHPQPQHPQSQHPQQQHLQPQHTQPQHPQLQQPQLQHPQPHLQPQHPQPQQHLQPQHPQPQPAPLATLRNVPEAPIHLPASAGSVADSTPTEFEAKSARDGAWYDVASFLSHRNLETGDPEVLVRFAGFGAEEDEWVDIRRHVRQRSLPCESSECVAVLPGDLVLCFQEGKEQALYFDAHVLDSQRRRHDIRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDHRLQLLHALNDSATAKQLKAGIEPRTASSLRVYPPPEVTERQLQKEEYVDVIPVVPATSNSNLVAGSDTKIQQPNGSEIEDAAPVKISDSLQGSGVQLLEAPGLITTVIQKDPITPLGKNTATEDAVDEAATNIRGNQLDAVLNDVDVHEADTNIEGHPNVTQETNDVLIKAATNIEEDINGTSENDAMFNEDVANTVEDMNGSPEDEAVLNEDAGNAEDQAVLNEDARNLEDGAVSNEDAGNVEGDTNGMLEDGTVLYEDGANIEGNTNGMVEDVVLLNENVTGTSTTADQ >KZM98045 pep chromosome:ASM162521v1:4:20629849:20631042:1 gene:DCAR_014593 transcript:KZM98045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVIKFQDLILSHPNCMIASSRLDNLSRRLGFKQFDAGRFLLKFPHVFEIFEHPVQRILYCRLTRKAINQIELENEALIAQIPDAVTRLRKLLLLSNNKRLRLEHVRIARRDLGLPDDFEFSVVLKYPEYFRLFDADETRNKYIEVVGRDPDLSVCAIERLREKEYREKGVDAENIRFSFIVNFPPGFKISKYYKIAVWKWQRLPYWSPYEDVTGYDLRSLEAQNRMEKRAVATIHEILSLTVEKKISLERIAHFRMAMDLPKKLKEFLLQHQGIFYISTRGNHGKLHTVFLREAYKKGDLIEPNGLYLARRKLADLILTSPRRAPIDSELLSYRTDRNDRARSFQEDHVETDLGPFDDQNIVEQCGQVEGNSDLDVECESDYTDEDNGSDELADT >KZM97190 pep chromosome:ASM162521v1:4:12036171:12041792:-1 gene:DCAR_015448 transcript:KZM97190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKSQQILARPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEDDKDPSIWFLDHNYHEAMFSMFKRINAKEHVIGWYSTGPKLRENDLDVHALFNDYVPNPVLVIIDVQPKELGIPTKAYCAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLREIRNYLDLVIDEKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDQMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPATVSPVAGS >KZM99058 pep chromosome:ASM162521v1:4:29089873:29091980:1 gene:DCAR_013580 transcript:KZM99058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPYSHPYPQWFRFNPPPPPHHHTYFYSSQNHPPQPPLNNYMFYKTNPPPPTPTPTPTSPPLREALPLLTLSPMRKPDQEITTAAQIPQIPSSSCSTSGLDLNMIPTYMDVDEYKKSSREARDDIDEDVDENDEQVTVALQIGLPNPSPADMAAYNMHHLLTTSNCSSSSNTNSTTSAGHLSANCCYSSNNSSHNTSSGSGGMHISKYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLKLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGHGHASYGGGFEEEDDDPASEVEQDDDDDNDQSSSLQ >KZM99905 pep chromosome:ASM162521v1:4:35743357:35744715:1 gene:DCAR_012733 transcript:KZM99905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESVKQISECFIKACDFPENWKPTIQLTPSDLGLMSIHYIQKGLLFKKPPGLLSNAQECSAFLDRLKQALSVTLFHFYPLAARLATHKTQHPHSYVVYIDCINSPGAKFVHSRVNLSVSDILSPTCVPRVVEHFFDHYKAVNHDGHTLSLLTLQVTELTDGLFIGFSQNHCVADGTSFWQFVNALSQVFQDEKIAALNPPYLERWFPDGCGPLCSLPFTHHDQFISRSYEAPELVGRIFHFSAPALARIKSKANACCKDRGVNVSTLQALSALVWRCVIRVRGLQKDDMSSCNTSVNMRSRLQPPLPPNYYGNCIQTVGAVTTAGELLENDLGWAAAQLSEAIAKHDNKAAREKLMKWVKNPSIYQIGKLVNPGLVMFGSSPRFNMYGNEFGMGKALAVLSGHDNKFDGKGTLYEGHQGGGSIDVDLCLTPHSMMALERDEEFSDALHYF >KZM97495 pep chromosome:ASM162521v1:4:15235596:15238925:-1 gene:DCAR_015143 transcript:KZM97495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMVKPAEVIQGIPQASQNSREPSKSSQQSDVEATSTKDGQVDVEDQRSACEAQDTTIKPQQKQTIMPLPSSATPSLSVQSQTQPSHPLQSWQQPMEHVSFEATAVSLSQPPQVQNFHPAIQAATHHTPTQSQQPLHISGISHMQLQPPSQPQQISPFNPAFCHQTHSQMGLNGGLQQPGAPQMHHARPMFHGHPIPTHQPTSYQLSPGMETALLQQVMSLTPQQINLLPADQRNQVLQLQMALRQ >KZM99604 pep chromosome:ASM162521v1:4:33358211:33359472:-1 gene:DCAR_013034 transcript:KZM99604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQTNSKEISSEATESSSSTVPSVTNFREGFGLEKERRVLHEQGLKIAENHENSQKNRRKLAEST >KZM96929 pep chromosome:ASM162521v1:4:8844319:8845185:1 gene:DCAR_015709 transcript:KZM96929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKHFSHPHGLTFHQNKLKSETNCSGCKLPCSENTYVCWECRFFLHQQCFDAPRSLDHPSHPIHPLNLVPYPTYQSGRFFCDSCHLPGFGFSFSCSKCEYDLHLHCSKVTAETSKSELKQIHHKSHREHVLHLLSSPSPAYEGGKFYCNACGQAGEASGYNCNICKYDLHEQCVDLPETMKRKDHEHPLSLKYSVKDLGLPANSEFTCDVCFRIVQEDFWMYYCKECDFGTHVNCVRAKARSKAEVVLEQNLAAQVELMRIQNMVNLNAAHCDIIASAYGGHRRYRY >KZM97329 pep chromosome:ASM162521v1:4:13617480:13618916:1 gene:DCAR_015309 transcript:KZM97329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRFEEIVKEVSSYLKKVGYNPDKIAFIPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVSVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKEPTGAKITKAAAKKK >KZM98848 pep chromosome:ASM162521v1:4:27353184:27358377:1 gene:DCAR_013790 transcript:KZM98848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPRETDVPALFLFFVVLPLVAYFLLGRWSEVSKKKERLSLLTHCAGDEVFTTEPLSAATLIPLVQLPKINVHECARCYAPATTRCSRCKSVRYCSGKCQIIHWRQVHKHECHQMEQNSSSSSPKAVMNEKLPQDRILLDGNKDSQCFGDELRQSRQENILDHHGYHPNSTISSEAATCMGKGTSKPYSIKRRSVDKQVSRKFKGGILLSEDRAISESSEDACRHKATSKEGRQENIFESKNGSGISILEDKTKTATSSSNLGPELIVEGRPANVQSDSSGDKEIPLDCLSETSGSSNTKANSAPHLPGSKQHRSPKSVVKPVTEHSCPDTGRKGQFADESKVGRLKDDNPEQGHNGNSSMGLRKIMGLMRSPKFDRAVATNDKQKKIKMLFPYDEFVKFFHSDFSNLSPRGFINCGNSCYANAVLQCLTFTKPLTIFLLRRSHSRHCRAKDWCLMCELEKHATMLTESGGPVSPSRLLTNMRNINCQIGDGSQEDAHEFLRKRCPFELAQKPTCANHFLEEKAELCLEGLDGENVVDTRLQETTFIHHTFGGCLRSKVKCLRCHNESERYESIMDLTLEIFGWVESLEDALTQFTSAEDLDGENMYRCGRCAAYVRARKQLSIQEAPNILTIVLKRFQEGNYGKINKRITFPDMLDMIPFMTGTDDIPPLYILYAVVVHLDTQSASFSGHYVAYVKNLQGIWFRIDDTEVQPVHMSQVMSEEAYILFYMRSCPRPAKTYTGKPIRQQAQGLSKHWSSKTQTLSGKEETRFSGKSLNAYHSSDHKPATSMCSTKGIVNSLNGDNLPFDGIHGKSRKIEFLDANSSDWSIFTSSDDASFTTESTRDSFSTVDYADGGNMDPVSSIFNTVYMSEYSSHRTVSCCSYDSSRSHKRYASVENGFVFDSSISNQSLEKSLHIGNDWRHVNAPSVRAPPYVYVGDEDNYEDATFQTSNHCKF >KZM99743 pep chromosome:ASM162521v1:4:34449621:34452510:-1 gene:DCAR_012895 transcript:KZM99743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGLALPKFGEWDVNDPTSADGFTVIFEKARDEKRTGGKTDSPPQDGSKFEHKTMLGKPQPDLSNYDSVVVRILTLAVRFAQSCLPHNVFKKTGAPVKL >KZM97916 pep chromosome:ASM162521v1:4:19412321:19414174:1 gene:DCAR_014722 transcript:KZM97916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRISNNILTILNTISFLLSIPIVVVGVWLSRQGATECEHYLEKVFLATGIFLMIISLAGMIGACCRVSWLLWLYLFVMLGLIVLLIFSTIFTLVMINKGAGQSLSGKAYKEYRLGDYSVWLQKRVNNDDENWRRIKSCLQYSKVCERMGTDEASSGRADDLFYSKRLSAIQSGCCKPSDSCNFTYVSPTYWNKTTKALPNTDCALWGNDPNVLCFNCDSCKAGFLDNLKNRWKRVAVSNVVYLVCLIIVYSIGCCALRNNKRDNSWRQ >KZM98523 pep chromosome:ASM162521v1:4:24707563:24715215:-1 gene:DCAR_014115 transcript:KZM98523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNKPTTMTPKPNCNANSLAAADTFSTNPSLHCRRKLRRRGSFADQLLRGRRNLVRYLLLFPLLYISALITCVGPISALFRTPTLPGSLYKSHQIFDKLLHQIQEDNSSAIQLSAVWKYKRKVKEQKRCVNTTARRQLGMLEPDRYLIVDANGGLNQQRSSIIHSRDMQCSRCRWTTSVFSDIYDEEHFVNTLKGHVKVIRELPQEFMEKYNNISNITFLKVPAWASANYYLEEVQPILENNRVIRIAPFANRLAMNLPPQIQTLRCLANYEALRFSVPILELAKQLVNRMTEKSSISGGNFVSVHLRFEEDMVAFSCCVYEGGVAERLKMDSIREKGWGDKFKRKDRVIEPGLNRINGKCPMTPLECVNLLIQVFEYIQVGMMLRGMGFTNNTPIYLASGKLYQAERNLAPLRKMFPLVETKESLAKPDELASFQGYSSRLAALDYMVCLYSEVFVTTQGGNFPHFLMGHRRFLYNGHAKTIKPDKTKLALLLHNTSISWSTFKDEMKLMLAESDRKGIMVPRPRKSNRRNSLYSNPLPECRCLWEAQNSTLKLTHNVLIQDH >KZM97930 pep chromosome:ASM162521v1:4:19532802:19533398:-1 gene:DCAR_014708 transcript:KZM97930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSFLLLLILCTSPFPPHISALSSSSPSTSPPKITPLQSNNDTVYKISKQLCWNCVGEAFQFLFAHNIVRAAKWEWPLTWDNELEKYAKWWADQRQGDCELRHSFPEYEFKLGENIYWGSGSDWKPSDAVRAWAEEEKYYEYATNSCAEGQQCGHYTQIVWKTTRRVGCARVVCDSGDVFMTCNYDPVGNYVGVRPY >KZM98713 pep chromosome:ASM162521v1:4:26345719:26348056:-1 gene:DCAR_013925 transcript:KZM98713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDRPSSAFDSPYWTTNSGAPVWNNDSSLTAGPRGPVLLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIARFSTVVHERGSPETIRDPRGFAVKFYTREGVYDMVGNNMPVFFIRDGMKFPDMVHAFKPNPKSHIQETWRIMDFFSHHPEGLHMFTFLFDDIGIPQDYRHMEGFGVHTFTLLNKAGKASLVKFHWKPTCGVKCLSPAEAIKIGGANHSHATKDLYDSIAAGKFPEWKLFIQIMDPDHQDKFDFDPLDVTKTWPEDIMPLQPVGRLVLNRNIDNFFQENEQLAFSPAIVVPGIYYSDDKLLQSRLFSYSDTQRYRLGPNYLQLPVNAPKSLHHNNHHDGIMNFMFRDEEVNYFPSRYVPVHNAEKYPIPHSAVKGRREKCVIDKENNFTQAGERYRSFSPDRQERFIGVAVDFLSDPRITHEIRSIWISFWSQVDKSLGQKVASGLNVKPSI >KZM97016 pep chromosome:ASM162521v1:4:9815707:9818964:1 gene:DCAR_015622 transcript:KZM97016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSLKLLVDTSTQKVIFAEAGKDFVDFLFGLLEIPLGSLLSHLARQGVYESWALSKVYQSVNELDKEYLQPDQTKNILLNPIMSSSRTKGAPLMQQLGYRKSQSSSSSSYNSSVGKEVDGYVKGSVTYMISDDLAVKPVSSISSISLINSLGVKDIATLEEKIVDIDMQKVFLILTSNIKNCKMAPLSLKLVIDNSTEKVICAEAEKDFVDFLFGLLRTPLGSLIRDLAKEGMCQSWSLSKVYLSVTKLGDEYLQTEQTKQTLLNPNMPPSNTKGAPPFSTSSSSFGTTPGSFGTIPPNLFESAFGSGSGSFGCGSGSFGSSPSSFGSGSGSFGSSPSSFGPSPSSFGTSPGSFDFSGLFRAPRNDDRKEVNGYVKGSVTYMISDDLTVKPKPGISCVRFLKSLGVKDMDTVEEKIVKIDAKKALQLVKTSFESSTVLTDVFVGKTGKKCDTDE >KZM97751 pep chromosome:ASM162521v1:4:17514701:17517250:-1 gene:DCAR_014887 transcript:KZM97751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSSVKKMCEFCRTVKRRGRVYVLCTANPKHKQRQGMSTFAYEAPLPPVASSAETSIKQETSIVHNFSAGLPSLIRNEPSTVFGWRTSLASLLFGQGNK >KZM98365 pep chromosome:ASM162521v1:4:23301004:23302128:1 gene:DCAR_014273 transcript:KZM98365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTNSVNGFYSFLTRGIDDLERVFLSSNFMSIQFLQRALSLLRSFHSQLILLVQKLHLPGGEKWLDEYMDESSKLWEVCHVLKSGITNMENYYSSGFNITSSFDNHHLFAPQLSRQVIRAISGCKREAVGLAEENRSLMETRIEPLSLRFDERVSIESKLNGFSGFRGVLFAMRNVSSVLLMILLHGLVYSWPGSNFLQGGYDGCLFFGSAFMISTGRLQQRVVAEINQMNSRPGILLYEFRRSKMAMDELRGELDRRCAQGVVVDWESEDGMRERVENFKCCFGVLRSGADNIIGQLDDFFDEIVEGRKQLLDFCSHR >KZM98155 pep chromosome:ASM162521v1:4:21527954:21530569:-1 gene:DCAR_014483 transcript:KZM98155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASVQDQHLRKCWRIGKKNAVPGLVTAPNRMGTLKKNPEVIVRGFHQVSGCGFYNLCSEDEAVEHLLTELVPEYIDAVRNVLQFDTFGLYNCFGSGPLPLPDNRHPESAADI >KZM99436 pep chromosome:ASM162521v1:4:32015185:32028502:1 gene:DCAR_013202 transcript:KZM99436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDEEEERVPRCVTDYYFEDKQSEPISFAELPLQWRDGESIGGKIESIFLRGKVDNGIRIIHAPATAWKFDLSDSKLKIFVLSKDSWVKLQSPKKSYAENFIRTILITLHVLHYCRNHSRSSFNKALFDHLSQVYRYGRRPSKGDLLNHMDIVREAVKNDKVLARSKLVITLLDEKNEKAKEIKASAEVTFKYMISLSCEGECLRSFHPTAEDNCKSLGLASDEVKDICNYICNNCKYKRHQCFICGKLGSSDKDSAVTEVFRCVSGECGRFYHPLCVSQLLHPGSEDEAQMLKERIANGASFTCPIHRCSVCNQGEIERDDQMRFAMCRRCPKSYHRKCLPRDHEIDMSLGTPIRNHVKFPAMKKKNQASKGLLSGEKAELNKKTPARATNACREKSSVKPPIQTNKKPPATNLCTHKRSICLPERPDSSKKHKTRDIKSCTEGLPTLGAESEKRISALIKDASSLITIEDIYTKHKRLTTNANSSQNAIDKITLGKVQGSALHAALQKLDEGCSIEDAKAVCAPGLLRQMTQWKTKLRIYMEPILLGTHYTSHGRHFTKCDKLQKIVDILHSYIQEDDMIVDFGCGANEFSCLVKKKLDDVGKKCSYKNYDIIYPKHDFHFEKRNWMSVHPSELLPGSQLIIGLSPPFGVNASRANQFINKALEFKPKLLVLIVPSETERLDRKTPPYDLLFEDNELLAEKAFYLPGSVDVDGEQMDDWNRPTPSLYFWSHPDWTAKHMAIAQLQGHLCRGPKVSCAELCEDIPKTSTPSRESDHTADVCDDGTRTPRQSDEFCADKIKTSTPRQSEAIRRLDLLGFAPGPYRPQQNSSAGWLDE >KZM98724 pep chromosome:ASM162521v1:4:26418176:26420271:1 gene:DCAR_013914 transcript:KZM98724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTKKCPANYVPLTPISFLKRAAIVYRDRVSIVYGENVKFTWSQTLQRCTQLASALSLLGVSRGDVVAGLGPNTPAMIELHFAVPMAGAVLSTLNTRLDADTIAALLKQSGAKVIFVDYQFLDFAKGVLDTLSKTATQLPHLVAIYDTYKPSNKPVTSEYESLLATGSVDFELRLPRDECDAISISYTSGTTATPKGVVYSHRGAYLNALAATILTEMSAMPVYLWSVPMFHCNGWCLAWGVAALGGTNVCLRDATAKVIFDSIVTHRVTDMGGAPAVLNMIANAPSEERKAFPGKVRVLTGGAPPPPQVILKIEELGFLVTHAYGQTETYGAATVCYWKPEWNAMPPEAGARMKSRQGVQHTGLEEVDVKDPDTMKSVPADGKTLGEVMYRGNTVMNGYFKNREATEDAFKDGWFRSGDLGVKHEDGYIEIKDRSQDIIISGGENISTIEVEDVLFSHPAVFEAAIVGRPDTLWGETPCAFVKLKDGCDVSDDEIISYCRSRLPQYMAPRTVVFEDLPKTSTGKTHKYVLRQKARAMGNLATSIPSRL >KZM96868 pep chromosome:ASM162521v1:4:7987575:7990368:-1 gene:DCAR_015770 transcript:KZM96868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNVLAIDDDRTCLYILKACLEKWKYQVTLVKDAHEALSMLRNKSFDLVITDVHMPDMNGLELQDRINQDFSLPVILISADSRAEVMCMGINNGAQRFFVKPIVADNLKDIWQFVEWWKRNRNHNTAPGTQINGSSEERAVPANILQVMNVEGLTRDQVASHLQKYQKFLERVLVGEKNIEFSNWTDLNYYSSFVMGNPNLVLLNQLRDEQRKGNLAAQNPLRPQKEGNLNIAARAPNGSLSSFQRLPKLILREESSSRTIYETFLQKTYGSANLASSELGNAPSPKPRNKHRDPSMDISLCETQFGNTSAMNTHELGGEKLTDGRRFNNLEREDGNDYWLNIGNDEDEGEDKDLYPERDL >KZM97591 pep chromosome:ASM162521v1:4:16067002:16069147:-1 gene:DCAR_015047 transcript:KZM97591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKRERSLSELTPENKCEGKNSGCGKKQAYDGRVFRALFKRQSSIKAAAGKICTRNRLIYLTMEYERIQNFSFTQTSLISPTKLRMKPMGHQRKIDDSDIKSARTHPQLQDIEFVENSLLASNVDYDEQGNNTICSGVAYCKISMAYMFSLWLLWEYF >KZM97505 pep chromosome:ASM162521v1:4:15335267:15338750:-1 gene:DCAR_015133 transcript:KZM97505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLNYLSSVVFRMCKALLPGDDDSMSDEAIWETLPHFWVAISMVFFVAATVFTLLKLNGDVGALGWWDLFINFGIAQCFAFLVCTKWSNPMIHRASYTQEASSSSSAIRYLDWNSGLVVLPDDQSQDGICTLPDIGGHIMKIPIIVFQVLLCMHLEGQPAGARDIPLPALFSPLFLLQGAGLLFATSRFVERLVLLLRGGTATGRYFVFSSKVQECFGFLNHGSRLLGWWSIDEGSREEHARLFQNGASGYDTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRVCFEGEISVVLIPCRHRILCSNCSERCKKCPICRIVIEERLPVYDV >KZM98948 pep chromosome:ASM162521v1:4:28233641:28237190:-1 gene:DCAR_013690 transcript:KZM98948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLLSLSLPKPSIIRATAITTPTSTTITTPATAESLEQKFGRKGIKFLDSGDVELTVRNGSSLKLQIPNAHVTSYKPKVYWKDDGFEEILYTLPPKPNSSGSAKGGIGLVLNNVPAAAEPKPKISSSVSPVDTSQWIVKDVDSDSIDAVQIALLAYIFSLAYPPVSKTSLGTVLCCYICEVDDVAISEAMKTEDPGMFSFGYEPEQKPGLWTVQDVPITILRNKLSRVYTAPPAERLKPFYNTPPSKYETIDQGKELFYRVIRMGFDDIYVSSPGSISEKFGKDYFICTGPASMLVPVVVNPGEEWRGAQIKTSQSISMSIAYSSFFSPTFTALPSTKPIAKLNPLHPYSPATIRWNNPRRDFQLPSVASIPYPPINVDYLETEFNGHGVSFASIGDGCVVKMKLDNGSLASIMLPSGLITSYKAPMWHEGLLELLHTVVSEAEDGGGVIQGGVSLAFKCESQEGVSWSPSNWALYGVTGSPEEFIQVELISSNRERMVEIKHIVTLRQDVLSSELIITNSNTTGIRLMGSIVSHLAVSTPEATYAMGLERSNFFIRPPILSNYSIVPPDFGKTKKTASGIWQIFSGWGSKNLEIVNDAEKMSYESREELEGEEDDNNKQLTEEMSRIYTCAPTNFTVLDRGRRNSVVVAREGFNELYIFSPGSNHNSYSKYAYICLGQAALLKPITLAPESEWRAAQHLHNPNM >KZM99275 pep chromosome:ASM162521v1:4:30726578:30728570:-1 gene:DCAR_013363 transcript:KZM99275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYRGKYADELIANAAYIGTPGKGILAADESTGTIGKRLSSINVENVENNRRALRELLFCTPGCLQYLSGVILFEETLYQSTAAGKPFVDVLKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLGARCAKYYEAGARFAKWRAVLKIGPNEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGPHDINKCADVTERVLAACYKALNDHKVLLEGTLLKPNMVTPGSDAEKVTPEVIAEYTVRALQRTMPPAVPAVVFLSGGQSEEQATVNLNAMNKLQTKKPWSLSFSFGRALQQSTLKAWGGKEENVKAAQEAFLTRCKANSEATLGKYQGSSTLVEGASESLHVKDYKY >KZM99402 pep chromosome:ASM162521v1:4:31813335:31814432:1 gene:DCAR_013236 transcript:KZM99402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKFNDLPEDLLMTIFLLHPVKTLALLRTVCTLWARIITSKSFVQLFLSQQRTSSNTNKHFLLQNASKSTGLMDIETRRYQKIFGENYECYGVCDGLFCLSSTKDHLEAHSKILLWTPIFRGKLPPLRISLSTDEWEVLEYDNLVSSTFNRLCSKARLVDGSAYIVKDSKKSERGILSFDLSSETFRETKLPADLWYSDDFIMEEYMESLALIGNVMKENKLHVVMWVLRVSDDNSFSWDEIKLGISLDIYQVMGFVKKDELVMGRSSTFLYNIVNKSQQWCRLDDRLGHHSEIRRYMMKPIYSPIRQKVASTTSYYNKYNVLADSDEDL >KZM96914 pep chromosome:ASM162521v1:4:8665696:8666151:-1 gene:DCAR_015724 transcript:KZM96914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTLLLVLIFLLSPTSEAAITCSDVIGNLRPCISYLTSGSGKPPSPCCAGVSTLSTSATTTADKQTACNCIKNASKNLNVNTALAQALPSNCGVSLPFTVSPTVDCSKIT >KZM97532 pep chromosome:ASM162521v1:4:15588676:15590268:1 gene:DCAR_015106 transcript:KZM97532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYISSSTLFLLLLISQNILFYQIADAGKRRVHITNDLDDVVDNEEDEAWKEWGKKKSSPDADLPDIDFSQQTDFTKMQTEMMKYQTGPAFGFVKLRLGQRRKPDMVSEIALKWTKLSKTGTLDVKFMGVDLSTIMFTLEKGQDSFELKDFILNQPDAYEVKIGDQIFRRPGDAPFDVEFQKHHGDEDKVDYTRSAKNDEQQTDEL >KZM99576 pep chromosome:ASM162521v1:4:33148054:33150679:1 gene:DCAR_013062 transcript:KZM99576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFVSFSRVKMAASSGGEMMGYEEGALERPKWSGETPLSRLVRALISFKPLYSLLKLGARQVLISTAEKKNIPWREMTKEILDSDVYKLMDDIQDTSLVYPDYYLNPFHAYDEGNLSWLAAAEAEAATMSMVRRAIPDASSLDEANQIGLDLSPYFLAVAKFKELKSSPRKNPISWKHAKGEDTSLPSQSFDIVSISYVPKSKILQELSPVLFTLMKSTEPFLDEYYLTDLEATIKEAGFVNVQTILTDPRHRTVTATVPR >KZM96871 pep chromosome:ASM162521v1:4:8084339:8088507:-1 gene:DCAR_015767 transcript:KZM96871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPGLLTDWPWKKLGGFKLVLFATQEKAERDVTNFLVLPFLLSRMIHAQFWTLLSRRKTANMRNRITDKEIPFDQFVREMDWDDHIVMNGLVLYAGGWILPGASKLSIYRSDGIIITILLHAGPVEFVYYWLHRALHHHYLYSRYHSHHHSSIVTEPISSVIHPFAEHLVYFLLFGAPVTATVLNGTASVVSIFGYITFIDLMNYVGHCNFEFIPPRVFSIFPPFKFIFYTPSYHSLHHTQFRTNYALFMPLYDYIYGTMDECSDALFEASARRKEVIPDVVHPAIIQMDHILKDAFDGLVLFRMASNPGLLTDWPWKKLGGFKYGILAPLVAHSLYSFATQEKAERDVTNFLVLPFLLSRMIHAQLWTLLSRRKTAIARNRITDKEIQFDQFVREMNWDDQIVMNGLVLYAGGWILPGASKLSIYRSDGIIITILLHAGPVEFVYYWLHRALHHHYLYSRYHSHHHSSIVTQPISSVIHPFAEHLVYFLLFGAPVTATVLNGTASVVSIFGYITFIDLMNYMGHCNFEFIPPRIFSIFPPFKYIFYTPSYHSLHHTQFQTNYALFMPLYDYIYGTMDEGSDALFEASARRKEVTPDVVHPTIL >KZM97094 pep chromosome:ASM162521v1:4:10729195:10730292:-1 gene:DCAR_015544 transcript:KZM97094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKRAFVLLAILLVFVSVECATAQGQGSGKDPANKYDVKKPLPGTTQVRAVCKTKASACFNTTLTCPSQCPDRKPKDKKGKGCFINCSSKCEATCKNRKPKCDGFGSLCYDPRFVGGDGVMFYFHGAKGSDFAVVSDNNLQINAHFIGSRPTGRTRDYTWVQALSVMFDSHTLVLAAKRVTNWDDKVESLTVKWDGEDVSIPTDGEAEWRINGEQREVVIERTDETNSVKVIVDGLVEIDLKVTPIGEKENKIHNYQLPSDDAFAHLETQFRFTNLSELVEGILGKTYRAGYVSPVKRGVPMPMMGGEDKYQTPSLYSPVCKVCRFQRDSSVATL >KZM97606 pep chromosome:ASM162521v1:4:16175082:16176655:-1 gene:DCAR_015032 transcript:KZM97606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNYGFYLWFIVILLPALALHYYRRKSGAARLPPGPRGWPLFGNMFDLGKMPHRTLAGLAKQYGPVVWLRFGTVNSMVILNAAAATELFKNHDHTFAERTITHTMKSHDYHKGSLALAPYGSYWRVLRRVCTVEMLVSKRLNETEPIRQRCIGNLMNWIGNEAWREIEVAKFVFLGSFNMLGNLMLSRDLVDPQSKAGSEFFDAMMGLMEWSGHANISDVFPCLRRFDLQGLRRNMDRDIGKALAIAAEFFKERAQEKEQQTGGNRTTDFLDVLIEFRGKGKDEPPRLQERDINIFILEIFLAGSETTSSTTEWALTELLRNPEKMTKVKAELANVIGKERRFEESDIDNLQYLQAVVKETLRLHPPIPLLVPRKAMKDTVFMGYHIPKNTQVFVNAWAIGRDPQCWNDPLSFEPERFLGSKIDYKGQHHELIPFGAGRRMCAGVPLAHKMLHLILGSLLHEFDWHYESPETMDMDDRIGITVRKFVPLRAVPKKARL >KZM98034 pep chromosome:ASM162521v1:4:20535428:20537920:-1 gene:DCAR_014604 transcript:KZM98034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDWVYNLSSHSGAESNRKRSRKRGGVDRNNSSTTTRSPSGCMNAVFQLFDFNHYFNFPFNSSASSSSTTIDHHPPPLQGVVAPRNSLEMEDTFNIKAAVLSSSSSVNNPQSLQLPMGGIQIKTRVSTDQDLSSSSESAYTSGSPAGTKTPTLVARLMGLDLLPETSSPRPSSSSSTSNLSSSKSHLVYSKNKRRLPRNITNEANTGTRSLPETPRTSASSARRSDVDQRFSLQSNKENSQLSGHEFEFSKFLTAKINAAAGRQGEENMSPGHYAKQIVKQFKESVSRRVGRDITNTVENRSDQRRDQHVVLLKSKKPTSSSHDQKNSCSPKLKLSDMKSKSMSSTHSPKLCPLPLSPFADNQFESAKGPSSVKAQPVVVVEEKRIQQNVGKKVSNDQKYNSRLLVPQSSDLIRTKQEESFVKSSTSSRPKPSRHIRIPDQKCSRSTPLANVPTILTIKRATRLPHQQEGQRQQHKSSESQTKNSSRNGPPSPSCLSQISKCKQKGPKPTVPHLVTPCTNSSATTTGDHLRQYISKILERTGIHDSPAAVSITNWYSPSHPLDPSIFHHLRLSETSTLSQCQLVFDVADEILAGILRPYLNLKPWNNYQKGKIVMCLEYPLSGSQLINTVCGRIRSLPSADCRVLEDIDGLIGTDLSGSRERDAYEEEFGDGIVGDVEGDILDGLMHETLHILLVSVRLKLE >KZM99850 pep chromosome:ASM162521v1:4:35345986:35347008:1 gene:DCAR_012788 transcript:KZM99850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTRDGVYTAKPQKVVILWDLDNKPPRGPPYNAAISLKTLAQRFGEVVDFSAYANRHAFVHLPQWVINERRERKNRDLLEKKGVIRPSEMYVCGVCGRKCKTNLDLKKHFKNLHERERQKKLNRMKGLKGKKRQKFKERHISGNVKYMEAAKTLITPKSGYGLAGELRRAGVYVKTVEDKPQAADWAVKRQMLHSMSRGVDWIVLVSDDSDFREMLRKAREGNLGTVVVGDVDRALGSIADLWVSWVGVQNGEVSDDDLVPRRRSEGEVVDEGTGGGGFPGERDLDSVLDELVGVRSKFNELSFEEEESAGFNFDNDSEEEDDDFLWDSEDDELERDYR >KZM97287 pep chromosome:ASM162521v1:4:13160487:13174558:-1 gene:DCAR_015351 transcript:KZM97287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRFSQSVTLVSNHLDQCSVSVSEEVEERNGGDLEVVGGGFGDRSVVTVTSMAYLPQTSVLCEMRHEAFEVGVPAGPSNTGLVSKWRPKDRMKTGCVALVLCLNITVDPPDVIKISPCARMECWIDPFSMAPQKALETIGRTLSYQYERWQAKARYKIQLDPTVEEIKKLCNTCRKYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISELDSWLKTPSIYVFDCSAAGMIVNAFIELQDWTPTSPSAGTPARDCILLAACEAHETLPQSVEFPADIFTSCLTTPIKMALRWFCTRSLLHESLDYSLIDRIPGRQTDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPALPATHQHHMWDAWDMAAEICLSQLPGLVEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRVHALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKVDLVKDGGHTYFIRFLDCLEAFPEQRAMAAFVLAVIVDGHRRGQEACTEAGLIHVCLNHLQGPTSNDAPADPLYLQWLCICLGKLWEDFTEAQVIGLQADAPAIFANLLSEPQPEVRAASIFALGTLLNVGFDSARDEEGDEDDDEKVKAEISIVKSLLNVVSDGSPLVRTEIAVALARFAFGHKKHLKSVAAAMWKPQSNSVLSSLPSFAIKGTVSGHTTPNQYSHIGPVMRVGGDSQSASRDGRASTSSPLASSGIMSGSPVSDDSSLHSDSGIMNNCNGVGNHMRSKHLDNALYSQCVSAMCTLAKDPSPRIAGLGRRVLSIIGIEQVVAKSVKSAASSSRPSESITSPINSLAGLARSSSWFDMNAGHLPLTFSTPPVSPPRPSYLTTGMRRVYSLEFRPHLMSSPDSGLADPLLGSGGAPGASDRSFLPQSTIYNWSCIHFSKPLLSAAEDSEEMTAKRDEREKHALDHISKCQHTSVNKLNNPIATWDTKVESGIKTALLQPFSPIVVAADDSERIRVWNYEDATILNSFSNHEFPDKGISKLCLINELDNSLLLAASSDGSIRIWKDYSVRSKQKLVTAFSSIPGHKPSVRCVNAVVDWQQQSGYMYASGEVSSSMVWDLDKEQLVNTIPLDAECSVSALCASQIHSGQFAAGFVDGSVRIFDIRTPEMLVCSTQLHTQRVVEIGFQPGLDPAKIVSASQAGDIQFLDVRRHNDTYLTIDAHRGSLTSLAVHRHAPLIASGSARQLIKVFNMEGEQLGTIRYSPTFMAQKIGSVNCLTFHPYQLLLAAGAADRCLCFNLC >KZM97449 pep chromosome:ASM162521v1:4:14772452:14784547:-1 gene:DCAR_015189 transcript:KZM97449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAHFSIQRNGTLLLSSSSFFHNSINFGLTHLKNPNFFRPKGLSYSSRGALYCSCSASNGLEKQLSSDPFVLTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLGKKVIFITGTDEHGEKIATAAAASGSSPSEHCDVVSQAYKELWKDLDIAYDKFIRTTESKHEAIVKEFYAKVLASGDIYRADYEGLYCVNCEEYKDEKELLDNNCCPMHLKPCVSRKEDNYFFALSKYQKRLEDTLQQNPGFVQPSYRLHEVQGWIKGGLRDFSISRAAVDWGITVPNDTDQTIYVWFDALLGYISALSEDKEHSDLQSAISSGWPASLHLIGKDILRFHAVYWPAMLMSAGLDLPKMVFGHGFLTKDGMKMGKSLGNTIDPKSLVDRFDSDAVRYFFLREVELGNDGDYSEERFINIVNANLANTIGNLLNRTLGLLKKNCNSTLPVDSAVAAEGNTFKDSAEDLVAKARTEYENLAISSACEAVLEIGNAGNLYINERAPWSLLKQGGDAFEAATKDLVIVLEAMRIIAVALSPITPSLCLRVYEQLGYTEEQFNAATWNETKWGGLKSGHVMAQPRPVFARIEVQKEEEDVAQVVKKVSKKKAKIPQVQTVAEA >KZM99881 pep chromosome:ASM162521v1:4:35550259:35551335:-1 gene:DCAR_012757 transcript:KZM99881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPNLHIFLYISFFILKLSTIFATQTTTNILHQFKEAPKFYNSASCPSTTLINNSNDAHDIDDNICYENAIHVAMTLDAMYIRGSMAAILSVLQHSSCPQNVIFHFVTSISSNATLLCATIANSFPYLKFRVYNFDDDAVARLISTSIRSALDCPLNYARSYLPNILPNCVRKIVYLDSDLILVDDIAKLSETPLGEDSVLAAPEYCNANFTSYFTPTFWSNPSLSLTFANRKACYFNTGVMVIDLDRWRLGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGNIAAVDHKWNQHGLGGDNFRGLCRNLHPGRVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLKPPFSFDS >KZM98879 pep chromosome:ASM162521v1:4:27607725:27611138:1 gene:DCAR_013759 transcript:KZM98879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYQGDDGEYMDDEYEMEDVDDDMDDEFQARDNRGSDSDVDEYDAMNNKLADTTASQAKRGKDIQGIPWERLSVTREKYRQTRLEQYKNYENIPQSGEGSKKECKVTDKGDIYYEFMRNSRSVKSNILHFQLRNLVWATSKHDVYLMSHFSVIHWSSLACNKSEVLDVSGHVAPCEKHPGNLLEGFTQTQLSTLAVKDNLLVAGGFQGELICKNLDRPGISFCSRTTYDDNAITNAVDIYTTASGALHFTASNNDGGVRDFDMEKFQLSSEFHFPWPVNHTSRSPDGKLVIIVGDNTECMLVDSISGKTVAPLCGHLDFSFASAWHPDGLTFATGNQDKTCRIWDMRNLSKSVGALRGNLGAIRSIRYSSDGRFMAMAEPADFVHVFDVKSGYDKEQEIDFFGEISGMSFSPDTESLFIGVWDRTYGSLLEFGRRRDYSYLDALI >KZM97407 pep chromosome:ASM162521v1:4:14308853:14314643:-1 gene:DCAR_015231 transcript:KZM97407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYNLTLQQATGIVCAINGSFTGGKSQEIVVARGKVLDLLRPDDNGKIQTILSVEIFGAIRSLAQFRLTGAHKDYIVVGSDSGRIVILEYNKEKNVFDKIHQETFGKSGCRRIVPGQYLGIDPKGRAVMVGACEKQKLVYVLNRDTSARLTISSPLEAHKSHTIVYSIVGVDCGFDNPIFAAIELDYSEADQDSTGQAASEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAAMHKQKSMFFFLLQTEYGDVFKVTLDHDNERVSELKIKYFDTIPVTSSLCVLKLGFLFAASEFGNHGLYQFQAIGDDPDVESSSATLMETEEGFQPVFFQPRGLKNLVRIDQVESLMPIMDMKVTNLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFTNATLVLSIGETVEEVSDSGFLDTTPSLDVSLIGDDSLMQVHPTGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVVALSGGELIYFEVDMTGQLMEVEKHEMSGDIACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQVLSLQSVSSPPDSLLFLEVQASIGGEDGADHPASLFLNAGLQSGVLFRTVVDMVTGQLSDARSRFLGLRAPKLFSVAVRGRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETTIPLRYTPRKFVIQNKRKLLVIIESDQGAFAAEDREAARKECFEAAGMGENGKTETENGADDEDKDDPLSDEQYGYPKAESDKWVSCIRVLDPRTTETTCLLELQDNEAAFSICTVNFHDKEYGTLLAVGTAKSLQFWPKRSFSAGYIHIYRFLKDGKSLELLHKTQVDGVPLALSQFQGRLLAGIGPVLRLYDLGKRRLLRKCENKLFPNTINSIHTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDSVPRWLTASYHIDFDTMAGGDKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGQESLIYGTVMGSLGALLAFSSRDDVDFFNHLEMHMRQEHPPLCGRDHMAYRSAYFPVKDVVDGDLCEQFPTLPMDLQRKIADELDRTPGEILKKLEEIRNKIV >KZM97780 pep chromosome:ASM162521v1:4:17734943:17738443:-1 gene:DCAR_014858 transcript:KZM97780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAEVTKHKSRREDVEKREESKNSHRDRNGDKHRERDRDKSRDRKEKERRRAGSPDDERENSVEEKYKVREKHKDRERDKGRDSKEKERRRGSPEKERERSVDDKYKEREKHKDRHREKDRENEKEKERIREEKEKAKREKERAREREREDREIERERVIREKEREREKRESDREEREREREKERREREREKERREKEREKERRERERDEGDRKRKGKHRDVEHSDGDDGRDREGKRRRRDDDYKDRDKERERSKSSRHRDGSVGSSPRKRSDDVPMDKADEKSREDVIEEEQRKLDEEMEKRRRRVQEWQELKRKTEESEREKLGVNVDVDKQKSGKTWTLEGESDDEEAGLDDKPEKGVEMDEDVKPMDDTGEDMKVDAETDVAAPPSSNGNDNADEDEEIDPLDAFMNQMVLPEVEKLTGASVADDNSSMKKDKDLHPNTKQPRKGPNKSMGRIIPGEDSDSGYSDADEEDMPVEDDDEDDDEFIKRVKKTKAEKLSIVDHSKIDYIPFRKNFYIEVKEISRMTAEEVTAYRTQLELKIHGKDVPKPIKTWHQTGLSTKILDTIKKLNYERPMSIQAQALPIIMSGRDCIGVAKTGSGKTLAFVLPMLRHIKDQPPLMSGDGPIGLIMAPTRELVQQIHGDIKKFAKVVGISCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDITQLVEMRSESDRFLRLLELLGEWYEKGKILIFVQSQDKCDSLFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCSLLIATSIAARGLDVKELELVINYDVPNHYEDYVHRVGRTGRAGRKGAAITFISEEDARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGTEQAHGTGYGGSGFKFNEEEDEVRKAAKKAQAKEYGFEEDKSDSDDDNDGVRKSSLPTPGPLHNGLPIPVGPNIVLPGAIPAGPSTLLPTAADGAARAAALAAAINLQHNLAKIQADALPEHYEAELEINDFPQNARWKVTHKETLGPISEWYGAAITTRGQHFPHGKNPGPGERKLYLFIEGPSEQSVKKAKAELKRTLEDITSQASSLPGSAQPGRYSLV >KZM97115 pep chromosome:ASM162521v1:4:10974930:10977215:-1 gene:DCAR_015523 transcript:KZM97115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGISEELIKKACELSMKAHECCDESNQYIYKECQWSLPAAALFSFAGVWSVRDWFDESSCYGETDIDLDLFPSLKSIGNQEPALVNQAFLCRFRAILENSSLSVEVDETIREGKQVVFAGHSSGGPMAIFATLWFLEKYTNTECSAAPRCVTFGCPLVGNHIFPHAINRENWSQYFIHFVTKYDIVPRIMFAPCNAFEGGLQQVLDFSNPRSIFYKHTSLITSREASSFVENVLTNLSSVASNVACNLMGCTNLLVQNFSSFVDLSPYRPFGTFIFCTRNRKMVVVRNSNAVLQFLSHSSQLQSRDEGTNFAGQILEESLLYNDILQHSAETDRVITYLDSLSAEALKTGGRALDDLGFSLRAKLCLLAAEDYEQQKLRREEKIDKESIKEKHNWLQEYRKDCELRKGGYYFATKTKADEQDLIAGVVGLQLGAMWDEIVEMIKMYQLPDEFEAKKEWIELGAKDRQLVEPIAIAAYYVRGLNDNTGPFMLNGRSSVFKLTQRWSDHRKKLPIESITESCFWAEVEELMLLCKKSSYEDLKERILRLENQILHWNEAKVLQEDVFWEKSILRQWWNLENTSRPT >KZM98315 pep chromosome:ASM162521v1:4:22847486:22848925:-1 gene:DCAR_014323 transcript:KZM98315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLKLRSLSNHSPRWRRLSSAVSGDHRYPRSHLQTSPHTSQTAKPNSSTHQNPKYQTPQTHSSNSSNSVSEIFDESSVLESLSGYNNDYKRALEFFNWLETGSKFKHTTKTFNKMIDILGKYFEFDIAWELIDKMSKTNNVDSLPDHVTFRVMFKRYVSARLVKEAFNTYSRLDEFGLKDKTSFRNLVDALCEYKHVTEAEELCLGKRDEGIGEVFFDGKDTRIFNIILRGYFKLSWWRKCREFWEEMDKRGVEKDLFSYSIYMDIQCKSGKPWKAVMLYKEMKRKGIRLDVVAYNTVFRAVGQCDGVDAAMRVYCEMRECGLEASVSSFNVIVKFLCENGRYKEAYEVLHKMSGLGCPPDVVTYHCLFTCLEKPREILELFDRMFKSGVFPKMDTYVLLMRKFGRWGFLRPIFVVWEKMEEQGLSPDEFAYNALIDALLEKGMVDMARKYDQEMLAKGLSAKPRAELGTKLTQSQDQ >KZM99448 pep chromosome:ASM162521v1:4:32148949:32149422:1 gene:DCAR_013190 transcript:KZM99448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNVYGSFLCAKHAARAMIPAKRGSIILMSSVASVVCGGLSHAYLASKHAVVGFTKNLGVELGSYGIRVNCVSPFGVDTPMLRKEFGVEDGEAVRGFIDVIGNLKGVGVDGEDVAAAVVYLGSDEGKYVSGVNLVIDGGYSTTNVAIREALMKIKS >KZM98620 pep chromosome:ASM162521v1:4:25532152:25533570:-1 gene:DCAR_014018 transcript:KZM98620 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MAISQGGAHILIFPYPSQGHMIPLLDLTHQLALRNLTITILVTPKNLHYLNPLLIKHPSIQPLVLPFPPTTLIPHGVENVRDLPPSGFIPMTVALSDLYDPILNWFRNHPSPPLAIVSDMFLGWTNRLATELKISRYVFSPSAVLALSVSCNVWRHMPKRNDPTDENEVITFSDIPNFPSHPWWNLTPMFRSYAQGGPHSEVIRASSQGNLASCGFIFNSFTELERVYLDYMKKFLGHDRVWAVGPLLPSEEERVGRGGSNEILAGEIKLWLDQFEDATVVYVCFGSQAVLTNKQMEMLALGLEKSGARFLWSCKEPTKGHQVGEYGMIPLGFEDRVSGRGLIVRGWAPQVAILSHPAVGAFLTHCGWNSVLESIAAGVPMLTWPMMADQFYDADLLDELKVGTRVCEGERTVPDSDKLARLVASSVSDENGAPIARAKVLSKAAQESTQKDGTSYRAVDDLVDHLSKPKGL >KZM96764 pep chromosome:ASM162521v1:4:5945974:5947567:-1 gene:DCAR_015874 transcript:KZM96764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQSSRPLHFQHSYEDNNHHSQVVFDASIIQKETTIPKEFIWPDHEKPSLNTQELKVPLIDLGAFLSGDPSAAKESSILIGQACEEHGFFMVVNHGVDTNLISDAHKFMNLFFDLPLYVKQKAQRKLGENFGYASSFTGRFASKLPWKETFSFQYCADERMSSTTVEDYFTNKFGPDFAKPGKVYQDYSHAMSTLSLKIMGLLEISLGVSRSHFKNLFEDHDSIVRLNYYPPCQKPELTLGTGPHSDPTSLTILHQDHVAGLEVLVDDQWRCILPNTSAFVINIGDTLMAMTNGRYKSCLHRAVVNNETARKSIAFFLCPRKDKVVIPPAELVDQKNPRSYPDFTWAELLQFTQMHYRADMNTLDAFSTWLLTKNNRE >KZM97380 pep chromosome:ASM162521v1:4:14037803:14042051:-1 gene:DCAR_015258 transcript:KZM97380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLKLTSFKALIHPHFLRRSTPSPARTSLANSIKALTPRGALSAHDKAVKLGFCRLTSCCKREVDSTADMSVIEENDCSETANLSVNGVVEVSKQAVSKHQSTKLLTLPTVLTIARVVAVPVLICTFYMNSWWGTTATTGIFIAAAITDWLDGYLARRMKLGSAFGAFLDPVADKLMVAATLVLLCTRPPEVAIFEQFPWLLTVPSIAMIGREPGSTRNLLCYFRVSWVAPGGGHGNMSSMRSSVFHYSLEYDSTKSITMSAVREWAASQNSNLSEAVAVNNLGKWKTAAQMTALTILLVTRDSSFTGPGVLIGFGIALLYISAWLAVWSLVVYMKKIWKVLLMWIKWPFSGQHLHFTLPLKDITEALDLHAKGPFILRVLGLLALKVNVAQLLPTSNSRFLSLFRDNCVAW >KZM97654 pep chromosome:ASM162521v1:4:16587284:16590209:1 gene:DCAR_014984 transcript:KZM97654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKEKFKDTEKCLDSQFWHACAGSMVQMPPVNSKVFYFPQGHSEHACQNVDFMNCPRLPPYVACRVSSIRFMADPDTDEVYANIRLVPISGNEINCDDAGIPGNNGSGEQDKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGNPDSTSGWNPAGGNSVVPYGGFSAFLRDDENKLARYGNGGSPPGCGNGTSKAKVKAESVIEAATLAAEGQPFEVVYYPRASTPEFCVKASLVKSAMQIRWCSGMRFKMPFETEDSSRISWFMGTISSVQVADPIRWPDSPWRLLQVTWDEPDLLQNVKSVSPWLVELVSNMPSIHISPFSPPRKKLRLPQHPDFPLDGQLPVPTFSRNLLGHNSPFARFPDNTPAGMQGARHTQYGLPLADFHLQKLQSGLFPPGFSPFRHCSIPARPSINPDINMSSSSENISCLLSIGNSAHNEKKIEDQKPRQFLLFGQPILTKQQTMLSSSSFTSSGGDIDKVARMSAGDSYASGSGFALRQCTIREPSSSEGLLQCKGNLQEAETSLEIGHCKVFMESEDVGRTLDLSLLSSYEELYRKLATMFSIKSSDMLKHVLYRDIRGAVKHIGDEQFSDFVKTARRLTILTDASSNDIEI >KZM99180 pep chromosome:ASM162521v1:4:30023466:30026482:1 gene:DCAR_013458 transcript:KZM99180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFTTAPPLMVVSDLDHTMVDHHDPENLSLLMFNSLWEARYREDSLLVFSTGRSLTLYKQLRKEKPMLTPDITIMSVGTEITYGNSMVPDDGWVEILNQKWDKKIVLEEASKYPELTLQPETEQRPHKVSFYVQNDKAQEVSSALSECLVKHGLDVKIIYSGERDLDILPQGAGKGQALAYLLKKLQVEDKLPKNTLACGDSGNDAELFSIPEVYGVMVSNAQEELLQWHAANAKDNPNIILADERCAGGIIQAIGHFSLGPNISPRDIMGLSDTNLKKFDPAYEVVKFYLFFERWRRAEAEARNSEIYLSNLKAVCDPCGYFVHPSGFERPLRNCVNALRICYGDNQGKQFRVWVDQVFSSQVGSDTWIVRFKKWELSGEEQYGCLTTAVLSSKDASISEGLTWLHVHQTWLDEATPKDQYVWFF >KZM96959 pep chromosome:ASM162521v1:4:9184395:9185402:1 gene:DCAR_015679 transcript:KZM96959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKQRMPPATVSQPLQNDAVEEHAASSESERSPVKRTVTPDPKQKSGSKPDPRRSRKRGSEKEEKVGVSKNARVEKNSSAKRVWSDEDAIAIVQGLIDYELEYDEDPRSDYEAFFEYVKDSLQAKVSLTQLKEKIKTLKRKYKSLKDSDAVFAKPIEEELFRLSDTFWGQGNDNQGNAASKDKDMSIEIIEDGVVENGRKDKDTSIGINDDDGVNEDDGKQQDTSIGVNENVGNEGGDEDDEDGEENEAEGLGFKELYPNLSRAWESDIGFGFPQALKDLTMRNLKLHASEKMRALDKEWKDLLVKELQLYVDKLELKTKLAKVALDQMLSSDP >KZM98931 pep chromosome:ASM162521v1:4:28071479:28076914:-1 gene:DCAR_013707 transcript:KZM98931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQESVFYQGFEEGFFRVLQMVSMEVTVKVVFNVGFFLLLLTWVLVELWKNRTRGESLPERVYFKEGYKVFTKITVGFNVLIMVLNVGLCVYNVWNLKILSLESLTSVVIWALSSFVSFSAAKIRNTLWPIVLLMWWHAYVVIDMLMVYLYFASYFDTIESDSFVSKPSFVDVASFPLLVFLCYNGTDFQFESASELGEPSLPEAEVSEANATDDAFSKAGIWSKLTFRWLNPLFKKGRVKKIELSDIPSIPQSEAADNAAALLEESLLKQKNQASLLPNAIFKAIWKPLAVNATFAGVNTIASYVGPFLITNFVNFLSNKDDNSSSYHGLVLAFIFLSAKTVESLSQRQWYFGAQRIGIRVRAALTVLIYKKSLLIKYSGTSTGKITNCVNVDVDRIGDFCWYVHGIWLLPVQVALALVILYRNLGAAPSFAALFATILVMVSNTPLANMQESLHSKIMESKDSRIKATSETLKSMRVLKLHSWEPTFLKKILQLRETERGWLKKYLYTCSAVAFLFWASPTLVSVVTFGVCIVLKTPLSSGTVLSALATFRILQEPIYNLPELISMVAQTKVSVDRIHDIICEQENMHSAKQHPSEDSNIAVELEQGEYSWAENNQYFESFRVKISDKIRIRKGYKVAICGSVGSGKSSLLCSILGEIPKISGNNIKVYGSRAFVPQSAWIQTGTIRENILFGKELNMTLYENVVEGCALTRDIEMWADGDLSVVGERGMNLSGGQKQRIQLARAIYNESDVYFLDDPFSAKCMMELLNTKTVIYVTHQLEFLEASDLVLVMKNGRIVQSGKYRDLIAETTGELVTQMDAHSKSLNQVKPPKKFYKSFSSKGFIQENQNEDEVIEEVHNICHRDVSQEKSQQEETETGRVKWHVYSTFATCAYKGALVPLILLCQVLFQALQMASNYWIAWGTEEEDRVSKDKLIGIFALMSGGSSIFILGRAVLLSTIAIETAQRLFEGMIASVFRAPLSFFDSTPSSRILNRSSTDQSIVDTDIPYRLAGLAFALIQLLSIVVLMSNVAWQISILFLVVLAISVWYQAYYITTARELARMVGIRKAPILHHFSESISGASTIRCFNQEDRFLNRNISLINNYSRVAFHNSSTVEWLCVRINFLFNLVFFLLLVILVNLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQYTKVPSEAPLVIESSRPKPNWPANGKIELQNLHVQYTPSLPRVLKGITCTFQGQKKIGVVGRTGSGKSTLIQALFRVVEPTEGQILIDGVNISSMGLQDLRSRLSIIPQDPTLFQGTMRSNLDPLQQHSDHEIWEVLNKCQLAEGVRQDQRQLDAPVAEDGENWSVGQRQLVCLARVLLQKRRILVLDEATASVDTATDNVIQKTIRKETSECTVITVAHRIPTVIDNDLVLVLDEGNILEYDSPAQLLKDSSSAFSTLVAEFMRRSSKE >KZM96932 pep chromosome:ASM162521v1:4:8859136:8860446:1 gene:DCAR_015706 transcript:KZM96932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDDGNDNDASVDGMVMDLDLNREPEIVSSGLALGPFISELENTQDRIEDRMRQLEEVSSRARQRQRWRQTRNLIAESSSFVGYEPRGVIESGMRNGEERLAGCKRDSSHLVANALEMDLDTGKDSGNSGGLFDCNICLDMAKEPVVTCCGHLFCWACYYQFSVDSNSSAKECPVCRGEVTDASITPIYGNASNTQLMETETGLKIPPRPPAHRIESVRQQRVNQRITHIPVAEALRRIRISIGATTEQPRQEGVNNVPGEQPTQPNLPNANASVGTSSPVLRRAEVGGTWRLRSRQFSRVLSESAASLSSVSSALSNAERLVEDLETYIHGRLLRRTDSQFRAVTSGDIFSSSDAAAVRRALNTVDSSSEANLVVNLPSISETYNASVAVLESGAPVEGDVTMSRPSSSRRRNGASRTSDVDSGASRESRRRRLR >KZM99531 pep chromosome:ASM162521v1:4:32774377:32779623:-1 gene:DCAR_013107 transcript:KZM99531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNCCKPSAIEDSRESPRERLSSKASSDIRVGRVTSSRQEEGCRGKDRLDGYDGRAMLIDKQVNGSIRLNGEHFERKREKSEYAIAMNHPGSGRILRATEGEQVAAGWPPWLAGVAGEAIRGWIPRKADSFEKLDKIGQGTYSNVYRARDLDKGKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLSGLASHPSLKFTESQVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYRRCVAETFKDFPAPALALMETLLSIDPADRQSAANALRSEFFSSKPLPCEPSSLPKYPPSKELDAKLRDEEARRQAAGGNKVPRYDAERKVKRESRAVPAPDANAELVLSMQKRRGQSNSRSEKFPQEEVASGFPIEPPRPSQAVEEEANDPQGNLHKRASHSGPLVHRASWTKVGKNMDDPPKISTGAELSSVNSLVAARRSLISEDRRDKPGYLQQETPKVIGRFPGSFKESSDSGRKQDHMPSVTGQPHEDGRNNNKDPILLGYGSKGNNIHYSGPLLAPAGKVDQILKDRDRHVQEAVRRSRIDKAKLKRIQAEKNQLSTNSFFISGR >KZM97306 pep chromosome:ASM162521v1:4:13353817:13361445:-1 gene:DCAR_015332 transcript:KZM97306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKHLLSLSSDSPSPHSPNSDNCQPSSSLEYSPVVLEDDPQASFLVKAEKLSVDTNLDVSGEHSASAAKSQDLHSTCLHSWEFPLEHTPPERKKCLVSWGSDSKLQHGCEYTPMSTSSPPPGSPRTDLVVDQVEIPRVYHKSMQSDDGREANYRSIYINDPSMTNDKYRFRGNEIRTSRYTLINFLPKNLFIQFHRVAYLYFLGIAALNQLPPLAVFGRTASLFPLLFVLLVTAIKDGYEDWRRHRSDLYENNRKVLVLQSGKFQNKKWKDIRAGEVVKVSVEDSIPCDMVLLRTSDPSGIAYIQTMNLDGESNLKTRYARNETISMDFEGGEISGVIRCEQPNRNIYEFMAYMELDGQRYSLSQSNIILRGCQLKNTEYAIGVVIYAGQETKAMMNSAASPSKRSRLETYMNRETIWLSIFLIVMCGVVALGMALWLKRHDRELDRLPYYKKSYSVTGMRPAKLYKYYGIPMETLFSFLSCVIVFQIMIPISLYITMELVRLGQSFFMVKDKHMYYKPTDTWFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFKKASVYGKNCGTFLAAPSTSQDKDIAGPSTASSSGKEKLKLKSDITLDSELMAMLHKDLTKEDRIAAHKFFLALAACNTVIPIHASSACTGSIDDDVNAIDYQGESPDEIALVTAASAYGYTLCERTSGHIVINVNGEKLRLDVLGMHEFDSVRKRMSVVIKLPNGEIKVLVKGADTSVFNILNEDPEKDEHLKQMTESHLNEYSAEGLRTLVVAGKDLTGGELDEWQHTYEGASTSLTDRSSKLRQSADFIECNLNLLGATGIEDKLQEGVPETIESLREAGIKVWVLTGDKQDTAISIGLSCKLLTSNMQRIIVNGNSENECRKLLSDAKLKYGVNSTNARTENSKSRDNTETDYHEIPADMNLNDLSHSQVRKEEGSGSTTKPTLALIIDGNSLVYILEKDLESELFDLAIVCRVVLCCRVAPLQKAGIVDLIKCRTEDLTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRLAYLVLYNFYRNAVFVLMLFWYDYFHRVGVYLVLRDMTRAGDISNAGSGSGTLDSGAGIQYMLFTAFSTTNAITDWSSVFYSLIYTSVPTIIVGVLDKDLSHKTLFQVPKLYAAGHRNESYNLQLFWITMIDTLWQSLVLFFVPFLTFRVSTIDIYSVGSLWTIAVVILVNLHLAMDIKRWVLLTHCSIWGSILVTYICLVILDSIPDFPNFGTIYHLATWPAYWLCILLIIVLALLPRLIFKALSQTFRPSDLQIAREAEILRKRRGYVWSKPSQGSMHMSCPEYLVMCKGSQSTKLGKEVIICNTVAMWSLHKSCMSCGNYD >KZM98234 pep chromosome:ASM162521v1:4:22135415:22136356:-1 gene:DCAR_014404 transcript:KZM98234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTQEDMKLLGVFGIYREGHKIMAPSRKIFNQITLSFILPLCLIFLAQIQISKILFQRIEFHRYRDNRNTSSDWAVYVLFKLAYFTFLCIFSLLSTSAVVYSIACIYANREISFKRVMAVVPQVWKRLMVTFIVTYVLNFVYTVVAAVTMILCLSIDNSSAVILFFVLLIIYIIGFIYLTIVWQLAGVVTVLEESYGLKAMNKSRNLIKGKFWVALAIFFKLLLVFGGIQFVFYVFVVYGFFWEMWKRVLLGMLCLAVLVPVILYGLVLQTIIYFVCKAHHNETIDKPTLSKRLGEYERLLDDTNEVQLEKV >KZM97599 pep chromosome:ASM162521v1:4:16120720:16123166:1 gene:DCAR_015039 transcript:KZM97599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPESENKTETESSTNGEFHKPQTEHTEENREERKNSCPLVEISPNENGALVSGTEAASIEVEYIESENLDDVEDVDTSLEARILLVGLESKDWVVVCGALNNVRRLSLFHKELLHEKLGNVISLVVKSLKNPRSAVCKTAVMASEDIFKAYNDHTIDSLDPLLVQLLLKSAQDKRFVCEAAEKALIAMTTWVSPVLLLPKLQPCLKNRNPRIRAKASMCFCRSVLRLGFDGIETYGIDKIIHIAATQLIDQLPESREAARTLLLELQSVYENSHISSAAALTENQDKNSWEQFCLSNLSPLSAQAVLRVTNVAREGLSKDP >KZM97443 pep chromosome:ASM162521v1:4:14744647:14745396:1 gene:DCAR_015195 transcript:KZM97443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLEKRGSLYILTLTGNDDHRLNPSLVDSISAALHCIRCEVLATPSISAALITTGEGKYFSNGGDIAWAQSNKQRFFTMMSKVRHLLTDLMSLPMPTIAAVNGHASGGGYILALCHDYVFMRKDRGYLYMGELDTGTVISPTYFAATLKAKISSPAVRRDILLKAEKITAQAAVTKGIIDAAYDNMEETMTAAVELGEELVRNNWNGEVYAENRKYLYSDVLHELTIAETDKILQDSRVLIKEAVSRL >KZM99680 pep chromosome:ASM162521v1:4:33936652:33938733:1 gene:DCAR_012958 transcript:KZM99680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLNLQPSSSSSIFNCFSPSLPHKHALHFFRTKSSASPPPSFHSQTPRKFFKKPPFKSPAKNVRKFKESDAFPMSLPIQGRNPFAIYSDIQRFAEQNKLKEALAILDYMDQRGIPVNPTTFSSLIAACVRLKALAVGRKIHVHIRINGLQCNEFLQTKLVHMYSACGSIEDARKVFDEIPSRSVYAWNALLRGNVILGGRQYGEVLHTFGLMRELGIELNVYSFSCVIKSFAGAKAFNQGLKTHGILVKNGLGSSKILRTSLMDMYFKCGKPKLAHRVFEESEVRDVVAWGAMIAGFSHNRLQREALEYTRWMVKEGVEVNSAVITMVLPVIAVVRAKKLGQEVHAYVIKTKHYSNQLSIQSALVDMYCKCGDMVSGRTVFYGSAERNAISWTALLSGYVSNGRLDQALRSIEWMQQEGFKPDTVTIATVLPVCGKLRALKHGKELHGYAVKNGFLPNVSIATSLMMMYSKCGLLEYSIRVFDKVEFRNVISWTAMIESNIESGCLHEALGVFRLMQLSQHRPDTIALSRMLSVCSGVKALKLGMEIHGQILKREFGAIPYVSAEVIKMYGACGAKGKAKLSFDIVPIKGSMTWTAIIEAYGSGGFYQEAIDLFKQMISDGASPSHFTFEAVLCICDQAGFADEACKVFNLITRTYKIKATEKHYTSIINLLSRYGRDEEAQRFVQLRSAVL >KZM99762 pep chromosome:ASM162521v1:4:34581727:34583625:-1 gene:DCAR_012876 transcript:KZM99762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLNDQASIIGGAINYVKQLEHQLQTLEAQHKTAVADDSKSCCTAGSPRLFADFFAFPQYPTCRSNESRASSDNDPAGAEPEGGSAVVAYGEIEVTSMWLTILHVNVTTVEQMVLYTLSLKIEEGCQMNTVNEIADAVNQLLAKIEEAEIEEGCQMNTVNEIADAVNQLLAKIEEAEV >KZM99383 pep chromosome:ASM162521v1:4:31711125:31716026:-1 gene:DCAR_013255 transcript:KZM99383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPVASDSLDDYFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSRVEDT >KZM99579 pep chromosome:ASM162521v1:4:33177592:33182393:1 gene:DCAR_013059 transcript:KZM99579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSLFKFMVFSALFVFICCQQNTNAEEDFVSSNSSHIRKLASGCNIFRGKWVYDASYPLYQASSCPFIDQQFNCQKFGRPDQSYLKYRWQPFSCNLPRFNALAFLEKMRGKKILFVGDSLSFNIWQSLSCMIHSAVPQVKYTIVQGYPHSEITFVDYGVKLLLYRTTYIVDIVNEQKGRILKLDSIKSGDAWLGMDVLVFNSWHWWVHTGRDQPWDYVQDGAHVYKDMNRLVAFYKGMTTWARWVNRNVNPSKTKVFFQGISPIHYEGKDWGEPSKSCAHETEPFFGVKYPAGTPMAAVVVNKVLNRIKVPTYLLDITLLSQYRKDAHPTVYSGGRNSLDCSHWCLPGLPDTWNQLLYTALDIANCGSVANDAMRLSDSSDTIRLSDSAIKKQSVSMAEKLAPEKRHKFFHGSQKVFEWDQTLEEVNIYINLPPNVYSKLFYCKILSKHVEVGIKGNPPYLNHDLYSHVKTDSSFWTIEDDILHVTLQKRDKGQTWPSPIQGQGQLDPMAADMEQKRLMLQRFQEENPGFDFSQAQFSGNCPDPRTFMGGMKSD >KZM99281 pep chromosome:ASM162521v1:4:30794263:30798354:1 gene:DCAR_013357 transcript:KZM99281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAVPHKSMKETDPCPNGRELSLVSRSLKESFLVSHSDTLLAFPARKHYLRIHKLSGNKYFFRAQMVLFMGEPCLPSRLLLSPSPLSQTPELICALENLEAYKKIITLMLAPTILFDLTASLSLDRFKDKLSGNKCFHIGPEKLCLSEPNGWEWYSYSLTRFTGTPVLNGAKIEIYGEISTSLLSPNTAYTAYFLFNFNVYYGGFGEEIPLETCVGIDGSSNCENRIIYIPFMPKYDKYAGPYLERRLEMPVLPACQYPKRRDDGLYELELGDYFNKEGDHNRKLKMSLREDRKEKRGIVLHGIEIRPKCSKKIIRDSEL >KZM97293 pep chromosome:ASM162521v1:4:13256186:13257259:1 gene:DCAR_015345 transcript:KZM97293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYKFKFSDMIPNAWFHKLKHISRPKNDSTMHKSKKMSLTRTKSPAFSSTLSPPSGGAAAASPQPHHQRKSYHFTRDLPPTPDNNSASQLLDQPRKSTRRRRSIKKNRPSIRLASSPRLLMNASVSTDCSCRASLDEHHNNNPTDSCSSEETDLSVFPSENGDKPFDIMVSSCHCRVKSDIPIDMTMTKDSKLETFDSVSQFELRPIITKTNKIDTTDEEVSKMRISHSSLKEKRNLNSAKRVNTTSSPGVKLRTNSPRLASKRFIQVHHRKSVSSSGVARRSLAESFAVVKTSQDPHRDFKDSMVEMIMEYNIRSSKDLEDLLACYLSLNSDKYHELIIKVFKQIWFEITDIRFK >KZM99345 pep chromosome:ASM162521v1:4:31249549:31251992:-1 gene:DCAR_013293 transcript:KZM99345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-7 MAVISTVSLGVSISATRLILLKPASSLTHLKPPSTKAIHTTSIRCTGNDTALLSRGDACVDDKSIVRRSGNYPPPIWDDDFVQSLASDFKPEMERGDNPKSIQCYMNDKGVLEDEAREHIKYLITETWKKLNEECAESPLSKPFIENCLNLAKIASCVYLYGDGHGAPGSRDKERLLFLFVHPIPLEL >KZM96765 pep chromosome:ASM162521v1:4:5962195:5964190:-1 gene:DCAR_015873 transcript:KZM96765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLLLGLAFVFIFLSPLIYGHSTNEVKNLCSKTPYPKPCEFFLSSEPKYGIIDNDQDFLKALMNLTLDKAMQADENMKSLGPKCHNKREKAAWDDCLKLYEYTINRLKKSADLAKKYSHDDTQTWLSAALTDLETCQAGFIELGVTKNVMPLLNNNVSFLVANTLAMNHKGKKAKLPSYKGTFPTWVSPGDRKLLQGSSPGDNANIVVAQDGSGQYETVAAGVAAAKSKSGKGRFVIYVKAGTYKENVEISSKNIMLVGDGIGKTIITGSRSVGGGSTTFNSATVAVVGDGFICRGITFRNTAGAKNEQAVVLRSGSDLSVFYQCSFEGYQDTLYVLSNRQFYKDCDIYGTVDFIFGNAAVVFQNCNIRPRKPPNGTNAITAQGRTDPNQNTGIVIHNSRITPSEELKADQGSVDTYLGRPWKEYSRTVIIKTFMDGFIRPEGWLPWSGGFALKTLFYAEYANTGAGSSTVNRVGWPGYRVFTSASEASEFTVGNFIAGDSWLPATNVPFNTGL >KZM97142 pep chromosome:ASM162521v1:4:11450056:11462057:1 gene:DCAR_015496 transcript:KZM97142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNQSENRTDGDNERDEEKEEDVSGEDEEEEAEDEPRLKYQRMGGSVPALLSGKSASCIEVAERMIALGTRAGSVHILDFLGNQVKEFLAHGATVNDLCFDIEGEYIASCSDDGSVAINSLYTDAKLKFDYHRPMKAIALDPYYARNSSKRFVVGGLAGHMYYNIKKWIGYRDQVLQSGEGPIHAVKWRTSLVAWANDGGVNVYDTSNDQRITYIERPRGSLRPTLLFPHLVWQDDSLLVIGWGTSVKIALIKSNQNSSTNKTYKHIQMSHMDQVDIVASFQTSYYISGIAPFGENLVVLAYIPEEDGNSYTGGQWAAGDEPFYYIVSPKDVIIAKPRDAEDHISWLLQHGWHGKALEAVEAGKGSIQLLDKVGSKYLDHLIGRRNYAKAASLCPKLLQGSASAWERWVFHFAHLQQLSLLVPYMPTENPRLRNTAYEVALVALVTNPSFHKELLSTVKAWPPLIYSAVPVISAIEPHLNTSYMTDALKEALAEIYVINAQYEEAFSLYADLLKPDLFEFIEKHNLHDSIREKVVQLMKIDSKRAVTLLIQHMEMISPNEVVSQLLATEDDSRYFLYQYLHSLFEDDPHAGRDFHDMQRNQPVLTSPGAFLTNYVRFGLMVELYADHDPKVLLRFLRNCQHYKLMKAYEICVSRGLLREQVYILKRMGNSKQALVVIINELGDIEQAIEFVSMQHDDELWVELIRQCIQNPEMVGVLLEHTDGNLDPLYIVNIIPNGLEIPRLRDRLIKIIKDYRTETSLRRGCNNILKGDIINLLIKYYEDARRAIYMSNEEDEVRSTRDDDTASNLMERSRNMKTMDIKSKTRAGGRTCLLDSANTVDEKKTPAPTSHEDAWYYEYEKSDPDNVDDNNINTLGSPQMRCILCTTATSTSH >KZM99547 pep chromosome:ASM162521v1:4:32899573:32908571:-1 gene:DCAR_013091 transcript:KZM99547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMELLPSNNSYCDDDNKNLELLLDAFSSVVSLEDIASAYCLAGRDVYAAGELLSHHKGSISGPSGSKGNPDGASSSNLPTDNFLEKSCVERNSIPPKQRNRPISMGTVSGVIGREYSKTKPSSYVPVAVEKPLKLNLPPSEVYVESSPDYVANNETMKNDVEEFLFKMLGDGFKLDMGVIREVLGLCGYDVNKSMEKLLDMSASRFNENDDDSCMLPPNKSLEAEGSHSNKVMKPVRGSARSTETGMFTGVETNSPKKDKDTLQKDIMNSLFNVAGRIEDPPRRIIRPVTGMRRGRHGRPVLEPPEDIIVEPRIRAKPKVVKEEPHGSYDALRLAHKEYFTTMREYYKAATDAYVKGDYELSEKLMEQGNFFKMKTQEADDKSTEKLLETSNENEEVFLDLHDYEPKEARRSLKSHLTSLSGIEAIPHLKVFVGSNENDAKREARKKVIIELLEKESIGWTEEDSGQIIAIRVDKIDPKRLSFAK >KZM96861 pep chromosome:ASM162521v1:4:7897302:7898261:-1 gene:DCAR_015777 transcript:KZM96861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHLSDCSSVAGVCASCLRERLLTIIEKQSGYEDELLVEPQTEDEHRKADAIYERVTFPRSVSPYVSRRKSDNTAVWPHVPLSGTPEIGANGMITKGERRSRDSRAGFSSLSNLFRSKTSKFVTESNSDFGGETRAKKSNFINSTMTEAQQTKSPSSWFMKTSKFVTEHNSDFGSETRPRKSNSMNSTMTEAQQTKPPSSWFKKLFISEKKRNSDIISTSFPQEYECSGQTCPRSTPMQATPQRRKQNQGLSFCLSPLVRARPSRSWNPKGGLPETVIQSGDMKGSPGRPGLSVAASSGANRSRKLANFGRFRANY >KZM98392 pep chromosome:ASM162521v1:4:23579920:23582991:-1 gene:DCAR_014246 transcript:KZM98392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSLSIFLTLRKPNAIKISKTRPFLTCKAQESSSSSVSFPSKISSKKKPFLEVGFGLLAASLLILSPLENANATRLNYYATVEEPSCDLKFVPSGLGYCDLVVGSGLEAPYNQLINVHYTGRFADGTVFDSSYKFARPLTMRIGVGKVIKGLDQGILGGEGVPPMFVGGKRKLHIPPELAYGPEPAGCFSGDCNVPANATLVYNVNFVGIYSGNRK >KZM98545 pep chromosome:ASM162521v1:4:24933986:24936876:-1 gene:DCAR_014093 transcript:KZM98545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGKPIFLLFCIVLVFSDLSGVASKSTIEPCSNSDSCNSLLGYTLYTDLKVSEVASLFQIDPVSLLTANAIDISYPDVENHILPSQLFLKVPISCSCVDGIRKSVSTHYKTRPSDTLSSIADSIYGGLVSADQLKEANSVSDPSVLDVGQSLVVPLPCTCFNGTDNNFPAIYLSYVVKPVDTLSGIATRYSTTITDLETVNALGGPAIKDGDILAIPLSACPSNFPKYASDYGLMVPNGSYAITSSHCVQCSCVPGSRNLYCTPASLAVSCSSMQCKNSNLMLGNVTMQQSSAGCNVTSCNYGGFVNGTIITTLSSSLQPRCPGPQLFPPLIAPPTVVGPNSLFAPAPSPIESGGPGATIPNTVVPSTGAVLGYPPANGPTGSFSGNVILLIVILVTNYHVDGKISFWFSVVESIFYNTEETRTTGHGGKTLIESDKGFKSRQVKAA >KZM98962 pep chromosome:ASM162521v1:4:28311761:28312795:-1 gene:DCAR_013676 transcript:KZM98962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQFTELIPGLPEEIALECLTRLHFSAHRVGSHVAERWRQLLQSRDFYYHRKQSGQTRKLACLVQSLPVPKEPTGSKPAAQARYGVSVFDPGSRTWYRVDPIPKYPDGMPLFCQVASTEGKLVCMGGWDPASYEPVRDVFVYEFTTQRWTRCQDMPSNRSFFAVGAMEGKVYVAGGHDDSKNALNSAWVYDLSSDEWTELTRMSEERDECQGIIIGNEFWVVSGYETDAQGQFKSTAEAFSIDSGEWRRVEDSWTVSQCPRSCVGVGKNGELINWAETDSGVRVGACGVNLGNRSLVTGSEYQGAAQGFFLTERREGQNCKSVKVEVSDDFCTFVQSGCCVEI >KZM98803 pep chromosome:ASM162521v1:4:27013756:27017154:1 gene:DCAR_013835 transcript:KZM98803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSASSRKALSKIACHRLQKELVEWHDPPAGFKHIVSDNLQRWDIEFTGAAGTLYANETYKLQIDFPEHYPMEAPQVIFVPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSPVKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >KZM97757 pep chromosome:ASM162521v1:4:17556440:17558620:-1 gene:DCAR_014881 transcript:KZM97757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMSLTVPDAVDYKGYPADRAKTGGWVPAALILGIEICERLSTMGIAVNLVTYLGGVMHVPSAASANIVTDFMGTSFLLCLLGGFLADSFLGRYKTIAIFALIQTLGTAALALSTKLRQFRPPPCQNTALNNCKQANEFQMGALYVALYLIALGTGGLKSSVSGFGTDQFDENNEREKAQRAFFFNRFFFFISMGTLMAVTVLVYIQDEVDRSLGYGLCSVAMIVAILIFFSGSRRYRYKKSVGSPIVCIFQVIAAATRKRKLELPYNVTFLYEDSPEASRIHHTDQFHFLDKAAIVAEGDFDKSCPNSAPNPWKLCSVTRVEEVKMMARLLPIWATTIIFWTAYAQMITFSVQQASTMDRYMGSFQIPSGSLTVFFVAAILITLAFNDRVIMPLWKKWKGKPGLSSLQSIAVGLIMSTIGMGAAALVEMKRLSVAEDAGRNTPVLPISVFWLIPQFFLVGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFLSSFLVSVVKKVTGTSDGHGWLADNINFGRLDYFYGLLAILSAINFVIYIICAMWYKPQKAKPVVEMDDMGKNILPEDKC >KZM98124 pep chromosome:ASM162521v1:4:21264240:21267341:-1 gene:DCAR_014514 transcript:KZM98124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFAQEVKKLQADQFKPSEQVTLEPFERDHACVVGAYRAPKKQKAAPSA >KZM97967 pep chromosome:ASM162521v1:4:19898712:19902258:1 gene:DCAR_014671 transcript:KZM97967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNEYQWYWETNRFYNAEELIGSLSLDNTLSAYVDPSAADGGQPSSGASRNIVSERNRRQKLNDRLYALRAIVPNITKMDKASIVKDSINYIQELQEQEERIQGEIAQLEYSRACENQADQTSLCKKNKRTVHEQQHLSSYVSGGAGSSASPPIQVIEIKVSSVVAKTIVASVICSKRTNTIIKLGEALESLKFKIITANITSFSATLTMTVFIEADEKEIDTVKIKIETAIAAVNQRNPMSC >KZM96621 pep chromosome:ASM162521v1:4:2345575:2345874:-1 gene:DCAR_016017 transcript:KZM96621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRINPDNAQVMIEMNQFKYRDVLVAADHLANITNKELAEAIQIYPERQVEIQKESGQVDDSGDSGNSHQSWGSVFDRIGDIKKEEKQGKRSNQTKTPS >KZM96972 pep chromosome:ASM162521v1:4:9321720:9329599:-1 gene:DCAR_015666 transcript:KZM96972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVFGCVDSSHSKRSRIIELSRRLRHRGPDWSGLHCYQDCFLAHQRLAIVDPASGDQPLYNEDKTVIVTVNGEIYNHKALREGLKNHQFRTGSDCEVIAHLYEEHGEDFVNMLDGMFSFVLLDTRDKSYIAARDAIGITPLYIGWGLDGSVWFASEMKALSDDCERFMSFLPGHIYSSKTGEIRRWYNPPWYSEDIPSTPYDPLVLRRAFEKAVTKRLMTDVPFGVLLSGGLDSSLVAAVASRYMLDSEAACQWGSQLHTFCVGLKGSPDLKAAREVADYLHTRHHEFHFTEGIDALEEVIYHIETYDVTTVRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHLYDCLRANKSTSAWGLEARVPFLDKEFIDVAMSIDPEWKMIRPDLKRIEKWILRHAFDDDQNPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANQQVTDAMLLKASYIYPENTPTTKEAYYYRTIFEKFFPKNAARSTVPGGPSVACSTAKAMEWDASWSKNPDPSGRAALGVHESAYEESKA >KZM97575 pep chromosome:ASM162521v1:4:15934354:15936916:-1 gene:DCAR_015063 transcript:KZM97575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVKGVNALHSLGYNSSPVAETNRPPRPLSTKHSRYDGATSASSATVIDHVMDAAQTPVVDIVLNTPKNMDAPESSKLHTRSNNGNSKFVQKSEENVQEKVTCSHKCSASIDDSANSDSSSLVEFADHVLAAGEVIADEIKPVLSKNSTTQFAEAKQSFTTTEVSECASSIEKSIESGEVSYSCDFAESRKTSIRGSTGSDLSDESSSSSLSSTINKPHKSNDSRWEAIQAIRSRDGNLGLNHFRLFKRLGCGDIGSVHLAELGGTRNYFAIKVMDKGALASRKKLLRAQTEREILQSLDHPFLPTLYTHFETENFSFLVMEFCPGGDLHALRQKQPGRYFSEHAARFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRSSNSTMESKNSGYCVQPSCVVQPSCIQPTCFGPRFLGMSKKSKKQKPKAEIHNQVSPLPELMAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGAGNRATLFNVVGQPLKFPESPSVSFAARDLIRGLLVKEPQNRLAYRRGATEIKQHPFFQSVNWALIRCASPPDVPRPFLYNEVPRAPKVDKVPGVDVKPSGNYLEIDFF >KZM97580 pep chromosome:ASM162521v1:4:15961215:15975711:-1 gene:DCAR_015058 transcript:KZM97580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFQFLTCLIILFLYLPSLYFCDPRITEAARICGKSEPLNTLIVPIFVEETRQLLELIRDDNWGQYLLNTSDEPMYGLAQCYQDLSKSDCLSCYAAIRTRIPSCLPALSGRLYLDGCFMRYDTYEFYNESLDSARDSVNCSGGVIRNEDKVVEFNESVGLLIGKIVGDDVWKKGFGVGEVEGVFGLAQCWKSLSKEGCRKCLENAGDKVRGCLPSREGRGMNAGCYLRYSDFKFFNQDGHDNNNGGSGALSTGAITGIAISASAFLILLLVAAYVYHTRSPKRGRRSLDHRMTFNRASLTYKYDILEKATDYFSQSMKLGEGASGTVYQGTLPDGYIVAVKRLFFNTNRQWADEVFNEIWKLYKANKLTEVVDRRLDDDFPVRDALKILQIGLLCAQASPIIRPSMDEVVQMLISKDCEVPIPSQPPFLSAKLEGSASSGRSYSTDTSTLISSALDKKENDISYDSTYSSGVPINELSLIRSSDSETEDATGKNVVKRPHSCLETVVRNCLNSRKKACQKFRRHPARYELEDEICTNLLSSAENNVCYTNQGSAQVAETRPCQLSSSWAAPICRQFWKAGNYGNEQRAKTTMKNGNSRMHIHPEFLHSNATSHKWIFGAIAELLDNAVDEIHNGATFVMIDKTTNPRDGSPALLIQDDGGGMHPEAIRHCMSFGFSDKKKIKNAIGQYGNGFKTSSMRLGSDVIVFTRHSSARGLCQSIGLLSYTFLRQAGHDRIVVPLVDFEYEESIRSMVPVYFNGEEHFISNLSVLLQWSPYSTKDLLLEQVRFLRQHGTKIVIYNLWLNDTEDMELDFDSDAEDIRINADAEVIQTGLNPKPIQDQHITNLYRYSLRVYVSILYLRLPQSFKIVLRGKEIERHNLANDLIFPEFILYKPQIGSNSEAAVITTIGFLKDTRQVNVHGFSVYHWNRLILPFWPVVNYRTNNTARGIVGVLEANFIQPTHNKQDFEKTSLFQRLEHRLKEMTLEYWEIHCGLIGYQHKKKSRTRRLPLGSDNHVLLPVPMNPTTSVIYNSRGDRPNSSAVESTDDPNLNHVTVTYIQGKENNSKMVSKAEPRKYETSRSYEADSPDNEARQPTAELLGTSQLILAFTVQPSSDYDIQVQNEEENLREENRKLKSRLLDLMTREDALNIKAKELRDELAKLQQEYRRLLEESVSVFLDE >KZM99789 pep chromosome:ASM162521v1:4:34887147:34887801:-1 gene:DCAR_012849 transcript:KZM99789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVCVGINVLLPLTVSFFVLIIPAKCIRLQHSMEYGKNAYNYEDRTDRQAEADTRRRNEARMEELYPTGSSLPDCSHACGPCTPCKRVMVSFKCSISVSEPCPVVYRCMCHGKFYHVPSN >KZM99182 pep chromosome:ASM162521v1:4:30033432:30034709:1 gene:DCAR_013456 transcript:KZM99182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQICIPLLLISLLVITTTTALQPQINSNSVLVALLDSRYTELSELIEKALLLQTLEQALTQHNLTIFAPNNQALNRNLDPEFKQFLLEPRNLKSLQNLLLFHIVPSRIHSSHWPAKHKTLGNNDNHFLSLTGSSGEKFVGRVRVTRPEDITRPDGVIHGIERFLIPRSVQEDFNSRRSLRSISAVLPEGAPEVDPRTNRLKKPAQVPVGAPPVLPVFDALAPGPSLAPAPAPGPGGPRHHFDGESQVKDFIQTLLHYGGYNEMADILVNLTSLASEMGRLVSEGYVITVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHIIPEYQTEESMYNSVRRFGKVNYDTLRLPHKVVAEEADGSVKFGKGEGSAYLFDPDIYTDGRISVQGIDGVLFPVEEEESKVVKKVPPAGVSKVVAKQRRGLFW >KZM97995 pep chromosome:ASM162521v1:4:20192440:20203884:1 gene:DCAR_014643 transcript:KZM97995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKHNSSLEGSVLSAEQRSRISQNYRAAKAILDRKRRRDSDQLLLKKHVAKEIDCCPASVPVPVNKRVPLSDISSNNLNPSFWESAKLLDSECIGDGLGNTIGKSVGGNEVNVPLKQGSGWDTFRTSVKPVIGNGIELSGGIGGNLTKEVVCAEFGKGVGSYQSTTQRPDFNRSLCSGNMTTSRVGLGDRAPVNLSVGKMIKVDENGKTGDPFKTPTRQVKCNGSSFSAGVGGNVSGLSNGVSAENKPVADIRKRPSTQHDIIKSSEGSSIGPLSTVTVSDGSRMNSYITPVRQVGYPCLRESFGFLDEEFDESILQQIDALCENRPRVISCTDEMVGNVQMNGQCAEIDDGDCKTNVGSIGMVESLKSGELLDCTDVLDCVEDGSKVSETSKTSSMPEAYAKYIQSLNDKQREAACSDISTPLVIVAGPGSGKTSTMVGRVLMLLNEGISPSNILAMTFTTAAASEMRDRIGAVAGKEVSKELMISTFHSFSLQLCRSQAEKLERTPEFLIYGQGQQRRAIIEAIRLLDNGNNGKDGENMCKLGKESNDINSPQFSKDKAKKWLKFVTQAKASGKNSQDFQKLGNEIGAAILQNYSNILKSCNALDYHDLITCSVKLLTDFPEVLKECQESWKAIVIDEFQDTSAMQYSLLRTLASHNRITIVGDEDQSIFSFNGANAFGFNSFRKDFPMYKEIRLDKNYRSTRCIIEAASFLIRNNAKRSQTKRVLTDNSSGSKITVKECCNEDAQCAFVVDKILENTSDGTSNNSFGNVAVLYRRQVSGKIFQKAFRDRNIPFNIHGVAFYRKKVVKSIMSMLNTTLPGCDDDSFRRVFKALLPFEKEEKKKVIEHIDKISTVRRCTFISAARDIFSAKISGTFKRSQLTQGRKVLLTLDMILKLVNREQSISAVISSVANMIPQKYLLEQRAVIDVDGGKLLNEDNDLRSVLHYLLDDVSEYLKLEKSATERTKNAAEEKGCIDLLKGFIDYISVRERENFRSRRHENEHSVTLTTIHQSKGLEWDTVFIVKANESEIPLLHESNGVTHGSALSLEEERRLLYVAMTRAKKKLFILYVLMDSSWQVLQPSRFLKEIPKHLQEGEDEEILNNQQTFCGDLPVETLDYVNNGIPVKSESTETDMMPDESNSISVNEASEDSMELIQACNGNSFIRRFSTEDRSVVSHLFHQWAKKAAFQEPKRLLDKVGFVIDERLRIRKSTHKDVLRELKSCLSTDEAFQYAEYVLQWERIPADQRAYIMKEKQEHFLKQRIENAMGSSEPTPKQIGYLRSLGCTIVPTSRLHASRLIEQYKAL >KZM98007 pep chromosome:ASM162521v1:4:20318692:20319576:1 gene:DCAR_014631 transcript:KZM98007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPDHIADEGLALDVIRQHLLLDDFSFMENYVFPSSSIDQSTTTSSDNTSLVSDLTFNNNVAVDSYVAGSHDATLNQFSFQHHSAMKRTNSSSFSERKPALNIAVPPASKVGFQPAAKLSDRRVADSGEKRHYRGVRQRPWGKFAAEIRDPNRKGSRVWLGTFDTAIDAAKAYDRAAFRLRGSKAILNFPHEIAQSPETSPPVSNIGRKRVKENKAEQEREIKREKVSEDSNCEVVAAGACPLTPSMWTEVWEGGEEKGGIFEIPPLSPLSPYLNFTQLNAGDVKKQQVAQQ >KZM96717 pep chromosome:ASM162521v1:4:4966978:4977303:1 gene:DCAR_015921 transcript:KZM96717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSTRGKLFEFASSSMHDMIERYRKCTKDVHSNKTPVVQDMQHLKLETACLAKKIELLEVAKRKLLGEGLGSCTLEEIQQVEQQLEKSVCTIRDRKMKVYNEQIEQLKEKEKTLAADNAILSAKRSEK >KZM98229 pep chromosome:ASM162521v1:4:22108112:22110832:-1 gene:DCAR_014409 transcript:KZM98229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAGQVIRCKAAVAWEAEKPLVIDDVEVAPPQKMEVRVKIHFTSLCHTDVYFWEAKGQNPLFPRILGHEAGGIVESVGEGVKELKPGDKVLTIFTGECGECRHCKSAESNMCDLLRINTERGAMISDGKPRFSKDGKPIYHFLGTSTFSQYTVLHAGCVAKINPEAPLDKVCVLSCGISTGMGATLNVAKPTKGSTVAVFGLGTVGLAAAEGARIAGASRIIGIDMNPNRFKEATKFGVTEFVNPKDHNKPVQEVLAEMTDGGVDRCIECTGNVGAMISAFECVHDGWGVAVLVGVPNKDDSFKTNPINLLNERTLKGTFFGNYKPRTDIPGVVEKYMNKEMEVEKFITHKVNLSDINKAFDYMIRGESLRCIIDMGA >KZM98834 pep chromosome:ASM162521v1:4:27238411:27240177:1 gene:DCAR_013804 transcript:KZM98834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIKDIILPRKTGTSGKRFGFIITENPLQASKLIDKFHKQIVNNCTLHLEFAKPRRNRPILKKGYNSRLDQPSPKISKEFKRSQERHVNAHIKGSIYMKENQASKEEVRHCELGKDGDFLSELSSSLLLETAINMRVDSVESIMAGLGFGEAIIRGLSLNTFIAHFPGMNNLDELDIDFMNIGFKSIKKVEWEDTVPRRRVDVEIRGLPLIAWTNKNCQLLTEKWGDILNYYPIVDPEGVYQVPRIRLETSSTSSIMEHLTITVEGKSWNIQIVELTSDSQHFLEEAKGMEVEEPTLSKDHNAKDDLKIEEIVKVETSSNDNSSKKNANTMRSEAVHNTRKATILNLPDTINVEAVHGISHQGSVTVEAVYTTSQQGKVEDQFKEMDDDNETQRTQNVQVLRDPSDHEDCSGSLINPTTPPAMMVEGLLEDQTSELKDSIPKNPNVIEADEGSEDWRLKWQERDVSSDEATASQSLQSQKSSILDDMVEESLEVENSLIISSINKMSIKSRRGRPSKSKAKVKENKAFKVPRRRKIRGMKLGLPVIAADKMIFDEAKFVFDSAMNMGLISEHSEEKSLQLIRDNLGN >KZM98152 pep chromosome:ASM162521v1:4:21496426:21505470:1 gene:DCAR_014486 transcript:KZM98152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLEKLRKLALHKSDAKERRDHQSVAQLDELAQATQDMQEMRSCYDNLLSAAAATANSAYEFSESLLEMGNCLLERTASSVYGESGGVLQMLGKAQIELQKIIDTYRSHIVLTITNPSESLLSELRKVEEMKLQCDEKREVYEHMLAQYREKGKFRTGKGESITSQQVKEARIEYDEVARRCIFRVKSLKQGQCRSLLTQAARHHTAQVSLHLAFALNYFRKGLKSLEEVDSQTRVVCEKQRIDYQVDGLNEGEDDEGESRSSYENNEHGELSFDYRNNQGGVNDPTSRISVELDEADSSYPHFSNPEESERTHRKTPEAHAFGQHSRPSSYSAPIYPEKSADKGREVQTSDKKFHTYVLPTPAPAKTSSSSTTSSGPPSSSASLSTNTTNMRHSSPLRPEKHENNSNSSIPLPPPATRRSQFEKHNSFDLNRNKRQAYSGPIPSKPILSTSGPLTSKEVPSALLTRVPVSHLSTSPNASRSASPPPVSSPRISELHELPRPPGSIASKTSTSSGTGHSAPLIMRNENSFTNRNPKLASNVATPLPAPPLTVPRSYSIPSSILKAKASHMTKLLEPSRIPDQVEDFTSPPLTPISLSDIKPISTVSEAVSNYGQARGK >KZM97477 pep chromosome:ASM162521v1:4:15077122:15085854:1 gene:DCAR_015161 transcript:KZM97477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGAFIGCLIIAFCICAFFSPSLAPLPTRELKFATGINLKMLRNTVEASKPQNLSTVEASNPPNLRADVITMESQIICNFTEAASDFCEMHGDIRIHGNSSTIFVPGFNLEDTFTGQNSLGIKPYARKGDEGAMETVRSFTFSPEMPGCTSNHSVPGIVFSIGGYAENNFHGFTDVVIPLYLTSRKFKGQVKFLLADKNARWTTKFKIILEKLSNYKAIDIDKEEGVHCFPRIILGLEKHKELNIDPLKSPHYSMKNFREFLRSSYHLKREYAITLRHGEKKRPRLLIISRKRTRAFMNEQELVKMAQNLKFDVVLLEAHSNLTEFSEVVNSCDVMMGVHGAGLTNLVFLPNNAVFIQVVPLGRLEWLARTDFGDPSKNMNLRYLEYRIEQRESSLIQKYPPEDIVFKDPYLIQRRGWSDFRSVYLDNQNVKLDVRRFRATLVEALRMLRAGVTTRAKSSRIVCHFTEPESDFCEMHGDTRILGNSSTIFLPHFSVADMFTKNNWPRIKPYARKTDEGAMQHVRKFKFSQEMPRCTQNHSVPAVLFSIGGYTENYFHSFSDVVIPLYTTTRKFKGQVQFLLADKNVSWTTKFEVVLKKLSNYEAIDIDKDEGVHCFPSMIIGLKKHKDLSIDPLNSPDYSMTNFREFLRSSYYLKREYAIKLGSKGKKRPRLLIISRKRTRTFMNENEIVTMGQNLGFDVVLAEARSNISEFSQLVNSCDVLMGVHGAGLTNIVFLPKNAVLIQVLPFGDFEWIARTFFEDPSKTMNLRYLEYKISEKESSMIQKYSLDDEVFKNPPSVAEKGWSYFKSMYLDNQNVKLDVCRFRATLVEALRMLRADVTTGVESRIVCHFTEPESDFCEMHGDIRILGNSSTIFIPHFSVADMFTKNNSSGIKPYARKSDEGALEHVRQFRFSQEMPKCTQNHSVPAVLFSIGEYTGNYFHSFSDVVIPLYTTSRKFNGQVQFLLADKKVSWTTKFQVVLKKLSNYEAIDIDKEDGVHCFPGMIIGLKKYKELSIDPVESPDYSMTNFREFLRSSYFLKREYAIRLGLKGKKRARLLIISRNSTRTFMNENEIVTMAQKLGFDVVLAEASSNIPEFSQLVNSCDVLMGVHGAGLTNIVFLPKNGVLIQVVLFGGGEWLATTYFGDPSKNMNLRYLEYKISEKESSLIQKYPLDHEVFKNPQSIAEKGWPYYKSVYLDNQNVKLDVFSVADMFTKNNWSGIKPYARKGDEGALKHVRQFRFSQEMPRCTKNHSVPAVLFSIGEYTGNYFHSFSEVVIPLYTTSRKFNGEVQFLLADKNGSWTKKFQVVLKKLSNYEAIDIDKEDGVHCFPGMIIGLKKHTELSIDPRI >KZM97485 pep chromosome:ASM162521v1:4:15140910:15145491:-1 gene:DCAR_015153 transcript:KZM97485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRNPGTPVSKIVRTPVSTPGGTKSREEKIVVTVRLRPLNKREQLAKDQVAWECIEDHTIVYKASPNERVAHHSASFTFDKVFGPASFTDTVYEEGVKNVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVHDIYKHILNTPERDFRIRISGLEIYNENVRDLLNSDSGRNLKLLDDPEKGTVVEKLVEETANDDQHLRNLIRICEAQRQVGETALNDTSSRSHQIIRLTIESTLRENSDCVRSYVASLNFVDLAGSERVSQTNSEGVRLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPASSHVEQSRNTLYFATRAKEVVNNAQVNMVVSDKQLIKHLQKEVARLEAARTPDPLSEKDYKIQQMEKEIEELRIQRDLAKSQVDELRRKLQEEPQVLKMTESRSPIVKKCLSFSGTLSPNLAGKETDHFVKMRNTTGRQTMRQSSTAPFTLMNEIRKLEHLQEQLGEEANRALEVLQKEVACHRQGNQDAAETIAKLQAEIKDMCAVRPAPKDVKVENVVSVNKSISANLKDEITRLHSQGGNIANLEEQLENVQKSIDKLVMSLPSNEDQQCNAEASLKTKNHSKKKKLLPLASSNTTNRQNFIRSPCSPLSSTKQVSNSDTENRAPEYDDNLSNDTPLVSGKDTPTKSEDGGDVSSKEGTPYRRTSSVNMKRMQKMFQNAAEENVRSIREYVTELKERVAKLQYQKQLLVCQVLELEANEEAGYDLENEENMTELQEVSPISWSVTFREQRQQIIELWDVCFVSIIHRTQFYLLFKGDPSDQIYMEVELRRLNWLQQHLAEIGNATPAHTGEEPTISVSSSLRALKREREFLARRLTVRLSMEEREALYIKWEVPLEGKHRKMQFINKLWVNPHDARHVQESADIVANLVGFRQSGNLSKEMFELNFVLPSDKRPWIMGWSNPISNLLHL >KZM96843 pep chromosome:ASM162521v1:4:7538725:7542796:1 gene:DCAR_015795 transcript:KZM96843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGICQGVPRGPGGSREYLDRGPPPGYRVVILGEDCGGIQLCADDRGVKRMIGVTATKMISEKLRNQTAEVFPPEIKAITGKELKLRIVITEDNVKGLEDKAWRTKQSSVQLLGAMAYCASQQLSQCFPKIVPKLTEAQHVAGS >KZM96627 pep chromosome:ASM162521v1:4:2654441:2654930:-1 gene:DCAR_016011 transcript:KZM96627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLFPDGEDRPFPRQRNALTGARRLEQLFDKADDMSVDQHSAHHRHYACDDPWEGYMNLGSPSGRCIKCGSSMWKEERNKSRKNSQPTYSLCCRDGMVSLPLEIQFNSLSSDGSNVPFYSV >KZM98780 pep chromosome:ASM162521v1:4:26836888:26840840:1 gene:DCAR_013858 transcript:KZM98780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKSSLIDPDGGSLVDLVVPEAQRAVKASEAESLPKVKLTKIDFEWVHVISEGWANPLKGFMRENEYLQSLHFNSLKMEDGSVVNMSLPIVLAIDDDAKQTIGSSPDVALLGPNQDLVAILRRVEIYKHNKEERIARTWGTVAPGLPYVEEVITPAGNWLIGGDLEVLKPIKYNDGLDHYRLSPQQLRKEFDRRQADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFKVAAYDTVEKKMAFFDPSRAKDFLFISGTKMRTFARTGENPPDGFMCPSGWQVLVKYYESLQAEEEVSQKSAVLSS >KZM99542 pep chromosome:ASM162521v1:4:32881593:32881859:-1 gene:DCAR_013096 transcript:KZM99542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRTDNDIKNYWHVHLKKKLGKVNSRGVEGKQNFEDYKHYDDVATIGGLDYIKAYYDKMCKNVIVVNSITTQQNNQEKAIAFTNKRL >KZM96984 pep chromosome:ASM162521v1:4:9450380:9456881:-1 gene:DCAR_015654 transcript:KZM96984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVHIDQDSDSDATMVQVSFGDRLGALIDTMKSLKDLGLDVESSERLAMGEAFGIKAPEKKLDVDIATHIHVKDDGPTRSLLSIETADRPGLLLEVIKIVADTNVTVESAEIDTEGLVAKDKFHVSYRGAALNSTLSQVLINCLRYYLRRPESDEDSY >KZM97484 pep chromosome:ASM162521v1:4:15134322:15137937:1 gene:DCAR_015154 transcript:KZM97484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLVTNTSFGFKNLIETFTVNVQKAEGRELNVPLIAPFTIATSRLEKVENVAIRIELSNGCVGWGEAPILPFVTAEDQPLALKKAAEACEFLKRSPGMTLSSVLGEVGGILHGHQFASVRAGVEMALIDAVANSIGTPLWRLFGGVSDTITTDITIPIVSSSEAAQLASKYRKQGFKTLKLKVGKNLNGDIEVLQAIRTAHPDCLFILDANEGYTSTEAIQVLQTLHEMKVTPVLFEQPVHRDDWEGLGHVTRIAKEKYGVSVAADESCRGLADVKKIIKENLADVINIKLAKVGVIGALEIIELARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSEDPVFEGYKVSGPVYKFTNARGSGGFLHWDNIRCSVNSPPGWKSLEQNSYPRFTN >KZM98457 pep chromosome:ASM162521v1:4:24140912:24146042:1 gene:DCAR_014181 transcript:KZM98457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSSSEISEKVHTKKGLKKLSSMLPKVEPFLPRRDHNPRELISWAKRTGFRSTFSGETGTSLSEKGHSQSERNESEGFDLEKGLDKNGSSSPKFEIDPILGRTRDRGSEIEQVREGLRKNEREGNERLNGVVRGDNLRSRNEVESIFSDIDEVRVAGLSRNRNGGRGDDSRRDVASNVPLSEFKKDDEDVERDAGVDVHQSGEESGDEGWQKSFSLKCGMRDNPGFVPLICYGLQHYISLAGSLIFIPLIIVPTMGGTDKDTSYVISTMLFVSGVTTILQSYFGTRLPLVQGSSFVYLAPALVIMNSQEFRNLTEHKFRYTMRELQGAIIVGSTFQSILGFSGLMTLLLRFINPLVVAPTVAAVGLAFFSYGFPQAGSCVEISIPQILLVLLFTLYLRGVSVSGHRIFWIYAVPLSVVITWTYAFFLTAGGAYSYKGCVSNIPSSNILIDACRKHAQTMKHCRTDVSDAWHTAAWVRVPYPLQWGTPIFHLRTSIIMIIVSLIATVDSVGTYHSASVRISLRPPTPGIVSRGIGLEGFCSVLAGFWGTGTGSTTLTENVHTINITRVANRRAMELGAAFLILFSFIGKVGAVLASIPLSLAAATLCFIWALTVALGLSTLQYTQTSSFRSTTIVGVSLFLGFSIPAYIQQYRPESILILPSYFLPYSAASDGPFHTANKQLNFATNALLSMNMVVTFLVAFVLDNTVPGSQQKRGVYIWSNAEEATTDLSDYSLPNKVARCFHWAKCLGA >KZM99551 pep chromosome:ASM162521v1:4:32944323:32945549:-1 gene:DCAR_013087 transcript:KZM99551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRFPTEEDMKGIEHKLPFMSKFDILVEVCRVASELYDQENKSNEGSHEKSWGKRPMRGDHDQENKSNESSDVKAFDVEKSWGKRRVRGDHDQENKSNESTNFKAFFDVEKSWGKRAVSLDHDQENKSNESSNVKAFNIEKSRGKRAVRGDHDQESSKTVWLSSRSRICCISGEELFKRGLKRVEGESSSGFRGNAGRSGSGLDRGKKRKYYGFEDFEVYEKKERVLGKKRTYCGPKDEDFEYSVERKKARKNNFKVRARVVEAAPLPGEFAAEILRLGGNDVKLLIQKNITATDLNASENRFSIPVNQLREEGKDFLTEDEEKTLDRRNAGNGIVPIPVRVLEPNLKRSFINFKKWPMNNSFCYVLCGSWTVMQKNNRLQANTELQVWSFRVNGELNLALVKVPKI >KZM97138 pep chromosome:ASM162521v1:4:11362018:11362623:1 gene:DCAR_015500 transcript:KZM97138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTAPHPQHAAAGPRHSKLLRTIAIVLLALIIIVALAVLIIWLVIKPKRLVYTIEDGSIHGFNLTNDHLDANFYFAIRAYNPNKKVKIYNDKVEVSVYYNDQKVAFNSVSPFFQPHQNVTRLGVSLLARNASLNHEDSKDLRLEKSSGEIEFEVKVKSRIRYRVGKWKSSRRTMKVTCKGVMLHTSSSKGFDRSYCDVDL >KZM97863 pep chromosome:ASM162521v1:4:18748090:18751473:-1 gene:DCAR_014775 transcript:KZM97863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVDLDMGWSRYRRKRAERKEEERRRMKKLNNYYSKIGIENAPTSIVGQQITDVDFWDAATNGVFNFKICLNNIFQDGNTSQSTIFGQLTVSDEVTFLHIASRDGQEVVASFVADGFSESLSCIDNKGDSALHLAASPGHNEILQAITPKKPVLVHDRDEDGHGPLDFASLTGFLEGVDFRQDGLKPDTDAVNTGIDFQKNTHNIDIRQLELWAGRNMRLQSLKDQISAEDHAQMGNDQLMSLEVSTYAQKGNIDEFLDFLSSGTHVSVIYIQKTPLQNTFLHIAASFGNKDLVQFFVHHFPGLHSKKNIRGDTALHVAAAAGHLAVVKILLKLYIDQVKKNSFLDVDIESNNERLVAKLNERVQVNDCGNTPLHEALMNNHGTVAMYLITTKIEDAFFVNKLGESPLYLAVEANNIDYVKNILIASSFHAHRHNLYEEVTKGKSLVHAAITSRNIALLKELTKMKTEVLSITDENEQTPLHCAASTNFAEGVDFILEKYKMDIFEKDSDGFLPIHYASKYGHIGILIKLLQYKLDAREFVNQDGQNILHVAAMYGKHDVVRYILKTPGLELLYNEIDKDGNTPLHLAAKKWHPNVVSCLTWDKRVHLKLLNNEGLTAMDTFEENMKGTVSYRQRLTYITLKSAGVGRAELQKKLKLKRQESAVTEPYNMEDWKDRVNTLMLVSILIATVTFAAGFTMPGGYKDSEPDHGMATLLNKLAFQAFVICDAIGMYSAILVTVTLIWAQLGDPTLTINPLGLALPLLGISLTMMSLAFMTAVYVVISRLVWLSYVVLILGLFFLTCLLVFFTPFTLPHTSENLIARYLSHYSISLLMLVSGSYFDPEVEDNQL >KZM98770 pep chromosome:ASM162521v1:4:26771411:26773749:-1 gene:DCAR_013868 transcript:KZM98770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGVKKGVKSKVKKEETYDDDDDFVDTKPKIQQPSPNDDVDEKPSVANTSSSNKRKRVKKEEEWEVINQIGVKDENNGVKKREKKIFDLPGQKRDPPDERDPSRIFYESLYKQVPTSEMAAFWMMESGLLTFEEASKVCQKKLQMKQQQKLASPMKAVVTVKKESGTVKRNIISATEETTTKKSKGAVKSSKRKSDDNQTDNDSDDDFASPVNRGKKPRAGKKSKAT >KZM97882 pep chromosome:ASM162521v1:4:18917404:18921220:1 gene:DCAR_014756 transcript:KZM97882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTLFKTFPKLAHIAITCKNGAVFAPSSSNFYLPRTSITRTICCYSSSSEKGSNFEAFGRELLGLSDEQLLSQCDQTTFKSSGPGGQHRNKRETAVRLKHLSTGIVAQAAEDRSQHMNRASALTRLRTLLALKVRNTIDLDTYSPPPELLQILPAKSTIRGKDIGSQIGPKNPKFVLGMQALLDLICAVDGSVADAAKKLGLSTGALSRLILSDDSLRMAVNEFRGSKGMKPLK >KZM96648 pep chromosome:ASM162521v1:4:2962839:2963295:1 gene:DCAR_015990 transcript:KZM96648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYYFFTIFLFILVTNECWGCSNEDVKVIIGPSGRQVQWKPEWTVIVFNSCTCPVGRIYLSCPEFDTVEPINPSMFLRIRDGRCLINQGNVLLPKTFIRFSYPWDTKFNLPVKYSTPQC >KZM97499 pep chromosome:ASM162521v1:4:15294052:15294408:1 gene:DCAR_015139 transcript:KZM97499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSQRKRKKSRSGNVDGNTRRHKKEATTTITTTTTTTTTPPPTEEEVDEFFTILNRMRVTAKYLKSSKSANANNDGDVIFPATELPVLHEAKVEVKVGDANLVLDLNSLPDGEINSD >KZM99545 pep chromosome:ASM162521v1:4:32890715:32894870:1 gene:DCAR_013093 transcript:KZM99545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKSPSIDDEETAAIAPASSKRHRKPKREHHSDSDGEEDDDHINGADKHDSDSDSDSGSKHSSRSSRKRSRSSKRRSRRKYSDDESESESESDSSSEYSDSEDERRRRKRRERKRREDRERRRRREKDKKKKRSKRDDDSDSDEDEDRKRKEKKKKKSKRKEKSKKKKDKGKTGAVTNTWGKYGIIRETDMWNKRPEFTAWLLEVKQVNLESLPNWEEKQMFKQFMEDHNTATFTSKKYYDLDAYHRRKLEKQVKKGFKKVVDSERIVFNDEEQRRLEMQKERERQKEEQVEALKLAMQSGQAQAMKEQAQLREEMAYQYKLGNFEAAAAIQRRLDPDVAM >KZM97625 pep chromosome:ASM162521v1:4:16318711:16320174:-1 gene:DCAR_015013 transcript:KZM97625 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MASQSQQLHIILIPFLAQSHILPILDFAKLLSQRDLLITILTTPLNSISIQSMIDHATISTHAKIILITVPFPSIQVGLPEGCENMDTLPSPDMAFNLFAGCDMLQEPVLKMLSEFEVRPSAIVSSNALAWTGQVACSLGVPRYAFQTISCFSMLVSHNVGRMNVGESVVSDTEAFEVRDLPDKIVITKLQMPKTGLQRGADVKSIVQKMKEAEGFTRGMVVNSFEEMEPRYVEGFKNMGRRIWCIGPVSLCNQDSVDKLERGGRRGAIDENVCLKWLDSMARRSVIYACFGSIGRIPSAQIIETGLGLEASECPFIWIIRKKDVSEQVEKWLDDEKFEGRVRGRGLIIRGWAPQVAILSHPSVGGFITHCGWNSTLEAICAGVPMITWPMFAEQFYNEKLVVNVLKIGVRVGVEIGMKSELEDENKVFVTRVQVTEAIEHLMDDPERITRAKELSKLATKATSDGGSSFLNITALIQDIMEQTISK >KZM99895 pep chromosome:ASM162521v1:4:35669116:35670887:-1 gene:DCAR_012743 transcript:KZM99895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFLWDRFATILVLLPLLFITVIVSTLSFSSPLTLEKKSSVLSLTVSDQQPLNLLGEVEDFSFPKQLEAPTPVYSPTDDQNTRAEILHADTLSLNESNDSIIKTKIIRKYSKLEMVEARLVQAREAIKEAARNRDSTSILEDPDYVPQGPIYRNAHAFHRSYWEMEKVFKIYVYKEGERPMFHNGPCKSIYSTEGRLIHEIEKGRLFVTEDPEEALVYFLPFSVVMMVQYLYIPGAKEYHAVGNTVADYINIISQNHPFWNRSLGADHFMLSCHDWGPHTTSYVPNLFNSSIRVLCNANISEGFNPKKDVSLPEIHLRSGEITGLLGGPSPSKRPILAFFAGRLHGHIRYLLLEQWKDKDHDVQVYDSLPEGVSYETMLKTSRYCLCPSGYEVASPRVVEAIYSECIPVLISDGYIPPLSDVLDWKKFSVQVEVKDIGNIKKILMSISQSQYLRMHRRVKQVQRHFVVNPSPRRFDFFHMIVHSIWLRRLNVQIQG >KZM98168 pep chromosome:ASM162521v1:4:21655669:21663176:-1 gene:DCAR_014470 transcript:KZM98168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEMFERRSSARIRKLNSERSLSGCKESKYKEVEPEREKKKSVKRKRIEKPKAGSKIEEAVQVKAPSEAVESNGGEVAEAAGEDVVPAAEPESNGNENEGGSRSAYAVVRAAVRCFYTHYLHFVQEEEKRCGKAEADKKAAKGASKTKKIAENGDVPKDSSKNSSRRPDLKALTKMIETGSALRPNRIGSIPGIDVGHQFFSRAEMVAAGFHNHWLNGIDYVGQNGSKIEDLSRYTLPITVAIVISGQYEDDLDNCEDVIYTGQGGNNLLGNKHQIKDQKLLRGNLGLKNSMDQKVPVRVIRGHESKISYVGKVYTYDGLYEVVNYWAETGISGFTVYKFRLKRLEGQPTLTTAQVQFTRGQIPNSVSEIRGLVCEDISGGQENIPIPATNVVDDPPVAPTGNTKIEVPASMTLPTQVPGCDCQGNCVNSGDCSCAKLNGEDFAYVHRDGGRIIEPKAVVFECGPKCGCGPDCVNRASQRGLKYRLEVFRTAKKGWAVRSWDFIPSGAPVIEYIGKLRRTSEDLDPENNYVFDIDCLQTMKGLDGREKRVGAVSLPSHMADDGEMDRGPEYCLDAGSSGNVARFINHSCQPNLFVQCVLSSHHDAKLARVLLFASENIPPLKELSYDYAYALDSVVGPDGNIKQLECFCGAADCRKRMF >KZM99894 pep chromosome:ASM162521v1:4:35663097:35664445:1 gene:DCAR_012744 transcript:KZM99894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVDDYYVQVVADTCKNSSAASLVVEGESSSSSVSRSFDHNRIKCNSWKTRYKFWAPLTIILLLALCSMFTGSVTLHWSTAAAALPDDLDYPLHSDLDILEVEERVKMVRRMWNVYTHSNTIKLPRFWQRAFQAAYEDLTSHVSSLRNNALLEIAQMSMFSLQSLPQPALPLETQREQTINCLVWTVCVPRKHISILELK >KZM97907 pep chromosome:ASM162521v1:4:19316589:19330379:-1 gene:DCAR_014731 transcript:KZM97907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLWKKLHIVSNQSENVEGSTSSKSNRFGDVSTPDRLLQAQSDINSEHKDKTFSALSGWLNSVSNKRSPSPAPSSSLNVTGKERIEQSDYVNGNAQDVVRPDLESSDLRDPSIVEEEHQIQLALELSAQEDPEAVQIEAVKQISLGSFPAENTPAEVIAYRYWNYSALSYDDKILDGFYDLYGSLIKSTSSKMPSLVDLQQTPVSENISWEAVLVSKASDSKLLRLEQKASEMSENLRSEYSNSEGTTLVQGLAVLVSDHMGGPVGDPDNMLKAWNNHSHYLQKDLGSMVLPLGSLTIGLARHRALLFKVLADSVGVPCRLVKGQQYTGSDDVAMNFVRIHGAREYIIDLMADPGTLIPSDTTGLHIGYENSFLSSTSSAVGSSATTMANSGDTSSHEQPLERQAPELRSKVSNINSAEIKLDDRSEFVASTNELLHNKAERGSKTLSAIPESLGKETVRGIPRKSNYSFMHARSPSWTEGVSSPAAHKMKVKDVSQYMIDAAKENPHLAQKLHDVLLESGVVAPPDLFTEIYQEQVNREKEEQKVSSENDKRIITSDEVLKTEDKPDPIRPRLLPPLPSYSALAKQGQYGRSESGINEVGEKVLLQAYVAPIKHVRNVPVAAAAAAAAAVVASSMVVAASRTTADTNPGLPVPVAAAATGTAAAMVATTAAAGNRHENLDANEPSAAIPYFNPLGCARYNEDADGTIYDPQGSGDQLLDAARGNPEGERISDRSAGNDSTRSDISLDDISDCEILWEDITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQELTGDSLEEFRSEVWIMKRVRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRLIHRPNNQLDIRRRLRMALDAVCDFGLSKMKNSTFLSSRSTAGTAEWMAPEVLRNEPSNEKYRCDVYSYGVILWELCTLQQPWGGLNPMQVVGAVGFQHRRLDIPDDMDPAIADIICKCWQTDPKLRPTFSEIMAALKPLQKPISSSQVPRPPSAANSWREKEKPISSSQVSRLPSSASSGQEKGQP >KZM98486 pep chromosome:ASM162521v1:4:24361445:24362095:1 gene:DCAR_014152 transcript:KZM98486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPSSPYSWDELLHHHSFLPSPLEYHQLNSPQLFSAFADHEPPESSNTYWSRSTNNDEEMILKMKQEQELIADDIKNEEKRYIGVRRRPWGKFAAEIRDSTRNGRRVWLGTFDSDEEAALVYDQAAYLMRGSLAHLNFSVKRVQDSLREVKYRCKEGCSPAEALKESHKMRCNTMRSKNKKINKQNSNMFVFQDLGADLLEELLNKSAESSSTCF >KZM99403 pep chromosome:ASM162521v1:4:31814978:31824441:-1 gene:DCAR_013235 transcript:KZM99403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTNVEKLVRNIFERTNGIIEQVKQQTYLYEQQLASNLLIQGINPPPWFLNPNLHSVSSDPQELNREELISDLLLPHARLTFPYSTGQCYLRSRVTGIRDNGELLDKLPNDIQIQDSNNVPDTGDEPRLVHESHGNDVGYSANCVPRLSSTYSHDQADVGITNISIKSGQSPGISRTSQLVIAQDGEKASDESHMIVGAFDNGLDGGNELLSSVPKCSSTDQTEFSIPNTDIAPDQSLARLQRSKSRQKALELRTSGKATKSRLSDENKRISFGAVTGVALCGIDSDEADHYKGLLKMDKSPYTSRGSSGKRVASGALCNENGTNNNCGRMTRSRSTCQRPSSVNEPSKLAVSYETVRKHRGEEKSVISQSKGIDLSMENGTSGQIKRSTTSCIQDICPNESLEICRTSTIEYEGVGSLSQLMDYDHQPLNEEIEVAVPFHASKEKSDEREEAAIEISKVREKESSKSLGNIMRSMSSSQQHSHVNDAVGLGTSCYIPEVDGGILGESSGKLSQNPDKPNEVLEVVKPSEVDCTNKSSKDTCHSNKLVPSATSFDIADLKVTHSRSDATENIPLLSSLNRSDENTHKDLSGSQLVLPVTKSSGIVNQEEKVPFATAIEHEGHLPGVGSNLDDFNIRTESEGLDVRLAADANFVVKPKQLDFNDLEDCRLNECDSLPSVIKSPQQYLQRTCHKLPEPESLEKSTNDYPSKVSFEMQLLPQSEACEEKTNAPEVDKTNTEECNEIETTLEAYIIGKHQVNHAEDGSDLHKRSYQDMHPPNCLLKEGCAEQRIDEGNFCCVRGTASEIIPIASQQQCSVPSAVPKLTPLVTEDPNSFLDEEVNMKDQPDGRCCIVDDEKKLQQNKHSFDCENINTLSSTEIMCLEDGSNNLAEGGLYTSASFGDHQNEYNNICSLEFGEAQPTLMSSLPKSRYRHIEFNSWPQLKRRKIDYQQTNCSSAIASLREQNLHSMQGGHRMSSHSKNAENDLDAVQKLLAFSSSCEQDGSESSGMFFEKMECHLADGTFCSPVFKNQQEDGQFCFTEQMKVVQTTCPSENEELGPCLVSSLSKQATRESQGSFVENFTTSYPPNEILAAKSLSPEQEFQKIFHVRNDGDLEDADILTSSRNPLLDCDPHLKDGGPVGLQCNNELAFDQNLPIYEGFVVDEHSDNVGLDASRDEINFTGNSMQRASILEQICRNVSMCTPLVHLSPTYKPFTNEDPCLSVPTRLHEHADRTSNISLSEDAGNHLQATSTNEVDSALRKMLYSDSLPYSGAQYSWNAKNCDTPVGRFCPRITSSLVNSEKRLSSNPELTCFRIEEDSCVLDETENVYDDDHIQEDISLAETNSCSSGEPPPETIEVFLNPPAAKKLHQTGGLDHSNAEIQSSVKQRLRSHSSNNKSKLWGKENLLSAAGAYNVKVSESLHNRLSKAKLSSTTSIRRGTQRLSEKVKRNNIVSNVTSFIPLVKQKQEAAVCKGKRDIKVRALEAAEAAKRQEEKKENERKIKKEALKLERAKIEQETLRQRELDKRKKEEELKKKEADLAARKRLREEEGRMEKERKRKRIVGWQGHRVQEEKTLAKIGEKEKRCANTGKSTNIRKESNSRTGKAQQLDKVSRDENTLKKPKSQTEFKGTKSSIQEVNIAPENCESLGTHDGSRKSTSMLDRLSSRSGDGHEKSYEMSPYQHSDDEEEEEDEMPTKKFIPTWASKNSVALVLSSQQQIDPSVIFPRESFCSMNEDTYSEEVAVVILAGYCKFHPV >KZM98822 pep chromosome:ASM162521v1:4:27135619:27141204:1 gene:DCAR_013816 transcript:KZM98822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIGSSHIVLHSGANGRPASLFPGLSFSSSNISGDKITSSSLKTCRNDRRSMILSPKAVSDSQNSQTCLDPEASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNSHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEYLILAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKSMKVDTTILGLDDEKAKEMPYIASMGIYVVSKDVMLNLLRDKFPGANDFGSEVIPGATSVGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDTDRKSLAAKGSVPIGIGKNSHIRRAIIDKNARIGDNVKIINSDNVQEAARETDGYFIKSGIVTIIKDALIPSGTVI >KZM99732 pep chromosome:ASM162521v1:4:34351578:34356928:-1 gene:DCAR_012906 transcript:KZM99732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQWRKFDFFEEKFGGKISLPDEVTGKLQCCSSGRGKIAVGCDDGTVSLLDRGFKFNYGFQAHTASVLHLHLLKQRNFLVTVGEDEEVSLQKLSFVLKVFDLDKTLLPEGPSTSSPECIQILRIFTDDFPEAKITSFSVLEEAPPILLIAFGLDNGCIYYIRGDIARKQIKRIKLQVEDTPDKSQLPVTGLAFRVDGQTLQLFAVTPTSVSLFNFKTQPPSRQTLDNIGCNVSSVAMSDRLELIMGRPEAVYFYEVDGRGPCWAFEGEKKFVGWFRGYLLCIVEDQRTGQNIFNVYDLKNRLIAHSISVKEVSHMLCEWGNVVLVMTDKSVLCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADATATAEVLRKYADHLYSKQDYDEAMSQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGFASKDHTTLLLNCFTKLKDVEKLNIFIKSEDGERKFDVETAIRVCRAANYHEHAMYVAKKAGRHEWYLKILLEDLGSYEEALKYISSLEPSQAGVTIKEYGKILIEHKPVETIDILLRLCTEEGEAPKRGASNGTYVPMLPSPVDFLNIFIHHPHSLMNFLEKYTNKVKDSPAQLEIHNTLLEIYLSNDLNLSPSSQVDVGENGKPRAEQSSANGKYANKAEECHEKFQKGLLLLKSAWLSDQETPVYDVDLAIILCEMNGFREGLLFLYEKMKLYKEVIGCYMQAHDHEGLIACCKRLGDSGKGGDPSLWADLLKYFGELGEDCSKEVKEVLSYIERDDILPPIIVLQTLSRNPCLTLSVIKDYIARKLEQESKLIDQDRRAIDKYQEETLAMKKEIHDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHQRCLGDNEKECPECAPEYRSVLEMKRSLEQNSKNQDQFYQQVKSSKDGFSVIAEYFGKGLISKTTIGSVDNTR >KZM97817 pep chromosome:ASM162521v1:4:18086328:18090685:-1 gene:DCAR_014821 transcript:KZM97817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTPVYAFLICVVQFLHLSAAQNVKGGYWFPDSGIAASDIDSTLFTHLFCAFSDLDNVTYQVTISSANAAQFSQFTNTVQSKNPSVKTLLSIGGGSSNDADFAKMASTSASRKSFIDSSLQLARSNNFHGLDLDYEYPLTANDMVNLGTLLDEWRAAADAEAQASGKPRILLTAAVSVGPTVDGLRYPTQSISRSLDWINVMAYDFYGPWTPSMTNAHAALYDPSGRVSGSSGIESWIQAGVSANKLVFGLPFYGRSWQLVNPDNHGLMAPANGPPEGSGEGARGYNQILEFIANNNAPTIYNSTIVADYCYSGTTWIGYDDKQSISTKVSYAKQKGLLGYFAWQVASDANWALSQQVNQLLYRGKKSSSPNLQVFSFAGKLKNRQEIAVKRLSQTSKQGVEEFKNEVALTAKLQHVNLVRLLGFCTHVNLVRLLGFCTAKEEKMLIYEYMPNKSLDFCMFGIPSITIASSH >KZM99192 pep chromosome:ASM162521v1:4:30094554:30097785:1 gene:DCAR_013446 transcript:KZM99192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKMMRWRPWPPLVSKKFEVKLVVNGLQGWCFTGGDLLHAGAQKECYPKVAVEIKWKGPKVALSSLRRTVKRNITKEEAVGSNGVVRWDQQVFCNVCTLSGIKDTVFHPWEISFTLLNGSNLGPKNKVLTIGTAILNLAEFAYAADGEACELSIPVVVSGTAVEPRPSLCISLTLVELRIAQESVHPVLKPRVSIASPFHAGGHSSAEKDELSALKAGLRKVRIFTDYVSTRRAKKVCLEEEGSDGRYSSRSEEGDYTYPFDSESLDELEEGELDEVKEDPTVRKSFSYGTLASANHAGSVYSNVKSNTEDEDWVYYSNRKTDAGCSKVEDKHTSLPEQSVCVNSKRSLLPWKKRKMSFRSPKAKGEPLLKKGNGEEGGDDIDFDRRQLSSDESLSFWWQKTDGESCANRSSVSEFGDDTFAVGNWEQKEILSRDGHMKLQTQVFFASIDQRSERAAGESACTVLVAVIADWLHNNHNLMPIKSQFDSLIREGSLEWRNLCENEEYMERFPDKHFDLDTVLQANIRCLSVVPRKSFIGFFHPDGMQEERFDFLHGAMTFDSIWDEISHAESKCLSSGKPMIYIISWNDHFFVLKVEAEAYYIIDTLGERLYEGCNQAYILKFDRNTTIYKLPEGTAQSLELSLSGDQQQIVAPELGNKNQQVDAKDNSAEKAVEKEPDEIVNNDQEEVVCAGKESCKEYIKNFLAAIPIRELQADMKKGLIASIPLHHRLQIEIHFTQSQTSPEPENSDSEIVMSSLPAPAAYLPEAGVAA >KZM99334 pep chromosome:ASM162521v1:4:31182614:31187570:1 gene:DCAR_013304 transcript:KZM99334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYISTKGIKRLNRVSGGGYWQGMKGRGSPAIIRRISYRTLLLLLLLMMAIVLPFMFLRVAYLVLESSALCSSPLECMRLRLFGGSDSSTLREELERALEEVNNNDEIGERIAEASPESFTDLVKDMVLNRQDIKAFAFKTKAMNMKMERKVQSARRHESFYWHLASHGYPKSLHCLHLKLAEEYAVNASARSPLPSPEYISRLTDSSFNHVVLLTDNVLAASVVIASTVKNSGNPGKLVFHVVTDKKTYSPMHSWFAMNIVASAVVEVKGLHQYDWSHKVNVEVKEMLEIHRLIWSHKCEYMKGEDSDHERDLEFLSPSYISLLNHLRIYIPELFPDLNKIVFLDDDVVVQRDLSSLWHLDLKGKIVGAVVDSFCGEGCCPGRKYRDYFNFSEPVMSADFRSDRCGWLYGMNVFDLEAWRKTNITKTYHQWLKFNLESGLGLWNPGTLPPALLAFDGFVHDLNPSWHVAGLGYRFPQVSLQTLNTAAVIHFSGPAKPWLEIGVPENCRVKSKVPSLSDKVIIQFRVNQWEEGLSSASACSKLV >KZM97063 pep chromosome:ASM162521v1:4:10327999:10334003:1 gene:DCAR_015575 transcript:KZM97063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHEADLNAAVVNEAEEFPAVVDVQEGEEEEVQVEAEAPWPSRNKKHMYFTTHTKLEEDVTFGIKIDEYAFDIFHFGDLDKLADDNRYLIGKIQNVGTNLKSVKNEVEKNLTKFELYNGSSAIGVTLFDDFGKSFEDELNNFQQEDVYVIICAARVGRYEGLTNLTNYPATRIYINPRHYSIKELKNNIKTMQEEDAVSSPEENEHIANMTVKDIKSLPENFDKMSKLCRFGLCTLCSDCTGSVAIIFPDEEISRIIDKTVFDIEAKAIKEKTQDKFPTILKQFENKKYTITLAINKTNLEEGSNVYDACEIMDKIEAGANHDPSRESNVSMDYQSTVNIKSDNEDTLHTGVSSNKTKSREELQPIAYDDQTAVPNKRAREDFKGFNIMLLDSKGNAMHAYIPAKCSYDMERKLRVGTVYIIRNFTVQAYKDKDKFRCLRNEMQMIFTQETTVHQVEEKGNNIAHEAFDFYDHSELMPLTNQTTFLADVIGIIRDREIVLEKLTNRHGQQQEQAKFIITDGRLSSEQFAKQVQSTEKRKPAELMTLFQIRNLTEEYTGVQVIAHVKIYELNETEPWFFNACTSCDCPIEMTNGNFYCDLCARIVPHAEKRFRLHVVAYDQTGKLDVILSDREVYSLIGCRARDVIPEGAPPAFFPNHLRQFTDKPFTIMLLICDENFKKNIKLYSATNICMGFKLDNTVDSGQTGEQRESTSTCPSEATTSYYHLQGTADDNLDSSTVTQKQ >KZM99081 pep chromosome:ASM162521v1:4:29280127:29282299:1 gene:DCAR_013557 transcript:KZM99081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDDDYLGDLSRFLPPEASLPPKKVATSTSNKVPILQTSNKRPKTLNWQEHRKLKREKQQKVEDQLTLANIESAIPQSNIGFKMLRQMGYTPGSALGKEGSGIAEPVGIEIRRGRGGLGREDPETEKMKREEKRVFRERVNEQELMAEFGSRQKEQWRSKRVVINFKKGKAALDQLENKEVVVEEKEEGDEKDGDEEEEEEEVITEEDLKDVLMKLRDEFRYCLFCGYQYESMEALNSNCPGIDEDDH >KZM97412 pep chromosome:ASM162521v1:4:14435903:14436865:-1 gene:DCAR_015226 transcript:KZM97412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKIFLHLCRCGKCKEFGHNKRTCPSLHPVEANAEAETADEGDSAELQETAIDYPDQGPPLTQQSQEFEGPLVEEEGDKDESEAASEDSEGKDASDASEDSEGKDASDASEESEDDETPLAIAAKKIRQMRIQKMGLTTTRDSPIMVAAGKLKTLKEIMDSEKKKETSVAEAQAVEMEDARRKTVKQEMQQRIDKGKNPMKVSEIEPRRSYRLFRKIALNKFTNTVDTPVVIDEAGEEDKQPPRVQINSYTGPMKLGKKQPPPVKQYKAIVGQTEVKSAPFLSHGRNVITKGTLAKALGALKKSYLPGSAAKGKDSQQP >KZM98807 pep chromosome:ASM162521v1:4:27039081:27045036:-1 gene:DCAR_013831 transcript:KZM98807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLSTPYHRRRLSGVNGFSSKNAYDDVFSAPPTLGARKVKSRVLEDYKEIFASSRASSIPVLDISPPAGVDADSVSDYRNSELDYSNIFGGLRDDDAGGVCYEQVIANAAGTNRETTKTSRKIRTPSAPESPIHGSDCLKASNESKVLSSDEPVQSVDDKKHFSMSYHKTNTGSKDGTNGTTHVAQFLPVRGFTRFIDETTPQKIEADKSKSSVVSDVLHKVDVNEAILENRNTTKGMSHLTNKDAFRRDSKSRSNSGWDGSYSYDKLFNSHENNLKSHPTENRPPSGIPYKIDSSGNSKRLWASKNDASEHVAGKSSSCIPGEELDVNSAAAASAAVLKDAIQKAQESIRLAKELMERKREGLRRPSSHNSRNNVKVKDKMENVIAYEGNRLKDTNAKDISGSVDFVSQLFSGMRKKSAIRSGLSPDFKQKERSFIKENVVDDENAKSLGMAEESELQSLEKKREVIPSIESMDKVESNVMEQQQVYNEKTDEKAQKLVYVERKLNAVKGDDQTDLNENKVEPVCIVSEPKKVENFRVLQEDEFVEAIHTHIHINKDGEKSSKDSQQEKEDATESESNNDLRVNIVPETEDIENKQDISQQDHIAKTTKDIEKDGSDYGVEKNGDDERISIDIHDGEGSEHIFENFGNEDLSGRFEKAEFICIETEEIEVLQVAHHGSDVYDHVHDKNETEKAFKYNINHVHLETGQEVDDHSTATKDFYDFNVDEAEAVKLAYNPREKEHLSTGNLAPDLADVIDTINNEKVVSEILLSKDSSPKFGSTFTDHGGIESTRKGGVRKDRMPSDEEAGKSTLGYVNDNLQHDAHFEISEGPKAKEKTSEVEVEIKIRKDTTKNVEGVDKIINIKEKGMEMNEEIQARQGKEIHDVSSSKNFDMEKEAKRTVSVVKEKEGLIKNNEEMKRDHEREKEKIAVERAIREVRERAFAEARERAERAAVERATAEVRQRVMAEARDKFDKDTAATKLSAEKASVQAKLRSERAAVERATAEARERALEKALSQKSRAQTERSTSQNHAGPSRDNSTKKSSSSDLETNCENNTDSVQRNKANVEKHERIMERTAKALAEKNMRDLLAQKELAEKNRLAEALDADIKRWSNGKEGNLRALLSTLQYILGPGSGWQPISLTEIITTNAVKKAYRKATLYVHPDKLQQRGANIQQKYICEKVFDLLKAAWNKFNKEER >KZM98394 pep chromosome:ASM162521v1:4:23592398:23593852:1 gene:DCAR_014244 transcript:KZM98394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNSGIREIVFFDLETNVPKRSGEQFWILEFGAIVVCPRKLVELESYVTLIRPGDLSVVALRPGRCGAITREAVASAPSFEEVADKIFKILDGRVWAGHNIQRFDCVRVKEAFASIGRPAPVPAGMIDSLGVLTQKFGRRAGDMKMASLATYFGLGQQKHRSLDDVRMNLEVLKHCATVLFLESSLPSLLNGSWHDGPTVQTRSRSLLDPKTYNNSSTLKDQLHKSSNMITTRRPSSDYGKLPCREEVSRKSPPATTLNHHQRPFPYSTRGSLRKVTERVKINHVLSNILRHSHSLLR >KZM99356 pep chromosome:ASM162521v1:4:31414036:31418184:-1 gene:DCAR_013282 transcript:KZM99356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLASGNFPIMGRERERRRLPRTMTVPGSIAELDDDQAQSVCSDNPSSLATDRMIIVANKLPLKAKRRPDNKGWSFSWDEDSLIFRQGISGAESGSDLSGPKKSMLVISEFIGCSPSLSGAIRINPWNVESTAEAMNEAISMAEYEKQLRHEKHYRFVSTHDVAYWSRSFMQDMERTCTDHFRKRCWGIGLGFGFRVVSLDPNFRKLSIDNIVSAYIKAKRRAILLDYDGTMMPQNSIIKTPSGEVISILNRLCGDPNNTVYIVSGRGRDSLSKIFSSCKNLGIAAEHGYFMRRSQDEEWEVCGHSSEFGWMDMAKPVLNLYTEATDGSSVETKESALVWQYRDADPGFGFSQAKELLDHLESVLANEPVEVKSGQFIVEGVTKGLVAEKIFTSMCENGKQADFVLCIGDDRSDEDMFEIIGSAISGNILSSNTSVFACTVGQKPSKAKYYLDDTSEVILMLENLAEATDSPASSDVENDVSS >KZM98712 pep chromosome:ASM162521v1:4:26323968:26344254:1 gene:DCAR_013926 transcript:KZM98712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIHINFSTLLRTAALCLFQAINSERPKARILASSNVTGGQRHAVNYCAMYDICGARSDDKVLNCPFGSPAVQPSDLFSSKIQSLCPTIAGNVCCTEKQFDTLRSQVQQVKNNSTVDGIDFYVDDAFGKGLFESCKDVKFGSMNTLAMDFIGAGAKNFKELYAFLGRKANLNAPGSPYAINFRSAVDESSAMELMNVTTYTCSDTSLGCSCGDCESSTVCSMSAPISSKEKESCSVRIGSLKARCIEFALAILYILLVSLFLGWGLFHKKTGKSPVSRTKPLVNVTDVGVLHHVNRQKDENVPMQMLEDAPQIRNGVRLSIVQGYMSKFYRRYGTWVARNPLFVLCSSLVVVLVLCLGLIRFEVETRPEKLWVGHQSRALQEKDFFDSHLAPFYRIEQLIIATKPDPEHGKSPKIVTENNIKLLFEIQKKVSDIRSKSPSVNVIYGDSTSSVAAILVDAIQANYSGLLISLTDICLKPLGQDCATQSVLQYFKMDPLNYDNYGQIGHLEYCFQQYSSADNCRSAFKAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDKKGNETKKAIAWEKAFIQLIKDELLPMVQSKNLTISFSSESSIEEELKRESTADAITILISYLVMFAYISLTLGDTPSFTSFYVSSKVLLGFSGVILVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQQLELPLEGRISSALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFLRAEDNRVDCFPCVKTSGSYADSDKGSDQRKPGLLARYMKEVHAPILGIWGVKLVVISLFAALVLASIALSTRIEPGLEQQIVLPRDSYLQHYFDDLSEYLRIGPPLYFVVKNYNYSSESSQTNRLCSISNCDSNSLLNEISKASLVPDSSYIAKPASSWLDDFLVWMSPEAFGCCRKFTNGSYCPPDDQPPCCSSADGSCSTNGFCNDCTTCFRHSDLQKDRPSTKQFKEKLPWFLNALPSADCAKGGHGAYTTSVELKDYEVGVIRASAFRTYHTPLNKQIDYVNSLRAARKFTSRISDSLKIELFPYSVFYMFFEQYLDIWKTALINLALAIGAVFIVCLAVTFSFWTSAIIILVLTMIVVDLMGVMAILNIQLNAVSVVNLVMAVGIAVEFCVHITHAFLVTSGDRNQRAAAALGTMGASVFSGITLTKLVGVIVLCFSRTEIFVVYYFQMYLALVVLGFLHGLIFLPILTPSALIAGIFEHVRPPFKMCAH >KZM99188 pep chromosome:ASM162521v1:4:30062212:30063533:1 gene:DCAR_013450 transcript:KZM99188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQLLVVQIPKPKVLISKTPKLQSLNSSSSPSNPRIKNLNSPYHNWELFAADYQEMQQNFKIFVYPDAYNTSSPFAKIFVPYPKSSLFNPSSRSKIGNYYSEHAFKAALLQSSLITTRPESAHFFYMPFSINAMRYDPRLHSEKAIGNFVGEYVRRISHEFEYWNASGGADHFYSYCHSVGREAASRHRGLSNNAIQVTCSSSYFQRFFVAHKDVGLPQVWPRQVHDHESTNARPKLVFFAGRIQNSRIRQEVVALWSNDTSMDIYPGNAPYAYEEGFKHSKYCLHLKGYEVNAARISDAIHYGCIPVLFSNYYDLPFANVLDWSKFSIIMNEADIVSLKKVLLSVPSETYESMYQNLKLVRRHFRWHETPRSYDSFYMTAYQLWLRRGLQRLPY >KZM99026 pep chromosome:ASM162521v1:4:28832305:28835009:1 gene:DCAR_013612 transcript:KZM99026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPRSNDLKEVLKPLYKRASEAEDRLARLEAAIASKQDSGYQDLLKKFNELQLKLEDARTSQDSEKEKALKEVQQLASENARLQYRILHLLRALEEAESKTTSN >KZM98071 pep chromosome:ASM162521v1:4:20874830:20875562:-1 gene:DCAR_014567 transcript:KZM98071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPEGNLPVGYRFRPTDEELINHYLRLKINGFHSEVSVIREVDVCKCEPWDLPDLSLIHSIDNEWFFFCPKDRKYQNGQRANRATVAGYWKATGKDRFIKSGKGLNVIGRKKTLVFYTGRAPRGERTHWVIHEYSATDEALSGTRPGQVHI >KZM99407 pep chromosome:ASM162521v1:4:31844979:31846217:-1 gene:DCAR_013231 transcript:KZM99407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILSASAVTAVLAFTLLLSSTTITAHNITAILNKYPEFSTFNHYLTETHLAAEINRRITITVCAVDNAGMADVLAKHLSISAMKNVLSLHVLLDYFGAKKLHQITDGTALAATMFQATGTATGTSGFVNITDLKGGKVGFGAEDNGGVLPASFVKSVDERPYNLSVIQISQILTSPEAEAPAPAPSTQNITKLMSAHGCKVFADTLSASDALKTYEDNVDGGLTIFCPLDDAFKKFQPKFKNLTVAGKQALLESHAVPVYESMQMLKQNNGLMNTLATDGASKFDLTVQNDGDEVTLKTKLVTARITGTLFDEQPLAIYTLNNVLLPKELFKAALSPTPAPAPAPEAADAPKGSKKKKKKSKVANSPSDSPADSPDEDPADQTADDNGAVVSNGGGLVAIVMSVWLVLLQL >KZM97234 pep chromosome:ASM162521v1:4:12626505:12626732:1 gene:DCAR_015404 transcript:KZM97234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVTMEIEGLRKLAKHPWANQHGDEDTTSLLGHTENQHSDLYEIQPSSYHNIVKDSEPYSSSTISLLHPPTSPR >KZM98592 pep chromosome:ASM162521v1:4:25296895:25299713:1 gene:DCAR_014046 transcript:KZM98592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDEVVIGTGCSQDQFFNPVLTMGYQVAAILVLSHACQLVLKFIPGPIAQLLAGFVIGPSGISNIDAIKKFFFQAFATDYYETMAMYARIIIMFLIGLEFDVPYLIRNFKRASVIALGGCVTSTIFAFAITSFIYEETAAEGNRYLMACMIAVVLSNSGSPLVIRMAADLKFATTDVGRLAISSSLIGDFYAVGLLVIISRNKKEKSKTWFSDAFIALLIIVAVVVANIYVTKLMNRRNRNKKYLSNIEVCIILGIIFGAAMGVESIGYSSIYACFFFGSLFPRGGKTMRTLLIKLTYTIHNFIFPIYFGYAGFKADLSFVYKHIWRIGIIVLVILLSIGGKITGTLAACHSLKTPLNEGVLLAFLMNLKCHVDLVAMTVGQQNAMVASQTFFSLMICSVVISSIISGPLIAFLVRRESNTLGYNHVALEWQDPDSELRILACVHSPRPVPTMVGIMATSRGSEHVPLTPYLMHLIELPHKIKSKKKKAHPQDEVEELIDEDDYGGNDVVEINEAVDDFTAETGVMIHQAKTVAPFTSMFKDVCEFAEDVRASIIILPFHKHQRIDGKLESDKVGIRTTNQKLLRSARCSVAILLDRGLTAGVIKASGSDSLQNVVTLFFGGPDDREALGLSKRLGSHRHINLTVIRFVSAEAPSNQNVEVNVAHKEEDVLMAISDDQKDSELDSIVLTDFYNRYVTSGRVGYIEKRVENGTETSSYLRDILDMYTLFIVGNGGRRRSSITIGINDWEECPELGPVGDLLASSDFDASGSVLIVQQHRDKNDDDDDNDDSYR >KZM96865 pep chromosome:ASM162521v1:4:7951695:7952330:1 gene:DCAR_015773 transcript:KZM96865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSKAVDQGPDEEHAAEPQEDESLDETEDEQESGDDDSQKSLPALTLVPKPPEPKAPTSSKPSHTKHTVSKIHKKRSAERADKVSKKRKVDKGLRNKDRSIKKKDKKRVSEANGNASVVLDFWGLFPRLCASLETEAVGDLKEILVRNVKENVEGMIRRMGEAKARELEEGWKAVHEKEGKLYVERTRLIQMQAEDAAESLSRTLRRGG >KZM97614 pep chromosome:ASM162521v1:4:16231079:16234448:-1 gene:DCAR_015024 transcript:KZM97614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQVHNKLFARGGDTILPALYMLRNGLHCGQPHLAPRSFFGVEDFLDDNNSRPYTYQKEKKSKNPNKHVSFKQRTVAYMEPFTLDVFISKRFVSASITHRVTSKQVAVAGTNSKDIKAALKSRSDIPACLSVGKILSERAREADVYTASYTPRDRDKFEGKIRAVVQSLIDNGIDIKVFLFVNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDAAQEAVKLRRLATKKLYSWSIVGATLEVIQKRRTKKPEVRDAAREAACGVEIKERIKKTKDEKKVKKAEVMAKTQKSSGRSNVPKGATSRELNSAAAVARVEI >KZM99694 pep chromosome:ASM162521v1:4:34100952:34102549:-1 gene:DCAR_012944 transcript:KZM99694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHGGRGGISNNSGEGGGLHMVLKKGPWTAAEDAVLLEYVKRHGEGNWNAVQKNTSLQRCGKSCRLRWANHLRPFLKKGPFTPEEEKTIIDLHAKIGNKWARMATQLPGRTDNEIKNYWNTRLKRRQRAGLPVYPVELLQQYNHRHHLKTLQNIPLSPSCSFASIISAAAAASSSTSHQNQYCCQNQSSVNFMKNCTPLDLLDYTPYRDNMNPSNSFSLPRQVINNGMTSGNGFSYQNGQLFHQALSGKSLSFDNLGLNSGPDPVSSSATNIDPVGLFGMGTGNNELSSIQNTVQTSNNSVYTGSDDVMGVASSEGDEEYDKVARGNSGLLGDLLEESQTIKRHKDNSEKNIKAADDQVSADTFFKDVNTNGLSNSTGSAFISGMNDKKDEAIEEMNIMDEDLLRLLDCPSPVCIPDWYDVGSSNNSNYSSREPSNVTIAHVPNIKPDDDDDWDEDICCWTNMPRVLS >KZM99716 pep chromosome:ASM162521v1:4:34220180:34224514:1 gene:DCAR_012922 transcript:KZM99716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGKCSSCSVSPLTTLQIGDLQSYLSHLNLFLAPESKKFYVLVDNRPWLEDLVSRPAHIWQLMVTKSRLSPFANTRKKKERRDIGGLFEGKGTSKINTSKSINLKKWFSVIDAASLSKKRALLPVEKLRTSLILNSKLHGTLYGFIVFEVAWSDVRGINYLNELQTDTSLAIEAKFMSRWEFESIGQAAKCISAWFSGTPTERACLKEHLECAIGDVFYDAQDFFAETTNVDDEVDETPCSPCSSFSVYPTTVERSGFTPRTPPPEDGPYKRRKVMSSFGSGSRVDTYSEEDDENFSSPIPSHVRYASDCEEAIEDTQYRDVLILFRFNDHDLPFKLKEIITSDLRLLTLLESGLPSWVIFFQSYPVFCKFYRPWMCPLVRALYVLISIVTVLIGFYDLYKNVPVLKAMASHFCGPLLDWIDTWEMVSRIKYLGTMLFLQNSQKAIEWLLKTSRTIRSFFRVLTQPVAGPIVVLWEFLVPFLDTFAQVAESFCTLIWVTAESSFGLLESLAETVLLPLWFILSLLWNIVASFLYPIFWILWEILYAPLRLVLGICNLIVYIFSNVYAMIGDIWFFISSIFQLASTADATVNAEVSLWRTLWNDLFSQIFRAVRSILNGFVAFFAACNRHRLSIYNHVLELIQRLAQRPHRFQPTDSGQRGQESESPNL >KZM96675 pep chromosome:ASM162521v1:4:3754899:3757156:-1 gene:DCAR_015963 transcript:KZM96675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPHHQQLHNQPSLSTIISNSISHLISPSANAAPFSIDSVAINPTGGKPPPSIDTPPPSLMLRTRTNSNTQPFIARLGRDVSDRLYTLDRMVGPLEELLDWDMGLGVDHPDFMDVVIPDEAPDPVNFNVALGIADQVDGLSLGQVAAQGHMANADNGVADAVPLNNVMANGGAQQHNQANQAGVDEAVGDIEEEIQPELDVNGFLFAGDPPIDDID >KZM97041 pep chromosome:ASM162521v1:4:10057918:10059897:1 gene:DCAR_015597 transcript:KZM97041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLTPKVAKKVYGGDGGSYHAWCPNDLPMLKQGNIGAAKLSLNKNGFALPSYSDSAKVAYVLQGSGVAGIVLPEKEEKVLPIKTGDSIALPFGVVTWWYNKEDTELVVLFLGDTSKAHKSGSFTNFYLTGSNGIFTGFSTEFVGRAWDLEESVVKTLVGNQSGTGIVKLDAGFKITEPSEKHRNGLVLNCLEAPLDVDIKNGGRVVVLNTKNLPLVGEVGLGADLVRLDGSAMCSPGFSCDSAYQVTYIVRGSGRAQVVGIDGKRVLETTVEAGNLFIVPRFFVVSKIGNPEGLEWFSIISTPNPVFTHLAGSIGAWKSLSPQVLQASFNVDSDVEKQFRSKRTADAIFFPPPK >KZM96819 pep chromosome:ASM162521v1:4:6935719:6937064:-1 gene:DCAR_015819 transcript:KZM96819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSNVKDAKLSGSYIESKLFLLIHQCFMETTNRTAFVGPSRINNDDLFYLNTVVVDGKGSIFMGFLITMVMKYEILLVTLHAKQMMAHCEVWVLAMRVQGPETSIDKNFNESHTYLVRTICIILLFTTVTVVLIVTASQRVTKLRVALKEQVAATTQEEGKGNKGNSCYAEASHDVRASLEGIIGLIDICLT >KZM97921 pep chromosome:ASM162521v1:4:19453955:19454482:1 gene:DCAR_014717 transcript:KZM97921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDESNTQQLRTPPAKQTSILVALILKPMITLFILSTFLFIGIAAVSTIFFLLAVSSLHLCRRRRSHHRRRCPNIISIKELQQHLPRFSYRGGGEANRECAVCLESFETGEWCRRLVRCSHVFHVTCVDSWLAKRLNCPVCRAPVCFDDRSGTSCVVPQRQLSYDEIKLWWAAV >KZM99489 pep chromosome:ASM162521v1:4:32512450:32518459:-1 gene:DCAR_013149 transcript:KZM99489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSLVLLAAMFMSLFFPQHPVFAVSYGRMGSSSGSSSSSSSSSSSSRPFTTSSSSSLSSRPSSSSSSSYRTDYWYINYDDMRCSDCNDDMRCSDCKCYKGKRITCTNCSCFKEKESERSNSSSDVNNGINLLISNSPCKCKCHSPCKCMCHSATIDKPAWVIICIVFVLAVIDEGKRQNYISEDINKDNVQASDCKIEVADIRWGSVLMVQVGILDKKRELQRKLNRIARTADSCTISGLNNLKKEVVKALLEHHDSCQFAYLFAKYDEMEKYSRPCFRGFLETELAKFDKEDETFLNVDGVIGKKDSGGNFNSSDNEYSVVTLLVLADGEYWIPSVKWHTPLVSKADVKIALQKIRSIRMSNLEALEVLWTPQTENDSISEQELRRDFGLLMRPVKLIKKPYSLLSWF >KZM98667 pep chromosome:ASM162521v1:4:25900966:25902596:1 gene:DCAR_013971 transcript:KZM98667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVSMPQTVQNKWIIALATACVILSLSLVFLITLGTSANSMLLVPLHRYAAATSSLFVASKVQPVTAPPPPRLAYLISGSRGEHKMVRRALLALYHPYNQYVVHLDAESSADERLDLFNFVKNCSTFVQFGNVRMITKANLVTYRGPTMVSNTLHAAAVLLKDGGEWDWFINLSASDYPLVTQDDLLDAFSNLPRDLNFIENTSKLGWKVDGRAKPIIVDPGLYLTTKSDVFLVTQPRRVPTAFKLFTGSAWMALSRPFVNYCIWGWDNLPRTVLMYYTNFVSSPESYFQTVICNAPEFRNTTLNSDLHFIPWDDPPLQHPKHITVDDMGKMIDSNAPFARKFHNDDPVLDKIDSELLFRGQGRIVPGGWCIGSRENGSDPCSVAGNITFFKPTSGAKRLKTLISSLMSDDKFRPRQCK >KZM98111 pep chromosome:ASM162521v1:4:21185672:21187779:-1 gene:DCAR_014527 transcript:KZM98111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAICITPLITTTKPISSPTPSSLILSHFPHTLSSLLSSTTRRRRQNSRVVLTKCSDGGKELTTMTDEWLTKLPEKNKPIYAHSLPCLEAWLKSLGFFQSKDDRAVWFVEKPDWHAHLSLDVTDLHIRYLRTGPGNLEKDVERRFSYALSREDIENAILGGP >KZM99177 pep chromosome:ASM162521v1:4:30004595:30007455:1 gene:DCAR_013461 transcript:KZM99177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKNAAQSKGPPDEPPQNEPKPQSNGFFQSVAAVWRPRQAPTDTDSESSRQGSPNASSKDSSRVGSPRNDAEGKAAEDNVASKPPTTEVKDKNMKRMTSAGLQVESVLQRKTGNLKEDYSIGKKLGQGQFGTTYLCVEKKSKKEFACKSIAKRKLVTKEDVEDVRREIQIMHHMAGNPHVVSIVAAYEDAVAVYLMMELCTGGELFDRIVQRGHYSERKAAELARVIVGVVEACHSLGVMHRDLKPENFLFGNEEEDAPLKTIDFGLSVFFKPGESFTDVVGSPYYVAPEVLRKLYGQECDVWSSGVILYILLSGVPPFWDETEQGIFEQVIRGELDFVSEPWPSISSGAKDLVRKMLVRDPRKRLTAHEVLSHPWMKVDGSAPDKPLDPAVLSRLKQFSAMHKLKKIAIRIIAESLSEEEIAGLKQMFKMIDTDNSGQITLEELQKGLEKVGANLMESEINDLLESADLDNSGTIDYTEFVAAMLHLNKAQKEDHMYAAFSYFDKDGSGYITSDELQNACGQFGLGGCQLEDIMHEVDKDNDGRIDYGEFVAMMQDTGLDKKGFQNLKSIGIRNAS >KZM99346 pep chromosome:ASM162521v1:4:31258753:31261475:1 gene:DCAR_013292 transcript:KZM99346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHMPDKDRKEMSNVPSLVDLCVQTAIDNVRYLGDVGGVGEQLLERILPHCDVDQLKHIEDCSEGTDLSPLTDYLWKNFYHKKFGERSFNTVVQKMKSRNVTFQWRKLYEAKVKDMEEAQQKSIERMKKLYQNEDAQKKSRQVKLCTKVPPSSNKRNFYGGWGPGSIVGSSKSNLMKKSKVDFLKSQEVRNLTALRKNVVQKDDRPLCIRKPGGFSSKGSASTSQNIKPFQRR >KZM96994 pep chromosome:ASM162521v1:4:9572299:9579268:-1 gene:DCAR_015644 transcript:KZM96994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIDVANELPFRVGFTGHSGHLRIEPLPPPPAFPTETPESIKEYIEEKYLLPRLDADDFSPDKDGRQWEFDWFDKAQVNLEPSVPRSVVVPKWELPFRRSTTRTMSKMWDPSSMQVDVAELMEGSEDSGVLPRISGPAKDFVRGSINSRPFRPGGLDNSQTIGRILPEGATSGDWVREVLTGGASQSLPPSFRQGMDFGNLKAHSHSWNVHGDQGEAKSTSDAKTQLSVQFDDLFKRAWEDDFDESAKSGVVVPGDEPAVEDFNKTDSFPPEIERISPNESESCLVVETSVLDEILSVGSGNLASGLIEGSSTSGQQQKEAWAVSGGSESIAERFHDLIPDKALDFPFELDAFQKEAIYYLEKGDSVFVAAHTSAGKTAVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYKGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINIVLLSATRDAGIVDCFDA >KZM96907 pep chromosome:ASM162521v1:4:8614188:8614895:1 gene:DCAR_015731 transcript:KZM96907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDDKSANRPSVKRKLAMGSPRSTRKKVCYDETSTRIWSDEDVLVLLQSILDYRIAYNKEPNADYSAFLHFVKDKLNMNDLSVRQLGGKVRKLKYRYSESLKKGEKDVGDDKVFELLHKVWGRTNDKDEVVVTENEGDEVVVTENEGKGEDQGSGFEETYPYLSEAWGSKFDLPQHLKELAVANFMMIGGEKLRALECEWKGVSVEELKLDVKKLEWRAKVVKAVLDEMEGSGD >KZM97070 pep chromosome:ASM162521v1:4:10419929:10425766:-1 gene:DCAR_015568 transcript:KZM97070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGEKTCPLCAEEMDFTDQQLKPCKCGYEICVWCWHHIMDMAEKDNVEGRCPACRSPYNKEKIVDKAAQCERLVTELSVEKKVKLKSKSRTPDGRKNLSTVRVIQRNLVYVAGLPLNLADEDLLQREDYFPRYGKVQKVSISRTAGGTIQQFPNNTCSVYITYSKEEEAVRCIQSVHGYILEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEFGTQEDSFIKDEIVSSCTRNRVEQITGATVDMQRRSGNVLPWPADEFCNNSSSTPGNVDICSDSILESSVWQTPASSVRGSPPNSSCSKSVVLPAAASWGMRASNRQPSVASSTSSNGPSKQKSDACGISVAYSTAIASPAKVSVMHSDSGKKLNGEIRLTEQRNQAEPVASVELYLDKDQQRKLSKTSAVIGHSINQVVSSQISTPSLKVDESMSMPTDIVKSVDSRGQSCVTNPERGGESRVPELCSDILSLNIDNQGLPHKEAEYSKFSQSHISKKVASTNKDPVAPRDQSEFRFESQYSVAETDTSEVKNDIRSFWNSEAVNCADSQPNLSQLLDSSRASYPQKAYSSPNVNADSQPIKVSAQEYNIPIMSNGYPENQTRSFNDMSSSEKNSSHVAPNATRMMSVGRYGSELVNHDIISDDNLGESSIISNILSMNFDSWDDSIASPQNLAKLLGDTDKQQGTLGVSSSRKTQNSNQSRFSFAREEDQTFNYGPSFTGFDQTSKIHSFTNTDNGNYNASEITNDFPTFGAQQPRNSASSNSHNSLNRFPVSRAPVSAPPGFSGPNRAPPPGFTTYDRMEQTFIDINSGNNLPDNLSFMRNTNQSLSTMHFDSTNADIEFIDPAILAVGKGRFPGGLSGPGLDMRSSYPTQMPNYESESRLQLMMQRSLSSPQNSRYLDIGDSFSSRLDNHGTSPRILEQALGNNGSQYSQFGLTQSRNQLISSGNWDGWSGVKGGNDSSMAEFLRSERLGINKYFTGYEDSKYRMPNSGDLYNQQYGI >KZM97151 pep chromosome:ASM162521v1:4:11530958:11533885:-1 gene:DCAR_015487 transcript:KZM97151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARREKRARRENFSKFQNSNSEFAGRRGDEGWLVQQQIGVQSEGGTGLRVGKSAGDNKSGSRDASVATGLRVGKSAGDNKSGSRDVRVAQKDSQAERNITDKQSQRVFEHWFLLENEMVDAIRKGNSKLIRTALVRVDYLLKVSPPTLITECLQGDEEALWGLHRFLHNNGWWVKAMNLKLQDVDLAEMANLDTEKITREFIWANRHLVHPNTLIQDLTRNNDAVRMALNQIHYGSIRARRCSLKSGKKPVEETPAISTEEKLIPDTGNFCLKVATINFIFANKSVVDKTTIQGVVYNSDKAISDALLQIHEASLKGATKSGQSYKESLLASPAKLHPPPPKRVNSRPVSKEGGGAGKGKTRDIFFTGFKDDISYVDLWRVFKKLGRIKDVILPVNKDCSRRKYGFIKMFSPQEAQIFLQKAKDLFIQGSKISCDWANNSRKPQSGRGLKNSPKVSKDNPIPDEKDKEQLAHMGALPTDPQAESVKEWMERISRSVRIEVAMDYAPDSMSELLVAAGYFHLDVLKLGPLVFILTCNDEDCKTTLDLSNSGLDILSIRDVTISDFILPRLTGIRLQGLPVCAYSDSILEGIVSRWGSLISKGVSCIRNQQVVNPQICISTSVFQEISESIEVQVLGTTYNVVVMEEKWVDPCSFDPHLHSPRMVAQSSSSAGAPSRSWEHDELSTHSVQAGIQTFEDVLSNHSIQSHVSVHSSYSNQTEGSYSPQGNHPQNDSQYREMTPQDPSISDHISQLGMESDSELRRCDPIVEEIDYRTLRVQNWNIEEFPFEFNEPVGKMDVFSNGGDGSHDDEGDDKNTGKMDNWSVRDINFSDDESGKGSVESFNSCQEAEFTLDPLFEDQGSTANFLSRLKIKGRGGRSRKVRSLNFFDFKLRGSKKKYKPAQWIPGLYVRPWNYSGKVEKKSRRNIKSGAQTSILFSEPLSPAEEIWNLGTSVGLRPLMPKPRMLDLITERLCQ >KZM97364 pep chromosome:ASM162521v1:4:13908516:13910327:-1 gene:DCAR_015274 transcript:KZM97364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLTPKVAKKVYGGDGGSYHAWCPNDLPMLKQGNIGAAKLALTKNGFALPSYSDSAKVAYVLQGSGVAGIVLPEKEEKVLPIKTGDSIALPFGVVTWWYNKEDTELVLLFLGDTSKAHKTGSFTNFFLTGSNGIFTGFSTEFVGRAWDLDDKVVKTLIGNQSGNGIVKLDAGFKMTEPSEKHRNGLVLNCLEAPLDVDIKNGGRVVVLNTKNLPLVGEVGLGADLVRLDGSAMCSPGFSCDSAYQVTYIVRGSGRAQVVGMDGKRVLETTVETGNLFIVPRFFVVSKIGNPEGLEWFSIISTPNPVFTHLAGSIGAWKALSPQVLQASFNVGSDVEKQFRSKRTSDAIFFPPSK >KZM99535 pep chromosome:ASM162521v1:4:32793959:32805271:-1 gene:DCAR_013103 transcript:KZM99535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSSGSTMIVGSTPNPSLVASNLAYCNPSDLQSFAVPGTPHHLALVADAFVLSLAYPFPLSHFASPLFNFTTVENGKIGLNAIQRRHARVSNGDSISVSRFIPPDDFNLTMLTLELEFVKKGNKEEHVDAVRLAQHIQDRFSNQVMTTGQKVMLEYQGNNYVYTVNEAAIREQEQSDNYVRGMLSAETYILFTTPGSSGIKIVNQREGASSNIFKHKEFNLQSLGIGGLSDEFGDIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKDPKIVNGPEVLSKFVGETEKNVRELFADAEEDQRSRGDESDLHVIIFDEIDAICKSRGSTKDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQIIQIHTNKMKESSFLAPDVNLQELAARSKNYSGAELEGVVKSAVSFALNRQLNLEDLSKPVDEENIKVTMDDFLNALHEIIPAFGASMDDLERCRLNGIVEFGGRHAHIYKRTMLLAEQVKVSRGSPLITCLLEGPSGSGKTAMASTVGISSDFPYVKIVSAESMIGLSESTKCAQIVKVFEDAYKSPLSIVILDDIERLLEYVAIGPRFSNLISQTLLVLLKRLPPKGKNVLVIGTTSELEFLDSVGIQDAFSVTYNVPTLKTEEAKKVLEQLKVFSEKDIDTAAEALNDMPIKKMYMVLEMAAQGEEGGGAEDVYSGKQTISISHFHECLQDAIKYQRYIDVDLSESSSGKENEEDSHDPVAAATFLVDIKVLEQLKVFSEKDIDTAAEALNDMPIKKMYMVLEMAAQGEEGGGAEDVYSGKQTISISHFHECLQDAIKYQR >KZM96783 pep chromosome:ASM162521v1:4:6285594:6287977:1 gene:DCAR_015855 transcript:KZM96783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKSSYKAVDQELSELTAYLLAIAGLDDFSTLDHKKWKTLESSAASLGDASAVTPSFIESGKELTARRMQVLEHVGKETIDLLIVETDIEVDKKSTELADEDQLLEEVTFDRYFYRYGGFEQLEAGMRRHVGTENFCKKILFE >KZM96986 pep chromosome:ASM162521v1:4:9475258:9478642:1 gene:DCAR_015652 transcript:KZM96986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFIAKFAIFMWFFPVLIYCKIQHYKFDVEIKNATRLCSSKPIVTINGLFPGPTIYAREDDTVLIKVVNHVTYNMSIHWHGIRQLRTGWADGPAYITQCPIQPGQSYVYNFTITGQRGTLFWHAHILWLRATVHGALIVLPKAGVPYPFPAPYQEHVVLLGEWWKSDVEAVIDEAMKSGFAPNVSDAHTINGLSGPVPNCMSQEGFTLEVDHGKTYMLRIINAALNEELFFKIANHKLTVVEVDATYVKPFQTNTVVIAPGQTTNVLVNTSHLSGKYMVSVSPYLDTPIAVDNVTASATLHYSGTPSTVATTLTAPPPRNATLTASKFINSLRSLNSQAFPSNVPLQIDHSLMFTVGLGLNRCPDCVTGRRVVAYFNNVTFVMPKIDLLEAHYYNISGVFTDDFPEKPPMPYDYTGTQPENFATTEGTRLYRLAYNSTVQLVLQDTGMIVPEDHPIHLHGFNFFAVGRGIGNFDPEKDPKKFNLVDPVERNTIGVPSGGWSAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPDESLIPPPADLPLC >KZM98996 pep chromosome:ASM162521v1:4:28611658:28611885:-1 gene:DCAR_013642 transcript:KZM98996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYALLVKEELDSWPEKSLRQRRWLTIAEAGECCRHSWMKEALEEGFRKWLSDGMISTMEENNHILTSISSPKEEE >KZM99533 pep chromosome:ASM162521v1:4:32790502:32790744:1 gene:DCAR_013105 transcript:KZM99533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLPTRNISSAVTLSRSQEGKGQAAKETGNDTKPKKKICCACPDTKKLRDECVVEHGEAACSKWIDAHRKCLRAEGFNV >KZM97014 pep chromosome:ASM162521v1:4:9787634:9788869:1 gene:DCAR_015624 transcript:KZM97014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPPSHLSNFTPITLLFLLLLSPLPILSFTNITTLLSSYPDLSDFTNLLLSTAIPLDLTRRTSLTILAVPNAFLRSSTAAPRPDIADILRYHVLLQYLSLSDLKKFSKSGKIITTLFQTTGRAPNNFGSINVTHDPVTDVTSFVSPESNATLLSVIKSVPYNISIFSVNNLLVPVRADLLASESGPRIDLNITKAITDGHNFNVAVAMLQASGVIDEFEADENGAGITLFIPTDESFADLPPSARFQSLPADKKAVVLKFHVLHSYYPLGSLESIVNPAQPTLATEDTGAGSFTLNISRVNGSVSINSGIVQASVTQTVFDQKPVAIFGVSRVLLPREIFGNNPIIFKPSIGGHYAIAQPPQTAVSPESSQASSSPPVLQREIKSEATRLDRFDIAGFCCTLLLYILSH >KZM98635 pep chromosome:ASM162521v1:4:25669378:25669536:-1 gene:DCAR_014003 transcript:KZM98635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGGTSKPINHCSKLLVPNKCKITQNKASACRRYETNDLKSQGKCKCKCNY >KZM99392 pep chromosome:ASM162521v1:4:31768955:31772113:1 gene:DCAR_013246 transcript:KZM99392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGASISMKNQSKTGNSGLPATEKTTSMTQEVASKHVIKEASLNQKLQQKGQANSLADKLDSSLSLHHQPQTTKSKNPAASETRGSLGSLGDAEKKASELGTPKDSLTAKVSDGSSGLAKTSGSAKISDKADFVESGKSSMCRGSTSTDISDESTCSSLSSSVSKPHKANDSRWEAIQAVRAKDGALGLSHFRLLKRLGCGDIGSVYLAELSSTKCYFAMKVMDKALLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQQGKHFSEQAVKFYVAEVLLAMEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTIVRSASMDNEALRKNPNYCAQPACIEPSCIQPSCVAPTSCFSPRFFSSKSKKDRKPKNEIGNQVSPLPELMAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPGVSFSARDLIRGLLVKEPQHRLAFKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVEIERIQPPQTPSTSEKAAAVMVTPEKKGDNYLEFDFF >KZM99699 pep chromosome:ASM162521v1:4:34125479:34126561:-1 gene:DCAR_012939 transcript:KZM99699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWIRGNTIGRGSSATVSVATSCQSGNIFAAKSVEFSQSEMLQREQVFLCTLRSPRIVEYMGYDITMENNKLMYNLMIEYAPAGMLNDAIRDQRGGLHESRIFQYTREIVQGLEYLHSSGVVHCDIKSRNILITESGAKIADFGCARWTNPKASAATPIAGTPMFMAPEVARGEEQGCPADIWALGCTIIEMATGMSPWPNVNSDPLSILYRIAYSGESPNFPEFLSTKAKDFLSKCLQTDPKSRWTAKQLINHPFIQEFELTQKQNQKSITNSPTSILDHGVWTFTEESESINSIVETKSASLSRRIRGLWLNSRAPNWEWEETWITVRENVESVKQDKNVTCTRMVGDDLELQSRCKF >KZM97149 pep chromosome:ASM162521v1:4:11519529:11522292:-1 gene:DCAR_015489 transcript:KZM97149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKLAALKLTCVQLKAARQIQTNSQSRASLNGILFQRAWLQGILVTSPSDDADGRYVLDDGTGVIELVLVGDISNHKFETGMYLMVVGVFLVRDGDIPLLKVHKAVDLSAFPNREVMWYLEVLEAYKLFYQPPMEE >KZM98697 pep chromosome:ASM162521v1:4:26212870:26214455:1 gene:DCAR_013941 transcript:KZM98697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQPSKLVLWQYCGETVGATNANRSNYFPGNSSIVAQFNSSERPSSETMQLSIFPKSSWNRPCIMPIVSTPNVDEGSFKANVILMGTAKRAGTGPSVGAVDIGASKTAYYFRVALPGVKKDPGQFSCEIERDGKVHVQGVTTTGENLVSRHARVFEMKVQQQCPPGPFTLSFRLPGPVDPRQFFPNFRSDGILEAVIVKYE >KZM99870 pep chromosome:ASM162521v1:4:35463986:35467005:-1 gene:DCAR_012768 transcript:KZM99870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKGDQHQTVPLSVLLKRESDHDKVDKLEISYGQANHSKKGEDFTFWKTECEHVLGDDVTTFHVFALFDGHNGSAAAIYSKENLLNNVLSAIPPDLNREEWVAALPRALVAGFVKTDKELQEKAQTSGTTVTFVIIEGLIVSVASVGDSRCILESSEGDIYYLSADHRLETNEEERERITACGGEVGRLNTGGGTQIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPHVKQVKLSTSGGRLVISSDGVWDALSAEAALDCCRGMPPDAAASQIVKDAVGYKGLRDDTTCIVIDLQPPEKSSPPMPARPKKQGMNVFKSMLKKKSSEPSSAPEDQYSEPDVVEELVEEGSANLSERLDTKYPICNMFKLFICAVCQVEMKPGEGISIHAGPENSKKLRPWDGPFLCASCQEKKEAMEGKRPSGDSRYSSGSE >KZM96945 pep chromosome:ASM162521v1:4:8981230:8988549:1 gene:DCAR_015693 transcript:KZM96945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIRSGSEKITNQDVPILEEPLLDHGNSKDKARGGDAVTAYENAGFFDTVFFSWLNPLLALAVEKSTLEIDDVPQLGPSNSVFGAFPLFRTRLLESSSQDDNHDDVGGRGHGKRVATTAVGLAKVYFMLAWKEIIWTAFLAIIYTLVCYVAPYLVDSFVQCLNGREAFPNQGYVLALTFFATTFLEVFIRRHWIFRMQQIGIRIRGVTTVMLYDKSLTLPSWSSQLQDEQGSGGSGGELLNAVAVDVETIQGFIVYIHDTWLIFVQIGLALLILYMKLGLLASVGAFGVTLFFMMANFLLMKLQEKFQKNLMKSKDNRMKVTIETLSNMRVLRFQGWEMSFMSKILQLRKVEIQWLKKYLYGIVLIGFFTGIGPTLVALFTFGSCIYMGIPLEMGQIFSALATFRVLQEPIFLLPDTLSLLVQAKVSLARIASFLSLDELLNNGLEKLPFGSSDTALEIIDGSFTWDNNISNNTAALNDINLKVSHGMKVGICGTVGSGKSSLLSCILGEMPRISGDVKFCGTNAYVSQSPWIQSGTVQDNILFGKNMDRQRYDQVLEACSLKKDLEILSFGDQTVIGEKGINLSGGQKQRIQLARAVYHDVDIYLLDDPFSAVDAHTGSHLFKEVLLGLLSGKTVIYVTHQVEFLNAADLIVVMKNGKIVQVGKYNEILVPGSDFIQLVGAQVAALPSTLDDNKAAPISSDNTETIIINENVNGKVDDGGGAVGSAPQQLVKDEERESGRVRFPVYWEYIKTAYGGSLVLLMILASIILQILQIGSNYWLAWAAPSSKDVNPVVSGSTLMIVYASLALGICFCTLAQNSLVVAAGYKTAIVLFKKMMETIFRAPMSFFDATPSGRILNRCSTDQSAMETRIPSVLDGLICDIIALAGVIALMSTVAWEVLVLVIPLIFAAIWYQQYYMPASRELSRLSRVCEAPVIQFFKETISGITTIRSYDQESRFQQTYMKIVDAYSRPEFQLAAAMKWLLLRLETFSSITFSFLLVLSMYLRNSIDPAIAGLALTYGLTLSRTLAGVVWCLCHLETKMISVERILQYTSIPSEGPLIVQKHRPDSSWPVRGEISIHNLQIRYAPHLPLILHGVTCTFPAGKKIGIVGRTGSGKSTLIQALFRLVEPTTGCIFIDGIDISSIGLQDLRSRLSIIPQDPTMFQGTIRSNLDPLEQYTDAQIWDTLEKCQLGDEVRKMEKKLDSNVHENGENWSMGQRQLICLGRVLLKKSKVLILDEATASVDTNTDNLIQQTLKHHFSDCTLVTIAHRITSVLDSDMVLLLSHVSADSLAGFVMEYDSPSRLLESKSSSFSKLVAEYTSRSADSNAH >KZM99097 pep chromosome:ASM162521v1:4:29395656:29397689:1 gene:DCAR_013541 transcript:KZM99097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIMKKFRKLSNMLLLATVILLASFSSQASSLSFDYPKFTEDLYNQFKHDGPNGSVFYQDAIQVTPDTNGGEMRNKSGRIWYGKPLKLWSQKKNVTASFNTSFVLNITPQPPKSGNPIPNNLTWGHGIAFVLSGQADPIPENSYGSWLGIVNETTTGKTRIVAVEFDTNGNYSEDGDRNHVGVDVYSSRSIVQEPLAPNGVNLSSGKDVAVNLRYDGIAKKLDVYVFMSSDAGGNQSKKPIISMNISLSDLLPRRVFVGFSASTGEYAELNCVRSWSFSSTKIDDDGNLLWLLGIVIPLVLLVVVVVSVVWYKWRKRRRANDVENSMVEQQIQSSASAPQKFQLKDLKRATGNFDSKNELGRGAFGIVYRGVVMNKEVAVKRLKNSGNGKQEFIAEVKSIGNLHHKNLVKLVGWCHEGNELVVVYEYMPNGSLDKMIFREADTRVPRLTWERRHAIISGVAQALDYLHNGCANRVLHRDIKASNIMLDSEFNPRVGDFGLARIVMSDGKTHHSTEIAGTPGYMAPESFLIGKATVEMDVYAFGVLTLEIACERKPGVQIEENNYGNRIVESVWLLHTRDRLIDAIDAEANLSYDQELQVECVLKLGLACCHPNPHERPSMRTVLQVLKGEADPPPIPKERPFFIWPAMPPVGVNEIMEESLATGQLSPISFLTSGR >KZM99819 pep chromosome:ASM162521v1:4:35133325:35134287:1 gene:DCAR_012819 transcript:KZM99819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTLNSSKTAEILARYRPIAPKPPDHQLTDKDSNPPAMPEKIRESAYMRSVWPRLQARPNHRARKRGRALLAPILPSLKRSKGGFAFSPYLSPKSLLSFLASPNVFPPNYTTAVSTVQAGAQDLDLNMHVPVEKDLLQQLQAKEVQVQVQVQVPGVVTPRPLRLVSSVIRVQPGSVSNQEVAAQGPAEAAEIEKKMESEALPTVISDSRNQVRLANSAYNRLVGQPNCGWLDPVARSKAAACNRICGEVRLQLPDSAGEEFASTSNGFFCLVVIEWGNNEYKSSITTFGEAVRLFCESRDYMFAWRFHTQGAVPSAPNF >KZM98401 pep chromosome:ASM162521v1:4:23636698:23640281:-1 gene:DCAR_014237 transcript:KZM98401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRLQSHVSHPTAENEQDNNHPLINRRIQRLTLHLNPTEAPPPPSSNQQLQLLTCAARGKLNVSTPHLSMYMRGKYRNEQQKVYDFFESRPDLQTPVEISKDEHRELCMRQLLAMVREGGIRPFKYVVEEPGRYFAIAEAAGILDVSLWGGSVLNLGTKKHRDKYFNGIDSVEYPGCFAMTELHHGSNVQGLETVATFDLLTDEFVIDTPHDGAIKWWIGNAAVHGKFATVFAKLMLPTHDTKGVTDMGVHAFIVPIRDMTTHETLPGVEIQDCGHKVGLNGVDNGALRFRSVRIPRNNLLNRFGDVSRDGKYTSSLPTISKRFAATLGELVGGRVGLAYSSVGILKIAVTIAIRYALLRQQFGPPKQAEISILDYQSHQHKLMPMLASTYAFHFATTNLVAKYSEMKKTQDEELVADVHALSAGLKAYVTTYTAKSLSTCREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAADLLKQYQKKFQGGTLAVTWNYLRESMSTYMSQPNPVTSRWEGEDHLRDSTFQLDAFRYRTSRLLHSAAMRLQKHTKTLGSFGAWNRCLNHLLTLAESHIESVILETFIKAVKNCPDPSSRAALKLVCDLYAMDRIWNDIGTYRNVDYVAPNKAKAIHKLTEYLSFQVRNVARELVDAFDIPDFVIRAPIGKQTPVEVYSEYRQHIGF >KZM98837 pep chromosome:ASM162521v1:4:27260329:27262274:-1 gene:DCAR_013801 transcript:KZM98837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEIYATSVVPIGAMFAMTLWLGNTAYLYISVSFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISFGVLVASYGEININWIGVVYQMGGVVGEALRLIFMEIFVKKKGLKLNPISMMYYVSPCSALCLLIPWIFLEKSKMDAQGSWSFQPLVLILNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALLFADTKLTLINLFGYAIAIAGVAVYNNSKLRKEVGQSTPDESQHDESEPLTSSAVSTK >KZM99218 pep chromosome:ASM162521v1:4:30312753:30314793:1 gene:DCAR_013420 transcript:KZM99218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSTRKLAATFWKMNEMPLSEVMEKKELQSENLSVVLSASVSHSTDHAPGVSVSRRTDRFIPRSHQSRLSESLERKHLEPTVRVVADVDQRSSASSMETEISYRARTPREPIVGFRTRLKDVNNALVTSKELLKIIDRNLAHNDQLTSCMSLVSALHSELERACQQVNQLIREQQAVEMAIESIAGELKAERRLRYQYESLNNKLDRELSETKITLTNRIKELASDNKARKRTEKVCHKLALDISHEQFEREHEILQLSGRLGEKKVHRELLECKDHFDEKNGVVERLRNQFEAFFKSNKSEKRNCNKITTYSSRTHSRSNKNEERECSGEVGNSSAESDLHSIELNMDKKRGSFRWIHASTAARDSLSTDDETRARKYISETVQNKSNYIQGSVSDGFQRGIRAGNTPSSVNLLQQKRCYRPGKKSQTKGDNCELLRQKSVKDLKDKILSNYSLGSGGEFSSPIQELTDPLHSQYIGHVKQIPRLKQRLSTKSRLGEIGAEQRRISRHFVE >KZM97729 pep chromosome:ASM162521v1:4:17292453:17295496:-1 gene:DCAR_014909 transcript:KZM97729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNELLSEMGTEIIIPVCAVIGIAFSLLQWLLVSFVKLSPDKSSNGKKEPLIEAEEGGNDHSVIIRCADIQNAISEGATSFLFTEYQYVGVFMVAFAILIFLFLGSVEGFSTKSRPCTYDASRMCKPALATAVFSTISFLLGVVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGISHDFTAMCYPLLISSMGILVCLITTLFATDFFEVRNVKEIQPTLKNQLIISTVMMTFGIAVVSWIALPVTFTIFIFGQQKEVQNWQLFLCVCVGLLAGLLIGFVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSAIIPIFAIAFSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEIEVVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIREMIPPGALVMLTPLVVGIFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGVSEHARTLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKMF >KZM99788 pep chromosome:ASM162521v1:4:34871574:34886476:1 gene:DCAR_012850 transcript:KZM99788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDGVKLVIQDRHVTMDNGILQVTISKPKGMVTGVSYNGIDNLLEIRNDETNRGYWDVVWSPPGSSGTTGTFERIKGTEFSVLVETEDQVELSFSRTWDASHRNLAPLGVDKRFVMLRGSSGFYSYAIFKHLEEWPGLNLDETRIAFKLRKDMFHYMAMADNRQRFMPLPDDRLPHRGVPLAYPEAVLLVDPLEPEFKGEVDDKYQYSCENKDLRVHGWISTDQSVGFWQITASDEFRTGGPVKQNLTSHVGPITLAMFVSAHYAGSDLVPKFQQGEFWKKVYGPVFIYLNKNDGLDPLALWNDAKTQMSIEVESWPYNFPASEDFPSKDLRGNVFGRLIVRDTYISETDLSAKGAYVGVASPGDVGSWQRECKDYQFWTQADENGYFSINNIRAGDYNLYGWVPSILGDYKYDDHIIDITAGCNIDLGELVYEPPRKGPTLWDIGIPSRSAAEFYVPDPNPKYINKLFINHPDRFRQYGLWERYAELYPDADLVYTVGESDYAKDWFFAHVTRKMDDKTYEGTTWQIRFNLDEVTYDETYTLRLALASAAQAELQTLQQQHMYTLQDSNSRLLIVIDNGVIQITLTNPGGNLTRIQYSGIDNVLETHNKELNGGFWDLNWSEAGSRKNRGKFDTITGTSFKIIVENEKQMELSFTRPWDPSDQGEHAPLYIDKRFVILRGVSGFYSYAIYKHLKDMPAFNLNTSRIAFMLSKDKFHYMAVADNRQRYMPLPDDRLPGRGEELAYPEAVRLVDPVEPEFIGEVDDKYQYSIENKDNRVHGWICFDPPVGFWQITPSNEFRNGGPLKQDLSSHVNPTTLAIFLTSHYAGEDLLVKLGAGEEWTKVLGPVFIYLNSVPSAANALSLWEDAKEQMIKETNCWPYNFLASDDFPCSDYRGTLRGRLLVYDRYIAEFDAPAALAFVGLAAPGDAGSWQRESKGYQFWTKANECGEFTIGNVRAGSYNLFAWVPGFIGDYQCDIEICITPGCDIDMGDFVYEPPRDGPTMWEIGIPDRSAAEFYIPDPNPKYINKLYVNHPDRFRQYGLWERYAELYPDEDLVYTVGVSDYTKDWFFAQVNRKIGDRTYKTSTWQIKFEVLNIERSKNYKLRLALASAHHSDLQVRINDPEGDNPLFSTGEIGDDNAIARHGIHGLYWLFTVDIPEMEPGTTLANKAVPCQAVELHVSDDQVVMYNDFLQLTFTKPAGHIIGIQYNGIDNLLELHNPELNGGYNVLNCSSYIHSSLKFWDLNWSDPESTQTRGKFDMINGTNFEIILQNEEQVELSFKRTWDSSFEDEHSPLEIDKRFIMLSGSSGFYSYAIYEHREDMPSFSLNEARLVFMLTKEKFHYMAMADNRQRFMPLPDDRLPGRGQELAYPEAVLLVNPVEPEFTGEMFVSAHYGGVDVVLNFKSGEPWKKVFGPVFIFLNTSSDKENAVPVLWENAKEQMRTEVQSWPYHFPLSEDFPQSDQRGSISGTLLVDDSYVSNDHILAVGAHVGLAPPGEVGSFQREGKGYQFWTNTNDEGHFHIENIRPGVYNLYAWFPGFIGDFRWEKLITVTQGGSIDVGTLVYKPPRDGPTLWEIGTPDRSAAEFFVPDPDPKYINKLYVNHPDKFRQYGLWERYADLYPDKDLVYTVGISQYHKDWFYAQVTRKVDNDLYTGTTWQIKFQLEKVDQAGVYKLRLALASATFSILEVRVNDEEANPPVFSTGSIGKDNAIARHGIHGLYWLFNVDIHSTQLFEGENTIFLKQANGSKFQFGVMYDYIRLEGPALSSEN >KZM97232 pep chromosome:ASM162521v1:4:12596278:12617032:-1 gene:DCAR_015406 transcript:KZM97232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGVPHVVQKPMVVITRDRERIPRNIPARGGGQGRLYFYFENVARAPKGVGETMKFDINAFGNTFQVNTIGYHLSALKRLFPEGIEILSEQNNKSTNGRNSHEIGIFNIVGKFTPSNWKVNFVYDLHVYSIVSFLSVSSMRSSLCDRLLVMRYPWKVVVRAIEEHGVQSPITDAQHFIQGLNDVGLNIKCKSESIRRTPMTGFGVPHVVQKPNNVVITRDRERIPRNIPARGGGKGPPYFYFENVARAPKGVWETMSNFLYDIEPEFVDSIYFSAAARKRGYIHNLPIDKRFPILPTPPSTIFGALPSTKTSWPKWEPRIKLNCIVTNNGRPKHTKKISEELDNCGTEPPPHIRKKVLQVCRKYNFIWVGNNKVAPLHPKQIEKIMGFPDGHTDMLSRSARYRCLGNTFQVNTVGYHLSVLKRLFPEGIKVLSLFSGIGGAEVALHKLQIPLKFVVSVECSKACRDVMLRWWKRSNQQGKLIHISDVKYLTHQKLRELIDMCGGFDLVIGGSPCNNFAGNNRRTRVGFKGEQSSLFLDYWRILESGMAILREMGFTCGEAFEAIGRCGLNDVGLNIKCKRESIRRTPMTGFGVPHVVQKPNNVVITRDRERIPRNIPARGGGKGPPYFYFENVARAPKGVWETMSNFLYDIEPEFVDSIYFSAAARKRGYIHNLPIDKRFPILPTPPSTIFGALPSTKTSWPKWDPRIKLNCIVTNNGRPKHTKKISEELDNCGTEPPPHIRKKVLQVCRKYNFIWVGNNKVAPLHPKQIEKIMGFPDGHTDMLSRSARYRCLGNTFQVNTVGYHLSVLKRLFPEGIKVLSLFSGIGGAEVALHKLQIPLKFVVSVECSKACRDVMLRWWKRSNQQGKLIHISDVKYLTHQKLRELIDMCGGFDLVIGGSPCNNFAGNNRRTRVGFKGEQSSLFLDYWRILESILSEQNNKLVAAAAMRLGSSTLLGNLLGPIGRLLVMGYPWKVVVRAIEEHGAYNEEAVFNTILTYKGMAILREMGFTCGEAFEAIGRCGVQSPITDAQHFIQGLNDVGLNIKCKRESIRRTPMTGFGVPHVVQKPNNVVITRDRERIPRNIPARGGGKGPPYFYFENVARAPKGVWETMSNFLYDIEPEFVDSIYFSAAARKRGYIHNLPIDKRFPILPTPPSTIFGALPSTKTSWPKWDPRIKLNCIVTNNGRPKHTKKISEELDNCGTEPPPHIRKKVLQVCRKYNFIWVGNNKVAPLHPKQIEKIMGFPDGHTDMLSRSARYRCLGNTFQVNTVGYHLSVLKRLFPEGIKVLSLFSGIGGAEVALHKLQIPLKFVVSVECSKACRDVMLRWWKRSNQQGKLIHISDVKYLTHQKLRELIDMCGGFDLVIGGSPCNNFAGNNRRTRVGFKGEQSSLFLDYWRILESILSEQNNKSTSGRSSHEIGIFNIVGKFTRSNWKASSMRSSLCDRLLVMGYPWKVVVRAIEEHGAYNEEAVFNTILTYKGMAILREMGFTCGEAFEAIGRCGVQSPITDAQHFIQGLNDVGLNIKCKRESIRRTPMTGFGVPHVVQKPNNVVITRDRERIPRNIPARGGGKGPPYFYFENVARAPKGVWETMSNFLYDIEPEFVDSIYFSAAARKRGYIHNLPIDKRFPILPTPPSTIFGALPSTKTSWPKWDPRIKLNCIVTNNGRPKHTKKISEELDNCGTEPPPHIRKKVLQVCRKYNFIWVGNNKVAPLHPKQIEKIMGFPDGHTDMLSRSARYRCLGNTFQVNTVGYHLSVLKRLFPEGIKVLSLFSGIDLSISIIYF >KZM96778 pep chromosome:ASM162521v1:4:6197695:6199365:-1 gene:DCAR_015860 transcript:KZM96778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYEWGNPSSLMVNGDDPPDQDSGHNPQLYNHYTQTFDQNLLNPNHHNHQNTYENQTHHPYFHYPPTHNTHLQSLYDPNSYGSYPDPDPRMMSLDPVHDPTRFMVVPKSEPAGFEYGTSRIGLNLGGRTYFSSSEDDFVNRLYQRSSMVEPGSLNVPRCQAAGCNANLTHAKHYHRRHKVCEFHSKAATVIAAGMTQRFCQQCSRFHLLTEFDNGKRSCRKRLADHNRRRRKTQQTDQDNKLQLHIASNSSSENLTRSPPDSGVHSSSSVTIAISPPRISFDKFQQRSDYQASSSSTNSLF >KZM97827 pep chromosome:ASM162521v1:4:18165885:18168141:1 gene:DCAR_014811 transcript:KZM97827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTFPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGLDIFTGKKLEDIVPSSHNCDVPHVSRSDYQLIDIAEDGFVSLLTESGTTKDDLRLPTDENLLNQIKVGFGEGKDLVVSVMSAMGEEQINAVKDISK >KZM99116 pep chromosome:ASM162521v1:4:29547874:29567672:1 gene:DCAR_013522 transcript:KZM99116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAQLESLCERLYKSQDSVERSHAENALRCFSVDSNYILQCQYILENASTPYALMMASSSLLKQVTDHRLPLQLRLDIRNYVLSYLATRGPKLENFVIGALIHVLCRITKFGWLEDDNFREVVKESMNFLSQSNPVLSMTQQRRVVVSFREQSLLQIFRISLSSLSQLKTDVGSNLQKLALSLALKCLTFDFMGTSVDESSEEFGSIQVPSAWKQVIEDSSTLQIFFDYYALGLPQISRELSEIVSTDCYNDWIRLVAEFTLKSLQSWQWASSSIYHLLELWSKLVTSLRNFKGERPSLLNEYVVEIVQRFISSKFDSFQVELSDDDSENPLDNSELLQEQLECFRHLCRFQYESCCKYISQISDPIMQFYMEATGLESNGVNSNLSLLETKLAWMVHIVAAILRIKSSGESDELHDAELSARVFNLINITDRGFQNQRYSELSKQRLDDAILQFFRHFLKSYIGEQAMESSKRLYARFSELLGVSDHLQVLNVIIGKIATNLKCYAESEDAIAQNLNLFLELASGYTSCKLLLKLDTVQSIIIHSNREHFSFLRDNRFSRTRTTFFYTIGLLVFAEDNNSKFKSSVDPLMQVFVNLEMIPDGMFRTDTVKQALVGLMRDLRGLAMATNSRKTYGLLFDWLYPAHMPLLLKAITYWADTPEVTTPLLKFVAEFVLNKSQRVTFDMTSANGILLFREVSKLLVTYGSRILPLAHHGDIYAFKYKGIWISLTIFSRALAGNYVNFGVFELYGDRALADALDIYIKMILSIPLTDLLAYQKLARAYFAFLEILLKTQITFVLNLDSITFTFIAGTIQAGLRSLDANILSECAYAADYIATYYFNHITSGELPTTPASLNLARLVADCPGLFPEMLKSLFETVLFDDCGNQWTLSRPMLSLMLINEEMFVNLKAQILVTQVVGEQPRLSACFDNLMMGISRNLEAKNRDKFSQNLTRFRNEFRQR >KZM98278 pep chromosome:ASM162521v1:4:22512130:22522283:1 gene:DCAR_014360 transcript:KZM98278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSDKSSKRRKKKRVDDTLTTSSSSSSESSSSDSEDNGKRSRRRRKHSKRHRSRERSSSRRHRDDKREKRRKHRRREKKNASESESESGSESERVRDKPEDVIRFILNEFSGVAADLEQLLKMIDDGQAVDISGLSEKSLVKHLRKLFLSLDLKESHELVFLLPPNVCPTLKVVGSIIHPNVEPPVQNIDNPAPEIDVQEVPSDVNTRHMPEDFNMKLPSPRQDVAGPKRRVIGPEMPSAELLAAAAKLTEAEAELRDAEMDGGDGGLFIGPPPPAVVKEAESANEAERFEEVTRIMGADVDSPYDVVGVNRNMPAENIKKRYWKLSLMVHPDKCPHPQANQAFIILNKAFKDLQDPDKRKAMDDKIIQKEEQERFKVELKEMREAAHWRRLQGLSMEGDEALLADMDVKVEKKRDEWMTTLPPERKPGVTTQSTKFNRNTKEGRGDTSAWTDTPLERAQRAKMNYLEAYNTATALASNDQQNQTTNMDAELVDKYNKAKRSKSLVEKHQETAGKRSKKKAKQDSSKDEWQGQHPWKPWDREKDLTAGRQNVKLDAENMSQGLSSRFSSGSFQRNFL >KZM98937 pep chromosome:ASM162521v1:4:28142651:28145618:-1 gene:DCAR_013701 transcript:KZM98937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPGAIHPAVKPLSYLLGTWRGQGQGGFPTISSFNYTEELNFSHPGNKPVIIYTQKTWKSNSGEPMHAESGYWRPKPDGSIEVVIAQSTGLVEVLPVIIYTQKTWKSNSGEPMHAESGYWRPKPDGSIEVVIAQSTGLVEVLFFEVLIFLFLIRTDCYFVILAISLSSHQSGLTIFFESLAARQQTTKPPFHFTCLLTSTVSVIQ >KZM98638 pep chromosome:ASM162521v1:4:25698200:25699312:-1 gene:DCAR_014000 transcript:KZM98638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPYGKVKAGRLTFKGGDLAIRSKSIDKKHKKKKKKPETILSGDDAIAAASETIEPEEGSSAVGEDVYTIDAAKKMKYDELFPVEAKKFGYDPKAKELKSVEEALDDRVKKKADRYWKDGNDVGMLMFESEAIVVGNVGTWHLAAVQAKELMSVVVDMMGRVCIVGSVGRVFDGHATFCRVHIASIGGNETLGDGGIVGIIFSKRQAAKFTSMIDSQKSTAIMIKEYPRVAI >KZM96941 pep chromosome:ASM162521v1:4:8933165:8933842:1 gene:DCAR_015697 transcript:KZM96941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTDLALDETTNFNIPEQAPEPPSLSLVDPNAKPEVKVKAGQFFGGRPTESDSLILNSNLATEMATDETKEPISTEDAQETIPPTLETLISKEPIMVQPLAAAFLLHEDPLPTSEYTLVIEDTLISEAVIPETDPAIVVEPSIEAKTPIPEPSSPILQETLISYKPTPDSSIAEETISAEQHFVANTETLIPEPAVLAPEASSLPTWEQVFLEAERKNKNVQLV >KZM98529 pep chromosome:ASM162521v1:4:24768840:24770666:-1 gene:DCAR_014109 transcript:KZM98529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINLCDSDSDGGDDISKIEINQEFARRYEHNKKREDLHRLQELQNQGIVDESGDSDEEVSEDDGVDDLELLDALLKVRKQDPSLKDKQVKLFPSDDEAEVVEEKAKAKKQKAMFLKDVTAKHLIEDGAEMGDDEEEEEEGRIRKGVKSYVEEQEELKKPFLEGCDAEEDEEDGEGFFKIKERDEDGEDEEENVEEVSKKLDEYFGEDEKLDENAMFLKDYFKNKMWLDKSDKKKVLDEDEVEVEEDEEEVYRQEDYEREFNFRYEENPGDRVLGHSRFVEGSVRKKTNTRKLQRERKEERIAQAEYERKEELKYLKNLKKKEMNEKLKKIKEIAGIGEDGDCRLDLEDLEDEFDPEEHDKKMKVAFNDDYYEANDADPDFASEGDEDGEIEKPDFDKEDELLGLKEGWDDMDGSGDGFKAARERFLKQKAGKVEVVDSVEGKKKKKHKMSALEKEVLNKELDEYYKLDYEGTVGDLKTRFKYRPVNAKRYGLKTKEVLLLDDKELNQHVPLKKLAPYREKDWKVPRIKIYNQKLKIKSLLEGEASDGHTSHKRKYRDDETSIEEQKLPHEESKSKKRKDRKAPLKLSHTRLLAYDKIPSKSKSKKQN >KZM98175 pep chromosome:ASM162521v1:4:21702396:21704921:-1 gene:DCAR_014463 transcript:KZM98175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLAPVLGGAAGAVALVVLVIVILWFCLCHNRNVSRTSDTGSSDQSMQLGRNTFELTLREAKRFSMEELFSATKDFNDRNLIGEGKFGEVYKGLLHDGMLVAIKKRPGAPSQEFIEEVRYLTSIQHRNLVNLLGYCQENGQQILVYEYIPNGSVSVHLYGAGHVSKEKLEFKHRLAIAIGAAKGLAHIHSISPRLVHKDFKTANVLVDENFIAKVADAGVRNFLGRGDIPSSSSQKAADEMFLAPEVREFRHFSDKSDVYSFGVFLLELMSGQEAMKLLSSDSNQNLVEWVQNCQDSGNLPAIIDQRLGNRFTTEGMEEYVQLIVRCVDPSSERRPVMNYVVTELDRILEKEMNLTTVMGEGTPVVTLGSQLFRAIK >KZM99674 pep chromosome:ASM162521v1:4:33906928:33909362:-1 gene:DCAR_012964 transcript:KZM99674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGKRIGQLNRARLRQSKVGGQKMYEKARRGERIELEARRISIFQFDVERSLHDRWHPRGHLIMAGSEDSTVWLWNADKDGWLNMFSGHTSSVTCGEFKPDESRAQLQQLQKEKVKFLMNDLVCARPSKPYDTSGVQSKSISNDTLSRLDTSVLALREDKYKGCIR >KZM99237 pep chromosome:ASM162521v1:4:30482396:30484176:1 gene:DCAR_013401 transcript:KZM99237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDSREAGTMDIGAPTNVRHVTHVTFDRFNGFLGLPLEFEPQVPRKPPSASSSVFGVSTESMQLSFDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINGDNGQQESTREQLNMGLVPNNIDVHSLACLIKAWFRELPNGVLDTLAPEQVMQAQSEEDFSQLVRHLPPTEAALLDWAINLMADVVEMEFFNKMNARNVALVFAPNMTRMADPLTALMHAVKVMNFLKTLVEKTMRDREDFVVETGPAYDSEPSDEIEDNPMSLRSMIEIENEMSELEKASMNKKPLYYSSADLSSVDSEPEIKNDHASIMRTTSKGKSEGGQEKLKLKTPMRRVRYCESCVKKGDGKGTEPSEIRRTGGKSRRAFTTSRSNSRTERNAAWR >KZM98947 pep chromosome:ASM162521v1:4:28224999:28227489:1 gene:DCAR_013691 transcript:KZM98947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARTGVFIDDYLDYASTFPAELQRLLNTVRELDDRSQAMINQTKQQTEYCLGLASDSSKKGYYEDDDIALKKEIEEVQNNALSLCTEKVLLARQAYELIDGHIKRLDEDLNNFADDLKQEGKLSLDEPPILPPLPLVPRSEKRRSVNGTSQWRKINHMDRDWEQERDRDLELMPPPGSLKKDYAPIEIDQTIDPSEPIYCVCHQVSYGDMIACDNDNCQGGEWFHYQCVGLTPETRFKEKWYCPSCKQLPQQ >KZM96578 pep chromosome:ASM162521v1:4:1109216:1109856:1 gene:DCAR_016060 transcript:KZM96578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRGAKRKHIEIVRKDLSVALTKLVQDSAKLNNDMRAAKEDFELMSKSMLNRKAEFLTQGLFLEEQHRRVIDILDGKNHGDEPEPIEHSTLLPPSSTTDMKKFSRESGEALRDHRIIREVEIQSLEGIFTQLDLMMKQNMKELRDRAEGIERERRELSGKLLKLSRSTGDASGSA >KZM97953 pep chromosome:ASM162521v1:4:19737622:19739588:1 gene:DCAR_014685 transcript:KZM97953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSPHEKRYTLSTLLFLFLFLSLCFYLTKRAFRSQFSLYKDSYYYGLFSPPSGSGNSSDDPLLQSSSAVEIPVSTGISDKNGDGESRENIGSDEAEKRKDSVLDSVSVDNAVNQTWTNGDGFVETVKSCNLYVGSWIKDEENYPIYKPGTCPYVDRAYDCQTNGRKDVEYMKWRWKPDGCNIPRFNATDFLVRLRGKRLMLVGDSMNRNQFESLLCLLREGLSNKSSMYEINGRRITKGKGYFVFRFEEHNCSVEFVRSHFLVKEGIRIHARKYSNPILLIDRIDKSSKRWKQADILVFNTGHWWTHGKTAGGRNYFKEGDHLYPQFDAVEAYKRALRTWAKWIDENMDPSKLVFYRGFSSPHYRGGDWDSGGTCKRETDLVRTGAILDSYPLKMKIVEDVIREMQFPVVLLNVTKLTNFRKDGHPSVYGRGKVSSRIQDCSHWCLPGVPDAWNELIYVTMLLRTS >KZM99377 pep chromosome:ASM162521v1:4:31674325:31676309:1 gene:DCAR_013261 transcript:KZM99377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKVSNFSSSIPVRYIPKNSPKPRIPLVSTPPKNDIEEQVKHKHTCLDSNVGRAESSYGTEVAKRKFSPKKSFKTENSRSLVPPVNDFHDVSRHGSPESDVLGLELGDGGKSMGGSFDVDEVLDRNHRAIVNLDFNAESETDEELGGLKSLEDSEELVHEITGKEASGTAFQPSKNNQDAENMAVRFLSRRALTKMELRKKLIAKRYPLHVVDEVINKFQIRKFLDDFQYAEAFSRSRFSSLSWGPARIKQALRLKGVSEADAEKAIKLVFKDGQSGEDQSRFGLSKSSLDQLYAQASKRWLQSQSQPVEKRKSKIIQWLQYRGFNWGVVNGVLKKLESDFPP >KZM97239 pep chromosome:ASM162521v1:4:12693690:12694673:1 gene:DCAR_015399 transcript:KZM97239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCTTSKPLNPIAHLPNAQHHDQLLDLESFSETDLKELKHRFLADVARIRSVVKQIQSRQDQFTKKRAAPVTPDRQNNNNVNNKRQCIRNTAELNSPESRRVEAAMMRKCGVILERLMKHRHGWVFNKPVDVVALRLPDYYEVVKRPMDLGTVKSKLDKRVYRTPLEFARDVRLTLDNAMLYNSKGEDVYNMAADLRGAFDKLFDWAYEKYKVEREGVIVENRRIERPCRKLVVKKREVKVDPETRKEIEIEKLGLVLEGLAGECLDEILGIVAKRNPGMRVPDGEGKIELDVHALDRETVWDLHRFAMLKSKAGQKAQNQNQKAV >KZM97855 pep chromosome:ASM162521v1:4:18472082:18483694:1 gene:DCAR_014783 transcript:KZM97855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILRRDFVSNGAGSVKMVPEEADDLWLVYNLITKGDSVLASTVRKVLREAASGGRDAERVKLKLEIKVEVVWDTMAQDVLHQASDPSVGADLAVVMMQEGLAQIFLIGKSVTITRSRIEASIPRKHGPAIAGYDKALNKFFENVAQAFLKHIDFQLIRCAVIASPGFTKDPTRACYGPKHVEVAHERMAVQTLLVTDELFRSSDIATRKKYVNLVDSVKDSGGTAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >KZM98382 pep chromosome:ASM162521v1:4:23478930:23483394:1 gene:DCAR_014256 transcript:KZM98382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPKPHQSIPSNGLIQQSNGVISVKKKKKKNKKEHSILESSNHHLTQDSSSLSSTTSATSHWGLKSGGFNVSNRSRNPRKGLGGFVRPNQNDVVGALALPTGMAIAAVLSQVLEQKDAAEDRMSVDHLSQIFTLAVGESVFNVFGNKYDCFVQNFEKSFRSTLMTLRLVNESSQNDVGEYLRESKTEKCSDPTSSRYLSRKENSARHTRESRSEEVLNFIDSQGEQNTLKEDCVNNQEELNTSEEDEVNTQPNLLSRALSLHDGHMNQQLMYSSNTMPSFVEGTMQNTLERSVMEQARSNDLKAFEIGLIMKKMHLKEAQIALNSDSNFLERFKLSMGISKASFKAEKFETELKDSRHADLLKTCIDCLVAGLLIMLAALAYGTYVFSHQRLIEATASCEYVPKSKSWWIPQPMSSISSGLHTLRCQVQVVFRMLFGVLMILAITYLLLQRSGTSKQAMPVTFILLLLGVACGFAGKFCVDTLGGSGNHWLFYWEVQCLLHFFSNICTPALYIILNGPISVTERSKSSRVCPFWIRRFLFYSTTLGVLPLICGFIPFAGLGEWRDHFSSLILDRY >KZM98145 pep chromosome:ASM162521v1:4:21443650:21445848:1 gene:DCAR_014493 transcript:KZM98145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKSESCSKLLSNSSSTSSVLEASKKDPLLSDSEKKSLTPSVSSLNFDALKFDVLDGEMEVQSPDNSALWQSFFTDQLDGGDFMISSPVRNLPSPQGTNFGNYHSNYVQSMHGQSLLGYSSPPRALSPLGPFSSIHNNSSKVKGMSPLHRVLNSPNNQEFMQVESFSLPALENFLDDYDREEDFGTLKGFGAGGGSYDEALTTVPALLECLTQPNNSTRFSGSESTVLGGNSQGSDGLYQKKSMVANPPLLQQLEEEREQEKKQLQQQAPPQRAQDLQQAQIIDHTLMVPPLSFAPEQEQESGLQLVHLLLACAEATAKEDYMLAGRYVHHLNRVVTPLGDSMQRVASCFTEALSARLNATLTAKPTSSNSKPFTPFPPDSIEILKIYQILYQACPYIKFAHFTANQAIFEAFESEQRVHVIDLDILQGYQWPAFMQALAARPGGPPFLRITGVGTSPEAVMETGRCLSELAHSLRVPFEFHPVGEQLEDLKPHMFNRRVGEALAVNSVNRLQRVPGNSLGNLLTMIRDQAPNIVTIVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPLDSAHRVKVEQCIFAPEIQNIVACEGSERVMRHEKLEKWRMIMESKGFKGVPLSENAVTQSNILLGLYSCDGYRLTEDHGCLLLGWQDRAILAASAWRC >KZM98192 pep chromosome:ASM162521v1:4:21811340:21812768:-1 gene:DCAR_014446 transcript:KZM98192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPPKEYLAAEGSTMYVDHQFSQSQNSGDHLQYSYRPQQVTTSGGSGMMWFGGGGDGGGIGSGGVISYGVNSSSPSYSSGSSSSGQKRTRSDQESSVTTQFPGQQLPKLQRGISQDSSSLSSVKEEPDGIAPAAADATPPPAAEIDQSAQPGASYERRKYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPENVRMIPPPLAPPPQPRMANSSSSAFHQPAPFLSQQFEASDTLKDYFEYSRLLQSSGDLQLHQQPNLLHQMLNVPTAPTQSFGGSFSSQSSVTMLNSPFGQPFPNQQIAGLFQRPAAGTRGEGSSQDFPATSSRMPGQYFPPSSSN >KZM99268 pep chromosome:ASM162521v1:4:30658347:30660497:1 gene:DCAR_013370 transcript:KZM99268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHLVGLKYMQNKQRVVCCIGDIHGHIVKLQNLWSNLESQIDSTDFQSALIIFLGDYCDRGFDTPKVLDFLISLPSKYPKQTHVYLCGNHDLAFAAFLGILPAPVDGSKFSATWSERWAGRISGFNPAKNTDFKGSLYDAAPTFESYGVPHGSADLIKAVPAEHKKFLADLVWVHEEDNVCIETQEGFKFCKLIAVHAGLGKKRGVEEQLTYLRARDTRIPRVDALSGRKDVWEMPEELMKDSTIVVSGHHGKLYTEGLRLIIDESGELEHNPIAALVLPSMTIIRDTDEVLK >KZM98673 pep chromosome:ASM162521v1:4:25929158:25933875:-1 gene:DCAR_013965 transcript:KZM98673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKRIPNLAQIFLVGFYEEREFSLYVSSISNELRVPVRYLKEDKPHGSAGALYYFRDYILEDNPSHIFLLNCDVCCNFPLPDMLEAHRRYGGTGTLLVIKVSAESANQFGELVADPVTKELLHYTEKPETFVSDLINCGVYIFSPEIFSAIQDVSKHREDKANLRRVSSFEALQLAARNLPQDFVRLDQDILSPLAGKKQLYTYENMDFWEQIKTPGISLKCSALYLAQYRITTPHLLASGDGSKSARIIGDVYIHPSAKVHPTAKIGPDVSISANVRVAAGVRLINCIILDDVEIKDNAVVMHSIVGWKSSLGKWSRVQASSRPQAEGDCNAKLGVTILGEAVTVEDEIVIINSIVLPNKTLNVSVQEEIIL >KZM97225 pep chromosome:ASM162521v1:4:12450401:12452058:1 gene:DCAR_015413 transcript:KZM97225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFMRSRASMHMYGDAECPNYKVSAMVGEAIGRESAGMELYFKSPKEGMDQVGRLESDAEVRMMTYLINDEVQYVEVFAVLIEPLEVQGLPLSRPIDNETVIDLEQEGFGNTDGSSLEDESSETEVAQPREKPVVKKKKVGDGGDNTGGADEPHAKTEKANNETQTEDAGIGNDGANKNTGGANEFNWNFETDFEGHEKENEDGNNDGAQNEDEHNEFEECFEGQEANFERFRTEEESDEDHDEWFPDTSNDGNSDHDDSMDYANEEESDLHEPHGQEEVHIEDIELNDDLIESEDERIAVSSEEGGEEFATDFIPGASQPTTQVDESQGGVFQPQTAPTTTATGSSGIRLKGWQKQKKAVTTRAEILRARSAREKKINKKYVD >KZM97947 pep chromosome:ASM162521v1:4:19707222:19707960:-1 gene:DCAR_014691 transcript:KZM97947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMDVEQILDTETGLELNEENVEKILGEIRPYLTGTGGGILEFVQINDYVVIVRLSGPAAGVMTVRVALSQKLREKIPSIAAVQLIE >KZM97281 pep chromosome:ASM162521v1:4:13078299:13079746:1 gene:DCAR_015357 transcript:KZM97281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWNSKAFHRSYLEMEKQFKVFIYEEGDPPIFHTAPSHGVLGLEGIFINEMEQSQFRTRDPDKAQVYFLPFSIISIVHFVYVVDSHDWSLMENTVADYVNVIAQKYPYWNRSLGADHFMLACHDWGPKISFAVPNLYNSSIRALCNANTSERFNPNRDVSIPEVDLPGGTTDGLLGGPSPSRRTIFVFFAGGIHGYIRTVLFEHWENKDTEVQIRQYLPKGVKYYDMLRKSKYCICPSGYEVADARIFEALYTGCVPVIIKDHYVAPFSDVLNWESFSVIIPVKDIPNLKKIVKSISSRQYIKMQMRGLKVRKHFEVNFPPKRYDVFHMILHSIWLRRLNLQIRD >KZM97735 pep chromosome:ASM162521v1:4:17331627:17332668:-1 gene:DCAR_014903 transcript:KZM97735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGRGVRGLMRVILIVNFVAYLIVLGLAAWSIDKYIDGEQDHPHLGGNPSTSFMLIFALIAGAIGECSVLIGFTHLRAWRSDSLATAASSAIISWAVTALAFGLVCKEMLMGGHRGKRLVTNTGSFYHDINSKPTDICVANACGNVEHQVWTQLWIIIVASSLNEQAGIDKILCRYLYSF >KZM97479 pep chromosome:ASM162521v1:4:15099641:15101487:-1 gene:DCAR_015159 transcript:KZM97479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIAQMNSMLMKIKPYLAMVFLQFGFAGMFIIVMIAMQKGMSHWVLVVYRHLLATLAIAPFAFVLERKIRPKLTVSVFLKVMLLGLLEPVIDQNLYYVGMKFTSATFASATNNVIPALTFVMAILFRMERVNLKQKPGLAKVIGTSLTVSGAMLMTLYKGPVVDIFGYSPGSGHQNSSATSSAQHWAAGTLMLLLCCVGWSAFFIVQSITLKEYPAELSLTSLVCFMGMVEGGIVALVMERDMTAWAIAFDFRLLAAAYSGVVCSGIAYYVQGYVNKVKGPVFVTAFTPLSMIITAILGAILLSEQVHLGSLLGACVIVIGLYSVVWGKSKDDQILTSEEPSKLNTELPVVQENSITKSAHDSLNGPFMLPKINVVKISDSGEP >KZM99484 pep chromosome:ASM162521v1:4:32462861:32464699:1 gene:DCAR_013154 transcript:KZM99484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLLSVLLFSLCFFHSTAKLPKSSLSLFRSQFSETPNDISPTTFFEVTKPIQVPNTKPCSQLILDHDFGYTYNKPPVLADYKPSFLNCPSQDFSRIVLEWKASCKGRQFDRIFGVWLGGVELLRSCTAEPTSNGIVWTVQKDVTRYYSLLMTNQTLAVYLGNVIDSTFTGVYHVKLSLHFYPVEEHILNWGNLGHGYGSNADLILPISRTLPLNDGLWFEVVNSTDVKSKVFQIPENAYKAVLEVYVSPHENDESWYTNLPSEYIARNNITDLPGNGPFREVVVSIDGKVVGAVWPFSVIYTGGVNPLLWRPISAIGSFDLPSYDIDITPILGTLLDGETHELGLSVTNALNVWYIDANLHIWLDGKSEKTEGKVLRSSTSPCHVTYVSNFTGMNGTFSTKVSRLIDSIGWVKSSYGEITTQATQEFNFSNFMEMGSNGNLQIVHQRIDFIDTTSANSGTSVDSVTSLKRFPLYLYMENADQEGGAYTSVSNLSLGFNEKIIKKSEFGSSVSSLENLQGGQASMLVKGNLVVSGTGRTQQVYSFDSSNSCYLRNISSSNYTIIYDQESNKCNKRTATHWGSGLHKWGRQPIRSAYLVSDSVKGKRGFNSP >KZM99809 pep chromosome:ASM162521v1:4:35051136:35052513:-1 gene:DCAR_012829 transcript:KZM99809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEDPRSTSLTLSLFSHLEPPQKQYSQLDQLTNLSLALSSDASKVHAVFKPDMILNRQASSISVVSSGSSGVKRTRDVSWEEVRIKKVSQMKRNVSSMSHSEEGDDQEIEEEGGVRKKLRLSKQQALVLEDNFREHITLNPKQKQALAEQLNLSARQVEVWFQNRRARTKLKQTEVDCELLKKFCETLTDENRKLQREVQELKALKRAAPPYYKAQLPAATLRICPSCEKLRDQPAIRHHQASSKPQFSINSTKKQFYGLLSNHSSAAC >KZM97731 pep chromosome:ASM162521v1:4:17302580:17305505:-1 gene:DCAR_014907 transcript:KZM97731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKLILICQYGGDFVTNDDGTLSETSAKLAGTVEPGSEPAIPTDLTPGNQVRGKRVQKRKPAKHVVKRKTGGASSPKPSSDVPVVQGLRATAKSDSRTTTISTHSAGPMVVFESDCAPKSDFSAAANHHSSDKIDLTATPADAVKKRRRTASWKIGANGPMIVVDDEERTPLEKSGHLSHNMKKPRKDVTPRKADCDNRTSNSSSHDHLLENQVDAWRDIIKGVGQEFEGVQEFRDALQKYAMAHRFTYKLRKSEANRVIAVCVVDGCSWRIYASRVSSEHPFEIKKFQDTHTCGGESWKSGHLARSRFVGIIKERLRDSPNLKPREIASGISHDFGISLSYSQVRRATEDAREQLRGSYKEAYNQLPWFCEKISKTNPGSSTNFVTNDDKTFRGLFVAFQATMFGFLNGCRPLLFLEASYIRSDYQEILLTATAVDGNDGFFPVAFAVVDVENSDNWHWFLEQLKYAMSSPQSLTFVSDREKDLKKSVLELFENSHHGYSIYHLMKSFKRNLKGPFSGDGKALLPVTLLAAAHATRLCDFEKCTEQIKKICSKAYDWVMQIEPEFWTSLFFEGEQYNHISQNIAEPYITLMEELRELSIVRKIEALIRMMAGLMDRGQKESCKWTKNLTPSYEKKLQNYSIKARKMKVLCSSDTLFEVRDECIHVVNLDNLDCTCLGWKKLQPCCHAVAVFISTGRNSYDYYSKYFTADSYRSTYSKSINLVLGVKPVEDDDKSPGLTESVLPPVPTRTAAQEKRELKESESVDKRTVTCTRCKEEGHNKKSCKATLEIAEC >KZM97302 pep chromosome:ASM162521v1:4:13324651:13330243:-1 gene:DCAR_015336 transcript:KZM97302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLHGVWACVWFVMNVGCMGRFVVEKNSLRVTSPPELRDTYECAIGNFGVPQYGGTMVGLVMYPKSNHKSCNKFSDQDISFESKAGGRPVFLLADRGDCYFTLKAWNAQMTGAAALLVSDDRVEPLITMDTPEGQNAREDYLQNITIPSALISKTLGDNIKKALSDGEMVTINLDWRESLPHPDDRVEYEFWTNSNDECGPKCDNQIEFVKSFKGVAQILEQKGYTRFMPHYITWYCPQAFILSKQCQSQCINNGRYCAPDPDGDFNKGYSGKDVVVQNLRQACFFRVANESGKPWLWWDYVTDFAIRCPMKENMYNKECADTVINSLGVDLSLVDNCIGDPNADEENPVLTTEQDAQVGTGSRGDVTILPTLLINNRQYRGKLDRGAVLKAICSGFEETTEPAICLSKDTLMLVIDVLLQNVETNECLENNGGCWQDKAANLTACKDTFRGRVCECPIVRGVKLSGDGYTHCEASGALRCGINNGGCWRKTQDGRAYSACVDDRTKGCVCPPGFRGDGVNNCEDVDECRERLACQCPQCKCKNTWGSYDCSCSGNLLYMREHDTCISKNFNTDINWGFVSIIIIALAAAGYALYQYRIRRYMASEIRAIMAQYMPLDDQPEVPNHVPHGEV >KZM99724 pep chromosome:ASM162521v1:4:34291091:34293003:-1 gene:DCAR_012914 transcript:KZM99724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKPILFLLLVHIILTLVPGATAKVSAIIVFGDSSVDSGNNNQLSTLLKSNFQPYGRDFYGGKPTGRFCNGRIPPDFISEAFGLKPAVPAYLDPMYNISDFVDGVNFASAGTGYDSATSDVLSVIPFMRELEYYKEYQSKLKAHVGDEKAKEITSEALYLLSLGTNDFLENYYTMPDRRSQFTVDKYQDYLSGIAGKFIKELYELGARKISLGGLPPMGCLPLERTADFFRGQGGECNENYNRVALNFNSKLSGLVKNLNQELSGIKVVLSNPYNILKQIVHKPSLYGLFEMSYMCDRLNPFTCTDADKYVFWDSFHPSQKTNKIVSDYVIKNALHVFL >KZM98610 pep chromosome:ASM162521v1:4:25445314:25445499:-1 gene:DCAR_014028 transcript:KZM98610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSLTWVRPYKTYLSVSGSRPPPLPFSTARTPSAPDSNAGDALHQPEELKLCLRIPHNHS >KZM97481 pep chromosome:ASM162521v1:4:15107406:15117045:-1 gene:DCAR_015157 transcript:KZM97481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDESNDHNSIKKRDAHLDPPSNPTPKKSKTDDNQMSTGNELLFAVQADGAEDKGSRHSMEDKFVVLPDATLNFPPGTLRCAHYAVYDGHGGQLAAEYAQRHLHANIMAAGLPRELLDVKAAKRAIFDGFRKTDESLLQESAAGGWMDGATAVCVWVLGQTVFVANIGDAKAVVARSSATNNLENESNDSNPLKAIVLTREHKAIYPQERARIQKAGGSVSANGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFNLTERDKFIILGCDGLWGVFGASDAVEFVHKLLKEGLSVAAVTRRLVREAVRERNCKDNCTALVIIFKRQ >KZM98359 pep chromosome:ASM162521v1:4:23232745:23233017:-1 gene:DCAR_014279 transcript:KZM98359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWPLSSLAQAWLGNQVLTEQYGKEIISIVESDSNAKLAPFSLNASWMRCCHAAPSSISDTVRWNWNQSNVVNLSTIFEPARMHANSIS >KZM96928 pep chromosome:ASM162521v1:4:8834992:8835759:-1 gene:DCAR_015710 transcript:KZM96928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPQYLPSSSSKSESLVQEISSDDFGSNSGSESQQLTKTPYDNVSPALKKPTSPLKPNAKPPSIKAELVSRVFTKEDEIALVEGMIEFKETNQGNLSLNMAAFRSFVKDSLSCIVSQKQLLSKISKLKQKFLVNVSKLQNGEDPMSWRPHDYKLFELSRDILGSEVLGGMEKDNKDDHVASKTEEEPVLWWSLYPCLCVSLESVNVAKLVGAGPYLLLSMVVCLVYQLSFPVSFWDLCMLFILFALYFEMMRFS >KZM96743 pep chromosome:ASM162521v1:4:5574530:5586577:1 gene:DCAR_015895 transcript:KZM96743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVTRASTLAEEDEQDSKEAVLQRYFLQEWQQVKSILHSILSNGRVTDLSSVRKIRSIMDKYQEQGQLLEPYLESIISPLMSLVRSKTIEPGLANNEVLEVIKPLCIIIYSLVTVCGYKAVIRFFPHQVSDLEFAVSLLDKCHDSKAGTSLRQESTGEMETKCVTLLWLYILVLVPFDISSVDTSMADSNYLGGDDSPPLVTRILGFSKDYLSNAGPMRTMAGLLLSRLLTRPDMTKPFNSFIEWTHDVLSSVTGDALHHFQLLGAVEALAAIFKAGNRKLLMNVVPAVWSDSSVLIKSDGAARSPLLRKYLVKLTQRIGLISLPHRSPSWRYVTKFKTLGESPLSVSSTSGYGVDLDSLKLAVEENLHEEEMDVPEIVEEMIELLLSGLRDTDTVVRWSAAKGIGRITSRLTFALSDEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPISLPKVLPVVIKALHYDIRRGPHSVGSHVRDAAAYVCWAFGRAYAHTDMKSILEQLAPHLLTVACYDREVNCRRAAAAAFQENVGRQGSYPHGIDIVNTADYFALSSRVNAYLHVAATIAQYDGYLYPFMEDLLYNKICHWDKGLRELASSALSVLVKYDPEYSANFILEKVIPRTLSSDLCMRHGATLAAGELVLALHKCGYVFSTDKQKSIAGLVPAIEKARLYRGKGGEIMRSAVSRFIECISLSNVSLPEKTKHTLLDTLNENMRHPNSQIQNVAVEAFKHFVLAYLGKTTNKGAYDITSKYLEHLTDANVAVRRGSALAIGSLPFEFLVTKWKSVLLKLCSSCAVEENLEDRDAEARVNAVKGLVSVCETLCATKECSQFLLEEDVVSLYLTIRNEVMQSLLTALEDYSVDNRGDVGSWVREAAMYGLEKCTYILCKRDSSKKSQGCDSQDQNKGQVNGNEEMQWLFDAHIAASLVGGIAKQAVEKMDKMREIAARVLHRILYNEAIFIPFLPHRGKLEKVIPHEADIKWAVPTYSYCRFVQLLQFSCYSKHVTAGLVISIGGLQDSLRKTSLNALLEYLEGTEIKGSKESISRELSLSEDIVWVLDKYRKCDRVIVPTLKTIEILFSKGLFLNLEAQTLIFCDGVLGSLALELKGSKDFSKLYAGIAILGYIASIAEPINIKAFSHLLMFLSHRYPKIRKACAEQVYLVLLQNGDLVAEEKLEKALEIISETCWEGDIQEAKQRRLELCHTANIESGQLVSRGTSSKDGDRLTTTDENATYSSLVGSAGF >KZM99419 pep chromosome:ASM162521v1:4:31913436:31914976:1 gene:DCAR_013219 transcript:KZM99419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKIAKFSKTEKLQLPPGPRRLPVIGNIHQLVGSLPHHILRDLAKKYGPLMSLKLGQVFAVVVSSPEVAAEILRTHDLNVSQRPYFLSGEIIAYNSSDILFSPYGEYWRQMRKICTLHVFSSKSIQKFQPIRKEEVLNFIKQVSQNEGSAINIGEEFFSLTTGITSRVALGRKLKDPEVFASFVKEAVELSSGFSVVDMYPSVKLLQVISGIRYRLEKVHEKMNKVLETIVNEHRDRLREGNDQDLIDVLLRIQKHGYLEAPLTDDNIKSVILGIISAGSETSALTLTWAMSEMLKNPKVMETAQAEVRKVFKGSENVDEARLQELDYLKLVIKETLRLHPPAPLLVPRECREEIKINGFDIPVKTKIIVNVWAIGRDPRYWPDAESFKPERFQESSVDFRGTDFEYIPFGAGRRMCPGMWLALPTMMLPLAQMLYHYDWKLPDGLKNEELDMSEAYGITSARKQDLYVVPVACTS >KZM99904 pep chromosome:ASM162521v1:4:35738227:35741488:-1 gene:DCAR_012734 transcript:KZM99904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAETVTLLLVNLAGIMERADESLLPGVYKEVGEALHTDPTGLGSLTLYRSMVQSLCFPLAAYLSLRYNRAHVIAYGAFLWAAATFLVAFSSSFFQQKPKIKTNMKPETLTLLLVNLAGIMERADESVLLGIYKEVGEALHTDPVGLGLSPFYCHCLWRSFVACHDFSYYLFLHLLTVQNVIYEDVIMSSCNDMMPNNEVALSRALNGIGLAIVGPAIQSLVADSTDDNSRGMAFGWLQLTSNLGAIMGGLFSVMLAPTTFLGIPGWRISFHLVGIISVLVGILVRFFANDPHYSNGGSTSTTDISNKTLWSEVKDLAQEAKSVMKIQSFQIIVAQGVTGSFPWSALSFSAMWLELAGFSHKVTAFIVAMFVVGSSLGGLFGGRMGDILSKLLPNSGRIILSQISSASAIPLAAILLLALPNNPSTIFLHTLVFFTTGFFISWNGPATNNPIFAEIVPEKSRTTIYALDRSFETVLSSFAPPIVGMLAQHVYGFEPVPEGSENIATDRENAESLAKALYTAIGIPMGLCCLIYTFLYFTYPRDRERAQMDVLIESELQQIEFDSSSSSEQYPEVRSSKSKEHYSDGMEVSQIVPLDESEEKLLLYRQLTFANFET >KZM96672 pep chromosome:ASM162521v1:4:3731154:3739844:1 gene:DCAR_015966 transcript:KZM96672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASIKELARLNLAAVLVIVATLLFSSSLVFASDVVHQDNIAPKKPGCDNDFVLVKVPTFIDSQKKDVFVGVGARFGPTLPSKQKDAIKGRVVLADPPDCCSKPKNKLTGEVILVHRGNCSFTSKTNIAEAAGASAILVINDQKELFKMVCEVNETFMEISIPAVMLPQDVGATLEESINRDLNVTVQLYSPDRPSIDVAEVFLWIMAVGTILCASYWSAWSDNEAINEQEKLLKDAPDDYLRPDENSYSSVVDITTTSAILFILIASCFLVLLYKFMSYKFIEILVVVFCIGGVEGLQTCLVAWLSCFRFFDHARESNVKLPLLGAVSYLTLGVTPFCIAFAVVWAAYRRVSLAWIGQDVLGVALIITVLQIVRVPNLKVGTVLLSCAFLYDIFWVFVSKWLFHKSVMIVVARGDNSGEDGIPMLLKIPRMFDTWGGYSIIGFGDIILPGLLVVFSLRYDWLAKKKLRGGYFLWTIIAYGLGLLVTYLALNLMDGHGQPALLYIVPFMLGTFLTLANSRGELDNLWNKGEPERICQHEPSN >KZM98632 pep chromosome:ASM162521v1:4:25639199:25642939:1 gene:DCAR_014006 transcript:KZM98632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGSGAGGGSGDDNHDVLDPHRRKKRYHRHTANQIQKLEGIFKEFPHPDEKMRMQLSRELGLSPRQIKFWFQNRRTQMKAQHERADNCALRAENDKIRCENIAIREALKNVICPSCGGPPIGEDPYFDEQKLHMENLHLKEELDRVSSVAAKYIGRPISQLPPIQPIHISSLDLSMGSYGIQGMSGPSLDLDLLPGSSLNVPNIPYQSTSITDMDKTLMTDIARNAMEELIRLLQGNEPFWMKSATDGKEVLNLESYERIFPRVNSHLKNPNVYVEASRDSGVVIMNGLALVNLFLDSNKWVELLPTIVSRAATIAVISPGTIGSQSGSLQLMYQELQVLSPLVPTRQFYSLRFCQQIEEGSWAIVDVSYDLPQDSLFTSQCRARRMPSGCLIQDMPNGYSKVTWMEHMEVEDKGPVHRLYKDHIYSGLAFGAERWISTLQRSCERVACSMVTGTTTRDVGGVITSPYGKRSMMKLAQRMVNNFCSIINLPNSQQWTALSGTPNEFEVRANLHRFANLGQPHGMVLSAAATIWLPLPPQIVFNFFRDERTRPQWDVLSDHNPVQEVAHIANGSHSGNCISVLRAFNTSQSNMLVLQESCIDSSGSLVVYSPVDLPAINIAMSGEDTSYIPLLPSGFTISPDGRTSTLQTVHEGASSSSSTTTTGSLLTIVIQIMVSHMASTTTKMSQESVNTVNNLIGNTVQQIKVALNSST >KZM97311 pep chromosome:ASM162521v1:4:13396391:13401709:-1 gene:DCAR_015327 transcript:KZM97311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTSSVSGGARSTAGAHQRRHSLTNSTVAEDHLAMLDLFSRNRRNDASSDVKLGRLSLGSAVKQNPKPGFDDLLSSVDAGGKNDYDWLLTPPGTPLFPSSDGSESQPATFAPKRSTRSVSTTKASRFSVSESENIHPSRPARSSSVTRPAVSSSQYSTFSNKSSSILNTSSASVSSYIRPSTPTTRSSTNSRPSTPTSRSTPSRSSTPSRVRPSPPSSSADRSRPSTNSRPSTPTSRPQIPANLNTPITRTVSRPSTPTRRNSAPSLSQSTGPSTPVRTISNGSRAAPGSRPSSPSPRVRPPPQPLVLHDFPLDTPPNLRTTLPDRPLSAGRSRPGSTLPVKGNVETQSHATLRRPSSPSVTRGRHSEPNGRLSEPHGRGRMHANGQVVDTVESHRPSHVLEASTRKLGKASPDTTGLGRTISKKSLDMAIRHMDIRNGTNGTRPVMGSTLFPQSIRSANSRGQPGRSTSVPAAVDRSMPVNNNSVFSENGHYVNRYPENVNSENKYPVSSRVSDVDIYESSRYDAILLKEDPKDTNWLHNGDDKFDQGPIFDNGFEQLPEPFGPL >KZM96897 pep chromosome:ASM162521v1:4:8448775:8453036:-1 gene:DCAR_015741 transcript:KZM96897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSLTSSNTMPITRQALSIFAYPFRKYTIPTISFSHFPPKRHRSFCQYTHSLSAVSDPNPRNRSPEAIALEYSDLNLPHSTSEEVGPVRIRQHVNPLSAYFSTPVEVPNWNEVYEDTKLPLMVDIGSGSGRFLMWLAKRNLHSKNYLGLEIRKKLALRAEDWAKEQSLRNLHYMFANATISFKQLISAYPGPLMLVSILCPDPHFKKKHHKRRVLQKPLVDSIVNCLAPGGQVFIQSDVLEVALDMRNHFDAEPDILAHVSNIDASIACDDEGWLLRNPMGIRTEREIHAELEGAKIYRRMYQKRK >KZM98139 pep chromosome:ASM162521v1:4:21386487:21388660:1 gene:DCAR_014499 transcript:KZM98139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLILSPVPTSPREDAIQLYRAFKGFGCDTEAVIKILAHRDSMQRALIQQEYNAMYSTDLLKKLVSELRGKLETAVLLWMHEPASRDAIILNESLSPDFLNLEAATELICTRTVPQLQTLKQLYYSKFETHIERDIELQTIGDHQKILLAYLSAPRYQGAEIDRGLVAEDAKALFKAGEKKLGTNEKVFVRIFSERSPAHLIAVSSAYHDMYGNSLNKAVKSETSGKLELALLSILGCAKNPYKYFAKVLNKAMKGLGTDDTKLIRVIVTRTEIDMQYIKAEYLKKYGKTLNDEVQSETSGHYRTFLLALLGPKNH >KZM98556 pep chromosome:ASM162521v1:4:25005613:25006026:1 gene:DCAR_014082 transcript:KZM98556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDHYAILGLPSGVQGTKLSLQEIKKAYESKALKLHPDKTHMNFQRLQNSYEILKDTKTRKACDDRVRAKHEEVLLREKMRKKRELAEEKAQEEEERKNVKMRAEMKKKNEPEVDTDLDFILNVTLVLLVSLGIFN >KZM96839 pep chromosome:ASM162521v1:4:7470525:7471680:-1 gene:DCAR_015799 transcript:KZM96839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNAQVKQVAFKSNNTTVNVRWGSKEDATLVKSLLKLVDDGGWRAENGQLKSGAYGKLEKIMGELLPGCGMKARPHIESRVRLLRKQFFAIEEMRGPNCSGFGWNELEKSITCEKSIFEEWLKSHPNAKGLRNKSFPFYDELAQVFGKDRANGEGVESPADAVEEIANDEESNLYQQAGQQKDNLEDEVSPRNVQPTDTGARGSKRIKTDSLEIVKELTFGLQKISNVMETEYENIAKLTSCFQHESDGANKRMMVNSELLKIEGLSPDQFIKAGRKIALDPLETDYFFSLPEDYRSTYVQALLLPDS >KZM96719 pep chromosome:ASM162521v1:4:5037574:5038465:1 gene:DCAR_015919 transcript:KZM96719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDMIERYRKCTKDVHSNKTPVVQDMQHLKLETACLAKKIELLEVAKRKLLGEGLGSCTLEEIQQVEQQLEKSVCTIRDRKVIIPLVIYSNNVTYRDALRSNKKTYTSKLCRFIVEHLPLTSCKWSEGNTTKSKYAFVLKNMHID >KZM98963 pep chromosome:ASM162521v1:4:28319584:28322200:1 gene:DCAR_013675 transcript:KZM98963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESLSLPSIPVAPKTSRLSQVQSRCLSTKRNGMIFCQSGKNVVKTSKVSSVFTVSPDNGSALLDVAGSLVLNPNGNGQTEIVNKDLMPYNGALLDVHDKGIGIVKYLQGKGFLITGATGFLAKVLIEKILRTVPDVGKIYLLIKAKNKEAANERMKNEIINTELFRCLQQKHGKSYQAFMLSKLVPVVGNVCESNLGLDDDTATAIMHDVNIIVNSAANTTFDERYDVSLDINTGGPSRAMLFAKKCKKLKLFLQISTAYVNGQRQGRVMEKPFSFGDSIARERMTFGTTETSSFPMLSVQDEIKLVLDRKQGLEGNALAQNMKELGSERAKKYGWQDTYVFSKAMGEMLIDNMRGDVPVVIIRPSVIESTYKEPFPGWMEGNRMMDPIVLYYGKGQLTGFLVDPNGVLDVVPADMVVNATLAAIAKHGAAGKSESNIYQIASSVVNPLVFRDLANLLYEHFNSLPCVDAKGRPIRVPTMKVFNTMEDFSFHLWKDTIRRTGLADLPNPNGKYSRKLEYICRKSVEQAKYLANIYAPYTFYGGRFDNSNTERLMRCMSEEEKRTFGFDVGSINWRDYITNVHIPGLRRHVMKGRGMLS >KZM97441 pep chromosome:ASM162521v1:4:14720695:14725178:1 gene:DCAR_015197 transcript:KZM97441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLPADSVQSGTYEGLYSNQQIEDGSGGGGRWYYSRKEIEENSASRKDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHVRNDRRTIATVCMFLAGKVEETPHPLKNVIVVSYEIIHKKDPAVAQKIKQKDVYEQQKELILMGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGVIWRQEFDVHPHQLEEVSNQMLELYEQNKLLQSQTSEDIGSAAGGPTPRGPTKAPTTEEHLKDDSSSQGRDATSKAGVMKLGLSRPVPNQSYLDNNAARAKVTKNGYDYASTDMNDQKKDILVNDGQHFESESMHYQGNTKTQGGSGYDSERHAGIDQKGNGGRSDARESVDLKDKWNGRYQDHKDGKLSRSPLDAVIKIDKDKVKAALERKKARGATTRRTDFTDEDDLIERELEDGIELAAESERSRSERKQNWSNNEYERDSGNRNENGDDVLGKKCQSPLRQIFDNVEEGEVPTSDDTVREYQLPKSNNRKRKAGSPLDKEGKDLDPVVPAFNQHNHNDSVGDGDGLTHFAYP >KZM96793 pep chromosome:ASM162521v1:4:6370051:6370427:1 gene:DCAR_015845 transcript:KZM96793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSQDMDDENEKLIRRMNPPRVVIDNESCKNATVIVIQVDSANEHGILLEVVQVLHYRY >KZM98665 pep chromosome:ASM162521v1:4:25883404:25886357:-1 gene:DCAR_013973 transcript:KZM98665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSVVVTIEKASNISLIEINDSKSSVFQEKQKAASTRQVTWFFLLRVQRLFSCISWLATSFKAVFSLIRKRVALSDDVGEEDPKYRGRLYSFIRVFLAISVVALVIEVTAYFQRWDLNLNLIHPLEVQSLVHLSYMAWISFRVDYVAPAIMMLSKFCIVLFMIQSVDRLALGIGCFLIKFKKLKPEIKGEAYDVEDCSSFPMVLVQIPMCNEKEVFATSISAACQLDWPKDRFLIQVLDDSDDSLLQLLIKNEVSSWKEKGINIIYRHRFIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDYLKLTIPHFKGNPDIGLVQARWSFVNKEENLLTRLQNINLCFHFEVEQQVNGYFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFVYLNDVRVLCELPESYEAYKKQQHRWHSGPMQLFRVCLPAVLSSKISKWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPVWVICYVPITMSILNILPSPKSFPFLMPYLLFENTMSVTKFNAMISGLFQLGSSYEWVVTKKTGRSSESDLFALGERESKTLSEEKIQRRLSESGLEMLGKLKEQEAPVVKKKNRLYRKELALAFLLLTAATRSLLSAHGIHFYYLLFQGLSFLVVGLDLIGEQVS >KZM99066 pep chromosome:ASM162521v1:4:29162417:29166007:-1 gene:DCAR_013572 transcript:KZM99066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKDSKEAANRPPSNVVDRDPLEGKEEDEYSDTEMTNESLSSHGGSQIADDDKIERAPKVTKKPVRKEASNGVKGQKAPRAKPQWGANNSKVKATLNTPKKSTNLSRANSKEAAKMLSDNDSKNMKVHPNPSSEPSAGVGVAPLEDVKEADVSDEALNGARSTGSDDETSKQEDNEALNLKIEIMESRIEKLEEELREVAALEMSLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWAQDKRATVAKNTVSGLVMIAKACGNDVSRLTFWLSNTVVLREIITQTFGSSCQSTSLAKISESNGAGKKSDDKSLQLKWRATSGSSSKQLNKNFMEFIDDWQETRTFTASLEKVESWIFSRIVESIWWQTLTPNMQSPVVTSGKKSTGMQENNSVNLWRNAFHDAFKRLCPLRAGGHECGCLPVLTRMVMEQCVARLDVAMFNAILRESAHEIPTDPISDPIVDSKVLPIPAGDLSFKSGAQLKNSVGSWSRWLSDFFEMDVDDSLTEDQDSSVDDVTHGGDAELKCFHLLNALSDLLMLPKDMLMDRSVRTEVCPSIGLSLLKRILCNFTPDEFCPDPVPGAVLEALNAECVIERRVSGETSGGFPYSAAQVVYTPPSAVHVAEKIAEVGWKSKMSRNASSVQRKGYTSDEELEEMDSPLTSIVEKLPASPDENGNGNKSDQRGYSSTNTRFGLLREVWLA >KZM97458 pep chromosome:ASM162521v1:4:14905970:14907052:-1 gene:DCAR_015180 transcript:KZM97458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGGGAEAATMRQQRGQFDNHYQHTPPHFHSTVSLQKLRRFNTLIFVFRLATFSFSFASAVFMLTNSRGDSSPRWKDFDAFRFIVAANGIVAVYSLFEMGASVWEISRGATVFPEVLQVWFDFAHDQVFAYLLLSANAAGTSLARTLNGMVDTCSASNAFCVQADISISLGFAGFLFLGMLCLLSGFRVVSFIINGSRFHL >KZM98221 pep chromosome:ASM162521v1:4:22060231:22060950:-1 gene:DCAR_014417 transcript:KZM98221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSGHGKSPRRELQGPRPTPLKVRKDSHKIRKPPPVPQQQHQQPPPVHRPPVIIYTVSPKIIHANPNEFMQLVQRLTGPNSSTTSSSSPVHSSSHSFFPDSGAISPAARYASIEKIKSPEDLFNNFFDF >KZM98406 pep chromosome:ASM162521v1:4:23694744:23705286:-1 gene:DCAR_014232 transcript:KZM98406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTTQRTQSGSWTSYVIPPIILGGIGGVAYLIHYNDERRVILKGDAVNCGINSTKGPVIGGPFNLIDTEGRSISEHNLLGNWVLLYFGYTSSPDVGPAEVEKMSKAVNILESKQDLKVLPVFVTIDPQRDNPSQLRAYLKVAAIKDMAREYRVYYKKVEEDGDDYLVDSSHNIANSPERSGCGLFNGFFGRRWPQRSRSTGSLSTAEPVTDGIPRSSSPSSRRRRGTSNDPPPVEASRDSCEKPGDRVIARPGANHQRVKAQYGLNQQQPQVPTVVRSAPTSQGYVQSRKVPQASLGISGELESMLAEHQKPKGNGFVRASSGNVMLYGNLGNLRQPSGSNVHDQTSYSSSSYSSNGNLYPNSVMGNVVKKQEDLSQKPASLCRALSTRMDPEQLKIMGNEDYKNGNFEEALALYDAAIAIDPKKASYRSNKSAALTALGRLLEAVFECREATKIEPHYQRAHNRLATLNLRLGETEKAMYHFKCAGADADPDVLTKAKNVQAHLNRCTDAKRQRDWNGLLRESNLAISAGADTAPLIYALKAEALLKLNRHQEADEVLTKGPNFSVDESTKFFGPIGQASLLVIRAQVDMAAGRFEDAVAAAQRAARLDVNNNEANKVSQKTGAVAAARSRGNELFKASRHVEACNAYGEGLEYDPYNAILLSNRAACRSKLEQFERAIDDCNAALRVRPSYSKARLRRADCYAKCGKLEASLQDYEILYKENPDNEEVVKAMKEVQIRLKRQSGGSLDINNSNAGHGSDRDDAYAPQKVTPISSEKQFRNCITAPGSDVLTRPYTYTD >KZM99798 pep chromosome:ASM162521v1:4:34944712:34948909:1 gene:DCAR_012840 transcript:KZM99798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGNLAKIDTRTKRDDEEICHDDSATPVKAQTIDELHSLQMKKSAPSTPIKGGGAGSARSSFDSAVSDQDHRNKQQLQSIRETGPKVVKGDPASTRKAAETPKLSSHHATATATPTPNISDSSLKFTHILYNLSPAELYEQAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDESTAKELWWGKGSPNIEMDEQTFLVNRERAVDYLCSLDKVFVNDQYLNWDPNHRIKVRIVSARAYHSLFMHNMCIRPTPEELEDFGTPDFTIYNSGQFPCNRYTHYMTSSTSIDINLARREMVILGTQYAGEMKKGLFSLMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLVREKEPDIWNAIKFGTVVENVVFDEHTREVDYSDRSVSENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMKKHGATGWLVNTGWSGGRYGSGNRIKLAYTRKIIDAIHSGSLLNADYSKTEVFGLEIPTVVEGVPSEILDPVNTWSDKKAYNDNLLNLGGLFKRNFEVFLNHKIGTDNKLAEEILAAGPRF >KZM97166 pep chromosome:ASM162521v1:4:11704975:11705385:-1 gene:DCAR_015472 transcript:KZM97166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNLKRKKTTAADLPEELIRREILTRLPVRSLVRFKSVSKSWLSLFSEPRFIKQHFNYSTTQIPNDRLVARRKTKVIILSRYEEIVALDSTQCVEVVGSVRGLVCLTSFNKLSLWNPATHQSKEIFAPARFADRK >KZM97938 pep chromosome:ASM162521v1:4:19605203:19610379:1 gene:DCAR_014700 transcript:KZM97938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGEVKLSPFDFMTAFLKRGSADLTNSSDSAASSSPSLALLLENRELLMLLTTSVAVLIGCVFVFVWRRSNDKSAKSSEPVKLVAPRIEPEEEVDDGKKKVTIFFGTQTGTAEGFAKALAEEAKARYEKATFRVIDLDDYAAEDDEYETKFKKETIAFFFLATYGDGEPTDNAARFYKWFSEGKEKGEWLNNLQYGVFGLGNRQYEHFNKIAKDVDNGLTEQGAKRLVPVGMGDDDQCIEDDFTAWRELVWPELDHLLRDEEDGTIATPYTAAVLEYRVVFHDQTDSSSLDRTLSLSNGHATYDAQHPCRANVAVKRELHTPESDRSCTHLEFDISHTGLTYETGDHVGVYTENLIEIVEEAEKLLAISPDTFFSIHTEEEDGTPVSGGSLLPPFPPCTLRQALTRYADLLSSPKKSALLALAAHASDPTEAERLRFLASPAGKDEYAQWVVANQRSLLEVLTEFPSAKPPLGVFFASVVPRLQPRYYSISSSPKIAPSRIHVTCALVYEKTPAGRIHKGVCSTWMKNAVPSAESNDCSWAPIFVRQSNFKLPSDSKVPIIMIGPGTGLAPFRGFLQERLALKESGVELGPAVLYFGCRNRKLDFIYSDELNNFVETGAMSEMVVAFSREGPTKEYVQHKMSQKAAEIWDMVSQGAYIYVCGDAKGMARDVHRMLHTIAQEQGGLDSSKAESLVKNLQISGRYLRDVW >KZM99481 pep chromosome:ASM162521v1:4:32407722:32409620:-1 gene:DCAR_013157 transcript:KZM99481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVQDWEPVVIRKKAPTAAARKDEKAVNAARPTAGSNKAASSGTSLNTRKLDEDTENLAHEKVPTELKKAIMHARNEKKLTQAQLAQIINEKPQVIQEYESGKAIPNQQIISKLERALGAKLRGKK >KZM97725 pep chromosome:ASM162521v1:4:17262940:17265011:1 gene:DCAR_014913 transcript:KZM97725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKLAVNSSTIAAMSETLESAVFKPVQELAVDCNTPPERYIYTCSNEAIDVNPPVLDIPVIDLNSLQSSSPSADRELEKLRVSVTSCGCFQLIGHGMTSSFLDQVYSIGRDFFALPLQEKLKCFKTAENPQGYGNDSEHSEVLEWTDRLYLVTSPEDQIKFQAWPQSPAIFRELLQEYTEKIKLLNEVVLKVLSRSLNLKASCLLDQYGENAYMIARFNYYPACPRPDLTLGVKPHADATAITFLLQDKQVEGLQVLKDDQWFSVPTVPDALLVNIGDQVEIMSNGIYKSPVHRVVTNSERERMTVAMFCSPNSTRYIEPAEELISKTRPRLYKKVKNYFDVCFESYQQGKLPIEVAKEGCDEH >KZM96611 pep chromosome:ASM162521v1:4:2157978:2158468:-1 gene:DCAR_016027 transcript:KZM96611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDFDSDAEDIRINDDAKLIEIRLNPKPIQDQHITNLYRYSFRAYVSNLYLGLPQSFKIVLRGKGIEHHNLANDLIIFYLVQCCRLLEMKRIQVFYDAFA >KZM97053 pep chromosome:ASM162521v1:4:10134597:10137101:1 gene:DCAR_015585 transcript:KZM97053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETCVFKLLIWVFCVFLYFACFSCQFVPADKYYINCGSNENVTIGSQVYLADNLASKYLSSPDNILEATTSNSIPQTDEFGLYKNARIFTKSSKYTFKISQKGRVWIRLYLSPFVFNTYNPSSAKFLVSIPNHVLLNDFKSDSVAKREFLVNITSDSLVITLSPSENSFAFLNALEVVSAPDFLITDDAQGVSPAVKFEGLPTQALQTIRRVNMGGPTVTAKNDTLGRTWEPDTKYLLDSNLARNVSKINSVRYPSGGATAEFAPKTVYGTATKMRSEDDPGSNFNVTWDFPVDQGFRYFVRFHFCDVASNSTNDLYFNVYLGPSNVLPDFDLTTINQNFLATAVYRDFVTSTISSSNLRVSIGPSSFGNSYPDAILNGLEIMKINNSVGSLGGVDTVPSSSGSGSKSNVGVIVGVIAGIAIVLFIVGLFFYIRRKRRLERLHHSKTWVPLSVNASYTMGSKFSNGTTTSAGSDFGYRCPFVAVQEATNNFDESWVIGIGGFGKVYKGEFSDGTKVAVKRGNPRSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDEKSEMILIYEYMENGTLKSHLYGSGLPSLGWKERLEICIGSARGLHYLHTGYAKAVIHRDVKSANILLDETFLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKRGQLDQIIDPNLAGKIKPDSLRKFAETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVLHNDPEENSTNIIRELSPQLNNFNNDDSTTSAGQHDMSVVDDDLSGVSMSRVFSQLVKSEGR >KZM99455 pep chromosome:ASM162521v1:4:32192649:32194394:1 gene:DCAR_013183 transcript:KZM99455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHYLVTKPTYRCVSGSLAFGLLGSWSRFFGTNLSQNQFSSHSHRNVAAVEFRTHLQHFSSASVRIISCTKSNVVFKLLFPLASVKAKFYTSETGQMESMVYSCTSDADKVYATIVDSPSPNINMEENLELLGVNLTTPLVMMVLQRLHYEEKLAFRFFTWAGHQDNYAHEPQVYNEMIDILSSTKYKVKQFRIVCDILDFMKRNNKTSVPVEVLLKILRQYTEKHLTHLQKFAKKKKIRVKTQPEINAFNLLLDSLCKCCLVEDAEGMFRRMQSKVKPDANTYNILFFGWCRVRNPTRGMTILDDMIKKGFTPDNFTYNTAIDTFCRAGMVTEAAALLEFMRTRGTTMSSPTAKTYAIMIVAFVQSNKMDEAFKLLGDMIGSGTLPDVSTYKELIEGMCLAGKTEAAYKFLDEMGNKGYPPDIVTHNCFLKVLCDSKNREEALRLYKKMNEVGCKPSVQTFNMLITMYFEMGDPDGAFECWHEMEKKGYIADTDSYCVMIEGLFGCNNTADACFLLDEVVNRGLQLPFRKFDSFLMQLSSIGDLQAIHKLSDHMRKFYNPAMARRFSLNQKRKSMSFRGK >KZM99753 pep chromosome:ASM162521v1:4:34521626:34527566:-1 gene:DCAR_012885 transcript:KZM99753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKRWGRKLGLLRKFLSFAICVISLVALFSAHVHVFPHSQVPAFLEFYKLPNQHDIEYQRLSKEQIWTRSLAPPHLLEAPIHKNKLDGARGSLDTGKLWKQPSNRDFVPCVMPSSNYTSYGEPRGYLLVHANGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWHDSSNFSDVFDEDYFINTLANDVKIVKKLPKDLSNATRAVKHFRSWSGVDYYQDEIASMWGEYKVIRAAKSDSRLANNHLPPDIQKLRCRTCYRALRFAPHIEAMGKLLVDRMRSYGPYIALHLRYEKDMLAFSGCTHDLSPEEAEELRMIRENTAYWKVKDIDSTERRAKGYCPLTPKEVGMFLTALGFPSHTPIYIAAGDIYGGETRMADLRSRYPFLINKEKLATVDDLEPFYNHASQMAALDFIVAVESDVFIPSYSGNMAMAVEGHRRFLGHRKTISPDRQDILNNYMLRKPRGTMKEGRNLFDRVIEAHKGRQGSPRKRKGPISGTKGTERFRTEEPFYVNPLPDCLCHRDAVNSSLTY >KZM97678 pep chromosome:ASM162521v1:4:16800189:16802422:-1 gene:DCAR_014960 transcript:KZM97678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSRPLVSIQTLESDMSTDAANSLPLPDVMKSSIRPDLVSFVHSEMSNNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTRIWRKWHSRININQKRFAVASAIAASSVAPLVMARGHKIETVPELPLVISDAVEGVEKTSNAIKVLKEIGAYADAEKAKDSIGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGIEIANVERLNLLKLAPGGHLGRFIIWTKSAFEKLDSIYGSFDKPSEKKTGYVLPRSKMVNADLARIINSDEIQSVVRPIKKEVKRAQLKKNPLKNLNTMLRLNPYAKTARRMSLLAEAQRVKSKKEKLDQKRQPLSKEEATKIKAAGKGWYKTMISDSDYTEFDNFGKWLGVSQ >KZM98621 pep chromosome:ASM162521v1:4:25536965:25538383:1 gene:DCAR_014017 transcript:KZM98621 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MAIPQDGAHILIFPYPAQGHMIPLLDLTHQLALRNLTITILVTPKNLHYLNPLLIKHPSIQPLVLPFPPTASIPDGVENVRDLPAGGFRLMTLALADLHGPIVSWFENHPSPPVAIVSDMFLGWTNRLAHDLNIPRFVFSPSGALSLSIMYNLWQNLPKRNDPTDDNEVIRFEGIPGCPSYPWWKISPLFRSYVEGDQQSEVLKESLRGNMASWGLVINSFSDLEQAYLDYLKEFLGHDRVWVIGPLLPPVEEQVRRGGSSESLAYEIKTWLDQFKEKSVVYVCFGTQAVLTNKQMEMLALGLEKSGVPFLWSYKDPKGHAEGEYNMIPPGFKDRVAGRGLIVKGWAPQVLILSHQAVGAFLTHCGWNSVLESITAGVQMLTWPMGADQFENADLLDEFKVGTRVCEGAKTVPDPDELARVVATAMSDDRGVEMARAKELSKAALESVEEGGHSHQALNGFVDFLSKAQPNM >KZM99439 pep chromosome:ASM162521v1:4:32065972:32066637:-1 gene:DCAR_013199 transcript:KZM99439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAKKGKKDSGLSSPRKLEQKAPKGSAAPVSNGRRSMSVSASIIGFIKPRYSFWESKKSKKDPVPQPKVEESVQNIRDRNLKEWILSSPRTNASASSNSKQSSTSGRVHPSFEDTVEDTTPRILPRDTFCLEGSRLQSIDDDEEVEENNSTEDTAPEILPRDAFCLEGSKLQSINDDEEVEENDSKMSPSAKRNRKVRFKLPQVVDIFVLDSADGYYFSK >KZM98735 pep chromosome:ASM162521v1:4:26497129:26499006:-1 gene:DCAR_013903 transcript:KZM98735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGHGGVTYKGVTIHQPKRWHTVTGKGMCAMMWAGDILGKAMTIIPVAMGISFGILINCSRTKQYSVLPEDLGTVGICTCSCVE >KZM98886 pep chromosome:ASM162521v1:4:27666489:27671274:-1 gene:DCAR_013752 transcript:KZM98886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSNLTFKLHPLVMLNISDHYTRVKSQSAGPPHSAALASAPPPLRVFGCVIGVQRGRTVEIFNSFELLFDDSTHSLDRAFLIKKQELYKKVFPNFYVLGWYSTGSDAEESDMIIHKSLMDINESPVFVLLNPSVNHAQKDLPITIFESELHIIDAVPQLIFVRSSYSIETVEAERISVDHVAHLKPSDGGSAATQCNPPLLIIVECLIAASFVRDKTPMYSAIKMLNSRIRVLHHYLLAMEKGDMPCENSLLRQVSSLLRRLPTVESAKFQDDFLMDYNDTLFVSYLAMLTNCSSTMNDLVDKFNTAYDRNSRRGGRTAFI >KZM97755 pep chromosome:ASM162521v1:4:17549545:17551759:1 gene:DCAR_014883 transcript:KZM97755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITSISPSQLKFNKPQVIAPKNLQTTFFSLPIKNPSHSSWGFTGKALTLKKMVITSATTAAEKSKKRYPGEAKGFVEEMRFVAMKLHTKDQAKEGEKEPQEKPVAKWEPAVEGYLKFLVDSKLVYDTLEGIVDKADFPEYAEFRNTGLERSASLAKDLKWFEEQGHTIPEPSTPGLQYAQKLEELSKTDPQAFICHFYNIYFAHSAGGRMIGRKVAEKILNGKELEFYKWESELSQLLQNVREKLNRVAESWTREEKNHCLEETEKSFKYSGDILRLILS >KZM97399 pep chromosome:ASM162521v1:4:14236798:14242985:-1 gene:DCAR_015239 transcript:KZM97399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKTPTSSNKKGVRRGGEKRKRSGPHLPNAMKREIELLNPRSGSDDEIDSDEEFAGKDVYEYEEEVPEEESKKNRRYDPVENFEFELPEDFKDENVDSDEFDSDDFDDEAQNLGDDVEEDDDGRHGRMLQEITGIPSDAFGGKKLKNNIVISEAYPENEYNPSGDVLDGNGRISIQDLLDPLREKSGFGKLKKSVDRIETKQMSVHAPLRKPDREKLERKFAYEQSKKDITKWEPLVKRNREAPTIFFDDKTDLNFSTVGAIASEFEPRTEFEKQMAALVNNSEVAEAHKKDGARLLELNKVTMEDVKDHQDRLAKMRNLLFRHEMKAKRIKKIKSKTFHRLMKKDRLKAAAHEIETDPEAAKKLAMKQEYERIKERSTLKHKHHNKWAKRILERGLGVQDDGTRAAMAEQLHQNALLTRKMNSMKDDSNSDDSSDSDDDDDVSGASDEEGKLKLLERAKQKTLTILEDDEVPNSGLHSLPFMARGLEKRKAAAEEEAKLALQEYEASLKQSEGTDDLEKGTSSGRRVFGAPEQQIQDSGEKIRSNNYYGNSDSEDDYETKKDVDVGHARKSYLRKHVTVDPSSLNEIVEAPGTKTTYDVAIFASNSWQKKQNQPRNSEQMKGKTEVDANGNNSLEVMEPALDEQEEAENDDDSDTEGNIRMVDGILSAVDDSNYELPSQAELIRRAFAGDDVEEEFEKDKEAILNEENPEPEKPVLLPGWGQWTHVQKKRGLPSWMLAEHDNAKKKREEALKKRKDAHLKNVIISEKVDRKAEKLHTKTLPYPYTSKEVFEQSIRMPIGPEFNPASALEALNCPE >KZM98750 pep chromosome:ASM162521v1:4:26620015:26622366:-1 gene:DCAR_013888 transcript:KZM98750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTTPNHTQTVSGWAAHDSSGTITPYVFKRRENGKNDVTIEILYCGICHTDIHHVKNDWGITMYPVVPGHEITGLITKVGSDVSKFKIGDRVGVGCLAASCLKCDFCKQEEENYCDEIQLTYNGIFWDGSITYGGYSKMLVADHRFVVKVPDSLPMDAAAPLLCAGITVYSPFKDHNLLESPGKRIGIVGLGGLGHVAIKFGKAFGHHVTVISTSPSKEKEAKERLGADDFIISTDPEQMKSKFRTLDFILDTVSAHHSLGPTLELLKKKGTLMLVGAPDKPLDLPAFPMIFGKRSVKGSMTGSMKETQEMLDVCAKHNIRCDIEVVKTDQINEALDRLARNDVKYRFVIDIAGKP >KZM96996 pep chromosome:ASM162521v1:4:9603451:9607583:-1 gene:DCAR_015642 transcript:KZM96996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGTIEDPSSSTFTLSEEDHTLANSLRFALNQDPRVTFSGYSIPHPSEARVNVRVQTTGDPASEVLRDSCQDLMLMCQHIRSTFDQAVTDFTNEKGDPASEVLRDSCQDLMLMCQHIRSTFDQAVTDFTNEKGLKDMDIKK >KZM98508 pep chromosome:ASM162521v1:4:24560096:24560614:1 gene:DCAR_014130 transcript:KZM98508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQHHHHQLLFLTTLFTFLTLSAARPCKTIIFISTSTSFTQNPTLLTLYFTNTPQTDPTNTFSANNQFTVLSNQFSQNVKVLEPPQLVENFNSNSIRDRTLDILSIVGALLFGVGSGVLTAAIMYSVWSVLFEGRFDFGGDEDDDQEINNCLKKLGYVVVDNGDIGAKQVD >KZM98094 pep chromosome:ASM162521v1:4:21054566:21055702:-1 gene:DCAR_014544 transcript:KZM98094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMALNSPLFRLSPKNHQQSTTPVKPFFNHPFHYSLNRAHKYKIFINSKTVSANASLSVSVPSDARIQVADIKKSSVKWEWKGHSVNYFVYKTSQESLSSNSAPVLLVHGFGASIPHWRNNIKVIAQDRDVYAIDLLGFGDSDKPIGYQYTMEKWAELILDFVDQIVKEPTVLIGNSVGSLACVIAAAESNSSPKSLIKGLVLLNCAGGMNNKAVVDDWRIKLLFPLLWLIDFLLNQRWIASFIFERVKQKESLKNILSSVYANKESVDDELVEIIKTPADGEGALDAFVAIVTGPPGPNPVQLMPKITMPVLILWGDEDPFTPLDGPVGNYFSSLPTSQGSSNVSLAVLQGVGHCPHDDRPELVHEKLLPWLALLPA >KZM99006 pep chromosome:ASM162521v1:4:28703660:28705795:1 gene:DCAR_013632 transcript:KZM99006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLEDSFRSDDINAPYLGTELPSLPSEGAEVDVDADANREDDLADPLLADGEEKQEEKPAERPRKTLKNMTSMNAPVIVELEGETDPIEALSPKIHILDVHV >KZM97876 pep chromosome:ASM162521v1:4:18877097:18879474:1 gene:DCAR_014762 transcript:KZM97876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNLGMMGVWCILLAFTLLSIHRIRNKAAQKLPPGPFPLPIIGNIHKLGEHPHKSLTKLAQVYGPIMRLKLGRMTSIVISSSSTARQVLRKQDIAFYNRPLPDAIRALDHNKYSAVWLPVGTRWRSLRKIMGSNIFTATKLDANQHLRSQKVHDLIRYCEKCSQCGEAVDIGGAAFLTSLNLMSNTIFSKDMVDSYEDAEGKVFRDLVWNTMAEIGKANLVDYFPVLRWMDPQGIKRRLDSHLANLIKFFDVMVDERLELKRPGYRGEDTSSADVLDELLKLQESNEIDKSLIQHMFVLTSFVEGYYEIVL >KZM97525 pep chromosome:ASM162521v1:4:15545458:15548698:1 gene:DCAR_015113 transcript:KZM97525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSAASKERFGADNWLIQFPSVLQRSLLTTVFCCGTVDIVAIHPTQYASERIIGRLKLIKQGTPLFMTWIPYKGQSSNARVSENDRNLYTIRAVPFTEIRSIRRHTSTLGWQYVIVVLSSGLAFPPLYFYNGGVQDFLATIKETCSSCQQLEIDYVIGESHKELLPNSSDPAAIIRIFGVTREVRVILGPQTTPETNFVVELGAKSQVPIVSFSATSSYLSPVRSPYFIQMAGADSSQLKAIASIFKGFGWKEVIFVYEDTGYQDGLIQYLSDAMREADIQISMSSAISISANDLQIEEELLKLKSASSRVFLVHMAPPLGSRFFLQADAAGMMSEGYAWLTTDGSNTFASMDATVRDAMQGVLGIRPYVPKSTKLTAFKMRRGRELQCTKPKAELNIFGLWAYDVVWALAMEVERVTPDHNADHK >KZM99074 pep chromosome:ASM162521v1:4:29243526:29247564:1 gene:DCAR_013564 transcript:KZM99074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVNLGMLHYVLDHVYGAFMHRTKLSPQFFSGGWGGAKLELLERMINQLFPEVQGQNWAPSMIQPIWNTVWETKNACLREGVFRTPCDDQLLSALPPECHNARVAFLAPKSVPPQKMACVVHLAGTGDHTFERRLRLGGPLLKENIATMVLESPFYGRRRPMLQRGARLLCVSDLLLLGRVTIEEARSLLYWLGTEAGYGKMGVCGLSMGGVHAAMVGSLHPTPIATLPFLSPHSAVVAFCDGILKHGTAWEALREDLPTQKDGMTLEEVRERMRDVLSLTDVTRFPIPKNPSSVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNDEFRRAIVDGLNRLQWEESA >KZM99717 pep chromosome:ASM162521v1:4:34231541:34233688:1 gene:DCAR_012921 transcript:KZM99717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTIFSIFITFLISLATFSDGGSVGVNYGRIANNLPSATKVTNLLKTHGLTRVKVYDSDPTVLKSLSNTDIKVTIDLPNELLVSAAKSQAFATSWVRQNVVAYHPSTQIEAIAVGNEVFVDPKKTTRFLVPAMQNVHNALVKFNLHRVIKVSSPIALSALQNSYPASSGSFKPELIGSVIRPMLDFLRQTGSDLMVNAYPFFAYEANSDVIPLDYALFRPNPGMVDAGNGLRYFSLFDAQIDAVFAAMSALKYDDVNIIVTETGWPSKGDVSEIGASVENAASYNGNLVRRVLTGGGTPLRPKADLTVYLFALFNENQKFGPTSERNYGLFYPDEKKVYDVPFTVEGLKSYRDGRAPVTGGRRVSTPVGNMTVSVNGQTWCVANKDAGKDKLQGGLDYACGEGGADCHPIQPGSTCYDPNTLEAHASFAFNSYYQKKGRVGGTCFFGGAGYVVTQPPRFGKCEFPTGY >KZM98995 pep chromosome:ASM162521v1:4:28607497:28607916:1 gene:DCAR_013643 transcript:KZM98995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLVPGVHLPNKASKPIHKPKKKPVKVVYISNPMKVNTSASQFRALVQELTGRDADDLPAPPFLQHFNVDNSQEQVVREQEEKEVHKEAEQAATATLCVDDEDKHNGSDLLPLQDINGYFSPSNFWYESVFHVDGSKV >KZM96728 pep chromosome:ASM162521v1:4:5394005:5399877:-1 gene:DCAR_015910 transcript:KZM96728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKTSDFQWDDQTKMIQCEKQAYDDFCKNHPKAGGLWRTPFPYLDKLDIIFGIDRANEMASELPEDSVNNLEDIVNLANDESDDDSLPQPPVKAEHFEGKVVALYFLPLPHHTFDYNMIKWDASFVMDEYKELQQHNNFEVVLVPVSGKSSFKDSTDFPQFVSTDYQHHFDVLFSYMPWTAIPIFDVAFRERLQKSFGLSHRYIYSRQMLAIVDPMGKVLQYDSWNIFFEYGALGFPFSDERIEYLREEDDDVIKQPSLKKLLTSPQRDNVISNEEDQVPIHTLEDKVVALYFCTGTKSLGNIEFFQELKLAYEELAQVGNLFEVVLIYGREFGYSSDWPSEELFLEELKGMPWLALPYQDPRCKKLNRILEISSSKQDAPGFGGLVMFDPRAEFMEPFGSHIFCLYKIHGYPFTRRRVAQLETEKVKDLKLEMICEQNSVRRMKDGAQVPLCQLAGKSIMLVLEHEDRDSYESPNADFLTMLKKLYRKVKGTCHEFEVVRVLLGSEESATSNQFVGRMPWLVSQASEWIHANLGSYIWHNKSLDTLVYFPLFAFDQDGKLVRKTKYPTIDDTCFPFTGYDLEEEALAQLNTQFEWNYWDYKGGSIYSHSDFKKSVDLSRFLLGR >KZM99246 pep chromosome:ASM162521v1:4:30515201:30515674:1 gene:DCAR_013392 transcript:KZM99246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFALQEKFVQVMKTDGKILEYRAPLQVNQVLSEYPGHAVSLTPLVTQWLQADAKMRAGHVYYLLPLPVPSLELDKNYSNTKEVAEQENRGVVRIKLLVRKQDLEEMLDQGGSVEELILQLQNNQLVRSFKNLDIDASRNSTGWKPVLPSIPEAC >KZM99848 pep chromosome:ASM162521v1:4:35337143:35338390:1 gene:DCAR_012790 transcript:KZM99848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFSTRLVYLNYTIILGLLVFQWGNTAGASLPRVSGGIPKLVAPVVGGLVPAVEQLVFQDLKLSLVYPIIQNFKNLITSDPLGITKSWVGSDICKYKGFFCDNPPYNKSAIVLASIDFNGFQLSAPSLDGFLDQLPDIALFHANSNFFTGTISSNIANLPFLYELDISNNLFSGSFPTAILGMNSLSFLDIRFNMFTGSVPPQLFTKDLDALFINDNNFMQRLPDNLGSSHILLLTLANNKFFGPIPRDIAKALSSLTEVLFLNNDLSGCLPYELGLLKEAVVFDAGNNQLSGPIPYSLGCLESVEVLNFAGNMFSGMVPEVVCALGNLANLSLSDNYFIQVGPICRSLIRKGVLDVRRNCIPGLPFQRSIMECASFFSRPRHCPYYASYFYIPCWLPNFSSPPLATLLAPSPI >KZM99189 pep chromosome:ASM162521v1:4:30064035:30069262:-1 gene:DCAR_013449 transcript:KZM99189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKHNIAQYRERLDKALASDDLTDKERLKALVKNQIISSSSSGLGAYIDDVVDRRTKEVSNFLDMLRSASVGNDQAKRSGTRDDWKVKQDTEEYRVMYREGPEGSPYHTLLVEGYIDGPLDICLCISMESNLYKKWWPQSIFPPFKLVTTECVQKVGISEQISFISDLESINVSTHGFSRDGLPDSEAVRVDIVGGFALQKVSDGRSYFRTIVNMDVKVDFVPPAFINFISRQLIGSGFRLYQKEVASVSKGDEDFAKALKDPLYAKIREALYSEKSVEEVLEPIELKCDTHVPPADHDVITFQADGREMDNEVLPNGHITKFSPDTPVIVDNKVNGEIQEIEEEEIAHSRSIEGESKETYTSLSSQVVEECFNKKKKKVVISSEVDQALGILEKAISIFREYGRTPETRFLPATFGGKLLNLENTGRELKLAEDNQGCPSDGDCGVTISHKANSFRSNGTRVITSPSAKVANQDMTAPISVERDIKNLAEAPQKTVSGPSVDQTIEAAVLEKVSDGETTTHAKTNGISENTLTRKKSKKRRQCCWYFMSGQQMV >KZM98912 pep chromosome:ASM162521v1:4:27902598:27903758:1 gene:DCAR_013726 transcript:KZM98912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDKFNSIIRRGSFVLVHRQAIFNPLFSRTFLSNKRLFCAALPVSDTVIDENDGERKEEVGGNYRPSNSREVLMKWGCTKDDVSTIFRRLPSLRNSNPVGLNTKLDILGEVGLDSSDLVRVVYCRPRFLSCCHLLFKERLGYLVDLFGSRELLRKAVVRNPSLLVYDMHSKTKPVIELYEKMGIGKKDLVPMLISYPTLIPRTVFNEEKLSYIRRTGVSKDAKMYKYVVCIIGISRVETIREKIANFEKFGFQEDEVLQLIRRSPLLLTLSVEKVQRNMTFVLGTLKLSAKVTANYPFLLYANLETVLKPRVLMADKIKDMGLEPQIKGPALLRALRMKDDRFARAFILCHPQDVAEELMECYTNAKRVKRLAESSKKSRLRGFPF >KZM98951 pep chromosome:ASM162521v1:4:28263203:28263553:1 gene:DCAR_013687 transcript:KZM98951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMRFILVFFSALLAGYMAWRTVRSAPDIDADDVRGENFIAKDKQKTNLFKMMQNGFWVFVDMASGRYLWKNLRDINKIDKVETCN >KZM99915 pep chromosome:ASM162521v1:4:35900642:35906181:-1 gene:DCAR_012723 transcript:KZM99915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRKMVNEIAYTPLSWKGGGLSKLSAIQLSLIPILSLTSTLYKIILSFRRHLYRSRFLPNHRLPVPVISVGNLSWGGNGKTPMVEFIAKWLLHSGVSPLILTRGYGCADEAKMLQRHFNGTSARIGVGANRAAVAAPFLKRHKRLCSEQIGGSFLDSSTIQVVILDDGMQHLHLCRDVDIVMVNGMMPWGNRNLLPLGPLREPLTALKRANIVVIHHADLMLEQEIKVIESTIRENNKAVSIYYAKMTPSHLFAVGKVSCELPLLAIHNRTILCVSAIGCANSFARSVEKVRPRCVDRMDFSDHHVFQREDVEKIMLRLQELVVKFGSEPIVIVTEKDYDRDPNMFGELDPFEVLVLCSKLQIVSRGGCTDEHFKKYMKWFLKAFNLERAWLVSNFLKDIPLGYHYEAMVLSRIESNCSLHRKTTEKAPVIVYSTLFCNY >KZM98046 pep chromosome:ASM162521v1:4:20634780:20637604:-1 gene:DCAR_014592 transcript:KZM98046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAADVGHNRHNIAICIHFVVGYSDSPPIWSWPRRVGVGFCNFIRIEPTGLFWLMNHGTQLMMLPLVGHL >KZM99471 pep chromosome:ASM162521v1:4:32342529:32348372:-1 gene:DCAR_013167 transcript:KZM99471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFYGFGSEAQMDPTVESELFKALLETRLVFGDKKSWQYSRCGRTDKGVSSIGQVVALYLRSRYKVKGGSTEQFVTEDLCEGELNYVHMLNKVLPKDIRVMGWCPVPVDFSARFSCRSREYKYFFWKANLNTVAMDSASKKLVGEHDFRNFCKMDAANVHNFRRHITLFEICPFGERFQDDELWSIRIKGSAFLWHQVRCMVAVLFMIGEGLESPDVLDVLLDVDKISRKPQYNMAPEMPLVLQTCEFEGLKFKCTRDDRQALQLHFQKECRSHKLQAAIFHEALRSCSLAEIG >KZM98067 pep chromosome:ASM162521v1:4:20845669:20847700:-1 gene:DCAR_014571 transcript:KZM98067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKAMVVCVVVGFLGLIASSLAFAAEFKRIKRSEVQFTAPDECVYPRSPALALGLTAAVALVIAQIIINVATGCNCCRSGSHQLNSKWTLALSCFVVSWFTFVLAFLLLLTGAALNDQRGEENMYFGNYYCYVVKPGVFAGAAILSLATVVFGITYYITIISAKTGTDPWAGHAAPSQPSSIAMAQPQYPLSTSQDPVFVHEDTYLRRQFT >KZM98110 pep chromosome:ASM162521v1:4:21174544:21185170:1 gene:DCAR_014528 transcript:KZM98110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRVQIDGEDEDESKRAVDYTGDYLSVPTTPYLFNILQKQGDKKVFFADRVLKFTSSGKMKRRILLMTDFAIYIVDPDTGTLKRRVSLAAVEKICLSELSDNFLAIVIPTEYDILLASTRKSEIVAMLLEGTKSTSDYELEVFSSNSFEYNAAAHMVKEIIFEEAADALSSALWTRHSLVPLFSGQEADGLVIRVFKISTALESSENFLLLACLVEFAFKDKVDVKQRWMCYGPCSAGTLIVQNTNTGLVLISAIYNGVLGLGYFCLGVLTLEEKWRRKQTIVPLNWRLLVLFHGLTWLLLSLALSLWGKRFSRVPMCILSVFAFLYWGVSFALSSFAAIAHKELAMIITSDALSFLGASLLLLCTYKRLVHEENENDLYSPLNGDCSVPGLKTDPVGIVTPLSRAGFFSKMSFWWLNPLMKKGRKKTLQDEDIPKLRKEDQAETCYLLFTEQLNKQKAKDPWTRPSVLRTIILCHQRNILISGCFALLKIISISAGPLLLNAFINASEVGLATVASLAAILLTVLSNTPLAKLQHKFQSKLMLAQDERLKFSSEALVNMKVLKLYAWENHFKNAIIKLRTVEFKWLSIVQLLRAYNSFLFWSSPVLVSAATFGACYFIGVPLNASNVFTFVATFRLVQDPVRCIPDLIGVVIQANVAFTRILNFLVAPELDTSKVRHNWDGRNANCNIVIKSADLSWEENPVKLTLQNINLEVRVGEKVAICGEVGSGKSTLLAAILGEVPKINDGTLMSHGKILHAAPYHLLMASSRDFQDLVDAHNITAGSERLAEINPSQESEIVYGDIRRTHTEKKFKAPGDDHLIKQEEREVGDAGLKPYMQYINQNKGLPLFSLAIISHLAFVTCQILQNFWMAANVENPDVSTLRLILVYLVIGLISTLFLFSRSLASVFSGLHSSKSMFSQLLESLFRAPMSFYDSTPLGRILSRVSADLSIVDIDLPFSLIFTVTATTNACSNVGVIAVVTWEVLFVAIPMIYVVILLQVLGKCQLRETIQEKEAGLDCLGELVEFDEPMHLMKKEGSLFRRLVKEYWSQYHSAESH >KZM98761 pep chromosome:ASM162521v1:4:26712902:26715294:-1 gene:DCAR_013877 transcript:KZM98761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDVVGRNLTILYLVIIAGVKIYGLTTGRSYAGGFVLMISTVVVLAILLATLTWDVSRKATYALMPDYEDKGHDHPVCRGGICWHGVAVRSPASQLRFRLLQNDQANNNLRIHQRVGSHISMAESPSHPPPSPPQLAPSTESDQNVEYWCYQCDKRVNVEALVDPPQIVARVDDAPPSPPPERVDDDYLRIELDGARRDRLRQRLRDFATRGTSRRERILDWADILMGFEDNSIEFRLHGGPGYVGNPGDYVDAAGYEAVLRNLADTDSGGRRGAPPAAESAVALLKVVEIKSEKEALLCAVCKDMVNVGETAKELPCGHGYHGDCIVPWLASRNTCPVCRFELPTSDPDYEEGKKKKSLSGGAGGSSSSPDYIPGLE >KZM96652 pep chromosome:ASM162521v1:4:3004907:3009720:1 gene:DCAR_015986 transcript:KZM96652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFCQKHQECRTGSTVESLDQKSSELGLKIKSGKKSGSKASGQNKNKGKRLRIICRTRHEGQLDTKNFDEYMRLILKIIPEERKTGCSFFDPMFFISYMDAVKKAKKKDCTAIEKSNVWKWIKKQKIFACRYVFVPICQSNHWNLLILCNLGEDLEMNEKSPCMLLLDSLQEAEPKNLEPCIKQFVYQMYKNESVQGTDDVFQFDLSIPMVPQQDDGEKCGYYVLYYMFKFLMSCPENFNINEHGGFMNSSWFTEEEMNNFFNNLSSEKLHTDQMQASEDIIVVKEKNLSSNMEVDGQNVDNEIAAEEIEKLSKDEEEAEMVLCQEAEMVVQCEPIRSLVVYTPESSQEQQEPNQPTPPASETIATHEKDGKEKLAAAAPSVRKSPRLQPIKEDEEDFSIHIVDPEGGENADKGPAEKAQRKVRATKNEGVNKRKAVEEVQHNKEKKLKKKVEAENEDEEVEEEEEKDDDEKPKKILIRAYPSTFSKTISRLSEAQRQWVKSAGFGALLHFTLGEELPHKTIVNCLWWFEHNKCEFGLFPNRNLKITEDDVFDIIGLPQGKLDVKLEDSKDKIQSWGKQFKERQPSRITEKMLREKIAESRDADEHFKQNFMILMANLFIRTDKTSFVCPKILRFSGNFDNARDYNWCKLVIQNLKEAHEQWWNDPKTQYYTGCFVFLLYFYLARTSHPDVRVKKTWPAFVGWKNSCIDDRAKREGLDNNFGYGDIVPEFETPDESKQNDVNSEQYKGGNSNFTTPKETLKGVGPSRLFSPQDNVDASILSIARDVEQNHNSTEVLTEDEISSRLQHHLSQMEKLKKEFGETLDKGKQLFPESDKMKEYEQRFEEMTTGR >KZM97173 pep chromosome:ASM162521v1:4:11811069:11812801:1 gene:DCAR_015465 transcript:KZM97173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNTQPQVMIFCGIVIFFTVLWRIHARSNKRVYPDPPEPAGAWPIIGHLHLLGANKILHQLFGAMADKLGPVFSLRLGIHKILVVSSWEVAKECFTEHDKVLSNRPTTLAVKIMGYDRAMFGFLPNGPIWRYLRKLVMVELLSNRRLEKLKHIPESEVSFFVRGLYELWESRGEGSMPVVKLTQRFGDLTTNIVVRMVAGKRYFGNADYKNEEARQFQKASEDFLRTVSDLPVDMTESPGLTNTKAKPLEVTFRPRLAPSLYV >KZM98170 pep chromosome:ASM162521v1:4:21673196:21676747:-1 gene:DCAR_014468 transcript:KZM98170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEERVKEGEEECEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPNLSFLAFHKGKCIGTVVCKMGEHRNTFRGYIAMLVVLKPYRGKGIATELVTRSIKVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPRPELSSGHLIVENEDMPHEESQPELSSGHLIVENEDMPHEESQRH >KZM98656 pep chromosome:ASM162521v1:4:25832653:25833216:-1 gene:DCAR_013982 transcript:KZM98656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGTEAFPELGAHCQHSDCNQLDFLPFKCDACLKVFCLEHRTSKSHQCPKPDHNNRRVVVCETCSMSIEITNGEDNEKAIQEKHERSGDCDPSKKKKPTCSVKRCKEILTFSNTSTCKTCQTRVCLNHRFPADHACKNSSISSARVSRETKEKFLVAMALRNGKSCANKDGGSLSTPRNPSVKAY >KZM96680 pep chromosome:ASM162521v1:4:3793337:3794543:-1 gene:DCAR_015958 transcript:KZM96680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFGDEKRFIVNSPGADIVKVYPKIESKWMFGNKEVVPPRAAIATIYIIYSWKKWREDVKADLKKDLLEDEEFGKKYVAQRQQSPDSSTDIVSPDESFSYVSMLDTGNFVLYNSDQKIIWQSFDHPTEAILPGQNLSNGQELFSSRSKSDYATGIFRRKMQIVKYLTDGFLRSREFTS >KZM99660 pep chromosome:ASM162521v1:4:33818042:33819043:-1 gene:DCAR_012978 transcript:KZM99660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPRIRYHVASLSVQASPLEKEDVDEVLSQILSAIEITPSSSKEICTFHIEKLCKAKKLQHASKLQQSLHNNHIFLSPRAYDLLLEAAGEVNDVDLLLQVFKDALFSGESMNPTSFRNFARGLSKMTDSVLLLRFVQELSELTFPRSVTVVNRVIFAFAACGQVDKALVVFDHMKTLKCKPDLVTYNTVLGILGHIRRIDDMLQEFGSMKEVKIVPDIITYNTLLNNLQKVGRLDLCLELLKEMSGKGIQADLRTYTAMIEGFGRSGKIEESLRLFDEMKFKQICPSIYIYRSLVDNLKKMGKLELSVTLAGEMNASLPGLVSSKDFKRKD >KZM98281 pep chromosome:ASM162521v1:4:22539988:22540741:-1 gene:DCAR_014357 transcript:KZM98281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASLLVPICLCLAWLSFQLTAAVDPTVGFTSLPLDQSNFDIQKPYNVPVNKRYSFINGVHKMWVYKSDKPHSPDSHTNPRTEIRIQGYDFSSGVWQFEGYGYVPRVTSGACIMQIFGGSPHATTLMLRTYKGTLAYYRNPVLVQNIYNRWFRLNVIYDVDANKVQVYIDGDLKFETTGRGGNSHFFKCGVYAQDDDSNYMESRWKNIKVLKKN >KZM99901 pep chromosome:ASM162521v1:4:35707283:35724589:-1 gene:DCAR_012737 transcript:KZM99901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNPFSPLAEYSYSDELIDRDELISIDPQRVYFMYELNKPMLSSFQLSNDSDEYVAFRVKTTNLKKYSVQPRKGIVLPHSQTTVTVTMQALKEEPSVLRCLDDFLVQCVAVPAGATVQDVTPEIVSAGFSDYQITSTKFDKEAGHHVEEFKSQAASVASPVPEDSEETSENDTSADNIISSLTNEKQSNIQENNGLLMEPVKDIMLMLTEEKQSIIQDINSRLKEEVKPESNETLNPSHNTQPSSAPLSRLTICKHLTMSSSSSSQISEDKFYDADEAFPDGDEVFPVQKPDCVEDKGADGKKTAVKKFVMKNGDEILIVRDYARQLVRAVIDAYIELGSEEHLGLNMLENYLVISPPGHRFKNSLLHKDYDPEIKIKIVHKVTHPQQKRHLTDSPAKRNKAIMFVIYKHILEGHHLDVEWEDFRIAIKKGELAYCRGHPCLKNWEHRLLSFVKLSTMISNRSPEIAAMVYKAISRCTKAELYTDSWEQRIRGHVPLEEVRNFHQKKEDELINKISSFSLTTATTQSSSRQRRNYGGDAALEKWIQGGLLVKFIASCYRHLTESFWMNTNFKKRTYRIDDSYVSDENFRQLSFLDTLITHFVYPGLYVALYRELHNDGYDL >KZM98021 pep chromosome:ASM162521v1:4:20447489:20450612:-1 gene:DCAR_014617 transcript:KZM98021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHFLFFFLFFTGISAAKTLPVQLIALLSLKSSLVDPLDTLHSWKPSRNPIWCNWSGIKCDQHDHIISIDISNRNLSGTIASNIRHLGHLRYLNLSTNAFQGPLPPHVFELRSLISLDISHNAFSSGFPSGISKLKWLSYLDAYNNDFNGSLPQDITQLQLLEHLHLGGSYFSGEIPGSYCSFPRLKFLDLAGNLLTGALPAEIGLLKQLQRIEIGYNEFTGELPTQLSQLSNLTYLDISSANLSGEIPIALGNLSKLETLLLFKNRFRGSIPPSLSQLASLKVLDLSFNQLNGIIPSEVSLLKELNTLNLMTNKLTGDIPEGVAGLPNLEFLSLWNNSLTGVLPPKLGSNGKLQKLDVSSNALSGPIPPHVCDGNRLVKLLLFSNQFSGHLPFSLGSRCTALERFRVQNNFLNGSLPEGFGLSHNITFMDVSNNNFSGSIPRDLSNAPKLEYLNISNNFFQKELPDNVWSSRSLQIFSASHSGLIGKLTDFIGCESLYKIELEGNAFTGNIPWDIGHCHKLISVNLRQNLLTGIIPWEISALPSITDVDLSHNLLTGTIPANFDNSSTLENFNVSYNQLTGPVPSSGNILSIFHPSSFIGNKGLCGSILQKPCRASDHSPRDVDVRAKQQSRSAGAIVWILAAALGLVLFTLIALIRCYHARYHRGFASGNRDIGLWKLTAFQRLNFVADDVLYSLSVTDKILGMGSMGTVYKAEMPGGEIIAVKKLWGKQKEMIRQRKGVLAEVEVLGSVRHRNIVRLLGCCSNNETTMLLYEYMPNGNLDDLLHGNHNKGHNSVADWLTRYKIAMGVAQGICYLHHDCDPVIVHRDLKPSNILLDAQMEARVADFGVAKLIQCDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEILCGRRSVDAEFGDGNSIVDWVKTKIKTKEGLRNVLDKNAGALCAPVREEMMLLLRVALLCTSRNPADRPPMRDVVSMLLEAKPKRKVPASGECGGCNKHVVDFDDQDSAAEC >KZM98439 pep chromosome:ASM162521v1:4:23989612:23989896:1 gene:DCAR_014199 transcript:KZM98439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEQNKYYKRITNKHTSLIVNLLISSINIMSRLNSSPVFRFVDSHIAIYNYICLQQPLFVLILYKQPSLIVAEERHHRVRNQKSSKRTITGER >KZM99833 pep chromosome:ASM162521v1:4:35239382:35243156:1 gene:DCAR_012805 transcript:KZM99833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLGRFILVAVGGEGLFSRSTNTYNLAAAAGSISTRRINSSSYFYAYSKARAKAFQRSSPLFPFSYLSQFGGYIQSTRHHTQTAARPGFTDNGKALEKGDIINLNDLLFTPTRNYLVKNNNQHVKAEELKGKVVILYFVPLSGHSIPYNNKDVFSSELKDVYNDLLPLNNFEVVLVVMDDHCEGQIPVSSTTDPQEEFEDLFSSMPWTAVPFSDAGSRKRIEKCLFKREECAPPVMFVIDSTGMVLQTCRVWHILQDFGALGFPFSDERINFLLAEDDAAAKNPSLDTLLASPQRSYVISNQGDKVPIDTMKHKVVALYFYYVDMPDHYLTSELQCVCDVLAKLKKGFEVVVIFIRNFHVDDWEKSCLEIFKSMPWLALPFRDESCKRLKRIFKLSYNGQTVSHQLVIVGPNAEFVEPFGASLLLHCNISAYPFSREKLVELETNKVKGLKLDMLCHPNTVLRSIDGYRQVSFKELFGKRIMLVLEWSDIENYIYGDDSAFTRFAPMLKGRYAQTWGSNDEFEVIRIIIDSKSGTVEQLDLDNSECLLSLKRQHADMDWFVMLASDLKLNLSSSHYWYDKSPSYFQSCFQIFAFDGNGRLVRKTMYPTFENMEFPFYAGSLEEETLAQLSTAFLNY >KZM96733 pep chromosome:ASM162521v1:4:5488453:5489199:1 gene:DCAR_015905 transcript:KZM96733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENSFFDLVGDDVEEGILLKIQCEKSILRCTSVCKSWCYLIKSSQFINTHLSRPDKTKYLFCKSVHATCNSHSVMEYGFSLYSDSAPSDKYCTSVLPCIPAGVRIRGSCSGVICYSLYPDCRGDIFLWNPTIRKLKTLPRSPARRHISRAIGFWFDKDKNDHLIVKITYTNASQMSSVDVYSLSSNCWRTISDACPGAGEDSILDVNLDYDEGTLRWLALHEQSGRIVTLDMNTAEFRQTLISVCYV >KZM97988 pep chromosome:ASM162521v1:4:20127102:20129828:-1 gene:DCAR_014650 transcript:KZM97988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEESETSVEESDVSGSEGDYVSWVSWFCNLKGHEFFCEVDDDYMQDDFNLCGLSSQVPYYDYALDLILDVESSHGDKLDEEHNSLVDSAAEMLYGLIHSRYILTSKGMSAMLEKYNSNEFGTCPRVYCSEQSCLPVGQSDIPRSSYVMIYCPQCEDIYLPRTRYQYAIDGAYFGSTFPHLFLMTYGHLKPQPDARGYVPKVFGFKVHKP >KZM98425 pep chromosome:ASM162521v1:4:23854910:23860229:-1 gene:DCAR_014213 transcript:KZM98425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTATLLFPAIHHRRHRLSPPIHTSLASASLQQRRRATSLSVSASSPSSSSRSLEALIFDCDGVILESEHLHRQAYNDAFAHFNVVVPPSSQPLNWSLEFYDVLQNQIGGGKPKMRWYFKEHGWPSSTVFETPPEDHSDRENLIDTLQDWKTERYKQIIKSGSVDPRPGVLRLMDEAKAAGKKLAVCSAATKSSVILCLESLIGANLLPNPRAISEGLYPRSIMLQNCNPVPIIQMIKIAPAKRASKVLIAFLQWKPRPYLAPLGDDVKEKKPDPSIYLTALTRLGVSEKDCLVVEDSVIGLQAATGAGMSCVITYTSSTAEQDFGDAIAIYPDLGEVGLGDLESLLEKVAVVN >KZM98992 pep chromosome:ASM162521v1:4:28578838:28579631:1 gene:DCAR_013646 transcript:KZM98992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPEAWPEDSDFHNCIIGDSDLGPFLPPMHSLCSSSETSKGTAASNNHKEAEKRRRQRINSHLDTLRTLLPACNSKTDKASLLAKVVQQVRELKQQSSHVMQLESSFPSDTDDVIVVADFFYSNNHQSLVIKASFCCDDRADLIADLIQTLKSLRLSPLKAEMVTFGGRTRNVLILATDKIDETNEVVEFLKEELRSLVHRSSSHFGDRFKRQRRLIN >KZM99207 pep chromosome:ASM162521v1:4:30223511:30225667:-1 gene:DCAR_013431 transcript:KZM99207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWADLITKALMMVLGYAYPAFVCFKTVENHRVKIEELRFWCQYWIIVALMTVFERIGDIFVSWVPMYAEMKLALFLYLWYPKTKGTGYIYETLLRPFVIKHETDIDKNLQELRTRTWDLAIYYYHNCTELGQTKFFQVIEYLASQSKKIDKASSEKGMIKSSKENPPPRPNTIFSFNRISKRPSQKHLQIPSDAPVYNARVMASKH >KZM98254 pep chromosome:ASM162521v1:4:22282580:22283785:1 gene:DCAR_014384 transcript:KZM98254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCAGADRLQTGMRGAFGKPLGTCARVSIGQVLLSVRCKDTNSPHAQEALRRAKFKFPGRQKIIISRKWGFTKFNRTDYIKWKAENRILPDGVNAKLLGCHGPLARRQPGRAFIDAVAT >KZM99804 pep chromosome:ASM162521v1:4:35003308:35008242:-1 gene:DCAR_012834 transcript:KZM99804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQLIPTKRKHDRNEPEVRGKWQKTAARASQSLGSPLETTFLRVLCPVSKGDSVISKDGGIILQIYQRTGAMVRVEEIVPGCDERVIVILESDKENGGGSNQNKEGEGKNTSVEGGSEQKKEEEEKNTSDEVGDADEQENDGQDKHSVAAGDAGSEKGPSAAQKALLLVFEKIAEREQETDGGNEVNQKASICTVRLLILSTQLGCILGKGGSVIRQMVSESGAQIRIIPSDKKPVCASTHDDVVQITGSLDKVRRALLCVSQQLLEHPLRGDESLAINTTRPSQSSDHLFPRLKTYPVRNFPFPSQGPPYVVPRDGENGILGHVNPSRYILTFRLLCPAERAGGVVGKGGSIVNTLQQETGCEIKVIGGLDSEDRVIIISGPAHSADRISAPQDGVLRVQARIVAASSEGKEKTAVAKILVFSNQIGCLLGKGGAIITEMRKFTGAYIRIMPKDHIPKCASENEEVIQIKGEFDQVQEALLHITDRLRENFFRNVVPFMKNPSNHAFPDQGPPFLSNMERIELSPPRTYFSLTPSTHKFNVGGPPTHGGFDLHEDRTPLMHNIHRPGFPPHISERMLPAATWSSQGLSEGGGRIGLPDYSGGPDRRMTGSGGGSQHAIITSTTLEVLVPRSAVPAIYGEDGECLKQIREISDAKITITDPKPGAAETAIIISGTPEQTCAAQSLIHAFVISESIAA >KZM99763 pep chromosome:ASM162521v1:4:34587032:34588929:-1 gene:DCAR_012875 transcript:KZM99763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALENVLYPQDSFCYTARDFWGCDYILPQLEQNNDFSLISNKNVATNLQYEINLQRSCVSSALLIDDFNNDQLRSRNNLSPDGANSSGRAEPPVTSTTDRKRRRRAKICKNKEDMESQRMTHIAVERNRRKQMNEYLAVIRSLMPTSFVQRNDQASIIGGAINYVKQLEHQLQTLEAQHKTTVADDSKSCCTAGSPRLFADFFAFPQYPTCSSDEPRASSDNDPAVAEPEGGPAVVAYGEIEVTVMESHANLKMLTKKRPKQLVKIVAGLQSMWLTILHVNVTTVEQMVLYTLSLKIEEGCQMNTVTEIADAVNQLLAKIEEAEI >KZM97162 pep chromosome:ASM162521v1:4:11685811:11687751:-1 gene:DCAR_015476 transcript:KZM97162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPFSIFCFLCLLSVVCCVDFKISRFDPDDTRILYQGDAVPSVGAIELINRRTYQSRVGRVMYAELVPIWDSDSGKLSDFTTHFTFTIDTQSLPSYGHGLAFFLAPVGFQIPPNSAGGFLGLFNTSNSDSPANQIVAVEFDSFPNPEWDPSYEHVGININSVSSSVNTSWNASLHSGDTADVWIVYDASVTTLSVFWAYQEDPRFQKNSSLSYKVDLRQVLPEMISIGFSSATGQNGERATIKSWEFNSTLTMEHISSDTKKVKIIVATTVSVAVLLLLAILVFLVRLRRRRRARKAEEAAQNLTSFNDDLERAGPRKFSYQSLVVATNNFSDDRKLGEGGFGCVYKGYLTDLDIPIAVKKISRGSRQGKKEYLTEVKVISRLRHRNLVQLIGWCHDHGQFLLAYEYMPNGSLDVHLFGKKSPLVWTLRYKITLGFASALLYLHEECEQCVVHRDIKASNIMLDSNFNLKLGDFGLARLMDHELGPQTTGLAGTFGYLAPEYVRTGRASKESDVYSFGVVILEIVTGRRSVNVLQDGKSEMGLVEWIWNLYGSGELLLAVDGRLNKEFDASQVECLMIVGLWCAHPDQNFRPSVRQAIQVLNFEAAMPTLPSKMPVPIYAITPLDKPEVSSSDASMTYTSINVGR >KZM99062 pep chromosome:ASM162521v1:4:29122553:29122735:-1 gene:DCAR_013576 transcript:KZM99062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSKMMNKVLVAAVVLSGVAAVSAQVEAPAPSPDVGAAFSLPASAALLGASLLFSLLRL >KZM99715 pep chromosome:ASM162521v1:4:34212379:34212800:1 gene:DCAR_012923 transcript:KZM99715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNAFKAYKACVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQKDADHSAIRAPLVVNHIPISASGSSQQSM >KZM98616 pep chromosome:ASM162521v1:4:25496069:25496497:1 gene:DCAR_014022 transcript:KZM98616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTLTKLLENNSRASATAVKCDADNIKETNGCDAFKTILNCRDGSKENQLPDTMIKDETAGSDPEKSSLRARTSLLSYLTTPVSRSGSVSLRSNSSSASTQSFAFPDLGSLNYLKPVSRSGSVCLRSNSSSASTQSFAFPV >KZM97391 pep chromosome:ASM162521v1:4:14187899:14190088:-1 gene:DCAR_015247 transcript:KZM97391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFNLREDIEPSRLRSSPTLGQLLKCVGDVRKDVVGDETPPHQSLDLSDDAMSTDSRAMPFVLAFNNLTYSVKVRRKMKVPALFTSQAPEINPVAGESTMYSNTKTLLNDISGEARDGEILAVLGASGSGKSTLIDALANRIAKGSLKGKVTLNGEHLESRLLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRTLSKSKKKLRVQALIDQLGLRNAANTVIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVMMSVHQPSYRILGLLDRLLFLSRGQTVYSGSPANLPLYFSDFGHPIPENENRTEFALDRIRELEGSPGGTRSLVEFNKSWQSLKRSRRNFDQTPSPAHNLSLKEAISASISRGKLVSGATNDVNPASMVPTFANPAWIEMAVLSKRSFTNSRRMPELFGIRLGAVMVTGFILATMFWNLDNSPKGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHSLVVLPSLIFLSFAFSATTFWAVGLDGGLSGFLFYFLMIFAAFWAGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFITRDRIPGYWIWFHYISLVKYPYEGVLQNEFENPTKCFVKGVQIFDNSPLGQVPNAMKLNLLKSMSKTLGMPINARTCLTTGADILQQQGITDLSKWNCLWVTVAWGFFFRILFYFCLLLGSKNKRS >KZM99154 pep chromosome:ASM162521v1:4:29831948:29834150:-1 gene:DCAR_013484 transcript:KZM99154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRVAKSSKESSKPPKCKNNSKIAKKEKRCKGTNRSKEVEITRSKELQIASSTSLLQRLYGTCKDVFRSEGVADLHLSDVQALAHILDSMVPEDVGLCPEIKFFNPAAAFEGVPRVGYTSLYECKKFSLCIFFLPTNAVIPLHNHPGMTVFSKLLIGTMHVKAYDWVDQAESEDSSTPPSKFRLAKLKANGILSAPCDTSVLYPTSGGNIHEFRAVTPCAILDVIGPPYSLEEGRDSTYYNDIPYSALPKARKGSLINEEEAESYGWLEEIEMPNEAVMYGVHYMGPEIIETAP >KZM98116 pep chromosome:ASM162521v1:4:21209124:21210119:-1 gene:DCAR_014522 transcript:KZM98116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVNHSTFFIDAAALRSILTHKTLINHFETTLPTASSAVESPIRHSHQTSPSSSLLLMPSFSASSSLPYIGVKLVTYHPSNSSAKLPVIHANYTLFSSVTGQTLASIDGSEMTLYRTACVSGLAARYLSREDSRTLVMVGAGAMAPHLIRAHLSARPGLDKVIIWNRTVEKAMALVEMLEGGFEGVSFESSGCLDEAVRVGDIVSCATNSEVALVMGKELKRGAHLDLVGSFKHSMKECDDEAIRRGRVFIDNEAALVESGELLGAFERGVICRDDIVGNLVELIRGDKAGRKDSTEITIFKSVGSALVDLLCAQLVYESYKNAPTSST >KZM98093 pep chromosome:ASM162521v1:4:21051779:21053922:1 gene:DCAR_014545 transcript:KZM98093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSDYVEEPTQTTNTASSSQSSPQKPFTEVLDELNPLGFIEKALEFAALESSLFKSDSVVEDVNSLVRAVKEKFDAEEKKKKQKVVDDNAKSRNVEKPVKVSEPVQVKKPDEEVKVEEEGENEEEKSGKRAPNSGNGLDMERYSWGQSLQEVTINVPVPPGTKSRFVLCEIKKNHIKVGLKGQPPIIDGDLYQPLKVDESFWSLGEFLSHYDMYTANFDQRQKSMGLPTSDDMQKQDLMKQFMAQHPEMDFSNAKMS >KZM99781 pep chromosome:ASM162521v1:4:34795467:34795781:-1 gene:DCAR_012857 transcript:KZM99781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLQFFCFCLVALLCSAESTMNKIVNYCEDSMRVDKCEYSVCLNTCLKKHPNKSTVAACTITNPQACTCFYRCPDS >KZM98480 pep chromosome:ASM162521v1:4:24304971:24315565:1 gene:DCAR_014158 transcript:KZM98480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLLLSLLCLIASLRSSFSASFQADLKSVPDLEKSMYSIIDGFPCVRLLNLHGEIDPGNGKVVAPVVRLDSAENIVQPSALLVSSDEYDSLLKRISDDSHFARNVAGVLVQSDMQDKLKGLSPDTKFPQAEFSPYDSRTHQWNPNGSGTMWGRYNFPVFLLSQSSTAKLQEVAEKNEKRNKGSATHVAEFDVVMQTTKAGTHNSESCLEEEACLPLGGYSVWSALPPIDTTLSTQSKPIILAVTSMDAASFFRDKSLGADSPISGLISLLAAVDALSRVDGLKDLAKQLVFVVFTGEAWGYLGSRRFLAELDQHSDATSGLSHMLIETVLEVGSVGKGFDDGVKSFFAHATGVSSGTNDTLKALKKAQESLGTESIKISSASSSNPGIPPSSLMAFLNKDSRTSGIVLEEFDTVFDNKLYHSHLDDITNINSSAIIAAATIVARSLYILASDNKELSSSALSAVSVNTSLVEELLGCLLSCEPGLSCDLVKQYISPTSSCPSHYVGVVLEEPSSTPYLGYVGDVPRFVWNFLAEKTSFPSKNDSSACPKDCSNTGESCIKAETDGKGVCVISTTRYVPAYSTRLKFESESWKVLPKNASDPMGVADPVWSESNWAPIQLRVFLVQDSSYDYLILFVGIGLTVLSYIAIVVARSIIAKSMKRD >KZM97280 pep chromosome:ASM162521v1:4:13060880:13064772:-1 gene:DCAR_015358 transcript:KZM97280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLYISTNLKLDGVDTDSVFSEATKAVARIIGRPEKLVMVLLKGSVGISFGGNKEAAAYAELISMGGITRKVKRELISTLGTILQQNLSIPPARFFLKVFDTTLMRPSAEIREPKCSEVEDYVPKKLWLGTSSNDIDAARAYDQAAKSIYGNSAVLNFPEGDQDCFQGSGGNVGGFREVYEGLKGDGCVKEEKFDGINEKDCMRFRGFRAGVEDLREEVLMNVKGVEAEGTKNLDFGLDGGDEECVKEGKVDGLDEKDDVRFRDFRAGEEDSRGEILIDVKGVEDAKNLDVGYLRKFLMQDFDGSQDSEDFTNGDFENCEDLQQERLSDHNGTMKVSDGSQNLLRGGR >KZM97635 pep chromosome:ASM162521v1:4:16417887:16421461:1 gene:DCAR_015003 transcript:KZM97635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVDSGRVASAAGDEKLNQIFNTIRTSKTPAVINYGASCFNLKNIVVEIIENKIGEDIDFDYDGRPQCLVYIDECPETTQHIRYTPTFHFYRDGERVDEMFGAGEERLHDRLWLHS >KZM97810 pep chromosome:ASM162521v1:4:18039681:18055458:1 gene:DCAR_014828 transcript:KZM97810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPEMAKKVDIERGSPEGEQRGGGRFEYFGWVYHLGVNKIGHEFCRLRFLYIRGSLLLMYKRDPHDNPGIKPIRRGAIGHTLMVEESGRRKVNKGDVYVLRLYNRLDETKKGEIACATAGEARKWMEAFDHAKQQAEYELSRGRSTRNKLNKETEIDLDGHRRRVRHYAHGLKKLIRIGQGPETLLRQSSLNVNIQTAGNLEGDSGDVIEGHEWKCVRTVNGVRVFEDVAGVKDGKAVLVKAVGVVDAMDLELVDSLDGHYDIVYGTLDAAYQTRWSSKSDIVFSRQWFRGQDGTYTILHFPAMHKKCPKKPGYRRIKINPSTWEIRTLNTTAGDAKCLVTHMLEVDSKVWRGWKKNQRSKFEKTVPYALLSQVAGLKAYIGANPGFSNESSSTFLCSKSSDYSTSDGEFVDAAEATDEFYDALSSDSSSSEEDSDKEVESDSKVRKIKLKNVSWAIAGFSRKRTADPFASKEFDTSVDPVALDPTQYKGSMRQAKDGADSDCWASPDGADFKIRGKTYLKDNTKIAGGEPLLKLIAVDWLKGDSCINNIALHSSCLVQSEAGKKLPFILVINLQVPAKPNYSLVLYYAANRPVNGSSLLGQFVNGTDLFRDSRFKLIPSIKEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEGKEENELPEYILGTVRLNRVKPESAVPFSV >KZM98558 pep chromosome:ASM162521v1:4:25014718:25017453:1 gene:DCAR_014080 transcript:KZM98558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADENPTKSPPETPASPPEKTHFPAAEPISVTEKETPLDPSPTSQEQDQKEPIQQEHLTPEAEKPQKVPESQGSFKEESNKVIDLSDPEKKSLDELKTHVQELGEAQIYGVPLLVDERSDVILLKFLRARDFKVKEAFLMLKNTLKWREEFGIESLIEEKLGDDLDKVVFTDGFDKDGHPVCYNVYGEFQNKELYKKTFGDQEKRNNFLKWRIQFLEKSIRKLDFSPGGINTIFQVSDLKNSPGPGKTEHRIATKQALQLLQDNYPEFVAKQVFINVPWWYLAFYTMISPFMTQRTKSKFVFASPARTAETLFKYIAPEQVPIQYGGLSVDFCDSSEITINPATKQTVEILVNEKCTIIWELRVVGWEVSYSAEYVPRTEGSYTVILHKAKKMSPTDEPVISMSYKITELGKLLLTVDNSTSKKKKLLYRFKVEPLCD >KZM98637 pep chromosome:ASM162521v1:4:25685445:25685870:-1 gene:DCAR_014001 transcript:KZM98637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNVNVEGIEGMVVGNVGSVAGKGGNVTFGIVEGMVGRVGIVGSVGRGVAGKGGNTTFGSVGIAGNGGIETLGKGGIFGRVGAEVCSRWRAPKLISTAESDRAATKIRMKQCLEVPEAAMLDKSRRVKSRFIVGWECSNL >KZM98444 pep chromosome:ASM162521v1:4:24037420:24039351:1 gene:DCAR_014194 transcript:KZM98444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNYSMVNVKDALGRWRKKVGEASKKAEDLAENTWQHLKTAPSLTDAALARIAQGTKVLAEGGYENIFRQTFETAPDEYYQNSFACYLSTSAGPIMGVLYVTSAKLAFCSDNPLSYKADNKTEWSYYKVVVPLHQLKAVNTSSCRTNTAEKYVQIISVDNHEFWYMGFLNYETAVKSLQNALEFNKF >KZM97268 pep chromosome:ASM162521v1:4:12954219:12955785:-1 gene:DCAR_015370 transcript:KZM97268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSMRKAGDIIKKDRISELPRNVQETILCFLPIEEAVRTSILSKNWRHCWTTMPHLIFDGGFYERMVDKLGYHSCTELMAYRCVSVINKTILLHRGPVLKFSLSIPGSECDAQIFHDYIDQWIPVLSSKGMKELMLEDLRLQEDTSYHFSSLDLTYLRLLSVWFPYKPKFGRFAYLTNLELVDATSHFGQDVFDCPVLEKLTLILCPGLYHSNFRAPNLSCLRQIYREINSEIPYVGLDNLKDYSFMLLQPGPLLAKTSNVVKYLGGLHKIEKFSIAREFVQYLADGGCPNRLSTLLCYLKTLYVSDINFTHLPEVSCLLCMIRSAPNLCKLYLLARYCDNCSEKDCYCEKDLEYYQIEDSEDCTTTCHLEVVTFSYFKGLKAELELVKFILAHSPLLKTMYIHRSESIKSDVALTMTEEILQYPRASTIAQIRHLKRLVEKKCVEIDDFDTESWYGYFE >KZM99269 pep chromosome:ASM162521v1:4:30661011:30663104:-1 gene:DCAR_013369 transcript:KZM99269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLNQWREQLKKDEADQEAKLAGLFLSQNHHHYSSALPLFAPDADEPTCTKLSTYSPSSATALSSYKYGRKQGVGGGRSCFSMGQWKELELQALIFRHIINGASIPPQLIHLLITNKSFILSSPSPPYYQYPPAWMQSWEKSAMDPEPGRCRRTDGKKWRCSKDAVAGHKYCDRHVHRGRNRSRKPVESPTHKPAAKPNASPAIPGSATDNNGNRGLFKSNTMSPMSAEPLTFIGGGSTSFPLSRPSNSPDVLHFNQRSVESLAETKGLSNMDSSEADKSDSQTLRHFFDDWPRAIQEPRNTMHNASVGTSLSISVPGKTPTSDVSLKLFPCIGEEAESGSGEGNGEREGSQLHWGTTWGGNQMGGPLAEALRSSSSTSSPLSVLHQLRAST >KZM97032 pep chromosome:ASM162521v1:4:9955453:9955950:1 gene:DCAR_015606 transcript:KZM97032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKRSREDEVRQVESLAMANCLMLLSQMEKPENLPGGRVFECKTCNRQFTSFQALGGHRASHKRPKLMAGDLLQQVALKPKTHECSICGVEFALGQALGGHMRRHRAEMEANSASKNTASDTTISHETVVKEVPVLKKSNSSCKRVWGMDLNLMPYDSYLKLGW >KZM98314 pep chromosome:ASM162521v1:4:22841704:22843110:1 gene:DCAR_014324 transcript:KZM98314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLLSHIHLFQISFLALLSFTILLHTTLSYTALLSTHLHLSLSTALCVLLALLHRRLSRPYPVFLLNYSCYKPPFHHKVSYEIAENFVLKNSANFPEKSIDFMRNIYLKSGLGDETYAPPFIFEDDKNPTLESAFQESHDSIFTSIENLLPKTLIDPLRIDALIVTSGCFSPAPSLTSHIVKKFNLRHDIKTYNLSGMGCSSGVMSIDLASNILRGSHKIQYALVVITESITLNWYSGDSRSMLVTNCIFRVGCAAAMITNDPTRRRDAKMELVHSLRTHHGADDMAYRAAFQEEDEKGIPGISLTKDLVRVAGVNLREHIKILAPRVLPVSQLVRYVAAAVAAKMSRGQSKAAVPDFTTAFEHMCIHTGGKAVIEQVGRVLRLDDSVTEPARMSLHRFGNTSSSLVFYELAYFEAKMRVKKNDRMWMIAFGTGFKVGSLVWKCLGDSKHEIDNPWNDSIFKYPLKV >KZM98199 pep chromosome:ASM162521v1:4:21867720:21869878:1 gene:DCAR_014439 transcript:KZM98199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWARFRTSKRIKKDGKENKDVKQGLNVNEEYRRAIRTKSYADFFDKAQSLVKEPDSASFCYHKFNDILLEPGQETISTVLGSATLSTKSDLKDLILNYFDISAEASKICTNLLRSINQIQGNYLFIQQILDSVDEHSSPERFCKIISELGSFIIFNNPFSNLSKHDFKAIHEKYSSFLQNLKLKRKKVARKIKLIKCFNKASGVCVTAACGVVAVAAMVLAAHTLTALLMGPALFSLPLKPIKKKIMDFKFLRSGVLSKVGEQLDVAAKGTYILNRDFDTMSRLVARLHDEIEHNKTMIQFFLDRKEHTFALQVVKELKKNDVGFRKQVEELEEHVYLCLVTINRARALVIKEFGTKCVGIK >KZM99530 pep chromosome:ASM162521v1:4:32768182:32771885:-1 gene:DCAR_013108 transcript:KZM99530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSDSCWQKSDSSRGLKRSAVSDRNPLSIRANSIRSLDETTNAYEYILGRRGELGFPRSCEEGKAGDRERERTDVSLRQWLDNRDRAVAAPECLHIFRQIVEVVSLAHSQGLVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDCDSDNQTADSGGPGLPSSREPGSSCLQSGSENLTNASAKASNAYQTDVKAPQFPMKQILRMETNWYTSPEEVSGAPSSCASDIYRLGVLLFELYCTFSSAEEKCGTMSNLRHRVLPPQLLLKWPKEASFCLWLLHPQPTSRPKLGELLQSEFLNEPRNDLEERKVVSDLKEKIEEQELSLEFLLLMQQRKQEATDKLCEMVSFISSDIDEVKKLQHESKGRSSSKKNPSDSTLCASSIENNDSGCLNSRKRPRPGSQNYNAQEVDGGNDVYQNSEAPTDKQDKMISRSTRLMRNFQKVESAYLLTRRRAGRPTEKPFRKNSSLSIAGRGSVVASERSPLNSLPVVENYGESRRIDGWIDSFLEGLCKYLTITKVKVKAELKQADLLNSSNLVCSLGFDRDGEFFATAGVNKKIKVFEYGTILDEDRDIHYPVVEMSSRSKLSSICWNGYIKSQIASSNFDGVVQVWDVVRNQVFTEMKEHEKRVWSVDYSMADPTLLASGSDDGSGVSVATIKTKANVCCVQFPSDSSNYLAFGSADHNIYYHDLRNLKTPLCTLVGHNKTVSYIKFIDSKNLVSASTDNTLKMWDLSACTSRVLDCPLQSFTGHANVKNFVGLSISDGYIATGSETNEVFIYQKAFPMPALSFKFDNPDPLSGDELDDSAQFISSVCWRSQSSTLLAANSMGNIKLLEMV >KZM99412 pep chromosome:ASM162521v1:4:31886018:31889805:1 gene:DCAR_013226 transcript:KZM99412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDNPCFVEWKEQFVSKERGNRVVHYYLKDVAGESILAVVGTERSVRHMFYVVSEEFLSAHGGENSDYSGYRWRSRREVVNWLTSMLSKQHRLGNYTKTPKGDTTSAAQQIHMPVCKGRHSRNLKVHTSGIAWSGESWACGKQLKHYPAFCRNGITIAIQSFVFVMAEEDDHHLAYLEDMYEDKKGQKKVKVRWFHHNQEVKGVVSIRNSHPKEVFITPYAQVISVECVDGPAIVVTREHYDKFLAVLPQDVIDRIHLCYRQFKSNRVKPFKLSKLCGYFDQPIFSYLEHEFLEDDDYKPQDSIKVGSKRTRSCRGRELVSYKSGQNIKYGQRKLIRSRYAGCPSIETLLFKPDDKIELLCQDSGIRGCWFKCTILEVSQKKIRVRYDDLKDEDGSGNLEELIPAFRQAEPDKLGMRHKGRQIIRPAPHIESHLALVAGAPVDAWWSDGWWEGILIGISNPEDGILQVFIPSENLFLNVHGKNLRASRDWVGNEWVDVEPNGNIVSAISAAIISDAKLSATSTVDEDKSDDFPVLDHMQLIDAEGKIAKEEKHDLDCSAPQDCCPENVRVDLACSPLEDKILENNVNEAELLLRVEDKKGKERHKKEERRCRSEKHAERGR >KZM97480 pep chromosome:ASM162521v1:4:15103486:15105508:-1 gene:DCAR_015158 transcript:KZM97480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLIALLTLQCCYAGFHIVSRVALNIGVSKVVYPIYRNIIALLLLGPFAYFYEKDQRPPLTFSLLVQFFLLALVGITANQGFYILGLYYASPTLASAMQNSVPAITFVMASALRIEKVNILRRDGLAKILGTIASVGGATIITLYKGPPLLHNQQSQQPLEVHSLANKTQNYTWGCIYILGHCLSWAGWMVFQAPVVKKYPAKLSLTSFTCFFGLIQFLIIAAFFERDPKNWQIKSGEEIFTILYAGIVASGVVISLQTWCIYKGGPVFVAIFQPVQTVLVAIMAFIVLGDQLYSGGIMGAILIVIGLYTVLWGKSEETRVENSLKEDTLTKHLLDPVERQAEECAVGVTDIP >KZM96952 pep chromosome:ASM162521v1:4:9096333:9097611:1 gene:DCAR_015686 transcript:KZM96952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQKVVIRVSMVDQNKSRTKAMKIAATAFGVQSVALSGDAKDQIEVTGEGIDTVELAKLLRKKIGSGDLISVGPAEAEVNTDEKKDEGGVVPLVWGSQPYYSNFYPVVYAHDHHHSPSCTLM >KZM96571 pep chromosome:ASM162521v1:4:239185:242638:-1 gene:DCAR_016067 transcript:KZM96571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSIDDDVDGLSAESKSKFKKMRLSRLGLAPRENFSSLDEFFAQFKYTGGRVEKFGQSSNTNVEEKPKTTPAQVCVVSRSLGNNNSEGTSNKQGKSQVVRMPPKTCGKRSWAEVSVVKEKEDVPKRGKSPRRKMPTLTASQKVDEAYQRVGPGNTWKPPRSPHNLIQEDHAHDPWRVLVICILCNKTNGKQVKKVISDFFKLCPSAKAATEVPVEEITKLTISLGLQNTRARKIQRLSSEYLEENWTHVTKLFGVGKYGADAYAIFCTGKWDRVTPEDYMLEKYWEFLHGNKVTSQ >KZM97299 pep chromosome:ASM162521v1:4:13294912:13297220:-1 gene:DCAR_015339 transcript:KZM97299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSKVTVAIICLVALLSLSAPDAVEGLTCGQVTSSLSQCMNYLKMGGVLPPGCCSGVKSLNGMAKTPLDRKQACSCLKSVSGSIKGINYGLAAGLPGKCGISIPYKISPGTDCSNESSSINACFRGADFMEALVMFPQ >KZM99828 pep chromosome:ASM162521v1:4:35199733:35200539:-1 gene:DCAR_012810 transcript:KZM99828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLRKMAFPVRKVWYNVSRRRKTSGLLKLHHDVRTCEYEDVHVLWEILKNNESGRSRSTASGGKKAHSRKVSNWARCSPLCCCCN >KZM98885 pep chromosome:ASM162521v1:4:27657587:27661207:-1 gene:DCAR_013753 transcript:KZM98885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMSLLFLLILLFCNFSESRKLSSQVKDQGNEVEGLANFKKFSVDADPNGFLKTWDSSASSSPCSWKGIGCSLEGAVTVLNLTGAGLIGHLQLSELMDNLPSLSQLYLSGNSFTGNLSSTTSSCSFEVLDLSANNFSEPLDAQSLLLTCDHLMILNLSRNFISAGSLKFGPSLLQLDLSRNRISDLGLLTDSLSNCQNLNLLNFSDNKLTGKLTSFLSSCKNLSTVDLSYNFFSQIHPNFVANSPASLKFLDLSHNNFTGNLVNLELGTCHNLTVLNLSHNSLSGTGFPASLANCQFLETLDMGHNDFHLKIPGVLLGNLKKLRHLSLAQNSFFGEIPPELGNACRTLEVLDLSGNQLIEQFPTEFSLCSSLVTLNVSKNQLSGDFLTSVLSSLPSLKYLYLSFNNITGSVPPSLTNATQLQVLDLSSNAFTGTIPTGFCSKSSSFSLEKLLLANNYLKGRIPSEIGNCKNLKTIDLSFNSLIGSVPSEIWTLPYIADIVMWGNGLTGEIPEGICIDGGNLQTLILNNNFISGSIPQSFVKCTNLIWVSLSSNQLRGTIPAGIGNLLNLAILQLGNNSLTGEIPPGLGKCKSLIWLDLNSNALTGSIPPELSSQSGLVSPGPVSGKQFAFVRNEGGTACRGAGGLLEYEGIRAERLEKFPMVLACPSTRIYSGRTVYTFASNGSIIYFDLSYNALSGTIPESFGSLNSVQVMNLGHNNLTGSIPSSFGGLKYIGVLDLSYNNLQGAIPGSLGGLSFLSDLDVSNNNLSGSVPSGGQLTTFPSSRYENNAGLCGVPLPPCGSESGRHPLRSNSQGKKTSVTTGVMIGIGVSLFSIFILLCALYRIRKYQQKEELRDKYIESLPTSGSSSWKLSSVPEPLSINVATFEKPLQKLTFAHLLEATNGFSANSLIGSGGFGDVYKAQLGDGRVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKWGSLESFIHDRPKVGGGTPGYVPPEYYQSFRCTAKGDVYSYGVVLLELLSGKRPIDPAQFGDDNNLVGWAKQLHKEKRDLEILDSELLLHQSSEAELYHYLQIAFECLDEKAYRRPTMIQVMAMFKELQMDSETDILDGLSVKNSVIDESL >KZM97687 pep chromosome:ASM162521v1:4:16866862:16869087:-1 gene:DCAR_014951 transcript:KZM97687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADHNNNSTYISSASTFPFLSPNRSMELQHLPRKPKLQVSPTLRELLQFGSDQNHHHNYSDHQVIDLNDDVSTRTSSPFVLAFTNLTYSVKAQKKLTVLPSFLNRDSSEKVLNTKVLLNGISGEAREGEIMAVLGASGSGKSTLIDALADRIAKGSLKGTVTLNGEALESKLNKIISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSVHQPSSRIMKLLDRLIFLSRGNTVFSGPPAALSHYFAQFGNPIPEKEDRIEFTLDLIRELEGTPGGTKRLADFSRAWQTKQSPNSTATTSGVKLSLRDAISASISRGKLVSGATNSTNLGAENLSSSVPRFANPLWIEMVVIAKRSLKNSWRMPELYGMRLGAIVVTGTILATMFWNLDNTPRGVQERVGFFAFAMSTTFYTCAEAMPVFLQERYIFMRETAYNAYRRSSYVLSHSIITIPSLMFLSLVFAVITFWPVGLAGGLSGFMFYFLFIFAAFWAGSSFVTFLSGIVAHVMLGYTVVVAVLAYFLLFSGFFITRDRIPGYWIWFHYLSLVKYPYEGVLQNEFHDQTKCFVRGIQIFDNSPLVSVPDALKLELLKDLSKTLGANITSTTCLTTGLDILKQQGVTDLSKWGSLWIIVTWGFFFRFLFYLSLLLGSKNKRR >KZM99135 pep chromosome:ASM162521v1:4:29677016:29678241:1 gene:DCAR_013503 transcript:KZM99135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFESKYISCLSALRCNSGIVQVARGQLDQVVTLRGRIMSPPVFAHSQQLDTTKAAEENLSLPQLTNLPPSAQTMMTAISKTTEDENKKAAAAAVVAKLAASTSSAQMLTSVFSSLVAEEAASISGGLKSAGFSTGMSLFPPEKRPRLEKPMSGPDANNSEAGNSSYFTSLQQQPASNVPVTSVQSMSQPGHMQSPFPPPAPPPPPPGPLSNSPTNQFVQPASLMPYGYGSTSLPPPPPLPSHVTMGLTRPAPPPQLQQSQAQQQNNNSGYYRPPGIGFYGQNHQPAPPPVQRQ >KZM98801 pep chromosome:ASM162521v1:4:27005073:27007824:1 gene:DCAR_013837 transcript:KZM98801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLYSLLFFVLLLHIPCCIHSSSTTYDLFQTWCVTHGKTYASEQEKLSRFKVFEENYAYITQHNNDLAANSSSSLYTLSLNAFADLTNQEFKASRLGLSAGGNDKLIRMKRGSSIVKGSSGVRKAEIPSSLDWRDKGAVTNVKDQGSCGACWSFSATGAMEGINQIVTGSLTSLSEQELVDCDRSYNLGCDGGLMDYAYQFVIDNKGIDTEDDYPYKSRETTCDKDKLNRHVVTIDGYVDVRENDEAQLLEAVAAQPVSVGICGSERDFQLYSKGIFSGPCSTYLDHAVLIVGYGSEDGVDYWILKNSWGKQWGMDGYMYMQRNNGNSQGICGINMMASYPTKTSPNPPPSPSPVPTKCSLLYSCSEGETCCCSWSLLGFCLTWKCCGLDSAVCCDDHKHCCPNDYPICDTNRNLCLKQTGNYTLVKELGNKRSSGNWNSLLRDWNM >KZM98972 pep chromosome:ASM162521v1:4:28414583:28415152:-1 gene:DCAR_013666 transcript:KZM98972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTLLLSVIFAALTFSLLLTGESQDFTKSIPSESLGLGEEKLSHLHFYLHDIVSGRNATAIRVATSAISNTSATRFSDVLVIDDLLTVGPDPSSKQLGRAQGIYTSASLSEVSLLMAFNYVFTVGKYNGSTLSILGRNPIFSDVREMPVVGGSGVFRFARGYAQARTHFIDWNSGDAVVEYNVYVFHY >KZM97152 pep chromosome:ASM162521v1:4:11536930:11539370:1 gene:DCAR_015486 transcript:KZM97152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAVRLDLDGNPIPAITICMIGAGGFIGSHLCEKLMSETQHKVLAVDVYNDKIKHLLEPDSLPWNGRIQFHRINIKNDSRLEGLVKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSYLPKDSPLRKDPAYYILKEDESPCIFGSIEKQRWSYACAKQLIERLIYAEGAENDLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVMLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTKVYSKVSGEPSIDSPTVDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAVKQAIAKPLAT >KZM98288 pep chromosome:ASM162521v1:4:22570338:22576624:1 gene:DCAR_014350 transcript:KZM98288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNLQRYETRQKRADAKKALRNLLYHNGSCKTTYEDVHSKFETTNIWGQGKRDRSNSSKKKGRSKSSAHASKAHDHKKKYQSSRNNPFEDYDGYSEPIFQATFGGRCYTWSYRMEGESSFRRSSSGFEWREHSQRTNSNREWDDAHETCSERESCFPGSSSDRTILGLPISGPLKIEDVKTAFRLSALKWHPDRHQGPTQAVAEEKFKHCAEAYESLCKALASA >KZM98877 pep chromosome:ASM162521v1:4:27590453:27592103:1 gene:DCAR_013761 transcript:KZM98877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRISKELKDLQKDPPASCSAGPVGEDMFHWQATIMGPADSPFSGGVFLVTIHFPPDYPFKPPKVSFRTKVYHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHTYKTDRAKYESTARSWTQKYAMG >KZM98859 pep chromosome:ASM162521v1:4:27444490:27447380:-1 gene:DCAR_013779 transcript:KZM98859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQMSSMMSLTTTPSLRLPHLQPQFANFPKIQFLSFVNSNVRPRVCKKGSASIRAEASSNIDMGFVQQAIGLVQASPPTWQSAIFSNTAIFLVGSPVLVSGLSLSGIAAAFLLGSLTWRAFGPSGYLLVAAYFVLGTAATKVKMAEKEAQGVAEKRKGRRGPGSVIGSSAAGCVCALLSIYGVGGSAVSRLWELGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSLEGTFAGVLAAILLASSGILLGQISTLEAVICVLAAQIANVGESLIGAALQDKEGFGWLNNDVVNVINISIGSILAIVIKQLVLQN >KZM97704 pep chromosome:ASM162521v1:4:17073743:17074042:1 gene:DCAR_014934 transcript:KZM97704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGRLGFIMVLALVITITHTNNINAAEARHVMMPRGLVPPFRPHHDPPGHPPKTSSSGFLAVSTTSYHTLSFKGVPHPPGGPSHGINHDTPGTPPNHS >KZM98737 pep chromosome:ASM162521v1:4:26503841:26507293:1 gene:DCAR_013901 transcript:KZM98737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELIIDPNSGFCKSNSTFYSKRGPISLPVDETINLTTFISSHPHHGTTALIDAATGQHLSFPEFWTSVEALATSLSVDLGIRKGHVILILSPNSIYFPLISLSIMSLGAIITTCNPLNTKSEINKQISDSKPVLAFTTPELVPKLEDSNLPIVLIGDPESPPPTKIKIISTLTTMMKTKPRQSRVAERVTQSDTASLLYSSGTTGNSKGVVSSHGNYIGVVQTITSLLKLAEGELELGNKTFICTVPMFHIYGVAWFVATLLACGTTAVVLPKFDMHEMLKAVEKYKVTHLPLVPPIVVSIINSADEIKKKYDLSSLTAVLSGGAPLSREVVEGFVEKFPAMKILQGYGLTESAGIGSSTYSLEESRRYGSAGLLASSMEAKIVDPETGECLPVNRQGELWLKGPTIMKGYFSNAEATANTLDSQGWLKTGDLCYIDEDGFIFVVDRLKELIKYKGYQVAPAELEALLLAHPEIADAAVIPFPDRDAGQFPMAYIVRKAGSHISESGVMNFIAHQVAPYKKIRKVAFVTSVPKTPSGKILRKDLIKLATSKL >KZM99494 pep chromosome:ASM162521v1:4:32560935:32568831:1 gene:DCAR_013144 transcript:KZM99494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGVAGGLRFRCFHPVHHLRRRQLRGVSAAAKNKEKPKVIVISGPTGAGKSRLALELAKRLNGEIVSADSVQVYRGLDVGSAKPSLSDREEVKHHLIDIMDPSEDYSVGQFYEEARNATKEILESGRVPIVTGGTGLYLRWYVYGKPDVPKSSPETVAEVQAEISDLERVGDWDAAVQLVVQAGDSSAQSLPANDWYRIRRKLEIIKSSGSPPSAFHVPYDSFREQPNSPNVDDFRSSASLKNESLGSRSPEDLDYDFSCFFLSSPRMDLYRSIDFRCEDMVSGSDGILSEAKRLLDLGLQPNSNSATRAIGYRNAMEYLLHCKEQGGSSTRDFYAFLSGFQKASRNFAKRQLTWFRNEPIYNWINASRPLEDVLGFIHDSYHVRTGTIEVPKALQMKKDLSERREILQMKGYRTNNRHFVGRENCADILEWIRESQGQLNLSVN >KZM99664 pep chromosome:ASM162521v1:4:33835243:33835982:-1 gene:DCAR_012974 transcript:KZM99664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLLMQTVPVNPKPFLNNLTGKRVIVKLKWGMEYKGFLVSVDSYMNLQLANSEEYIDGQFTGNLGEILIRCNNVLYMRGVPEDEDLEDADHD >KZM99538 pep chromosome:ASM162521v1:4:32824104:32826359:1 gene:DCAR_013100 transcript:KZM99538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHVDFYSAAWDIIIPLGGLLLVGIIFSQQRYGGRVILPKKFRELEMYAKVPAIST >KZM99634 pep chromosome:ASM162521v1:4:33594300:33596483:-1 gene:DCAR_013004 transcript:KZM99634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKKLSQSRAISILNRVFKTEPSRTLTTKTIETTSSRATSLFSRITKAHDPKVSMIPVLDQWVEEQRSISAEELRRIIRQLRKFRRSRHALQISQWMSGKSFLDLSSADVAIQLHLISKVHGVKQAEDFYISLPISSKDFRTCGALLVCYADAKLLDKAEAVMQSMRGLNYMKTTLSYNVMLKLYSHFKKLDKLDSLMQEMEDIDIKFNKFTYNTLLNAYADVLDIDQLEKLLTKMEADPLVTMDWNAYVVATKGYLRSGNKVKALESLKKSEQLVKVSSRKNAYEIYMTMYARMGNKEDVQRIWKMYKSHCRFYNLGYLCMMSSLAKMDDLDGAQKVYDEWEVKNTTFDNKLPNFLISAYCKKGLMEKAESLCQRLLKNGNEPNTGTWTRVAFGYQKQKQMEKAVEALNKSILASEPGSKLYFPVLDTCLIYLKERGDLDRIEHIKRLLAERGHDYAHVFERLATYSKEETNANLKTFDPNEGDEYTLEEDLLEVGEESRD >KZM98308 pep chromosome:ASM162521v1:4:22793044:22795035:1 gene:DCAR_014330 transcript:KZM98308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLQGCDIEAIGINYTIRSQNRNQNLNENQTLKVITSKEGKHELQVLQLEEQTLPKGGHHENATNPSFRHVLKDVTCRAKPWEILAIVGPSGAGKSSLLEILAGKLNPQSGSIFVNQKPVEKARFKKLSGYVTQKDILFPLLTVEETLMFSAKLRLRLPESELRSRVKSLIQELGLCHVAGSRVGDDRIRGISGGERRRVSIGVEVIHDPKVLILDEPTSGLDSNSALQIIDMVKTMAETRGRTIVLSIHQPGFRIVKLFNSILLLANGSVLHHGSVDQLGVRLSIMGLQLPVQVNILEFAIESIETIQHLQSSRSQLGVHHQETGHVITQHFSSTLQTKVGKCTLQQLFQQSRVMDEEFVMFENVGVPDLPIGFANSRFQETLILTQRFSKNILRTKELFVFRTFQMLIAGVLLGSIFYDLKDDLVGSEERLGLFAFILTFLLTSTIEALPIFLQEREILMKETSCGSYRVSSYAIANGLVYLPFLLVLAILFTLPLYWLVGLNPSLMAFCHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVICGVMGSFFLFSGYFVTKSGMPKYWVFMHYISLFKYPFEGLLINEFSGGEGKCLEYMFGKCMVRGQDLLREQGYGGESIWKNVIIMVCFILIYRFVSYVILRCRCSQKGLKQTSCMI >KZM97871 pep chromosome:ASM162521v1:4:18820769:18823023:1 gene:DCAR_014767 transcript:KZM97871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYYDCGKLKVWDLRTSDFNKFSPRRYERSGVQSSPSISLPPFGCATYKFQGDIWFNHGHSDYGRLSHLNKAAESWIRQLGFEHHDFNFFTRQTGHHLVVSPYKRIPLFDTVKNLSKSNPGLQTLTSIDLTPSSWMCVASWYPVCHIPNIGIPFKDFEAAFLTFHSISSFYQDFTEEDVQMGCNKRSPSISLPPLGCVTYKVEGNIWLNHGDLDFGRLYHLNKSADLWINQLGFEHHDFRFFTRQCSHHLVSAEPNAEPSPENTFHRFLRSIPCTGRQLNDVMLQKKLLYFIRRTTAWSCFFNSCFKK >KZM99298 pep chromosome:ASM162521v1:4:30924620:30925651:-1 gene:DCAR_013340 transcript:KZM99298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRRQVAANQMVLGERASNTDISYVPQQEMQRKGFRSRTPNFDGYLSSQPTAEGEDGHYTNQQGMHGLLNTITSRFGQFSAHQTLHALGQVSSTAPTVHGHFGFQDSLHDMEETVNSASNYLQGKHIFR >KZM99013 pep chromosome:ASM162521v1:4:28741714:28746502:1 gene:DCAR_013625 transcript:KZM99013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRRLSFFKVESGSEGESYSSSSGGSTASMTLSLHQKERKRESKSKKHACPSCFRPFDKDTKPILMDSSQQSLEEGSDALPDYATPNKGPKKQVQSPPSTSDSSFFSNESSEPSVTGSHFSQSKSAASSKKSLQTLTKSSSTRTGGNLRKKTSFKIKGSLVGKPPNIVQDLGLNRATCSSTLKDSKFPKQVELKPGQSESEIISAKKVCPYHHCSLHGHSHAPEPPQKRFLFRRRRTERTPKSIEPKGLSPDAVTSSGGKKKATQTGKTFSSTEPASAKSSHSTRSSHVKDHKTLDSSVTTITKPRIESYTGATLEKENATSDSCSVETKQHMSTSETEHTQLNGEEVHVSSAGIDIIAATMSGTTTDETSTLPQVLTSEDSAEATKDCMGVSSVSCCTSEELSASSEEKAGTSYRGAELITDSISPRDSKPSKENNFSPVIDEASNTQIKKNNHVSMWHMIHQQMVLGLAENQQVLPGLGEQQDNDDKTLPDTNNSQSRAEIFKSEMDAENQTQESKVLELRKIFAIKLVREAIEKILLPEVDDQTSDVMSITSEVVSDEEHPDKIHDGGEEQSISTPNEFTEHHLLENENKELGSNKSYDAKKSSLTEVTVSVSEDKTSKKSEKKALKGWSNLRKIVLLRRFVTELEKVKKFNPVQPRYLASEPASEAEKISLRRQMMGEKKNAEEWMLDYALRQVVSELAPTQKRKVALLVKAFETVVPPQEDQNIQVATPKQKIPTDLKDKEIKSNIYLNSDLQGGAPEFGAAELDIPRSVDGKTGSLVQSSATERNDELNQSDIVVPTLDTAHLKKLTADKEETIGQSRLEDIAPLDIPLHTDTSPASVANQEEKTQLYKQNVKMWHMIYRHVVSGIAEKIGTQLLDVDGEDGGEEIGEGNELLDGDSSSPMKTDQYDTKENHDTNHKNVEFSRSDALKLVQEAVDEILLPDIQDDSSDSKSIASDTLPDQELINKHQGDVDVPGIIKINETAEDSFIDGVRSSEESGVSLNQENEASPAGDINIQVDERAASFVKTNLLQQKSKNWSKLKKLILLKRSIKALENFRKLKPKPSQHMPLTSDPEEEKVNLKQQMTDERKKAEQWMLDYAVQHIVTKLTPARKRRVAMLVEAFEAVVPLPEV >KZM99786 pep chromosome:ASM162521v1:4:34831865:34858669:1 gene:DCAR_012852 transcript:KZM99786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRSSTGYTSESGVGSGRLPPPSPKICFSEIGDEAILSTLWGRYNDALDKVERKKFFLMFLKQFLIVYKNWKPVDLRQSQGDASPAFPPAEYSQHDDVIFGCSFGHPAEITITLIEEITHINAIVSEFTSVNLSGTISTTERLGNVTSYTLTSEGFPILDALTIVTRSMHNCKVFGYYGGIQKLTALMKAAVVQLKTITSALSADESLSSFILEKISFLQTLLRHVVLIICSFINLYSDVYELSQPYYSMLKFSFLKGGSKSVESSSETTAPSEARIRWHQKAVISVMEAGGLNWLVELLRVMRRLSMKEQQTDTELYCLTLTSLRSALVNNPRGQNHFRSIGGLEVLLDGLGFPSANILKAKISSFSTKDRFGNLNNLQFLCENGRIHKFANSFCAPAFMLQEYKQHSKDLIVQENLYQLVSDAKDTNTEYHGYWKHYVVKLSKVLTAFLLSLEDSISYDYQSPAGKTTLAVSSVYGELSVKWFMRVLLTVFPCIEACSNQNQLPNYLRLFVYVLQHHVLFVLRNVLILSPSQLDVFRSEGVWDFIFSENFFYFGPVSAECAEGYDSCREILPWDYACNLDSSSTDHQVNSSEIEILQSEVISILEFAATLTGNSHNLPECSALLDALEHSACNPEIAGAIAKCLLRIYQHAAEKTAASFKTLDAISRVLKIACIQVHESRRLDHNYAESESVGKSTPQEMVQNWYASLETCMELFGKYYSTTEDAKILILRSSSCIDCLFELFWEENLRERVLAYVLDLMKYYQALFRDGECFLHVVSLLNGNLEEENCEKLVLDVLETLTCLLANNDISKDTFRALVGKGYRTLQSLLLDICQWLPSDGLLNALLDMLVDGMFDIKTRSVIKNEDVILLYLSVLQKSSDSSRLLGLNLFLQLLKESISNRALCVKAGMLNFLIDWFSQEEDDGVVLKLAQLIQVTGGHSISGKDIRKIFALLRSEKVGTRQQYCSLLLTSILSMMNEKGPTAFFDLNGNSSGILIKTPVQLPLYKGFSFSCWLRVENFPETGTMGLFSFLTENGRGCLAALAKDKLFYESVNQKRQFVSLNVNLSKKRWHFLCLSHSIGRAFSGGSQVRCYVDGVCVSSEKCSYAKVNDTQMSCTIGTKLDTPSTEDENSAHCIKESSPFFGQIGPVYMFSEPISSEQIQGIYSLGPSYMYSFLDNEIAFRSDSPLPVGILNAKDGLASKITFGLNAQASNGRTLLNVSPMLDHALDRKSFEATVMDGTQLCSRRLLQQIIYCVGGVSVFFPLFTRSELYEIEESGEAGHSLLLPTKKERLTAEIVELISSVLDENLANQQQMLLLSGFSIVGFLLQSVPPQQLNLEMVSALKSLYNVVVNCGLSEMLAKDAISHIFLNPYIWVYTVYPVQREVYMFLIQQFDNDPRLLKSLCRLPRVLDIIRQFYWDNSKSRSAIGGKPLLNPITNQVVGQRPSREEVHKIRLLLLSLGENSLRQSIAVPDVKALIAFFETSQDMVCIEDVLHMVIRAVSQKPLLASFLEQINLISGCHIFVNLLERDYEPIRLLALQFLGRLLVGLPSEKKALKFFSITVGKSKSLLDSNKKPGLPMQPIFSVISNKLFKFPLTDNLCAALFDALLGGASPKQVLQRCNQFEKQRGLMNNSQFFLPQILALIFRFLSGCESVAARIKIIENILDLLDTNPSNIEALLEHGWNAWLVASVKLDTLQNYKMDLRVQGQSEMSEQTSVRKLFCVVLCHCIQSVKGGWQQLEETANVLLMHCEQDSISYRYLLRDIYEDLVQRLIDLSSEDNIFLSQPCRDNTLYLLKLLDDLLLSEIDAKLPFPSSFTDISTNFLELGSHKDLSSALYDALNGVYDGQPSSNMMGHVISENDIDEEWWNLYDKLWIVISEMHGKGPSKLPKSSSSAAPSFGQRARGLVESLNIPAAEMAAVVVSGGISNALVGKVNKIVDKAMLLRGEKCPRIVYRLVVVYLCKSSLARCSRCVQQVIPVLPYLLTADDEQSKSRLQLFIWSLLAVRKQYGMLDDGARFHVISHLVRETVSYGKSMLATSLAGLDDSSDLSSNQTETGAVHNLIQKDRLLAAVSDEVKYIKISKYDQAKQLHDLRARMNDIPSSDSFHTKSFEDEIQNSLNGILASDDSRRASFQLAYEEEQQIVADKWIHTLRTLIDERGPWSTIPFPNNSITHWKLDKTEDAWRRRPKLRQNYQFDEKLCYAPSSIPSTETIHLVNDGKVGFGSHIPEQMKQFLLKGIRRITDEGSSDTIENENESGVLKESASEDQADKRQFEALKDSSVQKDSAVDKKEPSFIATDSQTSEVLRSVACVLVTPRRKLAGNMAVTKSFMHFSGEFLVEGTGGSSVFENLGTSGNFDASKPDQPGVEQKFMISLDLNSESGNAIDRMNATHGFALKKQTKTIKRHRRWNISKIKAVHWTRYLLRYSAIEIFFDNSVAPVFFNFASQRDAKDVGTLIVAARNESVIQLGYKDKSGIISFVDRRVAMEMAETYKESWRRRDITNFEYLMRLNTLAGRTYNDLTQYPVFPWVLADYSSDSLDFNKSSTFRDLAKPVGALDSKRFEVFEDRFRSFSDPDIPNFYYGSHYSSMGIVLFYLLRLEPFTSLHRTLQGGKFDHADRLFQSIEGAYRNCLSNTSDVKELIPEFFYMPEFLLNSNSYHFGVKQDGKPLADVSLPPWAKGSPEEFISKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMEDELQRSAVEDQIANFGQTPIQIFRRKHPRRGPPVPIAHPLRFAPGSINLTSIVSSTSDTPSAVLHVGVLESNIVLVNQGLTMSVKIWLTTQLQSGGNFTFSSTQEPFFGIGSDILSPRKIGSPLAEYIELGAQCFATMQTTSEKFLISCGNWENSFQVISLNDGRMVQSVRQHKDVVSCVAVTSDGSILATGSYDTTVMVWHVVQARTQEKRVRNAPTEMPRKDCVIAETPFHILCGHDDVITCVYASTELDIVISGSKDGTCIFHTLREGRYVRSLRHPSGSPLSKLVASCHGRIVLYADEDLSLHLYSINGKHLATSESNGRLNCVELSSCGEFLVCAGDQGQIVVRSMNSLEVVRRYNGVGKVITSLTVTQEECFLAGTKDGSLLVYSIENPQLRRAAVPRNPKSRASVL >KZM98319 pep chromosome:ASM162521v1:4:22885929:22887743:-1 gene:DCAR_014319 transcript:KZM98319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKTNGFTESSLPDELLKLLFALALKWGDLIDLDTIKVIHLSGAMTNEVYRISWPAKTPGVCRTVLVRIYGEGVDVFFDRDEEIRTFECLSKHGQGPRLLGQFPQGRVEEFIHAKTLSASDLRDPETSSLIATKLREFHQLDMPGSKDVILWDRLRNWLIKAIDHCSDEQAKEFHLDILEHEINTLEKDLSQDYQEIAFCHNDLQYGNIMIDEKTKSVTIIDYEYASYNPVAYDLANHFCEMAANYHSEKPHILDYGIYPGLEERERFVRSYLGSDGDLLDDSEVQRFVDDIEKYTLANHLFWGLWGIISAHVNHIDFDYMEYARQRFAQYWLRKPQLMATKSISCEVVNGFSQPT >KZM98368 pep chromosome:ASM162521v1:4:23316359:23323144:1 gene:DCAR_014270 transcript:KZM98368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASVGDQGGGGATVDNMDKDNVNNNNNIANNTNKPPLRAKSFSFRAPQENFTIKDFELGKIYGVGSYSKASWQLSWEQAATVGLLITRTSNLYKAYNRGLKTLQDICVVHVVRAKKKDTGMVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDATSLYMALESCEGGELFDQITRKGRLSEDETRFYAAEVVDALEYIHKLGLLHRDIKVVRWQPENLLLSSDGHIKIADFGSVKPMQDSSITLLPNAASDDKACTFVGTAAYVPPEVLNSSPATVGMAYYFLVCVHACFNRNDLWALGCTLYQMLAGSSPFKDASEWLTFQRIIARDLRFPDYFSEEAKDLIDRLLDVNPSNRPGAGPDGYASLKNHPFFNGINWDDLKALKPPVLALPRKDLSRNLSRNLSCAKDGSEKQASDDGENASSITRLASIESFDSKWQQFLEPNESIIMISMVKKHQKLTSKKVQLILTNKPKIIYVNPSKLEPKESIVWSDNPNELSVQVASPTNFKICTPKKILSFEDAKQRALHWKKAIEALQGH >KZM98349 pep chromosome:ASM162521v1:4:23123392:23127114:-1 gene:DCAR_014289 transcript:KZM98349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVENRQFHRLEHAGVRSFKPPTSPVRGGYTFSSSSEDKKVVTNVEYPSSDDEECMTEFKESIDKGKSELEPSVLDLRDEGTADNWIERNASMVRLTGKHPFNCEAPLARLMHHGFITPVPLHYVRNHGAVPKATWADWTVEVCGLVKRPGCFTMEQLVNDFPSKEFPASLVCAGNRRKEQNMVKQTIGFNWGAAGISTSVWRGVPLHYLLKRCGIYSRKKGALNVCFEGAEDLPGGGGSKYGTSIKKEFAMDEARDIILAYMQNGEVLSPDHGFPVRMIIPGFIGGRMVKWLKRIVVTTKESENYYHYNDNRVLPSHVDAELANSEAWWYKPEYIINELNINSVITTPCHDEILPINSYTTQRPYILKGYAYSGGGKKVTRVEVTMDGGESWQVSKLEHPEKPNKYGKYWCWCFWSLEVEVLDLLAAKEIAVRAWDETLNTQPEKLIWNVMGMMNNCWFRVKTNVCKPHKGEIGIVFEHPTQPGNQSGGWMSKEKKLVKPMDVLKRSVSTPFMNTASKVYSMSEVRKHNSAESAWIIVHGHIYDCTRFLKDHPGGADSILINAGTDCTEEFEAIHSNKAKKMLEDYRIGELITSGYISDSPNNSLHGATNMVSMLAPIGEMTTATRVVALVPREKIPCKLISKTAISHDVRVFRFGLPLEDQVLGLPVGKHIFLCASINDKLCMRAYTPTSTIDEVGYFELVVKIYFKGVVPRFPDGGLMSQYLDSIPLGTVLDVKGPLGHIEYTGRGNFTVSGKHKFAKKLAMISGGTGITPVYQVMQAILKDPEDETEMYVVYANRTEDDILLKNELDAWAVKYADKVKVWYVVEKANEGWKYSSGFITEAILREHIPAGSEDVLALACGPPPMIRFAVNPNLEKMGYDIKDSLLVF >KZM97976 pep chromosome:ASM162521v1:4:20034849:20036398:1 gene:DCAR_014662 transcript:KZM97976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVSPSKSSLLKRIRPQDLQSAAIWGTAALGGAIYLVQPFDWVRKTFFEKPEPEGN >KZM98295 pep chromosome:ASM162521v1:4:22637194:22647401:-1 gene:DCAR_014343 transcript:KZM98295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLNTTRATSLDIYHFPNFSKPRLAVSSKTLFFNSPFQSFSRHVFAKSSLPFNPRLLKVRAFDSNPAKPQEQDTESAQLFENLKEAERERINKLEELERKANVQLERQLVMASDWSRALLTMRGKLKGTEWDPETSHKINYSEFKRLLETNNVQYMEYSNYGQSVSVILPYYKDEKSQGSGGDSRKEIVFRRHVVDRMPIDAWSDVWGKLHQQLVNVDVYHIGTVPAEVYTSVATAVVWGMRLALAIGVYIWIDNRMRPIYAKLIPCDLGTPPTKTRQPLKRKALGSLGKSRAKFIAAEETTGVTFEDFAGQEYIKRELQEIVRILKNDEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSYAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKEATSQVLIIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEEKAGLLQEIAELTEDFTGAELQNILNEAGILTARKDSDFIGREELLEALKRQKGTFETGQEDSAEVPEELKLRLAYREAAVAVLACYFPDPHRPFTETDINSIRSQPNMRYKEMPGRVFKRKEDYVNSIVRACAPRVIEEEMFGVDNLCWMSSKATLEASRLVEFLILQTGLTAFGKAYYRTQSDLVPNLAAKLEALRDEYMRFAVEKSSSVLKEYHSAVETITANRKIRLLLRRAGAAVACEGRAAHLLYILLEDGEINADEIWNIYTTAPRFSQPAVRPVDEYGALIYAGRWGIHGVSLPGRITFSPGNSGFATFGAPRPMETQVISDETWKLIDGIWDKRVEEIKAEASIEVEEEKETPQLLMGSHFV >KZM96930 pep chromosome:ASM162521v1:4:8847084:8848334:-1 gene:DCAR_015708 transcript:KZM96930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKHFSHNHSLIVHQVIQGTEVTCSGCNSPATGAVYVCWPCNFFLHEFCFSASRSMTHASHPPHPLTLVPYPTYPSNTFYCNSCNLVGTGLSYSCSHCDFDLHVHCAYMPTSANHTPQTCDVPDITLQNQTHHPQQVHLAPPVEARDDATVDHNTKNEAMPNPSGEVQVLQSDDPVGVENAGASRVIKPRGLKHFSHSHPLYPSEFEDEDPLFCSACEEEIIGTAYRCSKSKCDYHLHKKCFELPREIRHKSHVEHPLTLLASPVNKETEKFTCNACFGVGSGFTYNCSTCDYDLHVSCSSLPETVKSDHHDHELSLMYSSPVTENEKAEQPGITFSCKVCENVVPESYWLYYCADCKYGIHLGCLNEKDKYEGKSLEEVVVDHQAQMQRLQIQMDMARQNAQFLISMGQSLASL >KZM98064 pep chromosome:ASM162521v1:4:20821569:20834245:1 gene:DCAR_014574 transcript:KZM98064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVENYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKTDKDIQNLRQEIEGELFEILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIIKDPVKYPENMSSNFKSFLRGLLNKVPQSRLTWPALLEHPFVRETSEDIEAREIRATTAAARGYDASWKGEGDLQKAELPLSSNESRNPQSLPSTENGDLHSLQQDIQSHTPSSALGNSSPKDSPGVTSYEEDVQSGCQVLDRLENNSRTVKGANLIGQDNEALTVILLRLKSVCTSSPDSLRDQDLICFNQSLRILSNLVAASAINYSVLNEIISELLSATASLANLKLADSSDLIAKSLLLIKKLIDNYGGTIGGSYVKHWVSLVELFSKVVGSADDSSGRLLYESTACITVVLSKAAQSLKTSALAVTSEVVSGPSAIIEIVKQILDHAKVSNVVELLCLCLANCGSSLISGSSNLLRAACEACRSLWSLIDALELLYVKENTHLFPLCSLRSHSLLRLDIRNHEQGSLIGVESTIVIDAVTRSFLRSKPIQVAVYYCLRQRAAEPVLFAGTQLILRCCLHSSSISSILCGLPSSLPATKIVSGGGDGTIVSEIFSILSLCASSYRDAQTADTNNLKCKIANPGILVVHSCLVLATVAQCLKSSGRNSPVFMLTSIPKKQLSRLSVLAHHISLDDRTQNSFQPRCSSSMLALASIMSLETGVLSESSISEIAVPLIPRTATLCDNLKILLADENGMVPAIQNGMLSYWHGFRDGSVGLLEARLKWGGALAVQQLCASGIPQLLITLLANSLSDVSVQGSDFTKDQIALSPVGVVWTISSICQCLSGGALTFRQILLKSEHVKFISDLISDVHLNLLRCWTGPGGGKGGVRDTINAVIDLLAFPFVAIQSAPGLASATASVNGGFVLNISSPGGRVCAEDKDMVKAIEASLGKYIQIILEVGVPGYILRCLEHMELKDSARLVAFLAKMTGHRPLVVQLIGKGLLDPARMRRLFDRSSPREVTMDVLMIVSDLARMDKTLRCGISDICEQRLLGTLDKVFYEHLDGAEILQFLKDFLTHEDPNVRAKTCSAIGNMFRHSSYFYSLMAKQQIVNLLIDRCSDPDKRTRKFACFAIGNAAYHNDLLYDELKRSIPQLANLLLSAEEDKTKANAAGALSNLVRNSNKLCEDIVSKGAIQGSVSKATLVIDSYEEVYAACSSLFSQNVCFCSRLAKYQALLQLVADCSLVALNPNRRDAINESPLKIAMFSLAKMCAHMPCRQFMRSSELYPVIGRLRQSQEPTISNYASVILSKTSET >KZM99382 pep chromosome:ASM162521v1:4:31706769:31709601:1 gene:DCAR_013256 transcript:KZM99382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKTKLDAQPELFIHIIPDKTNNTLTIVDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGEVLGRGTKMVLHLKEDQLEYLEERRLKDLIKKHSEFISYPISLWVEKTTEKEISDDEDGEEKKDEEGKVEDIDEEKEKTEKKTKKIKEVSHEWDLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLSVKHFSVEGQLEFKAVLFIPKRAPFDLFDNKKKPNNIKLYVRRVFIMDNCEELIPDYLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKTKIAELLRYHSTKSGEEMTSLKDYVTRMKEGQNDIFYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLEESEDEKKKKEELKEKFEGLCKVVKDVLGDRVEKVIVSDRVVDSPCCLVTGEYGWSANMERIMKAQALRDSSMGGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLEEPSTFGNRIHRMLKLGLSIDEDADDADAEMPPLEEADDAEGSKMEEVD >KZM96605 pep chromosome:ASM162521v1:4:2000259:2000834:-1 gene:DCAR_016033 transcript:KZM96605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVERLQKELDAANADLIRFACSDSLPALPQTQRPVDLITTPNNINDLIGLSGSGPCYQTPDTSFSFPSPTLYPIPYNSSSPDGGQGAGKGLDSYSNP >KZM96741 pep chromosome:ASM162521v1:4:5563140:5565199:1 gene:DCAR_015897 transcript:KZM96741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVVCVTGGTGYVVSWLIMRLLQHGYAVNTTTRLHHAGSLLDQKKDISYLTNLPGASERLRIFTADLDRPESFNDSIQGCVGVFHLAHTIDFEVKEDVETITKRSINATISILQACLDSKTVKRVVYTSSATTVMFSGKDLGVLDETLWTDVDVVRSLKTVRESYYISKTLTERAALEFAETHGLDLVTVLPPFIHGPFITPHFPGSVRTSMSMIIDDDNPFEFPGITSFVHMDDVATAHIFLFEYLNARGRYICCGADITLEDFPNFLRERYPEYEVSLARFQKLKDSKIVRLSSKKLLDTGFIFRHGLEQMYDDAIECCKQKGLL >KZM97800 pep chromosome:ASM162521v1:4:17970798:17979128:-1 gene:DCAR_014838 transcript:KZM97800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAKPENALKRAEELINVGQKQEALQALHGLITSKRYRAWQKTLERIMFKYVELCVDMRRGRFAKDGLIQYRIVCQQVNINSLEEVIKHFMHLATERAELARSKAQALEEALDVDDLEADNRPEDLMISYVSGEKWKDRSDREMVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELALWQEAFRSVEDIHALMCMVKKTPKASLLVIYYAKLTEIFWISSSHLYHAYAWLKLFSLQKSFNKNLSQKDLQLIASSVVLAALSVSPYDCSYGASHLELENEKERRLRVANLIGFNVEPKPESREMLSRSSLLLELVAKGVMACVTTEVKDIYHLLEHAFHPLDLAAQVQPLLTKISKLGGKLSSASSVPEVQLSQYVPSLEKLATLRLLQQVSQVYQTMKIETLSGMIPFSEFALVEKIAVDAVKSNFISIRIDHMKGAVFFGSQGLESEGLRNHLSSFAESMSKIRNLIYSPLKKVSQLGETLSSLPDVVEKEHKRLLARKSIIEKRKEEQERHLLEMEREEESRRLKLQKITEEAEQRRLASEYERRKNQRILREIEERELEEAKALLQEAEKRSKKKGKKPIIDGEKITKQTLMELALSEQLRERQEMEKKLQKLAKTMDYLERAKREEAAPLIEIAFQRRLREIELSRLRHTGDLEEKRRLSEKLGNKKIFQERVVNRREAEFNKLKKERLEQISQIIRSRKSERETRRKMIYYLKLEEDRMKKLREEEEARQREEAERRKEEEAKRKAKLDEIAAKQRQRELELEEKEKRWKEEVLQMRPTIVPANSAHSDQPRTLDSAPSQGGKYIPKHRRAQAESAPPPQDQRRGGGNRMDDRPDKWREDRRPVSGMVGGPRSSFLSSRSRGER >KZM97112 pep chromosome:ASM162521v1:4:10919599:10930679:-1 gene:DCAR_015526 transcript:KZM97112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFNRSGGLKKSNDSVRLIITTIMGMVFGYFIGISFPSVSLSRINLPSSLMSFHEDILKPSDRSFPENLGSGNTPKIPKIYVPTNPRGAETLPPGIVVAETDYMLRRLWGDPTEFSEDFQILLFHYDGRTSEWDQFEWSKRAVHISIRKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVEHFNGDKFIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKNTEERPGWCSDPHLPPCAAFVEIMAPVFSRQAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQGVSEDGKAPWEGVKQRCRSEWALFQDRLANADQAYFMQKKGQLKMEKMMGFQIGVIGALFLSVASSVSIVVCNKALMSNLGFPFATTLTSWHLMVTYCTLHVARRLNFFETKSVDIKTVIIFGVLNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKKFSKKIKLSLFVLLVGVGVASVTDLQLNLVGTILSLIAIATTCVGQIKRLNVSSTQLLYQSSPFQAAVLFVTGPLLDQYLTKQNVYAFSYSPLVLGFIALSCMIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTARNIVGILIAVLGMGLYSYFCTSENKKKQSGDLSTPQVKARDNDTPLLGTPGQRS >KZM99512 pep chromosome:ASM162521v1:4:32666255:32669359:-1 gene:DCAR_013126 transcript:KZM99512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKNHLKAYSHGFPDLDTESFDLKNVQPQQFDFFLVLDLEGSVSILEFPVLMIDAKTLKHVDFFHRFVRPTDIEMGEERKKEYVEQHYGQFGMSRVWHETAIPFKKVIQQFEDWLSTHQVWANKSGGHLNKGAFITCGNWDIKTKIPEQYKVAGMQLPPYFMEWINLKDVYLNFYKKKATGMVPMMKLLQMPLVGNHHLGIDDTTNIARVLQHLIIDGSVLQITGRRNSDGNVDFLFKNRIQNNYRQFSKRPRTMN >KZM99586 pep chromosome:ASM162521v1:4:33215639:33216463:-1 gene:DCAR_013052 transcript:KZM99586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSNGGGANAAGACASCRHQRKKCTEKCTLAPFFPVDKNREFQAVHKVFGVSNVTKILKRLNLDDRKRAADSLVWEAFSRQKDPILGPFGEYRRVIEELNWYKNEYQAYKSSLTAPGGLINGLNETVMSNAGGMINYDANKYNIMNSKGTVVSGLYPSFNYYSCVPNNKLSEESDNSCVIMPHQPVINGSSINQHSYQLPGQYGAIDAKELEWNGNS >KZM98841 pep chromosome:ASM162521v1:4:27306691:27311636:1 gene:DCAR_013797 transcript:KZM98841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRKREAVDEKYTKPQGLYQHKDVDQKKLRKLILDSKLAPCYPGDDDHNAFDLEECPICFLYYPSLNRSRCCSKGICTECFLQMKTPNSTRPTQCPFCKTSNYAVEYRGMKTKEEKGIEQIEEQRVIEANIRMRQQELKDEEERMQKRQLVNSSSRSRSGEIEYSSIADSSSFVEGEGTNSPQYLSAMPSMRQPLPPRQNREDEFDLDLEDIMIMEAIWLSIQGNDRHGNPTSGDTAPLEQFISEDQCVAAPVETATSSSSPSGGLACAIAALAERQQGSEDSSGNYNENTSTFNTVPVCSSFSNINEPVHHQYFASSSIEVAPIIREEWGTEVGPSYAGHDAAEDMVENNNSFPEQDENGSNSQTSAAAVVPESFEEQMMLAMAVSLAEARACTSSPSVTWQY >KZM97557 pep chromosome:ASM162521v1:4:15799379:15801221:-1 gene:DCAR_015081 transcript:KZM97557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAREKAINDWLPITSARNAKWWYSAFHNVTAMVGAGVLSLPYAMAELGWGPGVAVLVISWVITLYTLWQMVQMHEMIPGKRFDRYHELGQQAFGEKLGLYIVVPQQLVVEVSVNIIYMVTGGKSLKKFHDTVCDDCKKIKLTYFIMIFASVHFVLSHLPNFNSISWVSLVAAIMSLSYSTIAWAASVDKGVQPDVDYGYKAKSTAGKVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALIGFYIFGNAVTDNILIDLEKPKWLIALANMFVVFHVIGSYQIYAMPVFDMIETLLVKKLHFRPTSALRFITRNIYVAFTMFIAICFPFFGGLLSFFGGFAFAPTTYFLPCVMWLAIYKPRRFSLSWFTNWICIFIGICLMVLAPIGGLRQIILQAKTYEFFS >KZM99019 pep chromosome:ASM162521v1:4:28774082:28774912:1 gene:DCAR_013619 transcript:KZM99019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATYTYTYSKRSSNKTFSWEVLNLIAMKCDAKTLATASCVSKLWASSMSSDHLWKSVCTTHFPSLSNLHPSISYRRIYALGLASAKRRRQPPPEPRLSIDDLLFAVTIPPGKSRDGSITILKPFSELENHPEGRFRFDVSLNDVVSEKLMVDEEDDGDMKISSSIVMRGYESVFRVLDRKGKTSRREWWFTEELPSAGCCFCNGASGLLAEITLVFGETVVVVTGSGTEKKERKKKVVEKVRIGVMNVVSTWRYATVDDALRYLQHFLLPKPAAV >KZM97155 pep chromosome:ASM162521v1:4:11590223:11595245:1 gene:DCAR_015483 transcript:KZM97155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSAQSFIPETFQGTTVDLASQFGFLWELIKTPLIVPLLKLAVVICLTMELMLFAERLYMGIVIILVKIFLKKPEKRYNWEPMSDDLEAGSSAFPLVLIQIPMFNEREVYKISIGAACNLSWPADRLVIQVLDDSTDPIIKEMVEKECKRWAAKGLNITYQIRETRGGYKAGALKEGLKRDYVKECEYVAIFDADFRPEPDFLRRSIPFLMHNSKIALVQARWRFVNSDECLMTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTGGIWRIAAINEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGDLHVKSELPSTFKAFRYQQHRSIHLLFYWILFENVMSFHRTKATFIGLFEAKRANEWVVTEKLGDGHKNKNNKSAKKFNFNIGDRIHVTELGFAVFLFFCGCYDYLYGKNNYFVYMFLQTITFSIVGFGYIGTIVPS >KZM98670 pep chromosome:ASM162521v1:4:25911755:25918644:1 gene:DCAR_013968 transcript:KZM98670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFTNFLLELFASSLVQLGHGVTLFILLLQRRSVLKLTTGVESAATFNPTRVSRISWQPRVFSYKKFLTDEECEHLINLAKVGSLEKSMVVNDETGEGEASDVRTSSGMFLDTAHDEVVASIERRIAAWTFLPTGSMAYENGEQMQILHYEHGQKYEPHADFFVDDFNVRAGGNRVATVLMYLSNVDKGGETIFPASEVADEDVDDDDYVASDIHCRPNEVVPSISTKSLRTVIGVEDLTESQKWNLLIGQALNDQTGFSSNTLKLKPMDSRNYEQEVSTTCGASTPLTGQQNTQYIYRDQGNRYMLIASKKMVGVFVSVWIKTKVVESYDISVRVSSVACGIMGYLGNKGSVSVSMTIEGTTFCFTAAHLASGEKNGDEGRRNHQVSEIFRRTTFTRLAHKVNEHHPLTILGHDCLTQLDELKQLLMPAGVTEFYGMAKG >KZM99279 pep chromosome:ASM162521v1:4:30776185:30780966:-1 gene:DCAR_013359 transcript:KZM99279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIEQSKSEFTSSPTSANSLCDHTSKLPLPFYVTYMNSLPSKLVLHGPSNDLWPCTFDKGDHRLHGLEEWMDHYKVNPYNVVRLHYLDGPDFGFEIYNQFAVEMNYPAVTSVPASKRSVYEVDKLRSKYLFNGFRNCVGKYNLSIDHSHFVEESYPKFLVNEASEKLGLAKFVPTIILGFEDYEWTISLKWANNFVQFGSEWVNFIKDAAVSVGDVLVLQETKYKRFFKVAVFDASIVSELEQSAVVTGTNPAPRFFKGFTKASLKSGELEIPYVFRRKFGQTLGNVVKLYFGGNHTVEVGYSSSTGCVNGLLKLIKRFDLMENYILLFTYNGNSAFSVMVYDFQCMNHLRDVDGYCTIADYDSIEVESGLDDGMDEDAPGNEIIVISDSSTDSSDSDDSSDSDDSSVSDVHDYDVEANFAFDVVLKRSHVDQRGHGVYIPPPFWTETRQWQRRTNVALVVGNVAWEVEILRFGNQARFSGGWNAFVSGNHLSAGSTLEFTYVGELRSMECSGILADKFFVRLDYNDTLSNELTIPSSFCSKYSSLLTDSMELKVRNGYVQPIHVDMEKCLIKGVFPFFKNMELNGGELLLFEYFGRYNFHIYIIGSNGSEIRYPLQANLPGIVTIGDAGWRFVIARPNGDAVFDEIHPPVAFVERCGFALPMQIQYLLSNGKNFVGTYDSTNSRFTGLSYMFEMLGSAFMNGVHSFLFTYDGMSRILISSFDSELNELVLPGTPLSEDANSCGPFVGKCFHVKVESKHMFDDCYVVEILDDFTGLSQVWQNFQCINIYSGSCRWRLLTRNRDGRNYCAIVDGWQRLRDDLGLEIGDICLFQCPIQCYDQFSVRVLKFDG >KZM97865 pep chromosome:ASM162521v1:4:18756785:18757210:1 gene:DCAR_014773 transcript:KZM97865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFGRCCISMMLVVLVLTSCSVLNVSAKVALYTNESLRAGDQLSNRGFTLAMQADCNLVLYDNSNAIWSTGTAGKGRDCYATMQGDGNLVIYGAQGVVWSSNTVRGLDKYELIVQEDRNVVIYKGAARKSIWDTKTYFHA >KZM98166 pep chromosome:ASM162521v1:4:21638878:21639471:1 gene:DCAR_014472 transcript:KZM98166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTVDLRFLDEGFGGKTAKRKRAEQEHNNHLLHLSQQNLNEDVSMEIDLTEPPPAAKRQAVPSSDDPNKPVFGNPTYDGVIAGKVSGRRWKQVRTHRSSATKVSHKGTTFEQRAKQKEIKKAYMEKKNELKEEIRKHKAEKRKHKEEKDKKKQENILRTGSKLQIISNPKTLKKIQKSKQRKLLKVVPDHLVKKSN >KZM97840 pep chromosome:ASM162521v1:4:18257104:18266037:1 gene:DCAR_014798 transcript:KZM97840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLGTLLNPSSTSSIPDIPCPNFDVKPGPTDHCEPTTSPKPDSVITLQTDGNSSSGDWEQRLRFPVHSPKKMRVLKRCRNKNKEKASRGEEEKASGEVSCVVPFLGTGKLAECRICQKLVQPGTEFLCTVRGCEATYHLLCAEQRLGVSSSRKFKCRQHACYLCKQKFQLLRCVRCELAWHAKCAAFPEHVVHLHDQPGQAICWKHPTDWHEENKEVFCRLPLPYVPEEFDIDRTWKDTIESEMAPPPYVHIKRSIYLVKKKVDADDADIGCTNCTSSDCSENCVCRVQCISCSKSCRCSETCNNRPFRKGKKIEVVKTENCGWGVKAAESINKGDFVIEYVGEVIDDAMCEQRFWDMKHMGTQNFYVCEVQRNFTIDATFKGNSSRFLNHSCDPNCNLEKWQLDGETRIGVFAARPIQAGEPLTYDYRFIQFGAEVKCQCGAPNCRGYLGAKRKTSKVEEQLRDWGSKGKRTSTACFAFIES >KZM98829 pep chromosome:ASM162521v1:4:27212177:27213210:1 gene:DCAR_013809 transcript:KZM98829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIKSSNIVANSPAGMGMQASRQDEERKNNNIVETLLRLLPMALCLSALVLMLKNSQSNDFGSVSYSDLAAFRYLVHANGVCAAYSLLSAIVSAVPRPSTMPRAWTFFLLDQMFTYIVLGAGAISTEVIYLAYKGNAATTWSSACESFGIFCHKATAALILTFGVVVCYALLSLMSSYRLFSKYDAPVAYSNKGIEITPAFRA >KZM99271 pep chromosome:ASM162521v1:4:30687977:30690663:1 gene:DCAR_013367 transcript:KZM99271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHPDHKHKHLRELLREDQEPFLLHKHIADKRCQLKTTSLQLRKHNLFINQTSSSSKHVSFLCRKACFLSFTDSPDFKKSPFPSPAKSPCARFLHIPAKTAAMLLEAAMKIQSTKPKAQAQHIGFNLFGSFFKRLRNKNKARKREICVNDASTPSVEANAVVSSSKNIEEVSEDTRRVSSAGWSESNEGKSLDMESCSTTSRSDCSSPTSSPFHFALQRTLTPDILSPVTSPVSHKKDNNHEEESLQKIPVGEEERDQCSPVSVLDPPFEDDDELNREEEDEEEDSDFDVESSYAIVQRAKLQLLHKLRRFERLAELDPVELEKRMLEDVEEADDDDNEEEFDYVNDLGSSLDIQENLDEVVREVLSRSNQYNQNKISSDVRRLLLDLIAEERKSEPDNTSEALVSSVCKRLNAWKEVESNTIDMMVELDFRKELDGWTRYEEEVRDRARDIELAIFELLVEELVSY >KZM97904 pep chromosome:ASM162521v1:4:19295437:19309533:1 gene:DCAR_014734 transcript:KZM97904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKELVAWVPLTPQKVCLESISGVKNCKDPNFTESVDVNSDFCDGKVVSSNGVEITLGVEKDESRCTEKEGQRVTELEDSERNLYCAGKLMEHMDSPSVSTPGLGEKQNTRPRHNDDESRHTDKKENQVGKFEDEERKLHCAENLPRDIDSPSVLTPCPAEKQDSRKRNNDGIDLNKKPKQRPKVKKHRPKIAVDRWMPRKIPKSQTPRNSTPKDNRPSTTKNVNLRGKRYLGKLTNRKGFTSTSEDVRGDANSETSAGVAILCKRSLKFECEAVDKCDCVVESCSRPHQDQFHLRSVEGLQMSTDLDMCSDFNVQSKERGENCSSRFLNQYQRRMRGVDKPSSEANTGINIGTKECTNLSFSTDESQLMFSNPHELEKQSVFHHNNLHDNSKAGCLQFYRRTFRVNQCRQNSRKSGPNFPKIFKKSRTMRLKATTFLTMWSIVDGASAGAKRKGAHGRCKQITRNFCSQINWKVMRKGVRSIRKSKYQRPLSVRHEFQIEKPLLNMILPTKEQTQAALADPESFKCVLGLSPIVKSRGKRSKGSTRQIIRQPLVASYNFEQVVVNTHEEIVGASYGRKVYEPQTLQEPQHVESENHQFWRKNLSEFCNEDSIACQTDMDGRHPMKDALTQILEEVQLMKYENHLNCSLNTESIFLDNIVVHENRKLQPDDSHLDCTLNAPCSFLSDVEAQGSERLQPDENHIGSNYAFVRGLMDIIVRLKYLNIYDECHELVAQDPKFQGGTLVEIVPPKKRKVRPKLALDSETLRMFKLLMDNDRCEYSEDTDRDKNEWWAKEREVFRGRANSLIARMHLMQGDRRFSKWKGSVVDSIVGVFLTQNVGDVTSSSVFIHLAAKYPVKPRNKEIFEDVGSIFDKETVGSYSRGADAQVVCDKCTDVKDDGGIKRVDCEQPCSYAFPAKDLKAFDMERNNKLTGETFNFRKSLELEKLCFLQQFYGAEKKLSSYNVNMELKPSTAERSMQTSVSTALFDLNADPLGISREEAQNKSESNETLELSGSQIRSHQVVNNKCTDVKNDGNIEGVECEQPCLPAFPVQDLEALVLDMDLERNKNFDTGETSSFRKSLELEELGFLQQFYGAENNLLPYNVNMELKPSAAKRSMQASVSTATLDANVNPLRIPREEAQNKSESIETLELSGSQITSHQNVSKKSTPKHYSGKGEKKKKAGTDWEELRKAYSNNNERGKDDDSMDAVDWEAVRKAPHKEVSDVLVGRGMNNVIAARIKDFLERVVKDHGKIDLEWLRDIPPDTAKDFLLSIEGLGLKSVECVRLLTLHHRAFPVDTNVARVAVRLGWVPLAPLPEELKLHLLESYPLLDKIQMYLFPRLCTLDQKTLYELHYQLITFGKVFCTKKKPNCKACPLKGECKHYASSIASSRLALPWFKDKNVVASDQNRSMFITPLPESLFEVKISDEADPNLSKINILDSVYQTQNCEPIIEVPESPKPESVEPEELRDIEDYFTDDEIPIIRLNEQEQKKKLQNIIETEYMFQEGDIADASTALTKEVASVHPQKYKLTGRLKTVHQVLELPDFHPLLEKFEERVNGDPCPYLLAIWTTTDVTLKSSQHHSSSGGSSREPHEPGMTNEIAIYPSIRSNEGQTIKGTILIPCRTANRGKFPLNGTYFQVNEVFADFESSERPIDIPKEWICNLPRRSLHCGGTASAIFRGSVMEEIQYCFWRATSITLYNKCSHPVWPGIQPGAGQPILARGGFKLLPKKSYTLQLPPAWSGRLWGRHGCSFNRQGHGKCATGDCGGALFCNGMGGTPPATLAEITLGSEQDFYDVSLVDGYNLAISITPIKGSGKCTYAGCVSDLNMMCPVGLQVRSHDNRQVVACRSACAAFNSPRYCCTGTYGSPQSCKPTAYSRIFKNACPRAYSYAYDDPTSIATCTGGSYFLTFCPHH >KZM98030 pep chromosome:ASM162521v1:4:20513265:20518358:1 gene:DCAR_014608 transcript:KZM98030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEMQDFVEFDPDYEFDAARFYDFTRLESFSEAEEAQLWFRFAPSYPPSPFNVKVNWSEDCAVAEVVDCMSSDGGDTSEGGSTREIEDGGSEYYTEIDPDIEKLKSKPLSKSSKLKTSTFMKPTVSHLAKQSKERSQKFVTKAYERDTLIQPGFECEATKRQKLESGYQRKVAQLKHHAPLSHKSSKKVGSVETSSINHRSKVTIPREPDLETAQRAKNRRFRPKNASEGCQQGDQLPYTFKARPLNRKILEAPSLALPKRSKPKSPVFQMFQLKTMERAMQHSSASVLSAHDLNSVISSESTKDNGPLSESAFEQNHEALDRFKAGFSNKKFPSKRHTRVVWNNKNVPNIPTDLKEPAEKQPSEIPPIELFNKLSLKSECGTSIYKSKSDQVTKRSKENKPGSIQHEFKRILGKVNNCEGTRMNPDITYQHHMNRSLDIR >KZM99710 pep chromosome:ASM162521v1:4:34183281:34184733:-1 gene:DCAR_012928 transcript:KZM99710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSVFLISTEQQQPTETEKHISKLEMNNTIMLMGRRIRAMAAVQNKPQYLFSTSFCRSFSSSSSPPPTNKLFVAGLSWSVDEKSLKDAFSSFGEVTEDTGRSRGFGFVYFSNGDEAGSAKDAMDGKDDL >KZM97108 pep chromosome:ASM162521v1:4:10895980:10897386:-1 gene:DCAR_015530 transcript:KZM97108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSVASSTTTTSESRSYMSNLSHDRSSLGSSSSLPSAGTSCTSASLSLSNRLSWAASSRASHGRSFSDNSSSKTLSASLLSNDSYATARKLSAMTDRPRHSKTHSISFPPASHHQSISQVRPPVKSETPTTYQHTNLYQSRSQIRPPVRSEHAYNQTITNLSHYGAMAPFHMQSPPAQSVESLWASLDSQSSLGAPLPVQTTQPSPPDFAYHGIPTNTNYFLPPVNFDLMSLIQSAVTSVHANNYDQTFTNLSPHGAMGPFRMQSPLEHSEESLWASLDSQSSLGASPPMQTTQPSPHDFAYHGIQGPLLVETHDAEDNDAEDMQSSPKSSSEIQPQREEDMQRLGEELLLSLTSPTEIQGQSSAAAPAPAENNQRPLFKLKKYFRNVFEF >KZM99399 pep chromosome:ASM162521v1:4:31801800:31805224:-1 gene:DCAR_013239 transcript:KZM99399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSTNFKNMIDNNQTARLDPQISDGLCKAGMPEEAVQLLVDMFKDGLSPDVITYSALVNGFCKAMKVCNVKEIICKMYRTGVEHNSVIYSTLIYNSCKKNNLHEAMKVYAAMSHSDHQVDLFTCNTLVSCLSRVGKPEEAVDFMHHMRNIHQFPSAVTYDCIINGFANEGKALEAISLFDEMVKSGHHPSLYTYGALLKGLCRGGNFEEAMEFFSKIQHIPGVVDIFAYNTLMAETCRFGNLRVALMLMDEMVQNDVLPDSYTYTSVLGGLCRKGRVVTAICMFEELIRKRTLFPNQVMYTCLIDGLFKAGLSKAAAYYLEQMLEKGLFPDTNALNAMIDGYSRIGNMTNMQALFSMMKGGDLYPNIITYNIILHGYSQQRDLSRCFEVYKILVKSGFVPDNLTNHSLLNGLCKSGMLDIAVKTLKKMITEGALVDKRTFNMLIAECCEKHCMMKAFDLLNIMKLIGEFPDEDTYASLILGLNKKQDYCSSHKILHEMLNKGFTPTEKQYVSLITGMCRVGDCQRAFKLKDEMEALGVGSREIAGSAIVRGLVQRGKMEEAAFVLDCMLRGKIVPTIATFTSLMHIFCKKHKFNEALNLKDVMELHGVKLDVVAYNVMITGLCAVGEADHAFELYEEMKQKGLCPNTTSFYVLVKAVSEDKFSLKGEMLLIDMRERGLLSEDNITQGLQEGLVVAMEKLESLRHRRRVPSAKSR >KZM99658 pep chromosome:ASM162521v1:4:33804853:33805820:-1 gene:DCAR_012980 transcript:KZM99658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPPVPDAMKGRWTPGEDFMLASYVHEHGASNWNLVPRNTGLERSGISCRLRWMNHLNPDIKRGKFTHHEEQIIIHYYARFGPHGWVNIAAQLPGRTAYGVKNYWHNHLKKKVDIVNGHVDEPIENPIENPVVAPVYQAPPFPAPSFAREREDFDYPFIARAPSFGPYLPTAQNPSFAREHEGSAYQPSIFTPLDHD >KZM97191 pep chromosome:ASM162521v1:4:12078488:12078823:1 gene:DCAR_015447 transcript:KZM97191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKRSLEQGSSAGTEMTLPNPKVRIRHAILEQRGSNGIVRTTYFRMENGVNKILRIKYSSDEKKQDSSPKSGKDSHFLKISDDAEETLLNEIVSSGEGSGSRVEPGPDSN >KZM99623 pep chromosome:ASM162521v1:4:33526054:33526479:1 gene:DCAR_013015 transcript:KZM99623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRRTWAFLFVSVYSLLLSSSWNLLKSVLSWYDSSASNSGNAFGWPALYASVALGAIFGLLAMAAALAVAVPATLMTWITVLVLLTFCGKPRKALVVEGKKLTGEISRLVLRVLIREGKFVAAVCAVLGYFALVRNIKES >KZM97271 pep chromosome:ASM162521v1:4:12972713:12976054:1 gene:DCAR_015367 transcript:KZM97271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASGILEKERALTHSGDLIDCLRNESDSILWKQCLEQFKLLESKSDADFEFSESSVQEYQEKIDSCKQKTDAAKFEVVADSEFEMLQKELAEELWRESFLGDELRVITADIDDLENQRVSVEERRQSWRKLDKHYFRAQMKLSMYASVTNVIPKLNEPSTISGYIVEREKKIVENFEFDPVKMTPYGTCTSIWKMINL >KZM99589 pep chromosome:ASM162521v1:4:33237309:33258279:1 gene:DCAR_013049 transcript:KZM99589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPNVEMEAAKFLHKLIQESKDEPTKLATKLHVILQHMRSSGKENSMPYQVISRAMETVINQHGLDIDALTSSRSPLTTGTQVVDSASSQFAGSSLRGAVAMEANTSLVSGDLTKTDPYASNRPPVGPSFTGHDIYQGSASHLNSKSLDHESPSSFDTRSVKSHSEERHDSPKWEKAKKKDNKKGGSKRKKGDPSSNSENQFGNIQPLESSTFGSGMDKAATKADQPRNISIQGGEHGQFNNMVQSSSMMEHNTMRSALRGKQEIQHQGEKSVDSTNISNSLSRTPHSRHPEEIEVSSAHNALSRQQGVSLPAANDVLNTRGTWNQTKTNTAFEKSQVPRFSFNASSGNLSAETLLNQSAGPAGKAYGMATSTSGAYSTTVPGLPGSVQFSNTYDNPSLGPKMNKDRNMEPFSSASSLDVPSDKGTFGNALEYEGGNLNTSGNDSSLVQGGMLNNVTEMGVLRSTGKLPISQSPSAPIMPFKEQHLKQLRAQCLVYLAFRNGLKPKKLHLDFALGNFFPKEEGPSKDMVDHKGKEQLFDGTSKSSDVPTPFGRQDNSRESENMRLDPSSIGLLSDVKLTKGEYMNVAGEKSGMPSGFTEYGEENRTVMMARRKPDSEVQTGELVQSQLSAMGVHPDGFGSRSSPRNNHKDDLDNRHQQVRSIDQASSVMGMGQQLQMEMTGQSGNSCDEDASNLSLQSLAALNESVPERKDNAPNQPYSLADRNFQGNRAADAYLPSFPPSAHWKPLSRTDGGNLMVSPDDSKISHKSYSASVGSIRVPADNALLNGNPFLLGDTHEEEDASMATDLPSSPKYTTTEKMIIDQQKRKLLTEKTWALKQQRTQERIAACSDKLKDNVSSSEDISAKTRSVIELKKLQLLELQRRLRKDIVNDFFRPIASDMDRLKSIKKHRIGRRSKQLEKYEQKMKEERQKRFRERQKEFFSEIEIHKERLEDVFKMRRERWKGFNRSVREFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLRDAKALTCEMDDTRGAGVIDKNEMITDNEDETDQAKHYLESNEKYYLMAHSIKENVAEQPSCLVGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMENKNDLGPFLVVVPSSVLPGWDSEINFWAPSINKIVYSGPPEERRRERIVPQKFNVLLTTYEYLMNKNDRPKLSKIHWHYVIIDEGHRIKNASCKLNADLKLYHSSHRLLLTGTPLQALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRVEDNLGALGTSKARSVHNSVMELRNICNHPYLSQLHSEEVHDYIPKHYLPNIVRLCGKLEMLDRILPKLKATDHRVLLFSTMTRLLDVMEDYLYWKQYKYLRLDGHTCGGDRGALIDQFNKPDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRESKKEEAAPVLHDDAVNDLIARSEAEIDIFESIDKRRQEEEMAAWKVALGGSANSSELSHTLPSRLVAAEELKAFSEAMKIYDAPTSVTVSNVGGIKRKSGYLGGLDTQHYGRGKRAREVRSYEEQWTEDEFEKLCQAESPHSPKMKDEVKEMNLPMIKSEDSYVDVKAEKMVPSEQPMQPPLEQPAQPTATQLAQPSHVQSPMKTTEPRLVHLAQSLAAQSAKPHQQAAQLPVVQATYPSVSQAGQTPIMQQTQRSKETTPKRGRGRPKRVTTQISPTQTSPHVSSLSGAGNMDMGSQTGPVSNSFVEAGLDHATRSTAAGNSSGTVNQSGGIDTSQATLPSASPSSQPTLVPCPVPVPDKRRGRKGQSGVETPQRRGRKTATAGSSTNPVLSPSLGSDTSQMASQREIVSSLPAISVPAGPPVKDVDKETSSVSLIPVILPSENIMPQDVQVSSSCILPNPSVPAEASVKADELHAGTFNPEQNVPCCPLPPNSQAASVATDISSQQDPRLSSVTSAPQSVSPSQVVPKQVKGRGNKGQSESETTRRRGRKPSTASTAVPGGSGGHEQTSNEPPQKKSRISSGKTAIASRRKQQNETQNLVNVVLAEASEVHATDSVDAPGLSGVTGGPYQSVENNQAASSVALEVAVRCPSKESGDVKVSQLDVPISGDDTLLTKMDASQSSMEPVGIDSCKAPITNVAPTIEVTSDTQSSELQSSRSESVQLTQPTFQALETAPVSDLRINEESGAKVEEITNASLGATQGDTSNIISETQNLESKIVSAVSNMETCIATLDSGDTSNLASSTLETNQTSDAAKVGSPVEKECCGKLEEVPGVDTSESPKINSYVQDIAETDKFTQESLEGKDAIHHVSEKCGDAEDCMVHSTREAVRSVEDNVRVDDAVVENRESINSYLVSTTTDDAMAETKETINSYQVSAPLDGRGHDSVASSGADRNFEIIADAVNDAVGDTECSAGLVMEKFILAEDVRMSDPCGLTVCAGEEPERIEAVVAPEYNTETYAAKEKQEAEVQSDVKDLSEPKIEQGDKVAQADEEATLEIGQSLVEPSVQQCQTQMEKEFEASEKVELSGEQLQTRAGEDLNSRRKQQSETQNLVNAVQAEDSEVHKFDNVVGPGLSDVTGGPNQCIGNNQAASSVALEVAVSSPSEESADVKVSQLDVPFSGDDKLLTEMKASQSSVQPVGLKLCESPIKIVAPAIEVTSDAKSSEYQASSSKSIHLTQPTFQALETTPLANVESFDMQTREESSGKVKDITNASLEAPEGDTSTDISVIQTLESGKVSALCDMETSIATEGSNDTSNLASSNFETDQTSDAAKVGSPVEKICAGKLEEVPEVDVFVQDIADTNKSTEESLRSKDEIQHVSEKSVDTKDCLVHITKEAVSYVEGNIRADDAVVETRELINIDQVNATRDNATVESKDSRNSCQVSAALDGRGDDLVGSSAPDKNIETIAGAINVVVGNADCSAGLVTEKFILAMDVEMSDPCLTGCAGEEERTDEPVVAPEYNTEINAGKEKQEAEIQSEAKDLSEPKNEQFDKEAQGDVVDTVEIGQSFEEPFVQQCQTQMEKEFEASETVEISGEQLQTQAGENLNSRRQQQNETQNLVNSVQAEASEVHIADNVAGPGLSDVTVGPYQSVGNNQAASSLALEVASRSPSKESADVKVSQLDVQFSGDDKLLTKIKASHSSVEPVGMELCKSPAKNVALTIEVTSETRSLEYHSSESESVQLTQPTFQALETSPPDGIFDLQNEEIGGKVMLITNASLEATQGEMLAEISENQNLESVKVSAVCNMESSIAAQGSGDASNLASSTWETGKTSDAAIVESPFENKCGGMLEEVPEVNIAESLKIDVFVQDITETNKFTEESLIGEPHRSPEEEGALKGCTEDTKKDELVHELEVSRVDRSESPKIDAFVQEVGDASKTTEESLVGEPHRSPEEEGALKGGTEDTKKDELVHELEVSRVDRSESLKIDAFVQEVGDTSKTTEESLGSEPNRSPEVTMKDEPMQEMGSKVQSSTEGGEGREDSSV >KZM97366 pep chromosome:ASM162521v1:4:13918930:13923386:1 gene:DCAR_015272 transcript:KZM97366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERNSEGEKENHNEVEKIQLIDFSSEDDILVDYPFRDSLEDLRLSVTFKDLSEHNISESLKMANQREVENAGNSLHQREEMLSTPEFLEPRRPSYLRKSIAWDNAFFSSDGVLDPEELSAMNNGFKGLETSFFPGIPEDLKMRRSVDSDLTFESLESDAFSLESDAFSLESFDGNLFEDVRASIHRSCGPLKLTSASCDSIIGKAASSDVPDSKKFHVSTQNKTLKHKGEYNLTPKISGAQTTITRQPKRVSLGYGCTGNKRTGNGQGLMVHKKSGSLDSPNSINFSTPLTKSSSTVSLSTTKSSSVAGSSCNELSSATSSKSILSSGTRNIGSSSSKIGSSGSISKPPLRYSGRSRSRLSKETSSIHLLSVSHNSNKSPASSIDGWSSESSSSTCSGTAKRRSSMLEDKLRRDTDQASALNQLTRRRMSADQHMKGALKETASVPIEPPNSLKSSGLRMPSPRIGFFDVDKSGTIETMHFRFRSENTSSDLNVDAVKKRPSKFQPVRCVPGRENNISTLAPGRTMHSKHPDNALSKSTIMKNSLGLALKLRAVTTFEVGNAYCSKTRKVGKGEDERQKIMLTSSLKTEEKRNKGILKNKMGKERKDQKARNSILPEPREPVKKDHDAAPARKLDSPCESKENFEEQVNGLSRCLEAIDLGGNAVKLERIESADGQRFPGGQQQESPGVARIPLADKIIASHRPSPLQISEESN >KZM97785 pep chromosome:ASM162521v1:4:17794504:17797891:-1 gene:DCAR_014853 transcript:KZM97785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVWSDGRLQILIHAILSAWLLIRRVESEPSHQDKQALLAFISQVPHADRLEWNESDSPCNWVGVYCDPTKSYVAELHLPGIGLLGSIPANTLGNLTQLRVISIRSNGLSGTLPSDFSNLKNLRSLYVQKNKLSGEFPPSLPHLTGLTRLDLSTNSFTGEIPPSINNLTRLTGLFMANNKFSGAIPSLKLENLVDLNVSNNKFNGPIPTTLQKYPQSAFSGNIDLCGGPLTKCKPNISAPSDFASSTHDSPESEIKPKKMSIGLIIAIAGGSAVVVILLFILVSIICMMKRKHDSDEATERREAASARLSAEAVGTSGDGGSKRNKLIFFDNDGAVRKFELDELLRASAEMLGKGSYGASYKAEIDDETVVAVKRLKDATVSEKDFEEQVEVLGTISHENVVPLRGFYYAQNERLLVYDYMPAGSCSMLLHGSRGSGNEPPNWETRVRIATGAARGLAHLHSFGKIVHGNLKSSNILLTSDKDPEATIIDYGLSTFFSNDSPHPDHHRAGGYRAPEVLETRKATLKSDVYSFGVLLLELITGKSPCQQTSTGEEGIDLPRWVQSVVKEDWASEVFDIELMKYEDVEEEMAKLLQIAMTCVATVPNQRPAMLDVVRLIEDTVGSGDPPITIIP >KZM99228 pep chromosome:ASM162521v1:4:30393222:30398977:1 gene:DCAR_013410 transcript:KZM99228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTQRSIGGGGSTQSPRSPQSSQPYLSVSVTEPAKMGNGVQAYISYKVITKTNFPEYQGAEKIVIRRYSDFVWLRDRLFEKFKGIFIPPLPEKSTVEKFRFSAEFIEMRRQALDIFVNRIASHHELQQSEDLRIFLQADEQTMERARTQETGIFKKPSDFMQIFKDVQSKVSDVVLGKEKPVEESNPEYEKLKNYIFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGDCEGEALGKAFSELGAKSEILAIKLQKEAHHLLMNFEEPLKDYVRAVQSIKGTMVERANAFRQQCELAETVKLKEIDLNKLRLMRSEKLLDAEHDYEELKTECEEATRKFENIVRLMSEEIVRFQEQKTIDMGLAFHEFAKGQAHLANSIADAWRSLLPKLEACSSS >KZM99130 pep chromosome:ASM162521v1:4:29647724:29648705:1 gene:DCAR_013508 transcript:KZM99130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITRPPWLLSSPLLFLAATFSSSRVTLSSCPPLNYLLSSLSTQNPVRQSHRTASYRYSSYGEDEEDDALSCSFDEAVLLFNERDYYKCHDVLESLWNKSQDPVRTLVHGILQCAVGFHHLFNQNHKGAMMELGEGVCKLRKMNLDSGPFHEFEQEISAVLEFIYQTQLEFAACIEDYCLAMDQSEQSYKLLGGYGARQRMYSLDLETDNKVAFIVFCPEGVESYSAVASSDRPRIKLPNLLATQQQLMDLDYS >KZM99147 pep chromosome:ASM162521v1:4:29774951:29776363:-1 gene:DCAR_013491 transcript:KZM99147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFFKTFNNVTTLWSSKPLVIPEPSYPVRFAYLISASKGDVVKLKRTVLALYHPGNYYLIHMDSEASEEEHSEIAEFVPSYDVFEQMENVWVVDKPNLVTYRGPTMLSATLHAMSILLRKASEWDWFINLSASDYPLVTQDDLILAFSDLPRDLNFVQHSSNLGWKVNKRANPVMIDPGLYSLNKSEIMWADKQRPVPTAFKLYTEGEVWPVEARNRRQEAQKFAEENSVY >KZM98397 pep chromosome:ASM162521v1:4:23608787:23609709:1 gene:DCAR_014241 transcript:KZM98397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVILMLLCVLPALATARFLHHAKPFVVQGRIYCDTCRAGFETSATTYIPGARVRIECRDRKSLALTYSIEGVTDSTGTYKLTVADDHLDEACDAMLVSSPDPLCSEPNAGRDKSRVILTRSNGMTSDLRFANNMGFLKSEPMTECTQVLQMYKETEDED >KZM97634 pep chromosome:ASM162521v1:4:16407040:16416737:1 gene:DCAR_015004 transcript:KZM97634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAVRESDDQRLKNKYNNAIYVIRRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYYLHKEEKSCASVGLVDHFEFPIRTIYFETPSTFTEINSFTYETASTYGLQMDIIRLDFKSGLEALLKANPIKAVFLGVRIGDPTAVGQEQFSPSSPGWPSFMRVNPILDWSYRSSIIFDRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNDLLCIQDSKDDKRSFKPAYLLPDGRLERAGRAKKFSANPMKSPVISNGDIKTVDIHHNSLHTASVIAVGDEILSGIVEDKVGHSLCRKLCSIGWAVARMSVVRNDIDSVAEEVERCKGKSDMVFVYGGVGPLHSDVTVSGVAKAFGVRTAPDEEFEEYLRHLIGEKCTGDRNEMAQLPEGITELLHHEKLPTPLIKCLNVIILAATNITELDVQWDCLTELTRSSGLLSSIEPFVSKRLAMNISDVKAAQPLSKLPLEFPDIYIRCYRESRNGPLIVCLEGKDQARIEVAAEALSKKFEPGVLDINQQS >KZM97924 pep chromosome:ASM162521v1:4:19481654:19484219:-1 gene:DCAR_014714 transcript:KZM97924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTVFLLFSVLNQHAGIEAEKTNTTLKLKKVDMKDGNYSFEPLVEELTFPLNSVPFQSCHASTIVEIEKDHFLLAYFGGSAEGAPDVVIWLQTYKDGCWHPPIMADKQEDVPMWNPVLFKLPSNEVLLFYKIGQEVQKWSGCMKRSYDGGATWKEREQLPPGILGPIKNKPLLLEDGRLLCGSSVESWNSWGAWVEITADGGRSWTKHGPIYIKNTSLSVIQPVPYQTANGHLRVLMRSFEGIDRVCLSESCDGGQTWSFAKPTELPNPNSGIDGVKLEDGRLVLAYNTISRGVLKVAVSADDGDSWQDVITLEDTLGMEFSYPAVIQASDGLVHITYTYKRTQIKVVVLEF >KZM98001 pep chromosome:ASM162521v1:4:20265715:20267627:-1 gene:DCAR_014637 transcript:KZM98001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTTSLDQGTNRWVDCHYYSSIVGGNPNRILLEQLLEQRRTGKSAAPIRASQPLPPYNEAESSSSPYITMSMPNNHASSSVNLATLTPALGGVAFQSADNVATTVQGLGGAPFGSAGHMNVEYQMSSYEIAVVPQENQYVFNGNVMPMIEQASSMAPELGEFHMDDSLLGFDPDLIQIESENLDLNTGSSVLGANENIGNALAMPNEQACTISML >KZM98739 pep chromosome:ASM162521v1:4:26518952:26527372:1 gene:DCAR_013899 transcript:KZM98739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSLINPELVVNYKYPERLFTYDERDATLYALGVGACATNALDEKELKYVYHEDGQKFIKVLPTFAAIYSVVGLHDKGKAAIMELEVTSYGESSDEPICMNRLTLFLRGAGGFSKSPHPYSYTNYSANQNLAFKHPKSRPFAVHEECTQPSQALVYRLSGDYNPLHSDPKFAGTAGFSRPILHGLCTLGFAVRAIIRCICGGDPDIVKSISGRLLLHVYPGETLITEMWLEGLSVMYQVKVKERNKTVLSGIVNLNRPASTL >KZM98112 pep chromosome:ASM162521v1:4:21188909:21192159:-1 gene:DCAR_014526 transcript:KZM98112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNNILTVHTVSAIVANLWKGGHAGGEIKLGSRLLAKENQSWVSDNGTFAFGFTPAGSGDHRKSFQLAIWFAQLPGDPTVVWSAYLKSPVTKDAVLELDISGNLVLTDGEATAWTSNTSRAGVETAVMSDNGNFILYDAERNISWQSFSHPSDTLLPGQPLTASQELTSLKSPYSYAGGYYALKMLQQTTSLSLALTYNLPESSVSGPDSYSNYSYWEGPEISNVTGEVIAVLDEAGSLGIVYGSSADGAVYVYKNDNDSGGIYSAVNRSNGSPIVPRRLILESNGNIRLYRWDNDVNGSRQWVAEWAAVSNPCDIAGICGNGICNLDRKKTNASCSCLPGTNSDDGNNCYGNPVVTGKCKPRRENSTSEFKIESVQQTNYYFSDSSVIANYSTSDVPTVTKCGDACLADCDCVASVYGLDEEKAYCWILKSLEYGGYEDPGSTMFLKVDINSKSDGDSMNKKTKTVVLPTVLSITVLIFLLCCLLYINIRRKRALRRALQNSLIVSGSPVNFTFRDLQSRTRNFSQLLGTGGFGSVFKGSLADETLIAVKKLDKMLPHGQREFITEVNTIGSMHHMNLVRLCGYCSEGSQRLLVYEFMKNGSLDKWIFFSYKNRERFLDWPTRFNIAVGTALGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKLMGREHSQVVTMVRGTRGYLAPEWVSNRPVTVKADVYSYGMLLLEIIGGRRNFDMTCDAEDFFFPGWAFKEMTNGTPTKVADRRLEGRVDEEELTRALRVAFWCIQDDVSLRPSMGEVVKMLEGADEIILPPIPQTVSELIEEGLDQVYKAMKREFNQFSSFTITSTTHPSSHATCSYSTMSPR >KZM99747 pep chromosome:ASM162521v1:4:34479931:34481568:-1 gene:DCAR_012891 transcript:KZM99747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVCVNDGGFMITRAEAVIVQPEAKTSTNVQELQLTNLDQYFSPVVRTVFCYKRRDDDKKSMEGVGRVIREALAKVLVHFYPLAGELTVSSEGKLVVKCTNRGVPFVEAVADCDLEVLGDITIPDPAVLSRLVYMDPAAENMLQMPLLTAQFKVENGSSPGFKPRQRGIVESGMFRKFCDKVTRFKCGGFVMGMALNHCMADGISAMQFVNAWSEAARGISPTTLPYLDRSALRSRKPPIISHPHDQLLETNCISTQPSQGEVVYKLFEFDQKKLTRLKKTIMQDGTITSCTTFVALTALIWRAKCRAYNTQQQQQAKLLLVVDGRSKLKNPPLPKDYFGNVIVLTCCVCNAGDLMEKPLSHAAQLVQNTINNVDDEFIRSEIDYLEITKEKLDYSTTLLVSSWTRLAFRAMDFGWGEPTQSGCVTLPEKEVAFFFSGAGKAKTGTTVLIGLPVTAMKSFQEFIHV >KZM98503 pep chromosome:ASM162521v1:4:24520373:24522391:1 gene:DCAR_014135 transcript:KZM98503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSKKSVASSPIPLPDPPVQIQQEKKKPQEESFKKEIFIIKHRMSHEIDRDTTGSEEDKNANVTPSVSENGNNVVMSTPLRTSSCTKEEVDAILIQCGRLSRSSTGKAVSSEGNGIQRGRKYSGSKRSFDFDCEGGDRNRGNDIVGDGDGDEEAIADRIHRHRQRHRDGSSSPHGRRRRTLSRERGGGNGGSGERRVSRSPGRRSESPITNSKSVSGNAGGGGGNGGRPGKLVSVPATVSSDKAKNGGGVVEATTNSAAGVKRVQVKRTVASPRARSPARTNVKASSNDVQISLSRSNSRKNEQSPYRRNPLSETDNKSPYRRNPLSEIDNNVNNQKPSVQGNESKIYANRGTKEQQKQQLEEAKGMNGNVAVNLISSGNEGLKPQSLTKSRSSRLSRDLDINPEALLNPNPSYTSMLLEDIQNFHQKTAPTPTSAPAFSLPACVTKARSILDAVADLNSGTSSNTSNAYVEERTRVSVADKYKRHERSSSLGANIVESKVVVSNDLMEPSLHKYVTVTRGVAREGEDLEEQESSGSNSFVGSQHHWLSSSTWEPNSADSNDCYTSSRTYGRDTDLSPLGSQKHEFSDEPRTRVSETKRNFDNQRTGIGRGRLGGSAKGLPSFPATAAAST >KZM98115 pep chromosome:ASM162521v1:4:21203834:21208276:1 gene:DCAR_014523 transcript:KZM98115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLIAGGFDFSSRRLSHSGHPFVRNKFGWLSESCCAKRSNCVRGRVRASAEEQVGGPLKQNAKPQRYHPSEDIGDADVIEIGEARLTPEETCRTIIEVNSKALLMFSGLLGEEVHDNIFWPDLPYITDENGNIYFQVKNNEDILQTLTTEDTLVEWVAILDSEDDGEDSDESPGDWAKLETMRASHPIYFAKKLAEFVGDNPFDYMDQPPAGLAIQGILRPSFLEEHSVIHKNKSDCKSSKDEANEDDRVVEDNQEEHDIINGHSHSLEPSQDNPDWPEELDKGESLENGTSFYKLEMVKIQLISAHGHQTFVEVDDFRRAQPDAIAHSAAKIISRIKAGGEKYMHALKSFCWRCKGIQAEEVALIGVDSLGFDLRVCSGIQVQTLRFAFKKRASSEYSAERQLNDLLFPRNQGKQQKKKETHQSEL >KZM99295 pep chromosome:ASM162521v1:4:30897919:30902274:-1 gene:DCAR_013343 transcript:KZM99295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSLAGAGFSIPTSGYSKPTTTTFRRAAPPLQAALAEAPNTSSSNNNKNAVVPVVLDLSSSLDRTEDMQAEAKALARAVNATVYSPEMLSSRYGSSPFKVLGRAFKILSGIGLFGLRVWVDQLQGLVDERRRFRAVELRKVLTGLGPTFVKIGQGLSTRPDLCPPEYLEELAELQDALPTFPDAEALSCIERELGLPLESIYSSISVTPIAAASLGQVYKARLKYSGQVVAVKVQRPGIEEIIGLDFYLIRGLGHLINKYVDIITSDVVALIDEFAGRVYQELNYVQVDLSSWSMQEGQNAKRFRKLYADKADVLVPDIFWDYTSVKVLTMEWVEGVKLSEQDAIERQGLKVLDLVNAGIQCSLRQLLEYGYFHADPHPGNLLATPDGKLAFLDFGMMSETPEDARSAIIGHVVHLVNRDYEAMARDYYALDFLSPDIDISPIVPALKNFFDDALNATVSELNFKTIVDGLGAVLYEYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIDLLFKDGRFRWNRLENLLVQGKMDKDFSANDALQPVLKLLLGPDGEQLRALVIRESVRVSEAVIFGTMIDTYNAIPGPLKNLFFNNTAGPTLLSDSEQENLMELRAQVLQEPEAQNLGRRVFGGITQRFAARLLQQFLRAPTVSTSTL >KZM97119 pep chromosome:ASM162521v1:4:11005302:11005735:-1 gene:DCAR_015519 transcript:KZM97119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNEALAAEDNIEAVLEKVKDMTSQEADAAVHKALQKCCVAVRASENMYIYQTLLEAADKATAKCDAAAQVLQVLLPVAVNAKRLVEAIDKAREKRPMTDCESGGASSSYGGASSSY >KZM98802 pep chromosome:ASM162521v1:4:27010033:27011626:1 gene:DCAR_013836 transcript:KZM98802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEGQVISCHSLDQWREQLQKGLDSKKLVVVDFTASWCGPCRFIAPVFAEFAKKMPHVLFLKVDVDELETVAKEWSVEAMPTFIFLKEGKLVDTVVGAKKEDIHQTIIKHAAVTSSATASA >KZM97789 pep chromosome:ASM162521v1:4:17860434:17862929:1 gene:DCAR_014849 transcript:KZM97789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSSSENTPSRSDRSCVPGTLVEVPVLYDTSYKPETLFDVPVFYQFDSDSEEDIQMLSVEDKTRLPLPQRLKGWIREIRRRSSGRLDIFYYHKGSGEKQYRSFRDVKRYIYLGFFPSAENGNKYLDQWVFDQPNPREEGVTSASAASNSTSTTQIQEESFPFDMSQHY >KZM97102 pep chromosome:ASM162521v1:4:10817654:10831254:1 gene:DCAR_015536 transcript:KZM97102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVSALLSVLTIKLYYGGHFDTSYSKFIEYEIDYYDFCDVDKMSVFEVGAIVSKSVDRKSVGATLYFKSPKEGMEKLGELENDRLPIGVAQSSGSYPPIVVIDDVDNEGYTTDYSSSSEEFVYADKTPEHPPKNPLKKHLRKNKKKKAKTVDYRTTKWVIDQLIFSELRINGMRAMEYRGEKTESGNGCSYYTIFHLRPLLTRGLSMQWRIGHYIRIDRIEIIERLNIMDARSLGLIDLMGSDRTENRNIEGFGHNAGRRGIVDFGPLIWVRNKEYCSEAAAETQTDLAVNSRNPTISAYFRTLKRDENCDSNDVLATQTVMSSSLPVSLLFMSSSATIVNDREHGDCAQQPSTATGRLQGTQRQLLVKRRLTSADVDPVAAAAMPVRRRTPSTPDVEQGTGTTSPRRPSQRKRQPPITAAEPGTGAENMRRTKQRATPTAALQSSTRTEIFRGPSQMRTHTPIAAVEPGTSAGNTRRTKQRTTPTAAVQLGNGPENSRHGSQRRTAAPIAAVEPGTGADDMRRTKKRTTATTAVQSGRRKRNSTHKRAKSSPRMTDEEESDAEEDNNKPKRQPIFERDLTPEQRDIPDTELLHGLGYHLSAYARAGLFWAFEYLDISRPEHHDVDVFPRARRWICAKGSSNNDSTLFLASRCKLNYVEESQVTWQPYLSSAIYGSNVVRSEVLLSRRRVPFQGISTWEYYLGERCRRQLGFPCQVPNDPPQMMHGPPKDRPGYVPENVPADTLVKEGLDYASWFANNSIGNILNVTRSLGGPEIAGKVIDQWLAKHEPNLIPMRRKVTGEIGALTGFWQFLPFWAFEYLDISRPEHHDVDVFPRARRWICAKGSSNNDSTLFLASRCKLNYVEESQVTWQPYLSSAIYGSNVVRSEVLLSRRRVPFQGISTWEYYLGERCRRQLGFPCQVPNDPPQMMHGPPKDRPGYVPENVPADTLVKEGLDYASWFANNSIGNILNVTRSLGGPEIAGKVIDQWLAKHEPNLIPVEQSQYEKIKEDRNALEEECAKLREELKGQGSAVCIDWDQNSTSPVVNTCIPSSP >KZM97343 pep chromosome:ASM162521v1:4:13742620:13747090:1 gene:DCAR_015295 transcript:KZM97343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVKIPNWLSGLPLAPEFRPTDTEFADPIAYISKIEKEASAFGICRVIPPFPRPSKKFVIANLNKSLSKCPELGSDVNFESVKGWGNGGGESKAVFTTRQQELGQSGKRNKGVEKPAPPIYKQVWQSGEVYTLEQFEAKARGFARSQLGMVKNVSALVIETLFWKAASEKPIYVEYANDVPGSGFGEPDGSAQYCRRNSRRRNLRENASENRKEPRGNDTSNDENGCVSDKFNPSSIATGPSNTPDNIARSSRERILGHGNEECSSGQKLSDSPWNLQVIAQSPGSVTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGSPKTWYAVPEDHALTFEEVIRNQAYGGNIDRLAALTLLGEKTTLLSPEVIVASGIPCCRLVQNPGEFVITFPRAYHVGFSHGFNCGEAANFGTPKWLTLAKEAAVRRAAMNHLPMLSHQQLLYLLTMSFISRVPKSLMPGVRSSRLRDRQKEEREILVKKAFVEDIIHENTHLTSLLQKNSSYRAVSWDLEMLPFSPGGSDLVNGVIDMSRPKKSISSETTHKHELSNQDLYLEYVDDADLSSEFQVDSGALPCVACGLLGFPFMSVVQPSKKALEGILHARPTECNSSLLSNRMVDVSVSGNHS >KZM98323 pep chromosome:ASM162521v1:4:22922920:22923404:1 gene:DCAR_014315 transcript:KZM98323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTIKNIRAASLSERDLVAKKLLNKIPPTDCSAPAELQSLMSKEYVFKLSRNKYNIVDGRQNYGVSAVYVSLQELESAYAEKSLPQGELQQLMTTWMLSPMNARESLNLKIFKLFFRAGPCTTLKALLGPSML >KZM98653 pep chromosome:ASM162521v1:4:25802573:25815361:-1 gene:DCAR_013985 transcript:KZM98653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELSTLWTSEYIFRNGDVYLGNFKGVLPHGSGKYTWFDGTVYEGDWEEGKMTGKGKISWNSGAAYVGEFSGGYLHGFGTLTNPNGSVYRGSWRLNIQYGFGKKQYQNLDYYEGLWKEGVHEGSGSYVWSNGNMYIGSWKSGKICGRGVIKWSDGDLFDGFWLNGLRHGSGYYRFADGSYYFGTWTKGLKDGRGTYYPTGSKSPDIKNWCSFNIHKVDREGVLSRSLSVGLEESKVIKPSFKRSLSERISFTKYVRGSGRISSKSISLDEDWSLNNTTIDFATCDMSCMLSHSSGEGRKCTQDNDVVAYEREYMQGILIKERIMNKAGPSGKSRKRCKGKEATKKSCLNISKGNRSYFLMLNLQLGIRYTVGKITPVPLREVRVSDFGESARIKMYFPRKGSQFTPPHKSSDFYWMDYCPMVFRNLRDMFKLDAAEYMISICGDNGLREHSSSGKSGSIFYVSHDDKFVIKTLKRSELEVLLKMLPNYYDYVKEHDNTLITKFFGVHRIKWKCGKKVRFVVMGNMLRTELRIHHRYDLKGSCQGRVTNKDHIAANTTLKDRDLQYEFYMDRLLRESLFKQIYLDCMFLESQQIIDYSLLLGLHFRAPKHLKPLQESQDLLQGPENTSAGSGKASPGDLYVPPKGLLLVTHEPNIVNTTPGSHIRGNTLRAFSLGDREVDLLLPDTGRLRVQLGVNMPARASCKLLRDNIDSAEVELFEVYDVILFLGIIDILQEYNIKKKAEHAYKSIQFDSTSISVVEPKFYSRRLINFLESVILVENEEVVIVENEEVCCFCL >KZM98961 pep chromosome:ASM162521v1:4:28306717:28309476:-1 gene:DCAR_013677 transcript:KZM98961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGSPVAINSSPSHAEDNVTLVEVGSNSEQSGDYSPSIDMPTNNHLKPPRSKAPEHLAALRLQKTYKSFRIRRQLADCAVLAEQRWWKLLDFAQLERTSVSFFEVEKPETAISRWSRASTRVAKVGKGLSKDEKARKLALQHWLEAIDPRHRYGHNLHFYYSHWLQCASKQPFFYWLDVGEGRELNHERCSRSKLLQQCIKYLGPTERKEYEVEVVSGSLIYRHSGKVIDTSEGPADVKWIFVLSAYKILYVGKKKKGKFQHSSFLAGGATLSAGRLVVVNGILKAVWPHSGHYLPTEENFQALMLFLLEHNVDLDTVQKNCSDDEEEPRFRKEPGLHSSKSESDLPHMREQDDNISSTLARRTRGISLTLTTLEIPKKERLIEVFKKEGEQQRARYSSRPIYCSSDEDGNEASEETDDELIVSKRNLFDEDEEEYEEPVPREKIMARLASHKGMMSYQFAQQVSCKWTTGAGPRIGCMRDYPSELQSRVLEDVSLSPRARGSHYSPRKSPHGARLPTPTNTRGETTPGKSPLARE >KZM97452 pep chromosome:ASM162521v1:4:14806739:14806987:1 gene:DCAR_015186 transcript:KZM97452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVINDNLEEDLVPEAEEESKYDKQVKEAGEVPIRPCLFILSKQIKITDLPALTKELYLATSVFGDDLKSLGKLHIPTIWRQ >KZM99119 pep chromosome:ASM162521v1:4:29581761:29582903:-1 gene:DCAR_013519 transcript:KZM99119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGNKRMAVLVGCNYPNTPNELHGCINDVLSMQKTLVTRFRFDPNRIELLTDAPGSTVLPTGENIKKALGKMIDEAAAGDVLFFHYSGHGTRIPSVKPRHPFRKDEAIVPCDFNLITDVDFRELVNRLPEGASFTIISDSCHSGGLIDKEKEQIGPDKILEKGASDVTHKPKAIPFDTILQHLSALTNINTSDLGTHLLEIFGSNASSKFSLPHDEQQTLHPDSGVLLSGCQANETSADISGSSGGENKAYGAFSNAVQMVLKENSGALSNKETVMLARSVLQRQRVEQHPCLYCSDENATKSFLCQPQESISDM >KZM97621 pep chromosome:ASM162521v1:4:16283150:16284240:1 gene:DCAR_015017 transcript:KZM97621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLVPPWLEQLLNASFFRVCRTHEDAARSECNMFCLDCGGDAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVSEVQREIDISGVQTYVINSARVMFLNERPQPKSAGGKGVSHLCEICGRSLLDPFRFCSLGCKLVGIKRNGNASFSLESKNEVAVRGDQGISNRRVVMNLTSPSSSSRDHQIQQGSDELRQGSEQGTFAHMSTPPGRRRKGIPHRAPFGS >KZM98654 pep chromosome:ASM162521v1:4:25820353:25822016:1 gene:DCAR_013984 transcript:KZM98654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAGANGGEENHNHPNEINDPIVMDARDLVIAPPPPRVRRSRRRRQEFRRYFASYSGTLSGDDNDEDKNELSVMDLDWFYDLSNFPESALLEILIRLPVKSLFRYKCVCKNWLDLISHPSSSRFYVSSRLNASSPFRLFYRYVYVPEFKEVLRRLKPDVYVSREFSVLFLSSLEEQQMSDQFKVLAFKTHKLFACRQLSK >KZM97650 pep chromosome:ASM162521v1:4:16545640:16545984:1 gene:DCAR_014988 transcript:KZM97650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMLDKGLKEHMDSVAKILEALLLHCQVEEAIIRTELLLHNGCAPNVDKLLNVLCEETKTIAALKLLDFCWDKYCIIDFSNYEKVLDSLVTARKTLSAYSILRKIVEKGGTAD >KZM96933 pep chromosome:ASM162521v1:4:8880132:8880828:-1 gene:DCAR_015705 transcript:KZM96933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRPFMTIRPPDSTDSPPYGPDEDMLSIRLYHGGKLRWLPRTEYVDGELSTYDFHAIDKLSIDDIGEKVQVLGYSGFKNLYFRVPTKSLETGLVPLKNAGDFNLMLSYAQKNNYSADIYVKHFNDQEDDDRDLSSQDFENICPADYEKRDSNEVF >KZM97212 pep chromosome:ASM162521v1:4:12310073:12310483:1 gene:DCAR_015426 transcript:KZM97212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMLSFGPTITKNYRVPAELRAVKHNAYTPWMFLIGPLHRDKLELKYMEKEKRRYMSHFFDRLEEQGNYKQTFVNNAESSVKSDQTLARDKCHKLLIELENEAREWYAEDIDLDKRQLVERLLLDGCFKLELFYK >KZM99005 pep chromosome:ASM162521v1:4:28689030:28691214:-1 gene:DCAR_013633 transcript:KZM99005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDESLRSLSLDCLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCEAETSGDHGGRTSGGALASSPRGGGPNCQVIPVNSVGYEVFLLMLQFLYSGQVSVVPQKHEPRPNCGERSCWHTHCTAAVDLALDTLAAARSFGVEQLSLLTQKQLESIVEKASIEDVMKVLIASRKHEMQQLWNTCSHLVAKSGLPPEILAKHLPIDVVSRIEELRLKSSLIHHSVVPHHHIATSADFEDHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNFPSGPAGKTPLHIAAEMVSPDMVSVLLDHHADPNVQMAEGITPLDVLRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVISREGGGGSEPNSSQAIYPPPLMSSEDHQSSGGSTGGNPNLDSRMVYLNLGAAAHLNEGDSRNAQRDHPSSMYHNSHHAY >KZM98926 pep chromosome:ASM162521v1:4:28015120:28019252:-1 gene:DCAR_013712 transcript:KZM98926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFSLTAKKRRSLSSDRTRDAGGSSSLRSVSSRNIVSNEAPSVQKKSSFSSRKASSKSFSYKKTKKYAFIPDYFSTLEEVTEALRESGLESSNLILGIDFTKSNEWTGQVSFNNRSLHAIGDTRNPYEKAISIVGKTLAPFDEDNLIPCFGFGDATTHDQEVFSFHSDHSPCHGFEEVLDCYRRVAPKIILSGPTSYGPVVDAAINIVEKSGGQYHILVIIADGQVTRSADIREKELSPQEEKTIQSIVNASVYPLSIVLVGVGDGPWEDMRKFDDKIPARKFDNFQFVNFTAIMSKNVTPSEKETAFAIAALMEIPIQYKAAIEFGLVGAVSSSREPNNLSSSEEDERNQACAICLTNRKDMAFNCGHMTCRECGLRLSNCPICRQQISSRIRLYTG >KZM96870 pep chromosome:ASM162521v1:4:8048645:8051344:-1 gene:DCAR_015768 transcript:KZM96870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNVLVVDDDRTCLYILKACLEKWNYEVTIVKDAHEALSMLQNKSFDLVITDVHMPKMDGLKLQERINQDFNLPVILISADSRAEVMCMGINNGAQRFFVKPIVEDDLKDIWQFIEWGKRNRNNNTIRGTQINESSEESRTVIDSHKDNDNTTGKRREKLVWTCELHSRFVEAILIIGYHRAVPANILGVMNVEGLTRGHIASHLQKYQKFLERVLAGEKNIEFSNWTDLNYYSSFVKGNPNLVLLNLLRDEQMKGNLAAQNPLRLQKEGTSARAPNGSSSSFHPLPQLTLVRSSSRTIYETFRQKNYCSENVASCGLRSATSPILGNKHRDPGMDISLCANQFGNTSTMNAHELGGENLTDESWFNNIGREDDNDYSLNIENDEDVDANKDLNPEGDL >KZM96689 pep chromosome:ASM162521v1:4:4218715:4219452:-1 gene:DCAR_015949 transcript:KZM96689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRDWDADKMLDAYIYDYLMAKKLHASAKAFKAERAVPNDPVSIGAPGGFLFEWWSLFWDSYTAKSNEAAALQVEVFTEYATKQSKREPTAVATYPATECSITAMGS >KZM99810 pep chromosome:ASM162521v1:4:35057295:35067064:-1 gene:DCAR_012828 transcript:KZM99810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIHWWFCRIFYRTLVADLCNCWTDVPLCFFYISLHGNSPVFLMCYVSLKVSDIVEGDEFVCFVDFCWNQHSLVRTALHTVFYIKKTTKQRKGVLRAKVRSMLPLNYFGQPVHHKRLRAESLEVFTIGNIKEGSEWLWVHCLALYGITFCAFTLLYIESKSIAKKRINYITSNRQKLGLFTVIVRAIPFSGDSYSDSVTNFFTNYYSSSYMSHQMVYLPSTVRELVREAEYMYNMLKSTDVSQCGPSMVRCGLCGSSEKPFTILSRRLYSSDSDDDDRRGLAEDLREQECAAALVFFRTRYAALVAAQTLQSPNPMHWVTDIAPEPSDVFWTNLCVPYRLLWVRKIGTHLGALLLMIFFFVPVSIVQSLVHLERLKEKFAFVRRLSNKRNFIFDMVTGYLPSVMLMLFLYAVPPVMSTLAALEGSVLESIDRIQSLTDIPLKLASGVPSMAVFFMSYVLTSGWTGLASELMQPFVLLCHWLDKTIFLGKGVVSYDPLTFPYHTEIPRILLFGLLGFTASVTAPLMLPFLMVYFFLAYLVYRNQFINVYITKYDTGGLYWPIAHNAIIFSLLLTQTIVLGVFTLKKAKYSSSFTTTLIICTLLFHFYCRQRFFPLFKSPSAQVVMEMDRQDEHSGRLKEIHQMLRSAYCQFQSSSSNSPNDRELQELNEYLRSTFCTFKSNSHHNSPTSGQIDQVKNEDDRELQDADDIIPVMRLNQSPQNTLTELPQFETQFETEESIIDLR >KZM96702 pep chromosome:ASM162521v1:4:4638511:4640678:1 gene:DCAR_015936 transcript:KZM96702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSTAFLSTVRTLPAQVPLLRPISLSTPLTSKLFVCKHPISALRSTGVSSLTNHLNPARLVLKRKASMTGVVTDKGKGDIKVFDSEEDLAVSLAKYIANISDKFAKERGAFTVALSGGSLIKSLRKLVDLPHLSSVDWEKWHVFWVDERVVPKDHPDSNYLLACDGLLSKIPLHPGNVYAINDALSAKGAADDYETCLKHLVQNQTLAVSESGFPKFDLILMGMGPDGHVASLFPGHPLVQENKQWVASIENSPKPPPERITFTFPVINASAHIAVVAAGASKAHPVHVAVGDGQNPDLLPVQLVSPEGELAWFLDKEAASKL >KZM97657 pep chromosome:ASM162521v1:4:16608408:16609865:1 gene:DCAR_014981 transcript:KZM97657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDIEVAEHGRDYQDPPPVDFFDADELGSWSFYRALIAEFVATLLFLYITVLTVIGYKAQTDPDTNPDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVMYMVAQCLGAICGVGLVKSFQKSYYIRYGGGANELAEGYNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYGKEKAWDDQWIFWVGPFIGAAIAAFYHQYILRAAAVKALGSFRSNA >KZM98151 pep chromosome:ASM162521v1:4:21485827:21486750:1 gene:DCAR_014487 transcript:KZM98151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRNEGNDSAPNLPIGYRFRPTDEELILHYLKPKLLSFPFPSSVIPHHLNLFHSHPSLFIGDCKEKKYFFCKSSWNHFKNCRLSTADGSGYWKHISKDKYICSSSAFIIGTKKSYIFHQGNYPHSIKTQWAMKEFSLLPSQTATLLSQNMEDWVIFCIYQRRRRGKKHQVKKTRRDEDDVIGAQCDDLMDFVVLDSTEPGPPLPSPSCSTDHGSANHASTDQEETCSSSNIKPVSPHW >KZM96756 pep chromosome:ASM162521v1:4:5855557:5855775:1 gene:DCAR_015882 transcript:KZM96756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKVMSFTGKLLLTPSIADGATSELDEMEYPAQIRNLFEPTRLAYTREASRHVVRDLLNPRPPDSCLIYS >KZM98965 pep chromosome:ASM162521v1:4:28332293:28337138:1 gene:DCAR_013673 transcript:KZM98965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSSWADAVAEETAASGSVDSNVTSAPTAPTKSTYVPPHLRNRPVAAESPALPLSGPPSGYNSTGYSAGGAGQRSDYGRQAYNTSSRGGGGWSNRGGRERDVNPFGNDDVNVDVEQAFSGHENSGINFDAYEDIPVETQGDDVPPPVNTFAEIDLGHALNLNIQRCKYVRPTPVQRYTIPISLAGRDLMACAQTGSGKTAAFCFPIISGILRGNIAQRPRGTRTVFPLALILSPTRELAIQINEEAKKFSYQTGVRVVVVYGGAPINQQLRELERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMGMPPPGERQTMLFSATFPREIQRLASDFLLNYIFLTVGRVGSSTDLIVQRVEFVQEADKRSYLMDLLHAQKDDGTHGKQPLTLVFVETKKGADSLEHWLSRNGFPATAIHGDRTQQEREYALRSFKSGNTPILVATDVAARGLDIPDVSHVVNFDIPKDIDDYVHRIGRTGRAGKTGLATAFFNEGNMSLAKSLADLMQEAKQEVPAWLTHYASRPSYGGGKNRRFGGGRSGGGRYGGHDIRRDSFYNNSGGGGNSGSYGGNTGGRYNSNYGGGYGPGPGANSAWDE >KZM97667 pep chromosome:ASM162521v1:4:16700213:16702814:-1 gene:DCAR_014971 transcript:KZM97667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHEESKSLLSNDAELKDEKKSTKLNSNAGESPAAIGHATYGWTVNGLPALGQGSVVGEPIDRAHWDSSLCACLGILGSVAPCVLYGSNVERLGSSPGTFTNHCLPYSCLYMLGEILFGGNCVAPWFSYPTRSAIRRSCEALSRSCGCCGSVIEDEVQREQCESACDFATHVFCHPCALCQEGREIRRRLPHPGFNAQPVLVMLPPGEQNMGRS >KZM99815 pep chromosome:ASM162521v1:4:35106588:35107292:1 gene:DCAR_012823 transcript:KZM99815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSECSSGCESGWTAYLDQSSNSANDLYYNAERSKSVTLRDGYEDEDLSMISDASSGPRHDYNHQENENNCVRNYSGSKQGTIRKHEAKIKGKGKFSGDSSYLDDTASSPVLSFSKASIKTHTRSRTHDSAVSFQNIIKKFRKYSCVYVNVFQTKLARSAHQNSHEQETQGFSTTHYKGKSSNQHLGFQKSSVTGLNKSGYYLLKPH >KZM98384 pep chromosome:ASM162521v1:4:23502217:23502417:-1 gene:DCAR_014254 transcript:KZM98384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWDLVGLILVLHHVLMVAANMEDLGWMLINVMFVA >KZM99131 pep chromosome:ASM162521v1:4:29649891:29653293:1 gene:DCAR_013507 transcript:KZM99131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPYVPLDQSDPAVDITPSNDGGSLQDRMSNVPVQWSSGICACCDDMQSCCVGLVCPCFLFGKNAEFLGSGAATGSCMTHLILWSLVNTVFCLLTEGILLGLPGCFIACYACGYRRELRTRYNLQEAPCGDFVTHFFCHLCALCQEYREIRERSGSSYSPDLSLVEVTAPQVQTMEPASTA >KZM98353 pep chromosome:ASM162521v1:4:23158660:23166493:-1 gene:DCAR_014285 transcript:KZM98353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSKPEKARYPKLKNLDYDTFTSRGTTSDFDDRSFRVLGIDGEFDAIFQSLGLSGPDDFAIPAADWESMKLRSSWGKSFRDGELSDKFSENVRVTVSNVRGISGARPPVLTPPPWDIMRLFAPHGDENVNVRDYGDVDKESARISNENKLIGNVGDYDDMDNESYFSDEYDSSSITTYSSTTTVSRTTISSWQKGRLLGRGSLGSVYEGITDGGFFFAVKEISLLHQGRQSISQLEQEIHLLSKCEHENIVRYLGTEQTESNLYIFLELASKGSLLSLYQQYHFRDPLVSVYTRQILNGLKYLHDGNVVHRDIKCANILVDTNGTVKLADFGLAKSSKLNDVQSCKGTAFWMAPEVVNRSRSYGLAADIWSLGCTVLEILTGQHPYYPLDAMQAVYRIGNCIPPRVPEYISIEARDFILKCLQVNPSSRPTAAQLLNHPFVKKSFHYGEVVSAQGKSRPVISSWEKGKLLVLGLFGSVYEGSAEMEVLLDFHKSEGSLLDITDLFSLLENGVQYEFLKSKSVAVRSLKVISISNSQIPEIPDNLSFPDLEELYLHSNLHLSYIPPSFFKHMPALKVLDMSDTSIKNLAPPVFELFALEQLILRRCELLMELPPEISALTNLKVLDLEGTDLVCLPDELKELTNLKCLKISLYDAESYRKSKKIVSIIPAAVLSKLSRLKELSIVVDPQDVWCNAAMKAIIEDLPSLRKLKTLKLYLPTTELLNDLVELKWNNDDLPIYQNLSNFNFIIGPCSQHFISRLPSDLEEEFLKLKKCLKYINGEDITTQFAEALKHANALYLDRHWTMQNLSILKESNELKFCLLVDCNEMQVVFDGSDFNHRVANKGENLLSLQYLAIHYLKNLEVIWNGPGTGCCLRTLRVLVLHMCPNLTTVFTPVLLGDLHNLQEIIVEDCCKITNLIAEDSTQLTSKETLPGLKKLSLLYLPELVSISSGLSIGSELENLVIYDCPKLKRLPSLGMCNKDFIEIRGESEWWDALKWNTPEWDGGQPHYLRRAFSELDTDEDYLDEFALQYRNSLRLFVEECAAN >KZM99485 pep chromosome:ASM162521v1:4:32475467:32480241:1 gene:DCAR_013153 transcript:KZM99485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNVEKLASIDAHLRSLVPGKVSEDDKLVEYDALLLDRFLDILQFLHGEDLRETVQECYELSADYEGQHNPQKLEELGKVLTSLDPGDSIVVAKSFSHMLNLANLAEEVQIANRRRNKLKKGDFVDESSAITESDMEETFKKLVQMNKSPEQIFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYTEDITPDDKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPQFLRRVDTALKTIGIKERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFEMSMWRCSDEARLRADEIHTTAKRDTTKHYIEFWRQVPTSEPYRVILGEVRDKLYYTRERSRHLLSHGKSDIPEEATYTKIDQFLGSLELCYRSLCACGDQLIADGSLLDFLRRVSTFGLSMVRLDIRQESERHTDVLDAITRHLEIGSYREWSEEKRQEWLLSELRQKRPLFGPDLPRTEEIAEVLDTLHIISELPSDCFGAYIISMATSPSDVLAVELLQRECHVMEPLRVVPLFEKLADLEAAPAAIARLFSIEWYKNRIDGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPETIQGSLRVTVQGEVIEQSFGEQNLCFRTLQRFTAATLEHGMHPPISPKPEWRQLMDEMAAVATEEYRNIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKASGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEAKQENLQMVQEMYNTWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSEDLWPFGEQLRSKYQETQSLLLQIAGHKEILEAAPTLKQRIRLRDSYITTLNVCQTYTLKRIRDPSFSVTCRPHITKEKFASLVDLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >KZM99369 pep chromosome:ASM162521v1:4:31533856:31537499:-1 gene:DCAR_013269 transcript:KZM99369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVETLGILDDIESLVCDNLQVVSYKWLSRNFLVSSNAAKKLLQEFVEKKGSDLEVVYTLSGWLKNNPSVYHIRLASRNKLGEAKEEFDDNCSVQVYSVQTCIPKDSASLWNAEFIQAEELFKQDPKINNCLRDNRLCHISNSYVKRNVEGAHSGSTAVPNTDSMVSVASKSVPAHKSASVPQPQQKNQQQLNPRFGQQSSNEVKNVNNYTGGHEEASKPATEREKFVQFPVDKKKDLQNNKVSSGTGGSLASMWGRVSAKSRPIEAPAEIDITKSNTADAKACAGQTVEEGSSDDDDLGINIKRSSNGEGSRKRRVFFDSSDEEDDFKEAVSLASPGSPKLKSSSNLDLCSKAHSGEKSSLDPKEHKEKKMEVKEENNMKKAADPLPRKESVVSKNNKNGIHASDKVVSHNPGTNACSKDNGTSASLNSSRIAAKGNNREVSTSNQIQNCIEEAADVKNNVSEAAPSSPKRRKVLKTRIDERGREVTEVVWEGNDLEGKANSNTVKKDTMLKAGNSEVTTNTRPPAPKKSPAVGLVAPSNPVSKAGNKKGAKDPKQGNILSFFKKV >KZM98141 pep chromosome:ASM162521v1:4:21405787:21407935:-1 gene:DCAR_014497 transcript:KZM98141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYPMLFLGSGATAPNSLGSSNNNSRSNNSTFYNNPNGFFGMLRSDVHGSSTSSEAKDGAENSNSGSFQVTESELGKKKKGDQKKAKKPRFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYKCTYQGCNVKKQVQRLSKDEGVVVTTYEGMHTHSIEKPTDNFEQILSDMKICPPTYN >KZM98248 pep chromosome:ASM162521v1:4:22227728:22237770:-1 gene:DCAR_014390 transcript:KZM98248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSSLEFNVNLRKGFCITTSILAPTCLQQRPRTSNHFTKGYLYLGVDPLQAGNVVQLVLVLGLTIGWISTYIFRVSNKEMTYAQQLRDYENKVMEKRLEGLTEAELEALLEQVEEEKRTLASGENAK >KZM99181 pep chromosome:ASM162521v1:4:30027232:30027840:1 gene:DCAR_013457 transcript:KZM99181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQLFLNSSPSPNKYKKLMSGRSFSNATRPRLNRSAFNRSHSSLNPSSIDGRQMLLAEQELLSSSHAHSPRGNRRFNEVAGGTTAEIAAIACCAPFSIADLLVLAVYKVPTGLCRKALREKRRRRLMRKGSLLVPLRGHDHTCDCGHCYDMDAEFQTGDPVIMAEAMENDGDMKQLEKEMWEQFYGTGFWRSHSRAVSVGI >KZM99728 pep chromosome:ASM162521v1:4:34309238:34313274:-1 gene:DCAR_012910 transcript:KZM99728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFLGAVVSDSLLENRIPQVELRNLESQFHLARNQLGRVTLADLSAVMLQLKNFNELFTEQEVKAILSESDLSMDQEIDYESFLQAYINIYEVAQTKSGGQKFTGATSFLKTSTTTFTQSEKAFYVSHINSYLGDDLFLMDFLPLDPSTSALFDLAKDGVLLCKLINMAVPGTIDERAINTKRILNHLEKTENHTLCLNSAKAIGCSVLNISTQDLAEARPHLVLGLISQIVKMQLLADLDFHRTPQLLELVEEDQYMEEFSCLAPEEILLKWMNFQLKKAGYEKEVTNFSSDLKDGMAYAHLLNVLAPEHSTTATLEAKSPAERAKLVLEQAEKLQCKKCVTPKDILQGLTNLNLAFLANLFHNRNGLSQENAKHSFAEMITDDSETSREERCFRMWINSLGVDTHVNNLFEDIRNGWVILEVLDKVAPQSVNWRKATKPPIKMPFRKIENCNQVIDIGEKLNFSLVSVDGSDIVQGNKKLILAYLWQLMRFSILKLIKNLRSHSQTKEITDSDIINWANNKVKRSGRTHQIESFKDKSLSDGIFFLELLSAVEPRVVNWALITTGENVADEDKKSNATYIISVARKLGCSVFLLPEDIIEVKQKMILTLLASIMYWSLNQRGRARPSSKEFESDTQTASLVYEDSDCDNNRIDNASGEMQESEEPYKRMLKEQH >KZM98611 pep chromosome:ASM162521v1:4:25451982:25454112:1 gene:DCAR_014027 transcript:KZM98611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSPTPLLKDELDIVIPTIRNLDFLEMWRPFFQQYHLIIVQDGDPSKTINVPQGFDYELDGADFVRGYPFSMREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVMTIPKGTLFPMCGMNLGFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIWHSKASNPFVNLRKEYKGIYWQEEIIPFFQAATLPKECTTVQQCYLELSKQVKAKLGKVDDYFIKLSDAMVTWIEAWDELNPSGAAAAADVKVKK >KZM97724 pep chromosome:ASM162521v1:4:17260733:17262077:1 gene:DCAR_014914 transcript:KZM97724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLESLVFKPVQELAVDCKTIPERYILKCPDEAFYVDPPVIDIPVIDLNLIQSPSPSADQVLEKLRTSLSSCGCVQVIGHGMTNSLLDQVHSIGRDFFALPLEEKRKCLRTAEGSEGYGNASAHSDQIHNWSDRLYLTTSPEDQRKLKFWPQNPENFSNNLGSCRETLQEYTEKLVLLNKVVLKALSRSLNLKESCFLDQYGENTHLLTRFNYYPPCPRPDLALGIKEHSDATFITFLLPDNEVGGLQVLKDDHWFRVPTVPNALFINIGDQVEIMSNGIFKSPLHRVVTNSERERLTVAVFCTPDSSRYIEPAEALISEKSPRLYKKVNNYVRIYFENFQQGRRGIEAVKI >KZM96876 pep chromosome:ASM162521v1:4:8147150:8148061:1 gene:DCAR_015762 transcript:KZM96876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPATNWPPYDSYRDCTQGICSIYCPQWCYIIFPPPPPFYNGDDSDTTPNFSPLIIAVIGILGSAFLLVSYYTIISKYCKKASDGDQDQIPSNALEVQPTQINDGQMQPNQQVGLSESLIKSIAVCKYRKGDGLIDGADCAVCLSEFQENESLRLLPKCSHAFHLPCIDTWLRSHPNCPLCRANVISFTPLSSQGHSSVTHYNVSSLQVTMSNDLVLVLDNPDIIHRNEVFDVMGNSSNQENELQANAQEITRSYSREVQPCQNHPMISDILASREYTEDLSLEITESQVGNYPVSKQECC >KZM98991 pep chromosome:ASM162521v1:4:28570182:28573022:1 gene:DCAR_013647 transcript:KZM98991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLTDFYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNFRFIAADTLQKLIVLGVLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGEASGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIAEQFPDNANSIISFRVDSDVLSLDGKEPLQTEAEVGEDGKIHVTVRKSASSRSEIFSRRSHGHHSGVSYTPRPSNLSNAEIYSLQSSRNPTPRGSSFNHSDIYSMMNGKNATNASPRQSNFGNLGFDETKGNGAYGQGNAGYPAPPSAGIFSPVSGPGAKKKANGADGGKDLHMFVWSSSASPVSEGGIHVFRGGEYGNDLNGAAHAKDYDEFRRDEFSFENRQVQIGVEREGPMLPKLGSSSAELNPKSLANGEIKPVPMPPTSVMTRLILIMVWRKLIRNPNTYSSLFGLTWSLVCYKWDVEMPAIIAKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLMGPAVMAASSIVVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLMV >KZM98228 pep chromosome:ASM162521v1:4:22103876:22106417:-1 gene:DCAR_014410 transcript:KZM98228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTVGQTIRCKAAVAWEAGKPLAIEEIEVAPPQKMEVRMKIHFTSLCHSDVYFWEARGQQPLFPRILGHEAGGVVESVGEGVTSLKPGDKVLPLFTGECGKCRHCRSTESNLCDLLRINLDRGVMLSDGKTRFSKDGRPIYHFVGTSTFSQYTVVHAGCVAKINQEAPLDKVCVLSCGISTGMGAALNVAKPTKGSTVAIFGLGTVGLAAAEGARIAGASRIIGIDINPNRFKNASKFGVTEFVNPQDHDKPIQEVLKEMTDGGVDRSIECTGVDISVTVSAFECVHDGWGVAVLVSLPNKDDLFKTNPVNFLQGRTLTGTFFGNYKPLSDIPSVVEKYMNKELEVEKFITHKVSLFDINKAFDYMLKGESLRCIIDMDA >KZM98419 pep chromosome:ASM162521v1:4:23799878:23802083:1 gene:DCAR_014219 transcript:KZM98419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVHVNSHAKNLFYNGNKNSRVDSRVSLNKIGIVPEARGLLASANLKMFSLAELESATRGFSPDFLFWGNSYGRNFMGWLDEDTLAPSRIGIGMSVGIKCMNPFGRFRTMQAEVDLVGKFYHPNVIKPLGFCFKGQELLLVYENAPKGNAARYTYKDEGKSLSWVVWLKILTGAARYLDFLHSSDDHIIFCDFALSSIFLDWDFSPKIGFGGNARFGPEDGDTLVTGIPNINALRCSGSEAYLSPEYREAGHLSSKTDVYAFGVVLLEILTGMRVVDADRRNKNNNLVDKVRPILACERKFKTVVNLKLLEKENCPQIVHSILSNVPALALKCLDLDPKKRPSMRQVVETLEELSNIIK >KZM98767 pep chromosome:ASM162521v1:4:26752483:26759056:1 gene:DCAR_013871 transcript:KZM98767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNDIVRFLLTTVGSFIQDRLIDKEQRAQQKEQCAERLEAEDEKTEVRYSDQAVLANLDWGMDALEEAINTSNIETKLARLDHAEKMLQVCAMLNSSQKTAGVPNFYLSAWAHLNLSYLWKLRNNVQNAVHHVLEMFSVDPLFSRIDFAPDLWKTLFLPHMSSIVGWYSEERQKIVMDAIPDSADMSFTADFDQYFNESLIFSVRPDQAEKMQNLEQVYGQALDENTKLYAKYYKDCMNFDSTTSKKLMPMMPIAEPPMTPLHEVSRSIPDHVKFGPVLPKSAGFNQTTKAKDSSMNALRLKSASDSLRDLEDSAKWDPEEGIPEEEEYESDDEPYVFVGSGDKGHKVLSHVGMKMNPESGSRSSSQKHSPAVFTPSDSPKAPTPKNSSPKADSHSKDSSSMLRLLSTRAMDGKGFASGSCSPIHQDPGTSSGDSDNEIPKYLTKLAPQEHPKSVRTNHSRRVSYGNLNTQLLEKSFSNDCEEGSQSYISLPSSEKMTPHSRVPKDFVCPITSQIFYDPVTLETGQTYERKAIQEWTKRGNTTCPITRQPISANPLPKTNYVLKRLITSWKEQHPDLAQELSYSETPRSSFSAPPKDTPSVTTPSRTITLRDQRSRDDNNNHKPRRFARAATSNSPTSVISQAAVESIINGLNPYISCLCNSENLKECETSVLKVANTWKDSRGDSGLHAYLSNPAIVNGFVDILSASLNREVLRTSIYVLSELIFSDDRVGEILTADSDFEILASLLINGLAEAAVLMYLLKPATSQLSPYNFLPSLIQIISNKTEDSNELDLVMDPKDAAIALLEQVILGEDENSRISKATDIISENGIPALLNCLNREEGRHSIVFILLQCIYADRNCRNLIASRIELSPVLELFHAGDDNVRGICIEFLTELVQLSRRTLSNQILQMIKDEGAFSTMHTLLVYLQMAPMEQQSSIAILLLQLDLLAEPRKMSIYREEAIESLIEALRRKDFPNSQIMALDALSSLLGRLTMSGKSCMEVLLLKSAGFDKPYNALMKAEKLKFYDAELTETMEEEEKAAVSWERRAAFVLCNHEKGMIFKALEECLKSNSIEIAKSCLVIATWLVYMLYSLPDTGVRDAARKCLLDQFINVLQSSKNLEEKILVTLALSGFTSDPAAAGELGVYAKCMYKTLRKLKRNSSVVNDILKTLMNLPSVDAADMWCCAEGPELNSSMNGEVLALLHIKGRIISSHSDGTIKVWDATKRVPRLIQEVREHTKAVTCLYISSPGDKLYSGSLDKTIRVWAMKQEEIHCVQVHDVKEPVLELVANNSMACFAPQGTGVKIYNWSGVTKHINFNKTVKCLAMMGDKLYCGCSAFSIQEVDLQKHTSTVFYSGARKLLGKQIVQSLHVHENLLYAGGSSVDGIAGKVFSLSTKAVTGSLATGLDIQCITVNNDFIFTATRFGTIEVWLKERVTRIASIRMGSGGNAKITSLTSDKDGQMLFAGSSDGKIQAWVLD >KZM98952 pep chromosome:ASM162521v1:4:28268142:28271064:1 gene:DCAR_013686 transcript:KZM98952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSATGSSNIFWHECPVGKVDRQKLLNQQGCVVWITGLSGSGKSTLACSLDRELHSKGKLSYILDGDNVRHGLNKNLGFKPEDRTENIRRVGEVAKLFADAGLICIASLISPYRDDRDACRAMLQDPNFVFMNMPLEVCEGRDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIKQKDGVCPAPSEMAVQVVSYLDQKGFLQA >KZM98676 pep chromosome:ASM162521v1:4:25948534:25949115:1 gene:DCAR_013962 transcript:KZM98676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGPYYNVLLGRRDGLVSQASRVGDNLPKAAMSVSKLIKLFAAVGFSTQEMVALTGAHTIGFSHCKEFSSDIYHYSKSEQSDPSINPRLAAGLKNACANFTKNPTLALFNDIMSPNQFDNMYYKNLPRGLGILKSDRALVSDPRTRPFVELYAKDERVFFKAFRKAMEKLSVYGVKNGRRGEIRRRCDAFNS >KZM99780 pep chromosome:ASM162521v1:4:34778061:34788422:1 gene:DCAR_012858 transcript:KZM99780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFKDRGNVARVDVDGTNIDNNSECAASSAFMNGEDCDVNMSDFDAMPLTVSCFAEELPQSRPIKVEPESSCGQMGGSSVSGIALPANTRFKCPRDVSSSDEFSDAAPGADRSLSETSPSTSSYIGDDDYGDDDVPVDGSANDWLGYMDTFDGELGVACLPEYISYRDKHLTDSVMIFSSSRITVRSSKPYVEEEIFEFQLGVDDIISVESHWCERFEMGMVKIHIISKGSVFAGDLNATSGVEELKFSIVGDWCGRQNAIISMDVYKPLWKTVLNSELNCNSELGDNGEVFSDRYFPNFDKAFEDLIYPKGDPDAVSISKRDIDLLQPDTFVNDTIIDFYIKYLKNKIEPCERHRFHFFNSFFFRKLADLDKNPSSAVEGRAAFQRVRKWTRKINLFEKDYLFVPVNFNYHWSLIVICYPGEAAESLGKFFVGDLNSKLNSVDVSDYSSTSDFSDPDPHKALRVPCILHMDSIRGSHSGLKSLLQSYLCEEWKERQKDSSEDISSRFLNLRFLSLELPQQQNSYDCGLFLLHYAELFLEGVPVNFNPFQLTKFSNFLTANWFVPYEASLKRAFIQRMIYDLLDNGCSHGTSYGAGSRKEFTSNCLPSSFENGTTNGFIAARCSPSKAFYNNAMGSQTGQELELTLLPSVVKSPGCNRDSGLVDADPMESGDAVGSIYQSLEQAENSAPLNKFKNAMLQIEGEKEADGFCGSTAAAETGLERFVATTYQESNPFSSTEFKGEPSWNLRASVHQIVPDEVKSSLEKSVCVTDEALDVEMVNHDLEGTNLNFEVNVDQSRPPEDDEECVMVPVTSVPSRILENFYNSPDHIHYHESEDVNLVTTFPESMLGSSAPDFDAGEEGIMTRSQSFTDAELVTNALQGVVDHGLEGTNPNFEVNVVQSRPTEDKDLCLRSTPGRIPDIEGAELVINCPQNLLGSSAQDSDAGEDVEMCKGSQSFPDTDFATNKLHEAKRRKLISLEGRLPENLAGDLHL >KZM99885 pep chromosome:ASM162521v1:4:35594306:35597867:1 gene:DCAR_012753 transcript:KZM99885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVESSFGYSQLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEGPTGDEQDDEIEFENVQWNGSDMGELASDDTQKSQRSRHCTHKSTGSSHKLMSRSRSCDLQSKGSMTKGPEKIDLSKLEVTALWRYWRHFNLVDAISNPTKEQLVDVVQRHFMMMQLDELQVIPGFVQSAKRLKMVCK >KZM98026 pep chromosome:ASM162521v1:4:20477640:20479029:-1 gene:DCAR_014612 transcript:KZM98026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGVIHYGPGAATEGVTPVNAEGKKKRRRPKKHGRESPRDIGGKKAIVGSMTVSLAGPNGSVMGGVLAGSLIAAAPVQGRLGIITDQNFCAHQVVITSFRPSNEEPKQFAPTSSLVPATGDGETRGAKTG >KZM97020 pep chromosome:ASM162521v1:4:9838182:9839090:1 gene:DCAR_015618 transcript:KZM97020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSLKLLVDNSTGKVIFAEAGKDFVDFLFGLLEIPLGSLLNLLDGEGMCKSWTLSKVYQSVNKLGNEYLQTNQTKQNLLNPAMPESNTKAAPFIQQLGYRKSQCSSSSFVFGKNVDKTEVDGYVKGSVTYMISDDLTVKPLSCISCLSLINSLGVKDIGTLEEKIVNIDMKKCMAQ >KZM98326 pep chromosome:ASM162521v1:4:22942003:22943414:1 gene:DCAR_014312 transcript:KZM98326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGMRGAMFGSGGVSDGYGIGSKRPRMMESNPYFAVGSGSSGGFHPYGDFGRGFHHSTFPVVRLRGIPFDCTDIDIFKFFDGLDVVDVLLVNKNGRFSGEAFVVFAAPMQADLALQKDRQNMGRRYVEVFRCKKQDYYTAVASEVNYNGIHDNDVRRSPPPRSKRSQDKDQMEYTEILKLRGLPFSTKKSNIAHFFKDYKLVEDKIHIACRADGKATGEAFVEFISAEEAKNAMAKDKKTIGSRYIELFPSTPDEARRAASRSRQ >KZM97097 pep chromosome:ASM162521v1:4:10756450:10762038:1 gene:DCAR_015541 transcript:KZM97097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPTVPYGNRLSHELLLDEDIEDEDDDCSYNGDITDTYDDGDVTDTGNGDDSELPVTVKRSEDIILYRTQNGLICREFPVKETRTVIRSEDEDGIKTVNEYIREYKIGSGSYGKVVLYRSRVDGKHYAIKAFHKSHLLKLRVAPSETAMGDVLREGSGPPGGLGDDIAQKYLRDVVSGLMYLHAHNIVHGDIKPDNLLVTGTGTVKIGDFSVSQVFQDDNDELRRSPGTPVFTAPECCLGLTYHGKAADTWALGVTLYCMVLGNYPFLGDTLQDTYDRIVNDEIALPPDMNPLLKSLIEGLLCKDPRQRLSLENVADHPWVVGKQGPIPQYICCCKRNKVGERSEML >KZM99231 pep chromosome:ASM162521v1:4:30421649:30423613:-1 gene:DCAR_013407 transcript:KZM99231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTTDPNGPMYYKGVYHLFYQYNPKGAVWGNIVWAHSVSTDLINWTPLEPAIFPSKPFDKYGCWSGSATILPGNKPVILYTGIVEGPPKNVQVQNYAIPANLSDPYLRKWIKPDNNPLVVANNGENATAFRDPTTAWLDKSGHWKMLVGSKRNRRGIAYLYRSKDFIKWTKAKHPIHSQANTGMWECPDFFPVSLKGLNGLDTSVTGESVKHVLKVSLDLTRYEYYTVGTYLTDKDRYVPDNTSVDGWAGLRYDYGNFYASKTFFDPSKNRRILWGWANESDSTAHDVAKGWAGIQLIPRTLWLDTSGKQLMQWPIEELETLRGSKAKFSTKQELAKGQLVEVKGITAAQADVEVTFSFKSLAKAEPFDPKWLEYDAEKICSLKGSTVQGGVGPFGLLTLASEKLEEYTPVFFRVFKAQNTHKVLMCSDATRSSLKEGLYRPSFAGFVDVDLATDKKISLRSLIDNSVVESFGAKGKTCISSRVYPTLAVYENAHLYVFNNGSETITVENLDAWSMKKPLRMN >KZM98499 pep chromosome:ASM162521v1:4:24492231:24496021:-1 gene:DCAR_014139 transcript:KZM98499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSARNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLDFQESTIGAAFFSQTLAVSDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDIASIDSFARAKTWVQELQKQGLYALILFVLREARLYAEENGLFFMETSAKTAANVNEIFYEIAKRLPRAQPSQNPAGMVLVDRPAVGSQASACCSSDDISPGVISPNYCENEALSCKVGFHADFRCVLSHE >KZM97200 pep chromosome:ASM162521v1:4:12151859:12154888:1 gene:DCAR_015438 transcript:KZM97200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPITSFKARINKNYSPDDGHKYCDWIREVDVTLGLLRTRPRNASTYRVPSKLRAIKHEAYTPQMVSIGPFHRHNPELRAMDELKWRYMLDYVDRVVKHDIENNPVNEINDKSPEDSPQKLALDKCSKVISELEEKARAWYAEDINLDKFRLVEMLLVDAQADHPLGIGPTTDHCVGKLLHHQLANQTPVLSKIKVPDSSQTLFLIRSNGLFGSYFMLVDAYNTLLLLEMSIFLFPCCEGYLSLRKDPVKMESTTSFKVSITKKYSPSDEKQYLDWLGSVDVTLGLLSSMPRNSSAYRVPNRLREVKNDAYTPQIVAIGPFHRNKPDFQAMEKLKWSFMLAYVDRVAKSDLENNEISMSDGESSDSSPQRMALKKCSKIVSELEEEA >KZM99272 pep chromosome:ASM162521v1:4:30695606:30697367:-1 gene:DCAR_013366 transcript:KZM99272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNPIPLPKLTLLQEQEDESNSTSNSPKQTTSLLSFSTTSPHNPPSKPTILLISLLFLTTAAAAFAFAFLFFSSSSSKSHPRLHSNTQFSRPLTKLRHPVVLLISSDGFRFGYQYKTPAPNINRLIQDGTQAKLGLIPVFPTLTFPNHYSIVTGLYPAYHGIVNNFFRDFSTGESFSMASHEPKWWLGEPVWETVVKHGLKAATYFWPGSEVVKGAWTCPLSLCAHYNGSVPFEDRVDKVLRYFDEPSEDVPVFMTLYFEEPDHQGHKVGPDDPQITEAVARIDSLIGRLIDGLERKGVFEDVNIIMVGDHGMVGTCDSKLIFLDDLAPWIDIPKDWVQDYSPLLAIRPSHGYSAADVVKKMNDGLGSGKVNNGKYLKVYLKEELPSRLHYHASDRIPPIIGLVEEGYKVEQKVSRRKECGGAHGYDNAFFSMRTIFIGHGPRFAKGVEVPSFENIQIYNLITSILNISGAPNNGTLSFPKTVLLPHL >KZM97373 pep chromosome:ASM162521v1:4:13968583:13986307:1 gene:DCAR_015265 transcript:KZM97373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADNKRVEVIKVEDDFEGPLVQQEQRRQSGISSGKIQTECRCQAEMDEEEIEKVVLAYLKKKGLKQTELAFQEEQQQVKNNADPDVSKQVISLTEPDNVPEQYEDGYCKLRAWTYSSLDLYKHELLRILYPVFVHCFMDLVAKGHIQEARAFFNKFREDHEMMHLRDLQKLEGVLSPSHLEEMEFAHSLRQSKVNIKICQYSYDLMMQYLHKSQSITMLGIINEHINFQVSPGQPISLSDDTEVVTLVGSGQDTANLINQKEIHWGLLEDSVEERLEKTLLSDTEKAEGETKEGESEENKKRSIEGGKQGTSLKKLKKDKVVSAAAKAAKTEGNVSAAPRVKPELSLPVIPTEVEYSILDDLRNRVQLSNVALPSVSFYTFLNTHNGLNCSAISHDGSLLAGGFSDSSLKVWDMALLGQQTDNYNRGFHSAILPEENESARSAAGTNSGKRSYTLYRGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVKFSPAGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQNGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVTPLVGHSTCVWTLDYSCEGSLLASGSADCTVKLWDVTSSTARVPKSEENKTGSTTNRLRSLKTLPTKSTPVHALKFSRRNLLFAAGPISANGSTY >KZM98050 pep chromosome:ASM162521v1:4:20654230:20660115:-1 gene:DCAR_014588 transcript:KZM98050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRSRIESLVSCFVKVAHPQEAHAVFYSASSFFFILSAYFVIIPLRDEGAISLGLANLPELFVGSLFLTLLAAPLSTLVFSLPNLSRPKALVLILRFFSLSLAVFFILWVFSSPGYSLSTFKGFVMKSSTIKDELKIEVDKSTQASSSGWGDHDWFYISVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATVMAWMGPYLLLFSSLLMELAAQSSKRINMDTSYTSEELSPIRKADSVEQTAPADEQTAPAARGFSVNFTSLTNPKKWAIFDGLRLILSSTYLLQKVNVIATTIVSPTGRRMLFAQINSFIAVFILAGQLALTGRILTFAGVTMAICSSPIMGFFNLIALAVWPSWISIAVSETLRKVVNYVVTRPARELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYKLLYSSLNGRTTTISLYFLPVCLLWIATAFHLGRRQTQLAKIQTISAT >KZM99640 pep chromosome:ASM162521v1:4:33648787:33650940:1 gene:DCAR_012998 transcript:KZM99640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRYFPNNMPDFVAEINGEEEEEEAVAQGSEESLMRLLSMRYDSFSERLKRAALDLKETVVAETWGSSRQNVQDFSLYTGTLGTAFLLFKCYQVTNNRNDLELSSQIVKACDSASSESSHVTFFARAGVCALGAVISKHMEDNEMLGYYLTKFKEIELPKDLSDELFRGRAGFLWSCLYLNKHIGKDTIPSALMGVVANEIIANGRKMGSKDRCPLMYEFRGQKFWGTAHGLAGIMNVLMELELKPDEREDVKATLKYMVNNRFTSGNYPAAEDDENDVLVQWCRGAPGVALTLVKAAQVFEEEKFLEAAIDAAEVVWKRGLLRRVGICHGISGNAYVFLSLYQLTKQKEFLYRSKAFASYLLDRSHKLISSGEMHGGDAPYSLFEGLGGLPYLFLDMVQPTEAKFPAYEL >KZM97879 pep chromosome:ASM162521v1:4:18894375:18895343:-1 gene:DCAR_014759 transcript:KZM97879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAIICALYIFLAFTLLSLLKSKRKHNQKLPPGPYPLPIIGNMHKLGKSPHRSLAELAQVYGPIMRLKLGQITTIVISSSSIAQEVLQKQDAAFSNRPLPESTRACDHHKYSVVWLPVGSRWRSLRKILKSNLFTAKKLNENQHLRSRKVDELIRYCEKCSQSGEAVDIGRAAFLTTLNLLSNTIFSKDMTDSYDNSEAKEFRDLVWNIVVELGKPNLVDFFPIPAWIDPQGIYLRITGYSEKLIRLFDGLVNERSELKRLANFLENTSTKDVLDELLRILQTNEIDKTQIQHLFMD >KZM99797 pep chromosome:ASM162521v1:4:34927503:34932774:-1 gene:DCAR_012841 transcript:KZM99797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASALRRLSSSSPISLRALSHPRLSSLMSSLPDRAVGDKQDSRVTWINQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAERLCQKRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQMEKSAVLFRPKLIVAGASAYARLYDYERMRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKKGEEARTPEYKAYQEQVLSNCSRFAKSLTEMGYEVVSGGTENHLVLVNLKNKGIDGSRVEKVMESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEFFDAAVKLALKIKADSKGTKLKDFVATMKDDIYKSDINNIKHEVEEYAKQFPTIGFEKETMKYKQ >KZM97963 pep chromosome:ASM162521v1:4:19837232:19851496:1 gene:DCAR_014675 transcript:KZM97963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSVVGEEQQLKLAEDRLTQSGLSCEVGLVIGKLSSKLDRGFVFDLVQTPRNDAGEPACSLVDINNNQKNKNKKGGSSSSPPLLSIDADWVSEHARQVSRMLLGGMKVVGIYIWANESSFKNSTLVLCQTVKGAAEAAQLKAAGLDESLLIHISYSPRRWTCRNCTVASNITSSSLRPCDFKMGKALASLQTFRCTYSFDMRLPIFHENRSSIESLAAILRHGISNQVQELKTAKALIDGKLVIDLEQCASEGLHDVEFLVPFMQNTALEGSQKEVVGVLTFRGSVYSFAYINSREPVSQALADIKGDILTSLQSRLDIICDEEDSESDPIAGGVAELRNDLSTEKLGAKLDLKFLSQQCKIAFPRRVFVPWLADTYICDYVQPSETLEVLKDHFVELMSVEAPTDASKILELETEAPTLGFFASKSFWDMVIPKSSGNKPSLSERNIVDAGTVGVQKSVRSANFNITAAVLILVDFKKSAEEIKLLKANCNAEVKKREALQFSLDSLKSENERLANLYTECLNKMADQEHMNTVEILKQEYAARITDMETQIRACLIQKTSNEATIVQLHQDLAMHRKHIEVLVTRLERVHLDVESKYQNENQDLRDCLSIEQEEKNDLNRRLQDTEKELLVSKAKLGEQQHDLSSSRNVETLKQKIMKLRKENEVLKRQLSVLKEN >KZM98242 pep chromosome:ASM162521v1:4:22183855:22189251:1 gene:DCAR_014396 transcript:KZM98242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVIPAASEEEIRKAYYLKARQVHPDKNPNDPRAAERFQASEAYQVLSDPVQRDAYDRNGKYCISKDTMLDPTAVFALLFGSELFEDYIGHLAVASMASSELASESDNPERLNEKLKASKSIKLKDTQVYRRKAVQKDREDKLAGKLKNILQQYVRGDREGFQHFAETEAERLSHTAFGVNILHTIGYIYERQAAQELGKKAIYLGVPFMAEWVRNKGHFWKSQITAARGAFQLLQLQEDIRRQFKMDGSGPENDLESHLRLNKDTLMNSLWKLNVVDIEVTLLHVILETGVKKEELKLRASALKLLGKIFQRDKYAQTSGTSKKKVAETSSDSSDDEDTPRALNYRAPLVTQKIDKGSEKPDNGGRLVFEEKKLEGSGEDEFAALMQSCMASHCLHFASSAHNYNSTFKSCCSSPPSAVTFSDRGSSYNPLVFQAVRLLGPPARFEASKLKVEFKGEEPHRYTRIVPRSYTLSHCDFTANLTLTISRLVHLDQLKGWYNKDDVVAQWTEVNGNVCLDVHCYVSGPNQLLDLAAEFRYHIFSKELPLVLMAVLHGDSAFFSEHQDLMNASVRVYFHSSSKKYNRVESWGALKDAAQERRGDHLKHSLLAAKEVPHHQKNCGTPKSLFQALVAFLL >KZM99343 pep chromosome:ASM162521v1:4:31242882:31243181:1 gene:DCAR_013295 transcript:KZM99343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWQLHSMNYEMVRGDKGVLEDEAREHIKYLITETRKKLNEECAESPLSKPSIENCLNLEKIASCVYLYL >KZM96713 pep chromosome:ASM162521v1:4:4854842:4855643:1 gene:DCAR_015925 transcript:KZM96713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIAVIVQHGGQWNENQNYISFKVCGLLIPINCTYNNFLGLIVNELMLSLESSTTKIEYQVKNSYPPFDIANDCQLMFYIELKKKELDFIRYPLCLTIGECNAQDTITSTRSGTSDYMCIEAVQPEDATSSTLVEMDGEMKEKLIPALTHSLLLRCVAPALSAAFLHHLALRHHQLASNRLKKISV >KZM98362 pep chromosome:ASM162521v1:4:23274616:23278527:-1 gene:DCAR_014276 transcript:KZM98362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILNFVLSTTVFLLACSAQKTILDDRHALNAFKAHITSSDPSNILSLLWSEKITTCKWEGVSCSVRRERVTALNLSNMNLTATISPHLGNLSFLSSLVLKFNNFHGFLPVELANLRRLRRLHLQYNNLSGEVPIWLGNFQHLEEIVLSNNSFTGFIPSSVFNSSSLTVLSLVANDFSGTLPEKICDNLPNLEMLSVAINRLHGPIPANLFKCMKLQTLSLSYNQFSGSIPWQIGNLTDLRELYLGFNSFRGAMIPREFGNLVHLEILDIPSASLTGTIPSSIFNMSSLRNLDLENNSLSGGLPQDISYNLPALRNLSFAKNHLTGKIPFSLWECQGIQSILLNNNEFTGNIAENIGNLTSLHYLHLKFNKLTGTLPSEMGNLKPEFLFIGINNFTGPVPYGIFNISTLRYLDMQFNNFSGHLPSDIRLPNLEGLSLNSNKFDGWIPSSITNASKIFLIQLYDNYFDGPVPSTLGNLKFLKNLHLSGNGLTKESGTSQLSFFNSLTNCKLLETLTISHNYFNGFIPNSVGNLSTFLYYFEAYESNIKGSIPVEIGNLSGLQVLELYGNELTGTIPTTIGELTELGRLRLSGNRLHGSIPSDLCNWKSIAELDLSRNRLSGSIPTCMGELITLEKLNLHTNELNHTIPSNFWSLSKLVHLNLSSNLLTGNIKPDIGSLKIATQIDLSRNQLSGVIPATVGKAQELNFLSLAHNKLQGSIPDSFSYLKGLELLDLSDNNLSGEIPHSLTTIRYLKYLNLSFNMLQGEIPTAGSFANFSAESFSHNNGLCGTLWLNVTHCKPQSIKKSRSDLVHLLKYILPPLLSIVFIGFVVYIWVSHYKKNPNLPIENELSHVSWRRFSHYELRRATESFSESNLLGTGGFASVYKGTLSDGTTCAVKVFNIQSEEAVKSFDCESEVLVKIRHRNLLRIISSCSNLDFRALVLEYMPNGSLDKWLHLPDFCLDILQRLNIMIDVANAIEYLHSGQTTSIIHCDLKPSNILLDEDMIAHVSDFGIAKLLGEEEFRAQTQTLGTIGYMAPEYGSEGIISSKGDVYSFGIILMEAFTRKKPTDEIFSGTMNLRSWISETLHGSFLQVVDSKLLERVDIHTYADEQCVTSILDLALECSTDSATERITMKEALRRLEKIRVVFQMSTKAEVKAKDEVGQ >KZM96837 pep chromosome:ASM162521v1:4:7459727:7460244:1 gene:DCAR_015801 transcript:KZM96837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEIHRALMIRIQKRRDMMLAREDAFCSTAMKKLTKRTSDGKVKEKEVAEASGKTMRLDTGGITGTNQASENNEQVEISQGVSQPIDNLQESQESIVQPTPQPSNTSQLQGGVFNRPFIRPGMANKIPTP >KZM98910 pep chromosome:ASM162521v1:4:27892492:27894872:1 gene:DCAR_013728 transcript:KZM98910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRAPCCDKKNVKRGPWSPAEDMKLAAFIQKNGHGNWRSLPKLAGLLRCGKSCRLRWINYLSPSVKRGNFTLQEEETIIKLHDLYGNRWSKIAAELPGRTDNEIKNVWNTHLKKRLSGKYSQCRSNISKNKLPKNDECSSIMIAALSSVSSTSATSNTGAEVPCQEDNKQPTRDHRRDQCPPVATMPEMLTEITPQICPDNNAKKETSRTSSFSSNASDYSSSAQPYHQAGNADKEMESLLSYSEEVPSDFDIWTVLEDFEKFESQQEQQLVPSYLQGSSSGDEDYIINHDIELAEKSEWYRYLENNEIGLVGIIGEEENISQNGKTGNGFCEEMSLEPEIDPDMDCLKHWPPSPHIF >KZM96714 pep chromosome:ASM162521v1:4:4886703:4902306:1 gene:DCAR_015924 transcript:KZM96714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVCAAMSSSYYQSMENKDLSTPFLPSQSHSKYDNDEPQFIITVHDPEAQKVPNGLNFKNLDDPFEFLGSKGYEMLDSTTVDPFRNGTYSIEGVYEWLKIGICLPIALVRMVLFGVCLSVGYVATKIALLGWKDKENPLPRWRCRIMWVTRFATRGILFAFGYHWIKRRGKPAPRDTAPVVVSNHVSYVDPIFFFYEIFPTIVASDSHDSMPVVGTIIRAMQVIYVNRFSPSSRKHAISEIKRKASSNRFPRVLLFPEGTTTNGRSLISFQLGAFIPGYAIQPVVVRYPHVHFDQSWGNISLARLMFRMFTQFHNFMEVEYLPVVMPLVGQKENAVQFCKRTSHAMCVALNVVQTSHSFGDVMLLAKANESKQVNPSLYMVEMEKVAKTYHISSSEAVEFLDKFLSMKPDLSGHVGVCNFLRILRLKRCYFSEKVFGFIDMKKTGRITFKEFLLGSAHVLKHPLFRRACELAFTECDISGNHNISEQEFGCSIIMAMQNLKEDEIHELFILFDFNSDGRICRDDFMACLRQNPLLISLFAPRLLQLDLSSKVCERTTESMGP >KZM98334 pep chromosome:ASM162521v1:4:23008508:23012349:1 gene:DCAR_014304 transcript:KZM98334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGSGERSLKETPTWAVALVCAVFIVISILIEHGIHSLAKWFQKRQKKALTEALEKIKAELMLLGFISLLITVGTNVIAKICIPKSAGSIMLPCKKEYTDETKYKDKGKEDDGDDDGDNRRKLLWYAGDAVARRMLAPAAGEEDYCSKSGKVPLISPSGIHQLHIFIFVLAALHIMYSVMTIIFAQAKLKKWKAWELETSSLEYQFTNDPSRFRFAHQTSFVRRHSGLSTMPGVRYIVAFFRQFFASVTKVDYMTMRHGFINAHFAPNSKFNFHKYIKRSMEDDFKVVVGISVPLWTFAIIFLLLNVYQWYTFIGLAFIPPTILVIVGAKLELIIMDMAQQIQDRTTVVKGVPVVEPSNTYFWFNRPQIILFLIHFTLFENAFQMAYFLWTWYEFGLNSCFHENIALIITRVVLSIAFQVLCSYITFPLYALVIQMGSHMKKAIFEEQTATALKKWQKKAKENRKLRIATERGDASLSGFSSVASTPSRVSSPLPLLHKYRTGDIESTLTSPRYMNYHTQTELSSDVGGSPPSNVSSDYEAKHNVRGGGSAFTFQSP >KZM99016 pep chromosome:ASM162521v1:4:28762895:28763706:-1 gene:DCAR_013622 transcript:KZM99016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTPSTVILSLVLIVFLLGDVNHAVITESPTPQPQPVKNFPMNGTTPGSLHPQDCSPRCTIRCSKTAYKKPCMFYCQKCCAKCLCVPPGTYGNKQFCPCYNNWKTKRGGPKCP >KZM98618 pep chromosome:ASM162521v1:4:25510339:25513181:1 gene:DCAR_014020 transcript:KZM98618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKSFGGEGKKGIDKFKSSMVSRSKMKLWMIRATTCILLWTCLVQLTTLSEMWGARVLKGWPSCFSHESATAMDVMITPSLPTRVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFISSLRDEVRILKQLPPRVKRRVELGLFYTMPPILPLIQKYKIVHLNRTDARLANNGLPLEMQKLRCRVNFGALRFTSQIEELGKRVIKLLRQNGPFLVLHLRYEMDMLAFSGCNQGCSNEEVDELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDRDIQIYIAAGEIYGGEKRMASLTAAYPRVVRKETLLDSSDLGYFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFRKTILLDRKLLVDLIDQYNHGSLNWDEFSSAVKEAHSDRMGNPTKRLVIPDRPKEEDYFYANPDECLQSSEMSEERLEIL >KZM98495 pep chromosome:ASM162521v1:4:24468210:24470502:1 gene:DCAR_014143 transcript:KZM98495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYACKIAGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATRAGYWKATGKDRKVNSQMRAVGMKKTLVYYRGRAPHGARTDWVMHEYRLDERECETASGLQLQDAYALCRIFKKSLNAIKVVNHYGIAASDHSSSNIEIYSDGRCEDNVESSDHPMSLSSAYPPNNSMASASTYNNSGTNTHHDAKWMQYLSEEAFSFSNPSSFQDAAALSYPPSKVDIALECARLQHRLSLPPLQVQDFPQADYVDMKMQEARSMYGDTSNQHQDILQEILSVAQVSQELMNQNSWINGNASAAEDDFSFLPQENKIQGQNNSRSMVTGHNEDLGTERMVENLRWVGMSNKDLVKSFHEEYNCVPIENISSFQNNHNLQGESSHQHDFQEVNDTEETDHFSLEFVNDDPNDHGFLNDAELDDFSTSPTFDVYEKIEVTHGLFVSTRQVPDTYFHKIVPSQTVKVHINPMIVHSFPISAVDAAARPWNISLLDKFKALITTITMVGTTKSLKPWTKTPHPFVGIVSLMITFLYLEDNIDYLIELRDVDDLPDQMKTEQLRNLENFEIFNWEYKEKKCGNVKKEWGSLVLNKVWPCVTLALASYFLGAAHFM >KZM97321 pep chromosome:ASM162521v1:4:13496214:13503095:-1 gene:DCAR_015317 transcript:KZM97321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYVVNNYFKKRLRVYDQHVEVTTKNYSQQAETKYVDQQVRVTVPTLPKDFTSNPGKEVENKTNSFTVLTNTSYSRPETNILRQSGRNEVAKNSEGTTESEQLCALKYGSYCLWRIEHKEKVKDLVVKRMKDQLYVARAYYPSIAKLPALDKLSLEMKQNIQEYERVLSETSTDVDLPSHMKKKLQNMDDVIARAKSYPVDCNNVDKKFRQLVDLTEDEANFHAKQSAFLYQLAVLTMPKSLHCLSMRLTVEYFKSPSLYMEQSLSEKYMNPDLQHYVIFSNNILASSVVVNSTVMHAKESGNQVFHVLTDKQNYFAMKVWFFSNTFREATVQVLNIEDLEINTQEKGSLLQLSLPEEFRISFHDASKPSKSQIVTDYMSLFSNSHYVLPKIFHTLKKVVVLDDDLVVQRDLSALWSLDMDGKVISALQFCSLRLGQINYNFGKNFDGNTCAWLSGFNVIDLVRWRDQAVTETYKRLVQELDRDRGRFKTATVFSSLLTFQGMVHTLDDDWVLPGLGHTYSLAPETVRNAAVLHFNGNRKPWLELGIQKYKTFWRTYLNREHRFLSDCNVNP >KZM97278 pep chromosome:ASM162521v1:4:13043428:13045047:1 gene:DCAR_015360 transcript:KZM97278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFYYHIVPLTIGSIRCSLRSSVAVLITLFVIGVIYFPRENGRLYDQKEDLLTDDHTSKFSPDQNHSSDSCDLFSGKWVFDNKSYPLYKEEECTFISNENACQKYGRKDINYRHWRWQPHQCNLPRFNGTVLLERLRDKRMVFVGDSLIRGQWVSIACLVNKFVPRALESMHFSFNHSLITLKAEEYNASIEFYWSPLLVESNSDDQWNHHFPDPIVRAQAIYKHARHWTDADILIFNTYLWWRRPSMNTLWGSLGSADGILKRVEMLRSYEMALKTWSDWLEIHIDRNKSQLYFMSMSPTHRWAEEWSKSTKGNCYNEQEMIEQEGYRGSETDPRMMRIVEDTINDLKRRGLQVRLMNITQLSEYRKDGHPSIYRKQWVALSEEQLAKPTSYSDCTHWCLPGVPDVWNELLYAHILT >KZM98921 pep chromosome:ASM162521v1:4:27979631:27988026:-1 gene:DCAR_013717 transcript:KZM98921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVKGKSIASRVMEDVTTSKTAGYRKFKIYAENDKVKPIRSDSVDDEIKRKSLPVKNGCQGTTTNSKIKVALKNIENTKGKNDKSAMRNTGRKVLADISNVKGDASKTVIRGVAKPLTTKATSNRYLQRSSLGTGHTNATSSTRKPLTGNSRVSLSQVTANHYTGRRDTRDRNDIKVSSGDLQTNFQGRKSFNNVGRTSLIPRPPTRNSLPVLKRANKIDSSEAEKKNAEYTGQIKEKCGFSVKLKVGRKTLPSGSNSGSYLTTNQARGFGGQLKVDSRASSRKLVKSTAKLKVENLDPRTTLKEVGAATLSKRENKVLTTSLSSIKRPPLVVANKQPAQDELASDSNSNEVQNASDDIARKKSGRRRSYTTLLMATSKLLKEQIKNDEQDCLPNIDQDSNHLDVAEYVDEIYQYYWVMEAHHQPLQNYMDMQKEITPHMRGVHQKFDLMQETLYLMVTLLDRYISSVSIKRTEMQLVGLTSLLLASKYEDFWHPKVTDLISISGESYTRDQMLRMESAMLEKLKFRLNAPTPYVFMLRFLKAAQSDPKFEHLAFYLIELSLVEYEAVDFKPSLLCASAIYLARCTLQMTPAWTPLLGKHAQYEESQMRDCAKSLLKFQRAAKKGELNITYQKFLRPDYSKVAAIRPLDRLPL >KZM99355 pep chromosome:ASM162521v1:4:31408519:31409739:1 gene:DCAR_013283 transcript:KZM99355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKILSKMPFISILNFRDVCPSSRTVLRSFISSSSYSQVHLAPWMLLPGKLEDKESIRFCTYEDEMVFKRTNAPPEFYDDLCLGTSYGWMVMLDKDIEPYLFNLFSLKKIKLPNIDTFLNIVCVTGPIIENGNYIFYYEGRRTHLKKIRSVHQLWHEFMYKAVVSAKPSHNNGDFYVLVIIERGNSGTAQLAFCYAGDDEWMQLGGYNESYCDVVCHDNMFYALNYPDKVEIWDVHNSHPTKRTNIVAAFPQKIFDTKSSLRNFNTFRNYLVEVSTDLFLVVRFIGGVLYNCGLYALELKHETLFFQVYKLDTSKKRWEEVESLGDLAYFVGANQSKSLSVHGNSAYKANSIYFTDDSWDMIYWNKKHFDVGVYHMEDKSIERKILFTSYSEPPPFWIDPESLID >KZM97175 pep chromosome:ASM162521v1:4:11840227:11844927:1 gene:DCAR_015463 transcript:KZM97175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAGITNNDSVTAGRVNQRERFSVDLTPGHTNIVSLKKLRREAGEVVSDDSLEEENEAEKYIFAIVPKNKRSGALPKHKRSGALLVRDTVALNIGKIKQSESSNPEDPVQLENNSKDKGKKISSSENLKIQNHKSRQHERSMSKQVTEQRLERTTSSEHLTVAPKSGDDGLDKSILQLENLVTHSKTPLTDVQGPDASLLDKEIQLSPELKQNLAEVARSTVW >KZM96789 pep chromosome:ASM162521v1:4:6321315:6321554:1 gene:DCAR_015849 transcript:KZM96789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVALFYGLGNQSTEPMVNIDGNYYVLILPFSEEVGWMSDEKKHRRAEDEERRLTACLDEVRCTICNLRRGCAINSMY >KZM97990 pep chromosome:ASM162521v1:4:20157868:20163927:-1 gene:DCAR_014648 transcript:KZM97990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEPEHEVYGGEIPDEADMDADIDISRGDDEDENASKELEDMKKRLKEIEEEAGALREMQAKVEKEMGAGQDTSGATSATLAEKEEADARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVELEAIQNALLLNESELHGRQLKVAAKRTNVPGMKQFRGRPANPYFGFRARRPYMPGPPMFSPYGYGRIPRGRRPMRYRPY >KZM99195 pep chromosome:ASM162521v1:4:30117019:30120181:-1 gene:DCAR_013443 transcript:KZM99195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYFHGNSEIQGDGSQTLVLMNPGYNHNLGGYSDTNHQPPPLSNFFFLNNAHSSATTNIVQAPSTDQSTQHFVGIPLSASQDDHPHRVSIAHSQQHEISSLHSFAPPMHHYSLYNQLDLTAAREATPSNQQQQQGLSLSLSSQHPGYGPFRTEDNELPSPAPAPPSAISPKSNDVQVAQASSSVVSLNGVQSVLLNSKYLKVAQELLYEVANVGKGLQNIDQFGKSSNGNTKSVTGGSAGGDGLRGELGQTSSKRSVELTTVERQEVQMKKAKLVNMLDEVEHRYRQYHTQMQLVISWFEQSTGVGSAKPYTALALQTISKQFRCLKDAIMGQIHAASKSLGEEDSQGGKMDGSRLKFIDNQLRQQKALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKENQNNGSEGETRKNEPGEKMASKARAPSTNSPENYDTTTSQNTRALISASTASTSPNGLSIRNQSGFSLTGSSEMEEMTRGSPKKQRGTGTIHSLGNVSLRNMEFKPEANNEQMSMKFHNDQRQSRDGFTLMGSPTNYIQGFGSYSIGDIGRFGAEPFSAPYSANGVSLTLGLPHGENLSMSGTNQDFLPEQNIQIGRGLEEGEFGAVDTPTSSHSANIYDSMDIQSRKRLAAQLLPDFVA >KZM98636 pep chromosome:ASM162521v1:4:25673305:25683384:-1 gene:DCAR_014002 transcript:KZM98636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISTSIWSFILVISSVLALSTAVSTINANQSIKDGETIVSSDGTYELGFFSPGNSKNRYLGMWYQVSPSTVVWVANRETPINTTSGVLQLTTSGILNLFRNTGDLIPIWSSYISLPVQNPVAELRRNGNFVIREENDDNPDKFFWQSFDHPTDTLLSGMKLGKNFVTGQEWNLSCWKNSDDPARGEYTYSLDPTGYPHNTIRKGGNLIFQTGAWNGLRFSGRPQYSGNTMYTHKVVINKEEVYYMFEMINSSLSRLMINQSGKGQRWTWLQQAQIWNSVYEMFPLKRALILRLGTPRPSDWQKYHSHPNLVFEKHATIRPAGSTFGSIFATKRL >KZM97864 pep chromosome:ASM162521v1:4:18752530:18754357:-1 gene:DCAR_014774 transcript:KZM97864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYSGYRSPSAAAHLQWAHQLHAHAYSLLLVHSLPWELIPTPVIRAALDGTDQQTSTPVLIEEEDEESKQPSEEVRRSVEVLKTAAKTRKVAAEEILSAFKILEKAKLNPSGFLDTLGGTESPGRTWMLIFTAEKQLNSGKYFPITAIQRFDAVGKRIENGVYLGPLGWLTFEGRLSWKKRILAFMFERLRIKVGPFNPFDINIKGEDESEPSTKNPFFIWFYIDEEIAVARGRSGGTAFWCRCRRVEGY >KZM98927 pep chromosome:ASM162521v1:4:28040472:28043507:-1 gene:DCAR_013711 transcript:KZM98927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPLLSSVPSSTLYAGKTSPAQHAITSGNSITSSNASSDQVTGAEHDTEGSEQNQDDVTSAFMESLEAPLVYTKDEFYDSDKAGAVNESIMHETQDGSSSSQHADLGGSHEIYENHGNHFSGMATVAASGTSDAALDHDGPEDMLLCQSCGNMYYDTDLTDAEFKVCHDCRSNEYLTISNVVTAPITAGNFPVANSRILEESGSFGITDPSVVVPVSAAVTNIAESGTSQHENTSKENTGSYSEPIWDFLSTDSVSGNVAEESDQRHPNPRVVGQPTTSYCVPDGGTGDQQMKRSHDYPPVNASGGAGISVLLNRSSSGRGAFLQSRSFTASSNSYDDSSYVRDSAYSLRSSYGHGSLSASSSVDLGLYRQTEARVQRQLSGKQSDLENYRHDMNTKHQRTGSSLSAASNPALQTSSFAPGMLEQSPDVSLSQVRNDAVATLADAEEHLLSSENMEEDDVRTDGESTYKCKTMDPSPSESSTNILNKHQLSTLTSFSNSEESSSYENVEDLTNNLKNVVVVEASTNSLESSVVGEETVPSSSVDMVEGPKFNSQSSFDKISEIETENVHQGTPEIPSDTVSTSSRSSMNEILDPHNTTTSDKDITLAAEPDISSDEHRTLEESTVMVVGKGGSKARSLTLEEATDAILFCSSIVHDMAYNAATIAIEKENPAPVEGSRPTVTILGKANPERKKAKPRRDESDTKPPSNSSNDENIDVSTNRIVGAYDNDAKSMKAPPKLESKCNCTIM >KZM99096 pep chromosome:ASM162521v1:4:29388799:29393357:1 gene:DCAR_013542 transcript:KZM99096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNDQDTKFPFSRTGSFNYSQLETTESPRSWNGEFGLGGVTDRRFAFSRQASFLHSPSFDSPRTPVSIIPNDSVRKPFISRTVSSIDIPAVDYLQDGIDSIWTEDKLISVNSVDKVSILGFLLSILRAGRSGNRPMKRLFVLISLNVAYSTAELCIGLVTGRFGLVSDAFHLTFGCGLLTFSLFAMDASRKKPDHVYTYGYKRLEVLSAFTNALFLLFMSFSLAVEALHAFIQDESEHKHYLIISAVTNLLVNLIGVWFFRNYARVNLAYRNAEDMNHHSVCLHVLADSIRSAGVILASFLLTLGVENAEVLCLGLVAVMVFMLVMPLFRVSGGVLLQMAPPSIPASALGKCLRQVNSREDVVEVSQARFWELVPGHVVGSLSVQVKKGTDERAILEYVHHLYHDLGVQDLTVQTDFA >KZM98031 pep chromosome:ASM162521v1:4:20520641:20522704:1 gene:DCAR_014607 transcript:KZM98031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSIIFAAAILVFLGIGFQESALSLIRVSVGTVVLAITGIVGVIFASYVKQVYYKKNRPPVAGPVFLQLLNFDKIFDYLTDHAKKNITFRMIAPTHSEIYTADPVVVEYILKTNFPNYEKGPRNIRIFYDLLGEGIFAVDGDKWRHQRKLASHEFSTRVLRDFSTVVFRKNSAKLVSHISEIATGKEVINLQDLFMKSTLDSIFKVGFGFDLDTLSGSDESSNRFMKAFDDSNNLVFWRYADLVWRIKRLFNVGSEASLRENIVVIDNFVYDLIHRKRELMNSEKLDGGKEDILSRFLAESKTDPENMTDKYLRDITLSFVIAGKDTSAGTLTWFFYMLCKHPLIQEKVAREVRAATQTESSFTDEFHEKLTEAALDKMHYLHAALTETLRLYPAVPVDGRAACEDDILPDGLEVKKGDGVTYMAYAMGRMPSIWGEDAEEFRPERWLDNGVFKPESPFIFTAFQAGPRICLGKEFAYRQMKILAAALLYYFKFRLANEDEEATYRVMMTLHMSKGLHLYAIPRK >KZM96616 pep chromosome:ASM162521v1:4:2268859:2272735:-1 gene:DCAR_016022 transcript:KZM96616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLMLGKDVADSGVRPRLTRNVFNHDLSMMKEDKQPRLPYTIDDLLSEEDGRESKVWKKLVNIRDSCKRLRDDEDMELVTIVAGFIVVVAAVSYGQMYGQMMKISKFCRRSSNLLCSVLFKAVIKVLQEKDLIESSI >KZM97539 pep chromosome:ASM162521v1:4:15636457:15637419:1 gene:DCAR_015099 transcript:KZM97539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQTGNCAHSIQDLVQSGDILLRKCNICLDSIFGAGTRFQCNGCSDCFHEICIRPQATLQHSFYPDVIFSFSQGQGTAGQNSQKCVACYKSIQGAVYVSTNDIHIHPFCLVNLTKSVSDDTINFHKKQMVHLLKTSGDRRVAISLDLECQMSLSVGGGRCFICRQKDTIIRNFGWAYKYTYTAQGRSTKFECHVACLRAKLNNNFLNQIVNHHPGSTYDQNTYFLDLNRSKLEKSARDVAGLALPVAVAGLDLMISAFVGSPLLSCLLSCLLEAGADKVEKKLRGSRR >KZM99378 pep chromosome:ASM162521v1:4:31677355:31681782:-1 gene:DCAR_013260 transcript:KZM99378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAHTKYPPFLSPSNIFFFFALVSLSYLSCFSADVQSQALLAWKASLNSSSDALTSWNSADTSPCHWFGIHCNSKGHVTEIILKAVNLQGPLPSTLQPLESLTTLILSSNNLTGPIPKQLGEVPELIILDVSSNSLVGEIPVEICRLSKLTTLALNTNFLEGGIPSEIGNLSSLVNLFLFDNQLSGEVPKSIGNLKNLEAFRAGGNQNLKGELPWEIGNCSNLLVLGLAETSISGSLPLSIGNLKKLQTLAIYTSLLSGPIPEEIGNCSELQNLYLYQNSISDVQSQALLAWKASLNSSSDALTSWNSADTSPCHWFGIHCNSKGHVTEIILKAVNLQGPLPSTLQPLESLTTLILSSNNLTGPIPKQLGEVPELIILDVSSNSLVGEIPVEICRLSKLTTLALNTNFLEGGIPSEIGNLSSLVNLFLFDNQLSGEVPKSIGNLKNLEAFRAGGNQNLKGELPWEIGNCSNLLVLGLAETSISGSLPLSIGNLKKLQTLAIYTSLLSGPIPEEIGNCSELQNLYLYQNSISGPIPRRIGELKKLQSLLLWQNSIVGTIPFELGSCTELTVIDLSANLLTGSIPTSFGALSGLQELQLSLNQLSGIIPAEIINCTAITHLEVDNNNISGEIPVQIGNLKSMTLFFAWQNKLTGNIPESLSECKNLEALDLSYNHLFGTIPKHIFDLQNLTKLLLISNDLSGFLPPEIGNCSNLYRFRVSDNRLAGTIPPEIGNLKNLNFFDMGNNRFVGGIPPSISGCESVEFLDLHSNALTGSLPGMLPKSLQILDISDNRLTGPLDPTVGSLTELTKLNLQKNQLSGRIPAQILSCSKLQLLNLGSNRFSGEIPKELAQIQSLEIALNLSFNQFTGELPSEFSGLNKLGNLDLSHNKLTGNLNNLKSLENLVSLNVSFNDFSGSLPDTPFFKKLPMENLAGNQALYIYNGAGGSTDQSRAAGHVKSTMKLTMSILVCASAMLVLLAVYVLIKTRMASNGTPESGTWELTFYQKMEFSVDDIVRNLVSANVIGTGSSGVVYRVTTPNGESLAVKKMWSAEESGAFSSEIGTLSSIRHRNIVRLLGWGSNQTVKLLFYDYLPNGSLSSLLHGAGKGGAEWETRYDIVLGVAHALAYLHHDCVPAILHGDVKAMNVLLGTCLEPYLADFGLAKVVNNSSNDDFSKQNQKTYLAGSYGYMAPEHASMQRITEKSDVYSYGVVLLEVLTGRHPLDPTFPKGAHLVQWVREHLQSKLDPSDILDPKLRGRSDPQMHEMLQTLAVSFLCVSTRANDRPIMKDVVAMLKEIRSVEPVRSDSDLKRVVSGLSPPPPTRKLVSQGSSNCSFAFSEESV >KZM98136 pep chromosome:ASM162521v1:4:21354737:21358257:1 gene:DCAR_014502 transcript:KZM98136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLSDFYHVMTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISSNNPYTMNFRFIAADTLQKIIMLVVLAIWCRVSRRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFLFEFRGARMLISEQFPDTAGSIVSIHVDSDVMSLDGRHVLETEAEIKEDGKLHVTVRKSNASRSDIYSRRSQGLSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVAGGGRNSNFGASDVYGLNASRGPTPRTSNFEDDGGNGNKSRFHHHGQPGNMHNYPAPNPGMFSPKTNGTSAASKKPNGQGHNKAEEGTKDLHMFVWSSSASPVSDVFGGHEYGALDQPAPKDVRVAVSPAKVEGHRADNQEEYMVERDEFSFGDREMHKHEGGEKGGDNKAKVMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWHVEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSIATFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLAYYVLLGL >KZM98333 pep chromosome:ASM162521v1:4:22997200:23003295:-1 gene:DCAR_014305 transcript:KZM98333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLTLLEVASMPIMQVLIISILGALMATEYLNLLPADTRKTLNKIVFVVFTPSLVFTSLDEGVTLQDIISWWYMPVNIGLTFLIGGVLGWIAVRLLKPEPHLEGLVIAMCSTGNLGNLVIIIVPAICTEEGNPFGDHKVCSSKGLSYSSFSMALGGFFIWTYTYQLIRSSSIRLNALKAYEEDAKSPNKDLEANEKSKLLNGQVQESAIMSPRSDGTQNKKQESLCNKFTGFIYQIVEEMMAPPTIGTILGFLFGTIPWLKYLVIGDEAPLRVIQDTVRVLGTATIPCITLILGGNLAQGLRDANIRPMIIVAILCVRYVILPVIGIGVVKAASSFGWLPSDPLFQFVLLLQFCLPPAMNIGTMTQLFDVGQAECSIIFLWTYLVAAFALTICPVPTHQHIAHLILEQKSPSQAIQTFKWASKLPHFTHTQSTYRALVHKLCSFRCFDIAREVLDEMPGSIGEIPDEDVFVTVIRGFGRARMIRDVIEVVEWVSKFGKTPSLKVLNSILDVLVKEDIDIARKFYRKKMVESDVIGDEYTFGIMMKGLCMTNRIAEGFKVLQMIKNQGVIPNAVIYNTLIHALCRNGKVGRARSLMSEMREPSQVTFNIMISAYCGEDNLVQALVMLEKCFNLGLVPDVITLTKVVEILCSSGRALEAVEVVETVERKGGIVDVVAYNTLIRGFCNSGKVKVGQRFLKEMERKGCLPNADTYNILISGFCESGMLDSAYDMFNEMKIVGIIWNFITYDTLIHGFCSRGRMKDGLKILELMEESIEGSRGHISPYNSVIYGLYKENFTEEALEFLAKMGRLFPRVVDKSLKIIGLCKDDNIEDANGVYDQMTKEGGVPSALVYVHLIHGYCKIGRAREAFELMNKMVGHGYLPTASTLNVLILELCKQGRVGSASKFLEDMIERGCLPDHGSYGPVVDGFCGKGDLQKALPLFLQMVETGIIPEFSLWNSLVVCISQKNVWLSNKNICFMGETF >KZM97096 pep chromosome:ASM162521v1:4:10747597:10748585:-1 gene:DCAR_015542 transcript:KZM97096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPVAGTGQQRALCKTRGACYNTTLTCPSECPERKPKKNKKQKGCFINCNSKCEAVCKYRKPKCDGYGSLCYDPRFVGGDGVMFYFHGAKGSDFAIVSDTNLQINAHFIGTRPSGRTRDYTWVQALSIMFDSHTLVLAAKRVTHWNDNVESLTVTWNSQTLTIPTDGEAELRLNSGAREVIVERTDDTNTVKVSVSGLLEVDVKVTPIKEKENKVHNYQLPSDDAFAHLETQFKFFDLSENVEGVLGKTFRPDYVSPVKRGVAMPMMGGEDKYQTPSLYSPVCRVCLFHRQSALAAAM >KZM96586 pep chromosome:ASM162521v1:4:1448057:1450491:1 gene:DCAR_016052 transcript:KZM96586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQLCALDCSKTAWRIKVRVTRMWPSISNASTGSDGLKGYNLILLDDDDCHVHAFIYADSWKSLADKIDEGCVCVITNFYTKKATGSLKPVSSPILINISHSTTVEKVEEDDFMIPRHKFEFVDLGDLFGIATANTNIEYPEFSTDVIGVLEDYEGLAKIKTVHGDRNIVRFRLTDGRHSPRVTVWGPLAVATDTAYKACAARPFIIIMASVKMKTFLDYVQINTVPSTKIYLNLDNEVVSAMRQRLDEEGYVPSERTLSSTSSAAVIPPPIIETITLKQLSEKTKSEFLKSMFLCKVKVKNIEESENWWYDCCHRSNCNEEVSKVEGKFRCFKCHRNYPIPQKRYRIAVLAEDETEAFSMVLLDRAVKRIVGKTATKLIAERIDNQATLTDYPDELKAINGKDLSFKIELNENNILLKSAVYTVTNAFDSEITASSKSEATNSDVEVTGFINNKDGDDVQNDGTTPDTAKSSTKKIKMEIQ >KZM97969 pep chromosome:ASM162521v1:4:19905639:19906899:-1 gene:DCAR_014669 transcript:KZM97969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNLFTNIPVDSVIASDILKDATKVVAKIIGKPESYVMIVLNGGVPISFAGTEEPAAYGEVISIGGLGPSVNGKLSSTIAEILQLKLSIDASRFYIKFYDVQRSNFGFNGSTF >KZM99483 pep chromosome:ASM162521v1:4:32460394:32460558:-1 gene:DCAR_013155 transcript:KZM99483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVLIINMSKYFIETLRAVRPISRSKPKPGLFGPVPFSHLSLLGFELVPVST >KZM99050 pep chromosome:ASM162521v1:4:29030178:29032466:-1 gene:DCAR_013588 transcript:KZM99050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRGRPFFLLWICLGLGFLFSRVAVSQIQLGSRISVGENNYWVSSNGDFTVKFYNRSNGHSAGIHFNSLSVPPSGQTVVWIAGGDLTVGEGSYFELTKKGELVLFDSLVGAIAWTSQSANSSVTSAVLRDNGNLVLLNRQNDIVWQSFDTPSDTLLPGQNLSSSQTLRAASGNSVSSYYSLRLNKLGQLQLKWESDIVYWTSGSHSESFLRAVLSPDGALQLLDQNSKSVWSVYGDDHNESDVKYRILRLDVDGNLRLYSWIEAARSWRSGWHAVSDQCNVFATCGLNGLCLYNSSGSAVCKCPFTLTSDVNAKCLVPYQHNCRSGSSMFAYNHTFLYGVYPPNETIVHSSLLQCKNLCQENPLCTASTFTNDGSGQCRLKQTRYISGYLDDSVSSTSFVKRCSDPLAVLPVSPKPPPPSAKNSQQKQSHKFCIPCLIGATGGTIGTFLIFQIGVAIWFYFIRNSFRPKAASAYSGPLPKSFSMFPYLEIREIAEDFKHQVGPMTFKGMLHNKLPVVVIALNATLEERKFRSAALVLGSIHHKNLVKLEGYCCESGHRYMVYEFSKTKTLLKCLEDPKMCTRLTWRKRMNICISVAKTISYLHSGCREFVSHGNLNCETVLLDDCLEVKVIEYGLGCLEASIDGGCAEMDVRDFGKIVLTLIGGLEHQDSVECTYKHWSESQFDRIVDKRMGSGVDTDELERSLRLTFWCLQVDERMRPSMGEVLNVLESALGVDSPPPPFAARTPQPKELEEPSESNSAA >KZM99861 pep chromosome:ASM162521v1:4:35403503:35404378:1 gene:DCAR_012777 transcript:KZM99861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSTNTSTTTTTTQSPLKAAALMEQMKLHLGTDAGKALLKKIGLVYQLNIAPKKIGYNEEIFVVDLKKGEVTKGAYEGGKPDAIFSFKDDDFIKIASGKMNPQIAFMRGAMKIKGSMSAANKFTPDIFPKPSKM >KZM96757 pep chromosome:ASM162521v1:4:5871987:5873078:1 gene:DCAR_015881 transcript:KZM96757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPEKFARKYRKYLNVRVCLKASKGEIWPIDLVGYGGELWLQNGWPEFASCYSLRFGHFLIFKYQGNSHFDVFIYDKSGIEIDYPFVSTLTVRDEQDSFNQARSTQVGKRTMIDINELKACKKTRANSACIEPCHIGDCWMRKLQHQKIEKVTSDKDLEVKLDDNAQPLGMIDQTKLELNLYNPMHQDRVTKGASAKDEQNSTALSKVSESSKPSFVLTVPSNQGASDRRVVIDKAFALRYMRRTRNFEVSLQVEERIWAVRCTVSGKRHRFCKGWSKFAHENSLCGGDVCVFELINEAQMLLKVCIHRAAKS >KZM97071 pep chromosome:ASM162521v1:4:10437088:10439046:1 gene:DCAR_015567 transcript:KZM97071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCYPTVSEEYKVAVDKCRRKLRGFIAEKNCAPLMLRLAWHSAGTYDVKTKTGGPFGTMRKKLEQSHAANNGLDIAVRLLEPFKEQFPIISYGDLYQLAGVVAVEITGGPDVPFHPGRPDKEEPPQEGRLPNATLGNDHLRNVFVETMGLCDKDIVTLSGGHTLGRAHKERSGFEGPWTTNPLIFDNSYFKELLTGEKEGLLQLPTDKSLLEDPVFRPLVDKYAADEDAFFADYAESHMKLSELGFAEA >KZM97292 pep chromosome:ASM162521v1:4:13245596:13247250:1 gene:DCAR_015346 transcript:KZM97292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVGAQRSLYDVNGVETPSMLSFFILDEIGHLSYSAFHFCGQIPAVGEPQQPPPDLPLS >KZM97133 pep chromosome:ASM162521v1:4:11294359:11295135:1 gene:DCAR_015505 transcript:KZM97133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSQDFIMEEAQRQIIRGKRTKRPRPCTTTSTSSSGGDRYQENDGYSSVLTPQSCENSASTEEDEDMANCLILLAQSGSSFNLKQDHNDENYTKKFHSQKFSENSRKTESEAYECKTCNRSFPSFQALGGHRASHKKPKNNLDEKKALITTILHDKEDQELNFKKMSPSNSPQKAKVHECSICGSEFSSGQALGGHMRRHRIPTTNPNSTMMNHEDQKPRNHLLQLDLNLPAPEDDHFDSKFQFVFTAPALVDCHY >KZM98726 pep chromosome:ASM162521v1:4:26426222:26430970:1 gene:DCAR_013912 transcript:KZM98726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQRRRRSYHTRFRLLIPAIALISGLILLSVLLFFSFLAPSPSHNRHLHRSLIDTRGDVDARKLVEFRVPDYVNVSGRNIWRSKMSEFYYGCSTASDKFAKADVVTRTNRYLLIATSGGLNQQRTGITDAVVAARILNATLVVPTLDQKSFWKDSSNFSQIFNVDRFISHLAKDVKIIRELPKRRGRTWAPYTMRVPRKCSDRCYISRVLPNILKKRAVRLTKFDYRLANKLDSDLQKLRCRVNYHALHFTDPIIEMGKQLVNRMRMKGKHYIALHLRFEPDMLAFSGCYYGGGDKERKELGKIRRRWKTLHNINPDKGRRQGKCPLTPEEVGLMLRALGYDKDVHIYVASGEVYRGEESLAPLKALFPNCYSKDTIASERELEPFAGFSSRMAALDYIVCDESNVFVTNNNGNMAKMLAGRRRYFGHKPTIRPNAKKLSRLFMNRNNMTWDEFSFEVRKHQQGFMGEPKEVRPGRGQFHENPSACICEDSVAKAKAELKLGIFGTGNSTTNMHVSGSVDVQNHPSEQEQEWSDQEEEEDDDMSDFQTISQLNGTSSDYDGGSSEEPELEELLSD >KZM96730 pep chromosome:ASM162521v1:4:5415329:5419233:1 gene:DCAR_015908 transcript:KZM96730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERMTPIKKFVQGAGSLTKELEAIMPELKEMRKRKVERMNQFVEVLDKMYNISKELYTSDKEFSDIKGIDESDLSLKRLEGLQSQLHDLEKEKSGRLKLVLDLLNSLNSLCLVLGLDFQQTVKDVQITLDDSSTRNINVDTVERLSTAISDFRGVKIQRMQKLQDLAATMVDLWSLMDTPFDEQERFHSVTRHIASSVDEINEANVLSSDFISFAEAEVARLQQIKSCKIKEVICKKRLDLEDRCRKAHMIEEANSAANYSVEAIESGTIDPLYLLEQIELQISKVKEEAFSRKDILDKVEKWLAAREEEIWLEEYNKDENRYNTGRGTHLILKRAEKARVIVNKIPAMVEALLSKARTWVLDRRTEFLYDGVPLTSMLEQYKTLRQEKEQDRQRQRDQKKLHGQLLAKQEVLFGSKPSPITSGKKVSRSNTREGMNKRCSHVGEMYQTPTERIAKSYHTITSSYPAKQHSLQAQLGGYGPSSSGKRSLRVTSLPDAQHPSISPSACQTRTTREPLSSVNSSTLSNANSPATIQIDSIPQCVVLDRTPPSKRSPVATQQKTSSSIDENRTPEKMTIPMPTTPTTVSVAMRTAPTPASPFLHHHAQEVECSFEERRAGVVPSTTY >KZM97814 pep chromosome:ASM162521v1:4:18071147:18072387:-1 gene:DCAR_014824 transcript:KZM97814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKSVCVIVVLSFLCHSALATSTMKGAYWPSWSVDYLPPSAIEASYYTHLYYAFLTPNNVTFKFEVDDATALLLVNFTSAVRAKNPTVKTLFSVGGANDGPAYFSRMASTGWSRKSFIDSSIEVARKFGFDGVDLDWEFPQTPGDMVNFGVLIQEWRAEVKKEAKASYKPQLLLAAATYYSANGNFTGIPVKYPGASISKNLDWINAMNYDYYGKWTPNATGSHAALFDLTGNLSTSYGLKSWIKAGVPRRKVIMGLPLYGKTWSLKDPEVSGLGAPAMGIGPGPDGILTYFEIGNHNLANKATVTYDAATVSTYSVAGSTWIGYDDIVSITVKIGYAQSLRIGGYFFWALNGDHNWSISRTASQLWI >KZM98164 pep chromosome:ASM162521v1:4:21627385:21632557:1 gene:DCAR_014474 transcript:KZM98164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMHLCGIKSPSSALSMRALKSQPMLPKHRLNFAASVGSIVGSCTAPKSLCGKRVLSICCEGGNIDVLHRSETENQSLKGVSSELTCVMKFGGSSLASAERMREVAELILSFPEERPVIVLSAMGKTTNNLLLAGEKAVSCGVSNVTDIDELTTLKELHLRTVVELGIERSTILSHLEELEQLLSGIAMMKELTPRTRDFLVSFGECMSTRIFSAYLNKIGVKARQYDAFAIGFITNDDFTNADILEATYPAVAKRLHGDWIADPAIPVVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYQHAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDVPVRVKNSYNRNAPGTLITRERDMRKALLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFHVLHANGVNVQMISQGASKVNISLIVNDSESEQCVRALHYAFFESDISEMVDRGASGNGSSTGH >KZM97208 pep chromosome:ASM162521v1:4:12231826:12238692:-1 gene:DCAR_015430 transcript:KZM97208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLGLKCKVYYENNCIGELNIVAVEGSNFEFPNNEIRIHCLSPKSNRCSPHSVAHMIASSPVLCKLEAEDKYSDLFSLYIDCIRDRQTGVVLLGEEELHLVAMVNKYKNCPCFWCYTVPSGLYSVCLRMLDLRCLWIVFDLDETLIVGNTMRSFRDKIASLRIKIAKETDPVRKSGMFAEMALYEEDQRLLKQYIDRDSVVDSGNVYNVQAEVVKICESQDRIVRPVIRLLDRGIVLTRINPEIRDTSVLARLRPAWEDLRRHLNSKERKRFEVYVCTMAERNYALEMWRLLDPEAQLIDPKQLSARHKKSLNRVFQDQKFHRGMAMVIDDRLKVWEDIDQPRVQVVPAFLPYRAPLAETANGIPVLCVARNVACNVRGCFFKEFDDNLSRRVCRLFYEDEVKNLPLPPDVSTYMIPEGGWLAKVRGCGSSEPDRQHIHQNSLSHITDGALYVGLSSQLVESKSTEARERYETELRNLLEGSHSSGGNENQSYLGSSMYYGGKGKLLPPSMYVERLQEIGKRCCLEVEYKSVVGINEYLQFSVEVFFGGQKVGTGRGNTKKDAQQKAAEDALQKLADFENINTKLNVVLNIVRTIALVFGGTMRTRLLRPTKLDIMALYLS >KZM97886 pep chromosome:ASM162521v1:4:19004315:19008728:-1 gene:DCAR_014752 transcript:KZM97886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPVILTCQSPDLYRFSGISPKSISHIGSQTRISAYPFQVSAFRSSSLSNHQTPCGLSLLGRSKIGFRQMGCDWIKVSAGNGGGTGGFSGGSSGGGGDSGGGDGEKKWSLISWYLALLENHPVLTKAVTSALLNLVGDLICQLLIDKVPSLDLKRMFLFTFLGLALVGPTLHFWYLYLSKLVTTPGASGAFLRLVIDQFIFAPTFVGVFLSTLLTLEGRPSQVMQKLQQDWFSSVLANWKLWIPFQFLNFLIVPQQFQVLAANFIALIWNVILSYKAHKQVVA >KZM98550 pep chromosome:ASM162521v1:4:24979505:24986272:1 gene:DCAR_014088 transcript:KZM98550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPYLIGVIVPFVLTLLVRNSKNGKKRGEPTAVGGEPGYAVRNKLFTSPMESAWQGISTLAELFEHSCKKHHKKRYLGTRRLITSEMEASGDGRSFEKLHLGEYEWLTYGKAFEMVCNFASGLANLGHKRDERVAIFADTRVEWFIALQGCFRRNVTVVTIYASLGQEALCHSLNEASSSWTITSFKDIEQLGKENPVGADLPVSADTAVIMYTSGSTGLPKGVMMTHGNILATVSSVMTIIPRLSDKDVYLAYLPLAHILELAAENIVVAVGASLGYGSPLTLTDTSNKIKRGTKGDASMLGPTILAAVPAILDRVRDGVCKKVDATGGISKKLFDLAYARRLSAINGSWFGAWGLERLLWNFLVFRKIRAILGSRVRFLLSGGAPLSGNTQRFINICIGAPIGQGYGLTETCAGATFTEYDDTSVGRVGPPIPCSVVKLIDWPEGGYSANDSPMPRGEIVVGGPNVTLGYFNNDEKTKEVYKVDEKGMRWFYTGDIGRFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALVGSAYVDIIVLHADSFYSYCVALVVASQSALEDWAQKQGITYADFSELCQKEEAVKEVLGSLVKVGKAARLEKFEIPTKIKLLSEPWTPDTGLVTAALKIKRDAIRKAFSEDLAQLYS >KZM97205 pep chromosome:ASM162521v1:4:12201671:12205270:-1 gene:DCAR_015433 transcript:KZM97205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFPTPFTSFPATPKLRNASVYSAGDQENSNASSSGDNYPPGHLYVSSDVYGFGVVLLEVLTGRRVLDVNRPKDELNLVQWATPLLSKKGTLRRIMDPKLEHRYPIKAAFEAATLILKCLESEPRNRPSIDEVLSTLEQINNVYMTPEEPKVNNVKNPGARRGLNNHRYRN >KZM97633 pep chromosome:ASM162521v1:4:16396692:16398344:-1 gene:DCAR_015005 transcript:KZM97633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLYLRLVIFVFTSIVYVPCSSNAAGGNWPPSPGYWPSSKVRSMRFYQAYRNLWGPSHQRVDNSALTIWLDSTSGSGFKSMRPFRSGYFGTSIKLQPGYTAGVITAFYLSNSEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGTIIGREMKFHLWFDPTKNFHHYAILWSVKELIFLVDDIPIRRYPRKSAATFPLRPMWVYGSIWDASSWATEDGKYKANYQYQPFVGTFTNFKAYGCSAYSPRWCRPASASPYRSGGLTSRQYMAMHWVQSRYMVYDYCKDNKRDHSKTPECWG >KZM98907 pep chromosome:ASM162521v1:4:27860994:27861503:-1 gene:DCAR_013731 transcript:KZM98907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNKVEECLNPRSDPFPTVSCSDEYVEKLNIARDLKHAFLIIPILLSRLIEKLDEDWVAEKPHAHNATMHFLTHVEREAPRGGSAALEPHLEPQECGPATGTSVGAELGVLSRVSHAGETVPELLHGDENLRLGLLLFYMGEGEKVKGEDWGKTGGGERLYRGDERYR >KZM97958 pep chromosome:ASM162521v1:4:19775354:19775773:1 gene:DCAR_014680 transcript:KZM97958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVDQSFSITDDDLMMDDFSYTIHNRPPIKEIALAVSLLVFGILVIVAGVFMSLYKVGGDHAHGVFFAILGSVLFIPGFYYTRIAYYAYKGYQGFSFSNIPPV >KZM99519 pep chromosome:ASM162521v1:4:32710322:32711375:1 gene:DCAR_013119 transcript:KZM99519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSANPLALSVPEPAFESWLRDTGYLEVLDQKTSDLSAAAVSSDSAATTSFSAAGIDPGVFISLFNKLWTLISLLTINPVSKLSVGDFSGETPAWTSGFIGGFDSYSYPSSPDQARMRAHENVKRYAKNYASLVVLFFACSLYQMPLALFGLISSLVLWDVFRCYGNHWGLDEYPVLKQTLFFIMQVGMDTISIVYEAKPVARLQGKLLWLFFNFL >KZM97818 pep chromosome:ASM162521v1:4:18094573:18098051:1 gene:DCAR_014820 transcript:KZM97818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTAGVAAKFAFFPPEPPTYDVKKDENGKLTFTGLTSDKNVDVHLCETKAGNKVAATFWKHPSGRLTLLYSHGNAADLGQMQDLFIELRAHLRINIMSYDYSGYGASSGKPTEFNTYYDIEAVYNCLKSEYGINEEDVIVYGQSVGSGPTLHLASRLQRLRGVVLHSAILSGIRVLYNVKMTFWFDIFKNIDKIKHVSCPVLVIHGTNDDTVDFSHGKKLWELAPEKYEPLWVQGGGHCNLETFPEYIKHLRKFIKAMENLSVAQRSKQKLTNSPSITDSKNNRCLRFGKR >KZM98569 pep chromosome:ASM162521v1:4:25149983:25157390:-1 gene:DCAR_014069 transcript:KZM98569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCVCGTTLKTLKLAAFFRPMVTKRLFQKPHLMLLTRALTSPAIQATVDVAAPDSKDVVARPQWKAAIDLKWIRDNKADVAENIRQRNSAANLDLVLELYGRVFKLQKVYFIEISRTQWHVFNRGHAGVGRILKEGLITMEEDLLKLTDELQLAAQCIPNMTHPDVPIGGEDCSTLRKMVGNVREFSFPVKDHVQLGKDLDLFDFDSAAEVSGSKFYYLKNEAVLLEMALINWTLTEVMKKGFTPLTTPEIIRSSVVEKCGFQPRATNTQVYSIEGSDQCLIGTAEIPVGGMHMDSILNESSLPLKYVAFSHCFRTEAGAHGATTRGLYRVHQFSKLEMFILCRPEESQSYHEELIGIEEDLYSSLGLHFKTLDMASWDLGAPAYRKFDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPELTTPSKGKGKNAPTQFVHTLNATACAVPRMIVCLLENYQQEDGSVIIPDPLRPFMGGLEVIAPKSNFLCINSLSMEWSSQDATNAYLNTLHLCKVKNQKRSLENRPPLIEPECMELISALAAGNQAKLMVEVTTQGISSLTIALAVAAKQTGGRVFCIVPHRENIDKIICRQLESYDHIIADLKKVMRIVVGDPCELIKRYRKMDFAVIDGKFEDHVKLFKNIDMDSKGSVVVVNSKVCERSFGEVVRGKKGVESVVTLPVGEGMELTRIGSSGRWGNKKSRRFYVVDEN >KZM99807 pep chromosome:ASM162521v1:4:35030071:35032236:1 gene:DCAR_012831 transcript:KZM99807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCQFVRLTVGKLALKFPVASKPARTVVHPSSSPCFCRIKLKNFPAVTSVVPCIVSEKTGENIGGEAQLSSQAASFHLNKPDLEKLAGKSGKVTLKIAIFTGGRGTSCGLSSGRLLGRVTVQADLTGTEARVCVFHNGWISVGRDDKCGAQFHLSVKAEPDPRFVFQFDGEPECSPQVFQIKGNVRQPVFTCKFSFRTTGGNQRSRSLQSEANVNRGWLSSFGSDRERQGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGSWLILRPGDGTWKPWGRLEAWRERGASDGLGYRFELSPDGGAAGIVLAESTLSSYKGGKFSIDLGSGLGTGGNTNGQTTPVNSTSPVNSPRGSGDHGYNLWPYCKYKGFVMSAIIDGEGSKCSKPTVEVSVQHVNCTEDAAVFVALSAAIDLSMDACRLFSQKLRKELCQPQELL >KZM99700 pep chromosome:ASM162521v1:4:34132843:34134048:1 gene:DCAR_012938 transcript:KZM99700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHRVPPNSHTYPSLVKAASLLRSSKLHLLFPGSLYSQVIRRGVLKDPFVETSFLCLFAENGNLGDARKVFDEMPEPCVVSYNAMLDALCKNGEMGSAVLVFQRMPVRDVVSWTSVVNGYGRNECFYEAIVFFRMMMVHGDVREFYVKPNEATFVSVLSSCANLLGGGGLYYGKQIHAYMIKNERELTVFMGTAMIALYGKLGCLVYAVRVFDNMVDKQVCAWNALISSLAMNSMENHALDMFEKMKSKGVKPNEVTFVAVLVACARANLVELGLELFESMSRVSRIVPRMEHYGCVVDLLGRAGLLTEAQEFIRRMPFEADASVLGALMGACKVHGAIEYGNEVAKRVMELQPLHCGRYVLLSSIYAEADRWDNAAELRKAMVNAGIEKVPAYSMIDLI >KZM98517 pep chromosome:ASM162521v1:4:24638521:24638946:1 gene:DCAR_014121 transcript:KZM98517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLKYNRVRDHRRSFHGPCRGFRLNARRFSVYRLRAKFYYLFKILSGRWRSWYKHARNICHSHRKKESREFVSRNAYPRVQNRLRSFGRSNSFYSEAIADCLEFIKRSSVSVDENTREQEDYALVQGKFSQQEFEISSL >KZM97110 pep chromosome:ASM162521v1:4:10904812:10915253:-1 gene:DCAR_015528 transcript:KZM97110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLFLQNHLAISSSYDENATHLSPKMQKLALFQFKLSFTINSSTAEFCEDAPSVSKTMNWSMSSDCCTWDGVTCDHMTGNVIGLDLRCSHLEGSIRPDSTLFNLSFLQNIIFDSNSLHGELPLEIFHLPNLEVLSLEFNSQLIVKLPKHKWGSSSNLQLLALTQTTLSGGIPDSIGYLKSLTFLSIFQCNLSGPIPRSISNLTQLTYLNLGFNLLSGQIPDSLANIQNLGILYLNNNNFSGPIPRSIGNLTQLLLLDLDSNYLTGQIPRSVGNLTQLTLLQLSSNYLTDRIPDSLASLQNLQLLSLGRNNLIGPSPSWISNCRELSFLDLSENSLTGPLPSNLILPNLTFLDLSHNSLNGTIPSWFFHSPLLTYISIDHNEFTGQVYVFGSLEMYSLKYLSLSHNNLTGGLEHLPWKILTYLDLQSNMLQGSLPASICNSSSLDILNLSHNNLTGVLPSCTRGLNYSLSVFDLRMNSIEGSLPSALVNFRKLRSLNLHGNKLEGTIPLSFSKFDYLEVFDVGNNQINDTFPLWLETLQNLQVLILKSNKFFGIITNVSKIEHPFPSLRIIDLSNNEFSGPLPAKYIQNFKGMMNKDANKMERTYMGNSLYSDTMMLVVKGVEIEFIRILTIFTAIDLSRNKFEGEIPEYIGNLESLRYLNLSHNNLTGHIPSLVGKLLMLESLDLSFNLLVGVIPQELTSIYSLSHLNLSQNDLTGHIPRGPQFSTFENSSYAGNFGLCGPPLSRRCIRETQQEEFEDDEDDGFFFSGFTWESVAIGYGCGVVVGFVVGYVMFMAGKPKWAGGYWDRFVNGDDSFHNLINVLDFPLESVEEDKGITDNSDPQFQRPGLFNSDVSQGLPPLFNSDIAEHDNYVQDNSDTKQLPVATDISNTHLPLDSSHLTNPTSFQTQSSYYVVESSSSCSAEKNFLPYPELLVPVKPRTKILRSSTFSRWHLLSLSKSPKKISKSKGKKKMKKLSQLPNESEFSENCIHQHMSSKRCAHCQADKTLQWRDGPMGPKTLCDACGVSYKSGCLVRGSRPIASHRSKTKKFSRSQGKEKKTKLSGDIKDDSTNQLQEDFTNPLSYKICTLCNVKGNLPWKDGPVSPSSLCIACGDRYRSGHLLQETHPIASQDPEKNGKMNTRNRKKKRKELPGLPNDSQPLGVAGHQNGPGKRCAHCQVMRTPQWRDGPNGPKSLCNACGVRYRSGRLLPEYRPAASPTFVPSLHSNIHKTVIKMREKPIPKIIMPENDPAITAPPETVPASS >KZM97836 pep chromosome:ASM162521v1:4:18229505:18230938:1 gene:DCAR_014802 transcript:KZM97836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRMSETSVLALREALASQQQLLQKLYNELDKEREASSTAASEALSMILRLQGEKAAVKMEAEHYKRLAEEKMCYAEESIQIFEDIMYQKEMEIASLDYQVQAYKYKLLSLGCNDPESGELKFPENLLQRKENLIEETSARNISRRNSAPPVPFKFSYFKNKGVTERERSESPETDMSTLRVVEEHTSEEVSDQNLGSEKQYGVSAIGDKNSYWEQIRLLDEQVNKIAGEEYRKLRSRSRSPSLISQGSSSIMFGDAMKETITIEAEELTHPSNSLVYEGTRNSSYVSVHDVFEVPQTSETISCGECKAKDEGKMILQVSEKCGVPELYANEAIKQCIKDQADSLGEMLLAKNCKPIEVCQPSEGVSVDCHLALVHPTVGYSETQNEVQEFHMPSEIVELTPASRQESNNNRGEDELRLLSSIQEQLNSIQNEIRSLKPKESKKCSLQEDLSMASLQEVLYFCLFKVLLPFLVRNS >KZM98964 pep chromosome:ASM162521v1:4:28323492:28327758:-1 gene:DCAR_013674 transcript:KZM98964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMIPGGQMTILCGIITWIYFSSLFNLTQKLRSFIQPWVSKRVRSETRIIFEIQKHQHGVLDALFSGLSCVVSVPFYTAFLPLLFWSGHGKLARQMTLLMAFCDYMGNCVKDMVSAPRPDSPPVRRVTATKDEKENALEYGLPSSHTLNTVCLSGYLLHYVLLYIESKDAAIQIAGFAIVFLFVGLIGYGRIYLGMHSLIDVISGLVLGLITLTFWILVHEYIDIFLVSGQNVAQLSSYQMAAVMELVERTGFGVISFWSALTFLLLFAYPKPESPTPSFEYHTAFSGVTLGIVAGVHQTYHQFHHDNVPRIFTYQLSVPMYIGRVFVGISTILLVKFCSKTIAKWALPISANALGIPIRSTNYIPALVCSSTGKKSAEAKQSGYLQKLLFFVNQDTFDVDTGIRLFQYAGLAWSVVDLVPSLFSHLRL >KZM97806 pep chromosome:ASM162521v1:4:18011187:18014976:1 gene:DCAR_014832 transcript:KZM97806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPAANYDYLIKLLLIGDSGVGKSCLLLRFSDGSFNTSFITTIGIDFKIRTIELDGKRLKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLIANKADMDESLRVVPTSKGQALADEYGIKFFETSAKTNMNVEEVFISIAQDIRKRLDETGSKPQYFNIINKNFTNLSSYENNSIVCNLKRSALIRQPSPLKLLNNHLAVKVLISILAYY >KZM99878 pep chromosome:ASM162521v1:4:35527123:35531480:-1 gene:DCAR_012760 transcript:KZM99878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYTCGTVSLEGPSGDFWHASLIKHDNDLCIYEGWQSFVRDHFLEDGDTLLFGYEGDLQFVVQVFDQSSCEKEAASTARCSQDQSKCNNLNAKKRESVENTVGYPRKKMRSSQVPSDIAVKERRLQGIVASMGMTSETSRLSTLAEYVSGPSKNSVAVAGPSGKNSVNHALLSATEADRIAQAFTSLYPTFTKVMKRFNVSGSYTLNIPYQFSMAHLPKCKVQLILRNEDGKSWVINSIPNTRVQTSHTFCGGWLSFVRDNTINMGDICIFELVQNCEFLVRILRVQTEGVDNHSNKSVCKMTNNSCATQKTPGYMTTSKGNSRESHAKPKSKAEKSGCNKNQGSNRRKNKQLQQSPQIRSFMSMKSAPEEKIAAESFLSNLPHFVRIMKKFNISGSFTMKVPCKFSMEHLPSCRTEIVLRNIKGDCWTVNSVPTMKVQTLHTFCGGWMAFVRDNDIQMGDICIFELIGKCEMRVHISSYGRKDQEYQGGRVASNELALMVNSRPLLQGT >KZM97835 pep chromosome:ASM162521v1:4:18220738:18222687:-1 gene:DCAR_014803 transcript:KZM97835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKVKTKTDDDNIISEESTPVSPLGQYLNSSALSLSLYAVLEFQVPVNDLPISLVSDKFLPISPRFSSVMVRDEKSGVKKWKPVEVNVNDHYFVPEFPEGLSPEEYEECLYEYISKIAMEELPRSLPLWQIHKFNYPTSSGVQGSVIFKLHHSLGDGYSLMGALLSCLERADNPCLPLTLPSRQSSSKTSAKNSNVSFIRAAAQFPSNLVTSLLDFGRGILKSSVMEDDMTPIRSNHAGGVEFRPLAITNMAFSLDQIKKITTNLKVTINDVMTGAILLGVRMYMEAEDKKSGNANATALALLNTRDIDGYKSVSEMLKPKAKMPWGNQFAFLHLPMPKLTDSHDPLDFVFQTQRTIKRLKNNYAVFLNSQFLNISRKLIGPEATSKFLYSTLKNSSMCISNMIGPVEQMALAKHPVQGLYFAASGLPKSLVVTLLSYVGTLRATIAVEKGFIDPDKLKVFIQKAFDIIFEAAVPPGC >KZM97554 pep chromosome:ASM162521v1:4:15760783:15764559:-1 gene:DCAR_015084 transcript:KZM97554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILLLLFTCILAAALFFNLLWASISSSITPNWPSNQPHRVVIANYSINVENIKKVEIKEKKANGESISPTFADLPAPELQWEKMTSAPVPRLDGFAIQIENLFYVFAGYGTIDVVHSHVDVYNFTDDSWVDRFDMPKEMANSHLGMATDGRYIYVISGQRGPQCRGPTAQNFVLDTKTKKWTSMPPLPAPRYAPATQLWKGRLHVMGGSKENRHTPGVDHWSLAVKNGKALEKQWLLETPIPRGGPHRACVALDDGVIVIGGQEGDFMAKPGSPIFKCSRRHEVVYPDVYKLDAEMKWEVLPPMPKPDSHIESSWVVVNNSIILIGGTTEKHPVTKRMILVGEVFQFHLDSKKWSVIGKLPFRCKTTLAAFWDGWLYFTSGQRDRGPDNPQPRKVIGEMWRTKLSLSQ >KZM98095 pep chromosome:ASM162521v1:4:21057008:21060617:1 gene:DCAR_014543 transcript:KZM98095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSDSPGVLHPYHHNFYQDLHPFDASWDSHNTALSLDYDLGAEGDLFKAPVPIIEQQMVGLDPVMASMSMIVSGGHVGSNQAQNVADIELMQNEQLLSEVFCEFKKDLLANEATGTPVSSDLQIQIPFATDENPSIEEEKVLLHGSLLKSTSAECLGSSEWTHKNLVRPNILDNRGIDFGITYGMRRSFSEGDIKTIGYDGATVVKSPLAQPQIALSGITEVRNEKLMRYRAKRTKRNFGRKIKYACRKALADSQPRVRGRFAKTEEIDGSKK >KZM99752 pep chromosome:ASM162521v1:4:34510288:34520640:-1 gene:DCAR_012886 transcript:KZM99752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVSFLSTLPLIPAASSSATAPILPPGTIRCKFQENVAIEEHGFRRRDVVQGLGLAMGMELLTSSSSYVEAANAADLIQRGQRSDFQSKIKTTLYTSIKANPDLVPSILTLALNDIMTFDKATKTGGPNGSIRFSSEINRPENKGLSGALGLLEEAKKEIDSYSKGGPISYSDLIQLGAQSAMKSSFLASAIRKCGGNEEKGTTLYGAFGSSGQWGLFDRNFGRLDTQEPDPEGRVPEWEKASVQEMKDKFSAIGFTPRQLAVMSAFLGPDQIATEALLATDKDVLPWVQKYQRSRETVSETDYQVDLITALTKMSCLGQQINFEAYTYPVRKLDLTKLKL >KZM99886 pep chromosome:ASM162521v1:4:35598862:35601867:-1 gene:DCAR_012752 transcript:KZM99886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPNPPVPFSSWTDEMITLSLEDYNQGKPPPQYLLSDLNPYSYLPSNLPDGIGYLLSSEVKKDTQLGSWKENGEPCEIFTNSVLTGWRTTYEFFEGQSPNEKKTNWVMQEYKITHKGPGDGSKSKDYGSLCRILQSKKSIPEGINMISLPKSNSTGGQGSKNEAQEIGDVEAILLTSAERHNCALRGDFLELDDLADPQSPFSTSFNSSCPTLASEELFDSDMLLQELDDKRIDRKEQFKYTVSSTVKPNEVVMRPAIVGKLTTEEPMKSNCYIPALVVGGENASASSGHPGAVYEKRKEKKGVSGQIKKLQNFFCFDLF >KZM98765 pep chromosome:ASM162521v1:4:26737919:26743012:-1 gene:DCAR_013873 transcript:KZM98765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCTGGTIKQTTSPDFQHRADSSTSTPSSGFSGKLKSIKSFGGKQQKRRKDSEGSDEVVDSASFVANAERKKTHHIFDSGELHFSISRELKSSSTPVRNHAAKGPHASSFLGKAGIVGLERAVDVLDTLGSSMTNLNAGSGFVSNMASRGNKINILAFEVANTIAKGSNLLQSISGENVQFLKKEILHSEGVQLLVSTDMTELLRIAAGDKREEFSVFSREVIRFGDLCKDPQWHNLGRYFSRLDTDPATQKQPREEAEKTMQELTNLAQHTSELYHELHALDRFEQDYRRKLEEVESLHLPRKGEGLVILHSELKHQRKLVKSLQKKSLWSRNLEEIVEKLVDIVTFIHQDILEAFGDKGVIPTEKPSSKPERLGVAGLALHYAHIITQIDNIACRPTSLPPNTRDGLYNGLPISVKTALRSRLQAFDVKEELTVPQIKAEMEKTLQWLVPIAADTTKAHQGFGWVGEWANTGMDFGGKKTGANSSVIRLQTLYHADKQKMDQYILELVVFLHRLINLVGYRDNGFKALPVRSPTSRGVIPHIETQGPSSLDNKYEVQDKKISSEDRNLLEEVVKQRKMITGRSKSQEFVMGRRGSTKVWALSRSTGSSPRRFLQQGHQKSSILDILDGMDQHELFSS >KZM97980 pep chromosome:ASM162521v1:4:20067645:20069783:1 gene:DCAR_014658 transcript:KZM97980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIHVPCLEDNYSYLIIDEATKEAAAVDPVEPEKVLRVAQDHGVDLKLVLTTHHHWDHAGGNEKMKELVPGIKVYGGSVDNVKGCTDKVENGDKFSLSSDITVLSLHTPCHTKGHISYFVTGKDEENPAVFTGDTLFVAGCGKFFEGTAEQMHQSLCVTLSSLPKPTRVYCGHEYTVKNLQFAVTVEPDNAKIAEKLSWAQEQRQSGLPTIPSTIDEELETNPFMRASLPEVQEKVGCKSAVDAMREIRQRKDTWRG >KZM98668 pep chromosome:ASM162521v1:4:25904347:25905944:1 gene:DCAR_013970 transcript:KZM98668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQEESCSSFDFPTIDQCESIGRDKQTVVADMDGTLIRGRSSFPYFALVAFEVGGALRLLFLLLASPLAGLLYYNISESAGIQVLIFATFCGMKVSEIEAVARAVLPKFYSADLHPESWRVFSSCGTRYVLTANPRIMVEGFLKEYLGADVVLGTEIETFRGRATGFLTSPGVLVGKNKALALQKAFSDTGAPDIGLGDRKTDFPFMKLCKESYVVPAHPQVEAVTHDKLPKPIIFHDGRLVKKPTPLTALLILFWIPVGFILACMRIAAGALLPMSLVYYAFRALGVRVTIKGTPPPTAQNSTNQTGNLFICSHRTLLDPIFLSAALGRPIPAVTYSLSRLSEIISPIKTVRLSRDRVTDASMIKKLLQKGDLVLCPEGTTCREPFLLRFSALFAELTDELVPVAMSNRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPKELTCGTGQSSHVVANYIQRTIASTLSYECTNFTRKDKYRALAGNDGIVAR >KZM99787 pep chromosome:ASM162521v1:4:34860018:34869814:-1 gene:DCAR_012851 transcript:KZM99787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSSYSYLSAALSLPSTSPFLTKLTATKTLTINLQNPRHHHQQQFNIHIRHAAHNFFKFTHKNTRTRLNCASPDPGELNLTHSTSTSDNNKLILICSAITIALAISNRVLYKLALVPMKEYPFFLAQINTFGYVIIYVSVLYIRYSAGIVTNEMMAIPKFRFVIIGFLEALGVVAGMSSGAMLPGPAIPVLNQTFLLWQLAFSTILLGRRYSLNKIAGCLLVAVGVVIAVTSGSDSGQMLSGIGVMWPLLMVASSAFQAGASILKESVFIDATKRLKGKLLDIFVVNSFGSGFQALFVLLCLPLLSNLKGIPFSQLHLYIMSGAGCFFNIGTNTTGCDGAPLLPLLYIITNIAFNISLLNLVKISSAVVSSLAVLLSVPLSIYILALPLPYLPEGVTLSPFFLWGSAVLVMVMAAPLQARPYNNMQVDDDDDVVEYEEEAAFDDDYIDDEIDDCRVADVNTTPQRHQQLSYNISGSGGLVVASRTSELSLAFEGEVYVFPAVTPEKVQAVLLLLGGRDTPVGVPPEISFVPDNRVMTDSPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLREDCRSSNLDSQRSLPQGDGSCHLETLCHHCGVSESSTPAMRRGPAGPRTLCNACGLMWANKGTLRDLRRGGRNHPVDQVELGTPAKIKPLLLEDQHYAGTVDDLVIPEDPSKAIVEVANHHQSIDPDKDFPDTAEALAKSLPIEIGSPLGTLDQDTLVDFADTSEAEMDIPTNFN >KZM97561 pep chromosome:ASM162521v1:4:15829180:15835274:-1 gene:DCAR_015077 transcript:KZM97561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEKWSIEKRAPLRNDSVLKEENVPEIGCLSIVVLGASGDLAKKKTFPALFNLYRQGFLQSHEVYIFGYARTKISDEELRERIRGYLVPSKNTVKGHEEDLTNFLQLIKYVCGSYDTEEGYQLLDKEISAHEIYRNSTEGSSRRLFYLALPPSVYPPVCKMIKKCCMNKSDLGGWTRIVVEKPFGRDLESAEQLSNQIGELFEEPQIYRIDHYLGKELVQNLLVLRFANRFFMPLWNRDNIDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVVPIKDEEVVLGQYEGYLEDPTVPDGSYTPTFATMVLRLHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKSNKQGRNEFVIRLQPSEAMYMKLTVKQPGLKMSTVQSEMDLSYGQRYQEVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDRGEFKSIPYKQGSRGPAEADKLLEKAGYVQTHGYIWIPPTL >KZM96584 pep chromosome:ASM162521v1:4:1440354:1441563:1 gene:DCAR_016054 transcript:KZM96584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHDPLSTLNSTKISWTLKDNHIIVVARPDVWEKHINVMFEGGLYQITNVYVRDAVGRYRPVTNSNVIHFLPVTVVTLYPDDSIMIPFHKFELTPLGDLLGIYQESNHEHVPEQSTDVIGVLENLDPVRTIQTIDGPTEIEKFTVNDGSDAIKVSYFGPLIDETRRLYAHDLEMPVILILASFKLTGHQGFSLATLIDQGYMLTSTLKTSQSSETGFSIRLVLHVMTMKMHYRYFVSYAYIFPFVYTNRLAEIGV >KZM97597 pep chromosome:ASM162521v1:4:16098973:16102938:-1 gene:DCAR_015041 transcript:KZM97597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEAAEKQWRVSDITNPSPNTSLNLPPARSPTDSSLSSCRSSLDHADASQHLSLQPSPSPPLQFHNSPPTFSPTFSAAPNRSVPADPVTVTKPQRAAKETSGGVSSIGTRRMKTTSSFGSRRTVSELVVKKAALGFRFFGFVFCLVSFSVMAADRNKGWAVDSFNRYIEFRYCIAVNAIGVFYSALQGLNLAYQLSSGKLVSGSHLRYIFDFAFDQILAYLLISSSSSAAIRVDDWQSNWGEDKFTNMARASVVVSFIAFVSLAANSMISGYTLCTL >KZM96884 pep chromosome:ASM162521v1:4:8254539:8256391:-1 gene:DCAR_015754 transcript:KZM96884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLDVNTEIYPICVKDRFRMVLSKSLYPDGSAVTELNPQDQKKSLADKFEYVMHGLLYKMSEDTSGRDPSAEVYISFGGLQMMLKGNPTKIGKYNVDEKLFLLMRRL >KZM97683 pep chromosome:ASM162521v1:4:16844200:16848747:1 gene:DCAR_014955 transcript:KZM97683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLKLQSGINAIKEEPEDSEASFPDKERSALACIINSEISVVLAVMRRNVRWGSRYTSGDDQLEHTLIQSLKALRRKIFSWQHEWYTINPIVYLQPFLDVIRSDETGAPITGVALSSIYKILSLNVLDLNTVNVEDAMHLVIDAVTSCRFEVTDPASEEVVLMKILQVLMACMKSKASVILSNQHVCTIVNTCFRVVHQAGTKGELLQRIARHGMHELVRCIFSHLRDVDNTDTSLVKGGTSTLQEVGGLHSDYSNGSKQSENDNSASDYNTQTSSGSFASNTSAGMVGATGETIVTSSNGKDIDSHDFHLMTEPYGIPCMVEIFQFLCSLLDVVEHMGIGPKANTIAFDEDVPLFALGLINAAIELGGSSIGRHPRLLGLVQDKLFCYLMQFGLSMSPLILSTVCNIVLNLYQNLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKDFMVEMYLNLDCDITCSNVFEDIANLLCKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNMSAGSEPSPVHLEEYTPFWMVKCENYNDPNHWVSFIRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAWTFDFKDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPLILANKDAALLLSYSIIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPRDFLIELYHSICKNEIRMTPEQGAGFPEMTPSRWIDLMNKAKETAPFIISDSKAHLNRDMFDLDRDMFAIMSGPTIAAISVVFDHAELDDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPTFAEEPVLAFGDDSKARMATITVFTIANRYGDFIHAGWRNILDCIVKLHKLGLLPARVASDAADDSEHTAEPGHGKPPTNSLSSAHMQSVGTPRRSSGLMGRFSQLLSLDTEEPRLQPTEQQLAAHQRTLQTIQKCHIDNIFTESKFLQSDSLLHLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIVLLWPGVYEHISNIVQSAVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYFEQITQEVNRLVKANATHIRSPMGWRTITSLLSFTARHPEASEAGFDALMFIMSEGAHLVLANYVLCVDAARQFAESRVGQVDRSVLALDLMAGCWSG >KZM98858 pep chromosome:ASM162521v1:4:27441054:27443398:1 gene:DCAR_013780 transcript:KZM98858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPIITKSFLDASSSLLCITESRPLSKKNNDVALEGQKNSVHFQVGEVGEVAPTNSSFGGGSDCGSFQQWQLDEIFGVNDLNQNYNYMDNGSSKADSGKFGELDCSAILLAADLDLDSDDCLGHVPETAWDVPELPSPPTASGLHWPDTYQHQVEITPAFVPDVCYSTRQYQYQDQHMVNSLKRRRP >KZM97111 pep chromosome:ASM162521v1:4:10916100:10918331:1 gene:DCAR_015527 transcript:KZM97111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKASKTSFNLLVCLLSLLFFEARLYEGTNVIRVGQTLSGDQTISSENGTFELGFFKPGESQNYYIGIWYKSVDTKTFVWVANRNYPVSDPYNSELKLLPDGNLALTNEARTVIWSSGATATTDNSTVAILLDSGNFVIQDHQDSSNVIWQSFDHPTDTWLPGGKIGYNKLKKEKIYLTSWKNSENPAPGLFSFEVETNSTSHILVYNRTKRYWSSGAWTGTSFALVPELSHNTYISNLTYISNVNESIFTYKIAVPETFTQFTVDTSGLLRQFVWWKEVPERKWLPFWVWPLHCDGLGLCGDYATCNQFKEPFCTCFQGYEPRVSKNWALGDYNDGCMRKSGSKTRVWIVVGATGGSFIMLGIVTLAILHLRKEKVRSYDWESGDLVVFKYKDIRKLTKHFSEKLGEGGFGSVFKGTLPNSRAIAVKRLKNLQQGEKQFRAEMSTIGQIQHINLVRLQGFCIEGTRGYLAPEWILNDAITAKVDVYSYGKLLFEIMSGRRNIEFSDDGSYFPALVAEKLNEGEEGLTQFLDKRLRGEADSSELARACKVACWCIQDDEKDRPSMGLVIQILEGLLEVGTPPIPRLLLLLGFTKQNKSQPRVNQDYTFSTSSSISWNSQDKASSWSPKPLFAEAAQQKPLIFNQV >KZM98525 pep chromosome:ASM162521v1:4:24741951:24745855:1 gene:DCAR_014113 transcript:KZM98525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCLKTSRTALHYVRTRPGPAFTHLLNRTFFSCSSHHCNPRIISTNEYRVHSSFLGLGSRASQHSKALKVEDHDHSENEEWDFPGGKVPFTTKIKFTDDSSKDRIPCYRILDNCGQQIRSSTSEMISKELAVKLYSSMVTLQMLDTIFNEAQRQGRISFYLTSNGEEAINIASAAALSNDDVILPQYREHGVLLWRGFSLQEFANQLFGNRSDYGKGRQMPIHYGSNKLNYITVSSPLATQIPQAVGVAYSLKMEKRDACAVTYFGDGSSSEGDFHAGLNLAAVLEAPVIFFCRNNGWAISTPVTDQFRSDGIAAKGQAYGIPSIRVDGNDVLAVYDAVREAREMAIKEQRPVLIEALTYRVGHHSTSDDSTKYRAIEEIEQWKTARNPISRYRNWVESNGWWNEEQESELRGSIRKQLMNAIQVAEQIEKPLLADLFTDVYHEMPSNLQEQERSLTETMKKHPQDFPSGFAV >KZM97496 pep chromosome:ASM162521v1:4:15264249:15265577:1 gene:DCAR_015142 transcript:KZM97496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRCVYVDNSEGFGSSGDYLMMTRNGSSGVVCPKPRRVSLFNLSSYQVENCDSGAGSELLDLFLNKGSYGVENSNHQLASSPPFFMGSPPSRASNPVVQDVHFGNTTSGSFSPISETSPSSQHNNGGCARAKFGQKPAAVRIEGFNCRGNNCSISVVA >KZM96961 pep chromosome:ASM162521v1:4:9212924:9214751:1 gene:DCAR_015677 transcript:KZM96961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKTKSQRKREKNKAIAEAYQKLKADYEILLREFTSLKQQNDHTYNGGQSLLIITTKNDPPYNGGQSLLIITTKNDSPYNGGQSGCDQSGYSMCDPTDNGGQSGYSICDPIDNGGRCGQSGYSICDPIDNGSRCGQSGYSICDPIDNGSRCGQSSYSICDPTYGDRYKPKPPQLCPRFPEQREILSLDVGFAQTPVLREIFSFWDYIASPQDIHQEMKRFGVDLEVISSKIAPSVVAVSSFYGSKIKFDCSGLIIHWSSSEKEAIILTSAKLLYYPKGSEVEFHLIVRMADGTLLLAKEDHVDYYYNLLTLKVKPVVEPEVVDLRSRQAGVVDGMKVISFGRSLLTSTLYGDRGKLFEYPPSFGCYELSATDCGIREIGEGGPLVNDAGYVVGINFFGHYRCAQALPTPTILSCLEMWKSFSTVLRPWFGIRVIDVKQYRKLVSNPGKELDASNRDLSVSVEEVHEGSVAYKYNVKSGDKVVTLNGTKIETVKQYSQLLSEASRAATTCESGHRLMAVINPFDRPTDDIIIEADNISVDDKRFSSCWPLLVSDDWDNC >KZM96989 pep chromosome:ASM162521v1:4:9499106:9501322:1 gene:DCAR_015649 transcript:KZM96989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNSPLICLPRTHQLTSITDNNYRIFATHPTLSLIDQCPNLKHLQQIHAHMLRTGLFFDPFSSSKLIAAMALPPVSSIEYARKVFDQIPQPNLFTWNALIRAYSSSNEPLQSLILFLQLLYQCDELPNKFTFPFVIKAAAQLSDYGMGRVVHGMAVKTALTLDLFVVNSLISFYATCGRLDLAYRVFGSSSRRDVVSWNSMITGFAKGDYPDQALELFQVMQAEGVAPNSVTMVGAFSACAKKSDLEFGRWLHSYVEMNGYAKQEDFGSAKRVLSAMPCQDIAAWNALISSYEQCGNPKEALAVFRELQLRKNLKPDVVTLVSSLAACSQLGALDIGEWIHMYIKKEGIKLNCHITTSLIDMYSKCGDLEKALEVFESVSWRDVFVWSAMIAGFAMHGCGKDAIHLFMKMQESKVKPNAVTFTNVLSACSHTGLVDQGKELFDQMERVYGVAPGVKHYASMIDVLGRAGKLEEATELINKMPITPGASVWGALLGACRLHGNVDLAEQACTKLLELEPRNHGAYVLLSNVYAKVGEWNKVASLRKLMRDADLKKEPGCSSIEVEGTVHEFLVGDNSHQFSKEIYTKLDEISSKLQAIGYVPNKTHLLQLIEEEESQDHALNLHSEKLAIAFGLIYASPSQPIRIVKNLRVCGDCHSVAKHISKLYNRQIILRDRYRFHHFRNGDCSCMDYW >KZM98595 pep chromosome:ASM162521v1:4:25307687:25310136:1 gene:DCAR_014043 transcript:KZM98595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAHYLLAVPAESSSSSLKPHHLNPIVASSSSKILPWKSPGVSFGLNLNKVCNFSIFPKLLHRIAAHKAKAKPQESEVSPAVDSFSQFKHLLLPITDRNPYLSEGTRQATSTAAALAKKYGAEITVVVIDGNQKETLPDHETQLSSIRWHLSEGGFTEYKLLERLGDGSKPTAIIGEIADEMSLDMVIMSMEAIHSKHVDANLLAEFIPCPVLLLPL >KZM99431 pep chromosome:ASM162521v1:4:31981437:31987617:1 gene:DCAR_013207 transcript:KZM99431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPAASPISDTDPEVDTSSSNPNPNPNSSPKLSVDTLAVDNLSTSPLVCVFRSAGDAAGGAFMGSIFGFGSGLITKKGFKGSFGEAGKSAKTFAILSGVHSLVACLLKRLRGKDDVINAGVAGCCTGVAMSFPGAPQALLQGCLTFGAFSFIIEGLNKQQPALALASPRRTKTSHYGPLPPLSIPLPNELKESYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGRKKKSAAKKEGEEGEAATEEAKKSNHVVRKIQSRQEDRKIDAHIEEQFGGGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAAA >KZM97168 pep chromosome:ASM162521v1:4:11712164:11712589:-1 gene:DCAR_015470 transcript:KZM97168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDLGYYESLDKLGQLKRPGLRKEWSFFFDCITRAFQKKSTNWDAIPMDMLQIGYSLIYSTAFDFGRLVLRNIGERMHENRQVIYFSRFCQLLFNATVGEVDFDAADEINLLNFIKGFSRISYLKMRRDQFSDLCIFQLH >KZM97946 pep chromosome:ASM162521v1:4:19698434:19705566:1 gene:DCAR_014692 transcript:KZM97946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLEEIKNETVDLEKIPIEEVFEQLKCTREGLSTDEGANRLEIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGSGKPPDWQDFVGIICLLVINSTISFVEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRHPYDEVFSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMIVEIIVMYPIQHRKYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGMDKDFVLLCAARASRTENQDAIDAAIVGTLADPKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGSGKPPDWQDFVGIICLLVINSTISFVEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRHPYDEVFSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMIVEIIVMYPIQHRKYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGMDKDFVLLCAARASRTENQDAIDAAIVGTLADPKEARAGIKEVHFFPFNPVDKRTALTFIDADGNWHRASKGAPEQILTLCNCKEDLKKKVHAIIDKFAERGLRSLGVARQAVPQKSKDSAGGPWEFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSAALLGQNKDSSIASLPVDELIEKADGFAGVFPEHKYEIVKKLQEKKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALLTVIFFWLMKDTDFFPDKFGVRPIRDSPDEMMAALYLQVSIVSQALIFVTRSRSWSFVERPGFLLLGAFLIAQLIATLIAVYANWGFARIEGCGWGWAGVIWIYSVVFYFPLDIMKFGTRYALSGKAWNNMIEQRVAFTTKKDYGKEEREAQWAHAQRTLHGLQPPEATNIFNDKNSNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KZM99327 pep chromosome:ASM162521v1:4:31137545:31138632:1 gene:DCAR_013311 transcript:KZM99327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCMLALLLLSVLIMITTQAPQAAADFYKDAEITWGDQRAKILNAGRDLTLSLDQASGSGFQSKNEYLFGRFDMQLKLVPGNSAGTVTTFYLSSQGAGHDEIDFEFLGNSSGSPYTIHTNVFAQGKGNKEQQFQLWFDPTSSFHTYSIVWNPQRIIFLVDNNPIRVFNNQESIGVPFPKSQHMRVYASLWNADDWATQGGRVKTDWTKAPFTAYYRNFNIKGCPKSSTGSTSCGSSTASSNNEGWRTHELDAAGRNRLRWVQSKHMVYNYCSDKQRFANAVPAECKRSRFL >KZM99539 pep chromosome:ASM162521v1:4:32839249:32839515:-1 gene:DCAR_013099 transcript:KZM99539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRTDNDIKNYWHVHLKKKLGKVNSRGVEGKQNFEDYKHYDDVATIGGLDYIKAYYDKMCKNVIVVNSITTQQNNQEKAIAFTNKRL >KZM96698 pep chromosome:ASM162521v1:4:4595657:4602227:-1 gene:DCAR_015940 transcript:KZM96698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASVRKHLVPRYADRVLEGRVYSLRNLKVTTNMYPYRPLASDVKLLFLATTAVQELQESDVSIGRYGFEFVNQTVLQSRANDPTVLSDIVGCFTGFEGIETVRSGSKKRDIQILTDYSVNSTVTLWGKLGEEFDPALYTQDSGPYVIVVSSVTVKRFRQGSLTFSTTSASKVYINPEVDHVTSIKERFSALSIQAKPIQGTSAAKLTPEEEMFINRMTVDALVKATGAGEMKENACQSGPATSSNTVLTTEDKKKRKRSSCEEDASQELGEDGSQELNEGHDVSFTPATPSSVDKNGASDVALAINLGQARKPLAHAIHLQTDPQGSQQRVPTTAKCVTGRSLLIDVEDGNKSTANIPTGSGIVSQY >KZM97569 pep chromosome:ASM162521v1:4:15877631:15878257:1 gene:DCAR_015069 transcript:KZM97569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKANAFSFLLLIVFLTTLEAEARESKYFSKFNPRAEQPPLKPSTQINTHNNYNSEIHESDFPTEEFIENINKDSSKTNRFPRDFGEHSYVSVSGGHKKKDSNDFYNPVRASTNGVFELSEEEKKFFNVKDAKNNYKDRENVHAKEAQGMSDTRTLENGKYYYNPVVARAKRGGEGYFRNREDKPTYDEFRPLKDFEYNSQNQVGFIP >KZM97325 pep chromosome:ASM162521v1:4:13538543:13540135:1 gene:DCAR_015313 transcript:KZM97325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSFVWSSCFYFSPDTTSNSTRQGAKVAPNVIGAFSYEELKQATSGFRFSNKIGEGGFGSVYKVGITSYNLGYLAPEYAISGHLTRKSDVYSFGVLLLEIVSGRTAVDFDPQLGEYYLVEKAWKMYEEEKLIELVDPMLKGKFSEAEAVRFIKVGLLCVQQFRRLRPVMSMAIKMLSGEVNLDDQVDITQPGVITDIRNVKLCQSLTSAKEQ >KZM97777 pep chromosome:ASM162521v1:4:17714081:17716197:-1 gene:DCAR_014861 transcript:KZM97777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKLEALCSRPLPCLSLLSLTIFSQEFSLSTTTGRKYTLLIPAVSSSVMEMLDNWLPASSKSAEVEIEVSKQYQTLNEKIVTRSIFGSSHKEGTAIFKLQAQQTALASQAFQKVFIPGYRFLPTRRNLKSWKLEKEIKKSLVEVIESRRVNWEDEMLKNGPKDLLGLMIQASIKEEKESYDSPITVHDIVEECKSFFFAGEQTTSNLLTWTTVLLAMHPQWQVMARDEVLEVCGSHDPPTKDNVSKLKMVILEWFPHSSSNLQGIKLLYLFLFISHDSSLNIRYFVFPSFYEC >KZM99811 pep chromosome:ASM162521v1:4:35069539:35072669:-1 gene:DCAR_012827 transcript:KZM99811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHLLYGSILAALLGFVLAYALFFRRNGGVCEAEKSANTTTAVARECGSRNGDGDDADVIIVGAGVAGAALAHTLGKDGRRVRVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVFGYALFKDGKSTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKAAALPNVQLEQGTVTSLLEENGTIKGVQYKTKTGEEMSAYAPLTIVCDGCFSNLRRNLCSPKVEVPSSFVGLILENCQLPHANHGHVILADPSPILFYPISSTEIRCLVDVPGQKVPSVSNGQMATYLKTVVAPQIPPELHDAFIATVDKGKIRTMSNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLTDMNDASTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQARKEMREACFDYLSLGGVFSEGPVSLLSGLNPRPLSLVVHFFAVAIFGVGRLLLPFPSPKRMWVGARLISSASGIIFPIIKAEGVRQMFFPATVPAYYRAPPSC >KZM98220 pep chromosome:ASM162521v1:4:22050457:22058266:1 gene:DCAR_014418 transcript:KZM98220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLQETVCQTCGDEGFSEAIVICVKCHDAGEHRYCSPAWPKNFEDDVDWICSDCILHNAEQSISANPVCRHPNDVTEIDLRDTCIVSQKEIQIGESIDALQPCKVHLEEVHPEVDLVKSSPLVKNKRTSCLNMNIDNFQKSVHEEMPTSKDEDYLSVEVGSGETSQAITDDTSTTLEAYGVGQAQPCSEPTWRGGFSVSSDNFCTVMKLASHLSNIASTKVFEAAQQFPSVLHLDIYPRLHIWPKSFSRSEPTGGQIGMYFLPETESDECLYKSLLDRMIDEDLALVAVIDSLELLVFTSLRLPKECWRLAENYYLWGVLKKSSPLSGPL >KZM98455 pep chromosome:ASM162521v1:4:24129569:24130955:1 gene:DCAR_014183 transcript:KZM98455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLTRFSWWVWGGKEKEPVVPNGSSLNSSSDWGLGMREMDSLKFSSVKGAKMASSSRKIKKKWNSREERRIDKEYDVVLVPSDGVCLSGSESDDSDWSIGWLEPHAPDFQSDDEADDSFAVLVPCYRNDLKESEVAANKQLLTAYKNPSAEHSDERQKYMQQWLSSLQNF >KZM97023 pep chromosome:ASM162521v1:4:9850087:9852900:-1 gene:DCAR_015615 transcript:KZM97023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRISDASVQSDMKLWPFKVIAGPAEKPMIVVNYKGEEKQFAAEEISSMVLTKMREIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGSARALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVNSLENYAYNMRNTIKDESISSKIPAADKKKIEDAVEEAIQWLDGNQLGEVDEFEDKLKELEGICNPIIAKMYQENGGGMGGAMDEDGPSVGGGSGSGAGPKIEEVD >KZM98734 pep chromosome:ASM162521v1:4:26495568:26496263:1 gene:DCAR_013904 transcript:KZM98734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGFEALKEIHDIANNLLHSPAIKQALVQNQQEKHVHEISEESLCMLDACGTTKDVLLLVKDHLHQLQSTFRRISIGETTATENKLAGFYIHRKKLKNELLNCLRSLKGLKNNCITNSDSDGPIDPNLVVVVNVLREVRVSAISIVESLMSLMSMPSPVCKSNRGSFRSKFMRVNSLSLWENCDRKTFQTGNKILEAVEIAIEDLEAELECIFRRLIQTRVSLLNIFTT >KZM99364 pep chromosome:ASM162521v1:4:31490501:31493717:1 gene:DCAR_013274 transcript:KZM99364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERNATASCTMDDQRTDISDQAEILDSKTSPPSSHQRCSFFDLNEAAIDDHSESSNENEHKISANTRHDGVDEDEGSAEASSNNNNTNSEGKEVSGSIVRQYVRSKMPRLRWTPDLHLAFVHAVERLGGQESTNLLLMYRSKKLDESGQVISRANRLVHGKDEISEMYRRYNPYENLSGHNRSDLLSPLAKSSTFDFRASSSSRNQKWNFGDHSSLARLWSNDQSSGPVREKMMSSNSLYDHERNAVLVDSGFKGLSRAPHLIKDNVRLNRNGLASCVEDQKLSAIASTQMICSKAMPHYKPALVSAGRETRSLEFQELKERQIQHRASTEVVSQKSVEDKCLPSLQLSLSPNIDNSTEMTHHRIQDRGKAIDTMLSLSLLPDRNKAKLGLSTWDITMLNES >KZM99465 pep chromosome:ASM162521v1:4:32295116:32296792:1 gene:DCAR_013173 transcript:KZM99465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTQFATTRRMGMYDPLHHISTWEDILGAESSVNITSSCTILQADTRLLNKSYTSQESLGELSDNQAGRTKCDKLQRRLAQNREAARKSRLRKKAYVQQLETSRLKLAQLELEIDRTKQGLYTGGAGHSGTINSGIISFEIEYGLWVELQEKKNCQLRNVLQAQISEKELRVHVEGGLAHFSDLFRMKAEAAKADVFHVMHGMYRSPLERLFLWIGGFKPSEVIQILVPQLEPLTEQQLVDVYKLRHSCQQAEDALSQGMEKLVQTLAQCVVLDNIAGGSYGSRMASAMERLESLECFMNQADHLRQQTLQQMSRILTTSQAARGLLAFGEYFQRLRALSSLWAVHPHL >KZM99102 pep chromosome:ASM162521v1:4:29421196:29423565:1 gene:DCAR_013536 transcript:KZM99102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKPPKRRTVTDEGVDTSEDSVLVTLISNGEDLGPMVQLSFESGKPETLLHQLKNVVRKKEIEIEEICKLHYEEFILAVDELRGVLVDAEELKGELASENFRLQEVGSSLLFKLEHLLESYSIKKNISGALKMSKTCFKVLDLCAKCNTHISEGRLYPALKAIDLIEKNYIEHIPVKKLRKLIEQKVPVIKSHIEKKVTTQVNEWLVQARSNAKDIGQTAIGYAAAARQRAEDVVSSQRKAEEQSCMGLVDITYTLEIEDSSEDSLLKFDLTPLYRAYHIHTCLGMSEQFREYYYKNRSLQLSSDLQISTVQPFLESHQPFLATIAGYFIVEDRVLRTASGLLLSNHVETMWETAISKVTAVLDQQFSRMDIASHLLLVKDYVTLLSATLRQYGYEVNTLLESLGRSRDKYHGLLISECEQQIIDLLDNDTCEQMVMRKESEYQANVLMFNLQTSDIMPAFPYIAPFSSMVPECCRIVKSFIKDSVSYLSYGSQMNFFEYVRKYVDKLLIDVLNDAILDKIQNGGAGVSQAMQIAANSIVLERACDYFLQHAAQLCGIPIRAVQKSSLSAKVLLRTSRDEAYLALLTLVNNKLDAFMALTDNVKWTSDDLPQHANEYINEVVIYLDTLLSTAQQILPLDALYKVGCGALEHISNSYMEAFLSDIIKRFNDNAVTSINYDLKELESFADERFMSTGLSEIYDEGSFRSCLVEIRQLISLLLSSQPENFMNPVIRQRNYHTLDYKKVAMICEKFKDSPDSLFGSLANRNANQSSRKKSLEVLKKRLKDFN >KZM98511 pep chromosome:ASM162521v1:4:24593394:24593810:1 gene:DCAR_014127 transcript:KZM98511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNRMLPVDEGTVPKPRAKKPSTKKSKIQAEGNGAKMVQEHVKTGQKASKKGLMNDLSSFQQPERSTSDSLPDSSSSGNEYRVLRRKYLLLEEESFGLDSELREAENEVTDLEKEKLALLDKLVVLEGLVDPSEFQG >KZM98490 pep chromosome:ASM162521v1:4:24408548:24410579:-1 gene:DCAR_014148 transcript:KZM98490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSNSCWQNAFQNLLAGCSEILAAEEYRARLAWHLSDCFQQDSGRSAFPLCDWDSSMKTCLQKLDVDAHDIYLEFYVETNSICHQIQADTFKRHMERLINELKMTAENAENKIEDIQDQAEHLISSSKKIQDSLVSIDSHSHQLAQTSRDVEDQAGIVLKQMEAIREQSLGMASFQLEFLEKEKSMKETTVEGMTLLRNSFKNLDLEVDKFEHEAIGIENEIAKMDDEVLSKMKYLQSKADNIGNITAVSINAQTELLEGQSSALEGVQQLSNFLTRALEESRETTKKLSEIGHKQQEELFKRQEHLKQTHDHLIQNSRTILAAQEDFESKQSAMFIAIDKLFALHNAILLESSIIKSFLAYSISIFIIYMFTSTRQTYSVRPRLYIGLCAAFLFECFVPKYLTNNIGQQMRIISVVRLVFVMLATTQLVYTIFTFRNYEALNHQILLNLIDKVNDFQRNKELPYTDRGMINWSLWVVTDLPEDEDKSEDPDYIPEEAEDC >KZM99053 pep chromosome:ASM162521v1:4:29041684:29047418:-1 gene:DCAR_013585 transcript:KZM99053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGRNAKKSSSSSSSPSAKSMTIEQFVSKMTPLLDMEKEAEISASMNTGATRNLDTAQKRGSTILNLKCVDAQTGLMGKTLLELQSNKGDVLPAHKFGTHDVVILKPNKADLGSPPLGQGVVYRLKDSSITVAFDDVPEEGLNSPLRLEKVANEVTYRRMKDTLIQLSKGVLKGPAADLVPVLFGERQPGVSKQKVTFTPINSNLDHSQVKRGSKVLACAASNIAVDNIVERLVPHRVKLVRLGHPARLLPQVLDSALDAQVLRGDNSALAKDIRKEMKTLNAKLLKAKDRNTRRDIRKELNMLSREERKRQQLAVTDVIKDADVVLTTLTGASTRKLDSTSFDIVIIDEAAQALEIACWIALLKGSRCVLAGDHLQLPPTIQSVEAEKKGLGKTLFERLQELYGDDTTSMLTVQYRMHNLIMSWSSKELYDNKIEAHSSVAAHMLFDLEDAKKSSSTEATLLLIDTAGCDMEEKKDEEESTLNEGEADVAITHAKRLVQSGVRATDIGIITPYAAQVVSLRMLRSNDDKLKEIEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDRRRMNVAVTRARRQCTLICDTETVSSDKFLKRLIEYFEEHGEYMSASEYGN >KZM97328 pep chromosome:ASM162521v1:4:13613316:13614831:1 gene:DCAR_015310 transcript:KZM97328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRFEEIVKEVSSYLKKVGYNPDKIAFIPISGFEGDNMIDRSTNLDWYKGPTLLEALDQISEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEIQTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFMSYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAIKKK >KZM99681 pep chromosome:ASM162521v1:4:33939155:33940891:1 gene:DCAR_012957 transcript:KZM99681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIICPIDAKYSDQIARFLAPSDRQEYFEQLIATRKCTGIKVKHDSDHGKGVYADSEFQEGDLVLKDQMLFGVQHSSNKIDCFVCGFCYRFISSIEHQIGRKLYLQNLGVSTNHECDQGTTSSSAVANDWNGSDLSDDEANSDTVDCQNFGECASSNTVKIPLPMEVVLSLMHEELVLPYSEKFSQPSVIPCRGGCNEGCYCSKLCMEADWEMFHSLLCTGTELKSRRKEALLAFIEHANETNDIFLLAGKVF >KZM96630 pep chromosome:ASM162521v1:4:2680160:2688085:1 gene:DCAR_016008 transcript:KZM96630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMRRLKSIASGRTSTSDPGGDSRDSSIRRMKIEQDADHKVSDEPLSTEKSSTSAEQYMDSASENTAKPQKLARDELPKQMHQMKIKENKPDNSNKKNIEPTVISGKGTETGQIISTTVVGPNGQQKQTMSYMAERVVGTGSFGVVYQAKCLETGEAVAIKKVLQDKRYKNRELQIMRMLEHPNVVQLKHCFYSTTEKDELYLNLVLEYVAETVYRVSRHYGRINQSVPVLYVQLYTYQMCRALNYIHNVVGVCHRDIKPQNVLVNPHTHQLKLCDFGSAKMLMPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGRPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPHYTEFKFPHIKTHPWHKVFNKRLPADAVDLVSRLLQYSPKLRSSPLEACAHPFFDDLRQPDACLPNGKALPPLFDFTPQELSGASTELRDRLIPNHARK >KZM97082 pep chromosome:ASM162521v1:4:10601854:10615860:1 gene:DCAR_015556 transcript:KZM97082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSRALPLHSRAMLVPLSHGTGTTVPHGLCWIGTPGRSVPVHGPHPPTYWLVYICLLCRVEHGPVRSGFVNVLCRVMPSQTARLAGSSLSSLHACEKLAYFRIKELKDVLTHLGLSKQGKKQDLVGRILTIISEEQGSGMLAPKNAARKDMVAKLIDDTYRKMQVSGATVDIASKGQAVSDTSNVKLNEDPKDYYQMEKIRCPCGSSLPDSLIKCEDSQCNIGQHKSCVIIPEKYAEGVVPVPPEKFYCELCRLSRADPFWVTVANPLYPVKLITTNLPTDGTNPVQSIEKTFQLTRADKDLLARPEYDVQAWCILLNDKVSFRMQWPQYADLQVNGVPVRVINRPGSQLLGANGRDDGPVITPCTRDGNNKISLTGCDARVFCMGVRIVKRRTIQQILALIPKESDGERFEDSLARVRRCVGGGASTENADSDSDLEVVADSIPVKLCCPWQCPICLKNYSLENIIVDPYFNRITSKMLTCGEDITDIEVKPDGSWRVKPVNERRGLGDLTQWHFADGTLCMQTDKEAISKYEGINQVKQECTSEIHTGLKLGIKKNHNGIWEVSKPDDSFGNRRQGNLDNNGHNIIMSSSATGSGKDEDPSVNQEGGTNCDFTTNNGIELDSVPLLTDPLYGFGDQNLSAPIGEPDVIILSDSEEEIEPIMSSGPTYKSNGSTAGFTYTVPAQGISDPYPGNSTIDPAGGSSLGLFNTNDEEFGVPLWHLPPPNQGGAGFQLFGSEADISTTIVDLPHVSRPLINSYSLDADTPLGSSAILPDSSFHNSIDTHDRLVDNPLAFGRDDPSLQIFLPTRPSDETVQTGLSGQPDMSHGISTEDWISLRIGNCNNGTHVEHTAASGSNQQQQLPSEEGTITSLASTCMVSRPK >KZM97765 pep chromosome:ASM162521v1:4:17623459:17628282:-1 gene:DCAR_014873 transcript:KZM97765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVDKLPIKRLESIEENGAERFPNEVGYEERRLNLIHRIDFGWAVDREDSAKKHKKDTSATTTTSKDATKPWPWKSLVENLQAAHQELSVIIDLINTVEVNDAVTVAGMTRPKQLPNELLSDLAVSTATKLQCYRHLGKYFKQSAKGLEQQIAREARFYGALIRLQQNWKVKRHRMAATPGNEGFYIDLFDNSLYDPAASFRPSTMSTVWVEHDAAGMLAINLPQKAVRSLQFGFLCRNSDTAAIQASRIKAVGSDQDPAGECKKEPESDDDCVKNKHAILREVHRAIFDEQVFDLVNREAFNPSLGVNITGIRENYLELNLSQGASVFISLMPHKHEDRVADSADNQNSESALVRSEFFNDSNAKDRTKDPRKKSVFPNRVSCEIFLQQTFHEHVFVQAKKRTTSGGKMHSGQPTIDRSNLLGHFCMSLAHRIFSNKILRELDDLVSRVPYVHLISHPTWNSRTSSWTLSVDVPQSIIYASCQTQKSDKKAKTQFRTKVLVNDCIKVEGEGAPNVVGLFKGTSERICSINRYDCDLADLPMILLQQIASQIIRWLHAEALMVGIKANRDFLCLSFELEQGETLGLVAHVNPEDPLGCISWWLVMDDGFTEEGKLQSQISDTHNRKFLGHLSLDVLYSTLLDLVSLCSGGSNH >KZM97529 pep chromosome:ASM162521v1:4:15576717:15576926:-1 gene:DCAR_015109 transcript:KZM97529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVVIAVVLFVLLTPGLLFQLPGKSRAIEFANFQTSGVSIFVHTIIFFGLITIFLIAIGVHITTG >KZM97950 pep chromosome:ASM162521v1:4:19721395:19729766:-1 gene:DCAR_014688 transcript:KZM97950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMKDLDPAFHGAGQKDGLEIWRIENFKPVLLSESSHGKFFTGDSYIILKTTALKSRALHHDIHFWLGRDTSQDEAGTAAIKTVELDAVLKGRAVQYREVQGRETEKFLSYFKPCIIPQAGGVASGFKHAEAEEHETRLYVCKGKHVVHVEFVRSSLNHDDVFILDTESKIFQFNGSKSSIQERAKALEVVQYIKDTYHDGKCEIASIEDGKLMADAESGEFWALFGGFAPLPRKTATDDTQSIDALSTKLFGVSDGNPEAIDAESLTKELLDTNKCYLLDCGLEIYTWMGKNTSLDDRKSASRAAEELLQSGDRPNTHIIRVMEGFETVLFRSKFDSWPQAVEAKESEDGRGKVAEMLKRQGVDVKGLTKEEDPAKEDTEPNIDCTGDLQVWRVDGEGKTLLSDPDDSKLFSGDCYIFQYTYPGEMGDEYLIGTWFGKQSVQEDMSSATTQASKMVEKMKFLPTQARIYEGNEPIDFFSIFQSLIVFKGGRSDGYKKYIAEKELPDDTHSEEGLALFRVQGTGPENMQAIQVEAVASSLNSSYCYILHDASSVFTWFGINTSADDQELADRFVDLIKPDVQSSRTQKEGVELEQFWDLLGGKSKYPSKKVTEIYNFDQDDLMTEEIFILDCHSNIFVWVGQEIISKHRKDALSIGEKFIERDCLLENLSPRTTLYIVTEGGEPMYFTRFFKWDSNKSAMHGNSFQRRLAMIKNGGKPVPDKAKRRPPASIARSAAGSEKPQRSRSVSASNDRPRSRGRSPAFSAIAANFENKNTRNLSTPPPAVEKLIQKPGTSDSTQSTNSTSVGSNLMPRSVKGNLGASDKEDSMSSIQEDGKEDEGDDDEGLPTYPYERLTILSMDPIKEIDVTRREAYLSTEEFNNKFEMTRKAFYKLPLWKQNKIKMKLQLF >KZM98195 pep chromosome:ASM162521v1:4:21833037:21835199:-1 gene:DCAR_014443 transcript:KZM98195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVAYVKTPRGLRSLNTVWAQHLSEDIKRRFYKNWCKSKKKAFTRYSRKYESDEGKKDIQSQLEKMKKYGTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYKLGKTGQENHTAITEFDRTEKDITPMGGFPHYGEVKDDYLLIKGCCVGPKKRVVTLRQSLLTQTSRLAMEEIKLKFIDTSSKFGHGRFQTSEEKQKFYGRLKA >KZM98197 pep chromosome:ASM162521v1:4:21859105:21860187:1 gene:DCAR_014441 transcript:KZM98197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELGLELAKCLDEFVSGSPIFISGETDSMFILTAVLKGYTRELIKIDVNDCERSLVISGEKPIQDMVMVGWKVYKKEIKMMGFTKAFVIPDGVIIDRIEARFNKENFKLTVAMPKMVKGIKGIKVKEVKVEEHDTGGYRSLQVVDDDESVRKIDLASGELALVSERDREVHSTKEKIENEVEQEEKSLDETIVTTIQTFADCEDNVIIPDVLPQIQGEKTGKALEETHENHSIQEQEALKCDQNEKERVGYEGEGSVSCNAQGHESPEEPSTTTEKKFRDQSTQTDPPRRPKKCCVPMIAGGSALLISVLVFVIHLIRDQDKPRKKKQQ >KZM98363 pep chromosome:ASM162521v1:4:23282947:23283102:1 gene:DCAR_014275 transcript:KZM98363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCVVWLYHLNGMSYPESILFGPNIKQLIPFKTPGTDSFLRIETSPSSLT >KZM97948 pep chromosome:ASM162521v1:4:19711691:19713353:-1 gene:DCAR_014690 transcript:KZM97948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRPPVCGLLIIFPLLLLISHSAAQLSTNFYQKSCPNVESLVQAAVKAKFQQTFVTAPATLRLLFHDCFVRGCDASVLLASPNGNAEKDHGDDISLAGDGFDTVVRAKAAVDSDPKCRNKVSCADILALATREVVALTGGPRYAVELGRRDGRISTKKSVQHKLPHATFNLDQLNSMFSSHGLSQTDMIALSGAHTLGFSHCGQFSKRIYNFSPGKRIDPTLNSAYALQLRQMCPTRVDPRVAINMDPTTPQKFDNAYYQNLVEGKGLFTSDQILYTDTRSRSTVQQFASSNDAFNKAFVSAITKLGRIGVLTGNNGEIRRDCNRIN >KZM96925 pep chromosome:ASM162521v1:4:8759751:8760122:1 gene:DCAR_015713 transcript:KZM96925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTSKLSMQRDSHFILKHKVRVIHLYEPKIIQTDVSNFREMVQKLTGRSAKITKRKTASFVESREERLVKKDGASVKEVDLFDGFDDMDDDLFGEDLAGFSIYPNSSIQDSKYMQISVASKH >KZM98973 pep chromosome:ASM162521v1:4:28418592:28421139:1 gene:DCAR_013665 transcript:KZM98973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGLAVGIDLGTTYSCVGVWQQYRVEIIGNDQGNRTTPSCVAFTDTERFIGDAARNQAALNPQNTIFDAKRLIGRKFTDSTVQSDMKLWPFKVNGDMANKPMIVVNYKGVAKLFSPEELSSMVLIKMKEIAENYVGKKIKDAVVTVPAHFNDSQRQATKDAGTIAGLNVLRILVEPTAAAVAYGLDQKLTNSLAGEKIVLIFDLGGGTFDVSLLKIEKDKFEVIATAGDTHLGGEDFDNRMVNHFVEEIKLKHKKDVSLNAKSLRRLRNHCERAKRILSYNAMTTIDIDSLYDGFDYSGKISRAKFEDLNLDMFRSCLDIVEKCLKDGGMDKSKVHDVVLVGGSTRIPKVQQLLQEFFDGKELCKSINPDEAVAYGAAIEAAVLSGATDNIIRDIVLLDVTPLSLGIESKGEVMTIIIPRNTTIPTSKQSIRVNCHDYQKSFLFKVYEGERPCSKDNNLLGELTLHGIPSGLRGEVKVIVTFNIDLNGVLHVTAECKINGVKTNATITNDKGRLTANEIERMIRDAETYRAEDELFKKNIKAMNAYEDYAYNLRSSIRASCNLNPATKMKVENAIQEAIQWVNANRSAEAAEYNSRKSDLRAFFNSMIPSHTGIKIEEVE >KZM99678 pep chromosome:ASM162521v1:4:33927385:33928258:-1 gene:DCAR_012960 transcript:KZM99678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFRTFVFVTLSVAVMLHNLALATEHTVGDRGGWDTTSNLQVWASSNIFLAGDGLNFDYKPNHNVLEVSKQDFDACRNSKPIHKYSGGKTSIHLGSAGTRYFICGTSGHCSQGMKVEINTFAPAFPPTDPESWPPMVGPSFPPGFSAPQDVPTWVPAISPSNPPTGDPTNSFQPAFLPPPPYLASLATKVNLPVWLATGFSFVITMSPFL >KZM98069 pep chromosome:ASM162521v1:4:20865775:20866894:-1 gene:DCAR_014569 transcript:KZM98069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRSMKVKTGLFLLLFCHFVCFGSCTFTITNYCRYTIWPGTLSGAGTPPLPTTGFELPSGKSVSIPTIPGWSGRIWARTGCTFDATGTGTCRTGDCGGKLECGGMGATPPASLFEVTLGHGNETDFYDVSLVDGYNLPLVAAPRSINGACDATGCATDINTGCPKELQVASEDGGAEGVVACKSACEAFGQDQYCCSGEFANPTTCQPSFYSTIFKTACPRAYSYAFDDGTSIFTCNAYEYNIIFCPNPNSMKGSNDTVISPPIQKNSNKEFSGGLVSSSDILVPFPVLVILLIVILL >KZM98754 pep chromosome:ASM162521v1:4:26640741:26644982:-1 gene:DCAR_013884 transcript:KZM98754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFALSVAHMIWCSIGAEAGVQLPPNISIPAVIAFGDSIVDQGCNNNLNTLVKCNFPPYGQDFIAHQSTGRFSNGKTPPDFVAAALGIKEVLPAYLDPHLQSEDLLTGVSFASGGMGYDPVTSKITSALSFSDQLELFKEYISKLKRLVGEERTRYILANSLFLVVAGSDDIANTYFTFGARLRYDVPSYTDLMVSYASDFIQAIDELGARRIVVYGVPAIGCVPSQRTLAGGPLRNCADNSNQASQVYNTKLQALLDSLSQKLPLSKIVYVDVYNPLLHIVQNPQEYGFDVVDKGCCGTGDIEVSVLCNKLSKTCPDRSRHYDTGMGTVYPRPESQKIATFLNNIISNRGYNFRKELASRFRDKILARAVVKLPGNKTIPAVIMFGDSIVDTGNNNYIETIFKVNYPPYGRDFSGGVPTGRFCNGKVPSDFLVEELGIKEFLPPYLDPSLDTDDLKTGVNFASGGAGFDPLTSELAKVISLSAQIDLFNEYVAKLKVAVGAETTSTILSNSLFVVVAGSNDITNTYFNNPIRRLHYDYSSYTDLLVDSASGFAQELYKLGARRIGVFGIPPIGCLPSQRTLQGGVERNCADNYNELAQLFNAKLSVELNSLNNRLPQARMVYLDVYNSLMEVILNPKKYDPALQADLVNKNKIYFAGFSIANKGCCGTGTIEVAFLCKCPCPDVYNYVFWDSFHPTETTYRILVHQTVKKQISSFL >KZM96583 pep chromosome:ASM162521v1:4:1432777:1434967:1 gene:DCAR_016055 transcript:KZM96583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHGRLPPTQPSPTSGGTVDPLSFVLYNCFCCLSLTSSLHFLSGRKRVPLGPLSPNTKQPEKRRNVHQRDIDMHARQTACENLNPNVGETGSSGVRTPLSGNSSALTQEAKIPSYTGKSIHGAEYSDIIVQTSVSRLLKTRAGISTSGHAFQMPASSIRARNPSDSMAARQRLLDSFNRDRSRQTPPINPSLSNRTSLEPRILDTSSSARRRDERRFINVTFPEPEQIGIKTPGWFRDGNVQGCSTFASQNQQKSTREASSSGVKNLMSEFNEAVVESALTGINGDKQVYESHLADDELDDEDAHRGEYLSDAEEEGDTENIGMFANCSMLAHELKYSQSG >KZM98762 pep chromosome:ASM162521v1:4:26716426:26723182:-1 gene:DCAR_013876 transcript:KZM98762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEAQNREKFRQAVLNTLERHFFYKPSFKIYRGVAGFFDYGPPGCAVKANVLAFWRQHFILEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLIKDYCKHRLERDASLTAEKTAEFRHVIAALDDLSPEEIGAKIQEYGITAPDTNNPLSAPYPFNLMFQTSIGPSGLTPGYMRPETAQGIFVNFKDLYYHNGSKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFSEVASLEFLMFPREDQVSGQSARRIVIGEAVAQGIVNNETLGYFIGRVYLFLTNLGIDKDRLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHMDKSGVPLVAHEKFAEPKEVEKLVITPIKKELGLAFKGKQKMVVEALEAMGEKEAMEMKAALESKGEVDFHVCTLEKDVTIRMNMLTISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFYTRPSRDGVEQLNVFRFPPLVAPIKCTVFPLVQNQQYEEVARRIAKSLKAAGILNTIDATGTSIGRRYARTDELGVPFAITVDSTTSVTIRERDSKKQIRVSIEEASSVVKAVTEGLSTWNDVLCKYPTHSS >KZM96799 pep chromosome:ASM162521v1:4:6473739:6473942:1 gene:DCAR_015839 transcript:KZM96799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIGRHGLGVVTFAYSSDVVIIRTERDIKNYQSCSFPTERELKCDISILSYSICTTLSLAIKAFLS >KZM99761 pep chromosome:ASM162521v1:4:34574977:34575978:1 gene:DCAR_012877 transcript:KZM99761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKHRKLFPSSSPNNTFDGCADICDPTIPYPDFDVLEPLLSPPPPQEFYFTSHKASQHVSPYVIIIITLLASLFLLIGYYVIFVKYCRGCRRFRSTTRRSLDGSADGGVEFVDEVHGPILDHPIWYITTVGLQASVIDSISIFKYKKCDNLIEGTDCSVCLTEFRDDEMLRLLPKCNHAFHIPCIDTWLRSHTTCPLCRAGIVPNNDANFCAALAQNDQNSSISGRIEELNSENVAAGSEATRFNQVRNEIIRNREVVDEVGSANSSNNVKRLKRSFSVDLNSIGDTLVMSSDERSSSAAETLAVKMKRSVSCSGKFFLSRHFRSQSAVLPL >KZM97600 pep chromosome:ASM162521v1:4:16125082:16127526:1 gene:DCAR_015038 transcript:KZM97600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRWGGVVSIFTIFILIGNAECALISDELECVLDLGGGVSSSLYNVSNVDGRWGGFLNKTSCARPFAEYLYALALHTSPGAQIFLNSDEQRKCLTTLNRPGLDMLGCGIEELTSGGGGCSNFSVDDVKLRLGDEFESMKGNCEFEDQGVKQEQSSCGSCVRSWMDIKGLYNDNDEPTDSESYICRFAVLVSLTSAKIKDEIWIQKTFGCLEDQSQVTVKTVSPDEAPDTKEKRNTSTRRWILVGSIAGVLIAVIISIWMFSRGWCTPNATVKDNAPKFALQIDSIHLEFSIKEVYFATNNLHPTNFIGEGVAGKVYKGVLHNNQSVAIKQITDEGYIETFTRELKSLSKVRHPNLVALLGYCKHKDECFLLYELCPNGNLSEWIFGKDKHLPWIHRLKIAIHCARGLRFLHNYSKGCIVHRDIKPTNILLGPNLEGKLSDFGLSKVIDPGESYKSSEVRGTFGYVDPEYQSTRRVDSGGDVYSFGVVLLQIISGRRVINMNMNTPMSLHNTARSLTRNESIRGFADPRLDGDYCEEAFDLTFKLALSCTAPKKERPSMEKVTLILEEALDISMTARSSTPPATPDWSSTPRTTPGWSSTPT >KZM96836 pep chromosome:ASM162521v1:4:7419996:7420346:-1 gene:DCAR_015802 transcript:KZM96836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNKNSPKISQKYLDLQNSITAATRTRDPEQPSHSKNENNFHTRPLKQPKTPCQELKILLHRNTHNRILQAPDLTRNFENTKTMPLPHTTNLQIKAIYTRSPHKPAAPVSKEPTK >KZM98512 pep chromosome:ASM162521v1:4:24595256:24598560:-1 gene:DCAR_014126 transcript:KZM98512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVVSVFPNVKRKLHTTHSWDFMGLSSEETMEIPGYSTKNQVNVIIGFIDTGIWPESPSFSDVDMPPVPAGWKGECQSGEAFNVSTCNRKVIGARYYLSGYEAEEDSVETVSYRSPRDSNGHGSHTASTAAGRYVADMNYKGLAAGGARGGSPMSRIAVYKTCWDSGCYDVDLLAAFDDAIRDGVHILSLSLGPEAPQGEYFTDAISIGSFHATSRGVVVVSSAGNEGQQGSATNLAPWLITVAASSTDRDFTSDIMLGNGAKIAVIYTFCLESSLNSTKSRGRVLVCRHAESSTESKLAKSVVVKEAGGVGMILIDEADKDVAIPFVIPAAMVGRRTGNLILSYVNRTRKPTSRISSAKTVIGSQQAPRIASFSSKGPNALTPQILKPDVTAPGLNILAAWSPAASKKDYNILSGTSMAAPHITGIAALIKSVHPLWSPSAIKSALMTTATVRNKHRRPMTVDPEGRRGNAFDYGAGFVNPTRVLDPGLVYDASPADYKAFLCSIGYDEKSLRLITRDKSTCQEQTYAAPSDLNYPSITVPNLNNTYTVTRTLTNVGHAKNVYRAVVYAPRGINVTVEPARIVFDRYGQKMSFRVNFQVAAPPQGYVFGFLAWRNRKSRVTIPLVAQVTPSTMGRLI >KZM98182 pep chromosome:ASM162521v1:4:21754763:21758483:1 gene:DCAR_014456 transcript:KZM98182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRNLILAYQSLGVVYGDLSTSPLYVYKSTFVGKLNEHQNPDAIFGAFSLIFWTLTLIPLLKYVLIVLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELTAYKYGPPGQNPSSSPLKRFLEKHRKSRIALLIVVLLGACMVIGDGVLTPVISVLSSVSGLEAANSNLTRGQVLVIACVVLVGLFALQHVGTHRLAFAFAPIVLIWLVSIFSIGLYNIIHWNPKIVSAISPHYIITFFKETGTEGWISLGGILLSITGTEAMFADLGHFTAMSIRLAFITVVYPCLIVQYMGQAAYLSKNVSSISNSFYDSIPGLACMTVMFITTFLMALVIIFVWQRSIVLASAFLIFFWFIEGIYLSAAFIKIPQGGWISLLLSCIFLIVMFVWHYGTRRKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLVYSELATGVPAIFSHFVTNLPAFHNVLVFVCVKSVPVPFVSPEERFLIGRICPRPYRMYRCIVRYGYKDIQRDDGNFEDLIIQSIAEFIQMEAVEPQLSSSENTSLDGRMAVISTRTAQSGSSLIVSAQESSGSSNSIKSSKSLTLQSLRSAYVDENPRSKTHQVRFQLPSTPGLNPSVGEELLDLIQAKEAGVAYIMGHSYVKARRSSSFLKKVVIDIGYSFLRKNCRGPSVALNIPHISLIEVGMIYYV >KZM98919 pep chromosome:ASM162521v1:4:27945456:27951097:-1 gene:DCAR_013719 transcript:KZM98919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEECNVLGDGDGSKKLRMFLFSVNDLDDADFGLARSSGDSEVHYVVAVNGMDMGLGRDTSRVASVTAASSINQHSQLILPKSSDAPETHLQSHSHQVHEHHEEAQNHLPSSTEHHTSSSTPVGDGTHSMPSPALVTQEESLSEDQPSGALGSQDMHKQEVEVNMNGDSSSNQDIEHETRSLGKDVDHFPVEEASAVIPKPERNFPLVPSKNEGHLESLQVSSPLEVINPTRDSKSNDNELLTSSSAIASTNANSQTDLIDLSYLEPPLPPQSHFYSERYPREQAELLNRLTKSDDLGSQVLITHSCSDIAQQDSTEESSKNLQNVELAPKIDHDSSAKFDNPRTSDDGTENFPNNNEATNVHSNEEEYGTDNQVPKPGDKTSSTNDNADSSLPDDTAGANHHSDPASSLPDFPWDDRFESDITANYDQGNSQPTAGTGISSRDVSWVDSSVTVSRPDRGDISIDINDRFPHDFLSDIFSRAVISENSSGVTLQKDGALSMNIANHEPKHWSFFQKLAQGEFAQKDVSLIDQDQLDFSSRLPKVEEDASEAYKLTPLLRGEISSNIIDSQNNFGDQKELPGVSEVSTTALHSDYNSSVAEGSHALQVDESAEIIRIPESEYEGGIRSLPPLELAFAEFDISSLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQERLTLEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVAEFMVDGSLRHVLLRKDRHLDHRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVADFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDIFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPAIPNSCDPEWKRLMEQCWAPNPMVRPTFTEITNRLRVMAAACQTRAHIRKASS >KZM98275 pep chromosome:ASM162521v1:4:22473534:22490290:1 gene:DCAR_014363 transcript:KZM98275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVNQNEIAQQYAHCIGLPNQTTVQVRAVPNLPKATLVTIEPHTEDDWEVLELNAEVAEASILSQVGLVHEGMRFPLWLHGRTIITFLVVSTFPKNHIVQLKPGSEVAVAPKRRKTNVDSSESSFISSSVPKALLRIQDPDSRFTCNLEANGVKMGVVITSAVFIHPETAKHFGFNMLQYMVIEPRLAPKDSKANHQTEKQKTRSSTTKEINDGILLDKLDHRQALVRLLFSESVAKGHIMLSQSLCLYLRASRRSWIYIKQHDVSPSKEIPSLSLSPCQFKTSKKDVFSNNSSEVLGTQKNRQVKADRIYSDTEMGVINWSVHEKVLPAIFNESLDDDDDVTGPKTSKGLSSLLRSWCSAQLQAVLSSSGVEVDVDSLIFGHKTLLHFKLEDHQYEKIGRLEKSSNGSLGSRNRTGELSVDILYILSISKETNSGENIATYKLSLTKTNGEQNNQRSFKLPVDEVQLDKGVYFDSVKERNYDKYLHSTVSSLGWMGTAASDITNRLTALLSPVSAKLFSSYSLPFPGHVLIYGPPGSGKTLLASAVSKSVAEHDDIFAHIVFVSCSGLASEKSPTIHQAISGYITEALDHAPSVIIFDDLDSILATSSDSEGSQPSLSLMALTEFLTDIMDEYEEKRRSSCGVGPVAFIASAQSLNNIPQALSSSGRFDFHVQLPAPGAVERGALLKHEIQKRSLQCSDDILIDIASKCDGYDAYDLEILVDRAVHAAICRFVSWDLDCGEQKRPTLAKDDFLQAMHEFLPVAMRDVTKIASEGSHRGWEDVGGLIEIRNAIKEVRDIFTKASAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSQHERLDILTVLSKQLPMTADVDFDALARMTEGFSGADLQALLSDAQLAAVHEVLNCEDNSKPAKVPVITDALLKSVASKARPSVSEAEKRRLYSIYSQFMDSKRSAAAQLPMTADVDFDALARMTEGFSGADLQALLSDAQLAAVHEVLNCEDNSKPAKVPVITDALLKSVASKARPSVSEAEKRRLYSIYSQFMDSKRSAAAQSKDVKGKRATLA >KZM98506 pep chromosome:ASM162521v1:4:24545810:24547621:1 gene:DCAR_014132 transcript:KZM98506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALEPNPANSSPFTPISFLERAAVVYGDSPSLIYNQTMYTWAQTHRRCLQLASSISSLSISPGDVVSVLAPNIPAMYELHFAVPMCGAVLNCINTRLDARAISTLLTHSESKLVFVDYQMASVVLEALSCFPLHSRPKLVMITEDDQASSPEFDFTYERLVERGDQSFDWIRPRSEWDPIVLNYTSGTTASPKGVVLSHRSAFLKTIDALLEWSVPKQPVFLWALPMFHANGWGYTWGMAVVGGTNICLRRFDSDLIYDKIVKHGVTHMCGAPVVLNMLSNDPDREKLTSPVHILTAGAPPPAAVLMRTEELGFTVSHGYGLTEVGGLVVMCLWKPEWNGLPARERARLKARQGVRTIGCTEVDVVDPESGLGVKRDGVTVGEVVLKGGTVMLGYLKDKEGTLQCMKQNWFYTGDVGVMHEDGYVEIKDRSKDVIISGGENVSSVEVESVLYLHPAVNEAAVVARPDEYWGETPCAFVSLICGKTATEKEIIEFCREKLPKYMAPRKVVFKEELPKTSTGKIQKFLLREMAKELVSSGSNVEKSKVLSSPSARCGARTSIHIPSRRNSFLFCSRPRKDFAYPFLCSAKMSYVPRSIQPRCIV >KZM97546 pep chromosome:ASM162521v1:4:15667670:15682722:-1 gene:DCAR_015092 transcript:KZM97546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETKIGIDYAMEAASGPHFSGLRLDHLRSSSSSSSIPLSHASKQPFIIGVCGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTQEESKHVSEYNFDHPDAFDTEELLECVEKLKLGQSVHVPIYDFKIHRKSADSFRQYAKFVKPAFDDFILPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHELCKIYPNVYVIQSTFQIRGMHTLIRDQEISTNDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIVRSGESMENAMRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVSKRFPTLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >KZM99510 pep chromosome:ASM162521v1:4:32649118:32657802:-1 gene:DCAR_013128 transcript:KZM99510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSRPAVFSRTRRKRSKGAGSGGRVKKKHKRLDAISEEVYSRNHGVVKLEVAEGNEGYVGGGSGSRGNEAEVRRSSRARRVPDLLDASPLPPKKRQRLEKETGIGKGDEKLKSPCSTSKKLEEESGEWKMRLRDRSRNGSFREKGVRDSSAKGKRKLFEDGDGLRYQSKVEGVGLSENNQKVRTRKLETITRKSHKTDDIRVLNVSNNKHQETSSGSVLEGHKDKNKAELSEDKGGDTLILDSGDTLHMDSGDTLNMNSGDTLIMDSGDTLPMDNELRGEHEGKLKHNPASTEILEEKEDEIPPSVHSEHCMVNGNLQPMEQDKVDEQPVSLFELEDQTDTLAAGGTLGQQADGKSNDKPLESESSKYVDNLKYPLKNELSKPRIKKGRRCGLCGGGTDGKPPKILLQHGLGSDDEAYSGSSDAEEPQYDTLDGFGNEPSWLGRLLGPINDRFGIAGIWVHQHCAVWSPEVYFAGLGRLKNVRAALCRGRLLKCSRCGRRGATVGCRVDRCTKTYHLPCARANGCIFNHRKFLIACTDHRNIFQPQGSKKSSWLKKMKAKKMKMEIRKQSGDAWRKDVEAEEKWLENCGEDEEFLKRESKRLQRDLLRIAPIYIGGSKTDNETQYGGWESVAGLQNVIHCLKEVVILPLLYPEFFSNIGLTPPRGVLLHGYPGTGKTLVVRSLIGACARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKSQPSIIFFDEIDGLAPSRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVKDRESILSLHTKKWPKAVTGSLLKWIARRTAGFAGADLQALCTQAAMIALKRSCPLHKILSEAEGNFSHGKRPALPSFNVEERDWLEALSCAPPPCSRREAGMAANDVVSSPLPAHLIPCLIKPLSTLLLSMHLDERTWLPPPLSRASTLIKDVILSVLKKKNIKGGSWWSHAHGLLEEADVLTEIASSLSRERLIVGDACALVLDTLNEGAGDQDVLGVQPLVTRTTLLQNVSLLGKKSGFRILISGDPCGGQKHIASCILHCFVGNVEIRKIDLATIAQEGNGDLMHGVTQILSKCTCLGSCIVSMPRIDLWAVETSYEECHSPATEVDFSEQSSFVEHSDDLNQCSPAESAEPQVPILKASHLWCSFIEQVESICVSTSLIIMIENPSARVFGYCKASEATSDMPFPLLPQGIKQFFESDTFNCSLSAPLKDAVPRFSVLVGGNFDRDMVIDFSAANLSKDLAKYFLQLIHSKAHTTEGSEKEGKALDARKSDANAGCPNHEPVSASNPECPNQFPANPVMRDAPPSVTKNMKGKSNLMLALITFGYQILLGMYISPREVSAEVRKVLELLVVWIHAKIDAGSDKCNFGRLLSQVAYLEDLVNSWAYLLQSLEIHGQVTEADPMGPHDRYKICEDEPITENGLHDAESRSPLYLNITDTGSIPSHIDADAGQLNGKVKATLEEPSRYTSLQSQNSIDINVELLNGKDGVSSPTHELKSSENDVKVNKVLRPISNGYIDTDSVVVLEDGPRALGDHASKKYVDGVKDSNQDDNILSSDDIDVPPEDGNTISSKENGIIDPVPNLSFLCLYRCCSKCLVLLQRLVRKNLYRQPGLRGSQLTVEDVHDSVKSSSVHLHSEVRKFCSSENLKSLLVENVESCDHVELDEGQKTKVCRCKNSGKKFIRPCECTSHPRMESATAEASTWNPRELELELIYKDGVLVSVDPTKEVSWHCKFETLCLCSLIEWIDRQTKQPSD >KZM99073 pep chromosome:ASM162521v1:4:29238977:29240024:1 gene:DCAR_013565 transcript:KZM99073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYGDFSPKIDYVFKIVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTKTLLINDKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHMARWLEELRTHADKNIVIMLIGNKCDLGSLRAVPTEDAQEFAERENLYFMETSALEATNVESAFSSALKEIYQAASKKSLTANGGNYGNSSSLKGTTIVIPNRDSDPPAKKGGCCS >KZM99336 pep chromosome:ASM162521v1:4:31200619:31205992:1 gene:DCAR_013302 transcript:KZM99336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLTRIRTACRTRTLPAPTQISPCNSQTKRLIQSRPYSNDIKSESTASSSSSPISSLNQTELVKFSAIADSWWDADGPFKPLHVMNPTRLAFIRSTLCRHFSKDPNTARPFEGLKFVDVGCGGGILSEPLARMGATVTGVDAVDKNITIARLHADLDPVTASIEYHCTTAEKLVEEQREFDAVLALEVIEHVADPTEFCKSLSALTVTQGATLISTISRSMRAYATAIVAAEYLLQWLPKGTHDWSSFLTPEELVLILQRSSISIQEMAGFAYDPLSGRWFLTDDIGVNFIAYGMKMNG >KZM97222 pep chromosome:ASM162521v1:4:12401945:12410263:-1 gene:DCAR_015416 transcript:KZM97222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARSNDTKKRRAGVDRLQQLLEDSRKCLSSSEVTALVDTCVELLKDTDSRVSEGALLLLSSAAELFKLHSDRIMTAVVDRLWDGEQRVRDSARRLLITLMEGEVPTVVGSSSGIICLYITSSPSRYILLNPLTKHYKEVGAPYIFVPDCEQASVTSGFFYDEWTDDLKIVQLLRWDQRLTSLDAPGPKKIHALRVCSVNSANWEVVDLGPMKVSDRLGGVLFLPKALVTFVDAFATEPEINFWLDFRDCRLKAVPELEGAELSMKSVWWNNQVGVIVGTDSIYVLDEEFAAWYYCTVLPDVGNCVFCLDENKIVGMGAGESGSVNDYNILNSTSGEIQHIISLPSCRVFPYVPTFYYIEGMANF >KZM97360 pep chromosome:ASM162521v1:4:13875271:13876574:-1 gene:DCAR_015278 transcript:KZM97360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSASNISVTKPLLYSTNSLPSQTFSNNSPSGLSSDTTSGTPVPPTAAVMSPCAACKILRRRCVEKCVLAPYFPPTDPLKFTIAHRVFGASNIIKLLQELPESQRADAVSSMVYEANARVRDPVYGCAGAICHLQKQVSDLQAELAKAQAEIVNMQCQQANLLTLICMDMSAQPHNQDQESMITDNNQQPYENLNFFPDLDVNLSSVLEPLWT >KZM96926 pep chromosome:ASM162521v1:4:8769043:8773647:-1 gene:DCAR_015712 transcript:KZM96926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENASASQPDTQLFTLLSGLLQQVEALTNQEEVELRSKIEALGLEVTKVPSKSKKNLDELEIAKELDELSAKLDNVDEMISSAIAADPQVKSLLSSTADVWMPVITATSDERRNFAASIEDYESIGSGKENGRSKHCVFLSFVVNVIVSNETLAQKRLPGEEDRSLTPNCSIREALRNTGFKVAA >KZM98297 pep chromosome:ASM162521v1:4:22653617:22671243:-1 gene:DCAR_014341 transcript:KZM98297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLGLTRGEYESPREVTRTIPTSDTSTENGWLIRFFDSAFFCEWIAVSYLYKHDHPGVRDYLCNRMYTLPLSGIESYLFQVCYMLVHKPSPSLDKFVIDICSKSLQIALKVHWFLMAELEDSDDNEGISRIQEKCQIAATLMGEWPTLIRPQNVSSNPLGKNQVLNRLLSSKQKLLSLTSSPPTQRSASLTVPSGNSLQEDGSKISSDESKIFKKFMPGQKVRDALLFRKSVEKDDGETDKDGFFKRLLRDSKDEDVRKSTDKDEEETEKDGFFRRFLRDSKDEDEELTSSSEGFFKRLFRDKADLEVVTKSVEDDDKEGFFRKFFKDKFEDKKDGIDQKDIDLIKSVEDDEKEGFFRKLFKDKFDDKKDNLDRTDEETKGPTNEDEEEHSEVPLFRRFFSLNHGDKKTPGTDEHSNGLHEGGAGSPGTEKFFRKLFRDRDRSVEDSEIFGLKKQKEKHPGSPKQHNDKSNVKPPLPYNASQFRKGTYHESLDFVQSLCDTSYGLVDVFPVEDRKSALSESLTEINAHITAAQSSGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKSETVSNTKDVNNSQKLFKGGIPVANGDAFLPKPPPWAYPLAGQDRYGGYDRMSRSASDAIDQAMAQLWDAKAKVVHLALSVEKQQLSQSTETADHDSNCVIHPCDQFDSDKEGTNCAARGGSRDLERVRVVLTADPGVSMDDTEDQEPPHRKEHRRVPSTIAFEEVKAAALKGEAPLGLPIKGAGQDSSDSRSHVSNGDTPKASDALSGELWEVKKLRIRNASVHGKLPGWDLRSLIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSLKSRYPNISSLRQFFVDKYQENSPNFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERVILLVEMLQDSGFPCFKGGPRAIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >KZM96919 pep chromosome:ASM162521v1:4:8697463:8697906:-1 gene:DCAR_015719 transcript:KZM96919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQSTPSNHLAILTLLVTVGFASLKFVSCANFTVINQLEHGKPLLVECPPNPAVSIGKGSRNTWHLDNNPPTLACNFTSNGRKTEIDNVLGDKDLYYLALNDGIARGSQDVPNDGKSGDWRMAARWYDEDEFNKDPCKRDPISCER >KZM99245 pep chromosome:ASM162521v1:4:30510810:30512932:-1 gene:DCAR_013393 transcript:KZM99245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLLAIAISAFIVTTQAAPGADNIIEFSYSGAKGPDKWGSLDPKFKTCSDAQGTNMTLNRKYIPATATLVNNGFNVGIHFEENAGVIGVDGKDYRLQQIHWRTPSEHNINGKAYDAELQLLHKADDGSIFMGAILYQIGNGDIVLTKIHRKLEELGREKCGGDEHARIDLGKLDPNPLRKCTRRYFRYQGSFTTPPCTENVIWHVFEKVRTISKQQLELLKAPMLPAFKSNSRPVQPDNGRQVVLNYDQRMKS >KZM99440 pep chromosome:ASM162521v1:4:32067983:32071550:-1 gene:DCAR_013198 transcript:KZM99440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEAPNILVGETTCNSLLQQLQRIWDEVGESDKERDKMLLQLEQECLDVYKRKVDLAAKSRAKLLQALANARVELTSLLAALGEKTFVGISDKTSGTIKEQLSAIAPALEQLWKQKDKRIKEFADVQSQIQKICDEIAGTTEHVGTPAVDESDLSLKKLDEFRAQLLELQTEKSERLNKVLEFVSTVHDLCAVLGMDFYGTVTEVHPSLKDSGGVQSKSISNDTLSRLSKTVLSLQEDKQKRLHKLQRLATQLTDLWNLMDTSAEEQSLFNHVTCNISASVNEVTNPGALALDLIEQAEVEVQRLDQLKASRMKEISFKKQAELEEIYARAHVEVDTEAARGKILALIDSGNVEPSQLLADMDNQIVKAKEVASSRKDILDKVEKWMSACEEESWLEDYMMDDNRYNASRGAHLNLKRAEKARILVNKIPALVETLVAKTHAWEEEQGLTFTYDGVPLLEMLDEYAMLRHDREEEKRRMRDQKKFHEQMNTEQEAIFGSKPSPARPLSTKKVMALRANGNATPSRRLSSAQQNLSRSINKDRKRDMRPVAPVNYVSLLKEDATSCISGTEPLPSTP >KZM98578 pep chromosome:ASM162521v1:4:25200319:25203999:-1 gene:DCAR_014060 transcript:KZM98578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSSTSVDDDLLLKAFFAEIGEAERDNEVTRILTCFKLNPFEYLNLPFDSTPEEIKKQYRKLSLMVHPDKCKHPQAKEAFGALAKAQQLLLDPQEREYILIQVTSAKEELKAKRKKQLKKDNASKLKSMVDEGKYEQEYMKSEEFQNQLKLKVRELLTDQEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEQWEGTRENRVSSWRDFMKGGKKVKKGEIRPPKLKTEDPNKTYVQRPVKRG >KZM99285 pep chromosome:ASM162521v1:4:30821989:30831074:-1 gene:DCAR_013353 transcript:KZM99285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKTPPFLRWLAVVVAVGALTISARITASNEDPVISRIAFGSCADQSSPQPIWDAINEYDPQVFIWLGDNIYGDNKRPFRVFGKERTIGPWKNVPRFYPVSEQEMRDKYSKAKNGPGYSRLRQTAKVIGTWDDHDYGLNDAGKEFSEKITNQRLLLDFLDEPQDSPRRKQAGVYTSYTFGPLGKKIKVILLDTRYHRDPLRSDGSILGASQWSWLEKELSGPASAITIIGSSVQVVSNISATTGPLFHLESWGRFPKERKRLFKLISDSKRDGVFFISGDVHFGEITRYDCGIGYPLYDVTSSGLTQAVEKVIPSPFQSFVRFVAWLTPTTMRVKNKSCRYKSCTYGQPNFGVVDVNWDAVPVSIKLEVRDARGMPVHSVNVSLSDLQARHKGSEYSMKAGEHRRHCSLEVTLPRIIRYRLAILFFCTLAVLLLLLAGLFYVVISAFIRCIRKHKLD >KZM97289 pep chromosome:ASM162521v1:4:13180079:13182004:-1 gene:DCAR_015349 transcript:KZM97289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPYGVKGRKRKKNREVYDKEEEEVHEEGNEVVDDEENVTKRSKAEEDEDEAKAQHVADELSGIPIGPLVEDDKKNGVIFILERASLEVAKVGKTYQLLNSDEHANFLKRNNKNPADYRPDIAHQAMLMILDSTLNKAGKLKALYVRTEKGVLFEVKPHVRIPRTYKRFSGIILQLLQKLSISAVGKREKLLRVVKNPVTQYLPVNSRRIGFSHSSEKLVDIGDYVNAVSNDVNLVFVVGAMAHGKIEKEFVDDFISISGYPLSAAYCISKITNALERKWKIL >KZM98701 pep chromosome:ASM162521v1:4:26244803:26250710:-1 gene:DCAR_013937 transcript:KZM98701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGGGRGASSRSSLCILISIIVAAALLSTPVASDLVISKLDRRVDLTSQIVRITSTLKVKCTGPEPVPEMLVAYPDHQAKFMNLLLSTATEGKGKPTGSVSIRPVQPNGVPPAHTWFLLTFPKQLETGESIIINVFAAFTRVLQLFPEKITQSENQMVVFQDSGYYLSPYLVKVQSLSFKLPEGKIESYTKLENSKLSKNEIKYGPYENIPAFQQSPVAIHFVNNLPFAVAKELVREIEVSHWGNVQVTEHYNLFHGGAQSTGEFSRLDYQARDRGAAAFRSLVAKLPARAHSIYYRDAIGNISTSNIYGDNTQTLLQIEPRYPMFGGWKTSFTIGYGLPLHEYLFYSDGKRLLNVSFGCPIDDVVVEKLIVKIVLPEGSTDIFAAVPFPVQQSEETKFSHLDMVGRPVVVLEKRNVVPEHNLPLQVSYKFSVFSLLREPLMLITGFFLLFVACITYMNADLTISKYSPSYLAKLQWDEVQAAIQQVQNIIYRSLTIHDKLEGSLRDLSRTGDVQVCKAVRKTADGSFKELSKELKPLLTFLQSSAQALPIFSKVDDLVAKERDLQEKVMLKHSTVVDSYEKKSGRDIENKIILIQQKISTLRKELDELLDIIDEI >KZM97219 pep chromosome:ASM162521v1:4:12370219:12373491:-1 gene:DCAR_015419 transcript:KZM97219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSAREPWLMENGNVKVLTRERHGRTAQNMSSSSLRKKSDMALVSRVPYSCLRMFLSNVQEVVLGTKLAVLFIAIPLAIVAHYFNFGKTWVFAFSLIGLTPLAERISFLTEQITFYTGPTVGGLLNATCGNATELIIALFALWQHKVDVVKYSLLGSILSNLLLVLGTSLFCGGLANLHSEQKYDRKQADVNTVLLLLSLLCHILPLMFRYAGDAAAYSSSDALQLSRASSILMLVAYIAYLFFQLWTHREVFEAQEEEDDDLISDEAPVIGFWSGFIWLAGMTVVISVLSEYVVGTIEEASASWGLSVSFISIILLPIVGNAAEHAGAVPVSVVFAWILDINMNLDFNLLETGSLALSVLVTAFTLQDGTSHYMKGVVLLLCYVVIGACFFVSNGSTTKQGNGVNLGLNSSSKELFRI >KZM97732 pep chromosome:ASM162521v1:4:17311228:17314199:1 gene:DCAR_014906 transcript:KZM97732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASPRNTINTVFERVGVYGFGGGRSQKRLKYEIHRQEDEDDNMEMVQLGAERTKNVLILMSDTGGGHRASAEAIRDAFQLQFGDEYRIFVKDVWKEYTGWPLNNMESQYKFMVKHVQLWKVAFHSTSPRWIHSLYLAAVAAYYAKEVEAGLMEFKPDIIISVHPLMQHIPLWVLKWQGLQKKVIFVTVITDLNTCHPTWFHPDVNRCYCPSEEVAKRASFDGLEESQIRVFGLPIRPSFCQAILTKNQLREELEMDPDLPAVLLMGGGEGMGPVKKTAKALGTSLFDEESGKPIGQLIIICGRNKELASTLESLEWKIPVQIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEKGNVPYVVDNGAGVFTRSSKETARLVAEWFSTKSDELKRMSENALKLAQPNAVFDIVKDINELVCQRGPLSNIPYMLTSSFSGLTLM >KZM96803 pep chromosome:ASM162521v1:4:6536578:6538861:1 gene:DCAR_015835 transcript:KZM96803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVLVAIFVIFASMFTVFPASTNPLPFVLFHGIADECSSARLQNFTATLRKWSGAQGSCIEIGNGFMDSFFMPIEKQIEVACKKVKSMKELSAGYNLVAESQGNMVGRGLIQTCDGGPPVKNYIALAGPHAGVASPPLCNETQTCVLEANLIRLGVYTKFVQERLAPAGYIKIPTDIEGYMKGCVFLPRLNNEISGQKNSLFKKRFSRLQNLMSNLHLLPFFDVDIHQFEQDQTLIPRETSWFGHYEDGSWNKILPVQQTKLYTEDRIGLRTLDKAGKVKFINVTGDHLQISFSDLQKHVFPYLRAN >KZM98707 pep chromosome:ASM162521v1:4:26278755:26282232:1 gene:DCAR_013931 transcript:KZM98707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAGSDFSPSFRVLEGSVDKDQVSISKDEVSVKSADSEQIPSERPSRHLSVVQHSISSLKDTSAADLESDSRVVGVKSPADGNSNFSLVFRSGSCAEKGAKQHMEDEHICIDDLVQELGAGASADLLSSGAFYGVFDGHGGVDAASFVKKNILKFIVDDSHFPVCLEKTIMNAFVKADHAFAEDSNLDISSGTTALTALILGRRLVVANAGDCRAVLGKRGRAVELSRDHKPNSTSERTRIEKLGGVIYDGYLNGRLSVARALGDWHMKGPKGSACPLSAEPELQEIQLTEEDEFLIMGCDGLWDVMSSQYAVTTARKELMLHNDPERCSRELVREALKRNSCDNLTVIIVCFSPDPPPRIDIPQTDVPRSVSADGLNVRKGVLHSDS >KZM99286 pep chromosome:ASM162521v1:4:30834967:30835599:-1 gene:DCAR_013352 transcript:KZM99286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTISSPTSSSPSSPKSKLAKQSSTSAFKRLCQKLSPRQSPRNSSASSSSIKSSASPDRVINSSTSSKLYDEELVQRVFNYFDEDEDGKITAAELRTCMTAVGGREMSQAEAELAVESADTDGDGMLGLEDFSKLLEGSCGTEEEELREAFGLYAAEGTSSITAKSLKRMLSRLGQSTSVDNCKAMIRKFDLNGDGVLNFDEFRVMMH >KZM97878 pep chromosome:ASM162521v1:4:18890846:18891979:1 gene:DCAR_014760 transcript:KZM97878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQGIKRRLASNIEKLIKLFDVLIDDRLELKRRGNPDENTGTADLLDGLLKLLESHEIDKSVIQHMFVNGTVLREFMTTE >KZM99756 pep chromosome:ASM162521v1:4:34539770:34545165:-1 gene:DCAR_012882 transcript:KZM99756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMTPFKFFRVVFSLSLQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTTKGPRIEEAMYRTLRWIDRDLCVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTASLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHKAMADDIRPVDPTCECMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLKLSRDLHSSIVRGQFPQFVCQFLQKMFPEGDVPEWVCNAMEVAGIDISSCCAPFPMSHDEHQTIYESKWVA >KZM98826 pep chromosome:ASM162521v1:4:27200200:27201918:-1 gene:DCAR_013812 transcript:KZM98826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRRRPPINWPFPTSRSPRPSTSARSSQSSQAGSPPQSLERTMKELLEKARAKNPQAPPSAPPPTTFQDLIAPRNRTTQIEYTLRTNPVNGHMYKVHDIIGSSTSGRATVYRGVYCVTDTNELERMEHYDLYIAVKVTDMEDQDEYGNLVDRMYRCREVNHPFLLQMREEFVLENMHYFVFLMMEGSLRCLWKSFYTNGIPEKFIAIALRTVLEVLAVLHEEGHLHQELNAGHIYYKMAIPAIKIGFLPSIYRHNSGDEGQALPGNNLPLSTICEWGAAPEIYHLRGEYTEKCDVWLVGITALELVYGGIEVEDREGLERLIAKAKSGKGIAKERVKAAGRYLKKLVMKKLKIGESGSDSKKRKVILSRAFRGMLKSCLAEDARERSTADELRQHDFFRNIDCLQDIYAFRDAVWALRAARDAREARERSAVPSASNVADPSGSNVAGPSTRRNVAASSTGSNVAGPSTRSNVVAAPSSGRSVAASSTGSNVAGPSTRSSVAASSTGSNVAGPSTRSNVAGPSTEGNVAAPSTGSNVAGPSTGGNVAAPSTGSNVAGPSTGKNETKEAKP >KZM97006 pep chromosome:ASM162521v1:4:9710808:9712256:1 gene:DCAR_015632 transcript:KZM97006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQNQYLSKSGYECLLFDVDDTLYPFSSGLSTQCTKNITEYMIQYLGIDATNASEMCLKLYKDYGTTMSGLRAIGYDFDDDHYHSLVHGRLPYQNLKPDPVLRNLLHSIPIRKVIFSNADEAHVAKVLSILGLEDCFESVICFETLNPTHKSNITDDARNSGEDGNDSALLESPIICKPFKIAFEEAFKIANINPEKTLFFDDSARNLQTAIRMGLHTMVVGSSYRAKGVDYALESIHNIREALPELWETLEKSEEVLYSREAAIETIVRA >KZM98880 pep chromosome:ASM162521v1:4:27614557:27619916:1 gene:DCAR_013758 transcript:KZM98880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTEKPPATTVADVRKRKRMMNEQDPGDHPGKKLAAEVVPTSTNIVSSSSLSYPLPEAEYIHVLQNAHVFHEKLHNFHSAFGSKFKIPTIGGTALDLHRLFVEVTSRGGIEKVVKDRKWKEVTMVFKYPSTITSASFVLRKYYQSLLYHFEQVYYFRKKTPSVLATESNAVYFGFQSRIRRGILWYQGELLINMLYGSNLGPGSSVIGTIDAKFDSGYIVTVNLGSEQLKGVIYHTPTELQMSQNSNNATPRRRSRNRFGDPSWFEESSSSGYSYFYAENYNRLQPMYDRQEKVMNKKIWLLWNKLTEAEKQMISLGEERYYVFVLIQGT >KZM97189 pep chromosome:ASM162521v1:4:12035307:12035690:1 gene:DCAR_015449 transcript:KZM97189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRVSFSYDSMAEDPNIFNKTVVTAKSLQHKGHNRRSECDVQSPESISSTTPRAFLAEKFLKRVGAKVTRALSIVSAKSSSRREVSSSNLLRSRSVALDSQRAEAVEDCIEFLNSSSSLHKSNSAS >KZM98216 pep chromosome:ASM162521v1:4:22027765:22028028:-1 gene:DCAR_014422 transcript:KZM98216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKRPTTLLTLLLVFIRVVFMFVFLAAGEARGSGHTQFLKVRPILAPDLSATSTGFLPKGVPFPPSGPSLRHNSYGGLKSWLKRAP >KZM98979 pep chromosome:ASM162521v1:4:28487547:28487980:-1 gene:DCAR_013659 transcript:KZM98979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTKVLCVIMLSMLLINLQHSLSSATITVTTEEKRPIKCVSYIALCDKDCDLSCCKSMCENDYYSLHPVGTCEHIPNNPDVICVCTHDCRK >KZM98277 pep chromosome:ASM162521v1:4:22500274:22503370:-1 gene:DCAR_014361 transcript:KZM98277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTPSSTTDAAVHIIYTEKPTDQEPEAYHLKTLASVLGSEDAAKEALLYSYKNAASGFSAKLTPEQVSQISAQPGVLQVVPSRTVQLHSGHGNKHHM >KZM98301 pep chromosome:ASM162521v1:4:22756501:22762431:1 gene:DCAR_014337 transcript:KZM98301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITASLGTSAPHLPPLHSSPPLRPNGTESFAIEIQNVKFSVKTKQKKLVHILEDCSIQIPSGQFWMLLGPNGCGKSTLLKILAGLLSPTDGFMYVKKPKSFVFQNPDHQVVMPTVEADVAFGLGKYRFTPEQIRCRVAKALDAVGMYEYLRRPVQTLSGGQKQRVAIAGALAEECKVLLLDELTTFLDETDQIGVIKAVKKSLASSDDVTALWVTHRLEELEYADGAVYMEDGRVIMHGDASTIMEFIEDRKNSYIRKINA >KZM99791 pep chromosome:ASM162521v1:4:34905066:34906256:1 gene:DCAR_012847 transcript:KZM99791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQNMSARFIPDQILTEILIRLPVESLLRFRCVRKSWNSLIKTPYFINLHSNYNHNIPASSPKFLLFCSLDSLSLSLRYDVRQCNEFKQIKFHPCLDFCDWYAISSGLICLSGSRTSNIYLWNPVVNRYKTLPVHNLPPLISSTDVKWASLSFGFVHSMNDYRVVRIVNYYATNRTDRSFVVCVYSLNTNSWKTKTIRDDFLVEILQPRSNTVNGVSFWLVNKENVKTLLSYDTNNDVLRNTALPDHQMGAVFSMHQYGQSLAYFAGVNSNVINMWILKDSKNKYFWEKKFSVNLDKDIGTGVLGIRNNGELILSRLTDLVSYDAEQKKVNDFVESWNCWPCNAKHMVLAPGFPSCWCTNAVNNAGYLGTPPFVAEQFVGSLVLLDVEWKNSSRL >KZM99146 pep chromosome:ASM162521v1:4:29771559:29772615:-1 gene:DCAR_013492 transcript:KZM99146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTRSVFQLLLVMFLCLSSLVCSTSAVPTSRVLKSFKAIPALQDFQTQEAIEKEMLVVEGRMDFESADYPGTGANNRHDPKTPGTV >KZM99390 pep chromosome:ASM162521v1:4:31756075:31758280:1 gene:DCAR_013248 transcript:KZM99390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEFRYEIGQNAYIKLILHSLKHSSSAVNGVLLGRVSGDANSVVEISDSVPLFHSQIGLLPPLEIALLMAALLLLDNKKLGALSKGKDRSPVMQLYTRDASRTWKFIGSDGSSQLALKEPSANVVLQDYISTGKAENITDFDDHLDDISKDWLNSGLFN >KZM98474 pep chromosome:ASM162521v1:4:24277811:24280642:1 gene:DCAR_014164 transcript:KZM98474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSDLVNLNLSDQTNKIIAEYIWIGGSGMDLRSKARTLSGPVDDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDSYTPAGEPIPTNNRFAAAKIFSHPDVAAEVPWYGIEQEYTLLKKDVHWPLGWPNGGFPGPQGPYYCGIGADKAFGRDIVDAHYKACLYAGINISGINAEVMPGQWEFQVGPVVGISAGDELWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNQGGLEVIKKAIEKLGLKHKEHIVAYGEGNERRLTGKHETADINKFSWGVANRGASVRVGRETEKDGKGYFEDRRPSSNMDPYVVTSMIAETTILWNP >KZM98521 pep chromosome:ASM162521v1:4:24684742:24693769:-1 gene:DCAR_014117 transcript:KZM98521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPFCCGSSFRNQITFAAITTTAAMPASSNSGRSDPIRNSSNQVHSHINTNSDSNNHIDAKINDIFVGNGICGVLHKWVNYGKGWRPRWFVLQDGVVSYYKIHGPDKIVIDSESVKGFKIIGEDSHKRIPKSRSVVNAVGSSRHTNTPVGEVHLKVSSIRESRSDDKRFSIFTGTKRLHMRAETQEDRQQWLEALHAVKGMFPRMSNGDLMAPIDNIAVSTEKLRQRLLEECVSEAAIQDSEQIMRNEFASLQNQLILVRQQHWLLIDTLRHLETEKVDLENTVVDESQRQLKEVGQSSRSTLGKYSEASASGSEDDNERVDTVEEETDEEDNAFFDTRDFLSSNSFRSSGSDYRTSSFSSDEEISSELGDGVDPSIRSVGATFPKVKRRKKLPDPVEKEKSVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCYEDLEYSYLLDRAYEWGKRGNSLMRILNVAAFAVSGYASTEGRNCKPFNPLLGETYEADFPDKGLRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGILTLEFDDGEVLQWSKVTTSIYNLILGKLYVDHYGTMRIQGSSNYSCKLKFKEQSIIERNPHQVQGIVQDKNGKTVATLFGKWDESMHYVDGDFSGKGKGFDQSEAHLLWKRSKPPKFPTRYNLSRFAITLNEITPGLRAQLPPTDSRLRPDQRCLENGEFEMANSEKLRLEQRQRQARKMQERGWMPQWFAKGKGSDTYQYIGGYWEARKQGNWESCPDIFGQMSSDQMVD >KZM99087 pep chromosome:ASM162521v1:4:29318511:29319686:1 gene:DCAR_013551 transcript:KZM99087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGRMPVAGGDTKQAEKNEDIFRRVPHEKPPFTIADIKKAIPPHCFERSLIRSFAYLVYDLAVCFLLYYAATNYINLLPKPLSYLAWTAYIYVQGCFMFAVWVVAHECGHHGFSNYHWLNDTVGFVLHSLLLVPYFSWKISHRRHHANTNSLDRDENHIPRFKETIRSYYHIFNNPIGRVFIIAFTLTLGWPLYLIVNIAGRTYDRHASHFDPYSPIYSDRERVQILLSDIGFLAGCYLVYRVALVKGFTWVMLVYGAPLHVVNGFLVMITLLHHTHLSLPHYDSSEWDWLRGALATVDRDYGILNKVFHHIADTHVLHHLISSIPHYHAEEATEAIKPVLGDYYHYDPTPFYVAMWREAKECLYVEAEDGDKAKGVYWFKNDLKSKSS >KZM99314 pep chromosome:ASM162521v1:4:31026637:31031940:-1 gene:DCAR_013324 transcript:KZM99314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGTHLSEGDTQVSLDKIKKQLASGSGKNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKVRRNDPTVKGTINFDANSTISISPLILYTNCLDIGTPQKKDYFLSAETPGAARAWVSTLQATQLVLKAHKEAVNSLSGNGSTKLGMVATVVAAANSTALESSKEIEAAMKITMRTALGAVMNKAPDSPMDDLTIMKETLRVKDEELQKLARDLRARDSIVKELAEKLSETAVAAEAAASAAHTMDHQRRIALAEVERVKEDLGKQLKSTLSKLRESDEKVMVLTKEKDQLVKQRDSANQEAHLWRSELAKARDRVVILEGAVVRAEEKVRVTIADAEARMKEATQKDEAAVNEKQELLGYVNMLQTQLQRQHEDTRQIFEEKAESCSNINSSEPLMKHVDPSEENVDKACLSVSRATSVSGESLVHPNVDQNNIQPIGDGEWSDIQATDARIADVREVSLDTEVSSLDIPVVRQQDSSHLEQDSSSYDQP >KZM98426 pep chromosome:ASM162521v1:4:23863741:23864179:-1 gene:DCAR_014212 transcript:KZM98426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSLYIILLLHLLLSPSFCLDSQDSTEGLTFEEKTRLGSTPPSCHNKCNQCHPCMAAQVPSLTTQNGPVQPRPRRQATTHPLDYYDPTPSPVGNKYSNYKPLGWKCRCRNHFYNP >KZM98373 pep chromosome:ASM162521v1:4:23369308:23375866:1 gene:DCAR_014265 transcript:KZM98373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCSQINGYKLLLKALIMIPISHYVVGLLCVLIIFLYNFLEFHFLDHLFNGGCWVKLTYLPGSELHQAVISKCKLLHGRYSATPWLASPHLQTILLPYACKPPAFTYRREIFHSSDGGSFALDWLLSSDVLGSSANKNADISKNSTTPIVVVVPGLTSDSSAPYVRSLSLDSAKQGWNVVVCNHRGMCGISFTSSYFYNAGKTKDLRDVLNYLVGSYPMAPIFLVGTSIGANIVGKYLGEDGDKCPVAGAAVICGPWDLLICSRFLGRGLVQRVYDRALAIGLKSYAQFTFALQVTTAMVFLLKL >KZM97745 pep chromosome:ASM162521v1:4:17460702:17463620:-1 gene:DCAR_014893 transcript:KZM97745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVEKEEQEQVAFLELPAPAGWNKKFMLKKGGTPKKNEIIFTAPTGEEIPTRKQLEQYLKSHPGGPAITEFDWGTGDTPRRSSRISEKTKATLSPESHPTRKRSKKASDGKSVGKVKEPNPEETLEKEAEVQNAEKDEKGNTAAEIGEGVEEKMDEDTKYVEKDAVKDKQADEKVGTQVILEDVGKGIEDENKEEVHEEDGRKVEAAVEEAKPDEGVKVTVEAEEDKVLAEAEKETSKEPVVNEAPSATELPKKDEGFTQNAPAQKESEKTPVNSGEQIKQGITVEEKIEEGDDKTGNCGLATPKQNDVTNKSEGEVAENGSFANAG >KZM98023 pep chromosome:ASM162521v1:4:20469188:20472069:1 gene:DCAR_014615 transcript:KZM98023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISPFLLNLFLGFNLCFYIPLVYGTESSDNEMKALMEMKSGLDPDNRYLSSWSGVGHPCDGSMEGVACNQNGQVANISLQGKGLFGKLSPAVAQLKSLTGLYLHYNSLYGEIPREIFYLAQLTDLYLNMNNFSGNLAPELGNMVGLQVLQLCYNQFTGSIPTQLGSLTKLSVLALQYNQLSGAIPASLGDLRTLARLDLSSNRLFGSIPAKIADAPLLEVLDVSNNNLSGIVPPALKRLVEGFRYVNNPELCGAGFSTLRVCSASDHLNQDLPESYGGVPSLSKKNIPETANLKLNCSEARCSTSSRTPQASIAIGVIVTLIALVAVGVLTFAMYRRRKQRPGAAFDHSDSRLSTDNPKAVHRKNGSPLISLEYSSGWDPLAEGRRFGGFSQEILQSFRFNLEEVQSSTQYFSRKNLLGKSKFAAVYKGILRDGSVVAIKSITKTSCKSEESEFLKGLNVLTSLRHESLVRLRGFCSSKGRGECFLIYDYVPNGNLLSYIDVKEDGQVLEWSIRVSIISGIAKGLEYLHGTKVNKPALVHQNISARNVLIDQRFKPLLSDSGLHKLLTDDTVFSALKASAAMGYLAPEYATIGRFTEKSDVFAFGVLVLQILSGKRNVNSSTRAAAESCVFHDFIDSNIHGRFCEHEAAKLATLALLCTHESPEQRPSMDAIVQELDTLASSS >KZM98820 pep chromosome:ASM162521v1:4:27117604:27118155:1 gene:DCAR_013818 transcript:KZM98820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDNRRHPVTDASGLKATPFSYGSGHVRPNRAADPGLVYDLTVKDYLNFLCGLGYNSSQIKSFTKEPYTCPKHTALANLNYPSFSVPNLNSTITVTRTVKNVGSPGSYRARVVSPLGTSVIVEPKYLKFDKVGEEKSFNLTVKLRQKDAARDYVFGHLTWTDGKHHVKSPIAVKAGSTQVIAS >KZM98421 pep chromosome:ASM162521v1:4:23825141:23828788:-1 gene:DCAR_014217 transcript:KZM98421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAAEYGVLNLQESPRFGSRNLENSYEKLQQIGQGTYGLVYVAKDCATGEIVALKKLIMNKGLPGFPVSAIREIKILMKLQHENVTRLKEIVTSAEGDNKYKGDIYMVFEYMDHDLAGLVLRSGSRFTVPQIKCYMKQLLAGLHYCHVNRILHRDIKSSNLLLDNQGNLKLADFGLSRSFSYNHSVDLTNQVITLWYRPSELLLGVTKYGPAVDMWSVGCIFAELLQGKIVLPGRAEPDQLKKIFKLCGTPDEINWPGVSTTPWYNKLMPERHMKRVDSYAIDLLEKMLTLDPAQRISAEDALDAEYFWTDPLPCNPKSSVPADINSNLYACLNNLVSDLSESS >KZM97397 pep chromosome:ASM162521v1:4:14229190:14230200:1 gene:DCAR_015241 transcript:KZM97397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKPHSDDQEDADYIEMEVHSHSDRFVGVMTSPHPLEFEFQMFSSSLKKDTTISPADELFYKGKLLPLHLPPRLQMVQKLLQTSKSYETNTLEEFFSRPLADTRPANSPPDDVSSPGSWKVSEEPNPQECVTEFTTETIAFVRGKTKKSWTRKLKLVKQASLSSKLKSSRAYFKSLFTKPGCSDKSSIVHEDNHIVIAKNPFRRIQTEGYQVQPTTNRFVNETGSDNGASSHHRRSFSGVIKWFSVSKSSTSFGSFHKSSSSSGSEKCLRVQPLNRSSTMNPDIEHSIQGAIAHCKRNSQQQLHSRKCGSDMSFFSSSASKIANEDHEREELCRG >KZM97910 pep chromosome:ASM162521v1:4:19351307:19372185:1 gene:DCAR_014728 transcript:KZM97910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMTFKSDQAMHKNKLQEYAQKSGLPLPVYTTDNGGFDHKPKFQSTVLVDGMEYRSERSFSRIIFAENDVAKIALECIKKNMKIAESCSIHMQEPKISKSILYEFAIKSRTEVPTYRTNCAEEAEPVFVSTCTFNGKSYTSEIAGSKKMAEQFAARKAIQSLLENRPDHTSATQYGGMLGDSNQRKRRNEVNYSGVKRFLREKNFKQTIPQVMVEEGEEISYETVTTTLRRAVHFFAALQADDGHWPAEIAGPLFFLPPLVMCVYITGHLNSVFPPEYCKEIFRYIYCHQSFGSQEWDTGFAIQALLASDLTNEITETLMKGHHFIKESQCCLLFSLMPPEVVGDKMEDIQFFDAVNIILSLQSKNGGLPAWELAGSSKWLEVEWKNVTRLCNTVRVLTVNGEYPGPTITVYEGDNVQIKVNNRGPDNTTIHWHGVKQLRTGWADGPAYVTQCPITQGNSYTYKFTVTAQRGTLWWHAHYSWQRATVHGAFIIKPRKPYPFPARIREEIPIILGEWWNGPVQAIEDYMKLTGDGPNSSNAYTFNGLPGSLYPCSNKDTFVQKVEGGQTYMLRIINAALNQELFFTVENHTMTVVEVDATYTKPFTTTAIMITPGQTTTVLLATDQKPGSTGMFVMAARPYLTSLFPFDNSTSIGFLKYKASGTLKLKPQTPSLGYIPSSLPKMEDTVFATKFTDNLRSLGTTEYPCNVPKQIDKRVITTISLNLQDCPKNKTCKGLYGKIFSASMNNISFVRPKMSILECHYKNLSNSGIDNNFPEKPPNAFDYTGVDALSENMNSEFGTKILAVPYGTKLEIVLQDTGFMNLENHPIHIHGHNFYIVGTGLGNFNPAKDPANYNLVDPPERNTVGVPMGGWAALRINADNPGVWFIHCHLEEHTSWGLAMGLMVKSGSKPSQCMLPLPDDFPSC >KZM98246 pep chromosome:ASM162521v1:4:22208985:22216603:-1 gene:DCAR_014392 transcript:KZM98246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSGSSVNSPGNVTNQSLFGLTRKPITTRFSRPLRRALSHSSVVCQYQSSASSNINKLQAQEKRRNECFASIFSSGGKETSSIAVSPQPLVPPPPPSQVGSPLFWIGVGVGFSALFSWVAGRMKKYAMQQAFKTLMGQMDSQNNQFSNQFGNPSFPAGSNFPFPPPQPSSPATSSSPVASRNATVDIPVTKVEAPPTTVVNEDRESKPKSNKSAFVDVSPEETLQSNPFESFKESSEKEESKDSQYQTQGFQNGAAFKPDFDAPRDFSSSRMLQNPQHRQQLQDMLNSMGGNPEWDNRLKDSLQNFDLSSPEVKEQFDQIGLTPEEVISKIMSNPDVAMAFQNPRVQAAIMDCSQNPLSIAKYQNDKEVMDVFNKISELFPGVTGSP >KZM98729 pep chromosome:ASM162521v1:4:26453029:26453193:-1 gene:DCAR_013909 transcript:KZM98729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVTARCSTYKFDSLYNLTLELENPICSALSLSLLDHNRMRFKSLLHALCVP >KZM99909 pep chromosome:ASM162521v1:4:35800794:35803337:1 gene:DCAR_012729 transcript:KZM99909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIIMLSRRQVVCILIICCSVFHNTYGRSDPSFRVKAVNLGGWLLTEGWIKPSLFDGIVNRDFMDGAGLQFKSVTVGKYLSAELGGGNIIVANRTSASGWETFAVWRINETSYQLRVFNKQFVGVDTDANNVVSATTVVPGDSQTFQIVRNSDDANRVKIKAPNGFFLQVRREEIVTADYDGESGWGDSNPSVFVVNSIRGGQGEFQVTNGYGPRAAQVMKEHWTTFITEEDFKFISENGLNAVRIPVGWWIASDPTPPKPYIGGSLAALDNAFMWAKKYRLLVIVDLHAAPGSQNGNEHSASRDGSLEWGKTESTIQQTLDVIDFLSARYAKDPSFYAIELLNEPTAPGVSLDTVTKYYRDGHQVVRKHSSTTFVIMCNRLSADNTELLSLGSSLDRVVIDVHYYNLYADYFDRMTVQQHIDYVNNNRSAELSTVTTSNGPLTLVGEWVNEWGVSGATKEDYQRFGSAQLALYGRATFGWAYWTYKNVNQHWSLEWMIKNGYIKI >KZM98893 pep chromosome:ASM162521v1:4:27725970:27734620:-1 gene:DCAR_013745 transcript:KZM98893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPISCKCYWVDRSCTQILPGPIKTKENELNEGFSCSRVLLLPSRVITSTKFIHTSASYLSLTGDTTDMITGGKSYVSSPPAFSNDAKKLLICTGSSVSIFSTSTALQISELEGHTDLVTSVIVVPASSKLLNYCWTSSLDGTIRYWDFSVAELVKTITISLPVHSMVIPSLLSKSSENDEKQPDLFAYVSVDGSQGKEKLPNTLCGQIRKCNLTKSRMVGAVTLAETKKPEFVTSSPAGEYIGIKDKRKLRIWEVPTKDSERVFYKKIKLHHTKKITTFAFHPTERIVAAGDATGRILIWRGFGDKTLCVRDKSANEDLMNIDDGRAGVRGEDDADSCTTWHWHSAEVKVLFFSSDGAYLYSGGKEGVLMVWQLDTEKRKFLPRIGSPLLYYTSSRDPSISTISCSDNRIHILKMPSMEIVKSISGIKLPRAVPEVLDGSCNGLAFNQTSGVAAICTDNYCIQFYNLFDDREIAEVQVCERNHQPVDEVTVTISVVALSPDGCVMCTVENRLPEDGIGGLVSLKFWVCGSQSTNFSLSTIVYEPHRNASISEIAFHPTRHMAVSSSYGGDFKVWVSKNAIEQKDQARPINGWKCHAVGSYKKKPLTAAAFSVDGSVLAVAAETVITIWDPENNVLVAVIGESLEPISRIAFIGKSDYLVSASRGSEPQLSVWSLSRMCVSWSYKLHIEAITSTMGDSFFAVLGLFPKTSNESTLHDVDGVILLFKAEDPVPVATWFVRKARGGGLAFVHLNPESIEGNTSDSTPAPELLAYINNDHEYVIFSPHGDQLDERGISLRENHVMPEETGRLGYESIYGELADIKPLNNLNSPASVLPSGRPWETIFSGSSHNLPPFTKLCSVFLESLLEKRTTDVE >KZM97440 pep chromosome:ASM162521v1:4:14711213:14715455:-1 gene:DCAR_015198 transcript:KZM97440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMASLNTNTIASPGTLPLVSAVSRQPKYIDSLKFSPLSARPRVSDQTHAISVSSQRKPASIICAAAHNARCSAEQTQTVTRQSSTITVAPIQGKEKSPDLDDGGDGFPPRDDGDGGGGGGGGGGWSGGFFFFGFLAFLGLLRDQESESPYKD >KZM97903 pep chromosome:ASM162521v1:4:19286021:19293036:1 gene:DCAR_014735 transcript:KZM97903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVYTTTPTKPTPLHSSSSSSSSATFIPKFHLHFSTPNPNPSYPHQVIKHRRHRFAVLRCSHASTSPQYGGTYDPELNYVLQLATGSELYELKQILFGKSYFSPLLKSIARPKDIDYVMIEQDPDERDDFIAMLESRFFFLAADARSTLRGWRPSYRNVLLGVRKRLKIPCSAKLKTEDLEVEIFLYLLQDYSSDEAGRLTKSLTPDGQGSLEIGLSQWKIQSVSAFRAGALGLRSVILKGGGIFTLGKLYKLLARGLSGKLFLEAANYQIKNEVLRKGGHLAAINLESRAALLAAKQGLTGAASRYIGLRSMMTLFGPMLWGTLLADVVIQMLGTDYARILRAIYAIAQIRIARTHQLPNDIS >KZM97583 pep chromosome:ASM162521v1:4:15989942:15996657:-1 gene:DCAR_015055 transcript:KZM97583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKSAGIRYAPEDPSLPKPWRGLVDGKTGYIYYWNPETKVTQYQKPSCSQIKPDSPNNSVSESLCSSVQVQQSSQVLNQEIAANDDDDKNGRGSGGRPKFDPGEKNDQGDRKEADQPNIPHDSGGSREGRSSDRVEVLPAVRSGPSAESYRRQHEITVTGDNVPPPFTSFQAIGLPSEILREVLKAGFSHPTPIQAQSWSIAFQSRDIVAIAKTGSGKTLGYLLPAFRHLMRCRNNPQLGPTVLVLSPTRELATQIQDEAVKFGGSSKISSTCLYGGAPKGPQLRDLDRGTHIVVATPGRLNDILQMGRVNLGQISYMVLDEADRMLDMGFEPQIRKIVKEIPARRQTLMYTATWPKEVRKIAADLLVKPVQVNIGNVDELVANKSITQHVEIISSMEKQRRVEQIVRSQEPGSKIIIFCSTKRMCDQLSRNLSHQFGAAAIHGDKNQSERDHVLNQFRTGKSPILVATDVAARGLDVKDIRVVVNYDFPTGIEDYVHRIGRTGRAGSTGVAHTFFNDQDAKHASDLIKILEGANQRVPDEIRDMASRGGFGNSRSRWGSGRGYDSGYGGRDGVARGSERESQDSDKYGSGGYDVDAPGSYHAKSFHQSMIQDLDKQRSRSRSPDKGGYDANTLPGSYQAKSFHESMVSRPEKRSSRSPNGSGSGDRGRSRSRSRSVDRFDSSSQGQERSPTRSFHRAMMERS >KZM99242 pep chromosome:ASM162521v1:4:30499243:30502732:1 gene:DCAR_013396 transcript:KZM99242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTRSNASTVKSSEPGKPAAAEKPVQLIEPVVSDGDNDETVEEEVEYEEVEVEEEEEEEEEEEEVEEEEEEEEEEEEEVEEEEKDASVSNKAGSRKGQDSDDEMINAEAAEDEEKKHAELLALPPHGSEVYLGGIPHDASEDELKGFCGSIGEVTEVRIMKGKDSSENKGYAFVTFRSKDLATKAIKDLNNTEFKGRKVKCSTSQAKHKLFVGNIPKSWGEEDIKKIVTKVGPGVNGVELLKVKAVYVKNLPKNITQDQLKDVFEHHGKITKVVLPPAKAGQEKSRFGFVHFADRSSALKALKNTEKYEIEGQVLECSLAKPQADQRSSGFSSSSKAALLPSYQPPVGYGLVGGAYGALSAGYGGAGFGQPLVYGRGPAPGMAMMPMLLPDGRIGYVLQQPGAQPYSSPPPPQQQRGGRSGGRSGGSSSGGRSGGRGGGGSSSGGRRDSGGYSGGRNRYNPY >KZM99745 pep chromosome:ASM162521v1:4:34455154:34455690:-1 gene:DCAR_012893 transcript:KZM99745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFQKHPVLVVNTLQDEIAISSRQEVLKLHPENDPIIVTQNKEEVPEVSEMDQIQGTLANKSVDMYSETESTDQLSTSDESDVEWPCSSRSQDFSDGSISDEESLIEIALTGEQHLPCPKDEDKACFQQKVPALLPNDNFEQHYLKELFAEFNEEDNLIEIDITMGSIKCSRFKIEA >KZM97005 pep chromosome:ASM162521v1:4:9705986:9708402:1 gene:DCAR_015633 transcript:KZM97005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCLFSAPAAFLPAFLFLMSSVCFAPEVATAMHAGITRHYKFNIRLQNVTRLCHTKSMVTVNGKFPGPRIVAREGDRVLIKVVNHVSNNITIHWHGIRQLQSGWADGPAYVTQCPIQSGQTYVYNYTIIGQRGTLWWHAHVSWLRASVYGPIVIFPKHNVPYPFPKPDKEVPIMFGEWWNADPEAVISQALQTGGGPNVSDAYTINGFPGPLYNCSAHETYKLKVKPGKTYLLRIINSALNDDLFFSIANHTLTIVEADASYVKPFQTDILFITPGQTTNVLLKTKPLSHNATTFFMTARPYFTGQGTFDNSTVAGVLQYENDSSNITNLPLPTLPPINGTSFVANFTSKFRSLANSQYPANVPQTVDKHFFFTVGLGTHPCPKNQTCQGPNNSSKFAASINNISLVLPTTAFLQAYFFGKSNGVYTTDFPVTPLMPFNYTGTPPNNTIVTNGTKLVVLPYNTTVELVMQDTSILGAESHPLHLHGFNFFIVGQGFGNFDAKNDPAKFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHFDVHLSWGLRMAWVVLDGKLPSQKLPPPPADLPKC >KZM97750 pep chromosome:ASM162521v1:4:17498619:17501028:-1 gene:DCAR_014888 transcript:KZM97750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALVNYRGDDEFYSAGSACSADSALFFSIGSNVDVYGPSRKRARISSPFAFESQMFEEQKSSIEILPDECLFEIFRHLPGGQERSAAACVSKHWLMLLSSVRNTEIYRSESTQTGQDVCKSKQDVEMTTVDETSEDDCEGYLTRCVEGKKATDLRLAAIAVGTATRGGLGKLSIRGSNSVRGVTDLGISAIAHGCPSLRVLSLWNVPFVGDEGLLKIARECHLLEKLDLTECPSISNKGLVAIAEKCPNLTAVTIESCRKIGNESLQAIGRCCPNLQSITIKNCPLVGDQGVASLLSSASAVLSKVRIQALNVTDFSLAVIGHYGKAITNLVLGGLQNVSQKGFWALGSAKGLQSLESLLITSCRGTTDVSLEAIGKGCPNLKQMSLKKCCFVSDNGLVAFVKVAESLKSLHLEECNRITQFGILGALSNCISKLKSLAFVKCMGIKDLALETPVLTPCESLRSLIIQNCLGFGSASLAIVGKLCPQLHHLDLSGLCAITDDGLLPLLESCKDGLVKVNLSDCLNLTDKVIAALARLHGETLEVLNLEGCRKLTDASLAAIADNCSLLSDLDVSKCSISDAGVAALSRGVQHNLQILSLSGCSNVSNKSMLSLGKLGQTLVGLNIQQCNSISSSAIELLLENLWRCDILYGV >KZM96833 pep chromosome:ASM162521v1:4:7373369:7374272:1 gene:DCAR_015805 transcript:KZM96833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHVNPPGFKVPNPGSLKINTHGVWSSIPSDIGNHSGIGAVYRDSNGTLSHVTVGTIPFLSRLGTQLWAIYAPLRRAMIKGYSSVILETDNYQAYRVIRDFQHGAPAEVFDIVSQIDILLKDRRWTCVIAYVYPARNHVARFTARLGKDVADRLYTLNRMIGPLEELLDWDMGLGVDHPDFMDVVLPDEAPDPVNFDVALGIADQVDGLALGQLHFQGGMAPAAMQGSAPASQPMENGGMHANAATGMVDEDAVGPQDRNDPTNPEGYWLLVCW >KZM98418 pep chromosome:ASM162521v1:4:23790449:23796479:-1 gene:DCAR_014220 transcript:KZM98418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAGYGQLNLEEPATWGSRNIDCFQKLEQIGEGTYGQVYMARDNKTGEIVALKKIRMDNEKEGFPITAIREIKILKKLQHENVIRLKEIVTSTGPEADEQAKPDGDNKYKGNIYMVFEYMDHDLTGLADRPGLRFTIPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLQGKPVLPGKAEPEQLNKIFELCGTPDENNWPGVSKTPWYNKFKPTRPMKRRVREIFRHFDRHALDLLEKMLTLDPAQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRANEEIAKRQKLQHPQQHSRLAPQMQSGQAHPPQWSGHNHHMNNSQPPISAGPGHHHYGKGRGPGGPSRYPPGGNPSGGYYADRGGQGGGFNSGPYPAQGRGPQGGVPTSGSRAGASGGYGVGPPNYPQGGQYGGSGAGRGSNPLPGNRNQQFGWQ >KZM98509 pep chromosome:ASM162521v1:4:24567851:24576830:1 gene:DCAR_014129 transcript:KZM98509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSTSGLGQQSHEEEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEIDGHIPNYPNLSAQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTYLPVELGIPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFNIFYNPRASPSEFVITLSKYFKAVLHTRVSVGMRFRMLFETEESSVRRYMGTITGIGDLDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWYPGASSFQDSRDEGVNSMAWLRGEMGEQGLNSLNFQSAGMIPWMQQRVDPTFARNDLNQQYQAMLAAGLQNSGGGDSLRQPFMQFQQPVQYLQAVGSHNPLLQQQSLQQSGPHLHGQSHLLPESHHRQLQQQSSNRPTEEHHSAYPEAYLIQSELLQQRQQANVPSPSYPKSDFSDANSKTSASISPNMQNMLGSLSSEGSGNLMNFTRNGQSMIAEQPAQQSWVSKFASSQVHTSASLSLPPYPGKAAAVEQESSSLDAQNQALFGSNIDSSGLLLPTTVSSHNTSSIDADIPSIPLGTSGFHNSLYGYMQDSSELLHSAGHVDPPTPSSTFVKVYKSGSVGRSLDITRFNSYNELREELGQMFAIEGFLEDPQRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKLGKQELESFNQNAGERMNSNGNDDRDLLCGLPSLGSLDY >KZM99139 pep chromosome:ASM162521v1:4:29729339:29732695:1 gene:DCAR_013499 transcript:KZM99139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFTSQEVEALQKGGNQRARETFLNAWDQHMQRFPDSRNDISSVFSFVAMLIKFESLSRQFMLIKNMLEERHPINLQEDLKNHEDETRRASSYHSYSQSPPYDYQYEERRYGKQAFALTRKAGSDRGLKTSSFLSPSHSSDNGLDGGFSNEGSNTGDLNKYETQAPTFQTGFGFGSPSSESSRDIFNEEINHHNVNTYSDAHTKGTADQVPHSQRTASTSSFGSFNSNSMSFKSVTSENADGPLEPDQSAGSRNNKLATFLSLPHSTVSGTSTGVDLFDSPFVSETVTSSASAPDLFKLPRTSTLPVDWFQTSSPFSTSNSDQQSQNLPPPSLDIFSDVPQPHLVGSLSERSLDVTEKNEGWATFDVPQLMEPSQGNQIPTSTTAVTVPPKVEVSLTDADNLLLSNTTPQWSSFLDSGFTEPHSSIPDKWHESMLNFEVPSNAGADQSWNAFDNLDEQLPFEKDYQQSSEQVAVQNPSSFADGYMGIRVSESGTLFPATDVKSTNPFDIPFDTDLEPNNEVRKIVAGVYSD >KZM97400 pep chromosome:ASM162521v1:4:14248461:14248661:1 gene:DCAR_015238 transcript:KZM97400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTIIEERCVKVSSNIKYVARVEYPKVPAKDDHLDESGFKNLGVPKFVKLLSCGGMCPESQLSWR >KZM99047 pep chromosome:ASM162521v1:4:28999083:29005511:-1 gene:DCAR_013591 transcript:KZM99047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFAVINGQSTIPIIQFSEIGKHYRSWWWSRISDTIIEAAAWHLSFWTNASDKEAVKNADVEDLDAEVSEQNIESEDDVEVSDQDWRKQRQQSGFPRDGKDFDIGLSFEDGNIIVSLNRKKPAVHPGDRLEARHDKVLEGVNQEPYKKIMGREFKESKYGFGGKKARRSKILLRQLTNDMRITLLGKREVTELRKSEVKESLENKTTGLACSTTRRYLNFRISSLSINNLETGKKRSYNEFGESLPTLMVSFRIDSDYDADMSSTAFIEPLPVLASTPAGRENVNTNREVRTYRDIGARFTVYDSNSC >KZM99110 pep chromosome:ASM162521v1:4:29500006:29500596:1 gene:DCAR_013528 transcript:KZM99110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQLLWTGQGDASGRSKQSRSEKKSRKAMLKLGMKAIPGVSRVTVKKSKNAAEQFKAPNLSNVIPKAEPSVIAQDDEDVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTN >KZM97934 pep chromosome:ASM162521v1:4:19574455:19584026:1 gene:DCAR_014704 transcript:KZM97934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTQHDHNDTVALHPSSQSDIDEIENLINAGPSSVLPAKPPSPTRASIPVSSSPFIPSNIPPPHPSAPTSYSNKPVFVPSGPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKAMRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKDNVVLKLVVVGVTLAWSSWAAYPFMSTAVSPGRKALALYPHSTHNYDEEHSLLLELSEKDPLFDKKKKLLQVVGISPDECVCLKGSDTPATLNSVLDLLLQKARVIYFTEVDLYFAASDVIQSVKFQNPRNELEALQSIMFLIDNSILNSKHLRVDILQDLRNMTLEKINALGNTIKDDTKIVGNFRCERESRLVHWGEGEGVKTKLEIAYVEGAGRGAIAKENLKVGDIALFPVLEKIEGITSETMLLLWSMKEKHNHESKFKFYFDTLPEVFNTGLSFGVDALLELDGTLLLEEIVQAKEHLRTQYDELFPALYHARPDIFPPDLYTWENFLWACELWYSNSMKVMFPNGRFQTCLVPIAGFLNHSINPHIMQYGKVDSATNCLKFPLSRPCNAGEQCFLSYGKLSSSHLITFYGFSTQADNPYDVIPIDLDLPNIDDYENGNLMSDWTNHMVRGTWLSENHEIFHYGLPSPLLEHFRRAQGSAMQPSTITQENLAIELEILSVLCSTFEDMMQGICDTDDDDRENGSWDVKLASTFKKSQRRIFSSIVTSCKAGSKLVEHELSKCGA >KZM99879 pep chromosome:ASM162521v1:4:35535641:35537786:-1 gene:DCAR_012759 transcript:KZM99879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNYEHDVVLSSKTKKRRMNDDELVPDSSLDKWSEQGLEKGDIFNLSDLLFTKHRDFLITYRHRDRPVQAKHLEGKVVVLHFVPLVPWNHYLRREVDSLVDIYNDIHGKAAFEVVLIGITPDYTEPFTTKEQLHSALDPSFLEECFEEKFSVMPWTAIPFFDTQSRNSLGKRLGLPDAFISEFQTLSVVFDPSGVVLQPAAAGFFSWFGAQAYPFTAQRMDCLENEYEEALYHPSITKLLTFPECNYVINNQNQEVPVHNLEDKVVALYFDEESISAELPIKLKTAYDELLAKEKNFEIVLVHIHDSVYSSECATEESFWKTFSKMPWLALPFKDPRCKYLKRVFSYPVDLEGPGPDPRLVIIGPRGKYYELYGADILQRFGVEAYPFTRMRIAKLEATYMKELKLDKFWDPNISFIQKNGPEVKLSQLVGKRIILVIEGEWASGKFLRRLKARYLEMKETDDAFEVIFVPKKVGSPYGKHVFAAMPWLRHPHRPRRTNIVKLLCRFRRGDGIVAFDRDGTVVRRSTAPSIERGNKEYPFYAGGLEKEALTEVTEKYNWDYLPIEVW >KZM96687 pep chromosome:ASM162521v1:4:4175512:4176393:1 gene:DCAR_015951 transcript:KZM96687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLRSLSVRYWLTFETVVLLNFWAWIQEWKTQIETDHWGAYEAIRFQEEFQQEEDVLEVLQLFNTLHSNNFQIDTTERSITRIPVGQNSTAVFLARFGMENLRVFAETPGTFGEKQYWLDRDMGMLFSSAPPPNYDLGEVIDADEPSSPVELMLSRVNTELVPAVNTMWSVLTLPKRVCKAIYAWF >KZM99080 pep chromosome:ASM162521v1:4:29277636:29279109:-1 gene:DCAR_013558 transcript:KZM99080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSEMRYLEDEDSSTMKVIKGATSGFVVGTIWGTIVATWHDVPRVERRVALPGLIRTLKMMGNHGATFAAIGGVYIGVEQLVLNYRTKRDFVNGAIGGFAAGATVLGLKGRSMSTAISAGAALATTSTLIDWGGQTTRIDNGKEYYPYTTKKRPNANVN >KZM98736 pep chromosome:ASM162521v1:4:26501258:26502025:1 gene:DCAR_013902 transcript:KZM98736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFERLAEKEVIHICVGTTVRPNELYKTVLNFRRRSELKEKQGETEGNGENEGKQGESEGNGENEGKQGETEGDPFSQMLDNEGDAQVFEPGPSKTKGKKGKKTPKRQQKTRAKSANVTLPAPITPKTAFKSLPVRKSPRFSPVQTNAATARPTVVTEHRLFKKKVPKTTARRKGLSTTQDDDSAGQTGNEENAFEEEQASDRVESSKKRKAVKRKVSFDDSEEQASDGDTSDHDLDGVDTSSDGVTSVPKVKDNW >KZM98163 pep chromosome:ASM162521v1:4:21623144:21625406:1 gene:DCAR_014475 transcript:KZM98163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGMAAIVSKSAAAPIERVKLLLQNQGELLKRGQLKRPYTGIGDCFGRILRDEGVLSFWRGHQANVIRYFPTQSFNFAFKGYFKGLFGCSKEKDGYLKWFAGNIASGSAAGATTSLFLYHLDYARTRLGTDSKWCPVNGQRQYKGLTDVYRQTWASDGIKGLYRGFGASIVGITLYRGMYFGLYDTMKPVVLVGQLQARWSITTVSGVCAYPFDTVRRRMMLTSGQPIKYRNPLHAFREIVRLEGFSALFRGVSANMLLGVAGAGVLAGYDQLARIVYRKGERTEPQRV >KZM99458 pep chromosome:ASM162521v1:4:32210357:32216815:-1 gene:DCAR_013180 transcript:KZM99458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNGGNVDISQEQEAPRKFVWGDGKKPRVCILGGGFGGLYTSLRLESLEWPDDKKPQVLLIDQSERFVFKPMLYELLSGEVDSWEIAPRFSDLLAKTGVQFLQDRVKLLHPSDNLRMSGPTRSTYGGTVHLESGLTIEYDWLVLALGADSKRDVVPGAAEYAIPFSTLDDAWKVNDKLKILERKTFGTNTPVSVAVVGCGYSGVELAATISERLQNRGTVKAINVGNEIIPDAPPGNRQAAEKVLSSRKVQLLQGYFVSCIRQNNDIRSLGKQTDMEDIGNTSGAYDPDKLILELKPTEKGQQSQLLEADLVLWTVGSRSLLPQLEPCEPYELPLNGRGQVETEETLRVKGHPRIFAVGDSSATRDSKGKLLPPTAQVAFQQADFAGWNLWAAINERPLLPFRYQNLGEMMVLGRNDAALSPTFIEGLTFEGPVGHAARKLAYLYRLPTDEHRLKVGISWLTKSAVESVALLQDAVTKVLQGS >KZM97186 pep chromosome:ASM162521v1:4:12014902:12015758:-1 gene:DCAR_015452 transcript:KZM97186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAFKCITSFTPTQHGIFDKGRPAKRKNFILLSKSNDSDSAAPTPDGDLQKQELLAKIAMLQTQKVRLTGFLDERSDYLTQFAEEANAEIDQIGEEALKELEETSARIMGNLESQMQAFEESAESSKLEIEKNEQILAEFEGLIEENKNEGMFFKNLGEKTPIDKEKGMREAIKEAKKIQQTIKESAGQKTRRNIYLALIGLLSIGIVDSLISSSDYRKALVLGVLLIGVLSQVIYEQSIASETEQTQEAETKEDKE >KZM98025 pep chromosome:ASM162521v1:4:20474618:20477002:-1 gene:DCAR_014613 transcript:KZM98025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCASLLRGNTAFLRKPKHLKASSASWHHRRSRRFKRPSNILKDASGNDIFSHYKFGRELGRGEFGVTYECMKIETGEKMACKKISKEKLRTEIDVQDVRREVDIMRRLPSHPNIISFKDVFEDKEAIYLVMELCKGGELFDRIVSRGHYSERGAARVTKTMIEVVKVCHEHGVIHRDLKPENFLYASEDENAPLKAIDFGLSIFFEPGQNFREIVGSPYYMAPEVLRRNYGPEVDIWSSGVILYILLCGVPPFWAETEQGIAHAIVRGRLSFKREPWPKVSEDAKELVKGMLDPNPFSRMSIEEVLGHRWIQNADKVPDISLGNDVKTRIQQFSLMNKFKKKVLGVVATTLPDEQIQDIKDMFHMWDTDKNGDLTLEELKSGLINNGHNVSDLDVQSLMEAADLDGNGTLDIDEFVTIAVHIKKISSDEQLKQVFSTFDKNQSGYIEFEELKEALFEGHQEPNCEQVVYEIIRDADLDKDGRISYPEFAAMMKNGMDWKMASRQYSRVMLNALSKKMFKEKSVMKK >KZM98576 pep chromosome:ASM162521v1:4:25188635:25193031:-1 gene:DCAR_014062 transcript:KZM98576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFLQNMKTLRYQMNDVEDQAAKISVEEQKLITTIDTLRKDLDSAKSETKRLKEDTDLMVKEKGEICSQILLKQRKLNEMELDSQSLSQTMTLILQEQANLSAKLDEKRTYYREVDEDLTTKFQKLQDWISACKPSLKVGERLLVILLQDFMKLSTAYLSEKPEMVGLLMTRGDSPEKNLMENIDAAKTKFDSLTQMKSELVSEGSKVNQSIELMKSRMREYKPELKEMDTKNLKDEIEALLSDKAGENEYLQSLQNQIEMLKEISNMVKCVCGMEYKVELGCAQ >KZM96618 pep chromosome:ASM162521v1:4:2300780:2302106:1 gene:DCAR_016020 transcript:KZM96618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGGDLGYLPWYRKPDGAGATVAVRRREEIEEYEEGRPKWFAGDKPKGLWNEAHFRNITFLYNHNLLLRDLSMASIDKARALYLARTRATKKKEGDEETGGTPSVSLSSVMGGETGGSSHLERGRNPRGNSEDERDPYGDRGYISSGSGLAPRGPTPSAAGAVGYSLTQFQAGMGWLESPSKSAAPLEALNIFTLSLHKELMAVEDDEKLMEATREALGQAKHPDRVEAEAIVAQMGCRNSRKRVEDSEGFVVQGSSGKAGQEEGESS >KZM99173 pep chromosome:ASM162521v1:4:29966936:29977283:1 gene:DCAR_013465 transcript:KZM99173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSRLFSSLKSLNKSTLRNSLFHTHRPHHHIKTNVISSDNKQTPNVSWLSSLLPVAIAFSAGSFAFKTLDSPALCDSSNLHAESSGLNYGGKDSTDYAVKGYRRNIPQQLIDELKDICKDNMSMDYNERHFHGKPQNSFHKAVNIPDVVVYPRSEEEVSKIVKTCNKHKVPIIPYGGATSIEGHTLSPSGGVCIDMTLMKSVKALHVEDMDVVVEPGIGWMELNEYLEPHGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVINLKVVLADGDIAKTGSRARKSAAGYDLTRLMIGSEGTLGVITEVTLRLQKIPQYSVVAMCNFPTIKDAADVAIATMKCGIQVSRVELLDEVQVKAINVANSKDLPEVPTLMFEFVGTEAYAREQTLIVQKLVSEHNGSDFVFAEDTETKKELWKIRKEALWACFAMAPNCEAMISDVCVPLSHLAELISKSKEELDASPLMCMVVAHAGDGNFHTLIMFDPSKEEQRKEAERLNHCMVHTALSMEGTCTGEHGVGTGKMKYLEEELGIEALRTMKRIKDALDPNNIMNPGKVIPPHVCF >KZM99499 pep chromosome:ASM162521v1:4:32594341:32596161:-1 gene:DCAR_013139 transcript:KZM99499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMKGNLLEKTRKLVKGLAKAEPVWLKAMEQAPPVTFARADSKIKTISLPEDVYIKKFFAKYPDSKHEDAIKFSAFDAPPARIYGWRVLELKEQGVTEEQAMAVADMEYRTERKSRKKAYTRLKQIARLQGKKPPPNPYPCPIKEIQAEEKKYIHERFNDPKVYKLLEKIKAENMQERMSRSGGGIGNGNGNVV >KZM98661 pep chromosome:ASM162521v1:4:25859299:25863675:-1 gene:DCAR_013977 transcript:KZM98661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQFNHEEELGNLLICSDLISNCWAHIMKVNEETPKEVNSSLLPQTVKYKRFEFFDARNSTDVTIIAFSCSMISSDLRGEGQRLVSSADLNLELFDFLSTNSNPSFSLHNLAIQLFHSLLAQLIQEKINTTKPLIITGHSLGGSVASLFTIWLLNNSYKKIEYRKTKFPICLTFGSPLLGNEGLQKAISGRPSWDSCFVHVVSTQDPVPGLFLSPHNTIDSESCFESKYKSFGLYLFCSESRFACISKPELVLELLWAFSSKNLPFNDYGLLLKGVKSKAIVRGIDLVECDNNPFRTGITLQLQAIGIHDTLNKDLIGXNKGLIGRIVTKQKESLERKAYEVGLDESLKKMKIEMTYMEWYKKTTRTRGGQKDYWAGGRSEHYILLEKWLNEMQITPSQRTKNCSFNVDSCFWAHVEEALILVKMLSTEESSPENEELFRKLNNFEEYVMDSIAKRIVDPEIFLEGSSFQLWWSLYSDKKGDSCKSPLANYMRARSYEALL >KZM99661 pep chromosome:ASM162521v1:4:33822161:33823276:-1 gene:DCAR_012977 transcript:KZM99661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLQDPEAENTSDLRIWDNAAFDNGDSQDFTSLKAPQLVSVFNSFESDDSTKENQSPFVLNSQAKIRPNGLGNSKVKPLGEQDQELKKIDGEIEETKKAIDRLSSRLRVLEAEKAKKLKKIVEKKKGSGLGLSEMNPVEAMQSRRKSCFWKLQEIGEEKVVKKERGKSVSPKSRKGLSVARNAATTIGIRKGVKKDEGVLESIQPKKLFRDVEKSGVSKKSTRPGRVVASRYNSNQSTIQAAVKKRSLPDNDKDESKRCDKKRASFVAKTPGNGENRVKKRWEIPNEIVVFRNGEADESPPSVSVVHDLIPSIRISRCVNETPRDSGPVKRMSEMVGKKSFFSIEEDDVDVEASVCQELSFVDEDAQGEV >KZM99477 pep chromosome:ASM162521v1:4:32388306:32390927:-1 gene:DCAR_013161 transcript:KZM99477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLILVNSNFTAATFAKTFKHLDSQSVRPAVLYPAVNVEQFNEPDALKLNFLSINRYERKKNIDLAISAFSMLCKDETNVLQGHDLADATLTIAGKFVTYCGFDRRLRENVEYLEELKALADREGVSGRVKFITSCSTSERNAFLSECLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETIKDGITGFLCDPNPEAFSLAMSKLIGEPQLALNMRREARRHVEKSFSTKIFGQNLNQYLVDIVREKSD >KZM96975 pep chromosome:ASM162521v1:4:9360209:9361281:1 gene:DCAR_015663 transcript:KZM96975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKLDPDPTHDQLLAPVDTDDKASLDSENHNKRAIAKFPGSHPLSAESANGIEALNVQLLQEIQSMDSEVKDSKLDESKIVFPKNDKELSVPLTTKQTALGDLQNDNERLVLKSVGNSALLTESGSSAEAAKLSGTKRAQPESSRHPSPGGTAANGHFVYVRRKAETEAGKCSNDDSTSISADHSQSKENSHQNENIEEHLQEKESNIVVPEASNIERLSVECVSKAPAGSPPVSKSSNTFPSTVSNHLPVRSSQPSLGHRKRTKVQHWEERYYKLQNLLKQLDNSSHEGYVQMLRSFSSVELSRHAVELEKRAIQLSLTEGNM >KZM98786 pep chromosome:ASM162521v1:4:26890054:26891718:-1 gene:DCAR_013852 transcript:KZM98786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSRCLLFFVISAIVCCCAPVVLCCCNSAPSGGKWDILVPNIGIVAMHMQLLHNNRVIIYDRTDFGSSNLSLADNRCRVDPNDRALAKDCTAHSAEYDVASNSIRPLTILTDVWCSSGAIAPDGRLVQAGGFNDGDHVVRIYSPCDDGTCDWTEIPSGLIQRRWYATSHVLPDGRQIIIGGRRQFNYEFYPKDGSTTTYLLPFLVQTYDHPATENNLYPFVFLNVDGHLFIFANNRAILLDYHNNVVVRTYPVIPDGGHPRNYPSTGSAVLLPLKNLQQELVEAEVLVCGGAPRGSFENVNSSKIFDKALDTCARIKITDPNPQWVMEQMPMSRVMSDMILLPTGDVLIINGGSIGAAGYENSKNPVLNPVIYKPDYQMGTRFEVQNPTTIPRMYHSTAILLADGRVLVGGSNPHYYYRFTGVLFPTELSLESFSPSYLDPEFAYLRPTILSPVTQTRVGYAEQILIRFMVTGKLNFDSVMVTMIAPSFNTHSFSMSQRVLVVGYGNVTIAGRQKYHIGIVTPSSGNLAPAGFYMLFVVHQGIPSEAIWIQIQ >KZM99358 pep chromosome:ASM162521v1:4:31424381:31425781:-1 gene:DCAR_013280 transcript:KZM99358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHTRYANSSLHHDQDDPDTTSETAAGSPLSGDDTSTVLPPTKRNGGKRVVTVAFDADVYPPPDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRKDPTVVVITYVSEHNHLRRATKNSQNTATVPAESPPREMAVLADQTDTEPENMDFSDFFAEFGYFSHITSVILEIPVTTSFRCLEPEAKPMYTRDEEDSLFADLGELPGCSLIS >KZM98477 pep chromosome:ASM162521v1:4:24286402:24287212:1 gene:DCAR_014161 transcript:KZM98477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRDAEDEHQQVTGQFARGSQMYRITGVWEYLYRAPNSKIILKCETSILYSNVVDHLQEGGVRQHLVPTEQWCHNSNPPLSSK >KZM98652 pep chromosome:ASM162521v1:4:25792764:25793995:1 gene:DCAR_013986 transcript:KZM98652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEDGSDADDTKQSTADMTVFVSSVYIVPHIYAFDICLVKYIWLVGGAKSSPADEALDEMGTRIDELEQSINDLKAEMGQEGTPSPSAAKAKEEPKTADDSA >KZM97339 pep chromosome:ASM162521v1:4:13701629:13709970:1 gene:DCAR_015299 transcript:KZM97339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRELCYGSEVSIWTNSGSVVQDLFSSGVIKSATDFQVYKEVAGLSGLDFAYVDTTAVYHTKNDKLKLLTSGSLQHLGENMLAFLQRAGASSYLSKMESVDGAVNSGKDSAIYFDILGTYMIVYRQRLASMLHNSVILQSLLIWGTSVVIGGYPAAISLALSFLSVLLMWICSVSFSVLVAFVLPFISTSPVPFISSPWLVVGLFVCPSLLGALAGQHLGFIILKSYLTRIISRRNVNLSPVLKADLIKLDAERWLYKAGLLQWLVLLMIGNFYKIGSSYIALVWLVSPAFSYGLLEATLSPARLPKPLKTITLLLGLLVPFLISSGMFVRLAATLIGTAVRFERNPGGTPEWLGSVILAVYIAAVVCLTLVYLLSYVHISGAKTTISIATCILFGLSVAAVLSGIVPAFTEDIARTVNVVHVVDTTGIYEGKEASSYISLFSSAPGKLTKEAEIIGEGFVCGRDKKIDFVTFSVQYGCWTQHGIESGWSKSDIPILRVENDNREDNRITEVIIDTKLSTRWSLAINTNEIEDFRLRDVAGNSELIMLGEKSSVDGWHIIQFSGGKNTPTKFSLTLFWAKRNIHAEHKEKRQGADQHLLLKLRTDLNRITPMAKTVISKLPPWCSLFGKSTSPFTLAFISSLPVNF >KZM97140 pep chromosome:ASM162521v1:4:11410449:11410877:-1 gene:DCAR_015498 transcript:KZM97140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDDHNLQPNSSQQEDKIDHVNQHPETEQENKNHDSPNSKQILDDNLVLQEGAEPCKTVEDYCRTPRSEESKIPRASSCPPAPHRKRRREEIVVSHRRKLEFFEDTVGGAEEIESLFEFSSSADGHVSRASTHVKRRRRSR >KZM97203 pep chromosome:ASM162521v1:4:12173821:12175074:-1 gene:DCAR_015435 transcript:KZM97203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLRLLRRLDRMKLRIVSTVSCVAICFLVIFADSFALKNDQQELQGAKSTRKVLESVSIIVPQRESPKEEVEASENWSQDHISMVAPVNVTMEERIAWFKKNLPNFEILKATPKALQFEKRANEFFRSDDDDHCRIKFYMTWISPASLFGDREVLALESLFKSNPNGCLMILSRSMDSLQGSKLLSPITEHGFKVVAVTPDLSFLFKNTPAESWFDDLKRGNKDPGEIPLAQNLSNLIRLAVLYKYGGVYLDTDFIVLKDFSGLRNSIGAQSSDVNGNWTRLNNAVLVFDKNHPLLYKFIEEFSSTFNGNRWGHNGPYLVSRVASKFATSHDFNFTILPPMAFYPIYWTRIEGFFKKPRSRDTSKWVEAKLLQLSGETYGVHLWNRQSSRLRIEEGSIMGRLISEHCILCNHVYSS >KZM98264 pep chromosome:ASM162521v1:4:22378230:22380426:-1 gene:DCAR_014374 transcript:KZM98264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDKNLGFEVSMSPPQGGSKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWIAGPTVLFLFSFVTYYTSCLLAACYRSSDGKRNYTYMEAVQTNLGGYKVKICGLIQYINLFGVAVGYTIAASISMVAIKRSNCFHASHAKNPCLVSSTPYMLAFGAMEILFSQIPDFDQISWLSIVAAVMSFTYSAIGLALGVSKVIETGKFRGSLTGISIGTVSETQKIWRSFQALGAIAFAYSYSLILIEIQDTVKSPPSETKTMKKATLISVAVTTVFYMLCGCFGYAAFGDLAPGNLLTGFGFYNPYWLLNIANAAIVIHLVGAYQVYCQPLFAFVEKFATKTFPQSDFINKDYDVPLPGGFTYKLNLFRLIWRTIFVCLTTIISMLMPFFNDVVGILGAFGFWPLTVYFPVEMYIVQKKIPRWSTRWISLQILSAACLIISIAAAAGSFAGVVTDLKVYKPFKTSY >KZM97834 pep chromosome:ASM162521v1:4:18210510:18218233:-1 gene:DCAR_014804 transcript:KZM97834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTVLSLRPGGGGARAGGATRFAAADLPFLRPQAPSSSSKIGEARFEDRELIRFSRDQLLQLREAGKINDDILRVKQEVEAEFFGEDQNWNRVENSPQKQPNQPTIRHAEPDSRDWRSRPEQLPIPVEERFRQDQLNSHHGRGPFSSQQGGGPTPVLVKAELPWSVQRGKLSEKERVLKTVKGILNKLTPEKFEILKGQLINSGITTADILKGVISLIFDKAVLEPTFCPMYAELCSDLNENLPPFPADEPGGREITLKRILLNNCQEAFEGADKLREEIRQMTAPEQESERRDKEKMIKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGPDKSCPEEENVEAICQFFRTIGKQLDESQKARRINDVYFVRLKELSTNPQLASRMRFMVRDVLDLRANGWVPRREEVKAKTITEIHTEAERTMNLRPGATAAMRNNRVMASNAQGVANSLGGFPINRPGTGGMMPGMPGSRKMPGMPGIDNDNWEVTRSRSSPRNDPNQLVGRVQPPSVGKSAVVNPRYLPQGGPLSGGASALLQGNAVGSVTSVRPANFSPSIEPAPPSPARPVPLASMRPVANQMSSSFSIDALKRKTTSLLEEYFSVRMLDEALHCVEELKSPDYHPEFVKEAIALGLEKSPPCLGPVAKLLEYLYAKRVLTSADIETGCLLYGSTLDDVGIDLPKAPNNFGEIIGILILGGELNVKVLEAVLKKMEDDMFQKAVFSAALRTVTLSPAGKALLDSNAADIESCKSLF >KZM98925 pep chromosome:ASM162521v1:4:28012529:28014625:1 gene:DCAR_013713 transcript:KZM98925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTFQRLSERTKNSKAFFYSFCAVLGSIPVTLGYFTMKFTNSNNAALEARLRQSADPNLTKVGTATTAKMNEFLGELQRKEKQKADDRYFAALGWKPTKNPSVSTQPDVEASRNIIDGDLKKLA >KZM99574 pep chromosome:ASM162521v1:4:33139008:33143661:1 gene:DCAR_013064 transcript:KZM99574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSITKPDDWHLHLRDGDLLQAVVSHSAQNFGRAIVMPNLRPPITTAAAAMAYHESILKALPSGSNFTPLMTLYLTDSTSPSQIKIARESGIIFAVKLYPAGATTNSQDGVTDLFGKCAPVLEEMIEQNMPLLVHGEVTDPDVDIFDREKVFIDTVLRPLVQRFPRLKVVMEHVTTMDAVKFVKSCDEGHVAATVTPQHLVLNRNSIFQGGLQPHNYCLPVLKREIHRQALVAAVTSGDKRFFLGTDSAPHERQRKECACGCAGIYNSPVALSIYAKVFEKAGALDKLEAFTSFNGPDFYGLPRNTSKVKLSKTPWQVPKSFSYAAGEIIPMAAGETLEWLPDSVTV >KZM96678 pep chromosome:ASM162521v1:4:3780965:3781840:-1 gene:DCAR_015960 transcript:KZM96678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTTQASVAGIRPCASKTRFLTGSSGRLNREVSFKPVASGSFKVEAKKGQWLPGLASPGYLDGRYLYGNMFTSVYKDNGFDPLALAEDPENLKWFDPIFKSYSLPPGEVGYPGGIFNPLNFAATEEAKEKELANGRLAMLAFLGFVVQHNVTGKGPFENLQQHLADPWHNTIVQTFSGST >KZM97058 pep chromosome:ASM162521v1:4:10200338:10200544:-1 gene:DCAR_015580 transcript:KZM97058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVXGQANKRIDL >KZM97843 pep chromosome:ASM162521v1:4:18293512:18297332:-1 gene:DCAR_014795 transcript:KZM97843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVQNRLHHLEPGFNALNRNYNKSGFRSDSPARSCSLPGNQILFTSPKKSILLEDDYSSDEDDFNSDYQEMIEKANVELEPSVYDPRDENTSDNWIERNPSMVRLTGKHPFNSEAPLPRLMQHGFLTPVPLHYVRNHGAVPHASWDTWTVEICGLVKHPLCFTMDQLVTEFPSRELPVTLVCAGNRRKEQNMTKKSLGFNWGASAISNSVWRGVPLHHILTKCGIFSRKSGALNVCFEGAEDLPGGGGSKYGTSIKKEFAMDPSRDIMLAYMQNGERLAPDHGFPVRIIIPGFIGGRMVKWLKRIIVTTQESDNYYHLKDNKVLPSHVDAELANAEAWWYKPEYTITELNTNSAITTPSHEEILAINSWTTQTPYTLKGYAYSGGGKKVIRVEVTMDGGESWYQCKVDHQEKPNKYGKYWCWCFWSLDVDMMALLASKEIAVRAWDESHNTQPEKLNWNLMGMMNNCWFRVKTSLRKPENGEIGIMFEHPVLPGNQSGGWMAAEKQLEKSSEANKMLKKTLSSPFIANSTSADKVFTMSELKKHNSVDSPWIAVHGHVYDCTKFLKDHPGGTDSILINAGTDCTEEFEAIHSDKAKQLLEPFRIGELMATEIPQPSSCHGEINTKVSERSTIKQNIALVGREKVACKLVQKTSISHDVRLFRFALPSSDQTLGLPVGKHLFLCATIDGKLCMRAYTPSSSVDEVGYFDLVVKIYFKDTNPNFPNGGTMSQYLDSIPLGTALHIKGPVGHIEYLGKGNFMVSGKQKFAKKLAMIAGGSGITPIYQVMQAILKDPEDETKMSVVFANRTEDDILLREELDAWAEKYRDRVKVWYVIGKSLRQDWKYSVGRISESVLRERIPAGCVDALALACGPPAMIEHAVTPNLEKMGYDTKESLLVF >KZM99254 pep chromosome:ASM162521v1:4:30551586:30553771:-1 gene:DCAR_013384 transcript:KZM99254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDAVREAITQITNDAKEKGRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVGEAEKIGLESMDVESLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKYNILKRKFPTLVSHQESLESKVNETKATVKFQLKKVLCMGVAVGNCSMEDKQLFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKPVRIF >KZM99826 pep chromosome:ASM162521v1:4:35184328:35191501:-1 gene:DCAR_012812 transcript:KZM99826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGTVTTPSRKVNSKIPAPQNPKRPPLLPSEADNNGVRRPKSREVSSRYLSSVSSSSTTTTSTTSSSNSSYSSSYSTNSTPRRFPSPMAPRNVMATPRSQSVERVRRVPTPRPVTPGGEISQAAKMLVNSKRSLSVSFQGDSALRSVSKVKESPVMGNLSSVRKGTPERRKVVPTPARDQREKQRWPGRLTNENMLSRSVDFTSERAKLGGSGSGSVVRALQKSMADDRFSKISAESKLKHLRMGESVDLGSSRSTEEVGDHSVSDGLSVSSGNESVQECEKRGQSGIVVPARVWQETASRIRRLPDQPGSPAGKNSGLRTVGLIPPMPPKLHGTKKLMIDSPSSSPRGVSASRGLSSPSRGAVRPASPSRNVARPASPSRNVARPASPSRNVARPASPSRNVARPASPSRNVARPASPSRNVARPASPSRNVARPASPSRNVARPASPSRNVARPASPSRNVARPASPSRNVARPASPSRNVASSNSSPMRGTSPSRVRNGVGSTTLTSNLSSTSSILSFAADARRGKVGENRIVDAHQLRLLYNQQLQWRYANARAEAAMGVKKGLAEKSLYNAWLTIARLRNSVKSKRNELQLLRQNLKTQSILKGQMLCLENWDLTEKDHTSSLCGAIEALESSTLRLPLVGGAKADIHNLEDAICSAVDVMQGMASSVCNLQTKVEHVNLLASELASITSEERASLDQCRDLLSTLTAMQALQFPGKLHGFLVKQNNRSATHLEL >KZM98985 pep chromosome:ASM162521v1:4:28525220:28530655:1 gene:DCAR_013653 transcript:KZM98985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVSPELGYEGQMPVSADVSFASDHFPEYKLGPDNQVLEEVKVNTEGPSLKEVVVQETSLLSEQHKRLSVRDLASKFDKNLTAASKLSNETKLRDVVSLDGHVLLKKLRDALEYLKGQMTGENKEDMEKAISMVEALAVKLTQKEGELIQEKYEVKKLASYLKQASEDAKKLVNQERSFACAEIESARAVVQRIGEALDEQERISQTSEKQELKELMEEVQQARRIRLLHQPSKVMDMELELRALRLQIQEKYTFSLKLQKQLTMSKWAEANKLSMYELTGSETLGSIIRVQPRSGEALELSRCSIQWYRLSSESNRREFISGANKSSYAPEPFDVGRILQVDITSNGHKAAVTTAGPVDPGCYDAAGVGTYVETLSRKSNTEFSVVISQMNGRNYSSHSVHLFHVGKMRVKLCRGWITKARDSYSTSMQLCGFRGGGNLAAKSLFWQARKGQSFVLVFDSERDRNAAIVLARRYALDCNVCNFFIYLANYILERDLLWLKTHTLFL >KZM99639 pep chromosome:ASM162521v1:4:33646085:33648116:1 gene:DCAR_012999 transcript:KZM99639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRYFKNNMPDFVDETPAETGVTDGEGEGVVAQGSEESLMRLLSMPYHSFSEKLKRAALDLKETVVIETWGFSRQDVQDFTVYTGTLGTALLLFKSYQVTNNRNDLELAFQIVKACDAASERILKTCDSASPHPGDVTFFGRAGVYALGAVISKHMKDSKMLDYYLTKFKEIELTKDLPDDLLFGRAGFLWACLFLNKHIGEGTIPSAHMRVVADELIAKGRKMGSKDSCPLMYEFKGQKYWGTAHGLAGIMHVLMDLELKPDEREDVKATLKYMVNNRLPSGNYPAVDKDDKDVLVHWCHGAPGIALTLVKAAQVFGDKEFLEAAVDAAEVVWNRGLLKKVGICHGISGNTYVFLSLYQLTMKMEFLYRAKAFAAFLLDRAHKLISSGEMHGGDTPYSLYEGLGGMAYLFLDMVEPTEAKFPGYEL >KZM97717 pep chromosome:ASM162521v1:4:17185460:17186035:-1 gene:DCAR_014921 transcript:KZM97717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVSLFISVLLLTTLLHEAQGIKSRKLLVTKTSGSASPPSKNHTKIEGNASQGKDNGQVNKNQENFSVKSSPVVEQPEAAPKHYPDVVDLAGMDYSPARRKSPIHN >KZM97761 pep chromosome:ASM162521v1:4:17592312:17595586:1 gene:DCAR_014877 transcript:KZM97761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKNLEFLGSDGDGLFLNPGVGFLWCPRENNFHSGALFASIGQMGGGVGISAGTNASNTSDSVLKLSYSNKYMAMPETGYRTVGASELAVSEEWEVGEEGMLGKKKKKGGLKFKVKIGNPSLRRLVSGAIAGAVSRTSVAPLETIRTHLMVGSCGHSTTEVFQDIMKTEGWKGLFRGNLVNVIRVAPSKAIELFAYDTVKKHLTPKSGEQPIQPIPASLIAGAVAGISSTICTYPLELLKTRLTVQRGVYKNLVDAFIKIVQEEGPAELYRGLTPSVIGVIPYAATNYFAYDSLRKAYKKVFKKEDVENLATLLIGSAAGAISSSATFPLEVARKHMQAGAINGRQYNNMLHALLSILEKEGVPGLYKGLGPSCLKLVPAAGISFMCYEACKKILVEKDDNL >KZM98891 pep chromosome:ASM162521v1:4:27708111:27710787:-1 gene:DCAR_013747 transcript:KZM98891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEEPSVSLDSKSKEEEEDSANNSNAANLEPTSNQPLQSTVASGDNSVSESDLAKGLASIISTVITDFDSRAEETIRSQNQLSFSIDRLTGELDQLLEDAPLPFIMQHAAKISGVRKRVSSLNSVLKSVQRRLDNIDRTLSAGLSHGMLCFALLLVRTIAQANK >KZM99265 pep chromosome:ASM162521v1:4:30646359:30648786:-1 gene:DCAR_013373 transcript:KZM99265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKYFKYVILGGGVSAGYAVREFVNQGVKPGELAIISKESVAPYERPALSKAYFFPQGPARLPGFHVCAGTGGDILLPEWYSAKGISLILSTEIVKADLASKTLTSANGDMFKYQILIIATGSTATKLTDHGVPGADAKNIFYLRDIEEAETLAKAIKAENRKVVIVGGGYIGLELSAVMKINNLDVTMVYPGPWCMPRLFTAELASFYEGYYASKGVQIIKGTAAAGFNSDTNGDVKEVKLKNERVLEADIVVVGVGGRPLTTLFKGQLEEDKGGFKTDAFFKTSVPEVYAVGDVASFPMKLYNDTRRVEHVDHAHKSAEQAVKGIFASEQGKLIDEYDYLPYFYSRAFDLSWQFYGDNVGEAVLFGDNNPASENPKFGSYWIKDGKVMGVFLENGSAAENKAIAKVARLQPAVDSLDLLATDALNFACNI >KZM99467 pep chromosome:ASM162521v1:4:32301120:32302094:-1 gene:DCAR_013171 transcript:KZM99467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTAEEGKVVVVSREERDGAYGDYSCGNILLCKYPLHEVVRALFFKCFGFETSHQPAAVKETEPSTTEPVSSSADPGPTVTSGDMAMRFRPPPRRPVTRGSGPETNSTGSA >KZM97024 pep chromosome:ASM162521v1:4:9863217:9863954:1 gene:DCAR_015614 transcript:KZM97024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSPLLFFSFFIALSSATPSSILTVVNNCPFPLWPAIQPNSGHLLLEGGGFFLPSLSHRSFPAPTAHWSGRLWARTHCYTHDNHFSCATGDCGTGKVECNGRGGAPPATLAQFSLHHGHNDLSSYAVSLVDGYNVPMTVTPHEGHGVCPVVGCKPDLLPKCPAPLQLRASAGHVIGCKSACEAFNKDEFCCRNHYNSPHTCKPTSYSEYFKKECPSTFTYAHDSPSLMHDCSSPRELKVIFCH >KZM98714 pep chromosome:ASM162521v1:4:26354237:26357801:-1 gene:DCAR_013924 transcript:KZM98714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKHRPSSAFNTPFWTTNSGAPVWNDDSALTVGTRGPILLEDYPLVEKIAGFTRERIPERIVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETIRDPRGFAIKFYTREGNFDLVGNNFPVFFVRDAMKFPDVIHAFKPNPKSHIQENWRIMDFLSHHPESLHTFAFFYDDIGVPQDYRHMDGSGVHTFTLLNKAGKATYVKFHWRPTCGVKNLLEEEAIRVGGTNHSHATQDLYDSIAAGNYPEWKLFIQTMDPDQEDRLDFDPLDVTKTWPEDIFPLQPVGRLVLNKNIDNFFAENEMLAFNPAFVVPGVYYSDDKFLQGRIFAYGDTQRHRLGPNYLLLPANAPKCPHHNNHIDGAMNFMHRDEEIDYFPSRFDPVRHAEKHPLPPRILTGRRTRAKIEKEDNFKQAGDRYRTFAPDRQERFLCRIVDGLSDPRITHEIRSIWISYWSQADKSLGQKVASRLNVRPSY >KZM96707 pep chromosome:ASM162521v1:4:4690214:4692609:1 gene:DCAR_015931 transcript:KZM96707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITFISCQAKFKSTAELKIEDLCEDILNLDLNDENRNRDIQTHTIEIESASRTSWVLRTKLLPDSTKDDQDNSWRLFTSEDMVQGYKALKRRKKARIVKNNADFQFTETLGEATMSSVRGTTVSRNMNCVSVVVDARFERKVYGLIYNMHSYSIAEEHQVNSPSAGIIHKFNELANRHVNSPSTGILDNSGLLGSAAVDMDIPSATPKSTITSPRETTPFADITNMVQRNTSHTRDDRVKGKGKNAEMGSNNGSEQGKGKSVNWEDATQKECSRNLFEEEFSTNPSSNSVLYDEDLEETRYEAQILSDDSETDLESSHEVDYGDELFDDESEVGNDTGL >KZM97154 pep chromosome:ASM162521v1:4:11573656:11578879:1 gene:DCAR_015484 transcript:KZM97154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVKEESSVATAPRKKLSCTTYFDALMFCYSPVHQMQQYYRAGQLDTCSGKWSGLVDCLNLKTKRASEVEKILEAREKERTHIWSFRTPEEAAANWEEMFGHLDEVE >KZM99867 pep chromosome:ASM162521v1:4:35442459:35446348:-1 gene:DCAR_012771 transcript:KZM99867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPNASSGPPPSPITRLSTFIHHHCHRLTADVVSRIDDSRRLLSANFPAPMQMTSAPHDLPFASVSQGKQAAQSVDGVAKRLVGTAVYTVSNSSNEFVLMSDPDGVKSIGLLCFRKEDAEAFLSQVRLRKGGGGMKGHAKVVPITLDQVYMLKVEGIAFRFMPDPVQIKNALELKASDVRSGFDGVPVFQSDLLVVKKKNKRYCPIYFRKEDIEQELSTVSRSSRGPGVSQQIMVGSLEDVLKKMEINEKSSGWEDLIFIPPGKSRSQHIQEVAKA >KZM99021 pep chromosome:ASM162521v1:4:28794647:28801769:1 gene:DCAR_013617 transcript:KZM99021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFCPKTYAAYRLNSTPSGNTAEERHCEILGRLLYTAKLVAKQEGLDDGFRIVINDGSNGSALILAMSLATSQLSYFTSIQRRHRFDARSYLRPKVLAVKSQGARSIVVACSESKVAVSYTEDPEVSNNGRLENTFLDEEEHVNENLTSVPVDDVVAEEQKGAKIHDFCFGIPYGGFVLSWGLIGSIFTRNLSTLGNGLLFGGALLALSIYSLKVWRQGKSSIPFVLGQAALSAVLLWKNLQSYTMTKNFFPIFLYAAVSAAMLCFYTYVVISGGNPPPKKLKSSEVAPS >KZM98861 pep chromosome:ASM162521v1:4:27463327:27464198:1 gene:DCAR_013777 transcript:KZM98861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSEEPMEFTLERKIKHYSNHHKILLVGEGDFSFSKCLANAFGSASNITATSLDSQASLMCNYTDATANIEELKLLGCTILHEVDASIMLYDYRLNHTTFDRIVFNFPHCGIFQENNASVIELHKGVVRGFLRNAIAMLAPNGQVHITHKIEEPYNRWGIMELAEQTGFCLLDISGFCPWEYAGYVNKRGAGSKCNESFPVGLSATFKFVKA >KZM99565 pep chromosome:ASM162521v1:4:33074843:33077286:-1 gene:DCAR_013073 transcript:KZM99565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSGNSGPLIGDEDSDPVVDIEQVFGIDSVDLENDSGMLGIDCQEFESESQQVLGVQGDDFGDGNQQPLEFDGRDLEESDQVFEFESNDRGNDSDQMIEIANSEHLNGLNGDAVTVGNQDNGTEGRSYSPPVMSLVGTGKKGYIRWFYIYENFN >KZM96660 pep chromosome:ASM162521v1:4:3150409:3151773:1 gene:DCAR_015978 transcript:KZM96660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLTPLLFSFFLFTSTFLSPTSAACHVDDESGLLSFKSGITSDPSGLLTSWKKGTDCCTSWNGVSCDQVNRVNSLSIYGDLANSTKYLSGTISPKLRKLKNLSAFSLVDTRNISGPLPGFLFRIPNIQIIYIQNNKLSGHITKWIGNLTQLVALGLNGNQFTGNIPVSLSKLTELSQLFLQQNQFSGKIPEIFTSLTKISSLNFSYNKFSGNIPQTLAHLAPQVRYLDLSHNLLTGQIPSFFGNFKPLDTLTLSHNGLSGTVPKTFANLTKIFNLDLSYNQLVDPFPELKVIGIDTIDLSYNRFNLVQIPSWITSSPIISSLKLAKCGLKFKLQDWKPKTVYYYDYIDLSDNLISGSAVKLVNSTEYLKSFAASNNQLKFDFGTLKIPKTLKSLDLSKNLLFGKVPKEISGLEKLNVSVNHLCGAIPANKFPAAAFKDNDCLCGSPLPPCKKV >KZM98853 pep chromosome:ASM162521v1:4:27376643:27391061:1 gene:DCAR_013785 transcript:KZM98853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSEIVVAEPFDQSGASSSSYSREYISNDTRPFTRSITYGRHYAAEPFDSEKLPVTLVSEIQRFLRVANLIEFENPRVAYICRFHAFEVAHNLDRNSSGRGVRQFKTSLLQRLEQDEEVTIRTRKEKSDIRELKRVFKYYKDYIIEHGGDCSLEHRQRLIKYRAIASVLREVLDTVTNAADSQAVADGDSIRAKSDFYVPYNILPLDQGGVHQAIMQLPEIKFSVAVIRNVRGLPFIEDLKRRVAHIDLFDWLQFCFGFQKGNISNQREHLILLLANTHIRQSNKQAHTPKLGDGALDDLMKKFFKNYTEWCKYLERKSNIRLPYLKQEAQQYKLLYIGLYLLIWGEAANLRFMPECLCYIFHHMAYELHSMLIDAVNMTGEKLMPAYGGESESFLNKIVSPIYKVIQEEAMKSKNGTTDHSKWRNYDDLNEYFWSPECFQIGWPMREDHDFFCVNNTGEPILRKDKGSTETTEEDNCEENEDGGMGAVTDEGRKQKWLGKTNFVEVRSFWQIFRSFDRMWSFLILSLQAMIMMASNDMESPLQVFDTKILEDIMSIFITSAALKLIQAVLDIAFTWNARGTMNSSQMKKKVLKMVVAGIWIIILPILYSMAIYLLTNALDMILFLVPAIGRYIETSNFRICTVLSWWTQPRLYVGRGMQESQLSILKYTLFWVLLLLSKFSFSYNFEIKPLIAPTRQIMKIGVKDYEWHELFPRVKSNAGAIIAIWTPIIMVYFMDIQIWYSVFCAIFGGFYGVLHHIGEIRTLGMLRSKFQALPAAFNHCFIPPVKSKSSRMGLFNGRFKKASHTEKNGLVKFVLVWNQIISRIREEDLMSNKEVDLMKIPFSPELLSGKIRWPVFLLANKVSVALSIAKDFVGKDANLLRKIRKDDYMYMSVMECYESLKNILEILVVGDLERRIISGIVDEIEESIGRSSFLEDLKMSALPCLHAKLIEMVELLVEGDEDHHVKVVKVLQDILEIVTNDMMLKGSRTLNALNADREIEAGSAELFSHVVPELFASKHSIHFPLPDSNPLNEQIKRFLMLLTIKDTALDIPSNLEARRRISFFATSLFMDIPTAPKVRNMLSFSVLTPHYLEEVTFSTKELHSSQEGVSISFYMQRIYPDEWKNFLERIGSENSDNTGDDIKEEDLRNWASYRGQTLSRTVRGMMYYRQALKLQAFLDMAEDDDIIQGYDAIERGNDTLSVQLDALADLKFTHVISCQLFGSQKSTGHPQAQDILDLMIKYPSLRVAYVEEKEEIMSNKTQKVYSSILVKAVNGFDQEIYRIKLPGPPNIGEGKPENQNHAIIFTRGEALQAIDMNQDNYMEEAFKMRNVLQEFLRHQGTHRPTILGMREHIFTGRLLNEVRSLSLMQGMLGWPCDHSNLCSQLSSEEKNVSSLAWFMSYQETSFVTIGQRILANPLRVRFHYGHPDLFDRVFHLTRGGISKASKTINLSEDVFAGYNTTLRRGYVTYHEYMQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIYRLGRRFDFFRMLSCYFTTTGFYFSSLISVIGIYVFLYGQLYLVLSGLEKAILLEAKIQNIQSLETALASQSFIQLGLLTGLPMVMEIGLQKGFLTALKDFVLMQLQLAAVFFTFNLGTKSHYYGRTILHGGAKYRPTGRKFVVFHSSFTENYRLYSRSHFMKGFELLLLLVVYDLFRKSYRSSMAYVLITYAVWFLSLTWLFAPFLFNPSGFEWGKIVDDWKDWNKWIKQQGGMGIQQDKSWQSWWYDEQAHLRHSGLCSRLIEILLSLRFFLYQYGLVYHLDISGQNKNFIVYLLSWVVIIVIFLLIKGVKVGRYYLSDNHQLAFRLSKVLLFLGVVGTIMALSFVCKLSLMDLIVCCLAFLPTGWGLILIGQAVRPKIEGTALWQFTRLFAQAYDYGMGIILFAPIACLAWLPIISAFQTRFLFNEAFSRRLQIQPILAGKKHRK >KZM98390 pep chromosome:ASM162521v1:4:23564238:23570770:1 gene:DCAR_014248 transcript:KZM98390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATRTLIVIVLLLGTESVTGVMFSSRLIHRFSDELEGLRVSNKGENWPMKSSLSYYKMLVDSDTQRQNMKLGPHSPFLFPSEGSQTMSFGNDFGWLHYTWIDIGMPSVSFLVALDTGSDLLWLPCDCLQCAPLSASYYSILDRDLNEYSPSGSSTSKHLYCSHQLCELGPNCKSRNQSCPYTVNYYTENVSSSGLLVEDILHLVSRKADGSNNYVRSPVVIGCGKKQSGGYLNGIAPDGLMGLGLKDISVPSFLAKAGVVQNSFSLCFNEDDSGRIYFGDQGISTQQTTPFLSLDGKNITYIIGVESCCISSSCLELTRFKALVDSGTSFTFLPNDIFGRVVKEFDRQFSGAKTSFDGYLWQYCYKANSLELSKVPSMKLRFALNNSFVVQNPVFKIYDNQGLVGFCLAIQPTDEDMGTIGQNFMSGYRIVFDRENHKLGWSRSSCQEIGNDNQMPLSPSPNGSFPDSLPTEQQSRPGGVAVAPAVAGRTPSKSSVTKVRPVARLLYVAELIPLLSFLLLASAS >KZM98612 pep chromosome:ASM162521v1:4:25457691:25460273:-1 gene:DCAR_014026 transcript:KZM98612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSYVSGERGFVSEDSDVIARGRNGAMDWEFKSPFYGSHNNDNNVGLGSSSQEGIENMGILDLGHQETLRKSISNGSIKDALGTKLSGVRMFNSLAENAFWGEEEPSAKLPSSIMDFNSADSSLIDLKLGRFDDQRDVQKLPSSRVAPNIYSNDTVSVTGKRTRVGGLNSLTPYCQVYGCKKDLSSCKDYHKRHKVCEVHSKTPKVIVNGIEQRFCQQCSRFHLLVEFDDGKRSCRKRLAGHNERRRKPHAGIHSVRSRLYPTYNNVGRFQETTVSTSSFIRQDVLPGYLSQPQKLASNDYWSKHIKLEEADNYSSQSAITVAGGGFHPRSHFPSYGFDKHCLSTDRNGIYHMSESKSSKNSNLFRNASSGSETFTGFDSPLTVQALSGFPDSGRALSLLSSQSQTSSSHTSGILMAYPLIIPGSHEHYTVNQVSEKPLGLSPQVSKNEISNKFISSGMNSAENHLNRMITTSGSNAVVSYGIDGMFHGSNYINGGKEHLSCGDEPTIDMLQLSSQLQRVEDQRQSLHEKPRNDSFL >KZM98935 pep chromosome:ASM162521v1:4:28136418:28140079:1 gene:DCAR_013703 transcript:KZM98935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSGNKVRKLEFLLADAVAQGADCIVTIGGIQSNHCRATAVAAKYLNLDCYLILRTSKVLLDKDPGLTGNLLVERLVGAHVDLVSKEEYSKVGSVALTNLLKEKLLKEGRKPYVIPVGGSNSLGTCRFSPYRGYIEAIREIEMQLQQSASSVGFDDIVVACGSGGTIAGLSIASWLSELKAKAKGVGYALSTSEELKFVKEVAEATGVILDPVYSGKAAYGMMKEMTKNPTNWEGRRVLFIHTGGLLGLFDKTDEMAALVGKWRTMDVHESVPREDGTGKMF >KZM98344 pep chromosome:ASM162521v1:4:23084529:23087912:1 gene:DCAR_014294 transcript:KZM98344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLVLMLLTIVAGAEKNCSVSRCPGRDWPDIHFPFWQKPKSSTSQPADDCAHLPDFQLSCGASPARRGEDQSSKEETLLDFHHIVHTSIQGLDLSFKYKAVVTWIIHSSQVLFIDTPILIDIVPSSVLANSSSAFHHLPLKTYTSDSLSFCYLSLGCRNDGYELFNDDPFISYNCHNSYTFFNCSSSSPVNEHNGNKVINSLSTRAFKVYAYSSHIAAIDMLDQVRSCTKMFDISTKLNQSSQSCEFDTLVRYREYPLLSWSTKVGGGKCEAERQSCKTYDERDRGSPRKSYADVPQPQPSTRDSGRAIAKLLIAVLLPCIFLVVLGLIFWYNFIRLNKQREEEEELKIKMFMENYKALKPTRYSYSDIKKITNMFKHKLGQGGYGSVFKAQISADIPVAVKVLHIDSRANGDDFINEVGTIGKIHHINVVRLLGYCADGCHRALVYEFQPNHSLEKFVYRRGKPQNFIGWKKMQKIARGIAKGMEYLHHGCAQQILHFDIKPNNILLDKNFNPKIADFGLAKLCNKGQSMVSMTAARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGGKTNDMTTEQNASDVYFPEWIFRRLEKNEELVIQIENEDDSKIARKLTIVGLWCIGWHPIDRPSMKVVIQMLEADECPAMPPNPFSTPTSGNATHAKSLFGERLQVISETE >KZM97297 pep chromosome:ASM162521v1:4:13281340:13282332:-1 gene:DCAR_015341 transcript:KZM97297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWVPTKFLGRGAYGCVFRAEFASPSLAHACNLPQTVAVKSVSEKLLWSLALEKAVLCELGGCKEIVGCFKYEDFKSTNADGTSYYNIVLEYADGGTLEQLIKSKGWMPEYEASCYASMLLKGLSRVHGQGYVHCDMKPSNVLVFNIPRDEFKGVVKCNLKLADFGLAKRGGEKTLGAGQEYKHRGTLLYSSPESVVIGVHEAAMDIWAVGCIVLEMLLGEWGLWKKCIDVDAQCLAEMIANYEDDRLDLLVPELDDLSENAQDFVRRCLTRRAEDRWTADELLTHPFITCNQRLVKEFEARYSYQNFMKYYQPHTPRHAFGPSMGIY >KZM97517 pep chromosome:ASM162521v1:4:15460654:15460860:-1 gene:DCAR_015121 transcript:KZM97517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYVRKTGKSTVLNGGFIWEFVSPGYDPKPIRRRLLGFPRRNFRQSPASSQDDIQAEWVAHKACELRC >KZM97573 pep chromosome:ASM162521v1:4:15911156:15917929:1 gene:DCAR_015065 transcript:KZM97573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPESEVGSDNAASDDRQSKSILTQEVSTKQPFIIGVAGGTASGKTTVCNVIISQLRDQRVVLLNQDSFYHPLTDEQMKKVHEYNFDHPDAFDVELLLSCTETLKSGKAVSIPNYDYKRHKNVEPSRMVNPSDVIIIEGILVLHDHRVRDLMSMKIFVDTDSDLRLARRIQRDTVNRERDIQYVLDQYAKFVKPSFEEFILPSKKYADIIIPRGADNDVAIDLIVQHIFTKLGQHNLCQIYTNLFVIQSTNQIRGMHTLVRDVRTTNHDFVFYADRLIRLVVEHGLGHLPFTEKQIKTPTGSIYTGVVFNKRLCGVSVVRSGESMENALRACCKGIKIGKILIHGAGTNGRQLIYEKLPADISSRQVLLLDPVLASGNSAVKAISLLLTKGVPESNIIFLNLIAAPEGVHTVCKKFPRLKIVTSEIDSSLNEDSSVIPGMGEFGDRYFGTDNDPGPRVISVTWQAVVAACGVPVIYGGRKSCQRNLQRNPRFRIQLASLNECL >KZM97403 pep chromosome:ASM162521v1:4:14262913:14265186:-1 gene:DCAR_015235 transcript:KZM97403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRTKPKADLQAQVKELKAELSLLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVMQQKQKAALREVYKNKKLMPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEKYFPMRKYAIKV >KZM98100 pep chromosome:ASM162521v1:4:21103620:21104824:1 gene:DCAR_014538 transcript:KZM98100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVGDLGGGLGKTEKEYIQRHHKQQPADNQCTSALIKHIKAPVHLVWSLVRRFDEPQKYKPFVSRCVVQGNLEIGSVREVDVRSGLPATTSTERLELLNEDDHVLSVRIVGGDHRLRNYSSIVSVHPEVSTEGIAGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLANVSERLRFQDQNKMDL >KZM98187 pep chromosome:ASM162521v1:4:21779488:21780954:-1 gene:DCAR_014451 transcript:KZM98187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRSSDSIPFLCSCLLLLLLSCLSVSEAQGTPAIAKGLSLTFFDTSCPKLESIVRKQLEKDFKADIGQAAGLLRLHFHDCFVQGCDASVLLDGSASGPGEQDAPPNLSLRAKAFEIIDNIHRQVHKRCGAIVSCADIVALTARDAIVLSGGPDYKVPLGRRDGQTFATRNATLANLPPPFANASSILSSLAKKKLDATDTVALSGAHTIGKAHCSSFTSRLYPQDATMDQTFAKDLKNICPQNASVDGTTDQDIRTPNVFDNKYYVDLMNRQGLFTSDQDLYTDSRTRGIVTSFAVNQTLFFEKFVIAMLKMGQMDVVTGTNGEIRANCSARNSGSTLLSSVVDVAEEFELSNL >KZM98300 pep chromosome:ASM162521v1:4:22754519:22755748:-1 gene:DCAR_014338 transcript:KZM98300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSFLYTTFSHISSHPRTPKRNARLVSIKAEKSSEPKSEKPAQEKPPEASTKQTSATPKIQLPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLDYIYEDRGEVLDLKIFESTGEYALIAWVGVPTAPAWLPTEMLIKVISLRL >KZM97180 pep chromosome:ASM162521v1:4:11980892:11981110:1 gene:DCAR_015458 transcript:KZM97180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEGDEREKCGGGRRILAGNWGRGRFSQIRLGGCTDRASTSLAMVVMQALGCRQNLAGRWWPPATAIEGEAW >KZM98167 pep chromosome:ASM162521v1:4:21641246:21654570:-1 gene:DCAR_014471 transcript:KZM98167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFSYSADARSIKTGFDPNTCRTNFQLKNLIERGRIHHARQLFDQMPHRNTCSTNMLISGYVKLGDVDVARELFDGISDPNAVSWTILIGGYSQRKRPVEAFKLYREMCRYGTVPDHVTFPTLLSGCYESMMGKEIVQVHGHIVKLGFDSMLKLLKEMPVRDSVTFNAMISGYSKDGMDELAIKLFKEMQHLGLRPSDFTFAAVLCASTGLDDVGLAKQIHSLVVKANFVCDVYVGNALLDFYSKHDCVDDVRKLFDEMPELDGVSYNVVITAYAWQGLLRESFGLFRDLQLTRFDRRQFPFATMLSLAANLTDVEMGKQIHAQTILTKADTDILVGNALVDMYAKCNSFREVNVIFANLSYRSSVPWTALISAYVQNECHEEALALFNEMRRTNVWGDQATFASTLKACASLSLISLGKQIHSSIIRSGFMSNVFSGSALLDMYAKSGSLKDAMLSFQEMPIRNVVSWNAMISAYAQNGDGEATIRLFNEMIHSGLQPDSVSFLCVLTACSHRGLVQEALWFFSSMTESYKLVPKKEHYASVVDVLCRKGKFDDAENLISNMPFEPDEIMWSSVLNSCRIHKNEDLAKKAADKLFNMDVLRDAAAYHLDTGRIDEDVVTSIRLQQHHQNSCFHVEYFRFPLFVLVWFWTLGIRRNVDFTYDLLAFSLLFLTGSVAQTAQHSGGSSKKMNEARKLYGKKGYAHHTAGTSFQRPNTGHTGAASPQHRGKYEAMGSAGSQQPSVSTPSSGRKGQMVNGNHLLNFHYDPISRSRSRDSPIPPRKQQKRRPYNKDLFLQANYKFVLLDSGNYAPETMDPDKALHWEDIICVKYSTPLPVHCPICLEDPLCPQITSCGHIFCFPCILRYLAGEDDFKVECSKKCPLCFMVISVKDLYTIYIENVKQYRLGDVIEFMLLTRQKDSFTLALKNKQGMCSVEEVNDSFSKFTFTSDVDLSVREVISELDNWLARADSNLVDDMSMLPYVCAAREQLEQRKANWNEHQAGNGDDMSGNSTGHTSSPLAKNSTVGIVSSSVNDKPTGSGIPSYSLKDGKSTMAQVSDAIGSPEVCDESLSSSFNDDKSVQMQGNDFRDKKENDSYNFYQAVDGQHLILHPINLKCLLHHYGSYDRLPHRIHGKIVQLETVTQSEAMRKRYRYLSHFSLTTTFQLCEIDLSDSLPLDAFSPFIDEIKNREKQRKRVARKEQEEKIKAEVAAATEFLTMPYNFAQPSHDFSPNFSMDDFEALGSSAVVSVSPPVGERPLFSNVTRLGFAAAHDSPALKFEEPSSLTRTDVATNSSPSGTQSFANVISRAKPVESKISETGKRGKKPSRVLLSTAGGRRY >KZM98107 pep chromosome:ASM162521v1:4:21157764:21158038:1 gene:DCAR_014531 transcript:KZM98107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEEEDLILRMYRLVGQRWHLIAGRLPGRKPEEIQRFWTVKHQLPLQNGSWDAGEPMVLKSS >KZM99705 pep chromosome:ASM162521v1:4:34166459:34166737:1 gene:DCAR_012933 transcript:KZM99705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFHLSLFVFVLLCTASTRVSGIRLGQPFPSPATRGERVTVPIAQDSRVSRRTAAEKHVFRPNVKAPIAAGTFEAEKRKVPTGPNPLHNKR >KZM98335 pep chromosome:ASM162521v1:4:23014941:23019893:1 gene:DCAR_014303 transcript:KZM98335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESKSEVLKELKLDQTPTWAVASFCAAFIIISILLEKLLHRLGAWFTKKQKRALYDALDKVKAGKDMISSPCTQTHTQREALTLYGLHELMILGFISLILTFTQYYIADICVSDNVGNSMMPCKKKNAEFKSKCGKGMRPLISKDGLHDLHILIFFLAVFHVIYSALTMYLGKLKIRGWKAWEQETASNDYEFANDASRFRLVHETSFVRAHTSLWTRIPFMFTIGCFFRQFFRSLTKSDYLTLRHGFINVHLAPGSTFDFQKYIKRSLEDDFKEVVGVSPVLWVSFVLFLLINVEGSRALFWVSLIPLVIILAVGTKLQAILIQMATEISERHAVVQGIPLVQGSDKYFWFHRPKLVFYLIHFSLFQNAFQVTYFLWVTYEFKLDSCFHDTWPYLVTVLVLCSYVTLPLYALITQMGSHMKKSVFDEQTSRALLNWQKAARKHAGRAGGSTTQGGRTGGSTTPVGSTEGYSGRSLDDASPITHVASFSVSNLHSSTAALHRFKTTGHSSYDEYKMSNMEIDPRAESATARLIVRVDNGNNDVETTELQPSEDMQDIDFTFGTPASPHDD >KZM99719 pep chromosome:ASM162521v1:4:34248386:34250071:-1 gene:DCAR_012919 transcript:KZM99719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSAAASPPTFSPAETLTEITTLLSPLLTSSLSTKHFTSRWQVIRTNLSSLSSHLSHLSNLSHNSLLDSLLSNVLSTLRLIETLATKCTDDVLTAGKLLTQSDLDIAIGSISKHVDDLDLLVRSGMLQQSSAIVLIEPGIGAGLVELGFYVRDVFARLQIGGIEFKDKAMDSLIQVLKKDEKAGSVVAKEGNVRYLVCLLDYNVDDSLREKVVLAVALLASACEESRKCVFEEGALGPLLRIIESNSVLLSERAVMAVEAITVDPDNAWAVLAYGGVSVLIDVCRYGSIVAQSHALGAITNVALIEEIRICLREEGGVGCIVTLLSSVPAKAANCISVLASVDEDCRDLILQEKGLQALLHLLDELSDLVVLEHVLRAIYSLSSMDTVRLLSLSSSFVIHLSEFIKNGNLVLQHLAVSLLADLSMMSERNKQNIAGCMCYLVKFMETVKPGGLQEVATQSLVLLLTVKSNRKYLIGDDESVMRLVQMLDPKTESVPKKFPVAVISAIMAGGSNGCRKKLVASGACRYLQNLAEMEVAGAKKLLQKLSGNRLKSILSSTWRE >KZM99337 pep chromosome:ASM162521v1:4:31206751:31207908:1 gene:DCAR_013301 transcript:KZM99337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQSFIIPLATVFTVWLCVFTTFGNASSLHDDNEYTQSALTATNSYPDPGNIRGFPISIGGGGNSNGGDGWLRIWTPFGWIIIRGDGDRQGGGGAGGFIPFFPLPSPPKSNVPPPSPSNPITPNPPSPLEPTPKHPSNPVTPDPPSPFNPVKPDPPSSSNPTPEHPPSPNPLIPTPRHPSNPITPNPFIPTPQPPPSRPPLPPWLAPFFGGGGKHPSPPSPLYPHIPPWLAPYIGHDGRLHMPPPPPLQTPKWLLQYIGSDGRLHLPDQPSRPMPKWLAPYIGKPPHSSLPAHLRTESETSDLKSSKTSSEGTHHYYQCWSRLEKVDKCVNEILTAFSSRKFEVLSSACCSAIQNMDKDCHAKTIGNFHDHFFSASVHKHCSAN >KZM97622 pep chromosome:ASM162521v1:4:16289087:16298251:1 gene:DCAR_015016 transcript:KZM97622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSSKPTPLFDDPEPDPTLTNDDLRPTTSDQRTFSGWEMASLWIGLVVGVPTYYLAGSLVDLGMAWWQGIATVVTANIILLIPLVLTGDVGTRYGISFPVLARTSFGIRGAHIPTLLRALVGCGWYGIETWIGGEAIFLLLPESVKNSGLSQSLPWLGTSPLEFACFIAFWLAQLTIVWKGMEGIRVLEKYAAPILIVLTSALVIWAYVNAGGFGHMLSMSSRLSSSEFWSLFFPSLTANISFWATLALNIPDFTRYAKSQKDQIIGQVGLPLFMGAFTFVGLAVTSSTQVIFGRIISSPIELLGQIGGFTTTILAILGISLATITTNIAANVVAPANALVNLSPSIFTFRKGVLLTALLGIAFQPWRLLKSSESFVYTWLVGYSALLGPIGGIILADYYLLKGAKLSIKDMYTLNTQGEYYYSGGFNISALASLVIGILPVIPGFLEKAGVLTAVPGPYMVIYNNAWFFSFFLAGAMHCILSAEEAEENRRMFKLKRQNKNLAATSTTKSGEKFDFSFSNFQALQVPEGWDKLIVSIISVETGKTIAKSNKAPVRNGTCQWTDTLSESLWIPQDDSSNELEEILLKLVISMGSARSGILGESVVNMASYKRSKAFSPVSLPLKKCIYGTILQVQIQCLTPRTEVRDQGSKYSNSDVEARVEDHTDSVNNSNERSLRSQSSQDLGFTSHPENLGSTETSYLASGSHHSFVSEEGSMREGNLSPNDSSSVRYNMVGRQVEARSGNRLPEGMYPADDYFGSYQSSLNSRLTHSVDESQNYEEELGQSSSVPLGTASLTNAGSSRNLLEAAEDTIEELRNEAKMWERNSQKLTLDLDILNEQFHSQSKKQTNLEMELLTSHKVQDGMKKEIDQLKLLLEEVVLKKTTSKRATSDLEDVIQGRKELEKELKYQKEENTHLAVQLDMSQESNIELLSVLQELEEIIEKQKVEIEHLSKQQLRFTELEGSIEGHLEENRIFSLQLQQLQESEKRLLVNVQSLEQDLEAKNSELENERRSYEQSLLDIEQEYRQKLSVAEEDVVKLSANLTESLAVIEKEKLECSSGSSMDLINEIKELKEMMQELEINCSELTSENLSLLCKQKELEKVSEEAQLDNSITSTELLKQIDLTFYNIKRSWYTIPFEVNDECESHYSDLVNRNHPVASKGWVESLCSFFVEVNKVLEAKISKCEEVLKSSALEMNTKEKTIAEAKHQMVLLSLKNQELGNSNIVLQAKLTELQVELAKQSSEVQLLESDILSKEEQINLLEQGQIELNNQVSGMKKERDLLQENMDIVVSESNMNSKCLDDLQTDLIALRSSVASHVTANRNLQREISEHEAGKEELQLHLLKVEEENVKLTECISGLEAQLKIVKDTNKSSQLELENSKSVATALQDDIRRLRFDIEKDKSIQQAELKNIETRLSEAQEECEYRKIAYQKSQASGQSLAETCSTLQKMNEELRKQNLEFYENSKSVTISHQNEIRTLTEKMEGQKTFLKENSQDLENQLRKAQEEYEFQKIVYQKSQTSVESLTKNCSTLQKLNEDLRKQNLELHENSKFVVMKLQDEVIRLTLEMDTENNILQEKLQDMQKRQSEFQEECEYLKIAYQKSQASGENLSQRCSTLHKLNDELRNQNMDLHENSKSFTISIQDEIRRTTVEMETLNNALQKKLQDMENRLSEAEQECGYQKMSNRKLQASTLNLSESCSTLQKINEDLKKQISDLNENSKSVAIGLQDEIRRLTVEMEAEKMVQQEKLQDMENKLSEAQEESEYQKMTNQKSQKSAMDLSKKCNKLQEINEESRKKIKDLHERCTFLETSLRESQEHLSNSSQKTESLEAELSSILEEFAVKEKSLTSELDVLLQENGEQKKKLIMGETLLNQMYMEKTAEVGNLQSEVENLINQISATNDERDKIASEAVNEVTKLQSDKEKLNCVLQEVISRAKVTEDELDRIQTEYEARVQDLISELARSKQSHADYEIVLKRLTSYRSSQEKLKTSVNSLELKLTVSEYERQQLINEIAGLKGQIRNLAHCQDNSISLEKELDEYKCEKEKLEALLHSLSIDNENLKAEINSLQEKDSTFQKVMSEFEDCKHKRTTLEEKLLHMEHDLIAKEASKAEDEELRNELGRMKRVNKQYKRTILQIEVEKEELLKRVQMCNEQVKLMEAEQQDRSSTGKNNTASEDFMAKVKLLEDELAEALEANNRYKLQLQSIFSDGLHSHSGGPRKSVSGTEVAANERYERTKSSLEIEIVDLRDRYFQMSLQYAEVEAQREDLVMKLKASTNGKRSS >KZM97716 pep chromosome:ASM162521v1:4:17177949:17183075:1 gene:DCAR_014922 transcript:KZM97716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLYDEFGNYIGPEIESDQESDREDEDEELPDKTDEENGDASDEENAGRANGWMTTTDDIDIDNQIVLAEDKKYYPTAEEVYGEEVETLVMDEDAQPLEQPIIKPVRNVKFELGVKDSSTYVSTDFLLGLSSNPSLVRNVALVGHLQHGKTVFMDMLIEQTHHISTFDQNSEKHMRYTDTRIDEQERRISIKAVPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPKDAYHKLRHTIEVINNFITAVSATAGDVQIIDPVAGNVCFASGSAGWCFTLHSFAKLYVKLHGIPFDASKFASRLWGDYYFDPDTRGFKRKQPSGGVERSFVQFVLEPLYKIYSQVVGEHKKSVETTLAELGVTLSNAAYKLNVKPLLRLACSSVFGSATGFTDMLVHHIPSAKDSAPRKVEHTYTGPNDSAIYRAMVDSDPSGPLMVHVTKLYPKSDCSVFDAFGRVYSGELHTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRIPVGKAPPGSWVLIEGVDASIMKTATLCSSNYDEDVYIFRSLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSIDWPRKKLGDFFKSKYDWDLLAARSIWAFGPDKQGPNILLDDTLSGEVDKLTLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGTGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKNIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSISKFFDEAMVVELAQQDADLHLQMI >KZM97192 pep chromosome:ASM162521v1:4:12087374:12087709:1 gene:DCAR_015446 transcript:KZM97192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKRSLEQGSSAGTEMRLPNPKVRIRHAILEQRGSNGIVRTTYFRMENGVNKILRIKYSSDEKKQDSSPKSGKDSHSLKISDDAEETFLNEIISSGEGSGSRVEPGPDSN >KZM98787 pep chromosome:ASM162521v1:4:26895267:26900159:1 gene:DCAR_013851 transcript:KZM98787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEISSSSTTAATRTKKSSRWPSLLRWIPTSTDHIIAAEKRLLSLIKTPYTQENVNIGSGPPGSKVRWFRSTSNEPRFINTVTFDSKEDSPTLVMVHGYGASQGFFFRNFDFLAKHFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSLEEWRKSKNLSNFILLGHSFGGYVAAKYALKHPEHIKHLVLVGSAGFSQESEQSERLTRFRATWKGAIINHLWESNFTPQKIIRGLGPWGPDLVRKYTTARFGSYSTGDVLTEDESKLLTDYVYHTLAAKASGELCLKYIFSFGAFARSPLVQSAADWKVPTSFIYGFDDWMSYQGAQEARKNMNVPCDIIRVPQGGHFVFIDNPSGFHSAVLYACRRFISCDPDNYPFPDGLTSA >KZM98256 pep chromosome:ASM162521v1:4:22288994:22292069:1 gene:DCAR_014382 transcript:KZM98256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPFLYTLLLLLTSLEQPISAANQPHRYANKHGPSSVIFPVTGNVYPKGYFHVTIKIGHPAKAYFLDIDTGSDLTWLQCDAPCTKCIPAPHTPYKPTKDLVRCRDPLCASLQSPENLPCGTPEEQCDYEVGYADHGSSMGVLVKDFISLTLTNGSILGPRLAFGCGYNQEVADSVHPPYTDGVLGLGKGKSSIVGQIFNLGLTQNVVGHCLSGHGGGFLFLGNDLLPSSGVIWMPMSSKSIGNHYSLGNAELLFGGKATGVKGLNMVFDSGSTYTYFNSQAYKALFSLIKKEVNGKNLNEANDDKSLPVCWKGTKPFRSIRDVSNLFKPLALSFTKSKTAQLQMPPEAYLIVTDKGNVCLGIMDGSEVGLEDFNIIGDISLQDKIVIFDNEKQRIGWATANCERLPKS >KZM96955 pep chromosome:ASM162521v1:4:9111328:9113292:1 gene:DCAR_015683 transcript:KZM96955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYVKARNFEFALAIFDEMKIKDSVSWNVVINGSFNQDGILSNGLFLFSKAVGTGMFEPNVANLVLVIQECRNVYEGLIIHCYVIKRGFCSVSSVQNSLLRFYVVDHGVKVARLLFDEMSDRDVVSWSVMIGGYAQNGESQVALGLFREMVWESQNDVDAQIMVSVLQACISLRNFRVGSLYHGFVLCKGLNFDLFVGNSLIDMYSKYGDLDSALEVFREMPQRNLVSWNSMLSGFVHNKKYVEAISLFCSMSEEDIQVDEVTVVNLLQICKHLLDPYYCQSIHCAVIRRGYELNKLVANSLIDVYSKCNLITHAWLLFSLVKDQDTVTWSTMIAGFTHCGLPEEAIAVFKEMNFVRATPNTITMVNLMEACSSSGELNISKWAHAVAIRRCLASEVVVGTAILDMYAKCGAIDTSRKVFNQISQKNIVTWSAMIAAYGMNGLAHNSLTLFQEMKSQGLKPNEVTTLSILSACSHGGLVEEGISLFRELVQDHDIDLRLEHYSCMVDLLGRSGRLDRAVDLMETMSDTLEPGASAWGAMLSACRRYGNSELGIQAVSQVLQLEPSNSSVYILASNMFAAKGLWNDAARMRLLVKEKGVKVEAGYSLIHVKSKAEKFVAGGTRHLLSHKICYVVEQLHEFMQLDNVDDNIFLCM >KZM99309 pep chromosome:ASM162521v1:4:31000604:31001160:-1 gene:DCAR_013329 transcript:KZM99309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQDQEYFIQAGKTENSKEQNSVRNCCRNVQLVHSMSWTTLGSCHLIQLYSVVTALNNSPTFSLNPKSGLISIFVSNLCPDEFYFNSKELRMVVVELKDVCFSNTSVFKASDVCINAYNENEGDDDDDDDDASSVAPAA >KZM99466 pep chromosome:ASM162521v1:4:32297332:32298054:-1 gene:DCAR_013172 transcript:KZM99466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQQSPITIQCRSQSSPQFTPPKARTLSFSGGLRIPKLTLVVKSRRRGGARMSDSVASSYATALADVAKSNGTLEATAGDLEKISDLFSEEAVYRYFTNPTVTVEAKNELVDSYTKEANLQPHVANFLNVLIDMKRIDQIKAITEEFEIVYNKMTETELAIVTSVVKLDAQHLAQIAKGVQKLTGAKNVRIKTSLNESLVAGFTIRYGNGGSKLIDMSVKKQLEEIAETLEVGDLQLV >KZM97439 pep chromosome:ASM162521v1:4:14696397:14703338:1 gene:DCAR_015199 transcript:KZM97439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHFMCENKIEPDKFTFTFVVKACTGLLDLKEGVLVHEKIVERGLEGDVYIGTGLVDMYCKMGDLGSAEKVFDVLPKRDVVTWNAMIAGFSQSLDPEDGLVCFKRMRVEGLLEPNPVSLLNMFPAICKLGDVKACKSVHGYVIRREFPVAVSNGLIDMYCKCGLVGSGEKVFNFMRCRDDVSWGTMMAGYENSEHFVRVIELYDQLKGENMIMNKVSAVSALLAAAEMRDLEKGIEIHDCIKNQRMCSDVRVVTPLITMYAKCGELQKAKDLFTGIQERDLVSWSALIASTAQYGEAEEALSLFRDMQNEKLKPTKVTLLGVLPVCGELFCGKFGKSIHCFAIKADIDGDVSIGTTLVSMYAKCNLYSSALIVFNRMLHKDIVTWNALINGYAQIGEPYKAMDLFCQLQLSGLHPDSGTVVGVLPACAFIDDLGHGRCIHGTIIKLGFGSDCHVQNALIDLYAKCGSLSAAEFLFYKTDLFKDEVSWNTMIAGYILNGHAQKAISVFNQMKLEAFVPNLVTIVSILPAVAYLAALREGMALHSQIVQTGLHSNTLIGNSLIDMYAKCGRLDHAEKFFVEMDNKDTVTWNAMLAGYAVHGHGAHATEFFTVMLESHACLKDKNLRDGVSDDDYEDLQIVVGGGVIFGKSSIGLGNATGARTLQASEVQDMCIDDLSYDLEEFEQFNSPLTGSREVFELPKKNLLTKRSKSEYEGSSNSSSNYLESDI >KZM96684 pep chromosome:ASM162521v1:4:4089173:4090136:1 gene:DCAR_015954 transcript:KZM96684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPFGQLVDDRKLDTMSDYVGKAKTQEDRAREAMRLINEHDKNGKARRYVDGLKTEYGNGATTLCMVYNATGETLYYNTSRDWYGFIGRTPYPFEIGNGQWASFLHVKKTSAASGSEAALVFRGKNASGQNRDFCLAWSSPWSGLYKNKAYCDVGSTGSFNRNWNTVYSNLQKRGGYTHSTDDDGVNIKVSTAAGFSPLFVAEIRTPFAPKMK >KZM99832 pep chromosome:ASM162521v1:4:35225169:35230061:-1 gene:DCAR_012806 transcript:KZM99832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFKDDFVSDHNIYGPTVRSSYIQNPLYFHHQTLESAFSSLSLAPENSPVTPFGPVSGEALLSQRRQVSSSGFNDGLSLQSLRFQEGQMGSGVGPRNLILGPQGFGVSTPPVIAPAPAPSLFGNRSLGCGPQQFDFDFLISKKQCVPHCVDFSGTVGVPQVRSSLSLSDIWGNIISLAKDQQFSKILQKKFLDPSPREIDMILSEIAESIDDLMKNQFGNNFVKKLIGSCNEDQRTMIILSVTKSVFHFISVCCNPHGTRGIQTLMEHVSSPHQVSLLVAAISPSAAILARDTNGHHVIQHCLTHFSNEYNLYIINNIASNCFEVATSKTGCCVMQLCVEKSLGVHRQVLVSEIIATAVHLAEHPFGNYVLQHLLGLKEPDITGSIVRQLQGSFLSISCNKYGSNVVEKCLIESGGEQCTRIIMELVRSPNASMMLLDPFGNFVFQSALSVTKGYARIALLQLVRANAVVMKSNLYGKKILAWLEKRKLA >KZM98459 pep chromosome:ASM162521v1:4:24161338:24162738:-1 gene:DCAR_014179 transcript:KZM98459 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MASGEKLHIVMFPWLAFGHLLPYLKLAKLIAEKGHKISFISTPRNIHRLPKLPHDIAPLINLVKIPLPCTPNLPENAEATCDVPFNKVKHLKIAYDQLQDPVTCFLESNSVDWILCDFASYWLGPVASRLGVPCAFYSIFPASILGFFGPPSQMITGDDYRVIPEDFTVKPKWVPFQTSVAMSLYQILVLEPNFEADETENVSDLFRIGNTIQNCDIVVIRSSTEFEPEWLKLVEEIYKKPVIPAGLLPAIDDDHEDEYWDEIKDWLNKQARGSVIYVAFGAETKLNQVQVTELALGLELSGLPFLWALRKQRGLTDPERIELPEGFEERTRGRGMVYPTWVPQVKILSHDSVGGLLFHSGWSSVVEAVQFGKALVLLPFLGDQGLIAKQVEEKKLGLLIPRNETDGRFTRDSVAETIKLVLVDAEGKIYRDKVKEMKGIIGDMDRQNYYVENLIGYLQNHKSMGK >KZM99229 pep chromosome:ASM162521v1:4:30400092:30401657:1 gene:DCAR_013409 transcript:KZM99229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACITTGQLYRTSGATSSAHKSSSPGSTPHSLSFTTNNDRISSYRLFENSGHRNLRTVRNATSGISPVTEDDDGVSLGTMKLPPDTDIALFETLLFQWANSLCQGANLPLPVPLKIDKIAGGVRLGFSVIGDEITDVPVHIDCLVYPPTDGVIPVFRAVRNGPKKDQTAPGEQRIMRSLLAALKNQSK >KZM97861 pep chromosome:ASM162521v1:4:18543732:18544361:1 gene:DCAR_014777 transcript:KZM97861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVVAVPGFELVQNMGLGEVIDDAPPPRPLKRKRVDTCDVCGRNVVRHVPTRAISRDTGGSSSTQINSFARQNYKGKTTGYETFAFYDDGALSRRAIDILDSGALMKFGPFFADVELNLEAHVKNGFFVKDILHYACLDTLGLVEFMLVDSYIQDFNAVPDDSVLMPFDQVGINMGLDDAVVPALDEDGVTHKASAGIHLAGPSTSGL >KZM96599 pep chromosome:ASM162521v1:4:1925599:1926167:-1 gene:DCAR_016039 transcript:KZM96599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWWSSKETERIKKVKPSFLRMAWQTKENSTDCGIFLMRHMETYQGDSKNWNAGLSVEGAVQDREILRLRVKYNHAILSSEFNENREQILAEGHGIFIQKEEGKRQKKLLAEQ >KZM97512 pep chromosome:ASM162521v1:4:15424332:15435616:1 gene:DCAR_015126 transcript:KZM97512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDEDDFVFYGTPIEREDDTSTTRKKKAVAEASGHLRTLVPWKQEVRDEEGRRRFHGAFSGGFSAGYYNTVGSKEGWTPQTFTSSRKNRAEIKKQSILNFLDEDEKADLDGDSLGTSSQFDTFGSTAAELARKQAEKEQQQRPSAIPGPAPDEIVLPASDSIGVSLLLKMGWRRGRSIKDSRPGSLYDVRREARKAFMALSSEDVQAPISGSELVKGDPEMVSELPTSSDDQLFDKTPVYVLKPKQDMHGLGFDPFKDAPEFRERKRSRMSEIKEAGQGKSFSTKNSLFGFKSERIAPGFGIGALEELDAEDEDVYASGYDFGNSYVQEIGDATRLSLEDNKKKGKKEHGVLHGFKVASVTDYQTERFNPPVIPKDFVPIHVFSAPLEDTYKLADSPPPEVSPPGDKDLKVLIEGVATLVARCGKLFEDLSREKNQSNPLFSFLNGGDGHQYYLRKLWEENQKRNDHSKQPFAGKLYPTEQKMTAESRGKILGEKPLEKSSSNISSPASSVATVNLQVNLSDTFTKPVSFAEPQEVAKPFRDDPPKQTRFEQYLKEKYRGGLRSKESGGSSYMSEADRARERLEFEAAAVAIEKGKWGNEITPSQQLVNLSGTTGLQFTSAGTEQAGVTKAEEELITKKLYPRREEFQWRPASLLCKRFDLIDPYVGKPPLAPRTRSKMDSLIFMPDLLNADKPEEDARVAPSQSENEYNNKEAALRGDEVEVEVENVERPVDLYKAIFSDDSDDENEDSGLHKVEHQPKNAEAANTTLSRLIAGDFLESLGKELGLEVPPERSQSEHNFKGKEVTNISTKDTNSSILSVDRKLFMPSSASADGSKTEVRKDNLYPLEVSHDDASREAIGNSTQGSGSQKRGIGLFENVFVKSRVDVSQERKESTFTGQQDQSSASSDDERGRRGSKSRRRKSRYSEGETTDSSDGYEDRHRSRSRGRKNDSSREKSSSRKHSKHHKHKSRRSPSSSRHRSKKHHSESKREKRK >KZM97337 pep chromosome:ASM162521v1:4:13664638:13664907:1 gene:DCAR_015301 transcript:KZM97337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHFSFFHNAIDFRVKQVGLLSKPEEAASPFFRLYFSVPVEARYLTCVRFNAVGIGTSLQMHMVLLYNHLLHLKVHHLKALLLLFLFL >KZM98448 pep chromosome:ASM162521v1:4:24056167:24057287:-1 gene:DCAR_014190 transcript:KZM98448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLYSQELVANPSSNIYESSLVEIFKAGSSNVATAETAKSVAACKNHSEAERRRRKRINGHLSTLRTLLPSTIKTDKASLLAEVVRRVKELKETTSELAAAAPSHDNNNEINTSDDHRNHDEYMMVPSEADELHLFHCDQYFGTVKAVVCCEDRPELMSDVIRALNSVQARVVRAEMATIGGRTKLVLWLLHGSLNSDEGLTAMRRALVMVMGSARVVLSDSSQDLPGGKRIRRSHY >KZM98534 pep chromosome:ASM162521v1:4:24827422:24829499:1 gene:DCAR_014104 transcript:KZM98534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTKLTRTQSSLLRSSPTIRSSIHSLNSINEMQDYDIEEQKLHKPGSGSRRVRVKPGLTRFGPVMALVVLFVYTIYIYSSGDEMNTSENLLLVLIFIAVVVYIGGKNKKLIAQTCVVLRQLFVEHVRKLGFCKTNSKPVQWFIGDLDLSRKGNELKPENFVKEGVEFYSNGDYYEGEFYKGKCNGSGVYNYFVNGRYEGDWIDGKYDGYGIESWARGSRYKGQYRQGSRHGYGVYRFYTGDSYAGMWFNGQSHGTGVQTCSDGSCYVGEFKFGLKHGLGCYHFRNGDRYAGEYFGDKIHGFGVYHFANGHCYEGSWHEGRKQGFGMYTFPNGESRCGEWNSGSLKTALPPLTDATLRAVQAARKTAESAIRLRQVDDHVNHAVTAANRAATAARVAAVKAIQNNVDGKFCDTDL >KZM97043 pep chromosome:ASM162521v1:4:10062212:10062542:-1 gene:DCAR_015595 transcript:KZM97043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKNISSVIRSLFIAFFFIALTINTERVGAIRLIDQGPCSNIPDCDAHCKSIQFPQGGVCKAPSPGAPLRCYCISS >KZM99853 pep chromosome:ASM162521v1:4:35364984:35367423:1 gene:DCAR_012785 transcript:KZM99853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEKHGFFNIELSKRTSIFNLPLWVVVGICFGASVVLVLFLISLWFTSRRSKKIQTLPKLKSPSSVKTPVVIPNVTKEIQEIRTDSSRNTDPLPESGQLVKVERQGLSENEKNNNGPERIHIKIGKDHRISYPDCGGSSGGEVKSGDQMGIVVPEVSHLGWGHWYTLRELEVATNWFADENVIGEGGYGIVYSGILVDNTKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGASRVLVYEYVDNGNLEQWLHGDVGPSSPLTWAIRMNIVLGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMEIITGRNPVDYSRPEGEVNLVDWLKSMVTNRNAEGVLDPKLPEKPSSRALKRALLVALRCVDPNAQKRPKMGNVIHMLEADDFTFREDRRAGREHVHSHRDRIREKLENRLIESGDSSGYESSVQTNRSVQSKHEF >KZM96815 pep chromosome:ASM162521v1:4:6857385:6858290:-1 gene:DCAR_015823 transcript:KZM96815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHNAIIELNDKRGSSEESISEYIKNQHTNLPLAHNSLLKHHLGKLCESGEIVVTGKHLYLLPGSNPTLESRVRTEKDRVTRKRKARKGRGRVGKKCRTETDKHGEAHGEEHGETARFYKGNDEIILDNNEIKVDYKVNGVGELDQVVKPTELENRMLDEQSQLHQQLSHEICAPVVDSLQPEHSSPDRPPGFDYIILQSKQNCIIVQEPELISDSGRLSESETLLQVDQEQWRLQGQANCKYLITLVFLLMTHEFTLEEPQIERETILLVQQIDLSCVSKARKLLDNTHFTDFTLYYIRT >KZM96937 pep chromosome:ASM162521v1:4:8909879:8911077:1 gene:DCAR_015701 transcript:KZM96937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKNMKITHQALPQSSYSATTPLQAHICQLNQSPWDVPPPNTSSPLFQLQEHDSFSGNGPCGDMNGPLESVVKLCRDNGIVEEEEEGKLEDVINYCSKTDENGNWICGLEAKQGGTFCEFHLRSYVALAPHVLHPVSKNSTTKSGKNNGAKKARIEENPYQSFSYYSGFGPNWGKKRASRESSKTSEVVAAPLSNDAEDISEFEYEDDDESLIDNGTKRVRKRIKARSLKSLM >KZM98273 pep chromosome:ASM162521v1:4:22453854:22458247:1 gene:DCAR_014365 transcript:KZM98273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAGDGVAGESSGRTVMVGVKMDSQSRELLTWALVKVAQTGDHVVALHVLNDNDIVDRSLLSLVKEFDSILSVYEGFCNLKQIDLKLKICRGSSIRKILVREAISYFATEVIVGTSQKQHKLRSSVSVAKYCARKLSKHCSVVAVNNGKIAFQKEASSKHTIGANGTEEHRRNSILCSLKKSSSEECKIGNDSNLVVTCKHNSSVHSEQALIKFGSDFKDNTTEKDTCSICSRYAHLLENTCKQKVENFDADDNALAIVPIQKPEGASNSKVFFMRKLPELRPGRSLHRRAISSYQEAFDSPSVKQITVNKNCLSVSSSDASQGCLHNHVQSSELNGENGAIVPVDKEMWSAPSSPSCSRRSLPEELEGLHEMYSATCRLFQYEELLLATSKFKPENMIGKGGNSQVYRGCLSDGKELAVKILKQSEDVLKEFVMEIEIITALHHKNIISLFGFCFEENNLLLVYDFLSRGSLEENLHGNRKDPLAFGLTERYKVALGVAEALEYLHSTSSKPVIHRDVKSSNILLSDDFEPQLSDFGLATWASTTSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVFAFGVVLLELLSGKKPISNEYPKGQESLVMWARPVLNGGKFAQILDPSLGCGYDSDLMERMMLAATLCIRRSPRARPHMSLVVKLLQGDVEAVNWARLQVNTSDGSGINLQANPVEETDTFEEEGISHVNLQNYLNLALLDVEESSVSPSSTEQSISIEDYLQDRWSRSSSFD >KZM99363 pep chromosome:ASM162521v1:4:31479076:31484467:1 gene:DCAR_013275 transcript:KZM99363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIQKLYNACKVSLSTNGPISEDAIEKVRNLLDKIKPSDVGLEQDAQLARGWPSAGNGQNGGPRAIPPIKYLHLFECDSFTLGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLHVKSYDWLDVPGPSDQSQAAERPAKLVKDCVMTAPCGTTVLYPTTGGNIHCFKAITPCAIFDILSPPYSSEDGRHCTYFRRSPKEDLPGSLEVDGVTVSEMTWLEEFQPSDDFVIRRGQYKGRTIKA >KZM98779 pep chromosome:ASM162521v1:4:26823207:26835397:-1 gene:DCAR_013859 transcript:KZM98779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPMVVADVIEEEPVEIEGIKIPVDTSKPNPNELEYDNLYLDMNGIIHPCFHPEDRPSPTSFVEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEKEGRKLPPKQDSQVCDSNVITPGTEFMAVLSIALQYYIHLRLNNDPGWKSVKVILSDANVPGEGEHKIMSYIRLQRNLPGYDPNTRHCLYGLVVFTPGQENKCFLCGQMGHMAAECQGKAKRKSGEFDEKGEIVPKKPYQFVHIWILREYLEYEMRIPDVPFEIDFECIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLLAVYKKQFRALGGYLTDGSKPNLSRVELYIEAVGSYEDQIFSKRAQLHQRQAARVKRDKAQAKRGDDAEPQMKPEMVPVAKFQGSRLASGHAPSPYQHTQSSSTRPSKVARLSSEATISAALVEAESELGIEASENKEELKTKLKGILREKSDAFNSENPEEDKVKLGEPGWKERYYEEKFSANTIEELDRIRKDVVLSYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLGQLNINFELGSPFKPFNQLLGVFPAASSHALPQQYRKLMTDSNSPIIDFYPADFEVDMNGKRFAWQGIAKLPFIDEARLLAEVAKVEDTLTAEEVRRNSRMYDMLFVALSHPLSPYIYSLDDRCKQLDEKERTQIKEQLDPGASDTCSGAMNGYISLCCGDPCPPIFRSPVEGMEDIMDNQVICAIYRLPDAHNHIARPPPGVRFPKKTVTRDDLTPEPALWHENSGRKPWENRRNNNQGAGSGRHLGDAAHRFVANSLQTKTDRNVNGDHYTRPYATSHRPAFSSNPTNRHHNYNQQYDSSTNHPATQHYPPLDNNRGYSSQGYYAQGSHSNGHVYQPRGPHHAIQGRPPSPAGAPYYQQGGYNNRGSYQSHNVGNYHHQNGGPPTGNQRGRDYVRPQPFGNKFSALDKGTSRRPPPSGPGSH >KZM96790 pep chromosome:ASM162521v1:4:6341345:6341738:1 gene:DCAR_015848 transcript:KZM96790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGTPKQPSGYECAYAVMRYMKEIIEDKDFSFHKKWMSKSRKCYEMDELDEVRNEALGFIEQYI >KZM96658 pep chromosome:ASM162521v1:4:3128365:3128843:-1 gene:DCAR_015980 transcript:KZM96658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPQTTSNVRSDVEKCQKRRGRVPGFNNLFNKVQSTPANVSTADTGTPSSTVKVDHSDRRKQDQDSLGLRTNAFNTSTSSFHTPFSSSTVTSHLEESGITDTHTP >KZM96760 pep chromosome:ASM162521v1:4:5885139:5900815:-1 gene:DCAR_015878 transcript:KZM96760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKQQLMDTLTSHISLYHSRSAPPIHTNPNPRASILKWFKSLSVHQRRSCLTIVDFKFVQVLLEMDAKLRVHGRGLFIVLSDMMSDERPEIPSVCFRKSRGLLERVYGECEVGKLIYEAVRVFDSRDGEVVEKFSEFVGGSERLLDSVTVSEKLVEDVEKFVEVMDEVSGGAFLRGEESKLGEEWVEFEWLKGKGYYGIEAYVVNRLEVALRLSWMNCSSGKKRGVKLKEKVSLSGVAANVFWRKKGCLDWWGKLDFATKEKIFRTFVGKAAKLMTLEILKTTKIHMEGGMRPSMTKAKLPSSYDKAVLRQKSSYVPQGSVADFNSTLTSGFVSGTASSSVEYICRCLSILQDISALLLSCNLSSEHANEELFFSSLGSVNSTSNFVFRKLRGLLMVVSLDCTKLELFEEVNGKCSTKKCTEKSSATSRRKKGKSRNTKKVSSVRSSIDDPKLDNSSKVEGCELTYSENIDMCQINALASVIQEKDPNRETSLSAVDMEHTQKSLSGNAQNSGLKNKKRSNKHKNSWLSSDAKVENSRRKSKKVSADCVILEDIPGDASVKEKSTVPNPSTGKRDLSEEVDAVQGIQIENDCVPSAASCQSSTEDHQPSVTMNEVLFPRLESINSSDSIFSPVIPTSECCTIPRSEDVNARNFGHKTEAVTISAFPKEGLGVADPVEITRTQEQDESSICSHGNTVSAGFPPYEWPSVAPFHFPSVNTHLPATTDRLHLDVGHNWKNHFHQPLVQTVHQVRKSPIENGCNGILSRPMSMSLDWPPMVRNFSGLIPSMTYSYDTGFIPMRQPSFQQSFTAQSLQHSASNFDDERLYSGDSMDISDKTNSQEPADENENHWISEEDIEVHAVTGMDYSQYFGGGVMYWNPSDRTGTSFSRPPSLSSDDSSWAWREADMNTTVDDMVAFSSSFSTNGLASPSTASFCSPFDPMGPAHQALGYVIPGGDVAGKVLHSSSTMSDVAAEEIASASLSSLPSDTEAMTNESLPYPILRPIIIPSISRERSRSEFKRSQDHKSSCVPNRRDQPRIKRPPSPVVLCVSHAPHLPPPSPVSESRKHRGFPTVRSGSSSPRNWGVRGWFNDGISFEESCMRMDGSEVVWPSWRSNSLSSRQLNQPLAGTLLQDRLIAISQLARDQEHPDVAIPLQPPESLNSSSRKSSLSLMHNLLHDEIDSFHKQVIAENLVNKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLALPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKSDSLKIVENTAIPIIMVVVEVPCDLVVTHLPISKEEQPIQVSNEHDKISSTDTDVSESSVLLERSNMNNAKGKTKSVRLDISFKSSSHTGLQTTELVKELTEQFPAATPLSMVLKQFLSDRSLDQSYSGGLSSYCLNGL >KZM97147 pep chromosome:ASM162521v1:4:11496673:11503242:-1 gene:DCAR_015491 transcript:KZM97147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATSFSPHILHSPVKLHSESSVTGYSRLKSPACPISWRYDYVCSVTAKVSRGVKCLIKSTEESVNDGGDGVDQEEVAKSSVRIVSSSGDSPTLGIREPIYEVVEVQSDGIVSTRKINRRHLLKSSGLRPRDVRSVDPSLWLTNSMPSLLVREHAILLNLGTLRAIAMQERVLIFNYNRKGGKAFIDALLPRLNPKNMIGGHSLPFELEVVEAALNSRIQRLEQRLMDLEPRVEALLEVLPTRLTASVLEELRISKQKLVELASKAGALKQMLLDILEDPHEIRQISIMGRNCTLKKDNYDMECSVPLEKQNAEEEEEEIEMLFENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRLELLLQVGTFCVGVGALIAGIFGMNLKSYLEEQAYAFWLTTGGIIVGAVVAFFLMYTYLKARKIF >KZM99365 pep chromosome:ASM162521v1:4:31494573:31496746:-1 gene:DCAR_013273 transcript:KZM99365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRRLDYYQPLLSVRRSPSPAPPERNRSEKDDQSWPKIPILPFYKSELKSGPIRNAGTVPFVWEQCPGKPKNESKSHNGTDQSPVIAPRLPPGRIKAVRKQTSEYSSASQQHKEVPFGLHKVSFLDEIESITESTKDVLEIGNSDTNEADEEYLDALDTSPRTESIFLNSSFSGISELDGSSVKTYGNFSVDLETRDLMMDRFLPAAKAMTSEAPQYAPRKQPMVQEQLTQMKVESRDKSPPLGYRPNVVPSYAFEEGEEESDDDYDDHGRLPAKACGLLPQLCLKGSFFPSNPLPGMRRRTQVQLSRTHGRSLYAASCCINENKGIKATAYRQKIVGDLQISKHEDAIESKNQLDQHNHYFPQKLEGSDLYRRVQAGRIPAQKNELLQPTSSQKPLSSYDYELPRYGFSEQRGDFSNHKEAKNAGETAVNINKGKGFRTFKELLADTNSNEEVDIRSPVIEKTLHVDIIHKVESPSMCSYSLEMKGIPKLNADTLKDIEKCMLVENNHSADSSHKDAENFIVKLKEDYIKVTAQKHVADFTATSLAERSEQVSANKLLKDSAPCRSPTKDLATAMKTEALDDVNKDSEIRSLNAEDARKPKENYSMFSSPPPLPKSPSDSWLSRTLPTIVSKAPSLQAQSGKTILPAPGNHTSNKSSADQKWATVVKSSRVHHRHVRSSDEN >KZM98999 pep chromosome:ASM162521v1:4:28631483:28636034:-1 gene:DCAR_013639 transcript:KZM98999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPMDNTSDNLPRERIQRLYNQNAELESRRRKAAQARIPSDPNAWQQMRENYETIILEDNAFSEQHEVEYALWQLHYRRIEELRAHYSAALSAVSQSGKGSMRGGPERVTKIRSQLKTFLSQATGFYHDLMVKIRAKYGLPLGHFAEDLETQISLSKDGNRLVEIKKGLVSCNRCLIYLGDLARYKGLYGEDDSKARDFAASYSYYMQAAAIWPSSGNPHHQLAILASYSGDELVAVYRYFRSLAVDNPFSTARENLIIAFEKNRQIYSQLLGDVKPSSVKTRQVRVNGRGRGKGDNKPTTKDVKVEATLFKEKSRSMAEILKAFSTRFVRLNGILFTRTSLETFEEVFSLTKSDFLELVSSGAVEGLNFGSDTSECRLLIIRLIVILIFTVHNVNRETENQSYAVILQRSVVLKNAFTAIFEFMGHILERCIQLTDPSASYMLPGIMVFVEWLACRQDIAVGSELDERQSSARSFFWNHCVTFFNKLLSSSFMFVNQDEDDTCFYNMSSYDENETGNRLALPEDFELRGFLPLQAAQLVLDFSRKHSFESEGGKKEKKARIERIIAAGKSLANVVKVGQQVIYYDTRLKSFVFGVEPQIAENPVLDFKGEANLEGSKTTALQPKAQLHLDVDDEDEVIVFKPVVNKNNTDVIDSNLTVSEVFLSGIDVSSVSLGNTSAYVSASHDDTILQNSFNTSSRPPISLDFTAQYLQPSQPSDLNWATERGSAVNGFSNLSFVESDFSSKSKLQDFSGLLRPAPSTAPFPQPPSLDAVNRYPGSSTETLIPNQSDSVMSSGVNTDTLSRKPSLAMAVGAKKNPVSRPIRHSGPPPGFNSVPSKPVDELFSGITLKEAPAMDDYSWLDGYRLPASAQIGGFSNSINPVQAGLPPTKSENSIGLVSFPFPGKQVSTSRAQMDKQNIWQDYQFPGTLNQNHQKANIQTIPIGQQYQGQSLWEGRFFV >KZM98510 pep chromosome:ASM162521v1:4:24578326:24582468:-1 gene:DCAR_014128 transcript:KZM98510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPPGLNAAATAFLFLLTITVVRSDKLKTFKGSYGVTRSFDDNIDYYYRILKLDKNATIHNNAIQLTPDSSGSGDIVPKQNQSGRAFLPQKFKLWNGDSNTTRLASFNSSFLINIFRPTNDTPGEGLAFFIAPNLNLPLNSYGQYLGLTNASTDSEASNKIVAVELDTAKQDFDPDDNHVGLNINSVRSVKTTSLSRFGIELVPKGTRLYNVWVNYDGVKKVLEVYIANSSIDGPTPAMPGEPVLKQDLNLALYVEQESYFGFSASTGSDIQLNCVRKWNLTVTSYVKDEVPVLAIALGVGIPVVVLLVVGAGFMVVYLRKKRRKRGNISSNILGALRSLPGTPREFKFRDLKKATNNFDDKFKLGQGGYGVVYRGVLPDEENLEVAVKWFSRESLKGEDDFLAELTIINRLRHKHLVRLLGWCYKNEKLLLLYEYMPNGSLDMHLFAEPGKQPLSWALRYKIVSGVASALHYLHNEYDVRVVHRDVKASNIMLDSNFNARLGDFGLARALDNEKTSYMEAEGVLGTVGYIAPECFHTGRATQRSDVYAFGAVLIEVVCGQRPGTKIGGFQFLVDWVWFLHRDGRILDAVDERLAGDYVAEEAERLLLLGLACSHPIAHERPKTQTIVQILLGNMPVPHVPPFKPAFIWPAMGPIEDDDLSLATTLDTTSMTTSNLGSAWSTQNMGKDSHEQSLV >KZM97570 pep chromosome:ASM162521v1:4:15880673:15883854:-1 gene:DCAR_015068 transcript:KZM97570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAMSSVQQQIDTMQQGNCMANLQAAANAMANSQQQNSNAMVNMYPGNAVAGNAGSQMDEKTHQADAVTMLETLSRLIDLISCEISIKCLSGSDGHVNTVSILQMVSNYPWDAKLGLVLAAFAFSYGEFWLLAQLYSSNQLARSMAILKLVPLIMEHSGTFRRRFDALDSLLGSVMDLTRAIIALEELPSMYIAKDVPPLSTAMESVPTAVYWSIRSLIACATFITYLTIMGHDGHAQTVLSAFSEYDSWKENVPLRGFDVAFKEYHDKLHVANMPCCRFEFLTTAGRIPDAMTCPECLGHMEKYSTFLCCHDVNALLLPY >KZM98375 pep chromosome:ASM162521v1:4:23390778:23393573:1 gene:DCAR_014263 transcript:KZM98375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEEEENAAELKIGDEFLKAKCLMNCEVAIILDHKYEQLQQTSEDPMNQMSQVFEKSLQYVKRFSRYKNPDTVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIRSRGRGLDDDAIEKMLHDLSLIKKFD >KZM97047 pep chromosome:ASM162521v1:4:10073579:10075483:1 gene:DCAR_015591 transcript:KZM97047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSQKEMIPVLWLFYFIRCIYFVSCANSSCPIDFSYVNTLPWQISNCVDKPVRQEICCQTIRSLLGVGLAKYLNETSMFYFPNSETATSCILRFNERLASMSVHISCTDRSYNQLVVNSSSNCGGITTLQDWKEKVGITELDSACKGNLSTPSQCNACSEAGQRVAPRLESMRLNSTKCFYYTCLYAAGIVNEYGPENARIADCALGLDVAKSTTNKRTQVFKVVFGLLGAIFGVLTTWGCFMIYQKMIKERRLAALREEYVRGVKAKVLPNTGAKWFHIAELEQATKGFSKKNLIGQGGFGVVYKGTLLDGTIVAVKQLLNMDINGDDQEFTNEAEIISKIRHRNLLALRGFCVASDAIKGNIRCLVYDFMSNGSLDEHLFNNEGNFIGKQPLSWPLRKNIIIDVAKGLAYLHYGLKPAIYHRDIKTTNILLDSEMKARLADFGLAKQTNEGESHLTTKVAGTYGYLAPEYALYGQLTEKSDVYSFGVIILEIMSGRRVLDTFSTSSRVLITDWAWDLVKSGNVENIFDHRMEENGSKGVMERFVHVGILCAHVMVALRPTISEALKMLEGDIEIPVLPDRPLPLCHESLRYIPDFSTSTFGISGRRSSVDVSGGTSSIDTSNSKASTWMSM >KZM97994 pep chromosome:ASM162521v1:4:20184243:20190511:1 gene:DCAR_014644 transcript:KZM97994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKASSEVSSAFDISGESSIREAEDRLREAMEELERRQRRTRHLHPPCDHADDSCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLARGHSYASLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCILRKWRKKETPFNVVLAGSVAGLSILALDDSNRRRTLALYLLARLAQCAYNSAKSKNMFHLLGSHLRHGDSLLFSLACAQVMYAFVMRPESLPKSYQDFIQKTGPVAAPIYKAVRDCCRGSPVDIAALSIYLSNRRVSKALKLEEFPSIIPCSMIHPDTSSCVAHNVSATSATFRKTFPLYFSLTFVPFVVLRLQKFMTSPLRTCWHAVTGAVRSTTFLSAFVGIFQGVICLHRKVAVKDHKLVYWVAGGIAALSVLLEKKARRGELALYVLPRAVDSWWYILVNRHLLPDIKNAEVALFCMCMGGIMYYLEHEPDTMAPLLRNLIRRFLASRMSNPGPLPSRNASYTYLHTLDAMKKPNSGETETSVSQTYNLEAIPGL >KZM99908 pep chromosome:ASM162521v1:4:35794044:35794850:1 gene:DCAR_012730 transcript:KZM99908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTAAAAAAPYYYYYDTYNSQSLSFSPPAAAAAATNLYPSSSSSSTNILGSGDEFGSHASCIGLNQLSPSQIHQIQDQINQQYQQQQLQWPPQRQRTLNFHDPNPTPVAPPKPGKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAQEAALAYDMAAYKLRGDSARLNFSHLSGNFGDYKPLHAAVEAKLQAICQTLADGNNNKKQQPSKTKKLDVKLEGSSDTNSDGPEFGQDDETAWELGSAQNFMLQKYPSYEIDWASIEE >KZM96568 pep chromosome:ASM162521v1:4:227122:227291:1 gene:DCAR_016070 transcript:KZM96568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFNQNLGFDGVEIHGANGPDLKDQVNDRTDKYGGSLEKRCRFALEIAEAV >KZM97563 pep chromosome:ASM162521v1:4:15854899:15858831:1 gene:DCAR_015075 transcript:KZM97563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRCVLLGVSCGANIADYVARKSVEAGRMLDPVKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKPMCILAWKLFLPEEEFSLDHPAANPLVPDRGPPLKRMPPTLTVVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWAMDCQWLPLVHTPYYIGDEKRNVSDVIMVLMGAARLPFSGVTVPAESYFVISFSNNRVQMGRQPCCDKVGLKRGPWTTEEDCKLMNFILENGIQCWRTIPKLAGLLRCGKSCRLRWINYLRPDLKRGMLSEAEQNKIIELHARLGNRWSKIASHFPGRTDNEIKNHWNTHIKKRLKASGVYSVAHQQVEPREKAELILGTMPESILHIPDTEPKTNEIYERQNKIDISSDESTFLLNNYEIMCGSLDVVSLMNQVANTSASYSPSFSVEESSSNPSIGESSYTQGDSAQQWGQSTDAMLSWDAFNQLEEELFYFSKSI >KZM97178 pep chromosome:ASM162521v1:4:11957690:11963049:1 gene:DCAR_015460 transcript:KZM97178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLHPPVTGNLVSGTSASDLLQSSSNGVSGVPLRALGRARLGMSKREFVIIAKVRKGKKHDYPWPDNADENVKGGVLSHLSPFKPLKEKPKPVTLDFEKPLMDLQKKIIDVQKMANETGLDFTEQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTCLDHIFNITEKFVELHGDRAGYDDPAIVTGLGSINGRSYMFIGQQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVMGEGGSGGALAIGCCNKMLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITATELTKLQISDGIIPEPLGGAHADPYWTSQQIKAAIVESMDELLKMDKEELLKHRMLKYRKLGGFQEGIPQDPIKKAHMKKRTEPSTPRPGNLELESEVEKLKEQILKAKESSAAPPDLGMNEMIEKLKNEIDYEYSEAAKSLGLSDKFVVLQEEFTKARNSKDQLIQPALLDKLQELKDEFNQKLHTAPNYKSLETKLDMLKEVSTAKSISEKNKGASPLQLEIQKKYKEILDQPAIKQKLEALKAEIKNTGSSGIEGLDQDLKEKIGQVRKDIESELADALEPLGLRLNKVPVPVKEKVDELNKESIKIIEDVISTSSDLKRKIELLKIEVAISGKTPSTESKSKIQALEQEIKQGVEKAISSSALKEKFERLKAEIAEVTSSEGKDGSVLPGSTPEINYEANRSYS >KZM98631 pep chromosome:ASM162521v1:4:25604365:25605975:-1 gene:DCAR_014007 transcript:KZM98631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRGHWRPAEDEKLKELVERYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFSEEEEDLLLASHRIHGNRWAIIARLFPGRTDNAVKNHWHVIMARRCRERSKLYAKRAAAQTLSKHDHHTKNLASLVEKYTHRFEFPLVHNYHQNLCDPQPQSLIHNQKLHQDKKQKVEFYDFLQVRTESLRSEVTDQGKRDEEEVDQNHFSALLAEQQKKPSTVQFIDFLSAHTSAA >KZM99594 pep chromosome:ASM162521v1:4:33298089:33302878:-1 gene:DCAR_013044 transcript:KZM99594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKQWVREVTPEMAEDLWDHTTDSLVVVMLKARNGDSIITDYELSRALPYLTRQCVIALISVPANSPSNSSTGRESMYSPVKHEDARYCCLDPYLSYRRTLLSHLLLYWWWELRLINTWFGHAGTVTPLHHDPHHNILAQVRMELSAKCCYSSAVKGESRNGVSTALVLLNTRNIGGYTSVVEMANVSAAKKLIDQAFAKGVKVAICSTSNEKARYVNARAEAAIEAHKFTAQ >KZM96729 pep chromosome:ASM162521v1:4:5407578:5407862:1 gene:DCAR_015909 transcript:KZM96729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKVLLKRFSTKASPATMHLPGHSPGQFLPPLLTTPPQPVEKAVLFGVSLACLFMSAFDLLSPPAQRKQRDFDLSYKARVPTNQSFWEAHSII >KZM99294 pep chromosome:ASM162521v1:4:30892795:30897411:1 gene:DCAR_013344 transcript:KZM99294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERIAKKADASSAAPPPPRSTLLQLIQVIRSEGVGGLYSGLKPSLFGVAASLNLIANLDSWITMSRKGIYYYFYQVFKNKAEAIAATNRIRGRGDGTVGMFSWLLVAASAGSLNVLLTNPIWVLVTRMQTHTQAETKMLEAKKEALRNEASQSGIIGASLQEKFAELDSIKPQPYGTRHAASEVYNEAGIAGFWKGIVPTLIMVCNPSIQFMIYETSLKRLRAKRAANKQGSKDVSALEVFLLGAVAKLGATLATYPLLVVKSRLQAKQDIGGNISLRYSGKQVTCVSSAHEGTLDAIIKMIRYEGFSSFYKGMSTKIVQSVFAASVLFMIKEELVKAISVLVNKRPKYLLNVVK >KZM99839 pep chromosome:ASM162521v1:4:35282046:35282339:-1 gene:DCAR_012799 transcript:KZM99839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRSSSSLSFWLVFGIVIVLAISQLGAIECRALRSTIAEGCDQPGEGANSMGMTQFGVASADHNNSSSDESSVESSIRSLLFKLASGPSKRGPGH >KZM99141 pep chromosome:ASM162521v1:4:29748113:29750543:1 gene:DCAR_013497 transcript:KZM99141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGFSEIQIAGFAVAAVVVAATAAIIFSSKKSKVCLNAENFKNFKLVERKQLSHNVAEFKFALPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGHFKLVIKMYPQGRMSHHFREMRVGDYLAVKGPKGRFKYQPGQVARAILENPHDTTKVYLIYANVSVDDILLKEEIDGLASNYPDRFKVYFVLNQPPEVWDGGVGFVSKEMIQNHCPAPASDIQILRCGPPPMNKAMAANLEALGYSPEMQFQF >KZM98434 pep chromosome:ASM162521v1:4:23942119:23956774:1 gene:DCAR_014204 transcript:KZM98434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYVMSLPLTLGMVVLTLRYFAGPDVPRYVLFTVGYTWFCSISIIILVPADIWTLDYPHEFHSPKIGASANQASNHCPLVAPLIQGYEDAGDFTVMERLKTSIHVNLIFYFILGSVGLLGLVLLFTMRENKNGTVLGLAMACSNTFGLVTGAFLLGFGLSEIPKSRWRNSDWTTRQKFLSHKIAKMAVKLDNAHQELSNAIVVAQATSKQISKRDPLRRYMDIIDNMLVQMFREDPSFKPQGGRLGDNDMDYDTDEKSMATLRRHLRGAREEYYRYKRKFVSSLRPDRTGSLGPYLSTIEFIWRCFIWMYVEKFLAIIFGCMSVAILLAEATLLPSGLDLSLFSIMIKSVGKEEVLVQAFAFVPLVYMCVCTYFSLFKVGMLMFYSLTPRQTSSVNLLMICAMVARYAPPVSYNFLNLISLGKEKTTIFEKKLIPGFPERTWIEQGRKVGELVIPLARNFGDLSMDVEVGSNTSDKSSFPMKPSSSLLEEDQKWSLSRPSETRRYSGHREAISSKYAAIREQSRNPSNVKPVEDLSSAKVSLLDAGNSTSNTGVTSSGVAAKWATMKSGFLSFKTKIGAKKFLPLGQGEDSKLIHRASSSESLEDIFERLKRPELERGNRPEEDDREMDI >KZM98330 pep chromosome:ASM162521v1:4:22980013:22981071:-1 gene:DCAR_014308 transcript:KZM98330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANICDGNNLDADVLLPPRKRLLAGLKKQNFDGISHVPSTPVITGEFDILLNNLLKSHINKSPEEILEVSRSAVEAAKKVAKAARAVAENKAEVAARAMAAAKSALDLFATMSEERSSREKYTRKNKMKRQVPVNMLYDKKQRVETDAELARDLHRAINSSPRTVKNSSVPDLKSVEHKRLVKRLSSEKLKYNNGGHSPPRSNGNGQTDKLCSDGSAQGAYAYRINENISKLDEGDHSNMTNRVSSFCGGKMKEVLEDPVGFGRKRGKIKQKKLPLSVCSFRDQEYPKEQLKTRSEVLSDGNVSKDTAGSNHLFSVGPAGGSMMSFERSGTWKCKEFKGPPIIEQNKDMQL >KZM99842 pep chromosome:ASM162521v1:4:35299039:35301213:1 gene:DCAR_012796 transcript:KZM99842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRDRPVNGKHTKLSLQKSGNLIIKDAGLSVFWSIENNSNSSNPVQLQLNDTGNLVLHTLNGRAYGDMIWQSFDSPTDTLLPNQALTRERRLVASRSGTNYSSGFYKLIFSDDNILSLVFNGLETDSVYWPDNSGLPWEVGRTSYNESRNAVLDSYGSFVSSDRFNFTVGDYGIRQESYRRLVLDSDGNIRVYSLNEKRMTWDVQWQAFSQPCKVHGICGENSLCSYGLGGRKCSCLPGYKMKNSKDWSTGCEPQLNYTCREGSGNDHEFIQIPHVEFNGYGMDDYQNYTLDQCRKQCLNICSCIAFYHLYNKNQGLYVCNLKALLLNGYKSSSVDNSMYIKLPRSVTSSSNKGNQESELTCVHDEYVLLDRLYKKKHENRSGQSIFWFTIAFGGFQIFCILVYKFKARGPSHTNSSMQKYHQVATGFKRFTYSDLKKASQNFNNEIGKGGGGIVYKAVLPDGRIAAIKRLNSSDEQGEAEFLAEVNVIVRLNHMNLIEIWEYCAEAKHRLLVYEYMEHGSLAENLYTNSLDWDKRYQIAVGTAKGLAYLHDECLEWVLHCDVKPENILLDSDYNPKVADFGLSKLLNRGTNISTSTFSKIRGTRGYMAPEWVYNLPITSKVDVYSYGIVMLELITGRSPMTTTSTLASSDSGLDGEEQRGVMSFVREKIREGAEIIDPNMNGAYDSGQLELLLKVAMQCAEDKKDARPSMSQVVDMLRFNQD >KZM99739 pep chromosome:ASM162521v1:4:34416555:34417352:-1 gene:DCAR_012899 transcript:KZM99739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQHYRSPFGDTTFTKVFVGGLAWETPTNVLQEYFEQFGEILEAVIITDKITGKSKGYGFVTFRDAESARRSCAEPNPVIDGRKANCNIASLGRPRPSTPRGRTQDGPSSSSSSYSGVPGVALAPPVPPPPPVIYPPYGEMSLALLIIFENYAE >KZM97932 pep chromosome:ASM162521v1:4:19565982:19567863:1 gene:DCAR_014706 transcript:KZM97932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPSSSRHRRFVRNVRLIVSQFGPFLALLSVGLYLLFKVDVYIYRSRAAARYQHVQSPPEKIQTTPTTYKKTSTFSVSGSGFQSRHEYLFGRIDMQIKLVPGNSAGTVTTYYLSSEAQAHEGDNHDEIDFEFLGNSSGEPYTLHTNVFSQGKGKREQQFFLWFDPTADFHTYTIVWNPQRIIFLVDDIPIREFKNAESIGVPFPKDQPMKIYSSLWNADEWATQGGRVKTDWTLAPFIASFQNFSADACIWASGVSSCEKNSPRKKMWFTQKINTADTRKMRKVQKYNMVYDYCKDKWRFPKGPAPECRF >KZM99596 pep chromosome:ASM162521v1:4:33314186:33314944:1 gene:DCAR_013042 transcript:KZM99596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASLGQNTAQHFSSIFEPVISNNHEIFTDPIRQAGLSLWMGHDSTQSSHTAPMNNNLQPLFSDPKSNYQFNWASTGNNRISIPSPFDEHQTTSIASSTNALPVVNKDVGNQLVSIPSLYSSQNMTDQCTHSATMSATALLQKAAQIGATSTDPSFLESIGLKRSNMNHVQDGSKFFGLFGTNLMTTTNLGISTTADQVNEHLSNLNHHLYPSKRRHIQQDQDSSGSGETRDFLGVGARSICHTPSINGWI >KZM96679 pep chromosome:ASM162521v1:4:3782158:3785186:1 gene:DCAR_015959 transcript:KZM96679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRYGGFDFLTGQLNILPVQMIGSRENSNLMRLGLGCSICARVSGHTVLFQISAGIADRHRFTESSTAVDLVKKLRDEFQNYDMASEDLFDESLDFLLTTWAKANGLQSCYSMWQEYETVGLPCNVPSLLKMYQALLALGDHKSARKLLRKILIFDPHVCCVIDSSQRQPMYAGQPTLRIKILQTQTRHAETKISELKLPAREKSWYIQSRLSRAIYKLRSRAGQGSLGP >KZM98002 pep chromosome:ASM162521v1:4:20278116:20279311:-1 gene:DCAR_014636 transcript:KZM98002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMTSLDQGTNRWVDCHYYSSIVGGNPNRILLEQLLEQRRTGKKPAPIRASQPLPPYNEAESSSSSYFTMLMPNNHASSSVNVATLTPALGGGAFQSAENVATTVQGLGGAPFGSGGHMNKEYQMSSYEIAVVPQQNLYVSNGNVMPMIEQASSMGPGLGEVDMDNSLLGFGPDPIQACVNN >KZM99742 pep chromosome:ASM162521v1:4:34435720:34447860:-1 gene:DCAR_012896 transcript:KZM99742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTEQDVGSGKEKEVIGDNGYLQEGKLSPAAKETTRGCTSPVENAFDGKDALSYANILRSRNKFVDALAIYESIVEKDGGNVEAYIGKGICLQAQNMLRPAFESFSEAIKLDPENSCALTHCGILYKDEGRLVEAAESYEKALKADSGYKPAAECLAVVLTDLGTSLKLAGNTQEGIQKYYEAIKIDQHYAPAYYNLGVVYSEMMQYDMALNFYEKAALERPMYAEAYCNMGVIYKNRGELESAITCYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVFTVQGKMDAAASMIEKAIVANPTYAEAYNNLGVLYRDAGDITLAIEAYEQCLKIDPDSRNAGQNRLLAMNYIHEGTDDKLFEAHRDWGRRFMKLYQQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEVPLIFHDYANYKVVVYSAVVKADAKTNRFRDRVLRKGGIWRDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMLACKPAPVQVTWIGYPNTTGLPTIDYRISDPLADPPNTKQMHVEELVRLPECFLCYTPSPEAGPLTQTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDNVRQRFLSTLEQLGLESLRVDLLPLILFNHDHMQAYALMDISLDTFPYAGTTTTCESLYMGVPCVTMGGSVHANNVGVSLLNAVGLGHLVARTEDEYVELALQLASDVAALSNLRLGLRDLMANSPLCDGAKFCSGLESAYRNMWSRYCKGDVPYLKRMELLQQQAPQEQIASKESAVEVLSESTKFTISKGSSPEPTMANGFNTGPSLLKPSASEQNAISLPT >KZM96774 pep chromosome:ASM162521v1:4:6135346:6141054:-1 gene:DCAR_015864 transcript:KZM96774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGESIASSVVPGHSFFLPQLCLLFMMLIRGLFLILVVFHPPQNRVCYLDSVALSAYILDYASASPRLGVIRPSQALRSTAAPTTGLEAVSSNFQAPISEEGDCRVVCGLVLAADGGGVGSSRRRFDYGGDWG >KZM97492 pep chromosome:ASM162521v1:4:15215321:15215936:-1 gene:DCAR_015146 transcript:KZM97492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVVPGLSQSGTSWDHQGKSKISQIFIGCTMYRIESIQFAYIEDGRAVLSERIGRRDGCFTFDYPSEKITRLSCCYETYYDGHRYLRSIRLHTNKCKYEVCCLGGDPDLDNDPFFGALDEYVTVTEFDYEVGGEFYGFFGTNWDDGIETIGFYMKPKQVVNESRSDRLS >KZM96849 pep chromosome:ASM162521v1:4:7614179:7615774:-1 gene:DCAR_015789 transcript:KZM96849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSATTSSICVVVVLLLTISCEASAEDLSDRYLECLNRHSRDISASVHTPKNTTFANILLQSVNNLRFAKPDTPKPLVIITPVRGSQIQSVIYCCRKHGIEMRIRSGGHSFEGLSYVSPVPFVVLDLVEFKKFDFEAKSKTAWVDSGLTNGELYYRIGEASDRLGFPSGLWGNVGVGGIISGGGYGMMMRKYGLAADNVIDARLIDANGRILDRKSMGEDWFWAIRGGGGGSFGVVLSWKVKLVPVPKTVTVFKVTRSVEQNLTTIFHRYQSVAPKFPKELDIRATGQSIVTNSSPRSDKKTMVMRFESLYLGGLDRMLLVMQQHFPELGLLREDCSEVSWLQAMLYFSEFDLHMSPQILLNRTILPRTPFKGRSDYTQVPIPVQGLEGLWEMMYKLPPRKGTLQFTPYGGRMDEISESALPFPYRSRTLYKFNVLVDTETDEEARLEWLRSLARYLTPYVTKNPRSAYVNYVNLWMGTNNLKGTTSYEQASRWGKRYFKNNFDRLVQIKSAVDPDNFFRHEQSIPPITL >KZM98422 pep chromosome:ASM162521v1:4:23829760:23833922:-1 gene:DCAR_014216 transcript:KZM98422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGEQFPEGERYFGLENFGNTCYCNSVLQISSQKKKTGVIAPKRFVQKLKKQNEIFRSYMHQDAHEFLNYLLNELVDLLEKEARKAKNDTASLSPPENVANGPKNGHPDGAQKEPLVTWVHKNFQGLLTNETRCLQCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPQILVIHLKRFKYIEQLSRYKKLSYRVVFPLELKLSNTVEDADAEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYEGVDAGSGIPS >KZM98688 pep chromosome:ASM162521v1:4:26113103:26118764:-1 gene:DCAR_013950 transcript:KZM98688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGDDMVGLKGLTGGVELSSHQDLTQVGGDFGGTKIDFVDCKGNGNACGGDGGSYVFVSKVNGGGDPVGGGDCNVEVKEGVFLKDGDGRVGNGGVVVGIGGDDVEFAGKGNDHVLAAVMDKGGALHGELVVENGGVGVVGDGQADCEVKVEDQGGLKDESGVGLQNGEVVVGDGRNGREVEFGDQVGLKDKTCLGLQNGKVVFGDGRNDREVEVGLKGKTCVELQNGEVVAGDGRNDREVEVENQVSLKDKSVVGLEHGEVVVGDGRSDREVEVEDQVGLKDESGVGVENGEVVVGNGRNDCEGEVKDQVGLKDESGVEVENREGVVGVGDNDQDYLKEENNCGLSTVTDKDVALHGELFAETGSIGEVEDGQPACEVKAEDQADFESTTEPIENQESLTDTDREFGERKGEVIGLVLARELPENSVKSVEQNVVLPSADIGENKKSEAVVFWADGCELAKVEHEYEVDVGEGQMKRTEKKLPEESLEPQISVTSSVDCVSSDVGKDQAKLEQINYLANDVELREGKVTVMKSAEDDEISDNCARAVQQDVIVSPAVIGQKSDTVVLDAVGCKPPKVESGTEFGSVDRKPEEQAELESGEDNLRSQMRITESVDCLFNELEDGDKFKTEKDLDWDIEGGIQLNNDINAVANKGISMASADDYEGSRESIDESQTVNKLDSTPSNAGSISSIEKVPVNCGEGINAGTNDGATVELEPSGFIQVTNGDGTMTNESLTLQTNLDKQIGSCANSLELNRNSDDAQCNGMVMQAQGDGGFQSDSNGSAGGPEVGNLAFPVTEKKSELETKNLDSEDVKTGSATTGTHSDISDCVSSRHSNDGISAMKIEFGTFDSAELLSKSSDVDVLSKSQISDGESENTGRADLTMNSGSDSYVDMNFKTGVQYHSDVPAKDATVAESKVPSGPVNVGPAPLLDSSSSDSVNGQNLGFVAKAKPFQFLVRLPRFDDDKLRQQIKDAQLLVDEKTNERAARRSVKLKRQEIDSIQDDINRVKNSISVIDITNRIAHMEHMIEHETNPLKEEKQLLREINTLRKLRDQISSNVCPADEVNQAFGQIEPMEMQLKTLKRDLSDLKAKVSDAEAAVILLGKEYNGESIRLKELQAQFRAVNDVRQDAYKYFSSLKRQLHEKSKNFWMYKDEAKAANDYALSGNKEALYRLCSNQVETFMDLWNKNDKFREDYVRCNNRSTLRRLKTLDGRSLGIDEEAPVIPMYVGEKEEMQQSTPLGTTYPSLATNLKQENSMKPVKSEQVDGKARVVAEPKNKILKDKTSVKPISGSGSVLNIVSDYLEDVKTKEEVKQQTEEELELARKAEMVREEEIAAKLKEKIRQEERAKAQEALERKKRNAEKAQMRAMLRAQKEAEQKEKDKEKRQRKKEKKNTDGETGLEVQTESIKEERTDSPGTQPKKSSQVNKYSKPKPAAIPPALRNRGKRHYCKQLMWWILAILIVLFMFLVANGSTQRKAKPVTRGDRFPGDQWQPI >KZM97541 pep chromosome:ASM162521v1:4:15644127:15644747:1 gene:DCAR_015097 transcript:KZM97541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYVVERDFVHGSHKHTLRDHVAPEPYRCNGCKMPGSKICFKCVDGLCNFYLHPECFAAEQMNTLRHPLLEDCDFEYHESPPKVAAGGHVPYCDACGLDILGFRYRCFTKSHLGNPHDLHPTCANLREEMTWDSLKLELLNNVETRCLHCENKYSTDRYIPFNGWKWVAKDQKYWGYPSCLWGRKVCFHVKCMYEIQSPGYKRK >KZM99170 pep chromosome:ASM162521v1:4:29938189:29952560:1 gene:DCAR_013468 transcript:KZM99170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLTQQPLDQEDDEMLVPHQELVEGPQPVEGPLLVEGPQPMDVVAQTESTNAVDNQAADEPQASRFTWTIENFSRLNTKKQYSDVFVVGGFKWRVLIFPKGNNVDHLSMYLDVADSSTLPYGWSRYAQFSLAVVNQIQPKYTLKKDTQHQFHARESDWGFTSFMSLSELYDPNKGFLVNDTCIIEADVAVRKVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDRDDGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNAPFKFTKYSNAYMLVYIRESDKEKIICDVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLMEQIGKDIYFDLVDHDKVRSFRIQKNLSFASVKNAYSCHMQEEVAKEFGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEEAQTVGQLREVSNKNHTAELKLFLEIETGQDRLPISPPDKSKEDILLFFKLYDPKKEELRYVGRLFVKSTGKPIEILTKLNQMAGFDADEEIELFEEVKFEPCVMCERLDKRASFRLSQIEDGDIICFQKCPQPQNEEEYRYPDVPSFLEYVKNRQIVHFRSLERPKEDDFCLELSKSHTYDDVVERVARRLGLEDPSKIRLTPHNCYSQQPKPHPIKYRVAEHLLDMLVHYNQISDILYYEVLDIPLPELQCLKTLKVAFHHASKDEVVIHNIRLPKQSTVGDVLNEIKTKVELSHANAELRLLEVFYHKIYKIFPLNEKIENINDQYWTLRAEEIPEEEKNLGPLDRLIHVYHFRKETAQNQMQVQNFGEPFFLVIHDGETLAEVKMRIQKKLQVPDEEFSKWKFAFLSLGRPEYLLDTDVVSSRFQRRDVYGAWEQYLGLEHSDTTPKRAFAANQNRHTYEKPVKIYN >KZM98005 pep chromosome:ASM162521v1:4:20300282:20301022:-1 gene:DCAR_014633 transcript:KZM98005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVCLPALYNRSSSFSSLITCLSSDSWGDLPLREDDSEDMVIYNFLRDAVSVGWTPFNFSASEAVKPEPADESKPVVTNSAPVSISVPTTSAQAVAKTEPASPASPASPASKGRHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEEAALAYDRAAYRMRGSKALLNFPHRAGSNEPDPVRITAKRRSSPEPTASGSDSPKRRKKGLTAEKAEPEAESRANVCTIECPVKQMPVGEQLLVS >KZM96688 pep chromosome:ASM162521v1:4:4194936:4212220:1 gene:DCAR_015950 transcript:KZM96688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGLVRSAASNLRHSRSYSSAVPLPSIIHKRGIDVLHDPWFNKDTGFPITERDRLGLRGLLPPRVVSFEQQYDRFMESYRSLEKNTVGQSYGVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLSVVDEFMEAVHARWPKAIVQFEDFQMKWAFETLHRYRKKFCMFNDDIQGTAGVALAGLLGTVRAQGRPLTDFANQKIVVVGAGSAGLGVLNMAIQAVSRIAGSGANPHFFLLDKDGLLTKERKGIDPAAAPFAKSVAETEEMGLREGASLVEVVLKAMRESDSIKPAIFAMSNPTTKAECTAIDAFKHAGENIVFASGSPFENVDLGNSLSPNATSTSWIGLGSLLSGAHYISDGMLQAAAECLANYMKDEEIQMGILYPSINRYAVVTGGNKGIGLEICRQLAFNGVTVVLTARDKKKGMEAVENLHQSGLADFVIFHQLDVLDSASIASLADFVESHFGKLDILVNNAATVGLILDSNAVKAAVFNAKGPLINWNEVSTRTYDTAEECIKVNYKGARKMVDTFLLLLQLSDSPRIVNVSSMTGVLKFVTNEGANRVLSDAENLTEERVDRLLQEFLQDLKEGVLETKGWNSYLSAYTLSKAALNAYTRILAKKYPRFMINSVCPGYVKTGINCFTGILSTEEGAQSPVRLALSPAGGVSGMFFSRTDVVPF >KZM97565 pep chromosome:ASM162521v1:4:15861963:15863776:-1 gene:DCAR_015073 transcript:KZM97565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPEHSMNLSWTQSFDRTRICAVNITVLQCVHVLTEVAPGIQTIANEYELIAGAGHEENPIEPVEFSFDDVRSRRINNLCEQVINSCNALHPATKKFLVDNVVDCGTKLASLRPENGQRRKKTEEILVKNGIEYDGDESKNFKVLLKLRDKTRLRADLTMQNLRDDVPTRKLCIVRSALNGVLKIEKNIGVDILVSILINPILH >KZM99582 pep chromosome:ASM162521v1:4:33193959:33194996:-1 gene:DCAR_013056 transcript:KZM99582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNLVGAKLQIILAVFLTFSANSEARLMIHNRRSSLALFHKLGAGEVKRLVPTGPNPETSPGTPPPGAGEVKRLVPTGPNPATSPGTPPPGAGEVKRLVPTGPNPETSPGTPPPGADEVKRLVPTGPNPATSPGTPPPGADEVKRLVPTGPNPETSPGTPPPGADEVKRLVPTGPNPETSPGTPPPGADEVKRLVPTGPNPETSPGTPPPGADEVKRLVPTGPNPETSPGTPPPGADEVKRLVPTGPNPATSPGTPPPGADEVKRLVPTGPNPETSPGTPPPGADEVKRLVPTGPNPKTSPGTPPPGVDEGVDEVKRLVPTGPNPAISPGTPPPGLLGSTRLP >KZM97245 pep chromosome:ASM162521v1:4:12754178:12757064:1 gene:DCAR_015393 transcript:KZM97245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCLFFLVVLLHFSFGLKQLESTTLSFNTTDAKHSIRMAKNITIEPKCQSKCGDLTVPYPFGIVSKGLKCSIDPSFDITCNNSFNPPKAFIQTGNIQVYDISDTELRVSTFMSSRCYNQPGFLNYTEARVNVEPPYIYSDANVFTVVGCDDFGSLFRDVNSVSRKGCMTTCDDAEDVDKDECSGNGCCQTSVNLDKYFRIGLSSDFNHTYNVSSFNPCGYAFLGEKDKFKFQGTSDLNDPDFRNRTKANVPIVLDWGIGKNNCIEAQKDQASYACKHENSDCINGSRSGGYRCICRDGYEGNPYLSPGCQDINECEQHTHGCEQHCNNTQGGFNCYCGSGYSIDVRDGKKCTAKSVLIANGNRIKLVLELLTGRKPISMENSGEEKNLATYFITSLKENRLFQVLDRRVVREGSMDQLQNAAQLVKRCLSLNGEERPPMKDVATEMESLRKLTKHPWANQHGTEETTSLIVDREIQHSDLYEIQLTTHGENNSEQYSSSTTVSLLHQPTTPR >KZM97764 pep chromosome:ASM162521v1:4:17616820:17621838:1 gene:DCAR_014874 transcript:KZM97764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVKIWNAMEIAEVAGESSNSEIPHSSLTVDHTLSLSDMKPRIVELYKDLFKNWSNKDESDFSIETVSGGITNLLLKVSVKEDSGNTIKMTLRLYGPNTEYVINRERELQAIQYLSAAGFGAKLLGVFGNGMVQSFIHARTLVPLDMRKPDLAAKIAKQLRKFHQVEVPGSKEPQLWNDIFKFFKQASNLKFVDNEKCKKYETVDFKEIHAELVKLKDLTGRLDAPVVFAHNDLLSGNLMLNDDEGKLYFIDFEYGSYSYRGFDIGNHFNEYAGYDCDYSLYPNRDEQYHFFKHYLKPDKPQEVSEKDLEELYVETNCYMLASHMYWALWALIQARMSPIDFDYLGYFFLRYDEFKRQKEKCLSLAESYLTKYGAG >KZM96664 pep chromosome:ASM162521v1:4:3383750:3384208:1 gene:DCAR_015974 transcript:KZM96664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENSTGDAGAAPDFSEDAYEIPDPEFYNFDGKKSLEKFEIGQVWALYSDVDGMPKYYGRIKKIDLLPQCKLHVAWLDVCSTSNDIMQCNDKKIPITCGRFQLKKLKPSEYTSTAPFSHQVRARVEIRGKKEEYVILPRKGEICDSQLTLCL >KZM97550 pep chromosome:ASM162521v1:4:15735003:15736516:-1 gene:DCAR_015088 transcript:KZM97550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLNFISSLSKNFFTYGLKGLTISDRYISVVPVRGCSMSPTFNPHCSTSLEGSTDDSVLVEKFCLQKYEFSLGDVIVFCSPNDNKEKCVKRITALPGYWVSSPFSNDVVKIPDGHCWVEGDNSASSLDSRSIGPVPFHIIWPLKRVGKLEQRIPSGRFVF >KZM97652 pep chromosome:ASM162521v1:4:16562097:16566637:1 gene:DCAR_014986 transcript:KZM97652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGEMKVVSKFGRICVFCGSSHGKKISYQDAAIQLAKELVSRNIDLVYGGGSIGLMGLISQAVHDGGRHVIGVIPKTLMPRELTGVTVGEVKAVADMHQRKAEMARHSDAFIALPVNIKLMNMSMYYVAQVGLLNVDGYYNILLSFIDKAVEEGFINPNARHIIVSAPTAKELVKKLEDYVPRHEIVASKSNWETEQLAHPQAYNIS >KZM99178 pep chromosome:ASM162521v1:4:30011348:30016004:1 gene:DCAR_013460 transcript:KZM99178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKSSATGLRRTQSDQIVGIEIMSVAMKSPSVLTDGVTGTEKGSKKLISKGGGLSALPGGVGSNSSRHTRRTRSAQMRFDADELSSSTPLSRASSASMGFSFTGPSNDIAHSRLLSDNDDDYNRITEDIEAGTLNKKIQTDPTLPLYLKFTDVTHKVIIKGVTFREEKNILHGITGSVNPGEVLALMGPTGCGKTTLLSVLGGRVAMIDPKGSITYNDQSYTKFLKSSICRIGFVTQDDVLFPHLTVKETLTYAALLRLPVELTKQEKEERATNVISKLGLERCQDSMIGGSYVRGISGGEKKRVCIGNEIIMNPSLLFLDEPTSGLDSTTALRIIQVLQDIAEGGKTIITTIHQPSSRLFHKFDKLILLDKGSMLYYGKASEAMKYFSSIGCSPLIAMNPAEFLIDLANGNLNDVSVPLKLKNSVHEGISYTECKNGKPSPAMVHEYLVEAYETQAAENENMRLMIPITIDEESRSRVYSEKREWGASWTKQFCILFWRGLKERQHDYFSWLKLGQIVITAIIVGLLWWQSGGDKAEQLHNQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFVGNVPIIISWLRYISFTYHTYKLLLKVHYEDVIQQMDGMKIDSGVTDVCALVAMVFGYRLLAYISLRRMKLH >KZM97681 pep chromosome:ASM162521v1:4:16820179:16828533:-1 gene:DCAR_014957 transcript:KZM97681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERTGIVKPVACRPTVRAISVLLSANINASTSNSTSQPVVLATRPKTVRVKPVVKLISSKDKALGTTVSSSLDRDLKVENKSTVVYKPLAKLVSKRTISLLANMENSCFQHHEALPQSDPCSPSPNQVHCHLKSENNLNGSSNKTTTSESKREIKPLQRMVAEDSSKEYKDKLLPTNTVDRPSYDGYNWRKYGQKHVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYQGEHHHSKPKLHKRNTLEGEGQGFVSGATGQESNYSLSNSNLNEKNEGSECRSDDQNGAEVPSSSSNISKGLSCCDPMKALAIGGRSISTNDLHALTRDCEEYTEGAEVEGDEPTSKRRKNKNKLYEAGIQEEGLQISNGVVQSSNDSELIGDGFRWRKYGQKIVKGNPNPRSYYRCTGLRCNVRKHVERASDDPTAFITTYEGKHNHHKPPVNNKNLVASGPDSKDNLKPSIKMKEASKEGGSDHMAARTFPFRDLAAATKNFRADYLLGEGGFGRVYKGRLENSNQVVAIKQLDRNGLQGNREFLVEVLMLGLLHHDHLVNLIGYCADGDQRLLVYEYMPLGSLDDHLHDPSPDKRRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGRKAVESTKTAGDHTLVAWARPLFKDRRKFSQIADPMLQGEYPTRGLYQALAVAAMCVQEQPNMRPAIADVVTALSYLSSQKFDPRTHPQQSSQWSPATPPRTKRDGDRRRYDDGGCERRY >KZM99324 pep chromosome:ASM162521v1:4:31116056:31117048:1 gene:DCAR_013314 transcript:KZM99324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSTIVNGVEDKTINIEDNSGDGDGSDSKVAALMLKIAALEQEKSELVHENEVVKERVNKLKGEIEESDAENEKLKSETKVLDSIAGRAAQLETEVSRLQHDLISSLNENQEVNAELSVMKQEIEELRKSDVSKSVSLEAIERERNLLLEKINKDSEAVKESNSRISDLEKKIQALEMRDSGYKSDKIRAEEEMKAKIEERDLKIRDLQNLVDEFEAVLERSNKEKKGLEIVKNDLEALLKQSERKVKEMESKMGLLSKELEGSEKLISGLKEKAIEGMNGDDVVIERGIVGDDEKGFMGFNLEWPIMAASAGAIALLAVVYLHHTKQR >KZM98546 pep chromosome:ASM162521v1:4:24942293:24952663:1 gene:DCAR_014092 transcript:KZM98546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPADVTAGVTDLRTPPPSSRLRRRLVQSTLFPHTSPDTATAGDNCDRDDDRDDDEDEEYCGGSLKKKTKKKPRKTKAITPKSRASKKVVVTNKEVPKKGVADEESPITNKSNFFVKVSEKRQQKIQQKDQSCVGSVEDDNKSSSHEDVAKCSSPPDSKANDEKSPQRRKRRCSATPENQGTSATRKKRRVSATPEKRGKNTTPKQKVVVSGSNGKSEQIRDPTQGEHTSQLIPNLRLEAKLKAEENSRIYGGRQIHPFFSSRKMVKRNQNGTDENNWSLVDKKDKSITVRPIHVFEQVKDDDFSPDWGQWVFLESKSSNCDFKNELPTFNERPVKSLHFDNLFTISGRQSNGSSDSCPIQVDEVLLHHSPNNQDCFPNAPYPVLIDEQVPLCEKSVEREANHNMSDVSMCGVDDGRGRNSTVKMIYGHDLVNQPENSLWTNKYQPKLANEICGNGEPVHFLNNWLRLWHEKGSGTSKTLVDIYRAQDADYSYTSESDFEDIEEENRLKNVLLITGPVGSGKSAAIYACAKEQGFQVIEVNSSDWRNGALVKQRFGEAVESHWLQCSAENSERPVIKNFFKSFPVSSNATTDQGISNDVTELISLSDDEISGNTRMTPTKSVVNKSKTVREPSGSRTLILFEDVDADLCEDRGFISTIQQLAETAKRPMILTSNSKDPVLPNNLDRLELSFSKPSVEELLCLISMVCSEEEVTIPPCLVKRFIDFCQGDIRKTILQIQFWCQGQSCIRDRKIFDEYGLMVFDPDAAHNILPKIISSSYTSQLSEMVDKEITKSLLEMEEASHLTEDEEDLKINDLGTLTFQPNSIDARKEAMLCCHCSDQDGNEVPSQPGTACELSDASDSPVAFGRRSVWRRTDTVLSSESREECSSDGFPAVPSKLSGDIDSEAHLEGISKAPSHCFAPEISSSPVAEELVHSDSVKVEDSCYPCAITEECVLFNGTCKSVDVSCVPESTCVAETQIYSDAVSWGNVDNTAETAFIKNNLPHSDINLNISPSGLHEIPVLVGNDYDAIPDSVIKVDEITDYHINGAEMALTKCDFSGNGIDLNASPHEFREIPVLLNNNCHSITESVQEEMADSHVECVRAFSREYQGMDECSRMNFSMRSNYKHQSSLLASDTVQETWKKLRNCHMDLKQYATLEHKDASKFLKFAHGMSNLISDADLLLSDCQLLIRDNLKPSMFQCETAHSLSWYDDQLKMVSTISEHGMCLYAKHIDAASANIISSGRVNLAREMLASATNTMALGKLVGHHKKRIQKLDMGLSRSGNLLERESAASLCSVVQSIIPTGSYLSVKGNALHEYLSSLSQISRSEANRLSESLQKSRRRVRVARNYLTTATPSLNPEDLSLLNEYNCYQKVSPQTMEED >KZM97347 pep chromosome:ASM162521v1:4:13802593:13803892:-1 gene:DCAR_015291 transcript:KZM97347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREKLMKMAGAVRTGGKGSVRRKKKAIHKTATTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFVSPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPGAGADAGAAAVQGDDDDEVPELVPGETFEAAAEETPAQAS >KZM97874 pep chromosome:ASM162521v1:4:18866319:18868686:1 gene:DCAR_014764 transcript:KZM97874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISVPSPLTKEESNLQRLLNSLTPVVPLKPIPEFHLLNDQKYCIEKFNGEWKNSEKPAVKEYFRLRDIWTCFEEWSAYGRGTEVILNNGEKVQHYFTPYVSAVQISINEGIQKVDSTVGNASCNGTTKSLSAESKTNEVLIRSSSNNSGNGKFCDSSSGSYSSTDHERKEVCLLNRHFEYYDNQNPYTRVPFFDKIKSLAQENPGLLTLRSTDLLPSSWMAVAWYPICQIPVKGVVKELDFSAAFITFHTLSSFHPDTGVDSNHDENAEIGETKASVNKKRIMPLPPFGLAALNLTGDVWLSNGHSDEERYADLYNAASSWLQEHHFYHHDFNFFANNSHVRGGTFGREI >KZM97369 pep chromosome:ASM162521v1:4:13937523:13939860:1 gene:DCAR_015269 transcript:KZM97369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDYAGSPKPHHLESNRKRLTWILAVSALCIFSYVLGAWQITSKPIYRSEMYKKADCDHAESSEAESEVAKSGSSSLSSSETTLDFESHHQLVVNNSDTTDVQNFPPCDMSFSEYTPCQDRPRGRKFSREMHKYRERHCPTKEEMLRCLIPAPPNYKAPFKWPESRDYAWFDNIPHKELSVEKAIQNWIRVEGDRFRFPGGGTMFMNGADAYIDDINGIIPNFTNGSIRTAIDTGCGVASWGAFLLKRDMLAMSFAPRDTHEAQVWFALERGVPAILGIMGSQRLPYPARAFDMAHCSRCLIPWSQYDGLYMIEVDRILRPGGYWILSGPPIRWKRYWKGWERTQEDLKQEQDTIEDIANRMCWKKVAERGDIAVWQKPLNHIECVKNRKIFKKPHLCKSDNADAAWYKDLEPCITPLPEAKNRDEVAGGAVAKWPERAFAVPPRISRGLIPGITEQKFKEDNKAWKDRMVLYERVLHPLRQGKYRNIMDMNAYLGGFSAALLKYPVWVMNVVPADSDINTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHAGGVFSIYQDRCDITDILIEMDRILRPEGTVVFRDGVEVLLKIKSIADRMSWTSRILDHESGPYNPEKILLSVKSYWTGDRNTKQKQ >KZM98312 pep chromosome:ASM162521v1:4:22813094:22814820:-1 gene:DCAR_014326 transcript:KZM98312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVMIYFRRLIRFNYAGNVFDAKFVTELPKAVFKKPKLSGYSSSCTGKRNDKSYRPVFGKRKSSYEQLDLIEREPTKSGGTIVDPNQRACANQPADMFPHSNILKGRLSDDKREPFRAAALFAEKELMGHKYSEDTGASKWTDYIGVNDSIGAKAPSGLEPKASVSSKWADYITEEDDDDNADLMIPSQKNHPGHWNDVSFCTSLCDQTAEDDIHPDFH >KZM97739 pep chromosome:ASM162521v1:4:17396117:17398755:1 gene:DCAR_014899 transcript:KZM97739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKCGCWAILRRSVSSGNCKSSDFRTSPNSIPRPSLVYDAVTETRYLNASNREMCAPNDAQNSLDNPNLESKMMRQLLQFTFQELKSATGNFRPDSILGEGGFGFVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVVSRLSGRADKRKFYQLVDPRLEMNYSVKGVQKVSQLAYNCLSRDPKSRPTMDEVVKVLTPLQGLNDLAILSYHTRLSQQGRRKKKPDGPHQHNYNQSRSMRGSPWNTGKQQHIK >KZM98993 pep chromosome:ASM162521v1:4:28582954:28590315:-1 gene:DCAR_013645 transcript:KZM98993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLQSSPADSDCAKAAFRKPSNDVVNRKYRRRSPVDGSSPSDGSPNGARESSPALPKENSEKTYDDRRKQDDRRDLSREYGRSQYGRTNDSHKYYGRHSSRSTDGYHRYDDYKREKCAEEDDRKYPRSSRSGRDRAGDHSDYTSRDSQQNRSRGDRHDSDKYTRGKTDYSGHRSRERYGGSSPVEYQKDRGSSPDRVGSGDRQTNLSSGGKSADLDKNRGTKHERDDRRDYQRRHNSTSRRDNSGPQVRETSGGEYKESDRLKHSKQEKREVLERSEGRASFSSESLEKKPKLYGFDGSKDQGKYGNEKELLTSEQAQTCISKVTPDQGVLKDSDIDAAKVAAMKAAELVNKNLAVTGGLTTDQKKKMLWGSKKSTTVEEATHRWDASQFLDRERQEKFNKLMGVKGELKVEQKPNIQEGDVVQSEKQSQLQLDLEKQYTAGLRRRDGRTVGLVEVMESEMGKILVAWLFGALFLMFMYCFKRSRDNASLVRFPMLYMDEVGKENYLLDLPDLALDSILMKLSPANLSRMSRVSTTLRGMCTSDHLWERHLKRKWGGLIGNLAYKEWQWSIASRKHQITIECSKKVGLVASFWSNKSGNRGMLSSTLPVDSIMALYLSLETGKFWFPAQVFNRENGHVGFLLSCYDAELSYNRFWGNFRARFSSEGRPTLEPNIDWTRLRAPAVEAGPHTLHPSDCLDYLKPGDHIEIQWKRTRHIPYGWWYGVVGHLEPGERCRICCECHRSDKVVLEFNQYDPGSRWRETIIRRSNHREIGDGLHGFYGGIRKLYNKYEISMWQQQRQPEAM >KZM98032 pep chromosome:ASM162521v1:4:20526066:20528770:1 gene:DCAR_014606 transcript:KZM98032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQESVVSLILASVGALLLVVAATIVALLVSYVIQAYSQKNRPPVAGPIFSQLLNFDKLFDFLTYHAKKNITFRMITPTHSEIYTADPVNVEYILKTNFPNYGKGAYNLGILYDLLGEGIFAVDGDKWRHQRKLASHEFSTRVLRDFSTIVFRKNAAKLVSHISKAANGKEVINLQDLFMKSTLDSIFKVGFGVDLDTLSGSDESSNRFMKAFDDSNNLVFWRYADLTWRIKRYFNIGFEASLRQNIVVIDNFVYDLIQRKREQMKIGKLDGGKEDILSRFLIESKTDPENMTDKYLRDITLSFVIAGKDTSAGTLTWFFYMLCKHPLIQEKAAQEVRAATQTENNSTDEFHDKLTEAALDKMQYLHAALTETLRLYPAVPVDGKAAGEDDTLPDGFKVKKGDGVNYMAYAMGRMTSIWGEDAEEFRPERWIDNGVFKPESPYIFTAFQAGPRICLGKEFAYRQMKILAAVLLNSYKFRLANENEEATYRVMLTLHMSKGLYLYAIPRQ >KZM98954 pep chromosome:ASM162521v1:4:28276572:28278015:-1 gene:DCAR_013684 transcript:KZM98954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGEKNERTACKLAEADLFLKWGNRKRLRCVRVRDRPSHLPSSFPDGRLRRRITSRFLTFPDTKHTFTQQSTRLTRNSEAATHRSEKRKLSPEKDDKHYSTRGSGLGLDEKGENGKIVAGENGGEESKSKGAVWPKLYISLSSKEKEEDFMAMKGCKLPQRPKKRAKMIQRTLLLVSPGAWLTDLCQERYEVREKKTTKKRARGGGLKAMGSFESDSE >KZM96697 pep chromosome:ASM162521v1:4:4580272:4586781:-1 gene:DCAR_015941 transcript:KZM96697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSHASPDSGTEEVEIHNCVVRKRVNPRKRKDKVYVGCGAGFGGDRPLAALRLLQRVEELNYLVLECLAERTLLDRYQAIKSGGVGYDPRIEEWMHLLLPLAVERGVCIITNMGANDACAAQKKVLETASTLGISITVGVAYQVEVSKAGVDHQMESFNDGVSVYLGAAPIVECLEKYRPNVIITSRVADAALFLAPMVYELGWNWDELQLLAQGSLAGHLLECGCQLTGGYFMHPGDRFRDIPFSDLLDLSLPFAEVGYDGEVCVAKADGSGGVLNFSTCAEQLLYEVGDPGAYVTPDVVIELRDVSFCSLTKDKVICSGAKPAAVLVPDKLLLLVPKDSGWKGWGEISYGGCECIKRARAAEFLVKSWLEEIYPGVSNHIISYIIGLDSLKTSHIDDRMALERSSSDIRLRMDGLFKQEDHAIQFTKEFMALYTNGPAGGGGISIGHKKESSLEKALVSREHVYWQIAAENISVNLNNQIPCLQEFKQDHAKKNSISISIQKETISRSSEQLSLSEILSSPALSGQPIPLYSVAHSRAGDKGNNLNFSIIPHFPPDIVRLKSILTPKWVKEVISTLVNPSSFPTTDDIERRDKWVNEHIAVEIYEVGGIKSLNVVVRDILDGGVNCSRRIDRHGKTISDLILAQVVVLPPESI >KZM96944 pep chromosome:ASM162521v1:4:8967692:8970667:-1 gene:DCAR_015694 transcript:KZM96944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRVSTRSCPGFRFSPAEEELVLHYLRRKIEGSKKCDVISEIDAVRHEPWDLPEKSATPSDNEWYFFSPRGMKYTKGGQIRRATQFGYWKATGKQRDVKSGARVVGSRRTLVFHKGHAPNGKRTEWIMHEYSMSGTPQESFVVCRVRNRKNIELKCNDIPPIELNQSLSGESSISTSVSNLLHTANNVDHMGMFQELTTAYQCNNPHSVDHFDSEYDKKSKGMVLRHESCSLPKFQCTEGATENSFADMLNDDIMMLDDSSFANHLGNDMLNEEFMDYKFEQPKESFPSATLATPTLQETSPPIIIIRSRKGNKPRNPLPFQGTAPRRIRMKCQYMDEPSVEASGIRDEMYQNVAESAEFPRCLLTTVLDITVNHRHVTVFVVLFLLVSVSGLFTNFVRQLH >KZM97715 pep chromosome:ASM162521v1:4:17166199:17167356:1 gene:DCAR_014923 transcript:KZM97715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLPGVESARRRRCHGTSAGWADSQSSSIFESSNSSRRSSLCLYSTNHSSHFNLLQKRTVNKYQQQQEHEEDEKLGGAAREAKERLDERLRSQYRKLEPKSSKSHSYRPPSFPTKFQLIGKLTQRLSQTGSFFKILLFVLVDSKS >KZM99024 pep chromosome:ASM162521v1:4:28822339:28824135:-1 gene:DCAR_013614 transcript:KZM99024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYPQNNPSGYNYGAPPPAQPYSAAPYGAPAQPNPQAPYGAQPAPYGQPQHDPNKPAKNQHSQPSAPYGAPAPGSAPYGGPAPAAPYAQPQPGYPAPGAAYGSPFASLVPSNFPPGTDPNVISTFQMADRDGSGFIDDLELQKALTTYRESFSLRTVHLLMYLFTNSNTRKIGPKEFTSVYYSLQSWRAIFEKNDRDHSGKIDASELREALMSLGFVVSPVVLDLLVSKFDKTGGRNKAIEYDNFIECCLTVKGLTEKFKEKDTAYMGSATFTYEAFMLTVLPFLIA >KZM97109 pep chromosome:ASM162521v1:4:10900557:10903563:-1 gene:DCAR_015529 transcript:KZM97109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCCLLIIVPCSGAQPDYIYHICRNRTTDSFNSLFQVNREVLLSKLASNAAENQGYYNYTASDQNSPTTKDIRTRCPNEDLGIIWYGECMARYDTKFLFSSFDQEEEGLAVLMYNTRNVTDEGQFQRVLQSTMNKLKARVSKGIPLNSRTIKSFALGSTNLSSLETLYASAQCVPDLTEVDCNRCLNVATNLLQDHNNSEGGSFVSSCTVRYELYPFYRNIAVEPSPYDLPPPPKTAPTSIRRATGNGGIRLKVIVAIVAPVSVSLLSCLVYCIVFRKAKTRGATPQQEFGDDEISTIESLRFELDNIKAATNNFSPDNKIGVGGFGDVFKGVLADGKEIAVKRLSKSSCQGSREFQNEVVLVAKLQHKNLVRVLGFCLQEDEKILVYEYIPDKSLDNILFDPERQRQLDWATRYKIIVGIARGLVYLHVDSRLRIIHRDLKASNILLDENLNAKVSDFGMARILGGEQTQGNTSRIVGTLSETQALGELISDHPASNSATLSVDDSSITGIYAR >KZM96820 pep chromosome:ASM162521v1:4:6961630:6962226:1 gene:DCAR_015818 transcript:KZM96820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLVTKITTDKKVVAKFDRKEAHIKTLDDLVNVNSLFTLAVFVGLSQASPGIRSLENRGDCNAGPGVAKMLVLYEVVAFACFLLSSLVAKVLKLVLSMDGIRFKFVHSGFDLKDFLLVLAAGASVSGIILLTLSVVNLVQIRIGLYSCGSAEARRAIWALCTIVAIALIIYVVSISFAIYASITGDPSEENTDEMV >KZM97468 pep chromosome:ASM162521v1:4:14971133:14972343:-1 gene:DCAR_015170 transcript:KZM97468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSETVTEQDTDSKKWQEALRKMLPAGAPLPDEDQLDYSIAVEYDGPLPLPTASIPTVDPVSISRFSRFRKLQNGTAKSVVSRPSRASNQFDNDSSSNTNSISVSNANLSDQQFDSCSDEFENDVDVDSVENSTNLANSVNSGSSENEGEKPDRIISSKLNIGGKLQLDASNGNTKVFINGREITKVELRVLKVLPVHSCSHQHSIPTYNID >KZM99142 pep chromosome:ASM162521v1:4:29752032:29753845:1 gene:DCAR_013496 transcript:KZM99142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERNAAHIGFLSQKFMFCLFTFVSVLFIFSWLFLLRSTARPRSVDRSVFSNSNLFSVFSDRSSKPGTPTDTKHESGTLRIRDSSRKRNFSSCETILKVFMYDLPPEFHFALIGWKPEGNSIWPDIRTKIPPYPGGLNLQHSIEYWLTLDLLSSEFADDLEGRNAVRVYDSSEADVIFVPFFSCISYNRFSRLKPHQKGSINKLLQEKLVKFLTAQEEWKKSGGRDHIIIAHHPNSLLDARNQLWPAMFILSDFGRYPPGIANVEKDVIAPYKHVIRNYGNDTSDFDSRPILLYFQGAIYRKDGGTARQELFYLLREEKDVHFKFGSVQKDGVSNASAGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDILDYSEFCVFVRTADAVRENFLMNLIRNIGKDEWTRMWAKLKEIENMFEYRYPSKDDDAVQMIWKAVARKVPSIKMKVHQSNRFSRFEPHKAKPIPSSLLPRNFQ >KZM96770 pep chromosome:ASM162521v1:4:6032007:6034169:1 gene:DCAR_015868 transcript:KZM96770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHSRSNSVTPPTEFDETHVVNPVYNPGLTRVSSGPDCTSLISDENLLCILSKVDEKQLGVCGLVCKRWEKLSGKLVRSLKLLDWEFLDSGRLIYRFPNLVDVDVVRACVKRSHSSGIVLSSNFLSVELNSGVLNDGFVKKLDLLDSGVVDQGIRVLVEGCLSLQKLVLVNVSEEGLSCVAEGCKTLQELELYCCCDFVLRGISKCRNLQILKLVGCIDGFYDSVVSDIGLTILAQGCRRLVQLELVGCEGSFDGIKAVGQCCQMLEELTLCNHRMDGGWLAALSYCGNLKTLKLKSCKSIDSCPGPDEHLSYCPTLEELHLQHCQMRDKQGVRALFIACQTVRDLVIEDCWGLDNITFAYASICRRVRLFSVEGCSLLTMDGLDPVVLAWKELNRLKVISCNNIKDGEITLELATLFSSLKELKWSPDSRSILLSGLAGTGVGQRGGRSFSRK >KZM98690 pep chromosome:ASM162521v1:4:26132544:26141612:-1 gene:DCAR_013948 transcript:KZM98690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILNLFLAASMPVLKVLLVTAVGSFLALDRIKILTEDARKHLNTVVFYVCTPALILGTLAQTITYDSMAKLWFMPINVFITFIIGTLLGWLVNLVTRPPPHLRGLVIGCCAAGNLGNILIIIVPAVCKEKGSPFGDPDVCSTYGLAYTSLSMAMGAIFLWGYVYNVIRLSIEVSASSVSECTTITGTAEPESFTEPLLSPKEYNVSEDKADSLPCTRFDGTQVGVADKLKQNVMNISRNLNLKKLFSPSTNAAILGFIIGLVPLLKNLLIGDEAPLHVIEDSIVWIGDAAVPVLTLIIGANLLKGLQASGIQKSMIVGIIIARYIALPIIGTLIVRTAVRFNLVSADPLYQFVLLLQFAVPPAMNIGTITQLFGKGESECSVIMLWTYVFATVSLTLWCTFFMCQVVGVIGLMRLFSLFVAASVPVVKVLLLTALGSLLALDHINVLSPDARKHLNTIVFYVFSPALITSNLAKTITYESIIKLWFMPLNILISFVVGSLLGWVVNLLTRPPPHLRGLVVGCCAAGNLGAIPIIIVPAVCKEKGSPFGDRYACNSYGLTYVSLSMAIGAIYLWVYVYNVLRLSIQAIPEELSVSSIGKCTMEPNIAEQGSLIEARHSPIRFVRSEDHADALPSTRFDEEPEHAMELSGKLNLKKLFAPSTNGAIVGFIVGLIPPLRKSMIGDSAPFHVIEDTIILLGDGAIPLLTLIIGANLLKGLRSSGTPKFMVFGIIVARYIVLPSVGIGVVKAAVHLNLVQADPLYQFVLLLQFALPPAMNIGTMMQLFGKGESECSVIMLCCYVCALVSLSLWCTFFMWLVG >KZM97092 pep chromosome:ASM162521v1:4:10703527:10708512:1 gene:DCAR_015546 transcript:KZM97092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRNDRDDHHPRHHQSPTPSKQGKAPINSVDTNSVTQRLQKELMSLMMSSDAGVSAFPEGESIFTWIGTIEGGRGTMYEGLSYKLSLRFPLDYPFKPPQVKFETMCFHPNVDQYGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNIDSPLNSSAAALWNNQEGMPICIIAVTKAH >KZM99857 pep chromosome:ASM162521v1:4:35382807:35382965:-1 gene:DCAR_012781 transcript:KZM99857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGQIIRVKHPLKPPTQRCVEFRLPDFLTCSSSSTIKANVVLCMGEKLTEL >KZM96705 pep chromosome:ASM162521v1:4:4657257:4659997:1 gene:DCAR_015933 transcript:KZM96705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNNPRSVTGLQVKGLMLKPMKIREGRELKKMSGVLKSSPKKTVKPVVQRRPMTRSKTASEGVRVEEPDHADTLSSPAPPIEKWSKVANGELVPPPPPLPTNLQHLKHIKKMNVNEGVGCMAAYTKLQESIKRREEEEKEREIELMNNAKAGENQEGTNVKKAGDNLEEEVSDHDSVELDFDNHAPNWLLGRSGRTRKTMLDKMQKREKNRSEELIKLREELSREMEEKMNRKLKNILEKIVQMTSLQIDIDELLADDNTDHGAEAELDGNEAEGAT >KZM98752 pep chromosome:ASM162521v1:4:26635821:26636213:1 gene:DCAR_013886 transcript:KZM98752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVMKFVTKSVGEYQYPWREKLMKHQEDLSKGVWGYWHLGAWKHSSISARHRARVRKEALLAGEDWPYDPKRKEMRTKRKGHKCDRISAEKRANTAELMQKMPQMLADFKKRRWERKMNEEDAAAKKT >KZM98923 pep chromosome:ASM162521v1:4:27997543:27998721:1 gene:DCAR_013715 transcript:KZM98923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDNEQEGHVHVHTHSSQGHAHGSALLSQDEQRKLSDLTRHRIISQVLELGIIVHSIIIGVTLGASESPDTIKTLLAALSFHQFFEGLGLGGCISQAKFKSLHSTIMTVFFSLTTPMGIAIGIGISNVYSENTPTALIVQGIFNSASAGILIYMALVDLLAADFMDQRMQSNARLQVAANISLLLGAASMSVLAIWA >KZM97893 pep chromosome:ASM162521v1:4:19082549:19089336:-1 gene:DCAR_014745 transcript:KZM97893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGELTKAAIVSPKLQNPIYLSHSYRVSIYIANDLQQELPSPPVQQLKEQAEVEEWPQKSLNEKQQEYQDLLIRCIAQPLGFSKGRPVAACIIYKCLRHWRSFEVERASIFDRIIQTIGQAIETQDDNKTLAYWLSNASTLLFLLQRTLKASAAAGMTPQHRRSPSTLFGRMTRESDVSGQRAVNTI >KZM97655 pep chromosome:ASM162521v1:4:16597978:16598433:-1 gene:DCAR_014983 transcript:KZM97655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKNYLYFGSEKINPVSSDFEFDESDVWNTGQGVSSEPKRSFFGARAPKKPAKRHDHCNDHATDVSSRSLPVNVPDWSKILGSAYKNRTVEIDDENEGEDGDERVPPHEYLARTRGASGSVQEGAGRTLKGRDMRMVRNAIWKQTGFED >KZM99209 pep chromosome:ASM162521v1:4:30253465:30253995:1 gene:DCAR_013429 transcript:KZM99209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKKLTVRNVTVNLGCGSCSRTSRLLSNIFNPKPKPIHKPLPSFRQAHNPSYSSTSSWQTADTSTYYSDSTDSDIRSLRAVQGFGKIGGESLAVEKDSEDPYLDFRQSMLQMILENEIYSKNDLKELLNCFLQLNSPYHHGIIVRAFTEIWNGVYTGRAGSSTNMHGVYKSRDY >KZM97341 pep chromosome:ASM162521v1:4:13729577:13729753:1 gene:DCAR_015297 transcript:KZM97341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCIVDALRSCLLIAMHHLEHPHRESGRCFSLLEKSKNVAHLKQAQGQEITRNTTKG >KZM98417 pep chromosome:ASM162521v1:4:23787519:23789440:1 gene:DCAR_014221 transcript:KZM98417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLELWFNKLISGEESPIQCDNYLDASESFCFTTGGLFLQQSLPFASLRTSPDHASRYFSMTCRSDVFGCGSRRRGMFMSVSLSSVKASVECVDQEPGNILRKNGDRNISEDEMMAFKMVDEGEKVKKEKVKLRGGASAFNTTKHLWAGAVSAMVSRTFVAPLERLKLEYIVRGEQKNLIELIKSIGASQGLKGFWKGNFVNILRTAPFKAINFYAYDTYRNELLRITGNEETTNFERFVAGAAAGITATVLCIPMDTIRTKMVAPGGEALGGVIGAFRHMIQTEGFFSLYKGLGPSIISMAPSGAVFYGVYDILKSAYLQSPEGKQRIQHMQQPGQELNAFEQLELGPIRTLMYGAIAGACAEAATYPFEVVRRQLQMQVRETKMSAVATCFKIMDQGGIPALYAGLTPSLLQVLPSAAISYLVYEFMKVVLKVES >KZM98932 pep chromosome:ASM162521v1:4:28086926:28098388:1 gene:DCAR_013706 transcript:KZM98932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHPAVLRNSPPPPPPPEPLPGGCQISCANCDEVHTVSRSVSEFVCFGCGLVQAIGRADEVSSGDVELTHPLPPEESDEGTFHDVKFSKHSSQQEDVTCPPPPREVKEGFSGAPKLSNPPPPTEDVNEVAIEVEREEDSGGVAGETFTDYRPPKLSVGPPHPDPIVETSSLSAVQPPEPTYDLRIRGDLERTEALSCLQIETLVYASQKHLQRLPDSTRAGFFLGDGAGVGKGRTIAGLILENWQYGRKKALWISVGSDLKFDARRDLDDVGAKFIEVHALNKLPYSKLDSKAVGVKEGVIFLTYSSLIASSEQGRSRLKQLVQWCGKDYDGLIVFDECHKAKNLVPEAGQRPTRTGETVLEIQALLPEARVVYCSATGASEPRNMGYMIRLGLWGTGTSFLDFPDFIGSLEKGGVGALELVAMDLKARGMYLCRTLSYKGAEFDVVEVPLEDKMMVMYNRAAEFWVYLKEKLESEIALLTTKMPKNSQLWLLYWGNHQRFFKHMCMSAKVPAVVKICHQALAEDKCVVIGLQSTGEARTEDAVAKSVNGIELDDFISGPRELLLKFIEESYPLPEKPASSSGEDGVKELQRKRRSVTTGVSYTERTRKAAKLQDESDTESETDSESESMESDDEFQISEISDSEPESTESDDGFHESMESNNGFHICEICNSDTESDKLLQCSRCKQVMHPACLVPPVTGSVSADWSCLLCEGKAEEYLRQKRKSIAQQLERYSKAAERKSQILDAVRSLDLPLNPLDDILDQLGGPDKVAEITGRRHRLIRERDGNGVRYQPRNSLLFSKLGGERRFASIIAKRLESLGALTQGDRRAGPSLRAYNYDSPYGKRALAEFYDRIVNQVKYEWLIPVLQSSLLDIPPGFSIEKPNTIEEFIIKGKAALASVGLVKDNSYVDDKDIHNVARFLNRLLGLQPEMQNLLFEFFVSILDLFVQNARLEGQFDSGIADLKANTIKLKGLPKAASTLLDEKKKDMIGSSRIGFYESRREWQGRRHYLLAFQGKLKSLKKARTCWENEFRVSSKQCMHGPNCKLGDYCTTGRRLQEVNVLSGLILPVWATIEKTLANQVRKSHRRLRIVRVETTTDHQRIVGLLIPNAVVNSMLKDLVRGQDINDSLALEDK >KZM99312 pep chromosome:ASM162521v1:4:31017066:31020175:1 gene:DCAR_013326 transcript:KZM99312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLFGCFQLRDDRRRPSHLVSQSHPSIAAEPVLNRSRKRLGALLRENDGSEERGGSVYDEKKLDVAKSVEQDFDVEGLRDEAKFLKACGALPATPAEIRKSEQSLPNHDSEDSKFHSWLPDASFQKLNLDMQCNQSPMPANRYDGWVTGSDSLDCTPSSCITSGQNPMWGSASTSDATDTANSGMGIQVHGDQTTFDQKRNKSMQFHCHSDESSISSKGYSEVSCPSLNRSELVGTESLSRSPYPTPLQLSDDMQTPGTVFPSYIHDMENGKNPRIRSQYVHSLLNPVENSSQWNMLKEEDSESSQLSSSLTESLEQGDKTTHQEVGMMPESVEKDTKVDESLSSWLKPVNKNGNNQRTVSFASEKVYYGKNLGDRPIIGMVAAHWNEEEPPRVEPKWWDGNGIPNSTTKYKEDQKVSWHATPFEERLEKALSEESSITQRKTRSGTPVKFNEKEECDTAISQIKSSTHFNSVVSC >KZM97940 pep chromosome:ASM162521v1:4:19614246:19619060:-1 gene:DCAR_014698 transcript:KZM97940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVGPGLHYLSGCSKAHFPASPRSVIKLKVQGRRIRLNAAMTTPEPSSKSITHVVFDMDGLLLDTEKFYTEVQEMILARYNKTFDWSLKAKMMGMKAIEAAKVFVNETGISDSLSAEDFLVEREETLQKLFPTTDLMPGADRLIRHLHANKVPICVATGSKKYHYELKTQRHQELFSLMHHIVNGDDPEVKQGKPAPDIFLAAARRFEGGPVDPKKVLVFEDAPSGVLAAKNAGMSVVMVPDPRLDSSYLDTADKILKSLLEFQPADWGLPPFVDETA >KZM96704 pep chromosome:ASM162521v1:4:4643220:4645166:-1 gene:DCAR_015934 transcript:KZM96704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFIKENSDLAEKKSSKEFNEGENKVLTNNKSHRGSYDRDIALSELDKEKTLSFINAWEHNQKAKVENKSQKKLANVNSWENNQKAKLEAKLKRVEQALENKKAEYAEKTRNKQASIHKEAEEKRAMIEAKRGEDILKTEEMAAKHRATGHVPKKSYGCFGSYK >KZM98682 pep chromosome:ASM162521v1:4:26060711:26063143:1 gene:DCAR_013956 transcript:KZM98682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQRMLQRSLFLNTRASLRRSLCSTTDPTQLQNLQPLVSDQHRHEQIKLLQTHLQNHNTNKAKRMLKCLVLSKTPFTSPSQIYSMFTPSSHPVKLTFLNLLLSVCAECKLANDALECYVLVRKDGVFPALWAFNLVLECLVGACKYDKVLQVFDQVVELGVEVDGFSFGKAVQAAVKGGDLKRGLDLLEVMKRDGMVVGGFVYNVLIGGLCKERRVRDARKLFDEMTERGVVANKVAYNTLIDGYCKEGDLEEAFRVREMMKRSNVEASIVTFNVMLNGLCRAQRVGDAEKMLREMEEYGFAPDGYTYSILFDGHLRSGNANASLDLFEETVRRGIKINDFTCSVLLNTLCKSGKMDKAEEILKKLMESGLVPTEVIFNTMVNGYCQAEDMAKAISTIEQMESTRLKPSGITFNSMINKFCDLSNMVEAEEWVKRMIQKGIPPNLQTYNILIGGYGRKCQFDRCFQILEEMETSGLKPNVLTYGSLINCLCKDGRHLEAELMCEDMVNRGVLPNAQIYNILIDGYCTVGKLNEAFRCLNEMSEKKVVPNVVTYNTLINGLCGKGKVVEAEELASQIPSKGLYPDAFTYNSLIAAYAKVGNHIKGLELYEKMKASGIRPTLVTYHQLITGFTKDGPAMAEELFQELLDNNLTPDRFIYNKLIRCYAEHIDFQRASAMYSQMIDRGIPPDCYTYNSLILCRLKEEKVKEARELFDDMRNKELVPNPDTYNTLVRGHCRVKDFSGAYHWYREMFEKGIFPGVRLCDELISGLRDEGRLKEVDIICSQMSVKGLLDSSTAEDLSAVANYNVSEQ >KZM99029 pep chromosome:ASM162521v1:4:28856920:28858320:-1 gene:DCAR_013609 transcript:KZM99029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDEATKSHEVIVVMVPFPAQGHLNQLLHLSRHISSYRIPVHYVSSTAHTRQAKSRVHGWDPLSISNKMIQFHELETPPYESPLPNPHTATKFPSHLQPSFDAAMFLREPVARLLSALSPVAKRVVVIHDYLMGSVIQDLASLPNGEAYSFHPASSFIFSCYIWPIVGKPEEIDDEILQQIPSTESTTPPEVMEFTNRQMEHQKYSSGAIYNTCRAIEAPFLDVLAKVNARQWAIGPLNAVQICNTSNNQHQDKCLEWLDNQAPDSVILVSFGTTTCLTDEQIHALAIGLESSGQKFIWVLRDADKGDIFTGDDWARRDELVESSTIEKSVRRLMASRDGEEMRKRAVELGSAVRRSVAEGGDTRREYDDFIAHIRR >KZM99342 pep chromosome:ASM162521v1:4:31237184:31239546:-1 gene:DCAR_013296 transcript:KZM99342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSIPNRNRKRNETVKFLERILPHCNAEELKNIEERNKGIDLSHLTNYLWQNFYQKRFGQRSFKSVVEKISSKNVSFRWKDLYEAKLKNVEEAEQKSIERMRQLYQNEDAQKKSRQVKPCTKVPPSSHKRNCYGGINIYGITFRVMMNEHGLQL >KZM97849 pep chromosome:ASM162521v1:4:18356007:18357347:1 gene:DCAR_014789 transcript:KZM97849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGAGNKDDAFYRYKMPRMITKIEGRGNGIKTNVVNMVDIAKALGRSAAYTTKYFGCELGAQSKFDEKSGASHVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMVTLKCAACGHLSDVDMRDKLTTFIIKNPPEKKGAKDKKAMRRAEKERLKAGEALDEEQKKLKKEAVKQKGSTKDGSSKASSKKKVNVSDEDKSPAGSQADEMEAVGGKDDDDDVQWQTDTSAEAARLRIQEQLSAVTAGMVMLDTTEEKPKSGKSSPVREEKPKVNGQSNGAANASTLHEKLVLEIKDYLKKGSSASKFKPFLDTLSGSSQQVFDAIFEAMFDGAGKGFSKEVTKKKNYLAAATRDEGSQLVLLNAFEAFCGKASAEAVKEVALALKVLYDSDVLEEEFIVEWYEKGLNGNNKDSLIWKNVKPFVEWLQSAESETEDE >KZM97964 pep chromosome:ASM162521v1:4:19855724:19858935:1 gene:DCAR_014674 transcript:KZM97964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLDEYQLYWETNSYYHAEELGSLLLDDTLSAYYDSSSPDGGQSSTASKTIVSERNRRKKLNDRLYALRAVVPNITKMDKASIVKDAISYIQELQEQERRIQGEIAQLESMASKKQDLCSEDSKQADQTSSCKKKKKTVHEPQQLCSHDSGGSRSSSSSSPIEVIELRVSYIGEKTIVVSLTCTKTTDTIIKLCQVFETLKLKIISANITSLSDTLLKTVFIEADEKEKNTVKSEIETAVAAHNHPDSPMSC >KZM99113 pep chromosome:ASM162521v1:4:29513461:29516158:1 gene:DCAR_013525 transcript:KZM99113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITVHKRSDQGEVIFQTSICSICMNPRPHMGPLREFTYSDLHYSTSGFSVRSGLPHTRKVFYRGVLSDGVQIFVRKHALGTITEMEFKSRVQMLGKVRHENVAMLLGSCSDGPEKLLVYEYVCNMTLNVHLTNRSRELTWERRMKIARGIAKGLEYLHSKSFYGSMRPNNVLLTHDYDPLLANFGLARNQYEDLNQSSETRVMKTFEYLAPEYEESGIDSSKTDVYSLGVVLLELITGRKTIEETEGKSFLRWARPLLKDKSYGELIDPVVLESHDLHQLFWMVRIAEKCINRDPGKRFSIHKVHHFSKKSKRTTNLKRIKYGESEKPDTLIM >KZM97290 pep chromosome:ASM162521v1:4:13197824:13198396:-1 gene:DCAR_015348 transcript:KZM97290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSSKPDFSHHNPLYEIKQDDKFFTKLVTKETSMANPSFRSGLSVAVPFVWETQPGTPRHKLFPDPDSLPPTLTPPPSYYSTPTPNHEKYHDSKKKSKSKLLNFLLLRIIHFKKGAQVTMSPTSPFSFSTSHSSSSSLSSSSRSGNSSVPTKIGRGRRRLLSMGSLLDDDDFQVSAPRSSSKSVLCFRS >KZM99023 pep chromosome:ASM162521v1:4:28814917:28815195:-1 gene:DCAR_013615 transcript:KZM99023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFRGGGNEVSDARSVDVNASCGSSTKAAIFLDDAIRAGGFGARDDIGSFLPVASDFTDFEASLRDAQDYEESKEEIGRPGLGWAEVKNQK >KZM98881 pep chromosome:ASM162521v1:4:27624633:27626234:1 gene:DCAR_013757 transcript:KZM98881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQMNSSAADQQAGGSSSSPGDVNLSKTNCSVCNKEFVSAKALSGHMRVHGSATKKQQGLEDVIRARNQPILKKQRNRSRSDSQSLLPTLHPCDHCSKVFRTAVSLFGHMRCHPERAWRGMRPPSQELPTWRVKARRGRRSLKNQAEEVSWSYVDLDSDEDEVDDEERRRTLDGVNDLLLLKNSGSSGSSGLSTLAAAAKGNSYREEGFDEMRSVGNGFGEQVGKKRRGNVDDYPVVSKMTREVGGSSADHKMRDCIGFVHQEGNAVGNNSDAEDGSDGINTELVIGNKTRDKDNHGDLAIGNGKSKGTRKVRLIKELMITQEKPPAVGGSGSSAQAAAAIASEKYKCSTCDKCFSSPQALGGHRSSHYKLKVTVINGTDSVPDKHPIQISSSVSAFAPPAQTLVSNEELNKSHQQQPLKSEECATKGNYFDEVGGSSKADPDHFSSTVYQCTMCYKEFPSGQALGGHKRCHWAALADGQTEAEVPPLVQAGTSGEEAGTQAGGKRIRLMGVDLDVPPSLVPDAEDLVAAGWY >KZM97436 pep chromosome:ASM162521v1:4:14677669:14678782:1 gene:DCAR_015202 transcript:KZM97436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTLTNAFALLADQQGKDATSIIALKTAELQKIAEKNKKIAAEKKKAEAKIKNKKNKAKGEIGDGQANEEHKTIDEHSGIRVAREEEKEEEARRLLAMDKEKKEEEARRRDKEKKEEEARRRECWLARQAELAREREERRLAREAQLARQAEREEQRLAREAELARQRQERWLAREAELARQAERRARKREERRLAKEEERKREIQERRRKEMAMKTLREYEEEKKAFEKQKADKEILNLKEEPLKKDSNIKKHGKVRKAMNIEEFLKLPTGGEGSEGQDGGNQESASSDENNSIKVKAGHAPDFSDEESFPLLGQVSKPAKVY >KZM98888 pep chromosome:ASM162521v1:4:27683341:27686201:-1 gene:DCAR_013750 transcript:KZM98888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLPFFCSRKLDPSKRFGELDEELSGVQGAKVYSYKELKIATDDFSEANKIGEGGFGPVFKGKLKNGDMVAIKVLSAKSAQGTQEFLTEIKVIADIEHENLVKLCGCCIENNQRILVYEYLENNSLAQTLLAKLIPDNMTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGVLLMEIVCGRCNTNTRLPVEEQYLLERTWDHYEKKKLFELVDVFLNGDFDAEEASNFLKIGLLCTQDSPKLRPTMSTVVKMLKGELNCNDRTICKPGLISDFMDLKIKSAPKPEPAMNKNSCTNTSSGLYSNDTTFSSKDSSHSTQPTMTFTAISDRSD >KZM97036 pep chromosome:ASM162521v1:4:10021890:10023032:1 gene:DCAR_015602 transcript:KZM97036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEGEMRKGPWTEQEDVQLALCVNLYGDRRWDFIAKVSGLKRTGKSCRLRWVNYLHPGLKRGKMSSHEERLVLELHSRWGNRWSRIARKLPGRTDNEIKNYWRTYMRKKSQEKKRTKLSSPSSSVSYNSSYSSSSTISSTKIKDTDERNFFDTGGVAATGLSDAAEIQKGEYSMDEIWNDIVSSDDDEIKPVCPTLVPPIWDYCQDSLWNTDQGKDTDMFLHTSEPFYSLFGPEETFFAG >KZM99570 pep chromosome:ASM162521v1:4:33108396:33108575:-1 gene:DCAR_013068 transcript:KZM99570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNACSDRGIADISGQVDLNMLLYALAQAGQHAELLLVKLQHPSSSSNNSYLWRRFFRNG >KZM98066 pep chromosome:ASM162521v1:4:20840167:20844758:1 gene:DCAR_014572 transcript:KZM98066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDTETSSGVVDSTVGAIVWVRRRNGSWWPGKILGADELSASHLMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIDKAEASQGMPPKKREKYARREDAIIHALELEKHFLEKQYGKLGSSNYKSNIKKELATSAESMRHDNGKLTEPKSEQPTGGLDLPHGGIISPHLQIQEVREAKQLSGDDDKADVLPRMRGLEDIGLRTTTKSMIIPPIDSTGQLKSPLGDGSHAPFNGDATENTSHVNDKISLEKRKRSNEGFDEESLVKRRDKRRPLVQVLESSAKLPAPSSLKPEGGIVSTYIQVEEQTGGEGSAKRSKCVYQTKSSNSTDNTETHSEHLEVSASKLEVNSNPPPALGKDSTSGSTEDTETDSSETDSVESDSDEEMDEISDESVEFMPKFMLKSELQEEDDSTSTEEPDDPTTPSDMSHPSNDDVSASAGVSKWQLKGKRNTRALTKRYVDLSDGKVLRGSNQGPKIKEKGSYADLMDSFDKKYGTRIGGYGSRGVDGISRGITSWEDLAWNDQPASKGYWGDFIEYTDPVFSGRRFGDRRKCMLIEVDLKVQSNYQREHVPMISLMSKLNGQAIVGHPIQIEALEYGSTEGLMTAADELNQDNDTSLPPVWRTARRTANCRVPRPRASTVDADEGAEHLQHVYQDRKNSLNKSNGGNFSNRQSLARKSSTHGSRPTDKRLLRKPAKKTSVASNQKTRTLSSIATQQKPNNILKPGSNSSLNGFIKPEIAPPAVACIPIKLVFSRLHEELAGRHQ >KZM97174 pep chromosome:ASM162521v1:4:11834413:11835761:-1 gene:DCAR_015464 transcript:KZM97174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFKAYDVLILHKQKPQVELDGKACAAAGQNSLMALYDALFSQLDVMSSQLLVTDNDFKNADYRVQLGQIVNSLIALRSIPIFNENDAISTRTAPYEPSQANTS >KZM99105 pep chromosome:ASM162521v1:4:29448447:29452271:-1 gene:DCAR_013533 transcript:KZM99105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSIAKRTKSSRVVLPNYKIGKTLGIGAFGKVKLAHHILTGIKVAVKILERQSIDEKAAEKVRREINILRLLSHPHVVRLFEVIETPTTIYMVMEYMDSGELFDHITENGRLNEAEARYFFQQIISGVESCHLHMVVHRDLKPENLLLDKKRNVKIADFGLGNVMRDGHFLKTSCGSPNYASPEVITEQLYAGPEVDVWSCGIILFALLCARLPFDDDNLPGLYAKIKSGIYTFPTHLSVGARDLIARILITDPTIRISIPEIHKHPWFQQQLPLHIAVRPKIVTCDPKEINEDIFKEMVKSGFDSFELINSLQNGVQNKGTVTYYLLLHNRFGAQGSHQNNELSRGLAEECTDRPEVYLRSPPQLKTQWALGFRSTASPHETMIDVLKVFESLNVRWKKIGNYNMRCLLLSHLSKQATLMDGPPIKDIYGNELIITSLRSKALKSHDAVKFEIQLYKATPELYVLDLQRIYGPPFLFLELCASFFSLVVAC >KZM99517 pep chromosome:ASM162521v1:4:32701325:32701945:1 gene:DCAR_013121 transcript:KZM99517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRDKLAIADVHSAARETRYRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREFRGIKAKTNFPTSMELIAAANPMKTPGNNTRSPSQSSTVESSTSAPMLAGGPPSLDLSLAHLGGAVHYPQIFPAARPLMFFQAMTRSDNFAALIPNRPVTGAHPAAQSDSDSSSVVDYNYTERRKPLDLDLNLPPPSEVA >KZM99854 pep chromosome:ASM162521v1:4:35369353:35371016:-1 gene:DCAR_012784 transcript:KZM99854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTTRQDLPVVFMNECDSINELKKIGQKLVVCYDKEDQIRKQVQNVGSSEVTGGVFITNTSDLGFLLQSSFPAVYLNLENGEIILNYMKTISNETKSARFEFEKTRIGTKPAPKVARFSSRGPSESCPFILKPDIMGPGDYILAAWPPDIPSSHLRDGQAFSDFNVLSGTSMACPHLAGVAALLKQAHPDWNPATIRSAMMTTSDFLDSSLQPIQDIGTQNQVATPLALGAGHVNPNKALNPGLVYNVSSEDYIRLLCALNFTRNQIQTITRSASFDCSNSTLNLNYPSFIAYFNTNDTVANGTTVQEFERTLTNIGDEMSTYWANFTQMEELKISVEPDRLVFKEKYEKQSYKLSIEGPKMLKNKVVHGSISWIESKGQFISKTAIIDPSIADTLAL >KZM99454 pep chromosome:ASM162521v1:4:32190061:32190441:1 gene:DCAR_013184 transcript:KZM99454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFSFPQVADDQIVNLTITPSLWRISSLVFPEYNRCREEDDDVNSMNNLQRKSSGSGAEEKMDTLWEDMNDYDYRSERSEINRGDGQSLKIRHRVSLKKSKINAVVIKVTKKLFSLRIMAAAKKG >KZM98068 pep chromosome:ASM162521v1:4:20848964:20855433:-1 gene:DCAR_014570 transcript:KZM98068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQAKQGAAAMADITKKLNMMIMGESQNPITQLQTKYKELESGFKSWLAQQSLPVEAAVVTFTSAAQGAAIGGFMGTLTNDASSAFPTPPPNAPLNPQAMASIQQAQALAGGPLIQARNFAVMTGVNAGITCVLKRVRGKEDVQSRQVLAAGSAVTSGLFFALIQGGLFKVGEKFSKPPVEDVLYNKTRSMLSSLGLQNYEKNFKKGFLLTVLFEMYESLLDQGFSFLIASKAAILGAGGSSTCSAAFTRNLSIKPPSSTPSQLPPPSHLKTTFQGLSLQDAKRGVSNSFNPLVKSNRSSFRKSGLEITARTAASKNIEVEVDKPLGLTLGPKNGGGVLITGIEGGGNAAKAGLKVGDQVVYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVISRGADVDVKRLPKRPAPPRFGRKLSDAQKATSHICLDCGFIYTLSKPFDDQPEDYLCPQCRAPKKRFSKYDAETGKAIGGALPPIGVIIGLVAGIAGVGALLVYGLQ >KZM96614 pep chromosome:ASM162521v1:4:2228500:2232245:1 gene:DCAR_016024 transcript:KZM96614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLVIKGASTTFRQVQYGETLRRFSSHVVDGKLDIDMNGLIEKVCSLFNFSSGSELDFTYVDEDGDVVKLADEEDLHDILRQSLNPLRITVKLNTEWSVSSRPNGRTNGNSTPLRSPQLQFPLQVENAAVAEVLNSLPSTIRETTAKFSTELGLDTNSPAGYLAVLDSLAKMGLSYLKDVSVTGAKSGSTVISSTDATVGKEKNGSISVSTTPATEKKTSSISFRTTPATKDQTSSVPVQTTLVPELKGLGTDEGNAAEGFVMPALDNTNAKDESSILPKITATNLLNEMKGPSDFVSQENKVGKNGRSGPKMKPVKKSFESHHGWKSVMSPKPLTGIDSPSSGLPVPPYLHRHQLPYRVAPVRSYNKSDVMASVFHTGIQCDGCGVHPISGPRFKSKVKEDYDLCIFCFNHMGSEAEYIRMDLPMNYQGRDPLNFPFPHRLGRPPTLPADHAKLDSCFILDVNILDGTILTPLTHFTKIWRMRNNGAVAWPHGTKLLCIGGDKLSKTHSCDLKIPAEGFPVEKEIDVEVDFAAPENPGRYISYWRMASPSGQQFGQRVWVLIQVESSLKAPNSKNRDFNLNFPPVGSGIMGLQNENSSVDLKTGDDLTEIDASTKDQHAPVGRKISEDLIVIKKPTMDKGIDLNFWNNGTLLVARPKPDSAAPGASSTVTYPVVDQSKVEPVVISRQQKLDLDIPSSMIDANQGTAEVEQTLLKELENMGFTEIDLNKEILRKNKYHLEETLNELCDTEWDPILAELQEMGFSNNEMNKKLLKKNNGSIKRVVMDLIAGEEA >KZM98342 pep chromosome:ASM162521v1:4:23071361:23071828:1 gene:DCAR_014296 transcript:KZM98342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMTAARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGGKTNDMTTEQNASDVYFPEWIFHRLEKNEELVIQIVNEDDSKIARKLTIVGLWCIGWPPIDRPSMKVVIQMLEADECPAMPPNPFNTPTSGNATHAKSLFGERLQVISETE >KZM96644 pep chromosome:ASM162521v1:4:2840135:2840308:1 gene:DCAR_015994 transcript:KZM96644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKLRSLTIPPASLPFSPVVFIMILAPVYDHIIIPFMLRVTKTETVTHIYNALVLD >KZM98070 pep chromosome:ASM162521v1:4:20869378:20869815:-1 gene:DCAR_014568 transcript:KZM98070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFGKSMVTMPSNVIYLSTILGQDGLNSVHKCDWKCENENVCGNMYRCKLTGTTHICDKNCNQRILYDNNNSLCRVSRHIFPLTPAEEQAVKGVRRKLDAESSPSDSCTFKRRRSAQFHPSPFERSFSAVGPISSQIGDGMDMS >KZM96696 pep chromosome:ASM162521v1:4:4576385:4578384:-1 gene:DCAR_015942 transcript:KZM96696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTTDKCTVCDKTVYFLDLLSVDGVTYHKSCFKCSHCKGTLTMSNYSSMDGTLYCKPHFEQLFKESGNFSKNFHTSKPERENSMTRAPSKLSSLFSGTQDKCAACQKTVYPFEKITMEGESYHKPCFKCAHGGCPLTHSSYAALDGILYCKHHFAQLFLEKGNYRHVLEAAKIKSAVVPVEPEEEHAAEGTSEEKAEEAPAEEAPAEEETDEQKSEES >KZM97388 pep chromosome:ASM162521v1:4:14167353:14168190:-1 gene:DCAR_015250 transcript:KZM97388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTKDNSILNFDSRLSNIRLKYKSGTGKKAEARKTRKSGGKKAGSGAASVYLPPVPLKEPVSGKMKVDFGFSPYSERINGRVAGLGLAALLLVELATGKSVIRYHSPSIIFLQVYFVAMATAIFIKAEKEKISVWPRS >KZM98476 pep chromosome:ASM162521v1:4:24284081:24285010:1 gene:DCAR_014162 transcript:KZM98476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFMDGSRCLPDVFTYSVLINWLCKECRLDEANGLFDEMCKRGLVPNDVTFTTLINGHCRYGTIVGAMEVYKEMLQKGVEPDLITYNTLMNGLCKSGEVRGAKSLLEEMTRKGLKPDKITYTTLIDGCCKEGDLNAALEFRNRMKEEGIELDEVAFTALVSGLCREGRVIDADRLLREMLSAGMRPDDATYTMVIDKFCKQGDVKTGFKLLKEMQADGHVPGVVTYNVLMNGLCKQGQMKNANVLLDAMLNLGVVPDDITYNILLEGHCRNGNPENSEKLRNEIGLVLDYASYKSLVDDLYKNSKEMT >KZM98532 pep chromosome:ASM162521v1:4:24789175:24793985:1 gene:DCAR_014106 transcript:KZM98532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGVGGPLLCIGDLLSDVGEESADVDEGVDSSSFSRSSSSLSSTLTPNSDLNLQSSNLPQLFQETYDQLKEALGGSDHSWTALTLKLCTALETANQLVQVTGSNVTLLSEKIQELEKIIKRGDDAVAAARTIHSDLKQKEDISDIKNP >KZM99051 pep chromosome:ASM162521v1:4:29034691:29034879:-1 gene:DCAR_013587 transcript:KZM99051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFIKTFTQRNALKKSSSNDSREQMPDRSLMPIIALSQCYMYGTIRQLERDELKIFNSFKI >KZM97028 pep chromosome:ASM162521v1:4:9899900:9900325:-1 gene:DCAR_015610 transcript:KZM97028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKADKKPAEKKPAEKSPAAEKKPKAGKKLPKETGSAVVDKKKKRVKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KZM99722 pep chromosome:ASM162521v1:4:34274240:34280657:-1 gene:DCAR_012916 transcript:KZM99722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPFKSIDVRDLLPSHDLDDSSPLSAPDLRLLIDHLQVRSLHIKSKVQKYILSHRSDFLALFSQCSAAFSGAEHLTGEVSDLIGLISESPIDVEIKDVVDQVSEKRREVREKRKVLELVSVVVEVTEKLRFVKEEMRGGRVVEAAEAVRELEKALGIRDGDGEAEGEEGEPVVYGLLRSEWMDCFQEIQEILAKFMETAVSFDQQNNALRVKYRSSINENSSVELHTVLTAMDVVGVMDFGLGKIADLIIKYVITQAVNPESHISFSEEINQDSGHIDEVVLKMIPSFDPELKGIDGQAIFTGSVQVVKFISNSFCFKNRHWMRCFGRLTWPRMSELIISNFLSKVVPDDPSKLADFQNIIQLTTQFETDLKDLMFISSSDNKDERLSEFADNVEVHFASRKKVEILAKARSLLLQSDFVLPPGFTREATLLKNEQTDENSIRYADLLFSSERCVVSGGAAKLMALVHQTLKDVCLSSTRVGLEFYYAARDALLLYEAVIPAKLGRQLDSINQAAVLIHNDCLYLSREILGLAYEYRSGFPSLLKELIVFVDLAPRFQLMAEDILHRQVELVIKNLKEAVDGADGFQNTHQMKEFESAKFSIDQVVFILEKVHIIWEPLLPLTYKRCMAMVMEAGLSRITKDILLLDDMAAEETLQLQRLIYLLLESLSSLLESLNAITDTRKLPEGSVQSINELVPSLRKIRKLAELLDMPLRSITSAWECGELFFCGFVSSEVMDFIKAIFTDSPLRKDCLWRIESADFM >KZM97145 pep chromosome:ASM162521v1:4:11483537:11487098:1 gene:DCAR_015493 transcript:KZM97145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNQNDDVQSETSDYTSEDEGTEDYKRGGYHAVQIGDTFKHARYVVQSKLGWGHFSTVWLSWDTHKSRYVALKIQKSAQHYTEAAMDEIKILKQIAEEDPDDKKSVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGIPLPKVKEICSHILGGLDYLHRKLSIIHTDLKPENVLLLSMIDPSKDPTKSGVPFIIPSKKDKIVPESGACKEVRSYNSDLTKNQKKKIRKKAKKAAQNCMEEHPEESEPDNEAAVTEVPHHDKKSNSDSIEDKSMRDRSTTTGSNRNSQQGNQSHRRGSRSARRKLLSEIDTRCKLVDFGNACWTYKQFTSDIQTRQYRCPEVLLGSKYSTSADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRHSREFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEQDAKDLADFLVPLLDFVPEKRPTAAQCLSHSWFSSGPRSLDPTLVTPEATDSILSEKKRENEEREAMEVAVGNIVIDGASKPVKDPKQN >KZM99558 pep chromosome:ASM162521v1:4:33028960:33032951:-1 gene:DCAR_013080 transcript:KZM99558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEIINHHKLTSLCTTTLEDQMKKFGAAISMFVIFVQLTSSLPLPGWASWSVSPANAVLFSPETKLPRTGELALRKAIPANTNMKTIQDSLEDISYLLRIPQRKPFGTMEGNVKKALKIAKDEKESILASVPADFKDKGLELYTSLVEGKGGLQSLLGYIKDKDPDRVSVGLASSLDTVAELELLQAPGLSFLLPAQYLKYPRFTGRGTVEFVIAKADGSTFSPAGGELRKTAKIQVVIDGYSAPLTAGNFAKLVVDGAYDGGKLKSTDQAILSDSELDKNIGYSAPLEIMPAGQFEPLYKTTLSVQDGELPVLPLSVYGAVVMAHSDVSDEYSSPNQFFFYRYDKSFSGLGGLSFDEGQFSVFGYTVAGKDILSEIKSGDVIQSAKLVEGLDHLVLPES >KZM98776 pep chromosome:ASM162521v1:4:26806724:26807242:-1 gene:DCAR_013862 transcript:KZM98776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVKCIFVFHNMIRCGLDFCLQPQPATRVRRPPAAQNRQAVATEKTQVDEPASPTASTSSGEAQEDMDEDKDPPEVPAPASPVAAVNAQKLTVTQSIAGLSKPTNAQKSTVSQSIGGSSKPTTSNEEAMDTASSPVQEAKSPPPPGQDAVLEESIRVTRGRLRRTRVTSIR >KZM97619 pep chromosome:ASM162521v1:4:16264371:16266275:1 gene:DCAR_015019 transcript:KZM97619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRQNEKPNNIVYSLLFLVYLFSYSSAISTCPNCGTIEVPYPLSTSNNCGDPEYSLRCEPHTKKLYFDALNGSSYLVLKINASIQRIVLQPSSWLPGTCVTQDMLVSEGLWLNQTLPFNITSSNTIFLLNCSPRLLASPLNCTPSSICHHYLESSGHIDATRALKCSNGPINPCCTFIAGGMPSAYKIRLHNSGCRAFRSILGLDSDKPASQWEEGLEIQWTSPSEPVCKSQIDCSATSKCSPAGNTGVLRCQCKRDYNWNHVLGTCIKKKNYSKVGRNLKISIAVVLFFVIAVVMALVTMKKSGKFSNRAKLVKAREEMLKSSSGCRSARMFSLKDIKKATNGFSKDKILGKAIDFSRAEDDVNLAIYASQRENKGAIMEVLDQRLLAEDHSVNFMTSLRLFSDLAFSCLREKKGERPSMKNVVQQLECITEMVTRQESQS >KZM99036 pep chromosome:ASM162521v1:4:28914826:28919999:1 gene:DCAR_013602 transcript:KZM99036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKCAVVGNGLFTQTSPEVRRVVPENLQGLPTVRIVYVVLEAQYQSSLTEAVQILNKSEKNASYEVVGYLVEELRDEETYKTFCKDVEEANIFIGSLIFVEELALKVKAAVEKERDRLDAVLVFPSMPEVMRLNKLGTFSMSQLGQSKSPFFQLLKGKKSSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLVNFVKMISGSYVPALKGLKIEYSDPVLFLDNGIWHPLAPCMYDDVKEYLNWYGTRRDANEKLKSPNAPVVGLILQRSHIVTGDDSHYVAVIMELEAKGAKVIPIFAGGLDFSGPVDKYLVDPISKKPFVHSVVSLTGFALVGGPARQDHPKAIEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFSGRDPRTGKYNLFFYNLFFLEYMHKRKSHALHKRVEQLCTRAINWGELRRKTKAEKKVAITVFSFPPDKGNVGTAAYLNVFASIFSVLKDLEGDGYNLEGLPETAEALIEDILHDKEAQFSSPNLNVAYKMGVREYYKLTSYATALEENWGKAPGSLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLGELIASYQSLKDTGRGQQIVSSIISTARQCNLDKDVDLPDEGAEISAKERDLVVGKVYAKIMEIESRLLPCGLHIIGEPPSAMEAVATLVNIAALDRPEEGITALPSILAQTVGREIEDIYRGSDKGILKDVELLRQITEASRGAINAFVEKTTNEKGQVVNVTSRLNSILGFGINEPWIQYLSDTKFYRADREQLRVLFGYLGGCLKLIVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTEAALQSAKVVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVMWMIGVRPVADSIGRVNRVEPVSLAELGRPRVDVVVNCSGVFRDLFINQMNLLDRGVKMVAELDEPEEMNFVRKHALEQAKTLGVEVREAATRIFSNASGSYSSNVNLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGTGMMEKRQVFEMALSTAEATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPNAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIKDEEMLKRLMSTNPNSFRKLLQTFLEANGRGYWETSEENIEQLKQLYSEVEDKIEGIDR >KZM96653 pep chromosome:ASM162521v1:4:3031139:3031591:-1 gene:DCAR_015985 transcript:KZM96653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSNQTVFCSNQAQFSSNQANFREVADIQSSSDTDELLPVPDDYSHQELT >KZM99163 pep chromosome:ASM162521v1:4:29895961:29899095:1 gene:DCAR_013475 transcript:KZM99163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVRKLIVEVVDARNLSPKDGHGTSSPYVILDFYGQRKKTRSVICDLNPVWNDIVSFNVGKPSSVFGDMLEVDVYHDKNIGPTTRNNFLGRVRLDSRQFVKKGEEALIYYPLEKKNLFSFIQGEIGLKIYFSDEVVPPPEPPAPSPPPPPPPPPEDVKSDPPPETGAAAEAPTDLPPPSSSEQPAADVPKTEEVPPPADTASQLPPSPDETGGLPPAEAAPPPTSPAQAKMEPSDNATVEPSAEAVNSSEREDLNPDQLMANMASASIPEVKVSGIYGPRPISRAASVSSFISDASDNVSMERPSFDLVEKMHYLFIRVVKARSLPTPGNPVVKIVVSGSQVTSKPARKNVLFEWDQTFAFANESADSSSLLEVSVWDPLISTSTTDMAGHNFLGGICFDVTEIPLRDPPDSPLAPQWYRLEGGGAHRGDLMLATWVGTQADESFPEAWKNDTPGNPSSRSKVYQSPKLWYLRSTVIEAQDISGSAPLRDSTFQIKAQLGFQVQKTKLSMTRSGSPSWNEDLMFVTSEPFGDQQLLLSLVEYREPKQQVVIGVASMPLVSIERRVDDRNVASRWFTFEDPNQEKRVYKGRVHLRLCFDGGYHVMDEAAHVCSDYRPTAKQLWKPPIGTVELGIIGCKNLLPMKTIDAKGSTDAYAVAKYGNKWVRTRTVSDTLDPRWNEQYTWRVYDPSTVLTIGVFDSWEVFESDSFKESTRPDFKIGKVRIRISTLETDKVYKNTYPLLLLSPSGLKKMGEIEVAVRFARAAPTLDVLHLYSTPLLPIMHHIKPIGVMQQDILRSTAVKILCTHFSRSEPPLRREVITYMLDADSQAFSMRKVRANWFRIINVIAGLIDTVKWIDDTRSWRNPTSTTLVHALLVMLVWFPDLIIPTLAFYMFVVGVWNYRLRSRNPLPHFDPKISLAEKIDRDELEEEFDSMPCSRTNEMVHARYDKLRVLGARVQTVLGDFATQGERVQALVTWRDPRATGIFVGLCFVVAFILYLVPSKMVAMASGFYFLRHPIFRDRMPSPALNFFRRLPSLSDRIL >KZM97748 pep chromosome:ASM162521v1:4:17491810:17494046:1 gene:DCAR_014890 transcript:KZM97748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVPSLRALKHVKVGQEHQKSRLLERGDEDGQPLSPVARLFHEPGSNVYIVAIMGFRVKLHPDVVKPVLTHVFLKHPRFSSLQVEDKESGELKWIPTEVDLENHFIVSELDPPLQAADKFVEDYVSDLSKTNIPNTKPLWDIHLLNVKTSDAEAFLIYRIHHSIGDGMSLMALILAHSRQVSDPSALPTLPAMNKESNFLKLRGFRSVVYVLWNTLIAIFMFVLTALFLKDTRTPLKGPPGVELKPRRFVRRTIDLQDFKSVKNAMQCTINDVVLGVTQAGLSRYLNRRYGDLQKYNGATDLEKKNYLPKNIRLRATFFFNLRASTKINAVVPDAVEEGAKTAKFGNKIGYVILPFNIGIQADPLDYIWQAKAVIDRKKASLEPLFTYLFLKLVIKFFGVKTAGVFCHKIFFNTTLWFSNVPGPQEEIAFDGHPVAFTACSCYGQPNALMIHVISYTDKITFVLSVDEDTIPDPHRLCDDLEESLKLIKAAAVPS >KZM98744 pep chromosome:ASM162521v1:4:26597830:26598324:-1 gene:DCAR_013894 transcript:KZM98744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITTAAVSIPSFTGLKSAGATASRVSATTKVSTPMLSIKASLKDVGVAAVTTAASALLLASTAMAAEVKLGADDGALVFSPSSFSVASGEKITFKNNAGFPHNIVFDEDEVPAGVDVSKISQEDYLNGAGETFTVTLTEKGTYKFYCEPHAGAGMKGEVTVT >KZM99771 pep chromosome:ASM162521v1:4:34682567:34692997:-1 gene:DCAR_012867 transcript:KZM99771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSLVRRLSQEKELEGHQGCVNAVSWNSSGSLLISGSDDTRINIWSYSDHKLLQSVETGHSANIFCTKFVPETSDDIVVSGAGDAEVRVFHLSRLDGGGHEENTINPSAVFQCHTRRVKKLAVEAGNPNVVWSASEDGTLRQHDLREGASCPPASSAHQECRNILLDLRCGAKKSLSDTPKQNLALKSFEISSSRPHLLVVGGSDAFARLYDRRMLPPLSSSMKKLSPPPCVNYFCPMHLSDRAISSLHLTHVAFSPDGDEVLLNYSGEHVYLMDINPSSGSAMRYTSGDAMTLMSLNPILNGVDLKSSVSRVFPNGFPRKRNIVSRLDKCRKLVQIAEKSLKEGTNCYYAIEVCNEVLDGHGHEIGSVLMHECLCIRASLLLKRNWKNDAYMAIRDCQRARKIDSSSFRALHCISKALSLLRKHKEALDFAIAAETLAPSNSEIGRTMESIREELAAVSAESEKNKSSNIAPTNESRAQGTLSLSDILYRSEAHIDSSSDDPRSEREDSDYDEELDLDIETSISGDEGHDTEADVVHRSINLRFHGKDESAVGGADHANVSFGSPKSQSDEKTYQPEAVIDMKQRYCGHCNVGTDIKQASFLGQRGDYVASGSDDGRWFIWEKGTGRLMKVLLGDEAVVNCVQCHPFDCTIATSGIDNTIKIWTPSASVPSIVTGGAAGPETADVLDIMESNQRRLSRNREAMLPIELLERFRMHEFTEGTLHPFECTQS >KZM97611 pep chromosome:ASM162521v1:4:16206692:16210212:-1 gene:DCAR_015027 transcript:KZM97611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFSLLDGNATNSNAQSNPNPNLHRLAATHHHQSFPHRFSKATPIHAPPPVQSLIQPILQPHVESSKDLSGDDDGDAEGYSDGAFCSQPDPKAFHGAIPFSGDNDQVPFENEADMTRSRVNHEKRSDREELSDGGTPYSYKRSKLVNSIASAGGSGEYRKDREEWSDTAIACLLDAYTEKFMQLNRGNLRGRDWEEVAGNVSERCEKQCKSVEQCKNKVDNLKKRYKLERHRMSNGGISASHWPWFKKMEVIVGNALPMKTVSEEDKSIGGSASSLKPSKRYGTATSSPSAQIANMKTKQVTNPKWRRVVFKISGASLAGSGSHSIDPKVAMLIAREVSMACQIGVEVAIVVGGRNFFCGDTWVTETGLDRCTAYQIGMMATVMNSILLQSALEKMGVQTRVQTAFAMPEVAEPYSRQRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAALRASEIHADAVLKGTMTNNTDGVYEFESRNNSGLFEHVTFREMASRGSTAMDMMAVTFCEENCIPVVIFNLLEPGNISRALSGEQVGTLVDQTGQIS >KZM99595 pep chromosome:ASM162521v1:4:33312794:33312949:1 gene:DCAR_013043 transcript:KZM99595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSPVVSSKTKLMKAILLLLRRKEISQAPRASLATLSSFFICSSQFFSTD >KZM98013 pep chromosome:ASM162521v1:4:20377663:20378902:1 gene:DCAR_014625 transcript:KZM98013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSHVPPGFRFHPTDEELVDYYLRKKITSKRIDLDVIKDIDLYKIEPWDLQELCGLGIEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLVGMRKTLVYYKGRAPNGQKSDWIMHEYRLETDENAPTQAKGWVVCRVFKKRIATVRRMSEHESPIWYEDQVSFMPDMDSPKQPNISYHYPYSCKKEQDFHYQNLSSSDHFLQLPLLETPKILQAPLPILTNCTYMPVHGLNVNLSRNIMQSSSLTQDQFSIPSNSYDQGLEPVTDWRVLDKFVASQLSHEDVPKQNQDCLNVNSATLASIISAEDDDSSSLLIRNLNKQDIFDQEITSTSTSSCQIDLWK >KZM97542 pep chromosome:ASM162521v1:4:15656490:15657454:1 gene:DCAR_015096 transcript:KZM97542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRDCPHSTQNLVPTGDILWRKCDICKDAIFGVGTCFKCNGCSHYFHQICIRPQPTLQHSFYPRVNFNFSAALGKARQRCVACYQDIKGCLYVGDNAIYIHPFCLVNLTKSVSEASIEYHKQQMGRLLETYDSDGGRVGKMLRLECQKSLSDDVKCIFCEREDTRNRGFGWVYKYTYTVGRNSTELECHVACLRGQINKYCLNQIVKKHPGSTYDDGTYFLELKRKSGLEDRAKGIAGLALPIAIAGLDLMISAFVGAPLVSLSLSFLLGIAGDQAERMLRRPRGK >KZM97057 pep chromosome:ASM162521v1:4:10195719:10199416:-1 gene:DCAR_015581 transcript:KZM97057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKTISFAIEKLGNFLAREVDIRIGVKNGVRWLKDELCYLQSSVRAAEAKQEDELVRLWINNVKDVANEAILILERFNFLKEEHEALKHGILNRLRRFICMCRKESNLYDIGKQIESLKERIVEIKNRREDYGISNILATATVQRGKKALLRATSFENQVDVVGYEEDTKVLLAELVKEDDSLGVISIHGMGGLGKTTLASKLYHSSELSHFETRAWVCFSQAYNFEDVLRTMIMSFDKLAANLSHMKEVDLLRNMQAILQTCDRCLVVIDDMWEIEGWEKIKKAFAGTKYGSRVVITTRNKKIAQMVDDRCFVHELHFLSNDESWQLFCKRAKPTKNLEKLGEEMVGKCQGLPLAIVVLSGLLLHKGYQGWLEVHDHIWSQLKGNSVEIQEILNLSYNDLSFQKRKCFLYLAKYPEDFIFDVDMLGHLWIAEEFISEIDERDGLLMEDVAKDYLHELINRNMIQIVDSYCDGRVAACRVHDLVRDLAVQKAKEERLLGIFDSSNNHPSPVHLLREQPRHAIYNGIGNYLKLIGPHPDNSKLRSLATTGKIITGLVGIEIKLISERFKYLKVLDLTSSSSEVIPEEIGNLVLLKYLGVPSCTNLVGALVIPPTIGKLKKLQTLCGLGGNNYVFPSEICGLPELRHINFRQKFSAINLMIGSHQTKLQTVDSIWYQNWILTDTVHLTNLNSLVMLDASIEENADTLDSIANLTSLQTFILMFFYRAIPTVKPLSFCKRLNDVNLWGIIKHPSDLCFLPDSVTKLKLVNTLFRQDPMPSLGSLSNLMSLYLDCAYLGEKMVCVHDSFPSLRFLTLCKLPSLQELEVEERALPLLKGTSGQQRSLQPQSWGDGGTNMYLSPRHQPEGLCNFVESGRAWSLMTAHQTKIRLYDMYEDSILIDALNLNNLRH >KZM99045 pep chromosome:ASM162521v1:4:28957145:28961941:-1 gene:DCAR_013593 transcript:KZM99045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCITPSDSSKEKKSKDKPNPFSGEYAGNNGYKSSVLENPTGHQIEETYKLGAELGRGEFGVTYCCTDKSSGEVLACKSISKKKLRTKVDIEDVRREVEIMKHLPVHTNIVTLKDTYEDDEAVHLVMELCEGGELFDRIVARGHYTERAAAGVTKTIVEIIQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLRRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLVKKMLNPDPKLRLSAQEVLDAALYLISDHPWIQNAKKAPNVNLGETVKARLKQFSMMNKLKKRALQVIAEHLSAEEVAGIKEGFKVMDINNKGKINIDELRAGLQKLGQQIPDADLQVLMDAGDVDKDGHLNYGEFVAISVHLKKMGSDDHIYKAFEFFDHNKSGYIEIEELREALADEVDTNSEEVINAIIQDVDTDKDGRISYEEFSTMMKSGTDWRKASRQYSRDRYNNLSLSLMRDTSVKVKDEIR >KZM97141 pep chromosome:ASM162521v1:4:11439251:11442541:1 gene:DCAR_015497 transcript:KZM97141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQKLTMTAEDNGKGAKCLRVKNGIMAAAAAAGGREGSKGDGVCGEKADSYSKASVSNVFQDYRRLVHFGAQLMS >KZM97170 pep chromosome:ASM162521v1:4:11737668:11742181:1 gene:DCAR_015468 transcript:KZM97170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAGITNNDSVSAGDVNQRERFSVELTPGQTNIVSLKKLRREAGEVVSDDSLEEENEAEKYIFAIVPKNKRSGALPKQKRSGAVPVRDTVALNIGKNKHSESSNLKDPVQLENKSKDKGKKISSSANLKFQDDKSTQHERSMSKQVEQRLERTTAEHLTEAPKSGDDGLDRSILQLENLVKLCEFPSFLS >KZM99323 pep chromosome:ASM162521v1:4:31103014:31103379:1 gene:DCAR_013315 transcript:KZM99323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNITTGMANAKPLSHSSSLATKALMPPAHITLKRKRDVGLADNTGADEDEDDEEECKEEVEKKIVELQKIVPGGESLENVDNLFEETAGYILDLQNQIKGLRVLASFFQLLDKQKSKLGG >KZM96674 pep chromosome:ASM162521v1:4:3742153:3751878:-1 gene:DCAR_015964 transcript:KZM96674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGSCERHWTCGHTNAFRSTMVVQQPIFWNHQRMVTTQTKAPAQARHKGTQLSMQSPGFVIEPYTPRERIAFWRRCFTRSGWRRTKDDIILELKNAYAISKLRKKGYSKQKFYTEAFNLYKEINSHIATRDKASLRKAVTENMYSTLKNEIKQRQSVWSSVYLELIEPAVKIRTLRARMIAIDKDDLSKFFMQLTLEFLTKQKFEAYDSSGAIVAGDKDKEVLVRDIWVFEKSFFHPNSYWRLCGRIKV >KZM97429 pep chromosome:ASM162521v1:4:14599156:14603781:-1 gene:DCAR_015209 transcript:KZM97429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEMKSPGTPRRKKKRVSILTFFIALTIFTGVSIFLLAFRSIDPSSLAKGDTTQFLTQEITEAALGYSTLNTTTNYIYNPHNTTLRKTQNQECASVEQMGDGFRGGFQEQSLRVRKIIQAHFDVNGASRVRDLPPEQFCRHKFVLGKASEAGFGNEMYKILTAAALSIMLDRSLIIGQTRHIVDIAACFSGYVHEFYANDEIIAFLSRKKFPFGDHVSYSNISFTLNEVKHLWRQNGCATKYQRHLLMRIDDFQKPARTSVLCSNWMEWEEPIIWLQNTTDAVAAQFFLKNIHIKMREVAMNLFGKPNDLHDRPNVFGELMRVLISPLENVKLAVNSVLGDGKDPDIALHMRMLMNRSLRATQAALSCIKKAVHDMQLGLRPRVVLISDSPSAVKDIKPILEEFLEVLHFDYEHFKGNISGNTYGQPNVTFRATDWGPAPRWVAFVDFFLASRAKHAVVSGAHRRVGTTYAQLVAALAAANSLAENSSASSSFSFFSSFQSSLLTEGLRNQIGWGHVWSRFAGPLSCHNQTTQCAYTPLLPPAWWDGLWQSPLPRDIRKMDAYGIQLSSLGTFDEEHLKSSCTSRIKHATKTVTLI >KZM98810 pep chromosome:ASM162521v1:4:27056514:27057828:-1 gene:DCAR_013828 transcript:KZM98810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSKILVIGATGYIGKFLVEAAAEQGHQTFALVRESAVSDPVKGKLIQSFKASGVTVVYGDLYDHDSLVKAVKQVDVVISVVTFQQILDQSKILDAIKKAGNIMRFIPSEFGNDVDRTNPVEPAKTNFAEKAKIRRAIEAQGIPYTYIISACFAGIFLPTMAQPGATAPPRDKVVILGDGTAKAVFNLEQDVATYVIKTVDDPRTVNKSLYIKPPHNIYSFNDLVALWEKKIDKVLEKTYVPEEHVLKQIGGTPPPMDFMSAISHAVFIKGDQTNFQIEASFGHEASQLYPDVKYTTVDEYLHQFV >KZM98717 pep chromosome:ASM162521v1:4:26381100:26384176:1 gene:DCAR_013921 transcript:KZM98717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNENCVGDVRPSISQGGFLKTTTQRADEGIGPTRRALSVINLNGARYAPYVATKRDALREKNAVSSTNVLQNSKVHRPLTRNFAAQLVAKQHQPLVEDDEIEMVDADMDIDAGEKKDPLAEYKDDLYVYCKDVEISFCAPPNYMSSQLDINERMRRVLIDWLIEVHDKFSLRPETLYLTVNLMDRFLAVQQITRKKLQLVGVTAMLIASKYEEISAPSVEDLISITDRAFTRTEVLQMEILMVNKLQFDLSIPTVYVFVRRFLEAAKSGNEMERLAFYMIDLCLVEYQMLKFPPSMLAAAAVFTAQCTLGKAAEWSTLSEQLTDYKNHELKECSQLMVGFHRRAAAGKPSGGNLSPGSQQRRPATAPFQSPRSSNPGIPFLSFDVGAAATSFPPPQFSSSGSIPGGFEDEPPLLEELGINTKQIWNKTMSILNPFRVKPDLHEDSDLSGPFLFLMAFGLFQLLAGKLHFGIILGWVTVSALFLYVVFNMLAGRNGNLDLYRCLSLIGYCMLPIVILSALSLFVPQGGGVILGVTGVFVIWSTRVCTRLLVELASCGDEHRGLIAYACFLIYVLFSLLVVF >KZM99646 pep chromosome:ASM162521v1:4:33723283:33725124:-1 gene:DCAR_012992 transcript:KZM99646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLSTTVTATPAEPPDHDAVATQNFPEKEVVDQEETVKEVLSETQTPSTSLAKIGNTTHENNSDGVKKPVEEEPETSFVSEMYSISGSFSATTIHDGDEAGEVNQRIVHLSPAKKRSSCVVNARAKRGGLRSLEPSNPRVITKGYKSPGPSSEVLKSRPARGRTACSTLPRRNVGLVEGSGRRSVASSSAASQVIRSRRPAVSSSVSGVTGCPGGRSQREGKQLK >KZM97944 pep chromosome:ASM162521v1:4:19675729:19676091:-1 gene:DCAR_014694 transcript:KZM97944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTFVLDLNCNYPEWRLIEGAGIPRAWHSSCVLHGCKLIVSTGCADSGLLVGHPFHLNLSDQRLIWTEIPGVWTPPPRPDHVGISLSGGRILVFAGSDRVSLCGEHQMFPGTLQYMLGK >KZM99222 pep chromosome:ASM162521v1:4:30359272:30360246:1 gene:DCAR_013416 transcript:KZM99222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRPNGINSVHADILETHILTRLDGPSLASLSCTCTSLNSLASQSHLWSHLFRSTCPSAASSPLLRRLITSDGGARSFFSLCHSLPPPSTTTAKPITRIGELISAVDISFHGDFILSKVQETETTSGWFKCSPFRIDLLDPKETITTRIPHLDENDRCDDFLNNMTLSWILMDPTSPTAMNVSSFSPVSATRHWLSKEVQVRFATVLETRTEMVQCEIVVTCGGSESGEMQVREVSLEMEDMEGRHLNGKDSMVIVERMMGEKGERRGKGREEEAKRRYREYVEKKRERRERKMRREGILDMLSIAFAAGLLVFAAFWFFLF >KZM98354 pep chromosome:ASM162521v1:4:23170814:23171872:1 gene:DCAR_014284 transcript:KZM98354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANICDGNNLDADVLLPPRKRLLAGLKKQNFDGISHVPSTPVITGEFDILLNNLLKSHINKSPEEILEVSRSAVEAAKKVAKAARAVAENKAEVAARAMAAAKSALDLFATMSEERSSREKYTRKNKMKRQVPVNMLYDKKQRVETDAELARDLHRAINSSPRTVKNSSVPDLKSVEHKRLVKRLSSEKLKYNNGGHSPPRSNGNGQTDKLCSDGSAQGAYAYRINENISKLDEGDHSNMTNRVSSFCGGKMKEVLEDPVGFGRKRGKIKQKKLPLSVCSFRDQEYPKEQLKTRSEVLSDGNVSKDTAGSNHLFSVGPAGGSMMSFERSGTWKCKEFKGPPIIEQNKDMQL >KZM96943 pep chromosome:ASM162521v1:4:8963202:8966089:-1 gene:DCAR_015695 transcript:KZM96943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQSLSYLNNCKNVVCLHEYRSSKCIARSYKMCSDEVSCRKLRILIAGGGIGGLVLALGAKKRGFDVKVFEKDLSAVRGEGLYRGPIQLLSSALAVLEAIDQEVATRIMEAGCITGNRTNGLADGLSGKWHVPSHATFATYDLEKPAVERGLPVTRVICRMALQQILLHGIGKDIVCNNSRVVNFIEDSSRVTVILDDGRTFEGDVLVGADGIWSKVRSKLFGAQEAVYSNYTCYSGLTDFVPPYIDSIGYRVFLGLNKYFVASDVGNGKMQWYAFHKEPPKSSDPPSGSKKKRLLQLFGSWCSDVSTLILETPEDKILRRDIYDRDMINSWGNGRRVTLIGDAAHPMQPNLGQGGCMAIEDAYQLIHELNNVDMRNADERSQLDQISESLIRYQRKRIFRVKTVHKVSRLASEMLSKYKPSVDFGLSKLSNVFGAQITNSAIHMARKFIQWCFQLFMNWMLAGHRLWWRRKNSFVAAA >KZM98303 pep chromosome:ASM162521v1:4:22766645:22770557:1 gene:DCAR_014335 transcript:KZM98303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSDTEFDYLFKVLLIGDSGVGKSSILLSFTLNTFDDLNPTIGVDFKVKYFQVGGKKIKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSEVWSKEIELYSTNQDCIRMLVGNKVDRENERVVTKKEGREFAKEHGCLFIECSAKTRDNVEKCFEELVLKILETPSLLEDSSKAIKRPMLQGSQLQTNTSTSSCC >KZM99418 pep chromosome:ASM162521v1:4:31910748:31912499:1 gene:DCAR_013220 transcript:KZM99418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILLTQCLPLMFALFLFIFAVIKTVKVPSNLPPGPWQLPFIGNIHQLVGSLPHRILKDLADKYGPIMSLKLGEVSAVVVSSPEFAQEIMKTHDVSFADRPHLLSGELLAYNSSDILLSPYGEYWRQMRKICTLEVFSAKCVQKFEPIRKEEVENLIKIVSQNEGSAVNISELLFSLTTGVTARAVLGKKIKDTEVFASFLRETVELSSGFGVADIYPSLKFLHLISGVQGKLEKLHVKIDRILENIISDHRDRKSGVEHQDLIDVLLSIQKQGYLQPALPDKNIKAVILGIVSAGSETSSITMTWAISEMLRNPRVMEKAQAELRKVFDSGVDIDETRLHELNYLKMVVKEALRLHPPTPLSIPRECRQQCQINGFNIPVKTKVLVNVWAIGRDPKDWADAESFMPERFENSSVDFRGTDFEYLPFGAGRRICPGMLFSLPAMLLPLAHLLYHFDWKLPGGLKSEELDMTEAYGVTCGRKHDLYVVPTAYTPQKMAKANTTF >KZM98704 pep chromosome:ASM162521v1:4:26265451:26268153:-1 gene:DCAR_013934 transcript:KZM98704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASELDDRSLQEIPTWAVALVCAVFIIISISIEHGILSLAKLFHKRQKRAMTEALEKMKAELMILGFISLLLTVSTTYVVKICIPIKLGKTLLPCKHNHEKRGLYDDHVQNEGGDRRKLLSFEEAMMWRRGLAVGGEYEIHEDYCATKGRISLISYKGVHQLHMCIFVLVVFHVLYSIILMALGQAKMMKWKAWEAEATSLEYQVTVDPKRLRIARQTTFVKRHIGFSREPLIRWTVAFFRQFLGSISKADYMAIRSGFINAHFAPNSKFNFHKYIRRSMEDDYKKVLGISIPLWISALIFLILNVYDWHTLTWLSIVPLLILVMVGTKLELVIMDMAQQINDQAITVRGSPMVQPSDEFFWFHRPQWILILIHLTLFQNAFQMAFFLFTLFEFGNKPCFHEKLISVLLRVVLGVALHVLCSYITFPLYSLVTQMGSHMKESIFQEQTAKALKNWHKSAKENQKKLRKAAGPAAFVAASVCEESRQSESIPHTPVSSCESEHGDDQHWDLEASAHISQPAETTKVEL >KZM96785 pep chromosome:ASM162521v1:4:6302187:6302717:-1 gene:DCAR_015853 transcript:KZM96785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSMSESDVALLDSIRRHLLEDIDDFDCFAHHTSSCNVPNYGWDDLFIDENVEPIQGVGPECHSAHDWKRYIGVRRRPWGKFAAEIRNPAKKGSRIWLGTYETPEDAALAYDKAAFKLRGSRAKVNFPDMLGTCISELIGDSAKRQSPEPADSLSSSSSTLSEDFESSKRRRKM >KZM96869 pep chromosome:ASM162521v1:4:8017553:8017890:1 gene:DCAR_015769 transcript:KZM96869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNILVLVIVLFPAAYNNANLGGLHGSRGNSGNPSDLLLITPSGGTVFDMNAQATPTERPSLSNLTNQSLRPSGINSVFPYV >KZM97223 pep chromosome:ASM162521v1:4:12436470:12441828:-1 gene:DCAR_015415 transcript:KZM97223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPCVGRAVDRISEAAVEAVFGRLRYIFCYKGLLKDLDSELVTLKIEEVRLSRKADEERANGKKLEDHVSKWLNDVEKVQDTAKEFPEMDKYKLSWRFIHCLPVPNPVSRFRLGREAVQKTSRVIQLTDFGKELLANEIAYLPQVENVPKSDGAFRDFQSRKDAYRKLWDALVTEGSPSILGIYGMPGVGKTRIMEQIWVEAKEKGIFNKVARANVSSEKLDVIRLQNDIAGYLHCHFESQDNVDHRASQLKNSLINGGKILVILDDVWREIPLDIIGISFDDGSSSKGCKILFISREEDVCLRNNCKQPVKITPLLFNEAWDLLNNTVGTGKIDSLRDESLAKKVCNKCAGLPLIIHAVGKSLKFMSYNLWKDALEQLENCEIENVPGIGPEVYACLKVSFDNLVEDAKPCLLLCSLFPEDAEIPIKTLIQLAIGSQLVHGGRSRVPAMVDILKSSSLLLESKYDEHFKLHDIIRDVARSIAVKDPKYAFLFLRCGSQLPANSDYDYGTRKVLHLQIEKNDFRFRDDLVCPLLHTLSLRSADQGPYKIYIRNFSGSAFINLRYLVLMNFSLLREFSLHSLGKLRTLFLDQCDITYIGETEVNFFPENLETLCIGDCQLPVRLTLPNLKYLRKLEIIGKIGEVTFVPNTISSLSSLEELHVPNGFSIWDDAAPRLEPIWDEISKLTRLTSLQILFNDFESSQDATVFSSLLEYNISMGLRRNHSPVSLKKLIQLTGYQLEVFQSLMGRAEEVVLWRAMDVKLSSICSSNREAFADLRNMSIVECDTMEYLVKISQNEIQHIHQWGTSFSNLIILEIKNCSAIKYLFCNSVAICLVQLQELRIGGCPVMEAIVINEGTSNEEFINFPKLKSLTLLEVPRLTSFYRENKEKHSFSTSRTENSVHQPLFDGMVAFPSIEELHIEDLEDTTDIWGDHYLNNNESSFCKLKSLEVSDCEKLEILIPLAMLPRLRNLEFLGVYSCHTLISEVGTYVSNIVVRPLVALSEMVLEDLPCLTEIGLNSRDQYGSMTLYPNLKKLRISDCNSLRNVFPSSIARDLTHLEKLELEFPNMVDFELRGGEINLEAIELGRNDSTCQLMSLDISCEKEIKLPSKWQLQCYNLERLTLRQYSWWHELKSQCFCRLKVLRVIESGCSTLFSFSVFKSLQQLQEIEISNCGLLEEIVEGGARADGASNTDKKTITLFHLQSIILVGLPNLNSFLHSVNYECHMPALKEVKVKSCGLFALFTSSVFRNLQQLEKLEVSNCRLLENIVEDVKEDDTLDIADNMITLFRLSAVVLRDLPNLKSFSPSSRHAFNMPKLYIFRLFGCPLVQNFTPLKTTTGSVYGYDGEKFPDLNDYIRQNHRRGGNFSDSVGESSYGNKEIEILAM >KZM97276 pep chromosome:ASM162521v1:4:13010802:13011416:1 gene:DCAR_015362 transcript:KZM97276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPNTQRSSSIVLQRINPNPSAVKQSQRRNPLPCTTQVPDSVAMYHTHQVGPHQCCSAVIQQISAPVSSVWSVVRRFDNPQAYKHFVKSCHLLVGDGKVGTLRQVHVISGLPAASSTERLEILDEEQHVISFSVVGGDHRLANYKSVTTLHQAPSGNGTVVVESYVVDIPPGNTKEETCVFVDTIVKCNLQSLTQISDKLSRRN >KZM98057 pep chromosome:ASM162521v1:4:20753607:20756207:-1 gene:DCAR_014581 transcript:KZM98057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAMSSRRDYRGNRAALFDDIEEGGIRAASSYSSHEIDEHENDRALEGLSDRVLMLKRLTGDIHEEVDGHNRMLDRMGNDMDSSRGILSGTMDKFKMVFETKSSRKMLTLVASFVVLFLVVYYLTR >KZM99381 pep chromosome:ASM162521v1:4:31702099:31705089:1 gene:DCAR_013257 transcript:KZM99381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEXFRFCDFDFEISSVMALDKIRFESLTDKTKLDAQPEFFIHIIPDKANNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGEVLGRGTKMVLHLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTTEKEISDDEDEEEKKDEEGKVEEIDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLNVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEDLIPEYLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKTKIAELLRYHSTKSGEEMTSLKDYVTRMKESQSDIYYITGESKKAVENSPFLERLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLEESEDEKKKKEEQKEKFEGLCKVVKDVLGDRVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPDNAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDDDDVEAETDMPPLEEADADAEGSKMEEVD >KZM97643 pep chromosome:ASM162521v1:4:16503421:16503929:1 gene:DCAR_014995 transcript:KZM97643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQLKMVRVEIKGVVQGVCYRDWTVENASKLGLNGWVRNRTDGSVEAVFSGSVDKVEDMEQRCRSGPSGAMVTSLGSFPCYEDPGSGFQRRPTV >KZM99411 pep chromosome:ASM162521v1:4:31871455:31883541:1 gene:DCAR_013227 transcript:KZM99411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIALLIDLLSKNPSFNSQAFQSCRSFSATLAASAAAASVASPLLSRSSFGVGRKVAYCDAGATLPEDAYALSGIRSPPKTIFESEQYNYSSKEYYIQLKPLLSAFHWKSFSLITLRSFLLHFLPLVEPRATIEEDEDDEDFLQDPPARRDVDLVVPFKKSTTVLTTRRILERLALCYVSQRTASKLIKDVSLSATRKAGRDLPITVYFFRVTRTTFRGHFLGVAATWLVQVAIDTYRFLSSIFRTEESETIDKAEQFKRLQKRVYTITIRCGASLIFASIGAGIGATIIRPSMGQTIGCVLGDLAGPPIVAYCFDMLYTHTAAAAAQQEMANSEEKMKMIMGNDWEECCTQDELLHLLANKPLPVFLDRFEPSGKIPLAQGVVKAINVKKMIAAGCQVKVWMADIFAQLNNKLGGDMNKIQVAGKYFVEILKALGVNLEDEKFKLLWASKETDSQSDVYWPLVLNIARGNTVSGVERCIKLMGLCEHDELNASHIVYTVMQCADIFFLEADICQTSMDQMEVNVLAREYSEKIKRENKPVILSHHVISALQQGQEKKNDPSCFIFMDDEEADVNVKIKKAYCPPVVVNENPCLEYIRYIIFPWFSEFVVERGEKNGGNKTFSSFEELISDYESGRLHPGDLKPALTKAINKILQPVREHFKKDAAARDLLKRVKGYKVSKDPPVEKIPVASDIESQSVELQDEELSSELLSDGLNQMSIEDRKFNTVRSIGEECIKLDELKNLLAKKPEPIAYDGFEPSGRMHVAQGILKAINVNKLTSADCRVKIWVADWFAQLNNKLGGDLNKIQTVGNYFVEIWKALGMKLEEGKVEFLLSSKEINSRSNEYWPLVLDIARKNTLSRIIKCGQIMGRSDKDQLTAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCLKIKRKNKPIILSHHMIPGLQPGQEKMSKSDPSSAIFMEDEEESVNMKIQKAHCPPNVVSGNPCLEYIKYIIFPWFSEFSVSRSQNDDTIYNNFKALSADYESGEVQPSDLKHALAKALNQILQPVRDHFQNDPDAKDLLKRVKVYLPFLYKNISTTTPAFIFNYNLTAKELLKAYYV >KZM98522 pep chromosome:ASM162521v1:4:24704778:24705242:-1 gene:DCAR_014116 transcript:KZM98522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRKFEINLISAIDLENVRRICKMKVYARVSIGNQGDSEKRTPVDRHSRTNPAWNFTMNYTISESVVEHHSSMLVIKLYCKRKLGDRYIGEVHLSMKELYEYAYPLGGSAIVNYPVQKGCVNSQGLLKFSYRFGEKVSIDKLLMAESIGVWSS >KZM97105 pep chromosome:ASM162521v1:4:10854064:10857301:-1 gene:DCAR_015533 transcript:KZM97105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSNMEPDEFTYGCVFRACAGSQALNYDMEIHGRITKSGITLEFFVGNVLVDMSCKWEEVEEVKKLQNKMEDKTIKVAIHNIPLPKLSTVSVGGVINEVKVELAHANAKLELLEVFNDKINKLPTPKPVDGSCTLFR >KZM97274 pep chromosome:ASM162521v1:4:12984299:12985643:1 gene:DCAR_015364 transcript:KZM97274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVTTADYYPEIAVSSSTHDGLEFWQFMVAGSVAGSIEHMAMFPVDTLKTRMQAIGSSSSASFSAPVINLRQSLSSILKIEGLAGLYRGVAAMGIGAGPAHAVYFSVYETFKKSLLAHGNPNTSAVHAVSGVFATVASDAVITPMDVVKQRLQLKNSPYKGVCDCVKRVLFDEGFGAFYVSYRTTVLMNAPFTAVHFATYEAAKKGLMELSPDSVADDETLLVHATAGAAAGGLAAAITTPLDVVKTRLQCQGVCGCDRFTSCSIGDVIQSIAKKDGYGGLMRGWAPRMLFHAPAAAICWSTYEAAKSFFQELNGRTNPD >KZM97106 pep chromosome:ASM162521v1:4:10860806:10862122:-1 gene:DCAR_015532 transcript:KZM97106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEVSEQTHEYSGKDYQDPPPAAFIGLDELGKWSFYRAVIAEFIATLLFLYITVLTVIGYKSQSATDQCGGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVMYMVAQCLGAICGVGLVKAFQKSYYNKYGGGANELADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKDKAWDDQWIFWVGPFIGAAIAAFYHQYILRAAALKALGSFRSNA >KZM97465 pep chromosome:ASM162521v1:4:14953414:14957611:1 gene:DCAR_015173 transcript:KZM97465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFNAINIKRPTVQSHKPISLFSSSLVKSIKIPFLSSKPINNPRKPRPILVSESPVSVPLETDDDELLDDPTAELRYLDPEADPDSITEWELDFCSRPILDIRGKKIWELVVCDNSLSLQYTKFFPNNVINSVTLKDAIESVCDELDVPLPEKIRFFRSQMQTIISKACKELAIKPIASKRCLSLLLWLEDRYETVYMRHPGFQKGAKPLLALDNPFPMNLPDNLYGDRWAFVQLPFSDVKKEVLSLQTTFAFGASLDLDLLGIEIDDEALVPGLAVSSSRAQPLAAWMNGLEVCSIEADVARASLILSVGIATRYIYASYKKTPESTNEAEAWEAAKKACGGLHFLAIQDDLDSDDCVGFWLLLDLPPPSV >KZM96828 pep chromosome:ASM162521v1:4:7084913:7088047:1 gene:DCAR_015810 transcript:KZM96828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMPYQMISSLRPRTRTDWRLKVRVTRMWRQLTCSVETVGLNMIFVDELGGRIHAWLPAQQMHRLENQIIEGETKSAQVIFYKEMGESFNQAIHDVVQHLIIVIISSCKAQMLTGESYTKNMDNIPYHMINNLRPNTRNGWRLKVRLTRMWCQITRNAETVAIKLIFVDALGGRIHASIPAQYIHQLEKNIAEGETYDVNKFVVRRYADMQHGRCLKNDIYIQLNHMTEVMVTGGVDYIQQHVFQFTDLDALYDAAHEQKYLIDVVGILEQAGLVTHFRNRNAVFVGLSQASPGIRSLENRHECDAGPGVAKMLVLYEVVAFACFLLSSLVAKVLKLLFRLDGKQIRLFIRQGFGVKDALLLLTVFSSVTGIILLTLSVVNVVQIRIGLYSSGSAEARMAIWALCTIVAIALVIYVVSISVAIYASIIGDADIDPVLGQHHSSNRGNNNSADTDVAVQVRGLTQKKDDLVSRS >KZM97197 pep chromosome:ASM162521v1:4:12135914:12137362:1 gene:DCAR_015441 transcript:KZM97197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTPDLQVKITTSNDEDWIHLIDSKLSLVRRKPQDLGICRVPDRLREVKPVAYTPKMVSIGPYHRNKPELRAMEEFKWRYTLDFIDGVAETDRGNIQINESHENSPQTLALKKCCKVISELEVAARAFYAEGINLDTYQLVQMFLLDACFILEYMRRIQLSRAIPWAPESLELLVSQLTIIYSLTEDLILLENQIPYNILQQLFDLIPSARRITDASGQVLSLQELAFAFFHAFGNILGNNILPLKKPIQDATFTHLLDMLYQTCSSTSVISPLKMDSRTRIKWGSKSCAAELIKSGFRISLHPRRVSIVDIKFEEGEIFLPRYTHDEFTSCLFRNLIALEQSRNGRQVITSYIFFMRSLLRSEEDFNILERAGTITNFQKSKFITSDFERLGMGVISADFIFRDLCEELNNYKVSWWRWRRVKGYTTVTWFRWKASVKDLKREYFKNRWSFLTFLAASFVILLTVAQTFYTIRAYYPPYH >KZM96631 pep chromosome:ASM162521v1:4:2697912:2698748:1 gene:DCAR_016007 transcript:KZM96631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGYNKANTTTNTITANTIGMEQPTKTTWRRFVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDSPEEAARAYDEAARALRGENARTNFAKSSSIKSSGCNGGLSESESDSHHGLSFSSLKAKLSKNLQSIMARTSENKSSKSRVSDHFTFASIFQFKGTNNNNNYTPYQNTNVIDEKVVQPSIIVPHIDEPWSWESSSSSSFSNEGSNEWLSGLRQHGSDSDGSSDSVGDMNIYDQLGFGWIGSPDSEGSRSKRFKVSSSVMVPPSFSINCEFPHNIEN >KZM98703 pep chromosome:ASM162521v1:4:26257571:26264039:-1 gene:DCAR_013935 transcript:KZM98703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRHGWELPAHTFQVVAITVFFLLCVAFYAFFAPFLGRDVYEYVAVAVYSFLALSVFVLYVRCTAIDPADPGILMEPDVPTYNSNHDTDTSGEHGKEELRYGGMSMKNTSSYCSKVGGLLCGCLVKADCRKDDDYFEQQFEEQHAVFCTICNAEVRNFSKHCSSCDKCVDGFDHHCRWLNNCVGRKNYMTFVCLMAVSLVWLIFEFGVGLAVFVRCFASKTATEHLISERLGEALTRPPFATVVALCTGLSLLAIVPLGELFFFHLILISKGISTYDYVVAMRTQSEPPGPSVDGGDQQSLQSSPVSSAVTAISGRSLHGMGLQYKGAWCTPPRIFMDQQDEIIPHLDPGRLPSTVDPDAVHPPEKGKKVPHRHVKISSWKLAQLDPSEAAKAGAKARASSSVLRPISSKPQKYDFDHLSSYNMGNRSSPSMNREYCGRSARSGSKSSYPPSRASREDIETCDHSISNLSSPLPPSFTPSPFGQQTPNAEHFNPMYQASADQSPGSSRGNEAAVLGAASPVPMRRNNPVFTSNTRSSIYYDEDAGRFVSASTQNVSSSSQVPPGPELTYTCQSIFYGGPLVNEQLSRGTRNLSAGSASSDRVPTPSYYQQSRAQRGGQLPVFNPGDSQQN >KZM98399 pep chromosome:ASM162521v1:4:23621252:23627430:1 gene:DCAR_014239 transcript:KZM98399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTGKVVNLGGKGSSLSSASVFQVSTSLSRLSIDSSTKISSSSKTPLPKQPLNPNSQFSLPDYLTPLEFRASLLVFLKKLISISLPNSSVAAEKLLGVLNSPGSCSITAEDCEFLNPFAGFCDVNACVLYGVCALLDHRWAALLGVVDAVAALSIEALGGDIVSSNINLLIDSGDGLSDKDEVGVASDLKVFLNGSKLVGKNQVKGYSVQDLDVIPETHGLFRLISRTLHAKSRVFLNSLTAGSGIVVLLASFADGILKLGESSWGRSSLVVGSLGNNEALSFITRFVEMFKAECPGIDRLKEVYSSALAAKSGGDNLKFVHDIFILSDLVGTIFSWEATAAFISLTLISGADIFNAKGNVAVVEATNNVGADNSKANEKKQQKEKKKNKVAFGKGTTAFMQYLQDRLQLTASGDIETWVQQFLSILDLKDPGYISLLEKIKDIVDSNDSRRLPKLPKGTRDFAKEQMTVREKAFSIISKVFKKHGATALDTPVFEMRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNGLTSFKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGKFEKMGPDFEVIKILTELLDELKIGDYEVKLNHRKLLDGMLYICGVPPEKFRTICSSIDKLDKQPFEQIRKEMVEEKGLSTETADRIGTFVKNRGHPLELLSELKQEGSEFVKNKEASEALDELEILFEALEKSKCIDKVVFDLSLARGLDYYTGVIYEAVFKGATQVGSIAAGGRYDKLIEMFGTKEVPAIGVSLGIERVFTIMEQIQKEQNQTVRATETQVLVSILGDNLSLAAELASECWAAGLNTEYLVNKRVTKHFDRAKDSKIPWMVIVGDPKVSEGMVKLKNLEANKEEEIPRSQIVEELSKHLSNTSS >KZM97390 pep chromosome:ASM162521v1:4:14177366:14177581:-1 gene:DCAR_015248 transcript:KZM97390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCVQCGTRSNPCRCKVVGPTLGFVAMVVTAVVEWPVGAVVYIFKHAKGRRIMGHPATVVYPKVSRCIPI >KZM97796 pep chromosome:ASM162521v1:4:17925566:17933867:1 gene:DCAR_014842 transcript:KZM97796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASQANLLLQKQLKDLCKRPLDGFSAGLVDDSNIYEWSVTIIGPPDTLYDGGYFNAIMSFPPNYPNSPPSVRFTSEMWHPNVYSNGKVCISILHPPGEDPNGYELASERWTPVHTVESILLSIISMLSSPNDESPANIDAAKQWRDKKDEFKKKVSRLEMDRKQMMLRMRLESAIRTAVACIIVGCTTLYGPSHFQNHVPFPSFSYVTAILIVSDSTLGDTLRGSWSAFCATVQVVPVSILSLWIFKPANFSPATAAVAVVLMSFLVGVPRSTDLLCKRIAFGQVVIVYVGAVAYGDAPGAVLRPLHLASSTGLGALASVIATFLPFPRLAIIEVRKLFHLYTQNASARTSLFIKAFLSQDSESVTEAVIESKPYSETGDMLLQNIKLIQEGLPWERRVMDLRCHLSNPGYQLQGIETAIRGMEMALASCPLLPVSIIDQELNTSLQGMDIELGLKLLEAPSLLPKEAITVRDTKSVYFDKVVQSLAKVSPTEEQLPIIFLLFCMGILFDSTSGNIQIPKTKNVQINSNIEVKASQGQSNDYRQRILGILNWLPGIEELLFAFKCSISLGLAVLFGMVFNEKNAYWSGLTIAISFATGVQPTFTVANARAQGTALGTAYGVVGCFLFPKSRELKFLTLLPWIIFVGFLRHSRMYGQAGGISAVIGALLILGRQRYGPPHEFAITRLTEAFVGLSCLILVELVLQRQRPATLAKFQLSRSLRILEECIQHITFPPLELKEKQRTLKLHVDELKQLRKYAETEPNFYYMPFRAACYDKLLGSLSKMIILLQLVTNIFETLPDVLNRLVDEREEIEELINIDLQHFKENVSTSLRYYENITTIKSLAFGEKGRQENKIFHDLEAGDSTNRSELNSAKRGDLAENILSSYTRHSVQLVERVCISECEEDLNGKIVLYLGSLGFCMKSLVSEITATENGIKELLRWENPLRRKLL >KZM98596 pep chromosome:ASM162521v1:4:25317780:25318142:1 gene:DCAR_014042 transcript:KZM98596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMMIKNFSSLVCNMLVSRGIQILRKPSKIVNFFGAQDDDLEIELCCVRLTRLNEEGKLTRVLPCMHEFHKGCISRWLDWYCKTCPICRFPMADREKTRREELTEEMVIWFSSFHAAGF >KZM98371 pep chromosome:ASM162521v1:4:23338252:23340270:1 gene:DCAR_014267 transcript:KZM98371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSSYSDLSIQQTQFNVDPNNLTNLFKSQQKYLNYFFQNLDLSQTLVFTQTLLNSNGTIFFSGIGKSGFVAQKISQTLVSLGIRAGFLSPVDALHGDIGILNGNDILVMFSKSGNTEELLKLVPCVKAKGVYLISVTSLQTNALMNVSDLNVFLPLERELCPFDLAPVTSTAIQMVFGDTVAIAMMSARNLSKNEYASNHPAGRIGKSLIFKVKDVMKKHGELPICKEGDLIMDQLVELTSKGCGCLLIISDKNQLIGTFTDGDLRRTLKASGEGIFKMTVGEMCNRHPRTIGPDFMAVEAMQKMEAPPSPVQFLPVINQNNILIGIVTLHGLVSAGL >KZM96708 pep chromosome:ASM162521v1:4:4717524:4723933:1 gene:DCAR_015930 transcript:KZM96708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKTTSSFIMNDLSPYSPIDTVDTTTYDWKLRVRVQSFWKSLSREKQEFWGVNMLLIDDSNGRVHAFANSKYCGDLLKEIKEGEIYVISNFKVKDYLGDEKYRAVRSKKHIFFTPHTLFKKATDVGLPIELYAFDLFHYDAIEKLADDNRFLIDMAGKVINVQDLIKIKKNDEEKTLFKFQISNGSSTVHVTFFDQFGELAEKDFGNADRRNLYVIISCAKVGRYEGLPHLSNYPATRVYINPKHYCINELKRRWKCTGCDLELELEHGKFICSRPNGCGRIIPYPDKRFRLCTLCSDESGSVAIIFPDHEITKLIDKTVIDLHADCSDEAEEEKFPEILNMFLKQKYTINLSINLENVEKGSTVFHAKEILQAQEKGDSFDPNSATVVEIGDISLVNESGTEQNANETPNTEKSTNMKTRPRNISESLAFNPTDASVSPLVKKIKVEKQVKTMASNHYTAVEKLKPGVDQYKIKVRVIRLWRGATKSGEEFKSFNVIVIDQKEFQFIRFVGRVYSIKNFDVQIYKQTEKFRVLRNATQLVFNQDTICQQLADDGVTIPANAFDFYDHSQLEELSKQTTYLADVVGIIKDYDNIRDLTNRHGQSQRQAKFYITDGNSNISVTFWDNFGQNFDKLMKSGLEKPVIIIISGCRVGKWNGEIDISNNTATTVYLNYKHHSVVTLRKLLTNPEFAKKALGKPKVKSMAMATVKELENLGKDDIEGFFMAHVKITKIDETFSWFYNACTSCDMEVTVGNPCPICEACNRYVPYPQKKFKIHVVAEDQTGQMPVVLGDREVRAITGRRASDFADQIFSVQAFPGCVLGITDKEYSIVIQIREANVLNKFKYYWATNICRGFVKLPAEADEGASSSRAPTSQLRFKENIHTNMAEIPYQMISNLRPQITTAWRLKVRVTRIWQAITQQGDTVGINCIFVDELGGRIRAWIAAANMNQLQGLITEGETYNVHNFVVRQYGAMQTERCFENDFFIQLYHMTNIFVAEDVDYIQRHVFQFTNLSAIIDAARESNFLIDVVGVLQQVQPMTSYRNKYNQLKNSIQFTINDMNVKARMIQGEVKLTNYPATRFFINLHHEAVEDLRDALSVNRLNGTDWQIGVSIDVRK >KZM99289 pep chromosome:ASM162521v1:4:30854154:30856874:1 gene:DCAR_013349 transcript:KZM99289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGNSLPSGSDGTKRKVFYFYDPEVGNYYYGQGHPMKPHRMRMTHALLAHYGLLQNMQVLKPIPARDKDLCRFHADDYVSFLRGITPETQQDQARQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGNCDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGHASGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVILQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVELEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQVLDDIRAKLLDNLSKLQHAPSVPFQERPPDTEFPEADEDQNIDQRWDRESEMDVDDQRKPFSGRVKREIFETEQKDAANAREGEQARDMDATFTETASLKASNPVPISMDGLHINSEQGNASKQSDGRADMDS >KZM99701 pep chromosome:ASM162521v1:4:34138366:34140083:-1 gene:DCAR_012937 transcript:KZM99701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDKTPRLPGQLPVRTIPGSYGWPVWGPFVDRLDYFWFEGADTFFRKRMDKNKSSVFRTNIPPAFPFFTSINPNIIAVLDVKSFSHLFDMELVEKKDVLAGDYMPSTSLTGDIRTCIYLDTTEPRHAQLKNYILDILKRSRRTWIPSLTANLDTMWNSIDSDISKSKSGDTAFDVPLKKFLFSFLARALTGADSSKSPEISEKGYSWLERWLLIQLVPTIKLGLCQPFEEIFLHSFVYPSFLVTGGYDKVAEFVKKEGSEVLNRGTTEFGLSAQDSLHNLMYVLGFNAFGGLLAFLPTILKILGNDKTGLQEKLAKEVRDTCKADSLSFDSVTQLDLVNSFVFEALRLNPPVPLQFARARKDFTLSSHDSGFEVKKGEVLCGYQPLVMRDGNVFDEPEKFVADRFTKDKGTELLSYVFWSNGSQTGSPSADNKQCPAKDYVPVTAALFVAHLFQRYDSITLDSSGSKITALEKAKHA >KZM99730 pep chromosome:ASM162521v1:4:34340057:34342456:-1 gene:DCAR_012908 transcript:KZM99730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRLVGDEEFRSADHVRIMMIKSCRNAEDVRWVVEYLNGLRKKGLHFSLYSCNALLIQFGKFDMVDAGKELYLRMLSDGIEPSLLTLNMMINMLCKRGRVQEAQMILCRIYQCDWVPDVFTYTSLILGYCRKRNLVAAFRVFDKMLKEGCLPNAATYSTLINGLCNESRVDEALELLNDMIEKKIEPTVYTYTVPINSLCAAGRVKEAIDLLFSMRRRGCLPNVQTYTALISGLSRARNHELAAGFYHKMLMDGLVPNTITYNVLINDLCAAAGRYSDALRIFDWMEKHGTLRNTGTYNDIIKIMCLTGQLEKAMILFDKMRDVGSLPTVVTYTTLIGGYLKMGKLKNATRLLQVMKENECEPDEWTYSELVSGFCKAGKLDSAIAFFEEMIDRNLSPNQVNYTTLIDGYCKEKKCDAAMILLEKMEAKGCLPSIETYNSVIYAFAREGKLDEAEKLFEVISERGLLPNVITYTTLIYGLCRNGGTSLAIKVLQKMTKRHCFPNVNTFNCLIYGLCLEGQAEDAEVLIEDMQRKGLSPDTVSYTSLIDGFVMSDKLDHAFVVLRKMVAMGCKPNYRTYGVLLKGLQKEYQSNVEKIVSQHESVCTSGPHNKDASFDVINNLLVRMSESDCEPNVDTYCTLVISLCREGKVHEAGLLVNHMEERGLCPNKEIYCSLLFAYCRNMRVDTAKEIFNLMVKKGFTPHLSNYKALICALCKENLVAEALNVFDNMFETPWNSDEVAWTILVDGLLQQGDADVCMKLLYKMESKGLAPSSQTYNLLAKELSRVEKCLDARQVLT >KZM98552 pep chromosome:ASM162521v1:4:24991232:24991408:1 gene:DCAR_014086 transcript:KZM98552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTRLAWHICPLCRLKLLKGPFLIGPPCMNVREKEINASYWVKDYDMRSIAPIFNHT >KZM98936 pep chromosome:ASM162521v1:4:28140519:28140905:-1 gene:DCAR_013702 transcript:KZM98936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLKRYVLRLFISLKYITANVVDRNNGRIVASASTVEHAIKGNLECGRSCNAKAAVVVGEVLAMRLKVEGLDQGQERGIHVDVNKEIAKKGLASSTKVWGIVNALKNNGVKLILDDDNNSASPSSKY >KZM97925 pep chromosome:ASM162521v1:4:19491602:19499710:1 gene:DCAR_014713 transcript:KZM97925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPNRVAVRSSLIDSIKACTLAGAQIPKEELRRRISMPDYLRLAMRKAIESKDIDAALSCYNDAAEEDAPEAPLVVFINSKSGGRYGPQLKQRLQDLMTQEQVLDISDVKPHEFVQYGLGCLEKFASLGDCCAKETREKLRVVVAGGDGSVGWVLGCLGELHKQKREPVPPTGIIPLGTGNDLSRTFGWGGSFPYNWKSAIKRTLDRAATGQIRRLDSWNIRLLMPAEEKVKVSYCLKRQPEEFSYDQELEAEVDLPEKRICYEGVFYNYFSIGMDARVAYGFHNLREEKPYLAQGPVSNKLIYSGYSCTQGWFFTPCISDPSLRGLNNILRIHIKRANCSEWEPISVPSSVRSIVALNLRNYAGGRHPWGNLKPEYMEKRGFVEARADDGLLEIFGLKQGWHASFVLVEIISAKHLAQAAAIRFEFRGGDWKEGFLQMDGEPWKQPISKNSTTVVEIKRVPLQSLMIDGQ >KZM99122 pep chromosome:ASM162521v1:4:29593780:29596826:-1 gene:DCAR_013516 transcript:KZM99122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNENLPPNVIKQLAKELKNLDETPPEGIRVGVNDDDFSTIFADIEGPAGTPYANGVFRMKLILGHDYPHSPPAGYFLTKIFHPNVAPKNGEICVNTLKKDWNPGLGLRHVLIVIRCLLIEPFPGSALNEQAGKMLLDDYEEYARYARIYTDCHAIKPKPKFKNGAISESTTALNVGQTNTSVLSTEQKNGATGAAVPLPSPLAPTTNTVVKGSQDQSTNAASSTVTDTGISGSATSSLAPVMKNKENVGLPKVNADKKKIDARKKSLKRL >KZM98971 pep chromosome:ASM162521v1:4:28403124:28403963:1 gene:DCAR_013667 transcript:KZM98971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELARRPIAIIEVWDHNLEEEFSRIRRAVMSHPCISIDTEFPGTVVPDLKKRKFYELEPEEAYSLMKANVDASKLIQLGLTLSDPYGNLPGFGTEMGHVWQFNFREFDVDKDVQNISSIELLKRHGINFLWNKMYGVRSQDFARLFRGCFGPRCTWVTFHGGYDFGYLVKALTNSDLPGDLGTFKGLLCRFFGNSMYDVKAIMERFRLRGGLEALATEFKLARVAGTPHQAGSDSLLTMQLFIRMIQWCGHRNNGTALRPCMPYGLNLEVNKQVQEAGF >KZM96974 pep chromosome:ASM162521v1:4:9343201:9349061:1 gene:DCAR_015664 transcript:KZM96974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEESESTQSLPLGAAAADDAVSINVRCSNGSKFSVRASVGSTVSQFKQVVAENCDVPAVRQRLIYKGRILKDDQTLDSYGLQADHTVHMVRGSPPIASPPGAAASSVGNPNATTNATQPVNPIDGGGLGGAGLGASLLNGLGGFGGLGLGAGSGTGAQGLFGAGLPEFEQVQQQLAQNPNMMQDMMNTPAIQNLMNNPEIMRSLIMSNPQMRDIIDRNPELAHVLNDPGILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMGAGAGNDLGSNPFAALLGNQGGGNQGTNASSDTLNTGSEAAAGTTIPNSNPLPNPWGGTAGSQTNTAARSNPTGDARGAGIGGLGGLGLPGLDQMGGVPDPSAMNQLLQNPGVSQMMQSLLSNPQYMNQFLGTNPQLQSMFDMNPQLREMMQNPEIIRQLTSPEMMQRMSTFHQALLSQQNRPQTTQEPAQTGGTTGAGAQNNMGLDMLMNMFSGLGGLGAGGLNVPNTSNVPPEELYATQLSQLQEMGFFDTQENIRALRATSGNVHAAVERLLGNLGQ >KZM98132 pep chromosome:ASM162521v1:4:21312239:21328630:-1 gene:DCAR_014506 transcript:KZM98132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTTTATAAAATSRLIISYIGNRAISPPLFFTKKLLFPSYSTLHSHRYSHFSSSALASPLTDTNTAADLPESGVNSHHPWPEWVLFVDRLNSKGYLSNSGADSPQIARRIDYKDMNMMRDACLSFARDRLDIFQSLSRPYIQAVVERGCPNVFRKSVNSGKRLRVYMQLDEGDVCSNCLLRGSCDRANVIVKDSEAAAGTVDIVRILLAYALDSAVVTGEKFPGREHIEVSARKLLLELIELSETAPNPEFSKPVAIAPNQKKKSSLLADENLSPDIELKRGDWMCPQCNFLNFSRNVKCRECGEDGPKKVSRAEVEMKQGDWICPDCGYMNFSRNASCIKCNVEGPKRVRADAIEMKKGDWNCPQCQFMNFASNKKCKRCQEVRPKRELIPGDWECPSCDFLNYAKNVICRKCDGDRPNEKVDTRYEDQLWRKPSSPLQGSNIRGTIERYKKATADASATCSTQEINAQFYQQESKKLQQQIQMIQNSNRHLMGEGLASLNAKELKQLEHRLERGIARIRSKKHEMLLAEAEGLQKRENELEHENAYLRAKIAAENERLQGLSMVTGSSVGQDEYNEYQAFLARNVQLQFSIMDPSPHPADDHVETDYQITPRKPFHLGYVPT >KZM96845 pep chromosome:ASM162521v1:4:7549829:7550715:-1 gene:DCAR_015793 transcript:KZM96845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFALPAPPCIPGVNAVEYAKRRTRPLPGAPAPHPVRSAAPGSKNSKTPFSQCYGLFLGIGLSGFAWNPVIKVTKLFTAEEDVWQDLIAARPDAVKRKTKKVFYYDELSELFAKDRATGGKAETAKEKRRKWASGSEFGVETIDEIDELLNANDVTLDNYNTEDDLLVLHGMACPEERPSNSKC >KZM99134 pep chromosome:ASM162521v1:4:29667793:29669919:1 gene:DCAR_013504 transcript:KZM99134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFWKVLPAALKYVYETGDDSGKKAACRLVNIWEERKVFGSRGQNLKDEMLGKNPPPVPALPSNGKSSNPIKIVKRDAHSLRIKLAVGALPEKIVTSYHSVHDQNVDEEAAFNKFKSVILRGGEIERNVEEMSAHGSVQGSHLAEDIQEQESVLQQCINQLESNEETRTALVSLLKDALQNQVLYSSLKASSCFNRVCSSSVY >KZM96840 pep chromosome:ASM162521v1:4:7479393:7480085:1 gene:DCAR_015798 transcript:KZM96840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSSFISILLIIVTIFFLHTFPTAFAKHHKDGGHRVQEHVIKVESLSQPQPVGEPQPVVPPQPVTEPQPVAEPQPVTDSQPAVQALPQPALPSENTFEIHIINHASAGADTVNVTCNPGPPPGTPPPPTPPLGPPVPPYLLKVEEAFSWTFEYPDPSADISYSCVIDWTGHNQGLVTLFDKDSYTDAECRGDNGNHCYWAIAQDGFYFSNKDGPFPGPNWTFRWPWGL >KZM97091 pep chromosome:ASM162521v1:4:10683359:10684887:-1 gene:DCAR_015547 transcript:KZM97091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVNVLHMNAGDRECSYANTSALQKYVILKSAKVLEDTIEDYGTNGFSECFKLADLGCSSGPNTFLFVAKTLANVHKACAKKNLKAPDEFQVFLNDLPNNDFNTVFKMTPSFYSKLENDKALGRTLKCFVCGVPAYFNQYKQDLTTFLRMRSEEIIPDGRVVLTILGRRASDPASKDCCYLYELLAMSLHDLVAEGSLHEEDISSFNLPIYTPSPDELKTIVESESSFCVDILETFEVKWDMRDEDEIIKSGDSSGKFMAKTARAVMEPLLASHFGNSFMDQIFERFDKHVNEHLSRGEKGSYFNILVSLRRK >KZM98198 pep chromosome:ASM162521v1:4:21863229:21864521:1 gene:DCAR_014440 transcript:KZM98198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVIDFSKLSGEERANIMAQLHNGCEEWGFFQLVNHGIPEELLERVKKVSSECYKLEREEKFKTSTPVKLLNELVENKSHNKIDNVDWEDVFLLSDDVIESEWPKETPEFRETMTEYRAELKKLAVKVMEVMDENLGLPKGYIRQAFNGGEAGDNTAFFGTKVSHYPSCPQPEMVNGLRAHTDAGGVILLFQDDQVNGLQILKGGEWIDVQPIKNSIVINTGDQIEVLSNGRYKSVWHRVLALPTGNRRSIASFYNPSYKATIGPAQELVETPHKELEQDYPKFVFGDYMSVYAEQKFLPKEPRFQAVKAK >KZM99030 pep chromosome:ASM162521v1:4:28861152:28862552:-1 gene:DCAR_013608 transcript:KZM99030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDEATKVQEVIVVVVPFPAQGHLNQLLHLSRHISSYKIPVHYVSTITHTRQAKSRVHGWDPLSISNMMIQFHELETPPYESPLPNPHTATKFPSHLQPSFDAALFLREPVAGLLSALSPTAKRVVVIHDFLMGSVIQDLASLPNGEAYSFQPVSTFLISYYLWSIVGKPTEIDDEILRQIPSSGTTATKEVTEFTNRQMEHQKYSSGAIYNTCRAIEAPFLDVLAKVNARQWAIGPLNAVQICNTSNNQHQDKCLEWLDNQAPDSVILVSFGTTTCLTDEQINALAIGLESSGQKFIWVLRDADKGDIFTGDVRVLKIGLVVQNWACRDELVESLTIEKSVRKLMASRDGEEMRKRAVELGCAVRRSVAEGGDTRREYDDFIAHIRR >KZM97965 pep chromosome:ASM162521v1:4:19872179:19875784:1 gene:DCAR_014673 transcript:KZM97965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFDEYKLHWETNSNYNAEELIRSLLQDDTLLAPGDSIAPDGGQPSAASRNIVAERNRRQKLNDRLYALRAIVPNITKMDKASTVKDAISYIQELQEQEGRIQGEIAQLESMASEKQDWCKKKRIAHEQQQLSSYVSGGGGSSSSPPIQVIDIKVSYVEAKIIVASLICSKRTDAIIKLCEAFESLKFKIITANITSFSATLSMTVFIEADEMEKDTIKIEIETAIRAVNHPGLQSNELLP >KZM98989 pep chromosome:ASM162521v1:4:28554547:28554834:1 gene:DCAR_013649 transcript:KZM98989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRTWACFSLLLLLMLLQIQIATCCRHEDDKRFKVEAQADSKMTSSPAADGDNHVDINGVLFVEGNPCYKDGDDIYDADERRINTGANPLHNR >KZM98413 pep chromosome:ASM162521v1:4:23754629:23762545:1 gene:DCAR_014225 transcript:KZM98413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKPKSSSSSSTKDTKAANRRIWFYSLLLTLQYGAQPLISKRFTGREVIVTSSVLACELAKVVCALILMAKDGSFGKVFKEWTLVDSLTLSGLPAATYALQNSLLQISYKNLDSLTFSMLNQTKLFFTAFFTYILLRQKQSIQQIGALLLLIIAAVLLSIGEGSRKGSTSRDPDEILFYGIVPVLIASVLSGLASALCQWASQVKKRSSYLMTVEMSVVGSLCLLASTYKSPDGEAIRQHGLSYGWTLLTWIPVLFNAVGGILVGLVTSYAGGVRKGFVIVFALLVTAFLQYLVDGIIPSAYCLAALPIVIISIAIYQKYPYSIKKKEA >KZM98591 pep chromosome:ASM162521v1:4:25292591:25296140:1 gene:DCAR_014047 transcript:KZM98591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGNNAAARRCKNAIKFGISLFCNSFNSSKCKTMTKMAVARIKLLRNKRQVVVKQMRRDIALLLQSGQDATARIRVEHVIREQNVLAANEFIELFCELVVARLSIISKQRECPQDLKEGISSLIFAAPRCADIPELLAIRDIFEKKYGKDFVSAATDLRPSCGVNRMLIDKLSVKTPSGEVKLKVMKEIAKEYQVEWDTTESEMELLKPPEELIDGPKTFVSATSLPIKPLPNQSNTEPTATTRHSNIGPTAATRYSDGGRNSMQFEDTASAAEAAAESARKAIAAAGAAAYLANRDSYQTSQGFALNGDVNVYNHHPEMDTFSGKNMKSPVSEISQNSLPKDLHTQTTYRRHSYNVAPSTYSEDESEYDEEIEVEDPTSDANRRHRSPTNMNPKMTHRRHSYNVQPKDTGIKFDESDCEDDFEREEPTGGKYLPPNRPAPEVPSSRVNSTHRVHPKLPDYDVLTARFDALKFPKV >KZM97752 pep chromosome:ASM162521v1:4:17521658:17522300:-1 gene:DCAR_014886 transcript:KZM97752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILSSNTAATVVLATAMAVSGTVIVLALRLQKFSINYLPKSCISSDNKKRERKKKKVHFAEDVIDPIGNGEEFRKRFADKNNRKDKNLKSSDYKVPRELSANRMALYNGILRDRVVNRTAYSY >KZM96805 pep chromosome:ASM162521v1:4:6627482:6628383:-1 gene:DCAR_015833 transcript:KZM96805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNAITFYPSISLRLVHHLIFSTISLEVLDMHQMLNMGAPKQKWTSEEEAALKAGVKKHVGGKWRTILKDPEFSGVLYLCSNVDLKDKWGNQWVLVNPLVYHQ >KZM96700 pep chromosome:ASM162521v1:4:4622584:4623968:1 gene:DCAR_015938 transcript:KZM96700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLCCSCLLVPTRELALQTSQVFKELGKNLKIEVMVTTGGTSLKDGIMRLYQPVHLLVGTHGRILDLAKKGICNLQNCAMLVMDEANKLLSPEFQPSIEHLISFLPTNRQILMFSATFPVTVKDFKDKYLQKPYVINLMDELTLKGITQFYAFVEERQKLKINQSIIFCNSVICVELLARKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTGEPVPPLYFFSADSYMFRIKNIV >KZM97680 pep chromosome:ASM162521v1:4:16813106:16818946:1 gene:DCAR_014958 transcript:KZM97680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLASTIQTSPPKFELGLSRVPSLLRSAPFPKRVRIQHLNFAPHLLLSPVVCRNRRNYIVCSRRTADQEEESSTSGKEASNLQSYDDFTDFKSANGVKFVGVSEGITRGPDYKQAVRSVAFWVCAAVAFGVGLGFKDGIGKASEFFAGYLLEQSLSVDNLFVFVLIFKYFQVPYTYQNRVLSYGIAGARFEAVNLLLATVLLYSSFKLFAGEDEDSDLSDNFVVKTCQKFIPVSSKYDGDRFLTVQDGVWKATPMLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNIFAILGLRSLYTLISESMSDLEYLQPSIGVVLGFIACKMIADFFGFHISTEVSLGVVATTLGTGVLLSLMKKSD >KZM98850 pep chromosome:ASM162521v1:4:27360654:27362429:-1 gene:DCAR_013788 transcript:KZM98850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFNVFKLSVLLNLLSGPELGLFPASKHLGSVVPPPTCGRIECPSYDVVFAGDEYEIRRYNSSMWSSTSPIQDISLVEATRIGFLQLFDYIQGKNNYQEQIEMTAPVLTQVSPSDGPFCASSFVVSFYLPNKNQANPPPAKGLHTQKWGTTYVAVRQFGGFVADSSVGEEAAALYSSISGTTWATAIDKSHAGEATTTYTVAQYNSPFEFSDRVNEIWLMFDL >KZM98982 pep chromosome:ASM162521v1:4:28504491:28506601:1 gene:DCAR_013656 transcript:KZM98982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDIQKFLRNSDQLEFEFQHFPTSYLRLAAHRVAQHYGLLTMVQDNFLDGQGMRIVVKKTAESIYPRVCLSDVPIKQSENDSTAQKKIVIQSRPTSASSSDNIGGLRKHNSIKSVEVRKEEYDRARARIFSGSSSSPESVSLKHQNNVYSTGIENEGSRNDVMDMERNVTNREGGTSSRVAILRDREKDLIDPDYDRSYQRYNRNLPDSQNFGSTQMHMQNFQSPPYLHYDTALPQFGHMPDTQASPSYCYSSFVQIPYYASRPYQSSMDAVYVQVPSPAMMYPQSYDQLRNAVLQAPIYQQPLCFDYTNY >KZM98672 pep chromosome:ASM162521v1:4:25924995:25927037:-1 gene:DCAR_013966 transcript:KZM98672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNCENVFLNQSDSLTSALLKFQAGPSEIGSPISFNMEGQNNHFMSDSLENGEFHSKFGFDHLTVTHQFDDLRLNYPTIQRNNIRGNDTIISHGGITSTYPPNGKNTVSHWDKYKKCWSGPNHLGSSSHGNHITNNMRKYGNMKRVNRSPSKTQSKKQIRFRFASWTYEEKKVMDRELEKFTSLPVLNICKKIAIMLPRKSIRDVAMRIEWLINHRKLDNIWFLDKPSVEGDLSDFDFYMPDNPNDRDLLAIRNKFGAGVVKGSRL >KZM96916 pep chromosome:ASM162521v1:4:8677555:8678001:-1 gene:DCAR_015722 transcript:KZM96916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSSFSSNLIILIIVTFASSIFTCVSSAGYNLIVINGLSDNNPLKVDCPPNPVRDIGKGARHAWHFDQPPQGLECFFTWNHQKTKIKNIFANNDVYFLARNEAIFKADKDIPFKNPNIGWNARAAWHDEADFDTPSCELSGSCESD >KZM97283 pep chromosome:ASM162521v1:4:13104873:13108530:-1 gene:DCAR_015355 transcript:KZM97283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKKQKTTPSSNFNLFDHLSEELIFLILDHLKDSPFDSKSFSLVCKSFHKLESLHRKTLKPLHPKHLPKILNRYQYVSNLDLSLCPRVTDEALNFISSSCKKMLRSINLSRSRFFSHLGLSNLVKNCCGLVEIDLSNAEDLRDSGLAVLAEAKNLEILSLARCKMITDIGIGCVAVGCRRLRFISLRWCLGVGDLGVGLIAAKCKEMQSLDLSYLPITEKCLSQVMKLEHLEHLVLEGCIAIDDDSLAALKHGFKTLQALNISCCENVNHAGLSSLINEAKPLRELILAYGSPVTLALANTLQKCSLLQSIKLDGCQVTCSGLKAIGNWCASLREVSLSKCLGVTDEGLSSLVTKHKDLRKLDITCCRKITHKSIANITNSCTSLTSFRMESCTLVPRESYILIGQRCHFLEELDLTDNEVDDEGLVSISRCSNLASLKLGICLNITNEGLAYIGMGCSKLTELDLYRCAGISDKGIFAISDGCNNLQMINIAYCNDITDTSLISLSKCSKIHTFESRGCPLITSFGLAAIAVGCRQLTKLDIKKCYLIDDLGMVPFAHFSQNLRQINLSYSSVTDVGLLTLASFSCLQSLTILHLKGLTPSGLGTTLLACEELTKVKLHVSFRTLFPRPLIKYLESRGCSFQWRDKEFQAELDPKCWKLGLENTE >KZM98377 pep chromosome:ASM162521v1:4:23424263:23424448:1 gene:DCAR_014261 transcript:KZM98377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEALRSENISAPKNTSDLQYMSPEVSRQRELDPRRLFDDEHHQTCSDSVFDAAVKLRN >KZM98570 pep chromosome:ASM162521v1:4:25158283:25162443:1 gene:DCAR_014068 transcript:KZM98570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDFVGLEDWELLPSSDSNPVEDIKGFQVIDNDSGVLIRPDYFDSRSEYLKSNQESSGAQSLKRVGDEESGIGVGFDGVEEIDLGGAENERIEVGFVEKSELEVGFDWVGETVSRDLGGVESEKYDLGLMKKSELEVGFDGVGETAVRELGFAENEKNELQQGEMGEEVVGRDLGVDESEKTEVGLVGGVDESENSGILLSDFIGVEGNSEMSDGADSNFRDELVLGGGGQGDKHCDDVDIVRGERESGGEVEKRYMVWWKLPFEFLKYFVLRTSPVWTVSMAAAVMGFVILGRRYYSMKRKSRSVQVKVTMDDKKISQFKSRVVRLNEAFSVVKHVPIIRHSLPAAGVTPWPAMTLR >KZM98613 pep chromosome:ASM162521v1:4:25473308:25474348:-1 gene:DCAR_014025 transcript:KZM98613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERELIELRSRTNMLSGRMGSLDKYFSENEGLSKEEVCEDYNNRKGGNDGYVDLNEMRVVMREEFKRRLKNMLGREDYASGGAVVRKHSVKADYFADPVRMITPSFGQPGECFGLKGNRGFVEIKLRSAIIPQAVTLEHVAKSVVDNRSSAPKDCRVYGWFSRKKITRDLSMYFLGNFTYDLEKSSVQTFDVSDSSRVIDTVRLDFTSNYGNPSYTCIYRFRVHGYQPDI >KZM99668 pep chromosome:ASM162521v1:4:33867975:33869621:1 gene:DCAR_012970 transcript:KZM99668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQLNLDFSLLMPSANSTNNSQSLKFDTSLFDMESQLQIRQSNNTCISNNSRRETISLILQLSHKFDPVLSYLAIDYLHRFVSTGRIVNQDAKPWMLRLLAVSCVSLALKMSKTEFSLDCIQNEGGLMFDKQSVRRMELLILGGLNWQMRTITPFSFLSFFLSFFQLKDPPLTEALQARATQIIFDSQHEMKILEFRPSIVAASALLSASHELFPLQFSSFRDSISTCSYVNKANLSKCNEVMEEIAAESYESMLDIGASSNTNTAVNVLDRDWSSSSSDCSTGLISDTTINTEARGDFKRQKITLSSFRSDETFQLSHIHHCL >KZM98194 pep chromosome:ASM162521v1:4:21829123:21829623:1 gene:DCAR_014444 transcript:KZM98194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRQVFCGPVVTLKVFEDNVLVREFLEEKGNGRVLVVDGGASQRCAILGGNPVVQAQNNGWAGIIVNGCVRDVDEINGCDIGVRALASHPMKASKKGIGEKHVPVMVGGTRIFDGEWIYADTDGILVSKMELSV >KZM97488 pep chromosome:ASM162521v1:4:15188694:15191151:-1 gene:DCAR_015150 transcript:KZM97488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAQLLKPLRYCLNPISFIISFHVLLINFPTCLAQFGHYNYYSDCSNTTAIGCGDTTFKNPLYPFWGESFRPDYCGLDGFELHCENNDLVVDIGSRSKYHVVDFNSATGVLTLNRSDDPLGSICASGEATSTILNATLYDYTDYTEDLSLFYNCADDFDSVWVDYTFTCQSNSKKRVYFFLGNSFDLVEDKLESCTNTTLQVDKTAFEELKSNRIEPEALFKRSFEVHYNRINEGACSDCKATEGLCWRGTNSIDNTCLYSNGTNLPPYGYGRPATTIVCSILLLVILITYSRRRKIVYGLSLFPRYASSFPKDVEAFIRQYGSLIPERFRYSTLTKITDSFKNELGKGGYGNVYKGRLADGRVVAVKVLKEAKGNGEEFINEVASIGRTSHVNIVTLLGFCYEPKRRALIYEYMPNGSLEKFIHGKTPLLKAQRLGWEKLYSIAIGIARGLEYLHRGCSTRILHFDIKPHNILLDKNFSPKISDFGLAKLYNTDESIISSLLQARGTIGYIAPEVTSRNFGKVSHKSDVYSYGMMILEMVGGRKNVNADADQTSEIYYPRWLYKRIKSDDALNLANDISAENEIVRKMVIVGLWCIQIYPSQRPSMSKVIEMLEGRTAALEIPPSPYLCSVPSSPSSSQRELVVCSSSRTERTSSG >KZM98933 pep chromosome:ASM162521v1:4:28101152:28111242:1 gene:DCAR_013705 transcript:KZM98933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNKAPCFSPPPPPKPYSGDFLFECRRCGEFITASRSESLLVCSACRFAQKVVSSPPPEEINEVEQEEDCGAVEGETFTDYRPPKLSIGPPHPDPVVETASLASVQPPEPTYDLQIRDDLERSKALSCLQIETLVYASQKHLQHLPDGTRAGFFLGDGAGVGKGRTMAGLIMENWQQGRKKALWISVGSDLKFDARRDLDDVGAPYIKVHPLNKLPYSKLNSKAVGVQEGVIFLTYSTLVASSKNGNSRLNQLIRWCGQGYDGLIVFDECHKAKNLAPEAGGKPTLTGKAVLNIQACLPEARVVYCSATGASELRNMAYMTRLGLWGKRTSFVDFHDFQGSLEKGGVGALELVAMDLKARGMYLCRTLSYKGAEFDVVEVPLEDDMMDMYNRAAEFWADLRVKLMSEVTLYNDKPESDRIWRTYWASHQRFFKHMCVSAKVPALVKLCLQALSEDKCIVIGLQSTGEARTEEAVAKYDELADFISGARELLQKFVEQNFPMIPKPVSLSDENGVKELRQKKRSAATGVSYKGRARKAAKLQAEIDAATETESESEFTESDDEFQIWEMCNSETKREELLQCSCCKQIKHPACFVPPEEGAVSADWTCVPCNKYLRDVDWYNKAAERMSQILRDVHSLDLPNNPLDEIIYKVVSKNNLDFSIPLGGPDKVAEITGRHRRVIKASDGNVKYEPRNRLLFSNLGGERRFASTVAKRLESLGALTQGDRRSAGPSLSAYNYDSVYGKRALENFYHKIVKQSSLPVAPLGCSLQKPERIQDLVVKGKAALESVGIVKDNTYVGHEDRDIHDVRRFLNRLLGIQPEMQNSLFELYLSEIDLLVEQARVEGHFDSGIANMKANTIRIKGQPKKVHIDHLSKASTVLFTLTLDRGFTWEAALTLLTEKRKDKSPASSIGFYKTKRESLGRRNCLLAFEGEMPLAELEAKYEKFSSLEDAHACWDDEYMLSFKQCLHGPRCKHGDYCTIGRRLQEVNVLSGLILPVWATVQKILAKQNREIDRRLRIVRVETTTDSRRIVGLLIPSAVVNSLLEELPFQDIDE >KZM99696 pep chromosome:ASM162521v1:4:34109953:34111080:1 gene:DCAR_012942 transcript:KZM99696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFSRKWLCAVLVLSIVFELSLVAFGEKKLDETRWDDDCRGRRGCGGRGRYGNGGRGGRYGGRGGGLGGGGGGGLGGGGGGGLGGGGGLGGGGGGGAGGGGGVGGGSGQGGGFGAGGGVGGGVGGGGGLGGGGGGGSGGGGGVGGGSGHGGGFGAGGGVGGGAGGGVGVGGGGGGGSGGGGGIGGGSGHGGGFGAGGGVGGGAGGGVGVGGGGGGGSGGGGGIGGGSGHGGGFGAGGGVGGGAGGGAGVGGGGGGGSGGGGGVGGGSGHGGGFGAGGGVGGGVGGAAGGGGGGGGGGGGGGGGGGLGGGSGHGGGIGGGGGGLGGGHGIGGGHGAGGGVGIGIGIGVGVGVGGGSGQGVGVGSGSGSGGGGGKH >KZM97804 pep chromosome:ASM162521v1:4:17998011:17998635:1 gene:DCAR_014834 transcript:KZM97804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMFNAEKRGKRQVMIRPSSKVVIKFLMVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KZM99380 pep chromosome:ASM162521v1:4:31696128:31698816:-1 gene:DCAR_013258 transcript:KZM99380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTMKLGDQNPHLVNKVSRRLLLQLIGLSPVSLDVYPLLAVQKPEMKEPDVIRTLKLPSGVRIQDIVEGEGPEAHEGNSVEVNYVCRRSNGYFVHSTVDQFSGESTPVVLPLNDNEIIEGLKEVLVGMKVGGKRRALIPPSLGYINENLEPIPDEFGPRRSLLSHANEPLIFEVQLLKVL >KZM98827 pep chromosome:ASM162521v1:4:27203714:27204859:1 gene:DCAR_013811 transcript:KZM98827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENIGLVITDGEKSYKILEPVGIWGPQMNLIVYDAAVLGADDDLEKAPHFALKMIPEMGDLQEYHDMTLEDLHKSRKLADDVIARGYILPVTNSFYSEIRGKLTLCIVFPCKADVISLRSVMSTGPRFADGIPEAFIKIAMVRVVRGLQQIHNRIYNEKRMHHMQLTTNAIVFNHVSETVQLSYAATVYESGAILNDNNVYSMNKMLAWGLAPEVKGVDEPFGYVHAYDTAKSDIWLVGIAALELAYGKILVESRKELLQVARYICQVKVLPDTWEDLKLKSAELAADKDLPIKKRKLSNEEAITASLANVAKGRFSDCFAKFLAFCLDADLNKRASAMQLGKHAFLQEGVGSMINFRDIVVKGLSPHVLRVLGPKKPRC >KZM98872 pep chromosome:ASM162521v1:4:27529808:27532240:1 gene:DCAR_013766 transcript:KZM98872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFVYNEGNIWTAVAHIITGVIGSGVLSLAWSMAQLGWIAGPLFMLSFALMSLVSVFLLCECYRSPDPDFGPSRNGTYVDAVKAILGNWSFRLCDVLYRLNLFKTAVVYIITSGISMRAIQQSNCYHKEGHEASCQYKDTSYMLLFGIVQIFVSQIPDFSNTKWLSVVAAIMSFTYSGIGSALGLAKVLGDGQIKGSIRGVPAATTAQKVWSSCQAIGDMAFGFSYSLILLNIQDTLRSPPQEKVTVKKASVISTSITTFFYLCCGCFGYAALGDSTPGNILTGFGFYEPYWLIDFANACIVLHLVGGYQVFCQPQFTLAERPLAEKFPDSVFVCKNFPVKLPWLPSFSLNLLRLCFRTAYVALTITIGMIFPYFNQVIAVAGALTYWPLVIHFPLEMYLVQKNIRPYTGKWIALRVYSTIALIVAMFALVGSVEGLISAKFGSG >KZM98609 pep chromosome:ASM162521v1:4:25434499:25440017:-1 gene:DCAR_014029 transcript:KZM98609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKILQSLSEENPELSKQIGCMTGIFQLFDRQHLATGRRITGHPRRLPPGDSEYDYSTSGTNINKNNRYYRRPTVENQSNKHNIEKQRLSTETSRPSFSSSSRSSSFSSLDFNRTAQPEPLSFDRMIFSEVPSKEQALSQSSASPHSERQVSNLRDVVRDSMYRELREVSVKTNKKEAASDSLVKRRDSPRPLHLSKSNDEFYRHGRDERHNMPVDLEEPLKFISEVRDARPWYLDEPSKLSRSSSYQFRDGSSYLNPKDAPRYSYDERGKSHLSYYSQDSMNQTLKLKELPRLSLDSRESSMRSFNSDTQSSFMSKGMQDSGNYTEQILLRQHQTFESQQRPPSVVAKLMGLDSVPNSASNIDNKIGTNPVKESEASSSSSKATNAYRSIQPFDYSRNSLKEPTSPRWRNPNPVKKPLSRVSVEPAPWHLLDGTRGSQKAVPRNLKALGKAPSSFPSVYSEIENRLKDLEFRQSGKDLRALKQILQSMQMKGLVDTSKEGHRDHEQMYTAPDQNTREMNQKQKQNDNVSSHTSQRSISLRTSESPIVIMKPAKLVEKSGSRTSSAVPLGRLSIKSRRQGYDYLDKTIGSVTSKMDKDQIYKSTHRDHTETSTNMKVNVRTPKTQISTRHQQVHTESTTSSVQSSASISPRLQQKKHDLEKRSRPPMSPSNSSKLKKQQSNKQQRESSSPGGRHRAKSSILQESDHQISENSTGARKSNYRVNINSLVSNEVNSAVRPSGISGNQSSLMQTAKYSKSRVAKKSSVIRNDDDSVAELGTTSPEYPSPVSVLDGAVYIDNESSRLNEILVTIKNNSLSNLSDNITKEQFHPVDNNVSRTEESVLAPHVNRQKLQSIDHLVQKLRRLNSGHDEARTDYIASLCENTNPDDRYISEILLASGLLLKDLGSNLTTFQFHQSGQPINPELFLVLEQTKASNRLKEDCRAEKVVQLKPDNEKTHRKLIFDAVNEVLTTKLAFLRQSTEPWIQPLTVTGKTLNAQKLLRELCFEIEQLQAKKQACSLEDEDDGLKSILWEDVLHPSESFTDFQGEISGLVLDIERSLFKDLVGEIVTSEAANVRVKTTSRLCRQLFVN >KZM97172 pep chromosome:ASM162521v1:4:11783631:11786819:-1 gene:DCAR_015466 transcript:KZM97172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTFLAKSPVRMNDRSKGKMLKRKTKNKKQTNRCNSVIKRGESLKMPRLESTRRPVELNNIKHESQANHSDILDAMKQVKFQLKLFVEKERNRVVFAEAGSDFIDTFFSFLTLPMGTIVRILNNNLESESRVIGSFSTLYTSVKNLDSGLLWSDECKQMLLSPRNSAEADCLQLKLNLDDSAPGTYFICEDWGCSRNSSAFLSTYCTTRCSCGKLMNRGITVSVTPNVKNVQHKGGVFAREIESYIESPLTEAFLCDGADRVAIIQSKVGSLADTQTCRDRETTEDAMTIKLIVQKSSNKVLFALAEKNFEEFLFSFLTIPLGGITRLLSGNISVGSVSNLYQSVSSLNSKCNIKSQEVKMLLNFQLAPLHFCKNQIFCLNEAKAPLYYCLSDKRYAESIGCLVEYRVDCNYELKCTPLTLKNPKFEEEYARSNEMFMVTDDLVVSPFSAIASISIMQQLKIYPSDVEEQVVRIGMEEALKILKASFESTAALTNAFSSDLLLKKQLTKEEH >KZM98105 pep chromosome:ASM162521v1:4:21152008:21153875:-1 gene:DCAR_014533 transcript:KZM98105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLATARDSRMYGPRLSRNRSEYMNAGLYVFATLLLLVGFATQLSTKEPKIGVVFVLIGLFIIAIVNIHDLIAHLAGIDYCVALIEHDIQLALVEFAVPLVQSLGTLLLFLAILFLFIQEEKQKYFKLEKHGMNMLIAGSSLWVLGSIHNSCQIYERADGHVQVLQESVHIPFLMGSLLFLVGSVINCRKQASTLHHGLDLLSETWTWLCLVGSLMLFIGGITNVVKVFKMQQFDNGLRLEKLRGGAQERLAHVREGQVPLIRENQRRGRQAEQVGPSVNPTPYKDVLLGQP >KZM98062 pep chromosome:ASM162521v1:4:20808177:20809754:-1 gene:DCAR_014576 transcript:KZM98062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGITIKIKAKVIEVEGPRGKLVRNFKHLNLDFQLITDEATGQKKLKVDAWFGSRKTTAAIRTALSHVNNLIVGVTKGYRYKMRFVYAHFPINASIGGASNAIEIRNFLGEKKVRKVQMLDGVTITRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSEKGTIATETE >KZM97308 pep chromosome:ASM162521v1:4:13377892:13381059:1 gene:DCAR_015330 transcript:KZM97308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSYFTAFKNTPTHNSRQLLFPMTHPEAVPIDDVELEFSDIFGPLPGQAINDVNNVNLGNPLPAGDDSELTCDDLVIIHSRSHSLVGPSACVSQSLRKSNLTIREIEDSMEFLECFSDEEAAKAQEFVENNSTEEESLVLNQDDSVKVRSVGLEDFEVLKVVGQGAFAKVFQVRKKGTSEVYAMKVMRKDRIMEKNHAEYMKAERDILTKIDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHQGLFREDLARIYAAEIISAVSHLHKNGIMHRDLKPENQYEENTRSNSLCGTMEYMSPEIILGKGHDKAADWWSVGILLFEMLTGKPPFIGGNREKVQQKIIKDKIKLPSFLSSEAHSLLKALLQKDPEKRLGSGPTGSDEVKGHKWFKPINWKKLEAREIKPSFCPEVAGIHCIANFDKRWTDMSLLDSPASSPKATGNPFQGFSYVRPGDSFLSSDSSLC >KZM99009 pep chromosome:ASM162521v1:4:28723606:28725255:1 gene:DCAR_013629 transcript:KZM99009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPPSSSALSLFCILFAITISPSTAIISIQSSSAWIDLPNPSGFVTCVKSMSKYVYTPSNSSYNDIYAFSARNPRFLMPDANRLLPAVIVTPGAESEVQTVVICARRNDMQIRTRSGGHDFEGLSYSSTYTRPFVLLDMINLKSVIADPVTKTAVVQAGATLGEVYYWIYRASGLLGFPAGVWSTVGATGLICGGGYGPLRRKYGFAADNVIDARIVDVNGNILDRKAMGEDLFWAIRGGSCSSFGVILTWKLNLVDIPPKVTIFTVLREDRQTEIMYPFQTIAPVLPIEVDIRCRISTVVNFNTSTRPDGLAIQLGFTGAYLGSADDLFTIFSTRLPEIGFLRSDLVEVPWIEALMQSSFFPLFSSNYTPEDFLNRSFLADIPTKAKSDFVRSPLSVPAINGLWDKLLEVGAGETTVIFTPYGGVLDNYPESTIPFPNRAGTLFMIYARVLWVGNTTQKLEWIRSLHDYLTPYVSSNPRRAYYNYDDLDLGANPSTGIISNIAARRWGSSYFNQNFNRLIAIKTLVDPLNFFRHEQTVPPFSLIQDM >KZM98914 pep chromosome:ASM162521v1:4:27911214:27914232:-1 gene:DCAR_013724 transcript:KZM98914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATSPTSLSLLPTTSSSSSSTRSRAFLNTRFLNSPLRRGLGFAASAADPLLSIHVASKLRSFAFSAKPIRGVASMAKKSVGDLGEAELKGKNVFVRADLNVPLNDAQEITDDTRIRAAIPTIKYLMANGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIEVIKADDCIGPEVEKLVASLPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLFVNDAFGTAHRAHASTEGVTKFLSPSVAGFLLQKELDYLVGAVSTPNRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATTLLQKAKDKGVSLLLPSDVIVADKFAADANSKTVAASAIPDGWMGLDIGPDSVKTFNEALDTTKTVIWNGPMGVFEMEKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVAELMSHISTGGGASLELLEGKELPGVVALNEKVTVSTRSVSV >KZM99802 pep chromosome:ASM162521v1:4:34985004:34987835:-1 gene:DCAR_012836 transcript:KZM99802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSTTSLLILVCAFSLLSTLKFGYGSIVGAYSNKSCIENEKQALLILKNSLIDESNNLSSWVGDDCCAWHGISCNKRTGRVIQLDLRGAGLKGDEINSSLLHLKYLSYLDLSFNDFEETRIPEFLSWFKDLTYLNLSNSNFKGLVPRHLGNLSSLRYLDLNGNPLLSIDDMGWLSKLSLLEHLDLSGVDLSGANNWFPLPSSITVLVMINCHLPEKIDSYPIFMNLTSLVSLDLSYNYLKSSFPLWVLNNSDIENLVLGSNQFNGQIPNSIEKMTSLTVLNLGSNNFQGSNLVLNVSSNWVPPFQLRYIYLQSMTVGPKFPRWLQTQKQISYIFLANSGISDIIPADWFVSVFVSRLKSIDLSDNDINFEGLSSVSAAPVGLNTLALSNNRFSGEFPAFLCNVKSLSTLILSNNKFTGELPQCLGNLTELTHFDVMNNSFSGEIPAALGNLVHLNYLNLHNNSFHGKIPLSFQNLTELVTLDLGKNNLNDNFPPWTGEQLPRLKYLILRSNNLYGNIPAQLCSCPSIQLLNLAQNQITGNIPSCFGNLIAMIEGESEVLHPGFSYLSGQMIVDDAKGYELRYTSTLGYLFSIDLSDNDIRGEIPEELMDLGGLVNLDLSGNQLSGRIPEGIGELSKLEYLDLSKNKLSGRIPQSLSDLSFLSRLNLSFNNLSGKIPTGNQLQTLEDPSIYAGNNNLCGQPLKSCITDAKSDHRKDDTGSYDEHIWFYTGISPGFFVGLLGFCASLYFIDSWRLSYFYSVERVSEKIAIALFPRKFQY >KZM98894 pep chromosome:ASM162521v1:4:27739882:27741368:1 gene:DCAR_013744 transcript:KZM98894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFEDADSLFNFVVNQGNGVKGLVDSGISKVPERYIQPPCERISKPDGYQVYEHLPIDLSKLDGPEHDKVVRDIASAAETLGFFQVVNHGLPLDLLESLKEAAHKFFDQPAEKKAVYLKGASPSPSVKYGTSFIPDKEKALEWKDYISMHYTSDQDAHQFWPQDCKEVALQYLKMSMNMIKTVLRILLENLGVKLEESKIDGLLGFKMVNMNYYPACPNPDLTVGVGRHSDVGMLTVLLQDGIGGLYVRREGSTNGDDWLEIPPVSGALVINVGDTLQILSNGKYLSAEHRVRTTSKQSRVSIPVFTSPNPTETIGPFPELVERDGVAHYRDVLYADYMNNFFSNAHEGKKSLDFVQKNNHTAA >KZM98343 pep chromosome:ASM162521v1:4:23072876:23075938:-1 gene:DCAR_014295 transcript:KZM98343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARTCFLEYFCAGFDKSTYSLQLMLLVSFTFFTFSSSSNNDPSSCGDISNISCPFYLKDDLLHNCGRHGLLSRELHCQDNRTMIYVTSFYDDNLYMYQVAGINYQNLTLRLSHTNQTSFRHFDGLAQNNTPIIFMNCPAPLTSESGYIDATTSDAFSSWGNNDTKSFSGKNYCPSEYSYVVAGPLKLGDVVEGCKVRDAAWVSLDWRSDVTYSSDDITIISKADNEDIRSEVLAYGFDVPWGKIFCYKSCSLDYHCVRHFEHNAYSYKCQPFSATLSLPERLLEILRDIPAYLICKFIGIRALCGIPFLLALLLFKMRRRHWSIYDSIEDFLQGQKNILMPIRYDFSDIKKITNGFKDKLGEGGFGTVYKGKLRSGLVVAVKIMGKSKATGQEFINEVATIGRIHHANVVQMIGFCFEGSKRALIYEFMPNGSLEKYIFPREGEEQHISLSCEKMYEISHKVAAGIDYLHRGCDMRILHFDIKPHNILLDEDFNPKISDFGLAKLYATDDSIVNLTAARGTIGYMAPELFYKNIGGISYKADVYSFGMLLMEMAGRRRNISPLVEQLSQIYFPSWIYEQIKKGKEIEMEDATAEETKLLKKMIIVALWCIQLKPGDRPSMNKVIEMLEGDVELLVIPPKPFLSPQEENQEAEMQVEDEEAATPELTEPAEEIVKGY >KZM98389 pep chromosome:ASM162521v1:4:23561955:23562461:1 gene:DCAR_014249 transcript:KZM98389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSSSERGCLNSHWLANSKTRIDDVGFVHGRNGTSNFSSNESLSANRFRNVDPLRYPPTKFISDSFSSSSSQSYEELDVQDYVKMTQLDDSALGANNEVVVPEYPSMPELIFSIDTSECNLNYLPYKSLEPPFPAVCLPFEKAFIQDSDDWIQNLISDPNLNIEN >KZM98084 pep chromosome:ASM162521v1:4:20962812:20964288:-1 gene:DCAR_014554 transcript:KZM98084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDPKIHNFEDVAIHNKTKDCWLIIAGKVYDVTPFMDDHPGGDEVLLAATGKDATDDFEDTGHSDNAREMMVKYYIGDIDSSTVPQKRAYVAPQQPNYNPDKTSEFVIKILQFLIPILILGLAFAVRQYTKEK >KZM96846 pep chromosome:ASM162521v1:4:7557675:7558933:-1 gene:DCAR_015792 transcript:KZM96846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLVRLGPHEAIGAIIAKDEMEVALCVKDLNAPSFYPSMISIWITDSFERKDMERGLLAKLLVNLAKSRDTLLSQPQLVEGFETVLACMEDAVTDAPKAPQFLGGIFAKVVLENVLPMAEIGRLIYEGGEEQGQLVEVGLAAEILGSVLEIIKTEKGEQVVKEICTVSGLHLENFRPPNSKKALTLDKFI >KZM97699 pep chromosome:ASM162521v1:4:17059558:17059899:1 gene:DCAR_014939 transcript:KZM97699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRFIIVLVLIAIANTNITEARNVKLEKTKVTVTQQDPLKFHFLVPPTEPGVPPTEPNHHLSKFHFPLPPAAPGYPPDKISGLFVSATSDDTMPKCLPSKCVLPDPPSARN >KZM97153 pep chromosome:ASM162521v1:4:11544400:11567212:1 gene:DCAR_015485 transcript:KZM97153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGMRGLSVFISDIRNCQNKELERLRVDKELGHIRTRFKNEKGLTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGRDFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLFRKNPDVVNVDGWSDRMAQLLDERDIGVLTSSMSLFVALVAKDYDSYWSCLPKCVKVLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNTRRSLFEAMQVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQEIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLATADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGEFVSDDIWFRVVQFVTNNEDLQPYAALKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCSPKEIFNIIHEKLPTVSYESSIDVEIQQRAAEYIALSRKGAALVDILAEMPKFPERKSSLIKKAEDTEADTAEQSAIKLRAQQQMSNALAVTDQPTANGYSSEPQLGPVKVPSMTNETTGQVLTQSNGSATDLQASTPSPDLLGDLLSPLAIEAPPVASVQSEHNTVSGSEGTSIAVDALALATVEEQTNSVQPIGNVAERFHALCLKDSGVLYEDPYVQIGIKAEWRSHQGRLVLFLGNKNTAALVSVQALMLAPSHLKLELSEVPQTIPPRAQVQCPLEVVNLRPSRDLAVLEFSYKFGTDLVNVKLRIPAVLNKFLQPIPVSPEEFFPQWRSLSGPPLKLQEVVRGVRPMPLVEMASLLNSYHLMVCPGLDPNTNNLVASTTFFSESTRAMLCLVRIETDPADRTQLRMTVASGDPALTYELLEFIKEQLISIPSASHPPQPIPQQAPPSSSLIAQSDPGAMLAALL >KZM97544 pep chromosome:ASM162521v1:4:15662893:15665082:1 gene:DCAR_015094 transcript:KZM97544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDDEINDDDIEREFEHGSHKHTLRVHHAREPYKCNGCKMPGSRICFKCVDELCHFHLHPACFAAETVPTLRHTLLDDCDFEYHESPPQVAHHGGDFPYCDACGLDILGFRYRCFTKSHGLNPHDLHPTCMNLEKDMEYRGIKLKLKNNEESRCLHCGEKYPTEGCIRFTGWKWVAKDEKHWDWGFPFCLWVCGWGIDMRSRLYSGRKCCGVGMSSDGVYSMTGGIRRCGKRWIGSQEGTKNFRPKCL >KZM98658 pep chromosome:ASM162521v1:4:25843425:25844912:1 gene:DCAR_013980 transcript:KZM98658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAGKQRWRFAFHWSPTHTKKHHQPQQQEKQQEQHDLPPKEFLCPITGTLMFDPVIVSSGHTFDRNSVEACLSLSFTPSLPDGSSPDFSTLIPNLALKSTILNHIFSSSIRSKPLDSQVVIKLVREMKRKTSATPVASELSRWPSRLSSSSSIESVTPATPLPLTTTTRPSCYSSSSCSDAELSTPSEQDDNGIIAKLKSALVYEQEEGVVTLRNITRTGESARGELCTPQLLSSMRSLMASKYASVQVNCVAALVNLSLEKSNKVKIVRAGIVPPLIDVLRGGSSEAQEHAAGALFSLSLDDQNKTAIGVLGALQPLVYTLRSDSSRARSDSTLALYHLTLVQSNRVKLVKLGAVGVLLDMVGSGRMLGRVLLVLGNLATSVEGRAAMLNGGAVGCFVDMLKKDEFDSELTRESCVAALYGLSFGGLRFKGLAKEAGLEEVLQNVEQRGTERAKAKARRILVFMRVKYEEEGEEETVDWEELLNSEEVNHTQL >KZM98320 pep chromosome:ASM162521v1:4:22892851:22897186:1 gene:DCAR_014318 transcript:KZM98320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREWIIGAFINILGSIAINFGTNLLKLGHDERERHPVLGGDGLNGKTVLRPIFHFQTWRIGIFLFAFGNCLNFVSFGYAAQSLLAALGSIQFLSNLVFAYYVLNKTVPVKVLGATAFIILGNIFLVSFGNHQSPVYTPEQLTEKFSNIAFLLYCLILVIVVAVHHYIYRIGEVLLAVTGHDMKVYWVVLLPFSYAVVSGAVGSCSVLFAKSLL >KZM97303 pep chromosome:ASM162521v1:4:13339395:13342431:-1 gene:DCAR_015335 transcript:KZM97303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPCELIDEVLCRVPVKYLLRCRCVSKEWCSLIDSTAFIKKHLKTTTECNAPGVILTGEQSLFLTDLESLSADEEVDVVEIRDPLKSVFPDAEFVGAANSLLCFCKNNAYEFLIYNPATRKYRKVPDMPYMFVLWFDMVTVSHCGFGYDHVNDDYKVVKIAEFCGMMVIVYSLKSNCWTQIHDVPSDILIYPLSRKGMFASGALHWLAARSQPDGCFIILGFDLVLEHFKELPLPVSNQGKFYMADGGSLCILEQCTDSRTDVWLINNYGAKNPWYKAFSVEKPCALGSFKAFRPIVFSRRGKDVLLEVDYTKLVWYDPEKKVVKNVRMNGIWSEFGTKLYRASLLQLTENEQLQKPSEDKKKKKQQRKRKKEKKQQKKRDDFLSKGFKLKL >KZM97435 pep chromosome:ASM162521v1:4:14676555:14676815:1 gene:DCAR_015203 transcript:KZM97435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLASDAMIQVTKPLDEAAKALYRPVRVIGLVKNIDKAEKLIKAFMAFVDVGVSSLLFKDYDESMIVMVMTQNMIVVLVFPEIL >KZM98774 pep chromosome:ASM162521v1:4:26791739:26793680:-1 gene:DCAR_013864 transcript:KZM98774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNQELAHLQTTGSSICTFRDVAHGNTSRVVHRADNSPESSSVGQETGLIRRKTVNGSSSTRSNMSSANSVRSNGGGSCRSSSRGMQFRKLSGCYECHMAHMIVDPSRYPTPRTTVCACPDCGEVFPKIESLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLKKDSPICTIERILKVHNTKRTIQKFEDCRDAVKFRATTTAKRDPRCAADGNELLRFHCTSLTCTLGAHGSSSLCGSVPGCGVCTVIRHGFQGRKLGADNKGVRTTASSGRAHDCLNSTDTRRAMLVCRVIAGRVKRSEDDVAMEEGNAAAAAALNPSGSAYDSVAGLGGVFSNLEELHVANPRAILPCFVVIYKTSI >KZM98804 pep chromosome:ASM162521v1:4:27023996:27024733:-1 gene:DCAR_013834 transcript:KZM98804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQSFTIDTVKEEKANAMARYRRFRNFKKLLQLIELFVVITLFSWSSTCLPCVVKLVGDFFLRLSLSVLNPHIIFLIGNAIIAALFFLSRQIATDSSAVATNLNDDVLEFSNSVAEIESPPLINTAAVEIDVDDSEKQIVCVENVASRTECEAVTNAIEKATKEIQKFERTQSERAWRRGAPVKQLRRSETQVRRTVIESRGARETLEKVESLSNEDFRLTVEAFIEKQQNFLRLQKMAEIKSS >KZM98465 pep chromosome:ASM162521v1:4:24202110:24206333:1 gene:DCAR_014173 transcript:KZM98465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPGIVNLSAKSPQHPGLYGSSQGIDLRKQIYGQFSILKTKFSDKGLWHARQKLRTTKTSKKSVLLCQSTETHSPETKQSVKHLKDCSSILREENEDDYPVMQGRIVHSKQGLAEAMEFAYNDAKFVNERARNDIVLLSRGLMRLDARARQDVSIIGSEFLKLDARAREDTEKIDQDVKRRAEHLHHIAIILKNKAQSRLQKAADKHWSDGALEADLRRADLIAKQRARDDAVMALEFIKDIHDMMVSKMYTLPLHRSKGSLSANEMAGRITLEKNGISLDFFPGEVSADRITAIQEAYSDIASGLSEADGVDYSDPEELELLVATLIDLDAMDGKSSVSLLAECSSSPNVDTRKALANALSAAPSMWTLGNAGMGALQRLAGDSNPAIAAAASKTILALKKQWQIEEGDSWRFVMDQSSTIDSDNKEDDR >KZM97738 pep chromosome:ASM162521v1:4:17389414:17390112:1 gene:DCAR_014900 transcript:KZM97738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISFALLLLLFVIGLFAIKFPTNNKFTAWLRGYFSVPERKSEEHKVIMRSTATVSPAAGGKNKNNSEEYKAELKSVFATFDKNNDGFITRQELQESLKNIGIAMTDKDVKEMVEKVDSNGDGLIDIDEFCELFESIMSPANINGIEGEQEEGEDGGGYLKEAFNVFDEDGDGVITVEELGMVLSSLGFKEGKLLESCKEMIRNVDVDGDGKINFDEFKMMMKAGTRFLPVS >KZM96866 pep chromosome:ASM162521v1:4:7968294:7970428:1 gene:DCAR_015772 transcript:KZM96866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHANEALNMPGNKSFDLVLTDVHMPDMNGLELQQRINQEFSIPVIYEQLKEKLGVDNHLQLPNQENTRAPNGSLSSFLRLPPYTVGEGSSRRSINATLMKNNDSSANVASRVLRSATLPKAQNEHMRSSSSNLINNLSYRTHQIDYCDQNSLAQENNNNSRKRGANSIILPSAKNVTVNRQSMRVRRKTIIYSLETTSTPIS >KZM98727 pep chromosome:ASM162521v1:4:26437169:26442604:1 gene:DCAR_013911 transcript:KZM98727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGSTGIGYGLKYQARCIADVKADTDHTSFITGTLSLKEENEVHLIRLSSSGTELVCEGLFSHPNEIWDLASCPFDQRIFSTVFSSGESHGAAIWQIPELYGELNSPQLEKIASLDAHKSKPKCILWWPTGKHDKLVSIDEQNLYLWSLDTSKKAAQVLSQESSGILHYLSGGAWDPHDVNVVASTCKSSIQFWDLRTMKKTNSIEHGHFRSLDYDSKKKHMLVTAEDESGIHIWDLRKPKVPVVDLPGHAHWTWAVRCNPEYEGLIASAGTDSTVNLWFASPSRDDLSESFTESPLKRVDPLLNSYSDYEDSVYGLAWSFREPSLFASLSYDGRCMDTVYHTGYDRALGLAVPASSN >KZM99906 pep chromosome:ASM162521v1:4:35749919:35751701:-1 gene:DCAR_012732 transcript:KZM99906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVLVKGECKRSKRGPNGELPPEAGVIPRAVQQIFDALEGQNAEYSVKVTFLELYNEEITDLLAPEEPSRVPVDEKQKKQLLLMEDGKGGVLVRGLEEEIVTSASENFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRTREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGKTKTCIIATVSPVAHCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSTLIKDLYGEIDRLKAEVYASREKNGVYMPNERYYQEESERKVLDLD >KZM98901 pep chromosome:ASM162521v1:4:27776970:27782224:-1 gene:DCAR_013737 transcript:KZM98901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVSLNQANFPSAAARSTLSSKFSNGSMSSALVLCMPRSSFKACTRNVTTRASSSVAVEQNPETKVALVRIGTRGSPLALAQAYETREKLMAKHAELAEDGAIQIVVIKTTGDKILTQPLADIGGKGLFTKEIDEALLNSEIDIAVHSMKDVPTYLPEKTILPCNMEREDVRDAFISLSAASLAELPAGSTIGTASLRRKSQILHRYPSLNVLDNFRGNVQTRLKKLNDGVVQATLLALAGLKRLGMTDNVSAILSIDEMLPAVAQGAIGIACRSNDDTMATYLASLNHEETRLAVACERAFLLTLDGSCRTPIAGYASKDEDGNCVFKGLVASPDGTRVADPLPLSIDEKHTPAMAPPPPAGYPTKDHQDDAKLQVPRATETQSRGDDFWKGW >KZM98987 pep chromosome:ASM162521v1:4:28543232:28546174:-1 gene:DCAR_013651 transcript:KZM98987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKNLDKWREFFRSSCNSDIFDIIEHAIYVAASDCPKEFKLRRDRIAETLFSSKLIKCVGCDHVELGVKLEGVDDEEEFKNDGGFEFEGGRSKESKVDSCKDDHDDDDQHAGQMNDQMVSQLSYDDAEALTDELEKELQIHGEVLRIKEILENSEDEPISLVIDSLRRLELMALSVETLKATEIGKTVNVLRKHGSKDIRQLARTLIEVWKVLVDEWVNTTATEAGAPEGATPESVNPSTVVDEENGLPSPPLDDLTFLATQPATMEFSRFFDGLEDEENPAHCGKLDENKETGRKQVQNNRIVKHRQCLPVESITPPKDKKIESRKLDASLNKQVASLKPKLPVADTRASRPVKQSANQRVHTETKLQQKPEKVAIQRPPASQQNIPRHSNEASVQEKLEATKRKLQERYQQAENAKRQRTIQVMELHDLPKQDLGHKNSHGKPGNNHKRHWANGRR >KZM98443 pep chromosome:ASM162521v1:4:24031925:24032302:1 gene:DCAR_014195 transcript:KZM98443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPKGNDENASNKKPEPDHQDVVDSSPRYEDAVESLTEKINDVRSVPNVNNTKTRKSVHWSEDLVMESEIRSPDPREMPSSSSPFVGAKSNPYVDYSPAPSNSAASVIFKSWYLFPLLCAFIYM >KZM96936 pep chromosome:ASM162521v1:4:8906810:8907855:-1 gene:DCAR_015702 transcript:KZM96936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRANNLHLKPTGPAIICVLNQSPWDVMSSSQLSENLQIIETDDGYFRESAYQSFSGELNSPIKSALNHNESTAKVVQPQLRRRQRKEVKVDANCDDNEIYDYYSGFGPNWKKRRVMVQNNREAVSTSNPGREHGNDDDDNVKPLKERSLKSILEGV >KZM96875 pep chromosome:ASM162521v1:4:8144494:8145084:1 gene:DCAR_015763 transcript:KZM96875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIKGKLLSLKESRSRKFETKEEEKAEKEKMKDRTEVAHEVHLAREAEAEMDHHVKKAAEKAAQFEQKHPSSNIEDQFRSS >KZM99507 pep chromosome:ASM162521v1:4:32631205:32633729:-1 gene:DCAR_013131 transcript:KZM99507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRNIRYSGPMVDMDMDQQRQLQFNPYGSTPNFPPPNVVLPTPGNGNNFDVHYLPEPHGSYGMRQYNVFQHQLPAGNINLAASAASNHYNMYMASSSSARGFPVRLNSGPHDQYLSTNHGVEFPTDINGRNAHFIDGLGGSFKAKNANFQYYASAGASSSAAPLSARPVESNVGTMDASFAITDSRGHDMSSVMDIGSHRSMRDRSGVIGVGSLAPHNTNHLIRGQYPGQVFQTAPTPWIDQQLNSNAGDVGALTWNQPQAVPYIHGNFNGGCMEAASMGVTAYQVTTNNRTSATFLPPPPMLPGNFSLHHPSPPLPPMQGVRGRSLELHSQVATSSRRPPPGGTLHTGMNTFQGSGHTSSRLVGSVQPAGVQMHRPQRRERILDASARQHNLHYLRVLPEDELLALGEQIGSVGSGLSEDFIVSNLKSKTFTPSPSSSNVEETTSVNQELNFCVICQTEYKDEEKISTLDCGHEYHLECVKKWLLVKNSCPICKSAALTTGGSKEE >KZM97351 pep chromosome:ASM162521v1:4:13825238:13825783:-1 gene:DCAR_015287 transcript:KZM97351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHWVPPPHGMVKVNCHGVTLQEIAANGNTSGLGVNLRRTNENMISCVAGSMRKLSPLGNQLWAVFSGMRRAFLGDSRRVIIETDNLEAFGAIKLPNANATVEISSIVQQILLLKNNPTWNCSIRFVYSRRNKVAQWRRVWLRITSQLQVSSWPPWCLVYKSQKSRMLRLRMIWMSRTVQ >KZM97607 pep chromosome:ASM162521v1:4:16181981:16183608:-1 gene:DCAR_015031 transcript:KZM97607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELLVLALVVMVLWSLWAAVLKLHHVSAKASPPGPRRWPLVGNLLQLGYGFAPHESFAKLARKHGPVMTLSLGSMNTVVISSNEAAREMFKHHDVVLAGRKIYESMKGDFGNEGSLITAQYGPHWRMLRRLCSKEFFSSTSLDAMHHVRRRCIDHMVRFIEEAGGAGTKAVDVRRFFFLMTFNLVGNFLFSKDLLGPKSETGEQFYYHAGKVMEFAGKPNVADFFPVLRRLDPQGIRRKTQYHVNQAFEIAGGFLKDRLNENKEVFVEKKNDFLDVLLDYNGDGVEGPLKFSPTTINVIVFEMFTAGTDTTTSTLEWAMAELLHNPTILEKVQKELRALTVHPPNTNPTERDFEKLPYLQAVIKETLRLHPPLPFLVPHKAMDSCNMLGYHIAKEAQVLVNVWAIGRDPNTWIDPLEFKPERFLDPKMQQVDYKGQNFEFLPFGSGRRMCPAIPLASRLLPLALGSVLLAFDWILEDGIKAGDMDMSERMGITLRKAVPVKAIPVPYISS >KZM98321 pep chromosome:ASM162521v1:4:22913571:22913837:1 gene:DCAR_014317 transcript:KZM98321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHSDDGWSHEENKLLEDLLESQIDDGWTSEDNKLVGDLLEDLEVIESPNNSSRDIVKEDNGGPSKKIRVTKKPRAKPIPWTEDEHK >KZM97359 pep chromosome:ASM162521v1:4:13868132:13869161:1 gene:DCAR_015279 transcript:KZM97359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHISFIVLIALPGVPSRLKNGQGSIVGAYMNRSCVESEKQALVLLKESLVDDLNYLSSWVGDDCYAWRGVGCSNRTGHIIQLDFRNGDLKGDQINPSLLKLKYLAYLDLSNNSFHGIQTPDFFWVIQVSNISQSVWLIIKGLVPHHLGNLSSLRYLDLNNQHKRNFQLHIDSLEWVSRLLSLEHLDLTHVNLSGAINWFPAINMLPRSVLVLKLQSCQLPDNVPLHLPFINLTSLFNHFNGPVPSSIGNLTSLLELQLSGNNFDGSIPESIGSLTSLSELHLIAATNFRLSWIPQFMAATISSVAHLF >KZM96923 pep chromosome:ASM162521v1:4:8711559:8718515:-1 gene:DCAR_015715 transcript:KZM96923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEELILGFMQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYHQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCNGHTFIQFEPAPRRGEPDVTRRTPDYFL >KZM98137 pep chromosome:ASM162521v1:4:21370950:21377016:1 gene:DCAR_014501 transcript:KZM98137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANAQGVAAEAERDIQKKYWAEHSVDLTVEAMMLDSKASDLDKEERPEGSSVVIMDTSDDMCFESEVLSMLPAYEGKTVLELGAGIGRFTGELAEKAGQILALDFIDSVIKKNESINGHHKNAKFLCADVTSPDLKIEDESMDLIFSNWLLMYLSDKEVENLAERLIKWLKVGGYIFFRESCFHQSGDHKRRNNPTHYREPRFYTKIFKECQIRDGSGKSFELSLVGCKCIGAYVRNKKNQNQICWLWQKVGSEEDKGFQKFLDNVQYKCNGILRYERIFGQGYVSTGGFETTKEFVEKLDLKPGQKVLDVGCGIGGGDFYMAEKFDVDVVGIDLSVNMISFALERAIGLKCSVEFEVADCTKKTYPDSSFDVIYSRDTILHIQDKPALFRTFYKWLKPGGKVLISDYCKGPGTPSESFAEYIKQRGYDLHDVEAYGQMLRDAGFSKVIAEDRTEQFLKVLQKELDTVEKEKNEFIQDFSEEDYNDIVGGWKSKLVRSSSGEQKWGLFIAHKQ >KZM99470 pep chromosome:ASM162521v1:4:32320869:32334575:1 gene:DCAR_013168 transcript:KZM99470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAPPPSPPLFAAVTNHRLPLFPPKLRNFCRQPHLQITAAFTSDVDLFTKNSGYLFQLSDSEADTMTEYNINKIKAFYRDKPLVVIRRLVQIGTTLGKWVGLRYLDSISDRSEEMFKVRAAELRKLLVELGPAYIKIAQAISSRPDLIPPSYVEELSLLQDQISPFATELAFDTIEKELGLPINVLFSEISPDPVAAASLGQVYQARLQSTGQLVAVKVQRPGVQAAISVDILVLRYLAGLIRRIGNFNSDLQAIVDEWASSLFREMDYRNEAKNGLKFRELYGDLKDVMVPIMFEAQTTRKVLTMQWVEGKKLSEVKDLYIVEVGVYCSLLQLLEHGFYHADPHPGNLLRSSDGKLAYLDFGMMGEFKEEFRDGFIEACLHLINRDYDELAKDFVTLGLIPPSADKEAVTEALTDLFKNAIAKGVDNVSFGDLFANLGTTMYKFKFKIPSYFSLVIRSLAVLEGIAYSFNPNYKVLGGAYPWIARKVLTNSSPQLKASLKQLLYKDGVFRIDRLEALAAEALRARTEETLGTSKPEDGDVKVVIKQILAFVLTEKGTFVRELLVQELAKGLDALGLATLDSVTSAAASNLPFGSSISISLMKDEDVVNLRNLYRLLHLMQGVRNDERTSVEAKVDGPPQMSQKAYPEVALAVDQFSPVQDILPLLSIILETCGHVIYVQFASLIALSTTLGPDEVTIADILEVLYIQIYVYNMSCVMCVSTNPREDETS >KZM97941 pep chromosome:ASM162521v1:4:19634240:19638237:1 gene:DCAR_014697 transcript:KZM97941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASKGSLLDQSKSSNLSLAQKRELVYELSTWEDGAAELLHSWSRHEILEILCAEIGKERKYTGLTKLKIIENLLKVVSEKNSHNHGSINHIGPEPSATTGQRSAKRQKKTDHPDSKPVAENKVSIIASNDDLGDTVLCKNIACRAKISRGDAFCRRCSCCVCYQYDDNKDPSLWLTCSSEHPFPGKSCGMSSHLECALKHEKSGILKKEKDVGLDGNFYCLSCGKVNDLIECWRKQMIRARDTRRVDILCHRISLSHKLLTGTKHYQKLYEIVDEAIKKLEADVGPFSGVPVKQARGIVNRLSSGPEIQRLCSSAIETLDLILSGTGFEVSSDLAVQESHPVAPDIRFEDISTSSVNVIISYEDPPVGKMSGYTMWHRKVDELDYQAEPTCTLFEPNARFSLSGLSPATPYIFKVVFFDDNKKLRTIEVQMQTCSDVVPNSKGIEEERSLSPTTNGSSLSNPSSVEDESNHNVKSCMNENAKERYVYVPAADNNNSKISFTGGLDCNAMDQRGPQAVPVSVQNEEHTMAKINSRPDFVNLEDRHSPERQNTEVTSPRNGTNTLVQSDTELPQSAHSSDAGLPDTPCKLENFKDGVARSSRPALSKDLDKGSGEEENREDGGSVKKRSAGRRNEKCTEDNDKDFGYYVKVIRWLECEGHIEKSFRQKFLTWYGLRATPEQLRVVKVFVDTLVEDPSSLAGQLVDTFSEIISNKCPPTAPMGLCLRLFH >KZM98106 pep chromosome:ASM162521v1:4:21154119:21156245:1 gene:DCAR_014532 transcript:KZM98106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGVFGKLKIQKWGNLRRFCTRSCDYNGYDVFARNIEITRWVKSGNLMVARKVFDEMPDRTVVSWNIMVSGYSRFGRFGDALSMVSAMHVGGVKLNETTFASALSACARLPSLCGGKQVHCVVVKSGSEDFRLVGSALLYIYASCFEIEDARQVFDLFHEMNELLWGLMLVGYVQCSLMDNALDVFYSMPIRDVIAWTSVISGYCKIDDGCGKALQLFNTMRGSGEAKPNEFTLDPIIRACARVGLLHEGRAFHGLVMRYGYEFEHSISAALIGFYCDCDVIDDAKKVFDRLTSPSLNETNVFIEKLIAAGRIVEAELIFNGLPERNTVSYNLMIKGYSLCGRSEDSEKLYLEMHHRTILSSNIMISVYSRTDQLEKAVDLFEKTKEEKNPVTWNSMISGYIRNDQHENALKLYAVMHKNSISKTRSTYSALFHSCSCLGSIQLGQLLHAHLIKTPFVSNVYVGTALVDMYSKCGNISNAQKSFISISSPSVAAWTALIHGYAHNGFGSETILCFKEMINQGVHPNAATFVAVLSACAHAGFINKGIEFFHIMKENYGIAPSLEHFTCLVDLLGRSGRLREAEELIKEMPVEADGIIWGALLNACWFWMDMDVGERVAAKMLLFFPIPTSTYIIMSNIYAMLGKWEEKMKVRKLLRGLDVKKDPGCSWIELNNSINVFSVEDRTHPSCNSVYAMLEHLTENLISVRK >KZM99479 pep chromosome:ASM162521v1:4:32398185:32398445:1 gene:DCAR_013159 transcript:KZM99479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAEEAGPKIARLLYFVGAGFVCTAAINKWRELEQKALLKQQKLEKPVENAVE >KZM97033 pep chromosome:ASM162521v1:4:9959458:9959943:1 gene:DCAR_015605 transcript:KZM97033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSRDNEQEAVAMANYLMILSGIGKSDNLPRGRVFECKTCNRQFTSFQALGGHCASHKRPKLLAGDLVKHVAVKPKTHECSICGVEFALGQALGGHMRRHRAEMESHSSSTNASDATGSHEMVVKEVPVLKKSNSSCKRVWGLDLNLMPYDNYLKLGW >KZM97301 pep chromosome:ASM162521v1:4:13318772:13322247:1 gene:DCAR_015337 transcript:KZM97301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSSLLHPFTITNPNPSFPNTTTSRVVAVPPPCLHLRCSQAPYKLPLCRPFSSKRFLSPLLAASHQESNPSEAEDSKEKSGVNEEANESEEAWKQTLALFKEKALVMQSVSQEAYEQYSKKAAVVFKEASEQLKIQAEKAKQDLSVIAKEVSEEGKEYLSKAAENPSVKDVVETFASSTEDLDEISEVRDFYLGIPYGLLLSVGGFLSFMVTGSIPAIRFGIILGGALLALSISSFQSWKRGESSSTALKGQAAIATILFLRDIRIVLVVRIIMVVVLGDVTYCY >KZM98571 pep chromosome:ASM162521v1:4:25164213:25165775:-1 gene:DCAR_014067 transcript:KZM98571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSKMITTFALLCLSLSLVSKAIDVDSGDNTRGFVRVKGSQFKLNGSPFFFNGFNSYWLMTVAADPSMRYKVTEVFRDASTAGMSVCRTWAFADGGSNALQISPGTYDERVFQGLDFVISEARRFNIYLILSFANNYKDYGGRPQYVQWARNAGVLLNNDDDFYTHHIVKGYYRNHVQRVMTRINTITKRAYKDDSTIMSWELINEPRCDVDYSGRTVNGWVQEMASLVKSIDKKHLLTIGMEGFYGDTMPEKKQFNPGYQVGTDYISNHLIKEIDFATIHAYPDIWLANQNEAAQMAFMGRWMQSHHTDAKTILKKPLVIAEFGKSSKDPGFSLGSRDVYMGAVYTYIYRSARHGGALGGGLVWQILARGMQQYGDGYEIVLAENPTTGSVISRQSHAMSTLSHLVSTAYEN >KZM97585 pep chromosome:ASM162521v1:4:16012344:16012901:1 gene:DCAR_015053 transcript:KZM97585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTPSTLLVPLQRSSSYSHPVNSSISYPTHPNSLISSPKHQRNFLRPVSAVEAPEKVVELGDKISDLTLSDAQKLIDYLQETLGVSAASLAPVAAVAATGAVADAPAAAEEKTEFDVVIDEVPSNARIATIKAVRAIITSLALKEAKELIEGLPKKFKEGVSKEEADEAKKQLEEAGAKCSIA >KZM97568 pep chromosome:ASM162521v1:4:15875931:15876302:1 gene:DCAR_015070 transcript:KZM97568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAAAAETVRAAAPLSRSSAEGAKGSSSAIGVSATGASASIAAGAGGEDSSASKAGELALGVAAGEAAGAAAEARPTTAMKMRAKTTSFRAIFLLFLYEYCEFIMHRDLFSSSLREEILLI >KZM99522 pep chromosome:ASM162521v1:4:32723889:32725012:-1 gene:DCAR_013116 transcript:KZM99522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIRRAILQASPRSSQTYNGFLGDAPLKSVFNCSNVRTIKEASGDILGGVGEAAKPAMGGTSETGRSTTESSGITGDKGIHTADKTSAAKTTKNISDRMIHEAEDKLDEETTHQPTDSSVEDLRSREKGYD >KZM96892 pep chromosome:ASM162521v1:4:8391287:8392522:1 gene:DCAR_015746 transcript:KZM96892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMNLLPPSPVTFLKKKPLQASLLDSNFGSRTHFLRVQDNPFGSLAKPISQISKSSSKFGLISENPFGFSCKSEPRVLKAASDVPSESSPDGEVIQVPKPNQKLKLALVFGFWYFQNIVFNIYNKKVLNVFPFPWLLASFQLFCGSIFMMILWGTKIQSCPKISKSFIVALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFTVVFSSILGDTYPLPVWLSILPIVFGCSLAAVTEVSFNLGGLWGAMISNVGFVLRNIYSKRSLQDFKEVDGLNLYGWITIISMCYLFPVAIFVEGSQWIGGYHKAIEAVGKPSTLYLWVMVSGLFYHLYNQSSYQALDDISPLTFSVGNTMKRVVVIIATVLVFRNPVRPLNALGSAIAIFGTFLYSQATSKKKTPKVEEKSE >KZM98830 pep chromosome:ASM162521v1:4:27215175:27220458:-1 gene:DCAR_013808 transcript:KZM98830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMSSSGGGDLLMAPAKSSSSPPTPAASSAGASSPVIPVNAGSTDWLGHGQGSKADSVSHVGSQPVRASLSTTAGGSAIGYSLSSCRPWERNDLLKRLATFKPSSWSSKPKASSNLACARRGWVNGDVDNIECESCGANLKLFTAASGKSIEAESSGEEFARLLDEGHKTDCPWKGNVCAESLVQFPATPSALIGGYKDRCEGLLQFPSLPIVATSAIEQMRISGGLQIDHFLVHLQAFTVEESAFKADIMPHEEKTREEFVCNYARAQKLISLCGWEPRWLPVVQDFEEHSAQSAKNGHSFGPKESHRLRGRKPSKTALSASTNISSRKHEVMGPKSTCESRSPLLDCSLCGATVRVLDFLNVARPASIAPSSADIPETSKKIALTRGVSAASGISGWAATNGIEKEQTEDHDCAAITDEGKLLSSAVVDLKMVDLLSSAELQTAGISEHHDDVAIGRDLIMRKPSNSEVGDHAQSYESRGPTHDSHKRNLEGGSTVDGPHVMTRQVDRIAGTVIDRDGQEGKIDDQFSGGPSKRARDSYAFETHHPSYKRDSSVNGPSQSVAFEIGRDHSREYPSRSRNDQHIGVPSTIDSTRASSVIAMDTGYHSADNDSMESVDNRPGDMDDVHFPSMPLFRNPEINEASELNYSNQAQQSVSPAPVRYDGEIGISSTNEGEEVMKTDIMAASARDRPCFEMSGGNLGMRASLENEIHCNDLSIHKVDSVVGDIEPGAEVNENQGQTSDYATDPGLMGDFVPQEMVVEYPQGGSQGLVAQSVERAGSGLKIIGSARAESIESGEKTNDMHLLPNEHSNHPSLSCNANIFSTCEASKEEVTQVVKQLPSDECGYPRPEYIAANNTGPPNFESNYEEAVEFDPIKHHSYFCPWVNENVAGAGCGSGSTGVSAIPLCGWKLTLDALDEFQSLGHVPNQIRESESAASLFKVSHLCWVG >KZM96949 pep chromosome:ASM162521v1:4:9042517:9045430:1 gene:DCAR_015689 transcript:KZM96949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMIMLKSKNKESKNKKQCYISVPSQIITPSSLQSLLLSPKKAPKSSSFKIFKNPRFYFSLIFLFGFLGMLKMWYNIDPFVPFSPNPCSSASHQESSVLSNGVLGFQPQSAVVANGVLKSELKNGGLEAKMEKSEEKIEFWKQPDGLGYRPCLDFSREYEKESVGIMKDRSKYLVVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDVEHFKSVLANDVRIISSLPSTHVMSRPVEEKHTPLHVSPQWIRARYLRRMRREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALKFAPPILDLGNKLTERIQSKGPYLALHLRMEKDVWVRTGCLPGLSQEYDEVISNERKLRPELLTSRSNMTYHDRKLAGLCPLNALDVTRLLKALGAPKNVRIFWAGGTPFGGKEALLPLTKEFPHFYNKNDLALPGELEPFANKASILAAIDYMVSENSDVFMPSHGGNMGHAIQGHRAYAGHKKTIIPNKRQMVPYFLNSSLPEAEFNRIIMDLHRDSLGQPELRTSKAGRDVTKYPIPECMRNDSSRYTSL >KZM98178 pep chromosome:ASM162521v1:4:21730996:21731277:1 gene:DCAR_014460 transcript:KZM98178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNIVTIQYIGVWGSGLRVRSYEHVVPRRFERCRQERMWEIMELSFSTYSNGKGASNFLALFLGSLNFAPPYSSGQVKLIHVHESGNFLQCL >KZM98677 pep chromosome:ASM162521v1:4:25952909:25965892:-1 gene:DCAR_013961 transcript:KZM98677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDDLINNEVQDRKARSSTGRERRRKWLKRREKWIVIVGVVLHAIYMLSIFDIYFKTPIVHGMDLVYPSITPPAKRLVLLVADGLRADKFYEPDTEGKYRAPFLRNVIKHQGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNRSQHIISFGSPDIVPIFCGALPHSTWNTYPHEFEDFATDASFLDEWSFDQFQSLVNKSNEDPKLKELLVQDNVVIFLHLLGCDSNGHAHRPFSPIYLNNVKVVDHIAERVYNLLEDYFRDNRTAYIFTADHGMSDKGSHGDGHRSNTDTPLVAWGAGVKHPRPTFGENHNDYGTFVDDHVHDMPTPKEWGLGNIERVDVNQADIAPLMSSLLGLPCPVNSVGSLPLDYINLNKAEEVEAVLANAKQILNQFLRKAQLKQASSLRFKPFKPLAHYSSLLELINSRISAEDYESAMTLSVDLRRLALEGLDYFQTYDWLMLMSVVTLGYIGWMFYLILHVLQSYTTLPGYILKMEQAVSRRNNNIKANLCGCFFMAIVFIILFLEHSPPLYHAYSAMTVFLWTQIFSEYQFINALWRYLCGREHYHSLKLAATFLVSVFILEVLVNSFTERKLYTWCFLTVGLIAPVYLSRLVAWKSGTPLFMWLSCWFLSVFTLMPAEIPDNTLLVVGSGGIIIFIGGAAMYLDRRGRDNKYWFSLLNRDRENKIPFLFQLQCLLVGLSSVMVLLSTSHRTKKQELLALHQMINWLIAGFSMALPLFSATGLLSRLTSIFLGFAPAFLLLSIGYEAVFYSALALALMAWILFENALLHYSKTDASQSSIGGVEDNFQLENGNRSLQLSDMRIPLVFVVFFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLLIPFLLVICAFSAITKLVRVPRVGCYFLVILCSDVMTIHFFYLVRNKGSWMEIGNSISHFGIVSAQVVFVLLLFAVTNIYTKDIQTRSVKQFSRKNI >KZM97236 pep chromosome:ASM162521v1:4:12642002:12642976:1 gene:DCAR_015402 transcript:KZM97236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLLLLLLTLQHFCSGLKAQELITTVTFNVTFNITDDNHSILNAETITKPGCPKKCGNLTVPYPFGIVSTGLSCSKDPSLDITCNTSTNPPKAFIKTSNLEVYDISNTELRILNLMAYSCYDSDGLLTGGQTTATGLGGTSYMFSSANALTVVGCDDYAYLFNAPNTTLPKGCLSTCQHIEEAVEDDCTGTGCCQISINLQQYYGLQLGSFSNHTNLSSSNNCGYTFLGERSRFKFQGISDLDDPNFLHRTMANVPVVLDWVIENKTCSEASKDLDSYACIHPNSDCVNMSRSGVFQGYRCTCKNGYKGNPYISPGCEGNQPT >KZM98189 pep chromosome:ASM162521v1:4:21788657:21790571:-1 gene:DCAR_014449 transcript:KZM98189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITSASLCSLLFLLPFLLLQLSDLHVSEAQNVPIAKGLSLTFFDTTCPRLESIVRKQLEKDFAEDIGQAAGLLRMHFHDCFVQGCDASVLLDGSASGPSEQKAPPNLSLRPQAFKIIDNIRRQVHRRCGAIVSCADITALTARDAVVLSGGPDYKVPLGRRDGLNFATAQATLDNLVGPSANTTEVLRRLARKNLDVTDTVALSGAHTIGLSHCSSFTNRLYPQDPTMDQTFAKNLKTSCPQNAQVDATVFQDIRTPNVFDNKYYVDLMNRQGLFTSDQDLYTDSRTRGIVTSFAVNQTLFFEKFVIGMLKMGQLNVLTGTQGEIRANCSMRNSDNTLLSSVVDVAEEAGQLIGF >KZM98432 pep chromosome:ASM162521v1:4:23928207:23930140:1 gene:DCAR_014206 transcript:KZM98432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREDNVYMAKLAEQAERYEEMVEFMEKVVAASDSGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDAHVATIREYRSKIESELSSICDGILKLLDSKLIGAASNGDSKVFYLKMKGDYYRYLAEFKIASERKEAAENTLNAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILSSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTEEIKDAPKPENE >KZM96953 pep chromosome:ASM162521v1:4:9101844:9106231:-1 gene:DCAR_015685 transcript:KZM96953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSNTFLARKGPLGTVWCAAHLQNRLKKSHITATNVARTVERIMYPELPIALRMSGHLLLGVVRIYSKQVDYFCQDCKVLLFGVKKAYTSTNVNLPENATHATLESITLPDNFQLDVMDLDDNFDSERFHDKHLRSREEITIEDQIPIGQDPYIVVSFNEDVSNSNSETGYQPMHEDTRLRTPVSTSVGVQDPGPSNQAGLEDISTRSMPDIEVMRDADPETEVMRDAFPHPEDIPSWIDPKDDKLEPDIAVMDEVMNEQDNHTPNVEFNLSDGSRPTERREEPISAALEQEPANSGSHNVTVDEHVTPEMALRSSPPAEQPNRRPKRRRVRFDEVTVLSNKVMKQRLDDSSKIVRTKKQCYRLKFDGIFSAPIHTGLCNDLRDALNNDFVSSKPYLASVEESSPAVAESSRAVHDTPDEIERLRNNEIPTESNILHDLMNSPSRIVPSPTKSMSSPTGRDDNPSAFDPESAWTNPMETTIGTVSDRGASTGRLGSDMETPLTMLNEQSGFENTCLSDIPELMNSRDGELSFLAEDDHTPAGTQGTPDYFTRQRSGASEVDMLSVRSRAVAKFLKSKLSVTPISERQSEDLSLNKILEGKRRKISARMVFETLVLKNCGLVDLQQEEPYGEITLKLMPKLAKDQSLS >KZM96693 pep chromosome:ASM162521v1:4:4509653:4521820:-1 gene:DCAR_015945 transcript:KZM96693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIACCLRQPNVNLIGATAEGTSYRILERFNKRSQLSCKVLGHARLFLEDRPRKKLKNFGYCSKGKYYSRSSYHSSLKCSKLGFRYCLEISRGGAQLWRCQGNDSVAFIDDNGQIESADSGDQESTVLESDGCLEENRAVHAEEASDIPSLEELQAVLQKACKELEVARVNSTMFEEKAHRISEAAIALKDEAENAWSDVNSRVSSLEEIENEESNAKQAVHEAMTALSLADARLQVASDLLEVAKQRSDFVELESNSLRKEEEAISAALNDIRACEATLLDCETELKQVQIRKDILQKELDRLKWVAEKADSDALKAEEDVANIMLLAEQAVALEVEATQRVNDAEIALQRAEKSSPALLLDDSESIPQNGLLLHEQDPSEEVFSEEKENYEHLVDNIVVKSKDVKHETTSSISDVLSDNQFNIGSQNLKESSDQENGKLSTTMLPKEAEIEAEKSKNTVQTKKVETQKDFAKDSSPLSAPKALLKKSSRFFSASFFSFDVDGTEFTPASLLHGLVKSGKMQLPKLVLGLLLAGAGVAYYANRSERLNKLFQQPYIISTSIDEITLKAEPVVRQIRKLPKKMKKLLDKLPHQEINEEEASLFDMLWLLLASVIFVPLFQKIPGGSPVLGYLTAGILIGPYGLSIIRHVHGTKAIAEFGVVFLMFNIGLELSVERLSSMKKYVFGLGSTQVLATAMVVGLVAHFVSGQAGPAALVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAGLKAIVAISAIIAGGRLLLRPIYRQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLFSNFPVIMGTLGLLIGGKTILVVLIGRIFGVSTISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAAGGQLIASRFEQQDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREILHKVGAERACAAAITLDTPGANYRTVWALNKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEFRSRHLSELTELCETSGSSLGYGYSRIMTKAKSQTTDPSDINQVPEGTVAL >KZM99278 pep chromosome:ASM162521v1:4:30773598:30775562:1 gene:DCAR_013360 transcript:KZM99278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKCDSFKDVNKVRYDWKVTARVMNLWRGVSTKGEPFTSFNLLLLDNKRCRVHAFVPGSVAASLEPILQIGKIYLFNNFTAKDYKADEKFRPVHKTWQIVLGQETKITCLDENEVAIDKAAFDFYDLADLKDLANQSTYLTDVIGVVHEREIQLGDIKNRFGVQQRQIKITITDGRFEICCMASDATGSIPIMLDNFSAMKCFGKRAYDVYNKGNEAFPEIIKSLEKNLYTVKILITIHNITGRNKVYTVKDMVPGHIVKTEASESEDTTPKPVQESFAEVKI >KZM99057 pep chromosome:ASM162521v1:4:29077046:29082844:1 gene:DCAR_013581 transcript:KZM99057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEHALVICNHKSDIDWLVGWILAQRSGCLGSALAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTLKSGFQRLRDFPHAFWLALFVEGTRFTQAKLLAAQEYASSMGLPAPRNVLIPRTKGFVTAVTHMRPFVPAVYDVTLAIPKTSPPPTMLRLFKGQSSVVHIHLKRHLMSDLPKSDDSVAQWCKDAFVVKDNLLDKHKENDSFGDGELQDTGRPLNSLVVVISWACLLIFGALKFVQWSSILSSWKGLAFSAVGLGIVTVLMQILIQFSQSERSNRPMPSKHAKPPQ >KZM99193 pep chromosome:ASM162521v1:4:30103039:30104873:-1 gene:DCAR_013445 transcript:KZM99193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSQLADIFAEAGPVNSVEIVYDRVTDRSRGFAFVTMAGVEEAKEAIRMFNGAQVGGRTVKVNFPEVPRGGEREVMGPRIQSSYQSFVDSPHKLYAGNLSWSVTSQKLRDIFADQPGLLSAKVIYDRQSGRSQGYGFITFESAESVASALNELNGMDVEGRIMRLNMAEDRARRTPPPTVERNF >KZM97826 pep chromosome:ASM162521v1:4:18151594:18162313:1 gene:DCAR_014812 transcript:KZM97826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQKIAVDAVSRSDSSRHINFHVQRRNDDKMRFNQGPRNFRMETLNSKSSNSERRSPKSKVDGSDGLEMDPDELNFRVTFRKIGAGLANLGNTCFLNSVLQCLTYTEPLAAYLQSGKHQTSCRTSGFCALCAIQKHVSRALQSPGRILAPKEIVSNLRQEDAHEYMVNLLESMHKCCLPSGVPSESPSAYDRSLVHKIFGGRLRSQVKCMQCLSSSNKFDPFIDLSLEIVKADSLYKALAHFTAEEQLDGGAKQYQCQHCKQKVRAHKQLTIHKAPYVLTIHLKRFGSYLVGQKIDKRIHFGPTLDLKPFVTDPYDRDLKYTLYGVLVHAGWSTHSGHYYCFVRTSSGMWYSLDDNQVVQVSERKVLEQKAYMLFYYRDTRNPVFKKADQKDNMSANAMGRPMSSNLKQESKEAIQSAQTNTVLDSKATSLAQGDSSLNAALLLKNSQKDCSSKVFSSVTTEQHPMPKKDPLLGPHSNSPLLKDSLKTTSVTSQEDGDYENCKEGTDSVTGKDSLKSDSVAGKDTLNSVSKLPDDTAQASPKKDLNAVIAISSNCCTLQTSRGITLGQEVSGKNHGVVSELDPCVGSKEQTLLPPSSTIRENCQEMKDIQSAESSGLPNRTSVQKTVLTGRHVKQMKKLPKYPMMKMQLSSNIFMAASLRVRKKKHKRNKKCISETRHLSEKILVDANGIPLDLQPSTCNGSQPFYNMARSQRKVKKAQSKKNKKSDVNNLVLNSKVDSVTGVTDGEVVKGVGQNCDVLTYQSMKSCITGSEANEWNVRGDYNSKDSTKQSMQKGVVGMLTRGLEETIVARWGELDSQSQMIDTSSLNEFAIGYVADEWDKEYDQGRRKRIRSCKHDFSKRNPFQEIATMNAKSRIGREREVLGLSMGVGRFGLFLLVTLFFRPSICQQPNTDGYFLSEFLKDMGANSSLVRSFSTDVCSWQGVSCDANGENVVKFVASGLGLAGSISDVTIGKLRNLQYLDLSSNKITYLSADFWSLGSLKVLNLSFNEISGNLPSNIGNFGLLESLDISFNNFSGNIPEGISSLTSLQVLNLQHNGFQSNIPPGMLNCKSLVSMDFSRNQLHGFLPIGFAAAFPKLESLNIAGNQVQGRGSDFSGMVSVTFLNISRNLFRGSVVDIFGGPLEVIDLSHNQFQGHISQVNISSRFHWSNLVYLDLSENQLSGMFFDSLNEAQNLEYLNLAYNRFSEQKFLQIGNFPNLEYLNLSATNLIGLIPDEIQLLSNLRAIDLSENHLCSQIPPFSTRRLQTFDVSYNNLTGNIPSSILEKLPLMDRFNFSYNRLTLCPTLVSVETLKTAFIGSLSSCPIAANPSLYKRKTATHKGLELSLVLALLLICLLAGLLILAFGCRRETRMWAMKQHSYNEEQNLSGPFSFQTDSTTWVADVKLATSVPVVMFDKPLLKFTFADLLSATSNFDRGTLLAEGRFGPVYRGFLQGGIHVAVKVLVHGSNMTDDEAARELEYLGRIRHHNLVPLTGYCLAGDQRIAIYDYMENGNLQNLLHDPPPGLQTTEDWSADSCEDDKNCEIQNVGSEELFTTWKFRYKIALGTARALAFLHHGCSPPIVHSDVNSCSVFLDMNLEPRLSDFGLSKIFGHGLENEIAHGTPGYVPPELLEPETGSPRGARATPKSDVYGFGIILFELITGKNPATDATLVSYVRGLVKKNEGSRAIDPKIRGIGPDSHFEEALKIGYLCTADLPSKRPSMQQVIGLLKDIEPSIYQ >KZM96968 pep chromosome:ASM162521v1:4:9292172:9294072:-1 gene:DCAR_015670 transcript:KZM96968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQVAIIGAGISGLAACKHCKSKGLNPIVFESEASVGGVWRKTIGITRLQTPKKIYQFSDFPWPPSVTDEFPPQSQVIQYLQSYAQHFDLLQHIRFGYYSAMDSTDAANLVRGKRVAVVGFRKSAVDIALECSTVNGPEHPCTVVYRTGHWGIPSVLPMGISIPFPYGTRFSELMVHKPDESFALSLLASILTPLSWGISKSIEIYISSYFRLGKFGTVPKHRLSKEIMSCHSVKLSEEFYDMVEKGSIKLKKSKAFCFSKKGILFDSEVESADVDLVILATGFKYFEKLKDIFVSSTFQSDITTAPGLYRNCIHPRVPQLAIIGFSESISNLHNAEMNCLWLSELLDGTFNLPSIKEMDDDIAKWDKYMKEYSGEYHYKRCIAGLQIWFNDQLCKDIGWFPKRKKGFMAELFEPYGPTDYVQS >KZM96810 pep chromosome:ASM162521v1:4:6699042:6700861:-1 gene:DCAR_015828 transcript:KZM96810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREHKGHQLQHNENFKRRRLLIFLFSVGLSPTLPSFGKTKVKSPFDEKRIVEQNKRIQRENNAPDDFPSFIREGFEVKVVAPDNYVKSESGLIFKDFEVGMGDHPKDGQQVIFHYIGYNESGRRIDSTYIQGAPAKVRLGTNGLVPGFEQGIIDMRPGGKRRIIIPPELGPPYQVFEGLRFCGSMGA >KZM98597 pep chromosome:ASM162521v1:4:25318638:25319127:-1 gene:DCAR_014041 transcript:KZM98597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCCCALVQEWREAESRGIHGPEKTKTRPPPFQYMES >KZM98502 pep chromosome:ASM162521v1:4:24517496:24519048:1 gene:DCAR_014136 transcript:KZM98502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTGVDMLPKEYGYVVIVVAISGFFNFWMAAQVGKARKKYKVALPAMYALESENENANLFNCVQRGHQNSLEWMPLFLTFMTLGGIKHPVISSVLGVVYIVSRYFYFKGYSTGDPKKRLSVGMYSFGALIGLILTAFSCGIKILIA >KZM99779 pep chromosome:ASM162521v1:4:34771928:34772893:-1 gene:DCAR_012859 transcript:KZM99779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSRAEAERLLGIAEKFLQSKDLTSARDFAVLAQETAPLLDGSDQILAITDVILAAETKKVNNKLNWYAILQIDSKSESPEVIKKQYRKLALLLHPDKNSYPFAHDAFRLVADAWGVLSDQVKKRVFDGEYGVFSRVNLVGRKGNQSNQDKYKGASGGARRLSSFWTACPYCYNLYEFPRVYQDCVLKCGNCGRAIQATEIGTLPPLVEGQDAYYCCWGFFPMGFTGKEDGGSGSGKKDSGVPNFVPPVNVDNLNEGGVEENGGDEDGNVTPVYRNANVSGNVTPVHRNVNATPVHRNVTVGSAPSTGAKKRGRPRKNV >KZM98575 pep chromosome:ASM162521v1:4:25182479:25188057:1 gene:DCAR_014063 transcript:KZM98575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEWINGYLEAILDAGTVLASSKGRLEEAAGKERSDGNPDAIKSMGKEFEDKLNLEKFEGGKDKSAEKLFSPTNYFVEEVVNSFDETDLHRTWLKVIATRNTRARSNRLENMCWRIWHLARKKKQIAWDAAQRLAKRRMEREMGRNDAHDDLSELSEGEKEKAEVMNQLEMTMAPANSMGKLGRVNSEMQIWSDETSSSKQHYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELARALADMKGVYRVDLLTRQITCADVDSSYGEPIEMLSSPSEDSGGCGAYLIRIPCGPPNKYIPKESLWPYIPEFVDGALSHIVNVARGLGEQVDAGKPLWPHVIHGHYADAGEVAARLSGALNVPMVLTGHSLGRNKFEQLLKQGRFSREDINSTYKILRRIEGEEFGLDATEMVVTSTRQEIEEQWGLYDGFDIKLERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVTTEDSLEGEGDLKSLIGTGRAESKKPIPPIWSEVMRFFTNPHKPMILALSRPDPKKNVTTLLKAFGECKPLRELANLALILGNRDDIEEMHNSSSAVLTTVLKLIDKYDLYGQVAYPKHHKQTDVPEIYRLATKTKGVFINPALVEPFGLTIIEASAYGLPVVATKNGGPVDILKALNNGLLIDPHDQKAIEDALLKLVADKTLWLDCRKNGLKNIHRFSWPEHCRNYLSYVERCRNRQPTTRLEIMPTIEEPMSESLRDVDDLSLRFSIDVDMKVSGSGDLDGASREKELIETLTQMASSNGKSSGSYFPGRRQGLYVIATDCYNSSGSCTETLSLVIQNVMKAAGSKTGQIGFVLLTGLTLQETREAFKTSQLNIEDFDALICSSGSEMYFPWRDLVLDKDYEGHIEYRWPAENVRSMVMRLAMIEEDTEAESVHYMSACSSRCYSYSIKPGAKTRRIDDLRQRLRMRAFRCNLVYSHAGSRLNVMPLFASRAQSLRYLSVRWGIEMSKMVVFVGEKGDTDYEDLLVGLHKTVILRGCVEYACEMLRSEDSFKREDLVPKDSPTTAYAEGYEAQDISTALETVGIM >KZM97984 pep chromosome:ASM162521v1:4:20099394:20100905:-1 gene:DCAR_014654 transcript:KZM97984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLITGREERKESRGCSHEPEFRDTGIGMTKEELIDCLGTIAQSGTSKILAALKENKDVGADNALIGQFGVGFCSAFLVAEKVVVSAKSPKSDKQYIWEALAESSKYVIKEETDPEKLLRRGTQITLYLRSDDKYEYTEPTKIQNLVKTTHNFFPSPSTHGKKSRGLLRFHTVVASAL >KZM97008 pep chromosome:ASM162521v1:4:9717071:9720306:-1 gene:DCAR_015630 transcript:KZM97008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDPGSTDFSDVLNGFEFGDEVVLPGFDSPPNYANTFKFKDELVDLSFLDIPDVQPEPDYAGPATNTSTSTVNNTSPTGSSEMYSPEDNDDGVLKFLNQILMEEKIEEKPSMFYDPLALQAAEQSLYEALGKQYPPSYGSGDVYQHADSPYDYFTGSSSEYSTSSGGTTAGNYYEPPHWVADSVSLKSSTVQHQPSDYNIDSRSQWSVTSENSLNNNLSGVLDSPMSTQVIPNIFSDRDSILQFKRGMEEASKFLPKIPQLVVNLDNYALRSDTKEGPPVLQVKVEKDEEIAFSSLRGRKHLLQQDSVVEDERSSKQLAVYEEEVEITELFDKVLLSGPCCDKEEPIEFSPAFHHENGLAHGSNGGKSRFKKTDSTSEAVDLRTLLISCAQSVASEDRRTAHEQLKLIRQYSSASGDASQRLANIFANGLEARMAGTGTQLYAALSSRRISATEKLKAYQAYLKACPFKKTSVFFANKMILEKSSTATTLHIIDFVLCTDNSIVAKLEITARLHSCKNDLKAQRLEVVNFD >KZM96858 pep chromosome:ASM162521v1:4:7835727:7837258:-1 gene:DCAR_015780 transcript:KZM96858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIFAILKPVVWLLMKFYGLSPQIVETEPGTKIKIWVPSKTKSANKPNVVFLHGFAGDGIFSWMSQVMAISSTHAVYVPDLLFFGGSYTDKPGRSTAFQAEVMAAALRKVGVKKCTVVGLSYGACVGFKMAKLDPELVEFIVASDTIIELNESFVRVVLDHYGFDNFVEFLMPKTADGLIRFLTICNHGPVNLPQFAAKDFLREFYNNRKEKAELAEAWVIPDNEVKPISLSQKVHLVWGDDDKIFNSNVAERTKMQLGGHTTLHYIEDGGHAVQMEKPDLYNAELKKVLSSRIINSRED >KZM96663 pep chromosome:ASM162521v1:4:3378164:3378634:1 gene:DCAR_015975 transcript:KZM96663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDMKDTDDEFEVIHISNSKNESCYVEDVPWLVSPATELLPADYRLYCCFCRWTQLHPDIGNCLPGDCHGNSSILAFDQDGRLVRKSISLTIEHRHFPFYGGSMEKEAFFDYNEYFDYNEFYLEDGYLQPVYQGLLRNSYCHHDRVPYLENIKAD >KZM98325 pep chromosome:ASM162521v1:4:22938501:22939526:-1 gene:DCAR_014313 transcript:KZM98325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRSKEEAKKPESRLAVNKRSAAAADKAPYVAKAEKRKSEYEKTMLAYNNKKEADEKEEVVEEEESDKSKSEVHDDDEDDDEDESGEDDDDDE >KZM97165 pep chromosome:ASM162521v1:4:11704098:11704616:1 gene:DCAR_015473 transcript:KZM97165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPTPPTAALQNLTIADSPCIPTTPLENLTISATNTEKSTPRKVLTHTKPSREESFRRAHLTAMTRQWYGPAKASPSPIRIEDPSTSRPSNVASAEERLDQLRVHASKPWLNREGFNSLRLAYVRRRVIGELSSMAKKKPKHRDKLELAVRYVQRRENREEVLSIIQSLFN >KZM97636 pep chromosome:ASM162521v1:4:16426532:16427937:1 gene:DCAR_015002 transcript:KZM97636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKASVKRGPWSPEEDQKLKDYIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDDEDRIICTLFANIGSRWSIIAGQLPGRTDNDIKNYWNTKLKKKLLMSSLMLPNPFVRPPNNINNYQQYLFSSPSPSSYSSPLPPTLRLCDNNYPTLGASRSFTSEGFSNISTTLFNIQPQDQVLGSMQNYQEKESPLIMFGGAGDDQQAASSSSYDEQFMMFSNCGMNSIADEQKQVNLGVSSGDHEIVSNVLDQYNMSCVEEIKQLISTNNLSCNSNLSFFVDENKTVRSEEEKVLMYY >KZM97598 pep chromosome:ASM162521v1:4:16104160:16111432:-1 gene:DCAR_015040 transcript:KZM97598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLFWILFNSRPWLCYWILHSIALLGDSVDDELEDRAIDFLDRCQDKNGGYGGGPGQLPHLATTYAAVNSLVTLGGQKSLSSINRWMHDGGEIDVRACYTAISVASILNILDDELIENVGNYILSCQTYEGGIAGEPGSEAHGGYTFCGLATMILIKEVNRLDLASLTDWLVFRQGLEGGFQGRTNKLVDGCYSFWQGGASALIQRLHMIVDEQAFVSDEVDENDADSSQTSADLSEEEQCLGGNSPHADEHCSHEDGAGQRNPSDQVKFERLGVGSTGRRGNAETEPLFHCIELQRYILLCSQVEGGFRDKPGKYRDHYHTCYCLSGLSVCQYSWSSNVDSQPLPAAVFGNYSKNLLEPVHPVYNVVFERYKEAREFFMLPS >KZM98555 pep chromosome:ASM162521v1:4:25003646:25004629:-1 gene:DCAR_014083 transcript:KZM98555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNTVQKSPVKEKLVGEKTIEKSSKAECLEQGLGEEMNHDAVQGENNVVKQTPDEETATGETETQEDEQSLEEQVHDDPSLSGFDFKHLKVLQNIPISSFYGVDFDNLSLPLHPSSDVGSFYGLDTESLAAENAALDEFYAERKSKTASCGNDQSFANKCSQQRQDQFCGNKCSQDQSQIILFPESASEEDDDIRILELFLKYTLYYGLIPDVLGQPATRFGPDHPLLVYVNWKIQKAGFISGSWLTEKILKLQTKYTKLVAEKGKAPEHQEFPTPGDYSFFQLSRMIWERDGELDTLREVDVHCKDNVELEPVDIGKLVIDDQE >KZM98657 pep chromosome:ASM162521v1:4:25835908:25836292:-1 gene:DCAR_013981 transcript:KZM98657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEGFESSPASAPAPTPIPSSPLSPKIDCGERCSERCKLSSRPNLCHRACGTCCARCNCVPPGTAGNQEVCPCYANMTTHGGRKKCP >KZM97646 pep chromosome:ASM162521v1:4:16513095:16514942:1 gene:DCAR_014992 transcript:KZM97646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSNFFNTVKRVFPINPSSKSDERLAKLIAKTLINSTSKPLQIDPSLTSNLNPNIIHLVLSNPNVKIPSCLHFFRFLKSNPYPFSQKPNLQAHITLVCRLFQARKFAEAKIILNQVVSNENLVCPTSDIVSMMIESYEEPKIVHKLFDMLFRVYADNKRFEECLEIFEYMKSNGFEIDDRSCMVYLLAVKRCDQLDLLYDFFRRMVDSGVKITVYSMTLVIDGLCKIGEVGKARNLLDEMVDRGIEPNDYTYNTLIDAYMKKLDSGGVKEMLNAMEKNGIPYNVATYTLLINWNSRSEEMEEAERLFEEMNQRGILGDVHVYTTMISWNCKLGNTKKAFRLFDEMAERGLVPNCHTYAALVNGLCKAGHMEGVEVLLHEMQTKGYNLNRVIFNTLIDGYCKRGMIDEAWRCQSIMEQKGFEADVFAYSTIAHGLCKLNRHEEAKRLLLSMVDRGVAPNIVVFTTLIDIYCKQGDFVEAKRTIREMESKGEKPNVVTYNALIDGYCKKSMMKEAHKTMREMEVKGLVADVYTYTSLVHGECISGNIDEAARLFSEMPDKRLVPNIITYSVMISGLSKVGRSDEAFKLYDQMKEAGLTPDDKVYAALVGSLHPATT >KZM99015 pep chromosome:ASM162521v1:4:28761458:28761721:1 gene:DCAR_013623 transcript:KZM99015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQYKFFPTDFYFPVPKQSSEISTGNQQIFPVIKTEEIHIEKSSETALVHRQIKSSKIVLKALPSSLSLAPVVKKNISKQYEPSQ >KZM98553 pep chromosome:ASM162521v1:4:24996711:24997937:-1 gene:DCAR_014085 transcript:KZM98553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKTRNLYSSLPSQETKTRRPLKQKNPFKKILSKAKKIFSRGKAQKQEINEVEDIDLGLWWKDLIAEIIESGETPVDEKAIKKTPESKTSGDQEEIKKTSEAKTRKQELQEEETVGVQGEVNVVEEIPTGETQAKGEDNVDDLLLQMRQTKIKEKSCVPLPCVSRGSDVESIQEGVARAEEGSCEQGEQSVYPSGFDFQHLLVLQDFPLSSFYGVDFNNLNLPLKPSSQVQSFYGLDEESLVAENAALDEFYAQRKCEKFACENDQSSGKQCPKDQNLLFPESASEQDDDFRTLKNLFGYKLYFGSLPYVLDQPATTLGPQHSLLAYLNSELQKGGCINESQLTEKVLKLQTKYKKLVAEKGRNPERQDFAKPGGDYSFFRLSRLTWERDQESDVHQEVDAHCKDTV >KZM99585 pep chromosome:ASM162521v1:4:33213336:33214343:-1 gene:DCAR_013053 transcript:KZM99585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLLIPKRPGHSFVTFSSIHSVCLSLEESVRAAVESRKYRQIPDLFTASKECCPASNPFSFLSTFSLARRTQVIDEMLQDFIWIRPRYHPYATYSCLLTYVLQSPDPLPLGLAIVQRVLRSGCVPVPQTHLLLSSAWMERRQQCESVSKMLLEMESIGYGPDCGTCNYLISSLCKVDQSKEAVQVLRGMCKSGCVPDLDTYGIVIGAMCRIRRITVVAELMREMVKFGLTPRQEIVVKVIKTMKARKDVWRAVEVIELLQTEGILVGFESYESVLEGCLECGEYVLAGKVVMGMTNRGFIPYIRARQKVVEGLANAGDWKFAYAVRQRFTELKS >KZM98161 pep chromosome:ASM162521v1:4:21601450:21606378:1 gene:DCAR_014477 transcript:KZM98161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGINMLKLLARGKSNLIYKELRCLNFASLSATSCVGSKRLEGKVALITGGASGIGKATALEFLQHGAQVVIADIDTKLGPPAATELGHEARFIRCDVAIESEVAAAVESTLALHGKLDIMYNNAGIAGPAIPPGIESLDLNEFDRVMNINVRGIMAGIKHAARVMKPAGSGSILCTASISGILGGLGPHPYTVSKFAIPGIVKSVASELCQYGVRINCISPSPIPTPLVIEQISQFFRGATEEQIREIVNGFGELKGEICEEIDVARAALYLASDEAKLFATSKTNTPLLYNHAPNIAIVMFNRELFVIKVTRSTHSHYRGKRFLGVMLVGRKVMIIPMYVWRVIFGSTRSVLLLLPSFQLLRITTILSVLSSLFLTCTAISPDTVPSARNEFNSFAGLITVKNAHCLDETEGNDIADNEPDLVQFPLPDEEALLDLIITQCSKFLVKTHREGQNSSDEPNIIEKHWGHRNHPLQLHQFTVGVDDDDKRVLICDACIQPISIRRPSYYACIECGFFLHSFCANKLPANLPVGASPLHPRHSLFLRQQYKFYSFAKCGICQYSTNGFYYLCKTCDIRFDIRCVFLPTRIRHKSHKHHSLVQTQPSRRSCDASGFKFGDILKYSCQTCSRFQISLVSAFYPSRMKHRYDDHSLILRHPPFFYEGVFYCQICEEQVNNQWWLYHCDECNQSFHFDCVRWYESVKVGRRIKHSFGNQEHTLALVLKKTQRRNSPPYLCGICGAGHTFQYFLECEGCGYLACIFCIRRVYGTTE >KZM98283 pep chromosome:ASM162521v1:4:22546451:22547540:-1 gene:DCAR_014355 transcript:KZM98283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFASLLVPICLCLAWLSFQLTVAVDPTVGFTSLPLDQSNFDIQRPYNVPVNKRYSFINGVHRMWVYKSDKPHSPDSHTHPRTEIRIKGYDFSSGVWQFEAYGYVPKVTSGASIMQIFGGSPSATTLMLRIYKGALAYYRNPVLVRNIYSRWFRLNVIYDVDANKVQVYIDGHLKFETTGRGGTSHFFKCGVYAQDRDSHYMESRWKNIKVLRKD >KZM98847 pep chromosome:ASM162521v1:4:27347909:27348748:-1 gene:DCAR_013791 transcript:KZM98847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIQSACIEALNKKWDKLHIETVNRDIFDTVRWQEHLALDDDQIEVYGMFNTIHANNFKEGKSDRKLSCVPLFMNSTAEYLAIYGMNNLSEFAEVPNMVGDLHYYLERDMGRALPLPIRQVGLLLGDGEVEDGPPPPPKRQKTENSFGLNLNIESLLHGFRSSAVNGCSNAIFGYYRGSSSRPARRLSDKGKAKMQPENDSVDTGVSNWVRKPLMMKHPIPQEPMPVGNGDSREALRQAMACNLKAMLPWVFNPEVEDPEGKELMSVECVMELLGFKF >KZM96739 pep chromosome:ASM162521v1:4:5545351:5550219:-1 gene:DCAR_015899 transcript:KZM96739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVVCVTGGTGFVASWLVMRLLQHGYAVNTTIRSHPGQKKDVNYLTNLPGASERLQIFNADFDKPESFNESIQGCVGVFHVAHNVEFEAKEDEETNLQASINVTISILRACLDSKTVKRVVYTSSSSAVLFSGKDSSVVDETLWTDVDFVRSLKSSAGPYYISKTLTERAALEFAEKHGLDLVTVIPTFIHGPFINPRCPGSVRASMAAIFGDKILPEYSTCISIVHVDDVATAHIFLFEYPNARGRYICSVIDIKVDELQKFLSERYPEYNVSSTSLILGSPLGRFIQNYELLPPDWRKASNLDVQLIKMMKKHKTFLGGLKPSSQNSAEIDSIVRFAVLEHNKKQREYSVEWFCQLKYCARVT >KZM96603 pep chromosome:ASM162521v1:4:1975086:1979779:-1 gene:DCAR_016035 transcript:KZM96603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATSALFIMDIKGHCLLCRDYRGDVSSLQAERFFSKLLDTEGDIDAHGPVAYDSGVAYLFIPHNNIYLMTAARQNCNAASLLVFLHRLVDVFRYYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEANILGEFIKTDAYRMELTQRPPMAVTNAVSWRSEGIFYKKNEVFLDVVEHVNLLVNSNGQILRSDLVGALKMRTYLSGMPECKLGLNDRVLLEAQGKSIKGRAIDLDDVKFHQCVRLARFESDRTISFIPPDGSFDLMTYRLSTKVKPLIWVEARVEKHARSRVEVLVKARSQFRERSSATNVVIEVPTPYDATNPRIKTSMGSAKYAPEKDAMLWNIKSFPGNKECMLGAEFKLPSIISEEESADRKAPISLKFEIPYFTVSGIQVRHLKVIEKSGYQPLPWVRYITMAGEYELRII >KZM98755 pep chromosome:ASM162521v1:4:26650060:26656514:-1 gene:DCAR_013883 transcript:KZM98755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQGGDYTSFSQQPPQPPSYYSQQYPQNPNPNPIPNPPPPLVDTNSLYGAPYASAPPFSANYPPSSDNFAAYPPNYPPFSHNPDPVPSLSPTAPSYSPNPNLQPQYNHNPGPTPPVQTQPSFPRFETHVPYQSQASFHQSNYPAFDQHQSSFSIPPVQSNASTDYNLPYNYESGVNFDQSGGGNGGVGRYDSGGYGGGGSGYGEGVYAYQGSKVEPYGARGTGSKSSTWSSSGTGSGTPTFDDFGRPISFPSGKTQSAVSTGKIARAVPKADSEQDSKGGVQKFRVKLLAESGGQNTMDVLCQIGLDGIRMLDPSTSRTLRIYPLDTVTRCDVTDSSTFAFWSKSSVDIEPRRIRLQSNRYTTNTILDTVTAATVQVPDEAVNKCTACGSDFGAFIRRHHCRNCGDIFCDKCTHGRIALTADENAPQVRVCDRCMAEVTHRLSNAKEAATRSASLESHENLAKKLQLDQKYL >KZM99168 pep chromosome:ASM162521v1:4:29923111:29930817:1 gene:DCAR_013470 transcript:KZM99168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEKTNPNTLQVEEDDDPPMLSPQAIEALRELLAEQNRTIDTDSGATAVSGGDVALVTEDWRLSQFWYDRETAETVAKEVHALCSSIDSPSVACLACPTLYVYLKKLHPNIPVQLLEYDKRFEKYGSEFTFYDYNEPEELPSSLKHACQIVVADPPYLSKECLDKVAQTIAFLAHPGDFHLLLLTGQVQKDRAAELLGLRPCQFRPQHSSKLGNEFRLFTNYDPEQDLLSVRLVRVASQHFCGFFYQTFLVTPTPDPGEKRKRRVFTPVVTVYTDRFMLQYPDSHSRDLGRFEVARIKPKTSESVFGVTGLEISQAGLPTRIGQWWEGIPFLTSVVIIVCGAIYVLCLFAGYDSFQEVCFLPVAVISRFQVYRIYSSVIFHGSLLHVLFNMLAFVPLGSELERIMGSIRLSYITILLATSNALLNLLIAALLAHNPFYPFPIFMDECAIGFSGILFSMIVIETSLSGAQHRSVFGLFNVPAQWYAWILLVVLQLVMSNVSLLGHLCGILSGFAYTYGLFNFLVPGPSFYSSIESSTWLSTCVRRPKYIMCTGGNPSGYLPTYTNQNVSSGLLSGNIWRNLSSWMPQRGTSAQSADDSRFPGTGRTLASGRNTNASTVNSDLGLQAKLLDSSSSPNDLLNTAVGAPQPILDGRRPVVENGATAAATGLQGHQVPVVSDEEINKLVAMGFERTQVEVALAAADGDLNVAVEILMSQEVCI >KZM99457 pep chromosome:ASM162521v1:4:32202304:32205746:1 gene:DCAR_013181 transcript:KZM99457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSSSPKPHHIFQTKVLNAHHDVQQVDEKAKEEEKDLLELRLGRGGPLSPKRLHMGSSSSCSSLCLQQPKQQNVGLVDLNHALPCTMPPAVPSSMPFRVRPQPGLWFTLRSSPTRKREMWLPQIPKMYIRVKEDEKVTVLMVKTYLLTKLGLSNEAQIEILCKGQRLTSSERLKHVRDTIWLPGFMESVIKSGLNTTISISNGAPGNHFIMCLEYERKY >KZM99550 pep chromosome:ASM162521v1:4:32932054:32933369:-1 gene:DCAR_013088 transcript:KZM99550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLLNYVVADLCLGKPPLKSLPASVTVAEALTALKTFEENYISVWSCKDNQDGIDEIEEVDEDCVCIGKVCMVDIICFLANEENLSSPAEALASPLSALLTPAPGIVRHVEPSSSLLDAIDLILQGTQNLVVPIKTSSRRNLSNSSTHNGGEYCWLTQEDVIRFLLSSIGLFSPVSARTVESLGIISPDFLAVWYHSPASTAIGAISQALAEQTSVGVVDDNGILVGEISPFTLAGCDESVAAAIATLSTGDLMSYIDCGGPPDDIIKLVKETLKERNYEGTLEEFEVFSPNISSSNSSSSEDDESSASPITPFTRPGRYSKSRSYSARMVPKAEAIVCHPGSSLVAVMIQALSHRVSYVWVIEDDCRLIGIVTFTNMLAVLREHFHSMS >KZM98633 pep chromosome:ASM162521v1:4:25645850:25661471:1 gene:DCAR_014005 transcript:KZM98633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTISHLTPCRYAGEKPVAGLKFMNNSASFQNGARQLSRAVRTPLRRVSTSISIRASLVNDKLVPGKVVLKPTDILAYNLVQGAFVRWSYIDRSAIEPPTAVLLHGILGSRKNWGTFARRLAQEFPHWQFLLVDLRCHGDSALIKKRGPNTVSSAALDVLKLLGQLRLTPRVVVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGQVRAGGDGDDHPAELISFLSTFPKEIASKRDVVNALVHEGFSKDVAQWVVTNLRQTGPPNASSPGFSWAFDLDGISEMYQSYEVTNLWKIVEDVPRGVHVNFLKAERSLHRWALEDLQRIHSAEDQAVEEGGGVEMHVLEDAGHWVHADNPDGLFRILSFSFQGV >KZM99302 pep chromosome:ASM162521v1:4:30950646:30950933:-1 gene:DCAR_013336 transcript:KZM99302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTDQAEPQAAYAAPAPAGYPTKEGGAAAEAAAAGPAETETRGDGFWKGCCAALCCCCVLDACF >KZM96638 pep chromosome:ASM162521v1:4:2798185:2800472:1 gene:DCAR_016000 transcript:KZM96638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKAATRVPGKSLVFDRVKVGGGAAKNGVCKYWMAGCCSRSDCRFLHPKIQVPGSKKSWKNPNYHVPKTVQMIEQKDVSRRHGSESQVQSVKKPFLVGQSAQTSEVKDVTKRPNKEPKKQLCKYWVTGDCVYGDKCKNLHSWYYGDGFTMLAKLEGHTKAVTGITLPSSDKLYSVSKDKSMRVWNCHTGQCCEVVHFNDECGSLMCDGPCIFVGLPNAVKAWNLEFQAEVALNGPVVGQVYAMEVGNSMFFAGTQDGNINVWKTGSSEVATTLKGHTGAVLSLIVGANRLYSGSMDKTIKVWDLDTLKCLQTLHGHSDVVMSLLCWENVLLSGSLDGQIKVWAANESGSLEVVHESDEGHGILGFCGITDAEAKPILLCSCNDNSVRLYELPSFTERGRIYAKAEVRTVQIGTGGLFFTGDATGQLTVWKLNEKSSADVSS >KZM96601 pep chromosome:ASM162521v1:4:1954251:1956974:1 gene:DCAR_016037 transcript:KZM96601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSSPIIFPFVSFLLLVFLLSPVAALESGHHNAANQTFRPREETRKLERARAYLRKINKPASKTIQAFQIFFPDGDVIKCVPSHLQPAFDHPLLKGQKPLVYMQEPPERPKHNDSIDLSTEIIQAWMNTSESCPEGTIPIRETTEQDVLRASSVRRFGRKIRRRDTTSSDHEHAVAFASGDQYYGAKASINVWAPRVIDQYEFSLSQVWVISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTSDAYQTTGCYNLLCSGFVQTNNKIAIGAAISPRSSYNNKQFDIGIMIWKDPKHGHWWLEFGSGLLIGYWPSYLFSHLRDHANMIQFGGEIVNSRSGFHTSTQMGSGHFAEEGFGKASYFRNMQIVDWDNSLLPLSNLRLLADHPNCYDIRAGRNNVWGNYIYYGGPGRNQRCP >KZM96769 pep chromosome:ASM162521v1:4:6008342:6012188:-1 gene:DCAR_015869 transcript:KZM96769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPGWDVDDNTKLTSQSHSLYLSPDLSSLDYEVAELTWENGHLSMHGLGQPRLPYDIWDKPCLGGTLESIVDQATFFPDNKSVGDGADAWTTGGVIDALVPCTDNNNMVEGSSTRVMDSGLGRQVESCRDVTMAFEEVRVERPPSPQQNATSAKQCTANDHQSVCQTNPQVGVTKFAKPTEAVHEEGKKKTNDKSSVSAKRRRAAAVHNQSERKRRDKINQRMITLQKMVPNSSKIDKASMLDEVIDYMKQLQAQVIMMSRMNGSPMTLPHAMQQQLQMSMMGMGHFIDMNPAMACHPNLMAVSPSVLHPVPSVLHPVAHRLNPPAQSMHDSMSTFLAACQSQPMTMDAYSRMATFYHHMNQNHGPRVMK >KZM97469 pep chromosome:ASM162521v1:4:14974177:14977158:-1 gene:DCAR_015169 transcript:KZM97469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSSLLASSFAAASASFSRPHFVPFTINSRFKDSPQSKSRSLRLGLCVESKRRYSAIKASSSSDSLRPTTSIAPLQLESPTGQFLSQILTSHPHLVPAAVEQQLEQLQIDRDAEKQKEATCTTGTDLVLYRRIAELKHNERKKALEEILYALIVQKFMDADVSLVPAISAQSSDPSGRVDTWPCQDEKLEKIHSHEANEMIQNHLTFILGNRSSDPSSVAQVSKLKVGQVYAASVMYGYFLKRIDQRFQLEKTMKILPGGSAEERNIEQVIGDETRPNVKNIGDSSRNLQPHPEVSAWSGGLGLGGIGEGIRSSRLKTYVMSFDAETLQRYATIRSKEAIDIVEKHTEALFGKPEIVISPEGRVDSSKDENIKISLGGLKRLVLEAVTFGAFLWDVESHVDSRYHFVAN >KZM97929 pep chromosome:ASM162521v1:4:19531665:19531877:-1 gene:DCAR_014709 transcript:KZM97929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIHIFWFSGYIELRSFAISSISNPISTIRIQQLLHKFFPDAVGEIVKIGCADELRRITRSYYKKLGRRM >KZM96827 pep chromosome:ASM162521v1:4:7078243:7080811:1 gene:DCAR_015811 transcript:KZM96827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRPRKTIEPVTFSPTEKSISPPEKKIKVEKYSTIEKLKNGVDGYKIKVRVIRLWRGSTKDGEEFKNFNTVLINQKGQRIHAFVPTKCAEEFQYQLHLGRVFSINHFDVQLYKQSDNYRMLRNPTQLVFSNDTKIQELVDDGVTIPLDDVVGIIKDYGNIRDLRNKHGKDQKQAKFIITDGNLNINVTFWDKFGENFDKQMKTPLDQPVIIIISGCKVGKWNGQIDISNNNATRIYLNYKHHSVTKLRKFCDKETKIENPCLVCENCNRFVPYPQKKFRIHVVAEDKSGQMQVVLGDREVRTIIGRRPSVLADEIFSVQGIPKCLLAIVDKEYSLVIQIKEINIVKSFKFYWATNICTGFVSLPVIPTDGASSSQAQTSQATASTDNAQEISYVNLSSSLQH >KZM97808 pep chromosome:ASM162521v1:4:18030671:18034734:1 gene:DCAR_014830 transcript:KZM97808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIRDQRHNSTTPTNAAGGNQHISKDKGILKMTSPNVVARLMGLDVLPSPEVIHRPQKTLAGNNRLKSSPRRSQSDDHLCEAQFYHQKGSVEQPQFKDVFEDTSKKSGASKNPKVELHQQSDSLIPEHPCRHKSPTSYQISVLKPSNPGKQKTKAEGWKAERGSQVKDDFVSQQIHVDDFLTRTYGSPGSQSSAKSSIIPADRKSESDIIPKRIVILKPNYVMAQNASNSFLSPDSSNFDFSDYSNHKDYASTLMSEYYFGDMEIRKDVVNFRKPRSRKVTKRVMKATRDMRDTSSYMMNNRDTANKFGENTGDDIGVVINSIPSYVQGIADMRDLYDFSGNVFANESEGMKSTSCDLRESFVTSEAKKRLLRRLEKAHKYKDARDNSKESMLRDVGVNGKKITLGEMLSTRDAYRNLDVKMSSINRRDGWNDSYSKPSSSSRSVHQLPGRTSEQQNADGEFHVDDKLLIPKNETNQYRRKGAKRYYKKKDKSTIKNSRSSKSIAQSDHLRCAYNCESLLESCSSQVPMEINLNNKVSPDEQLLIPRTPSSAASIVDAENIQHRSGTQFLGASGELHPELSICMEKYDYFFVADQENSTPQRTKAEAESAESSKEADNPSPVSVLEVTLREGDVLSCPESFDQVSADLQELQKQLQLLKRESTSYAGDPILNDCAAQQGPVTSFENVGIHKHEYWESTYIIDVLTESGFHNTDTDTILSTCYSPDCPLGPWVFDNLENRLCKEVTGLKHARKLLFDRINLALSEIPGSFMDPFPWVRQSSTGIGFRWQKCDINDKLHELLEGQEKDAYEDELEKLLDKEMNWVGSRDFVDAIGIEIEKVLTDELLTELVNEVAFH >KZM97127 pep chromosome:ASM162521v1:4:11223413:11225140:1 gene:DCAR_015511 transcript:KZM97127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAPNFAFASSTAWRFTSQVVRGRWFSLFATFLIMAGAGATYLFGVYSKDIKSSLGYDQTTITLLGFFKDLGANVGVPSGLLAEVTPTWFVLLVGSMLNFGGYFMIWLSVTRKISKPKVWQMCIYICIGATSQNFANTGALVTAIRNFPESRGIMLGLLKGFTGLSGAIMTQLYLAVYGNDSKSLILLIAWLPAALSIVFVYVIREMKVVKQQQNELKIFYQFLYVSIVLALFLMAMTIAQKLVSFPRAAYAGSSTVVCALLFVPLFIAIREELLIWKQQKEPLSPTIVVEKPISAPSTEMTKTQEPETPCCSNVFKKPPRGEDYTIFQALLSTDMLVLFLFSLCGLGSSLTAVDNLGQIGESLGYPTKTIKTFVSLLSIWNYFGRIFAGFVSESLLVTYKFPRPLMMTIILLLSSIGHLLIAFPIPGSVYVASIIIGFSFGAQLPLIFAIISELFGLKYYSTLFNCGQLASPLGSYILNVKVTGPLYDKEAVKDLAAKGLKRSDVKELICIGAKCYRTAFIILASVTFFGSLVSLILVVRTQAFYRSDIYKKFKDQEIVTQKEVGLSSTGEVQ >KZM96787 pep chromosome:ASM162521v1:4:6307236:6307505:-1 gene:DCAR_015851 transcript:KZM96787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPKKFWFGDEPKEDEHEEEVKRLFAEMDVCLKEFEEEEEKEAEAEEEEEEEEEGHTREELVAIFENYIKELQELDEEEDEEEKKKM >KZM97424 pep chromosome:ASM162521v1:4:14559750:14559977:-1 gene:DCAR_015214 transcript:KZM97424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKERDQLGRVLQDWAQEHLYEILQIVAKRNPKLTTPDGDGEIELDVSALDSESMRDLHDFVRNLKPKQDNKVT >KZM99829 pep chromosome:ASM162521v1:4:35201647:35208802:1 gene:DCAR_012809 transcript:KZM99829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIMKLLEEDEDETMHSGADVEAFTAALNRDIEGDSSGSQTAVLSQVNNHNSGQFPQWQTSSHDETVTCQSQQDVNVSQQHELHSSVKVNQHSSGSENQVQQTVLNQHGSGSENQLQQTDSVKELKSIPLQQKQSPEDLPHQQERSEQKTLHVSQPINIQNLENSTAVGQEQNRSQDPNSLSQYLRSQKMNTQQAGATTQANNAVKNGKQVPFAMLFPVIEPLLDKDKAMQLRTLYNKLKQNEISKDAFVKHMRALVGDHMLKLAVVRLQEQGPRSSQVPPNQVASHSHIPAQQLGPSSAAQTFSDSSNTVVDNNAHILRDIKQEKERPFPIQGLNNQQQHIHFPPSFPPYGTTGSNYHQYAAGNVNSSVQSLKQPPQNMQMRQVTVRPGVGTVHSMGLPKQNSFTEPMRMQGGGHYVKQEPIDQGNEQQKTQLSSSQGLSTRLSSATRPVGPGSTAKTPIKKPNIGQKKALESPGPEPLQQNKKQKVSHSDQSIEQLNDVTAVSGVNLREEEEQLFSGTKEDSRVSEASRRVVQEEEERLILQRVPLQKKMGEITCNLTFWDLYYCLAVKKWGLKNIGNDVERCLSLSVEERLRALISNLFRLSKQRVDIEKPRHRTIVTSDVRQQIIAMNRKAKEEWNKKQGDSEKSQGAVDPEGNTGVEGDKEKDDTRARPIKANKEDDDKMRTTAANVAARAAVGGDDMFSKWQLMAEARQKQREGGADSASSSHPVKEVNRKLVTTPVKNTRDNQDSGRSQRTTVATSGVTRKSGKAQVMSQPGIPRNISVKDVIAVLEREPQTSKSVLIYRLYNKVHTDAME >KZM98307 pep chromosome:ASM162521v1:4:22786849:22789583:1 gene:DCAR_014331 transcript:KZM98307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSGFEIAFRRYLTKFQVVEALSVACVDSSAVGVGKLVSKLVCRSLHSNVAAINDDNESVASKSALAKNLAYLLAESSNRNERKPKTRMEMKRHFELCIKRRVKEQYVDGKYHNLMVNVIANPKTLFDAYNSIRVSSNVDLELECDTIPFKEMAEELSRGKFDVGANTFSISTRGPDKEVLVLPNLKLKVVQEAIRIALEVVFKPYFSKTSHGCRSGRGHLSALKYIRKEVSNPDWWFTLLVHKHFDVCILSKLITTMETKIEDSTLYAIIRSMFDAHVLNLNFGGFPKGHGLPQEGLLSPILMNIYLDLFDSEVYRLSMRYESFCAGTHCDQHRSDSKLRSWFRRQIEDNSTQSAESKSNMRIHCCRVMDEIFIAISGPKEAASAFMFEIKSFLHNSLHMDVNNNCMDVYPCNGTRGVQFLGTMVKRSMKESPAIRTVHKLRDKVRLFALQKEESWDSGTVRIGKKWVAHGLKKVKESEIKHLADPCSVLNQISHFRKAGMETDHWYKVLLKIWMQDVNAKSEVSVESVLSKYIAEPALPRELVDSFNAFQKQAEKYITSETAATAALLPESVSVESVFMTKIYSPVNLIKKRLVRYGLINSNGHARTCHALILQDNEQIIDWFCGLASRWDRWFSECDNFSKVKLIVSDLVRKSCIRTLAAKYRIHESQIEKQFDSELSRLPSTEEIGNDILNGSSEAQQPNYDEALMYGISYGGLCVLSLTRMVSQSRTCCCFVMGCTAAAPCVYSLHIMERQKFPGWKTGFATCIHPSLNRRRIGLCKQHVRDLYLGHISLSSIDFGAWR >KZM96773 pep chromosome:ASM162521v1:4:6120669:6121793:-1 gene:DCAR_015865 transcript:KZM96773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASITTLKELSSQSDCYNIRVKVTRIWESVNKHNGATMHTNILPLDQQGNNIVAIIRNNQKNQFLQMLEENVVYTISNLKVVQGPKLCRTADVNYVLNFFYRMKINKEVDTRVIPLYSFELQDYEAVAELVENVKVFIELWPAGTPVEWSPKMDIVLNNISTRPI >KZM97012 pep chromosome:ASM162521v1:4:9761225:9767800:-1 gene:DCAR_015626 transcript:KZM97012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSLVISLKRFATQGHLEQAFKTFASIQTHFGSGDSFYIIVISLSRLLLCCSNLKALSHGRQVHSYIVTSGLEKHSILVPKLVTFYANLDVLDYGKIIVCSSGIKDPLSWNLLISGYVRNGFCGEAISMYRVMVEKGVRPDNFTYPSVLKACSEELDVVAGREVHRSIECSGVEWNLYVYNALIYMYGKCGEVDVARKLFDGLRERDAVSWNSMISCYASGGMWKEAFEVFEYMQGESIELNMITWNTIAGGCLKTGRYDTALELLCRMRKCLIHLDPVAVINGLGACSHVGSLKLGKEIHGLAVRSYCDEFDKVRNSLITMYSRCKDLRLATVLFNLVQVKSMITWNSIISGYSQWDHTEEAFFLFREMILFGFEPNNVTIASLLPLCARVANLQHGREFHCYMIKREVFKDYLLLWNALVDMYARSGKISLAKRLFDLLIKKDEVTYTSLIAGYGIQGEGKTALKLFEEMIASQIRPDHVTMIAVLSACSHSRLVIEAIAVGKFLGIAWRHLNPVACGIITKRRCSVISCTGIAWRHLNPVACGIITKRRCSVMRCTVEAYSSPFVVDMGIYLLRFGVLSAGADKVDHGVEFSVTDEWLEGWETDNIVKDFLIIFVPVFQVVMMVEEQKEIEIRYYTDRGSTKENRD >KZM97090 pep chromosome:ASM162521v1:4:10681484:10682811:1 gene:DCAR_015548 transcript:KZM97090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVNVLHMNAGNRKCSYANSSSLQKYVILKSAKVLEDTIEDYGTNGFSECFKLADLGCSSGPNTFLFVANTLANVHKACAKKNLKAPDEFQVFLNDLPNNDFNMVFKMTPAFYSKLENDEALARTLKCFXFPSKSLHFVHSSYGVHWLSQSRSQVPENLLDNNKGNVYIAKASPPGVYEAYFNQYKRDLTTFLRMRSEEIIPDGRMVLTLLGRRASDPASKDCCYLYELLAMSLHDLVAEGSLHEEDISSFNLPFYTPSPDELKTIIESENSFCLDKLETFEVKWDMRDEDEIIKSEDSSGKFIVKTVRAVMEPLIASHFGNSFMDQIFERFANHVTEHLSRGEKGSYFNILVSLRRK >KZM97015 pep chromosome:ASM162521v1:4:9804763:9806308:1 gene:DCAR_015623 transcript:KZM97015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKHAKGCWLESVPQSWSPSFGPPFCYPFLPAQLCPCAHWMPGMKWYHSSSNNGPIFQEFKKQASFFFKEKIKTARLALTDVTPAQLLTEEATNGSSSAPDTRTLKAISKAAFEVDDYFRILEILRSRLSKFDKKNWRTSYQALIVIEHLMTHGPESVAKVFQADKDVIRDMGCFQLIDEKGFNWGLNVRLKSEKILMLLEEGSLLKEERNRARKVSRGIEGFGSFSQRTSSAKGILSESPITKYARSKSQFTGNGDQEDWFSSKHGEETTKTMANGSCDSSDENACLVSNKEVKHMTLNNSFNSQPLANIETESVSKENVAPKKEYILGGFVERSTSVGDSEPLLDDENDESRINILVEEDHPFNDAAHLTGASLLSKDHTVQAF >KZM96893 pep chromosome:ASM162521v1:4:8399431:8401188:-1 gene:DCAR_015745 transcript:KZM96893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSIGSLGLRFPAATVNNRKSGLPYSCEIRLRGFPVQTVPIPFVSSPEAAPDSPSIASSFYLEKADLKALLTPGCFYAPHACLEIVVFTVQKGSNCGVGSKKQIGTFKLEVTPEWGEGKPAILFSGWTRIGKSKQDSRKPGAELHLRVKLDPDPRYVFQFEEETKLSPQIVQLQGNIRQPIFSCKFTRDRQSQVDPLSAYGSSSLDGSNQEADKRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVARSNPGAWLIVRPDACRPDSWLPWGKLEAWRERGIRDTICCRFHLLSEGQEGGELLMSEILINAEKGGEFYIDTDRQVRAAATPLPSPQSSGDFAALSPAIGGFVMSCRVQGEGKSSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIEACRPFRRRIRRINRNSW >KZM97609 pep chromosome:ASM162521v1:4:16195169:16200712:1 gene:DCAR_015029 transcript:KZM97609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFTPVDTPVAIDSFLAYWKPNALLLVESELWPNLVMSAARNGITLAILNARMSPKSFRNWSLPAVLQMMNLMLSKFSLIIPLAVHFQILQAPPLSICFAGDLKFAVEDSLSYNPDIAILEDLKLQIDDRPVWMASSIHKGEEKVIVDVHKRLKNIHSDIITIIVPRRPQLGRDITREWKKDGVSVALRSNHDKLLPETDIYMVDTLGELRSFYRITPIALIGGSLLPGLSGHNISEAAAAGCAVLTGHHVGHFLNMVSEMQRINPLSVHQVAGNIELCEVLTELFSNCIALEARRAAGKQAYHALSNGVGTCKEYKTLLTCTELIVEPEAASGTAHAQPTRMYEANKLYMPDALCKHLTP >KZM98845 pep chromosome:ASM162521v1:4:27341627:27343471:-1 gene:DCAR_013793 transcript:KZM98845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESHSNTNSNAPSTSTYSNNKLSAPLLEEKSSMEEQPSKPYWRFSKNDFFPEPSFKSLSSYKNALVNTPHRLKDRFLGRSSDENELHNLRKESENRMKMCLTWWDLIWMGFGSVVGSGIFSIAGQEAHNHAGPSIVLAYAASGLSALLSVFCYTEFSVEIPVAGGSFSFLRIELGDFVAFIAAANILLEAIVGAAGLGRSWSSYFATIFRPSADAFRIKVGGLPNGFNLLDPIAVLILAIANGIAMYGTKLTSSLNWISSIFSSAVIVFITVVGFVHADGNNLTPFFPYGAEGVFQAAAIVYWSYTGFDMVATMAEETKNPSRDIPLGLVGSMSVITVVYCLMTLALTAMVNYTEIDVNAAYSFAFEKIGMNWAKYLVSIVALKGMTTSLLVGSMGQARYTTQIARSHMIPPWFALVHPKTGTPIYATLAVTIISCIVSFFSSLDVLSSVLSFTTLFIFMLMAVALLVRRYYVKGVTSKQDFRIFLLCMVVVIGSSIGVAALWNAKQRGWIGYTVAGVFWFLGTLGMQLLPKQRIPKIWGVPLVPWLPTLSIAMNLFLIGSLGAVAFYRFFICSAVMMLYYLFVGVHATYDVAHEDILPEIGEGKETAVKPVV >KZM97054 pep chromosome:ASM162521v1:4:10148749:10149225:1 gene:DCAR_015584 transcript:KZM97054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATYIPKCHSDNWIPVRATYENLYKWPESDAEFVRSVSCNGRGTDFDSSTRPFMRSLTTNGRLDGPSQPRVVDSMSCRQIYLRSYTFSRKESMPQKARKCACRIKRSVSARRRNRKASNGAHGGVVVIRRAKEISCAAMNVVFRRLLSCTTKVDVVG >KZM98634 pep chromosome:ASM162521v1:4:25665394:25668701:1 gene:DCAR_014004 transcript:KZM98634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKATGGRDSYEHQEGSGGRGGGGRGGAQQQQQGGGRGWAPQQQQGGGRGWAPQQQQGGGYQGRGGGRGSQRGGMAPQQYYGGAPEQMYQQGRGAPQQQQQQRYGGPSEYNQMQGRGAQQQPQYQQQQQHQHYPQGRGTPPQRRGGGQGGFVPSTGGPSRPSGPDLHQAVQAPHQAGMLTQPMPYATSTVTESEASSSSSVPEPTPLQVTQQFQELSVQQEGSSSEIVGPPASSKALTFPLRPGRGTTGNRCIVKANHFFAELPNKDLHHYDVSITPEVTSRGVNRAVIKELVRLYQASLLDNRLPAYDGRKSLYTAGPLPFVSKEFKITLTDDDDGTGSARRQRDFKIVIKLASRANLHHLDMFLKGKQTDSPQEALQVLDIVLREMPTSRFCPVGRSFYDPAIGSKYPLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVSQLLNRDVWSRALSDADRVKIKKALRGVKVEVTHRGNMRRKYRIIGLTSQATRELTFPVEEGGCVKSVVEYFRETYGFSIQYAQLPSLQVGNPQRPNYLPMEVCKISEGQRYTKRLNEKQITALLKVTCQRPMDREKDILKVCFSSGYSNYSVRFIVVVTVKLPAQVNEPE >KZM99089 pep chromosome:ASM162521v1:4:29340356:29341522:-1 gene:DCAR_013549 transcript:KZM99089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGRMPESNGEPKGGEEKDNVLRRVPHEKPPFTLADIKKAIPAHCFERSLLRSSSYLIYDLTVCFLLSYAATNYINLLPEPLSYVVWAAYVYVQGCFMFAVWVVAHECGHHGFSDYHWLNDTVGFVLHSLLLVPYFSWKISHRRHHANTNSLDRDENHVPRFKQTIRPYYRYFNNPVGRVFIIAFTLTLGWPLYLIVNIAGRTYDRHASHFDPYSPIYSDRERVQILLSDIGFLAGCYLVYKVALVKGFTWVMLVYGAPLHVVNGFLVMITLLHHTHLSLPHYDSSEWDWLRGALATVDRDYGILNKVFHHIADTHVLHHLISSIPHYHAEEATEAIKPVLGDYYHYDPTPFYVAMWREAKECLYVEAEDGDKARGVYWFQNKLES >KZM98708 pep chromosome:ASM162521v1:4:26283836:26287015:-1 gene:DCAR_013930 transcript:KZM98708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQKFKKVKEYIDKWEELQSLLLSQFRSASAIINRLQLIQNSKKYGALKSVDGIGDAIFTKQMNSLQNILLSMNKTLEEFHAVVISLEKIVRDGRQLVKGGGSIQPKVAQLQQRIGIKPSLADCLEGLVQLHDMHRSEYLLKSSVVSALPTITLEPRKDSWFNNTSTSCSESDLEALQQLLVDQPNIPKDEGEGGVDLVE >KZM97291 pep chromosome:ASM162521v1:4:13208119:13214853:1 gene:DCAR_015347 transcript:KZM97291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHHQVDNRSWGDILDSGPGPGPGPGPGRVSGMELNGRAQIDTSAPFESVKEAVSRFGGVGYWKPNSRITSFTPPKSHDVGTIDIMKVEEQAVQLEKDLVIKEKETFNILKELESTKLLVEKLRLKIQNETSDTNAAVDSSVDDEKVYHVVKTEEKESQENFLSDNQHIVGGLNLCPSSKPGLILMELKQAKLNLTRTTSDILDIQSTVESYSKKIEKERNSLEKARQRLCSNSSKISSLEEELNQTKQKLHLVRIAEINCNSDNNVFDISREVHRLDSETEHYKQIGEAAKSEVSKAISEIGQTKDRIKTAEIRLIAATKMKEAARASEAVALAEVKALLKSEELAGSDEQNPENVSLSYEEYSSLISRAREAEDICKQKEMDALLLLDQATESESVIIKRVEEATEEAKTSKRVLEEALNRVEAANRGKLAVEEALRKWRSEHGQKRRSSQNSTKFKNSSYRRDSRLLDVNGQNMQNDDLKPVLRQTLSIGQILSRKLLLTEEFENGAQAEYMTGTPKTSLGQMISKTIEDDKKLALKSEGGGGTNKQVAAKKKKFGFARISLLTTKQSKKNKKQTSVLQVIQDSEKDVQKVGKKENVCTLCEEFAAQALSYLNANKTQNEIKDILHESCSKLQSFEEECLILVDYYAPLFFLEISSIQPTDFCQKVNLCEQVALNSQQASKNSCELCHYAIAEALLKLKDPDMELDIIEALVKACQSVKGYETKCKQMVFEYGPLILINAEKWLESYDVCTILHACDDTTKSDMQQAFTAE >KZM97470 pep chromosome:ASM162521v1:4:14997569:15010426:1 gene:DCAR_015168 transcript:KZM97470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIQDDIRALQLDSSAEDKNLVANGEELMADEVEKSDRMDEAEDRNVMANGEDLMADDVEKPDRMDEDSRDEVQGDSQLPHVEPRNTGEVVEEVSALDKTENDTEVAKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEREAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVTKLLVVVNKMDDPTVNWSIDRYNEIESKMTPFLKSSGYNVKKDVQFLPISGLHGSNLQTRVDKSVCSWWKGACLFEALDAIDVPPRDPKAPFRLPIIDKFKDMGTVVMGKAESGSVSEGDSLMVMPNKAHVKVLAVYCDEDKVRRAGPGENLRVRLSGIEEEVILSGFVLCSVARPIPVVTSFVAQLQFLEVLDNAIYTAGYKAVLHIHSVVEECEIVELMKQIDLKTKKPMKKKVLFVKNGAIVLCRIEVNNLICIEKFADFPQLGRFTLRTEGKTVAIGKVTELPSSSSSS >KZM99088 pep chromosome:ASM162521v1:4:29335537:29338369:-1 gene:DCAR_013550 transcript:KZM99088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQETEDDDDYVEYVPVKRRRELEAKKILQRKGNATSVESELEPTKVVETKPSLLVQASQIKRDLPEVSPTEQMVQQEKEMMEHLSDRKTLMSVRELAKGITYSEPLPTGWKPPLPIRKMSRKACEGIRKQWHIIVDGEDTPPPIKNFKDMRFPEPILKKLAQKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPIIMMAVQEELMMPIAPGEGPFGLIVCPSRELARQTYDVVEEFLTSMREYNYPEIRPLLCIGGIDMRSQLDVVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVSIHGGKDQEEREYAISSFKACKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELSDPMEEAKQAEAVANASGEKGCGYCGGLGHRIRDCPKLEHQKSMQIAGSRRDYFGAGGYRAEI >KZM98903 pep chromosome:ASM162521v1:4:27807998:27809098:-1 gene:DCAR_013735 transcript:KZM98903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTSGGSRPKQKVYHRDHELDRMLDLQKKPAVILQLKAIIESQKNKSLLLRDLEKEVGFVQKWNYMAVIEKYPAIFCVSGGNGAPPAVMLTRKANKIAEEEVVAIEQMEPILVKSLRKLLMLSIDCRVPLENIELIRSELGLPCDFKTSLIPKYPEFFCVRDVYGRAYLQLENWDSYLAVTAREERFSREGISSSVRNLKNTKISKDGNYPGPFAFHLKFPASFRPNVSYLEQVHRWQKMNFPSPYLNARKFDIADPKARKRVVAVLHELLSLTMEKRLTSAQLDAFHSEYRLPARLLLCLIKHHGIFYITNKGAKSTVVLKEAYDGSNLIDKCPLLAFRSKFVELTGRRDINMYPGKPLTQNPM >KZM97560 pep chromosome:ASM162521v1:4:15824928:15828114:-1 gene:DCAR_015078 transcript:KZM97560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDMAKGARENGDNNIPEDANEHLVAIAERMATVKHKILVLSGKGGVGKSTFSAQLSYALAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGIIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLQETGIDGAIIVTTPQQVSLIDVRKEVSFCKKVGIKVLGVVENMSGLSQSVPDFKFARMSTIGEEVDVTEWAINLIKERAPELLNLVACSEVFDSSGGGAKKMCVDMGVPFLGKVPLDPQLCKAAEEGRSCFSDSKCRASAPALKRIIEKLVATQMPDMINDA >KZM96877 pep chromosome:ASM162521v1:4:8158925:8163216:-1 gene:DCAR_015761 transcript:KZM96877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGTIYVLANFKVKDYVGDETSRPVRNNKHIYFTTHTKCEKDVGVGLRIEQHAFDLFYFGEMLKLAQDNRFLIDVVGQVRNVRGNIKSTKTDSEKILTKFELFDGRQTLDVTFFDAFGVEFEQKLRLAKQQEVVVVICAAKISLYEGSRVYDAYEIGDKIESRANFDPEEQMPSDLPSASTVNLKEDGGNTPNTGVSSTKTRGRVNLEPVAFDAVGEMPPKQQRYCIGLQVCINFVLPTLFRKDLNAYLKLKSSTEAHYDINAKMAHRYAVLSTLKPETNECRLKIKARITRLWRGISKTGEEFTCFNILLQDDKNNQMHAFIPAVCAHDLEWKIIVGGVYVISVFTVQAYLSSDKFRCVRSPNQLVFSKNTKIMKIEEAGSKIAVEFFDFYDHSELKPLANQTTYMTDVVGIIRDHKILLNDITNRLGQRQQQAKFAITDGRTSLLNNLLTPSGNRRKLQSLSSSQHVEYSFGTLIYLYATIQMNQRLTDPEFVKKVMNSTKSRTAELYTVEAIKSLDKEFVEEQVLAHVNIVQVDTSQKWFIRVCTSCDSETEPFEGMECCPICQRIVPYPELRFRMVVLASDATGSLQIILRDREIRSLIGKRARDIVPQDQSSAHLPQCFKNLAGRPFTFKLEITTANIGNHSALYWATNVCNGFKMEATQPEQQQTTTQDIQATTSNFQQPSDLNPGSSTITKN >KZM98391 pep chromosome:ASM162521v1:4:23575848:23576425:1 gene:DCAR_014247 transcript:KZM98391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNGTLEYISDMMSSGHRHKKRKQMQTVDLKVRMDCDGCELKVKKALSSLSGVKSVEINRKQQKVTVNGYVEANKVLKKAKSTGKKAEIWPYVPYNLVAQPYSAQAYDKKAPAGYVRKVENTAASGTVTTYEDPYMNMFSDENPNACSVM >KZM97022 pep chromosome:ASM162521v1:4:9844257:9845747:1 gene:DCAR_015616 transcript:KZM97022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLLTTLSIENYHPSTFLSMDPNGNTHEESDRDMNRAVVLSGPPDINLPLSVEPSPPPPSWTHDSFDMLDVSLGNQNNDTDTLLNMSKSVRKCAKRLDSIWGAWFFFSFYFKPVLKDESNCNVVRDSNGVSGFEKSDLNFDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVERGFIRSHRMQRKHYRGLSNPQCVHGIEHVPSPNLMIIDEDEQNKWMELTGRDLSFVVPPEATEFCSWRTLPNTEFELERPLPVLKNNQHPSKKSLNGSGLNLSTQSSSHVNGNGADLLPACNKRKKDLFPNGNDDDCCYPSNQHPDRIQDTEHHPVEPSWLNEFSGVMKSVYGPVTGAKTIYEDEEGYLIMVALPFSDPGRVKVHWWNNLTHGVVKISSESTARMPFLQRNDRTFKLTDPSPEHCPPGEFKREIPLATRIPDDAKLEAYFDKSGTILEITVPKHRVGPEEHEVPVCLRPPNEFL >KZM99011 pep chromosome:ASM162521v1:4:28729662:28731311:1 gene:DCAR_013627 transcript:KZM99011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPATSLALSLFCILLAIAISPSCAVISIQSSSAWIDLPNPSGFVTCVKSMSQYVYTPANSSYNDIYAFSARNPRFLMPDANRLLPAVIVTPGAESEVPTVVICARQNDMQIRTRSGGHDFEGLSYSSTYTRPFVLLDMINLKSVIADPVTKTAVVQAGATLGEVYYWIYRASGLLGFPAGVWSTVGATGLICGGGYGPLRRKYGLAADNVIDARIVDVNGNILDRKAMGEDLFWAIRGGSCSSFGVILTWTLNLVDIPPKVTIFTVLREDRQTEIIYPFQTIAPVLPNEVDIRCRISTVINFNTSTRPDGLAIQLGFTGAYLGSADDLFTIFSTRLPEIGFLRSDLVEVPWIEALMQSSFFPFFSSNYTPEDFLNRSFLADIPTKAKSDFVRSPLSVPAINGLWDKLLEVGAGETTVIFTPYGGVLDNYPESAIPFPNRAGTLFMIYARVLWVGNTTQKLEWIRSLHDYLTPYVSSNPRRAYYNYDDLDLGANPATGIISNIAARSWGSSYFNENFNRLIAIKTLVDPLNFFRHEQTVPPFSLIQEM >KZM97627 pep chromosome:ASM162521v1:4:16335059:16335674:-1 gene:DCAR_015011 transcript:KZM97627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLPCQPFLVPGPAPPPANCCIPLKQLITNDTKCICDTFNNPLVRGSLNVSMDDIMKLPKACNETFDIAVCNATGPAASVEDAKTQSTPGKAKTASLDSESPPAAADSDSSQDGDAEADAAPDADSKASGANAKFVSSIGREYTTSLAFFVAFVLSVY >KZM96801 pep chromosome:ASM162521v1:4:6496148:6498699:-1 gene:DCAR_015837 transcript:KZM96801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRWSGIMKVSLNPYSRARYQVAASLCLSSSGTLAAPSQNAIFFSGDRVEGTGNPVIEKLSNLQTIAETLVSKLGNTTNAWVIEASTFKGPFAMFKDFVPSVDRMGEPQSYDASGFPASKSMVLLLSNCLKEICGLLDMVNQIAFHCESGRDGVAILDAQSCLRSHCMTVDSYLNSFRSFGEVNAIFDTGELVQIDNQIVPSSYYILLDSISEIHFVDAGLNCAGAYLTDPDVIQRMSKRLLHRAQSLNIVLHGTPRQWCDRMRVWIREEKDTLVQLLKSEAESGGNLSISEKLYFADMTPNLQMHFEIIEILHLKNAQLNVCNARDA >KZM98603 pep chromosome:ASM162521v1:4:25347472:25351683:1 gene:DCAR_014035 transcript:KZM98603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLTAASRSVDEEYLKEVERARRHLRALISNNRCAPIMLRLAWHDAGTYDAKTRTGGPNGSIRTEQEIKHAANTGLQIAIQLCEEIKAKNPRITYADLYQLAGVVAVEVTGGPSINFVPGRKDSLNSPEEGRLPDAKRGSSHLRDIFYRMGLSDKDIVALSGGHTLDEEKFFEDYAESHKKLSELGFSPPPSSCSRTTNIKYTVVAVVAAAFVVFLNYNEIYGGDE >KZM98711 pep chromosome:ASM162521v1:4:26308091:26309734:-1 gene:DCAR_013927 transcript:KZM98711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRSVYVYIVTLILFLGTIFFNFSGDYLLRDYIFRRPLPSVSLENHFDLNQSYLSQLPPPLIPSPSIPSLSILFPEWQVLVVLPPEHSYTDFKDLFCIFPTNEKSPANFSGALPFPTRPCLICELPTRVRRRLPFPEPTLVNSSVIRYPPRLTPVPDLLRWDFIVYDVLFTKNDVVVFVKGVNRRQGVNRTPQEFNCVLGDNLANAARTPVTSSLQEVFRCHRPDLSDVSTLVRVSLEFTADKTVMPSIAYEDPGRKLAVAKPKSLLCACTMVFNVAKFLREWVIYHSKIGVEKFIFYDNGSSDELYIVLEKLVEEGYNITTNFWLWPKTQEAGFSHCAISQKDACTWMMYTDVDEFVYSRNWANSTIPSESMLRSILKNVSSKVGELMIGCHEFGPSDQKEHPALGVMQGYNCRKKMENRHKSIVLLDAISDSLNNAVHHFDLKQGYKARRLSAREVVINHYKFQAWPEFKAKFRRRVSAYVVDWKQALNAKSNDRVPGLGFSAVEPKGWPQKFCEVHDNELKNLVERWFGVRTVTGYHMAWERR >KZM99085 pep chromosome:ASM162521v1:4:29308975:29310153:1 gene:DCAR_013553 transcript:KZM99085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGNMAATEDSDRRVKRVRGTNVLPRAPHEKPNFTLRDLKKAIPPHCFERSLLHSLAYLIVDFMANYAMYFVASNYFHLLPYKLAYLAWPIYGFCQGCVMYGLWVIGHECGHHGFSDYQWVDDAIGLFTHSLILCPYYSFKITHRRHHANTSNLDREEAYVPQTKPDPNSWYWGIYGTSGRIGVLLFKVTLGWPSYLLFNMAGRGYNKFANHFYPYSPMYSARERIEILISNAGILAMLYALYLLTVAKGLSHTLLAYGIPLLVHNAFLVIVTCFQHTHPSLPRYDASEWDWFRGALSTVDRDYGILNHVFHHVTDSHVVHHLLSTIPHYHSMEATEAIKPILGDYYQYDPTPWYKAMWREIRACLYVVPDEDEKNKGVYWFSNKTKYDD >KZM97511 pep chromosome:ASM162521v1:4:15405851:15406350:-1 gene:DCAR_015127 transcript:KZM97511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILTYVVALEAAIALLVTLPTPKAVKSAIVSLVSLVLQPAMFVVPFAGFQLLVCPNF >KZM99020 pep chromosome:ASM162521v1:4:28776307:28779247:1 gene:DCAR_013618 transcript:KZM99020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAVVYVRTLYPQNRRSGSHSHRRLCSCIRACQPSNDKSKFYKELGLFSLRKRIEDTVMNAEMLAPSALEAEEATRSKQEEIINGYDLWDDLNRTNDSLLKLADSSEVVDSLKDLQFKAEEARLITELAGKDVIDYRLLKQAYTASVDIKKSLDKYKISKLLKGPYDAEGACVIIEAGSEGELSENQIWADRLLKMYTKWARKQGYNGRVIEKRASKIGGIKSAIIEFESKYAYGYLSGERGVHCVTRSSQSQSQCDEVSLAAVNVIPLFLNTAPDLIIDDMDLDVSYIAASKHKHRVIESVCIQHVPTGLKVQSSDLYSWHQFVDV >KZM98722 pep chromosome:ASM162521v1:4:26403766:26410067:1 gene:DCAR_013916 transcript:KZM98722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTWAILSKPAFGSLISTQLYHSKGRNKWSVAYELPRGQICGPRNSLKYRQFLHLSGSSTARRVEQRSSLSAVLTDENSDMMHIEEDTETVTVLDLDPGLQPYKDHFGYRMKRYLSQKKLIEQYEGSLEDFAQGYLKFGLNREKGGIVYREWAPAAQEAQIIGDFNGWDGSNHNMEKDQFGVWCITIPDLGGKAAIPHNSKVKFRFKHGDGVWVDRIPAWIKYATVDAARFGAPYDGVYWDPPRSEMYKFRYPRPPKSAAPRIYEAHIGMSGLEPRVSSYREFADNILPRIKASNYNTVQLMAVMEHSYYGSFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLRVLMDIVHSHVSNNVTDGLNGFDVGQSSQDSYFHTGHRGYHQLWDSRLFNYANWEVLRFLLSNLRWWLEEYKFDGFRFDGVTSMLYHHHGINMEFTGDYNEYFSDSTDVDAVVYLMLANNLIHSLLLDATVVAEDVSGVPGLGRPAIVGDKTIAFLLMDQEMYSGMSALVAASPTIDRGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWNLVDEDHMRYQFMYAFDRAMNMLDEKFAFIASRKQMVSCANNVDKVIVFERGDLIFVFNFHPDCTYDGYKVGCDMPGIYRVALDSDALEFGGHGRVSHNVDHFTSPEGIPGVPETNFNNRPNSFQVLSPARTCVVYYRVEESLDESIEESNSALSVGVGVAERDDTNALDEFEELEEANVVEDEDLGPTLLKGL >KZM99892 pep chromosome:ASM162521v1:4:35637142:35639370:-1 gene:DCAR_012746 transcript:KZM99892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAENSSAEASACSSPPQASSMPPPPIKKKRNLPGMPAQEAAKSQPSTTAEDADAVKDDEVPRLNPIAQDADAMKDIEAVPLLNPPPLPSSPLLPNTPTTGVLSPSSPLPPNTPTTGVLSPVLSIQSSEIQDNPSGVLQPPPDTTSASGSSTTSSGKVSNSSGVFASIFASSTTAPVAVSKSPQPPSSYTDSLCVMPGSDRTPSEFISLSLSSSLYFSSTSSPLFPASSQCLYTASSQPALSATALLQKAAQMGSTSSSSSFLRGLGLSMSSGPADPQDDMMASPTTQWNGGSTMNKSNNHPLAADLGLGFSSDTRGSHLTSLMLGGSSSLYGNKPTTLDLLGLGIGGGGALSSNGYSSFYSSIRGGLDAPYGDVNSAGETWDDASDRKPTFL >KZM99291 pep chromosome:ASM162521v1:4:30862961:30866401:-1 gene:DCAR_013347 transcript:KZM99291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLKRARERVDSVSQMRKECIRRRRKAAEDLSMASTKYRELERQLEEACEAEDFEMADRLSDSLASADSEKEMLAVALRDADAECDAVDVKMQGVLDLQIAAEEECVSLLQRFSMDAAKDADLVLRNAELMSSKEINQWDSSVELAEVKRMELDAESFIVNEARLALNESVDHLVEDEKRELEILHREKEVLTDELERLLALVKQKESEIKENESSIEKVEKRITNAVSSFQEAQSSINAKYNNLLSELSQMELQNESLSRKKEEVDECFSQEQGRAAKIQNLAKTSADEANMYQEVAVLRKKLIQFILRTREHKLMLAKTGDKLTEDVQMLKQDISNGRASLQELSTTKTSIQQEIESFKQRLLFIDKRIPELEAEKKVAASVRNFKEAARLAAEVKALCVEKEGIQTKMEGALSELGKFEGEILDTVNRLQDTEAHLLSKEKELAMTRFQILLLIAGAATSEKSAALQLGDVEEGEILLGEAEVAESEARKLQSTYNFKEAEFDDLPKHFISMELVSNLEGKKLVELAATAHIPAP >KZM96812 pep chromosome:ASM162521v1:4:6731159:6739846:-1 gene:DCAR_015826 transcript:KZM96812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPQSTNPTDPPAPPARSKRSRDDVAEPPSGELPPAAKRRVVLAQDVVYRIMLPSRQIGKVIGKEGTRIQKIREETRATIKIADAVSRHEERVIIISSKDSEGTFTDAENALHQIACLILKDDNSTESQKIGAGHVAANTVRLLIAGTQAGGLIGASGQNIENLRNSSGATITVLGQNQMPLCASVHESDRVVQLSGEVPDVLKALVDIGCQLRTTNLAAEYVTMEMMISETMVGGLIGRCGSNISRIRTESGAAIKVHGGKGQQNLRQVHLGGSAQQVALAKQRIDEYVYSQLMPSGGQQPGVLEAGNMAPLPPVFMPPGPSQAPSYYNYGPSYPAPQLHWSHVGRSPVDKPLYKYLSIKDSDASRAEALTALLGCEE >KZM98805 pep chromosome:ASM162521v1:4:27029907:27031542:-1 gene:DCAR_013833 transcript:KZM98805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHWGMFVLVIFILYESLEKVNVSAQSGFIKIRGVQFTLNGSPYYANGFNAYWLMSVASDPSQRNKVSSTFQEAVSSGLTISRTWAFSDGGNQPLQSSPGVYNEQMFQGLDFVVNEARRQGIKLILSLVNNHGDFGGKAQYVKWAREKGQTISADDDFYTNSVVKEFYKNHVKTILSRQNSINGIEYKSDPTIMAWELINEPRCASDSSGKTMQAWIKEMASYLKSMDKEHLLEVGLEGFYGESAPKDKQFNLNFKHGTDFISHNQFPEIDFTTIHSYPDQCSHIQDSQTVLGKPMLVAEFGWNKSRIDTNTRDQLIGTVYSGIYSSARRGGAAAGGLIWQLLASGQDSFRDGYETVLSQSPSTAGVIVGQSRSLTQLRQTLARRRNIEKGK >KZM98548 pep chromosome:ASM162521v1:4:24958014:24962357:-1 gene:DCAR_014090 transcript:KZM98548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRLLQWSVIRSIIAIVQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCIHFICSAIGAFVVIKVLKLKPLIMVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVFLQWLIWRKYFDWRIWASLIPIVGGILLTSMTELSFNMLGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAVPALILEGAGVLQWFQTCPTLFSSLVIIFGSGVMAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVTCSWMIFRNPISAMNAVGCTVTLLGCTFYGYVRHLLSQQLLPVTPRTPRTPRNRIELAPLMSDKLDDKV >KZM97144 pep chromosome:ASM162521v1:4:11468234:11474220:-1 gene:DCAR_015494 transcript:KZM97144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVRLAVLLKLALWAFLENGLEHWLVQRNHRGHNLQKKMKMLRDPDSGKFRHRRRHSIENDVLQDEFNDKTATAVKTENSASDAGGSPSSSLQMINASQFEENMREQWAATCIQTAFRGVLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALENQTSEQKRQQQLEQEARVREIEEGWCDGAGSVEQIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQVASSGFEPDKSNWGWNWLERWMAVRPWENRFLDISQQDGTAVDTEVGEGKNGMMKKLPAKKAIPLIPDTNTASEKMVLSYSDGCDSSPTRSSSMQEASASSFTKGKTKPVIDDLVKEVSSRPGVGPRSQSNPKERSSLLNKQAKTRQSLPNVGQGEQGVGVHTSKQLARTAVRRKPTAQKPINDKTKINGQ >KZM96703 pep chromosome:ASM162521v1:4:4641507:4642864:1 gene:DCAR_015935 transcript:KZM96703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRLFGKPGNFAEVQYSTAKMRTNNVIQKKLLSEEEVSVELAQYVIYLSDKCIKAKDSFTVVLSGGTLIHTMRKLVEKPNADCVDWSKWFVFWLDERVVSLEDPDSNYKLAYDGFLSKVPIPDGNIFAIDDELSTEEAAEEYEARLRVLVDNRTIGLSSCTGFPKFDLVLLGMGPDGHVASLFPWLYQRYEEERWVTFVKDSPKPPSSRITMTFPVINSSSECALVVTGKQVAYAVKVALASRESNFIPLPVEMVYPEGNLTWFLDKDALSQLSNINDHSYNSLFLGLGFLVLIITCLLYYFPCIHIFLALLKQQ >KZM98537 pep chromosome:ASM162521v1:4:24850339:24854420:1 gene:DCAR_014101 transcript:KZM98537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVKARSELIPYLVFIIYVITSFGGAVWLEVPSTGTKCVSEDIKQNVVVIADFSVVNDDSNPHNTFNIAARVTSPYGNNLYHQENVTHGQFAFTTTEAGNYLACFWIDESHKATTGVSVSLDWRTGIAAKDWESGVELELRKLEGAIDAIHQNLIYLKSREADMREVSEITNGRVAWYSIMSIGVCIAVSVMQVFHLKRYFRKKKLI >KZM98998 pep chromosome:ASM162521v1:4:28621756:28629019:1 gene:DCAR_013640 transcript:KZM98998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLDLKVEHRVRNRQSAILWKERLRRLKSLKDPRTSTPITNAAESIGIQQQQIMDLELWEAAKNGDVDNFITCLEIFSKYNDAPLSTIFSQLTFPGQDTFLHVAAGYGHEDLVSFIVNHFFELVTCRNHKGDLALHLAARAGHVGVVAMLLRVEEEKEGNETQAKFPCLAVNDDGNTALHEAMLYNHKDVANCLIESNIEAAYYVNKQGKSPLYLAVESSEIEYVSSILHGQSENMHLLDEQLTKGRSLMHAAIRIQSIAILNKIVESKPMLINVRDENNLSPLDLASSTMFLEGVKLLEDWHKQGTANTDPVTIDNDSHSSTQEIPIEDIRQSDLQKKEYDRCAKNGKRDDFFSLLSSKNFPPSAIYIPKTPLQNTFLHVAASFGNEDLVGFIVQHFQSFLSMRNNRGDTALHVAAAAGHLAIVEILLEFQREQLRKDFLQDNGIQSINKTAIEKLKERVLVNDDGNTPLLEALINNHGKVAEYLIKAKIEDAYFVNKQGKSALFVAIEANNVGYVDTILRTDISYAYQHFLYEEVTKGKSLVHAAIKSRNIVLLKDITEMKTAILKIKDEKGQTPLHYAASTNFTEGVDFLLDKLKMDLIEKDADGLFPIHCASKYGHVGILKKLLQDSLDAREFVNQDGQNILHVAAKYGKYSVVTYISKNPGLVLLLNEKDKEGNTPLHLAAMNWHPKIVSCLTWDKRVDLKIVNNEGLTALDVAEDNIEGITSSRQRLTWTALKSAGAGKGMLTKKPKLEGLKSAKTEPYNMEYWKDRILHGMRRLKSGSIYNGKRGVDTQSNCVVMKAWEATVRKTQAAAKRRANTIFGTTYVAHASDDESEVPAEPQSQPVGDVYHAERFLPNGDYYTGQWAENFPHGNGKYWWTDGCMYVGEWCRGKTNGKGLFSWPSGAIYEGQFKNGFMDGEGIYSAPNGDMYRGNWIMNLKHGYGNKEYSNGDTYEGDWCRGVQEGKGKYQWKNGNCYNGEWRNGMICGKGKLQWANGNSYDGSWEDNLPKGNGTLKWPDGSFYVGNWNKDPKEQNGNYYPSGSSIVDGNVEWDPQQVYNGDLQEIVICPNEKVPIWPSQKKLAVWRSSKGVDPTARPRRMSVDGRLEATSDSTFDRSSRGSREDVSLTLEDLSTRGSPIRIPKVIKKQGETISKGHKNYELMLNLQLGIRHSVGRPGPPPSLDLKASAFDPKEKVWTRFPPEGSKYTPPHQSCEFRWKDYCPLVFRTLRKLFKVDAADYMLSLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVTVLLRMLSAYYNHFRSYDNSLVTKYYGLHCVKLNGPAQRKVRFIIMGNLLCSDYTIHRRFDLKGSSLGRMTDKPESEIDANTILKDLDLNFLFRLQKTWLQDFRRQIEKDCEFLEQERIMDYSLLVGLHFREPASAGDLIPSGSRTPTENGGPDSDSVSRLSKADMDQLLMDPSGWGSIKLGEHMPARVERTERREELQLIGEPTGEFYDVVMFFGIIDILQDYDITKKLEHAYKSIQYDPTSISAVDPRQYAKRFRDFILRVFAEDE >KZM97395 pep chromosome:ASM162521v1:4:14209217:14210415:-1 gene:DCAR_015243 transcript:KZM97395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIRWDGILTWDVDSGYTQAGLNRRVQKKTIRDEFNTLRIFPNKTQDNCYIVPAETESIRYIIRAGFYYGNYDGLSRPPTFNLFINDVIWTTINTAENNGDPFYKEIVYESNGSGALRICLLQIIGGGVPFINSLEAVVLWDKMYSQMESNTTYNLINRTNFGGEEIRFDPVKKDEMYNRIWSKGLTPSTCSSVIGYTDVNSRFENYPPYLVLMSSIESSSLDSIFLTVELPEHQQSAYFVFYITELDYKSQSETRFINIQIDGQDQGTVEAPSAGESMVITMYPVIVSGPILSIILTRGEGSTLPPVLAAMEVFTKFDPNVNYTTQSSAPAGEYISFAYLLIISFIFLFVV >KZM99889 pep chromosome:ASM162521v1:4:35617341:35619959:1 gene:DCAR_012749 transcript:KZM99889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNWEGESPASSAGGGGGGGGRRKPSWRERENNRRRERRRRAIAAKIYAGLRAQGNFNLPKHCDNNEVLKALCAQAGWTVEPDGTTYPKGSRPPSIDIASMDIGGTSNNTTPCSSRRPSPPSSSFPSPIPSYQPSPTSSSFPSPSRLEPHMSSNPFSFMRYSNPSSLPPLRISNSNKQLCGGLYVKTLLRGKMMNWEGESPASSAGGGGGGGGRRKPSWRERENNRRRERRRRAIAAKIYAGLRAQGNFNLPKHCDNNEVLKALCAQAGWTVEPDGTTYPKGSRPPSIDIASMDIGGTSNNTTPCSSRRPSPPSSSFPSPIPSYQPSPTSSSFPSPSRLEPHMSSNPFSFMRYSNPSSLPPLRISNSAPVTPPLSSSATRISMHNFNWESIAKQSASLSFPFLAVSAPASPTRGQRIPPPATIPECDESDSSTVDSGQWMSFKSYAPSGGPTSPTFNLMKPTTENISSQKAVLDKGKGIDFEFEREAVKAWEGERIHEVGLDDLELTLGSGGMQ >KZM99072 pep chromosome:ASM162521v1:4:29234969:29237262:1 gene:DCAR_013566 transcript:KZM99072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDSRALFTDSNAIFPSNFSPKGGQEGEEDLFSKTRMNFDMNNIIQDNFNNKSMEFHSESFKGLDDDDEVNHKSLSNGFGLSSQVLSQFPRQSSGLDNTGLCRTGSSMGIDNQLKVGSGLMRQNSSPAGFFSHISSQSGYGGVGGVNYRLPNGSNGELGPTASRLKSQTSLSSGIPSSLGMLSRISEFDGGNIAPNGNENAKNGTINGETQYYASEYPLSSWNDSLHFAENYSGLKRELDADDDRLFSSSQHGEIPNRPSLLSHHLSLQKNSSEISCMDKLLQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPHMDKQTNTADMLDLAVEYIKNLQKQYKTLNDNRANCKCSAMQKQGLN >KZM98420 pep chromosome:ASM162521v1:4:23819159:23822557:1 gene:DCAR_014218 transcript:KZM98420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAVSSLVDNSTLVEGEVFASDSGQYSSAMEVVYGNDQNKSFQINHTRTTFSRKVCQILSTSIRALSVHSRAKNLCYNGNKNSRVDSRVSLNKIGIVPEARGLLANANLKIFSLAELESATRGFSPDLLFWGNSYGRNFMGWLDEDTLAPSRIGIGMSVGIKCMNPFGRFRTMQAEVDLVGKFYHPNLIKPLGFCLEGQELLLVYENTPKGNAERYTYRDEGKSLSWVVWLKILTGAARYLDFLHSSDDHIIFCDFTLSSIFLDWDFSPKIGFGGNARFGPEDGDTLVTGIPNINALRCSGSEAYLSPEYREAGHLSSKSDVYAFGVVLLEILTRIRVVDTDRRNKNKNLVDKARLVLACERKFKTVVNLKLLEKENCPKVVHSILSDVPALALKCLDLDPKKRPSMRQVVETLEELSSIIK >KZM98695 pep chromosome:ASM162521v1:4:26194697:26196261:1 gene:DCAR_013943 transcript:KZM98695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEGHNRLPSPYYGGMPSRGAYIGNFGYQQPYSYGLQQGLIYPQHGFGTYGSENVYPQGAYNPYAGQQYLPIYGVPGTVNTAMYPYNVGQNVPSGQGYTTLQGYALPGHQIMQFGVPTVNSITTPALQTLQSPYPAGKIFFLEYNSILQIL >KZM98593 pep chromosome:ASM162521v1:4:25301414:25301815:1 gene:DCAR_014045 transcript:KZM98593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLSSSSSSIYSCTECGSNLNLNSAYLYPPDTYFDAGNRGTLSFSALDASKFRFEPEDKIRPFFETIDYWGIQRKRTKILCNGCGYLVGYVYDDGPPLTNSAGQFHFGPSQVIPRAPRYRFKKKALRITSQT >KZM96826 pep chromosome:ASM162521v1:4:7075916:7077891:1 gene:DCAR_015812 transcript:KZM96826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHNPTTLYLEAISNPTAPTVSIKSLLYAPTVSKLTPYFQLFILNASAPVKLFALKVINSTLQLTMTDLNPYNPINSVDTTTYDWNCRVKLQSFWKVVGREKQEFWGINMVLIDDSVKNVQELIKITKDEKEKTLFKFQISNGSSTVLVTFFDQFGQYVEKQFANFDSNNLYVIISFSNVWRYEGMPHLSNYPATRVFVNPTHYSVQDLKRSWTEKKKEPIVHTVQKEECAVEIPRKIITMKDIKNLKENFGEGSVFYEVTVKRITNQKNWYFRNCIGCDLELENEDGKFKCSRANGYGRIIPYPDKRFCLCTLCSDDSGSVAIVFPDHEITRIIDKTVIDLHAECAD >KZM98488 pep chromosome:ASM162521v1:4:24376995:24388148:-1 gene:DCAR_014150 transcript:KZM98488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEGIFIEPNTTTSNSSSLPDLSLHISLPNTSPNCNGMNHIHDSSLNSLASSQPYGTTTELSLTHPANHISQERTHHLMSPFHHQNYGTSSSSSSMEASLRPIKGIPVYQNRSFPFLPLDHHPSREKLDPKMCLYPMQSYPNNSPSLSHSPYFSANLDQMSSILNNSGPNGSFSGGYRIPGGASRCIGLLKQLTNQLLLRDNRMDPEKKIFRHWAAQGPADSQIPDPLLIQDSGATLQGRETVFSKNRTGGDPIEKSKTDEESIQYIECCAAFGEQRRHTDIGTKN >KZM99500 pep chromosome:ASM162521v1:4:32598906:32599489:-1 gene:DCAR_013138 transcript:KZM99500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFRWGLRKAFTLIQNHGVGYLDNYAFEGKLNDDKDLVKNSEGIFRGFGNHAVVIDRFNRQDGYFDIANSYGDRWDVGARAKSRWKSLQILDAHGMPLKGVFPSSV >KZM97531 pep chromosome:ASM162521v1:4:15582693:15586252:1 gene:DCAR_015107 transcript:KZM97531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVEKKEIGFKYWFPSRRRFFPDSPFFASGNVERELLAKQLALDITEDEKQELAYIEDREKRGVSCPIVGCRVHMNSLEDFEDHYKARHTASCSVCSRVYPTSRLLSIHVSEAHDSFFQAKVARGHAMYECLVEGCDAKLKSYKSRQQHLVDKHKFPTSFEFFKKSLPSKKQRQKSHRKQAPKVEAASSAMQVDEETMSGLVSAVSKLSTSDSSPSSISFGRRHTRGLTFVPRSVQRDKKLDSAPKETPR >KZM96643 pep chromosome:ASM162521v1:4:2821840:2823294:-1 gene:DCAR_015995 transcript:KZM96643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSVAAASSMASLRNAADHPLLSSRLRFSRIFPAVKYAPRFLAMAPQKKVNKYDKNWSKQWFGAGLFYEGSEQVEVDVFKKLEKQKVLSNVEKAGLLSKAEELGVTLSSIEKLGLLSKAEDLGLLSLVETFAGASPAALASAALPAFALAILAIVVIPDDSTALVVLQDVLAASLGVGAVGLFVGSLVLEGLQEAD >KZM98959 pep chromosome:ASM162521v1:4:28295615:28297427:-1 gene:DCAR_013679 transcript:KZM98959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATADPVAAAIAVPKTDENLVHTDVMLFNRWSYDDVSIGDLSVEDYITATAAKHPTYMPHTAGRYQARRFRKAQCPIVERMTNSLMMHGRNNGKKLLAVRIIKHAMEIIHLLTDQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KZM96731 pep chromosome:ASM162521v1:4:5451831:5462714:1 gene:DCAR_015907 transcript:KZM96731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNKEALLLLIDVGPSMHTVLPEVEKVCSMLVQKKLIFGKYDDVGVVIFGTEDTDNELTNEVGGYEHVVVLNHLKVVDGDLIKVLEQLPRGTVDGDFLDAIVVGMDMLIKKFGQTIKGKKRLCLITDATHPIKDPFEGTKQDQVSTIAEQMFAHGMKMECIVYRGQQDMDGNTSVIEENDILLDIISKMTSSRKVHVENSTSLLGALRTRNISPVTIYRGELELSSEVKVLVWVYKKTSEEKFPTLKKYSDKAPETDKLATHEVKVEFEYKKVDDPSKVVPPEQRIKGYRYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDASNIMRHYYMKDVNVVIAQPGNMKAALAVSALARGMKEMNKVAIVRCVWRQGQANVVIGVLSPNISERDDVPDSFYFNVVPFAEDVREFQFPSFSNLPSSMLPNNEQQEAADNLVKMLELSPTGKAECLQPDFTPNPVLERFYRYLELKSRHLDAAVPPLDDTLKKITEPDSDLLSHSKPVIDGFRKLFELKENPKLKKSSRRLLREKPSGSNEERDDPGNIVDAEAVNFIEHASSFKVEKIGESTPVQDFESMMLRRDGADWVSKAIADMKSIIMQLVTDSFEGDNFQKVLECLIALRKGCILEQEPKHFNEFLLKLCKFCTEKDLASFNEFLASKNVLLISKSEAEESEITEDEAKIFLVKAEQK >KZM98839 pep chromosome:ASM162521v1:4:27276560:27278549:-1 gene:DCAR_013799 transcript:KZM98839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED-3 description:9-cis-epoxycarotenoid dioxygenase MAASATSASTWAKPKIYSSQRDSPRKTNVNCAIQSSPSILHFPKQSKQKYQTPSIPTTIVQPKHQDSSSSATPKWNFLQKAASMALDAVENIIISQEQSHPLPKTADPQVQISGNFAPVQEQPVQHHLPVTGKIPECISGVYVRNGANPHFEPTGGHHFFDGDGMVHAVKFKDGEASYACRYTETERLIQERNLGRTVFPKAIGELHGHSGIARLALFYARGLFGLVDHSQGTGVANAGLVYFNNRLLAMSEDDLPYHVQITPKGDLRTVERYSFDGQLDSTMIAHPKIDPETNELIALTYNVIQKPYLKYFRVSPDGVKSSDVDIELADPTMMHDFAITENFVVIPDQQVVFKISEMIQGGSPVVYDKEKVSRFGVLDKYAKDGSGIKWVEVPDCFCFHLWNAWEDKESDEIVVIGSCMTPADSIFNECDEGLESVLSEIRLNLKTGKSTRRAIISPEDQVNLEAGMVNRNKLGRKTQFAYLAIAEPWPKVSGFAKVDLFNGEIKKFFYGDNKYGGEPLFLPRNPNSENEDDGYILAFVHDEKAWKSELQIVNAMTLELEATVKLPSRVPYGFHGTFPRFTRGIDHIFVPGNLRAVINTNLLCHRSVLIVLVFICNDFMGSFEAAACSLGCR >KZM99296 pep chromosome:ASM162521v1:4:30907965:30913654:1 gene:DCAR_013342 transcript:KZM99296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP97B3 description:cytochrome P450 97B3 MATITAASSPCWMNHHHHHYHSFHTLSSSSRLSQFNSLSYPALYSHSNNPKFSLIRCQSTDTEDKTKRTLLDNASNLLTNLLSGGSLGTMPIAEGAVSDLFDRPLFFSLYDWFIEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGVLADILEPIMGKGLIPADISTWKQRRRVIAPGFHTLYLETMVKVFTSCSERTILKLENLLEERSLTGQETVELDLEAEFSNLALDIIGLGVFNYDFGSVTKESPVIQAVYGTLFEAEHRSTFYIPYWKVPLAQWVVPRQRKFQKDLKVINDCLDGLIKNAKETREETDVEKLQQRDYVNLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQHPAKMKKAQAEIDSVLSQEKITFESVKKLEYLRLIVVEVLRLYPQPPLLIRRSLTSDQLPGGYNGDKNGYNLPAGTDVFISVYNLHRSPYFWDKPDEFEPERFLVQKQSEIDGWAGFDPSKSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTVALAMLLQKFDVELRGSPDSVELVTGATMHTKDGLWCKVKKRSSVH >KZM97733 pep chromosome:ASM162521v1:4:17317773:17321128:1 gene:DCAR_014905 transcript:KZM97733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSISTTADHRAPPACPHLHNFRSTHAANPFRSLQQCLSVKPLGRAAVVRDPQDVPLCGSCLSPCFLYACLSCATVSCRRHVADHSPDHPISVDIDRAELFCCLCDDQVYDVDFDAAVVLAQLAGGAHPAPEKNVQSVKNVTKRRRVEYCDQWVPDSGQRELIESNSSALAEYDGDDVPLGLKGLNNLGNTCFMNSVLQALLHTPPFRNYFLSDRHNRIFCQQKNVKKNEGNAKNSRLCLSCDLDDIFASVYSGDRSSYSPAKFLYSWWQHAANLASYEQQDAHEFFISMLDGIHEKVDKDKQKPHSQGSGDCCIAHKVFSGILRSDVMCTACGFTSTTYDPCVDISLDLEPNHGGLTKTSAKSLSSCNSEPVSTNTSQNSGGTSTLVGCLKRFTRPERLGSDQKFFCQQCKVRQESLKQMSIRKLPLVYCFHIKRFEHSHVRKMSRKVDRYLQFPFSLNMSPYLSSSILRSRFGNRIFSFDGEEPDPSNEISCEFELFAVITHSGKLDAGHYYTYLRLSNQWYKCDDAWITRVNENIVRSAQGYMMFYVQKTLFYKASEKPRAL >KZM99665 pep chromosome:ASM162521v1:4:33839692:33843843:1 gene:DCAR_012973 transcript:KZM99665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITSLSFAALTNQSCDRKLSVPCTHFVPNFDACRFGLRVSTHSNASASRLVVRCMSSATDVPTVSQTKANFLQAYKRPIPSIYNTVLQELIVQHHLMRYKRTHCYDAVFALGFVTVYDRLMEGYQSDEDREAIFRAYIKALQEDPEQYRSDAQKLEEWARSQTASTLVDFSTKEGDVESILKDIAERAKTKASFSYSRFFAIGLFRLLELANGTEPTILEKLCAALNIDKRSVDRDLDVYRNLLTKLVQAKELLKEYVEREKKKAEERTGSQTASEAVTKCVGEYQYLGL >KZM96742 pep chromosome:ASM162521v1:4:5566055:5572708:-1 gene:DCAR_015896 transcript:KZM96742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPEKKVNSGFLSAMSSSFSRLGIAMGRSVNGLLGYEGVEVINPEGGKEDAEEEARRGRWKDEERDSYWKMMQNYIGSDITSMVTLPVMIFEPMTMLQKMAELMEYSHLLDQADECEDPYMRLVYASSWAISVYFAYQRTWKPFNPILGETYEMVNHNDITFIAEQVCHHPPMSAGHAENKHFTYDITSKLKTKLLGNSVDVYPLGRTRVSLKRDGVILDLVPPPTKVNNLIFGRTWIDSPGEMVMTNMTTGDKVVLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKYNESMSYQPCDMEGEPLPETELKEVWRVADVPTEDKFGYTYFAHKMNSFETAPKSLEEKQRAEKRMREAKGEKFRTRWFDQTDELAQTPWGDLEVYQYNGKYTEHRAAVDSSDSHVPEDVTSIEFNPWQYGNLAKE >KZM98092 pep chromosome:ASM162521v1:4:21033410:21037490:-1 gene:DCAR_014546 transcript:KZM98092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGRLGATELKSPGEFNPSASNSSIIVNKKSSKLRFVLICAVTLIVASGVSVGVLFGIRNKSDGGSQAEASHQKPSQAMSRACSKTRYPDLCVNSLLDFPGSVTASTTDLVHISVNMTLQHFGRALFTSTEINNLQMDKLTRAAYDDCLELLEDSVDQLSRSLTSVAPQQSRNGQAVGNNNDVLTWLSAAMTNQDTCTEGFEGVNTKVKNEMVDRLKDLSELVSNALAIFTATKDDDFSGIPIQNRRLLDTDTVSELDEFPQWLSPKDRRLLAAPVSAIQADIVVAKDGSGTVKTIVEAIKKVPEHSNRRGKSVGDSVTTFHTASFAATGAGFIARDISFENYAGPGRHQAVALRIGADHGVVYRCSIVGYQDTLYVHSNRQFYRECDIYGTVDFIFGNAAVVFQSCNIFARKPMPGQKNTITAQNRKDPNQNTGISIHACKILATGDLEASKGSFPTFLGRPWKLYSRTVYLMSNMGDHIHPRGWLEWSGNFALDTLYYGEYMNSGPGGAVGQRVKWPGYRVITSPVEAGRFTVGQFIFGSSWLPATGVAFVSGLTV >KZM98977 pep chromosome:ASM162521v1:4:28451641:28454151:-1 gene:DCAR_013661 transcript:KZM98977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDGGVCKQVVESHPKHVKYDAGMGKMEKNSTLTKSAIGLGEKHRIPSTNGVHELLECPVCASLMYPPIYQCPNGHTLCSDCKSRVHNCCPTCRLELGNIRCLALEKVAESLELPCRYQSLGCHDIFLYYSKLKHEQHCRFRPYNCPYAGSECSITGDIPTLVAHLKDDHNVDMHDGCTFNHRYVKANPHEVENATWMLTVFNCYGRQFCLHFEAFQLGSAPVYTSFLRFMGEDSEEKKFSYSLEVGGYGRKLTWQGVPRSIRDSHRKVRDSLDGLIIPRNLALFFSGGNREELKLRVTGRIWKEQ >KZM98702 pep chromosome:ASM162521v1:4:26251902:26255852:-1 gene:DCAR_013936 transcript:KZM98702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGDAPGARQLDQTPTWAVGLVCAVIVIISILLEKILHAIEHSFRSRNKRPLVEALMKIKGELMVLGFISLLLTFGQSYIARICISEHIANTMLPCKKPEVHDNKNASNEYQQEAANLLHEEAKGGGGGGGGGGGAGGGGHRRLLWNEHRMLSGGGGGKHKCHEGQLPLISVDGVHQLHIFIFFLAVFHVVYSALTMFLGRSKLRSWRSWEEEAAAQDEHKDDKFRLAHETSFVRDHTSFWTKTPIFFYVGCFFRQFFRSVRKADYFAMRQGFINVHLSPGSKFDFRKYIKRSLEDDFKVIVGISPLLWASAVVYLTLNVEGWQSMFWLSVMPLFAILIIGTKLQAIISQMAIEITERHAIVQGIPLVQVSDRYFWFSWPKLLLYLIHLTLFQNAIEITYFLWISYEFGLNSCFHSDKYLQYLRVCIGVEVLFLCSYITLPLYALVTQMGSTMKKSIFDEQTNKALMSWHKHAQKKKAEGKPSPAPPARKLVTPPDSPHDSPQHPNSHRVGHASSVDIPEQRSPFNKQSGGGL >KZM97156 pep chromosome:ASM162521v1:4:11603019:11607465:1 gene:DCAR_015482 transcript:KZM97156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSGKSFIPETFQGTTVDIATQIGLLWELIKTPLIVPLLKLAVVICLAMELMLFAERLYMGIVIILVKLFWKKPEKRYNWEPMKDDLEAGNSTFPLVLIQIPMFNEREVYKISIGAACNLSWPADRLVIQVLDDSTDPIIKEMVEKECKRWAAKGLNITYQIRETRGGYKAGALKEGLKRDYVKECEYVAIFDADFRPEPDFLRRSIPFLMHNPKIALVQARWRFDFRSVGGLVTSIVSLFVNSNECLMTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTGGIWRIAAINEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGDLQVKSELPSTFKAFRYQQHRWSCGPANLFRKMVMEIVRNKKVNFWKKVYVIYSFFFVRKIIAHMVTFFFFCVVLPLTIMVPEIDVPKWGAIYIPCIITTLNSVGTPGSIHLLFYWILFENVMSFHRTKATFIGLFEAKRANEWVVTEKLGDALKNKKSAKKFNFNIGDSIDLHLRPSRNGHVSEPTGEQTGGGLS >KZM97788 pep chromosome:ASM162521v1:4:17849515:17851864:-1 gene:DCAR_014850 transcript:KZM97788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNQLSDLDCSKTTWRVKARVTRMWPSVSNTTTGNDGLRGYNLILLDDNDCHVHAFVYADKWRSVADKIDEGCVYVISNFYTKKPTGNLKPVSSPVLINFSNSTSVEKVEEDDFMIPRHKFEFVDFSDMLTIASANKNVEYPEFTTDVIGVLEDYQGLSKLGTVYGQKDISRFRITDGRHSAKVTAWEQIALATDARYKSITTRPIIIIMASVKMKTFKDEYIQMNTCPSTKIYLNLDNEVVHAMRQRLDEEGYVPSEKSFSDPSSLGMVSAPAIETITLKELSEKNKSEFIKRMFLCKAIVKNIEENEKWWIDCCHKSNCSEELSKVDGKFRCLKCHRNYPIPQKRFRIIVLAEDETEAFNFVLFDRAVKRIVGKTATKLIAERIDDESNLKDYPSELKAIHGKEFTFKIELNEDNILIKSTVYNATDAFGREFTASSKSEASTSDLEITGYKDKKDEDVADNGNTPGSAKSCSKKIKMVKLFCAV >KZM99760 pep chromosome:ASM162521v1:4:34568628:34570191:-1 gene:DCAR_012878 transcript:KZM99760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAQSIVHKFSIKKYSPEPGFQTFLFNPISETWIRRNGDKSSCITNRNIRYSVWQHDVKLKRNFVVCSNGVPPESSASSGGLPGGIPGSWKSWLLGIVVTVIIPLMTNKWGAILTWTKKIESAVQTVEDMVEAVEEIAEKVDKFTENIADDLPEGKLKETLEKIENVAERVAQDADRLDDMIDKVQEAEDKLEAYIEEEQNKSAAKKEQEKKS >KZM98639 pep chromosome:ASM162521v1:4:25704223:25706797:-1 gene:DCAR_013999 transcript:KZM98639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKRIEEKSDDNNNNSNHSSKNNRRISTFIKPSWLLLSVADLDRRMKMVSSNTNKEEEKGDSFAEQAATYYEKRPQLLSLLQDLHNGYLTLADRYCQTLAKHRHTSSASSIPSFQLYSDEEIYCCSEVDNESDIESSLSFQAPFPTPLKTHQVITNADQIVAELVIKNVEYEIIADELDGGDQKWQEFSRKIELQKSLLEVLESERLILLNENARLGYRVGTLMEENKGLTADSLFMKRKAGELARCVLKLRDDHRVCMLSRKIENLQAQIYSLEKRNKEYYEQLVKQEEEKRSKITMKKIMKHKSEVTLEDCFQADEEEAGGDPKRRWRRRGGSVRGSGRRASKLWDKMKNMDMLLCVPHYNSSTWKA >KZM99866 pep chromosome:ASM162521v1:4:35439032:35440254:-1 gene:DCAR_012772 transcript:KZM99866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSPPSKALIIRVNLIFIAFFLVVYATLLLRPSSSAYHQNAAAVVRCSLRECHHKTDKRLNMKAVLEEPGDKLISNGTDLIRRERPSFLNEMRRGLKIGMVNMEDEDLSEWEMQGKVMHISYERVSELLEWKDLYPEWIDEEEELDGPSCPEIPMPRIQDYGYMDVIVVKVGCKWPEEGWGRDTQRLQLHLIAANMGVGRGKRDRNGRTKIVFLSKCRPMLELFPCHELIKQEGDWWLFKPDVVSLDHKLSMPVGSCNLALPLWGKGNDEFYDASKLPRSTKPTREAYATVLHSSEDYTAPSP >KZM97413 pep chromosome:ASM162521v1:4:14453338:14457251:1 gene:DCAR_015225 transcript:KZM97413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKDDPAASDGAGGSGGGSSMPCPAPVPRKQYWRSASWSASRTMLPQQDPNAENDGMDPNGSRGGGGCRFNVPLTPRTQGKARSLLPPLQPLAISRRSLDEWPKAGSDDVGEWLLPCTPGGRDLSSGGDRLKLDLSSIRSKVDRNSGLVKKDKIAVYDKECSKVVEHIYLGGDGVAKDKVILKQHGITHILNCVGFVCPEYFKSEFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQKGRVFVHCCQGVSRSTSLVIAYIMWREGKNFDDAFQYVKTARGIADPNMGFACQLLQCQKRVHAFPLSPNSLLRMYRIASHSPYDPLHLVPKLLNSPSPAALDSRGAFIVHVPSAIYVWIGIKCEPTMERDARGAVCQIVRYEKLQVSIAAIKEGEEPSYFWDAISDFLPKTDKNSNGVDVVESAKKIVPGEREVTKYSVEFEIFKKAVVGGFVPPFASSNTDHETHLPVRENSWSTLRRKFTSGSMNEFASATKSYLSRVYPDSVLKKCLENSLSKPSLSNVNSSSSSVSPTSPSSTTFSSSSPPHLSPKSLSSDSSRSSKCFSDSPVKSPPLSTCGNSLAFHVPSFSNLSLQSCKLSPQSMSKSTEFIDVNFNSGHSSQSVSASSRKPPLSIAERRGSKLPMLADDSRDKEAPLSGVSGKIVGIIMKNKDYSPDLDNKTISKGEEGLDVEPVYKPTPDKVIKVKEAASDKTPDKGARNVLLDAKCATDLSGLVDKKLSCPKQDQLVVCRWPSLEKIVSFCAADLDAKGVFILIAPASGLEELIEHKVLYIWVGKCISHGDEKMLKGDQEIPEHEEINLNEVSFDVITQMGLPDDTDVKAGWGIYLLVNYGIKIEDSDVKFICSVAGQ >KZM96654 pep chromosome:ASM162521v1:4:3032095:3034768:1 gene:DCAR_015984 transcript:KZM96654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRVLTKVQVREGMRSKLRRSWRGRRKQAAPPRFWQDRRGGRLSQWWSGLWKSRLRTISEMVIDASNDINLLPSPKEIDNRRDLDVAIQVLVREEDVNASCLWSCSMREKVDSAFKCIKMAINY >KZM97515 pep chromosome:ASM162521v1:4:15448532:15451899:1 gene:DCAR_015123 transcript:KZM97515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRASATARPPISSPPRTSLPAKTTQRPQVFKPKSVSVSTTNTLSLLALFTAPLDAKAISLPKEQIVSSITQVEDTIGQVQEVGSNVFDVLGKVFGAVSQAVKPGVDAALPILQNAGDQAGKIAFPAISEASKNAQEAIMQNSGFNMETAVKTVADAAQQTTKAIEVAKPIASSTAETIISTDPVVLAGTGGALFLAYLLLPPVLSVISFNFRGYKGGLTPAQTLDLISTKNYILIDIRSEKDKDKAGIPRLPSSAKNKMISVPLEELPRELRGLVRDSKKLEAELAAIKVSYLKKISKGSNIVILGTYADSAKIVAKVLTSLGFKNSWIVADGFSGSKGWLQSRLGTDSYNLSFAQVLSPSRIISARRLGTTSSTKLLSD >KZM97894 pep chromosome:ASM162521v1:4:19159127:19160508:1 gene:DCAR_014744 transcript:KZM97894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELPYLEYCFAATEVLSKPEELAAAMERNNYILAQKLKVAEEEKLKVTAEDKLNVEDGDKLNVSEETQNIEDEKQNVAVKEPEVMVDRVTQSRGLTHTCEVLSAPMIKVVLAKFKGLTDALQEGCSIVVESSVKLIKHESKEEDESGKCVLVKVSGKEHHYSAAVFAKHNHEKYVPQNEVGESSG >KZM99425 pep chromosome:ASM162521v1:4:31942073:31942402:1 gene:DCAR_013213 transcript:KZM99425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYLVIILAISALIVNPVCSISCQDAITKILPCEFYLLGIGSPSAPCCQAVAQLSQLASSKPELKSLCVCLKQAAQTFHVIADKAKQLPGLCHVTTPVPIDPNINCDL >KZM99042 pep chromosome:ASM162521v1:4:28940138:28941395:1 gene:DCAR_013596 transcript:KZM99042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGDRGGFGRGFGRGGRGGDRGRGRRRGSRRNDEETAWVPVTKLGRLVRDGKIKTIEQIYLHSLPIKEYQIIDLLIGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWTETRFTKSPYQEYTDLLAKPVSKITFTEDPVVEA >KZM98077 pep chromosome:ASM162521v1:4:20926165:20930102:1 gene:DCAR_014561 transcript:KZM98077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENADSTNRGDSVVQNSGDHSNGDPTFWSNDQTGFVKNQQQQTNKVAAHRTNGEGAQHMSLVELQKGHSVNGNGGAHQMMRNNNGVGVDVRRNGGDDDGESYKQEMRDLEEMLSKLNPMAEEFVPPSLVVNYKPVVQPIVPGGAHFGYVVADNNFVMQVNSPVANGNSTRRKKNGYGHAKRRMNSRTSMAQREEIIRRTVYVCDIDQQVTEEQLAALFITCGQVVDCRVCGDPNSVLRFAFVEFMDEEGARNALGLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCSRTIYCTNIDKKVTQADVKLFFEHFCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGAVMGSLPIRVSPSKTPVRPRAPRPTMQ >KZM99331 pep chromosome:ASM162521v1:4:31165725:31166801:-1 gene:DCAR_013307 transcript:KZM99331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALLLLSVLMTSQAPQAAADFYKDAEITWGDQRAKILNAGRDLTLSLDQASGSGFQSKNEYLFGRFDMQLKLVPGNSAGTVTTFYLSSQGAGHDEIDFEFLGNSSGSPYTIHTNVFAQGKGDKEQQFQLWFDPTSSFHTYSIVWNPQRIIFLVDNNPIRVFNNQESIGVPFPKSQHMRVYASLWNADDWATQGGRVKTDWTKAPFTAYYRNFNIKGCPKSSSGSSSCGSSTSSSTDEGWRTHQLDAAGRNRLRWVQSKHMVYNYCSDKQRFANAVPAECKRSRFL >KZM96668 pep chromosome:ASM162521v1:4:3603228:3619281:1 gene:DCAR_015970 transcript:KZM96668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTESVTEFLGCVPLLQRLPRSSLHKIARFVTVKNFDRGDYIVREGDAVDGTYFIWEGEAEVSSVHDEDESHPEFQLKKYDYFGQGMDVSVQHADVVALTKLICLVLPHQHSNLLQPNSIWNADYSSEACSLVEQILHLKPIEVNIFQGITLPDAPKFGKVFGGQFIGQALAAASKTVDCLKIVHSLHAYFLLVGDFDIPIIYHVDRVRDGKSFATRRVDAIQKGNVVFSLIASFQKEEEGFDHQFAVMPSVPDPETLLSMEELRERRLTDPRLSRTYRNKIASRKFVPYPIDIRFCEPSTSTNQTKSPPSQRYWFRAKGKVSDDQALHRCIAAFTSDLVFLGVSLNPHRSKGLKTSSVSLDHAMWFHRPFRADDWTLFVIESPAAYNARGFVAGQMFNRRGELVVTVTQEGVIRKTRSTEDVIVSKL >KZM98108 pep chromosome:ASM162521v1:4:21158731:21167491:-1 gene:DCAR_014530 transcript:KZM98108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSHSPDNLNFPERAFSAAGAAFLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNVTSRMAVFGPNMMFTDLRCSPSCARAGVHGTVSICPPECFEYKGTLDVFYKIIRQEGVARLWRGTNASLALAVPTVGIYLPCYDIFRNYLEDFTSKNAPSITPYAPLLAGSLARSLACISCYPIELARTRMQAFKETHHGKKPPGVLKTLFEVISQVKSSNRLQSSSQSYRALWTGLGAQLARDVPFSAICWGTLEPTRRTLLGMVGEESNVVSVLGANFSAGFVAGTLAAAATCPLDVAKTRRQIEKDPARALRMTTRQTLVEIWRDGGMKGLFTGVGPRVGRAGPSVGIVDCLSINFHFAKFGMGVVTVSSSAARTPLGSSTRLSKYSFPTKRTSISMFKTDKTKNKALVAPKDAVSSAIEKSKGKRKILRQSKKPLERVQAFSVAEAPSCPLDLDYYEAAAKLENIYKLSPAPEVYDGQDEDHGAKTIRKRRKKKIEENEKKEDKRINNVVRSQKTGLRRMSLEKRIELKINKEAEVQSAMRRRKLTGENESEKINRLVREYSVSTDLVSLDWKKMKIPPVLSSSEHTWLFKLMQPMKAILLVKDNLQNDLGREPTDGELAKATGMNVVQLRKQIAIGKAARSKLIKHNLRLILFVMNKYFQEFTNGPKFQDLCQAGVKGLITAIDRFEPKRRLRLSTYSLFWIRHTIIRSMTLASFTKVSFGLESVRAEIQKVKLQLMFELHREPTEEEIIEKVGISRERYNEVMRASKPVFSLHARHSVTQEEFISGITDFEGAGGDKRRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIGGNLNISREMVRKHEVKAFMKLKHPTRVDYLRRYIL >KZM98492 pep chromosome:ASM162521v1:4:24422531:24427520:-1 gene:DCAR_014146 transcript:KZM98492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQKIKVANPIVEMDGDEMTRVFWKSIKDKLIFPFVELDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFGLKQMWKSPNGTIRNILNGTVFREPIICKNIPKLIPGWTKPICIGRHAFGDQYRATDAVIQGPGKLKLVFVPEGKEEKTELEVYNFTGAGGVALSMYNTDESIRSFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEASWKSKYEAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNAALLSFAEKLEAACVGTVESGKMTKDLALILHGSKLSREHYLNTEEFIDAVASDLKARLAN >KZM98526 pep chromosome:ASM162521v1:4:24747443:24750324:1 gene:DCAR_014112 transcript:KZM98526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQKLTVTAEDNGKGAKCLRVKSKGLHSVYSKTIRKTELRSPLDLSTTFLMATWFLKSRSVLQCVKTRAGLHQIICNRSLKGASLHSKALQLEDDLDYSENEAWYFPGGAVPFTSKINFIADSSEERIHCYRVLDNSGHHMLSNTSKLISKELAVQLYSSMVTLQMMDTVFNEVQRQGRTSFYMTSHGEEAINIASAAALSNEDIILPQYREHGVLLWRGFSLQEFANQLFANKADKGKGRQMPMHYGSNKHNYITVSSPLGTQIPQAVGVAYSLKMEKKDACVVTYFGDGTTSEGDFHAGLNFAAVLEVPVIFFCRNNGWAISTPVTEQFRSDGIAVKGRAYGIPSIRVDGNDALAIYDAMQEARKIAIKEQKPILIEALTYRVGHHSTSDDSTKYRAREEIEQWKADRNPISRYRNWIEGNGWWNEEQESTLRGSVRKQLMNAIGVAEKAERPALTDLFADVYHDMPTNLQEQERSLTETIRKHPQDFPSGFAVASQI >KZM97829 pep chromosome:ASM162521v1:4:18182493:18185155:-1 gene:DCAR_014809 transcript:KZM97829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGEAQQAGNKGNSRVSKLNQSRKRKGFPLFDNGAILSPEQIEAKEVCKYWFLLDWSMLEAFEGGNFRLLPLARARIEYLSKAIKPELLGKGLEGDEDSLWKINEILQNEGWWCKADNLQVREVLPSPKQAEVQNAALMGFLKAKEHLIHPNSRKQAFDGSPEGIRMALNQIHYGSLEESRKGNENKMPKDDLLRLTINFIRSWADLVEPSVLRDALRGNNRAISLALGQIHHHTLEPKKYEVHSVTRPYKEALLKESSIDVTPRKKMKTVTQPSKKRSHSVFFSGIQDATQPLALWQYFKKAGKVKDIILPKKRDKNGNRYGFLIMENGKEVDQIISKLSGKSFDSKPIYLAKAKDKSVETKMSVLGTESRKETPTKGFKHPDILRSTERMEGDHEHRGSEVSKSKADDQEVDIAPSADMLCITQQSLFLKTAQIETVYSATMIAESLGARNVQIRGISGTTFLAFFARKEDLECLDRELLSIGFVEVREIQNADLIPVRKTWVEIRGLPIMGISEENIIGILKDLGTVLFFGNSVDKESFYQQPKLWIETRELGEISQYKRVKLMGKSWRVRVVESNGDNEIYNEEKHLTPTVSDNSDGPANTLAKTPGLYDANTVKNVPKDSPGIVRARPEGQEALCSEHSLNSQKSTQSLVNPLTPRGGYYTFPKVSPVDKENIATPPVVTQCLQDAIPLNDQSSSPPVDVVPPSEQVELQQETFHTSNWIPRISKQSMTSSDSISANNTNTQLSSHDSIPTQLEDLQDSLINGISSMRVKSKRGRPRKHKQHPLNKNFKLPRKRKMRGEGLQQVSHFFLNNTNDEAEAIYETGLLMGLLPINPRKESLDLIRMNLAC >KZM97854 pep chromosome:ASM162521v1:4:18459133:18466483:-1 gene:DCAR_014784 transcript:KZM97854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVASLTSKTSHVLASSDCLVDGYSKSRGSQEGSSASVQDKYNHFPVDSLRDMSLPSHGNGLLLSNITEKNSHGARTSFIDGGTRNDAEYIQKGNNSESYKERENTHSNKIEMDPLFWLPPETEDHENDMDCSVANYDDDDDEGDDGTKWGRPSSLSGFGEKSCGSYRYEEEKQKAMENVKNCKFKALVYRLLTSAGVACLGKDGDNWADIVTSLSWEAASFVKPDIGEGKAMDPDGYVKVKCVATGSRSESQLVKGMVFKKHAAHKHMPTNYKKPRLLLIQGALDRSLRGLSSFESMKQEKDTLKSIIEDIAKCNPNVILVEKTVSRDIQESILAKGMTVVIDMKLHRLQRVARCTGSLILSSDTLSGKKLRQCNSFHFEKLVEEHAVSSESGKKPSKTLMFLDGCPTRRGCTILLKGTNSEKLKKIKLVVQHAVLMAYHLILETAFLLDQNAMFSTPRLNGLVNMSSTDVQPCFFPSEANAPWSEDTSAESHLLGATDIHIASGFHKEGLSNVGLESDTLLPYEPDNPLAFSRFSFISPSLKSSCDSVSVLPFSQQSISTYFGIHEEVPCCQVQSSNDILTNLKANVDSDSLTKDNICEEKALENVGTSIHREAQLATQNTGGDYKDRVQFKDDSNPVLNTGSILVLMSRRNSSTGSVCEKSHFSHIKFYRNFDVPLGIYLQNNLLNQRLLCNLCSGLPEAHIYYYAHHNKQLTIQVRRFPMQKHLPGEADGKIWMWSRCGDCKPQIGKTQSTRRVLMSAAACGLSFGKFLELSLTNHLLPSRFSICGHQLHNNFLYFFGLGPMIAMLRYSPVTKYSVSLPPEKLNFSCQISGERLGKYIESIPNLYKEGIEIFLEAEILLKDISMSFNGSKIKIQGSLREFSDIAEMLKQERCQFKDDLEGTIKNWDLDDTVYKVVSLNRVKWDILVASCVWDRRLHSLCSSEITTDAMISDEQRHGQSNVEEDGKVGEEPDYAVKCEKVFDYGADFDNHVGADFKANGSIEVPIEGDIQGPAEQNNFLTASSSKDDIQKLHTGMQNVDSLYKHILASSDISNDSYPVRDAIGDVTDSNLSQRKGTVLTVSSEWIWSPLPEIRYEYMKDLQKGYSLNFKPINSYAQGSRIHDVINDEGSRLHIPLGADNYIVSDHEDELSSVVASALALLRDPPVLVDPNDDLTGDRGVDAKSYERSRSVSRPSSVPPINWPSFGSLSSDDRHYCGESSINVMDYSLLVGVDSQKGELVCGIIDYLRQYTWDKHFETWVKSSLVVPKNLLPTVISPKEYKKRFRKFISTHFVSVPDNWC >KZM99633 pep chromosome:ASM162521v1:4:33592110:33592963:-1 gene:DCAR_013005 transcript:KZM99633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPFLHLLLFFLLSGFASSTTTLSSHIFRPRVLPERSLLQQKAACDIDFENQNYTIITSQCKGPNYQAKPCCGAFKELACPHSEKLNDMKNDCATTLFSYINLYGKYPPGLFANLCHDDKEGLNCDEVMQAKQKQQQSSGAAAAEKSAGLIISAASFLILIFNLF >KZM99518 pep chromosome:ASM162521v1:4:32705399:32707325:1 gene:DCAR_013120 transcript:KZM99518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIFVVELEGRAYRCKFCKTHLALVDDIVSKAFHSRRGKAYLFTNAVNLTVGPPEDRMMLSGMHTVADIFCCCCGQIVGWKYEAAHERSQKYKEGKFVLERVRIIDGIDSEFYIDTNPSISDAEDA >KZM97044 pep chromosome:ASM162521v1:4:10064673:10066559:1 gene:DCAR_015594 transcript:KZM97044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSQKKLISTFWLLGFTTLFFISYADTTCPIDFGYVSKLPWKRSDCTDKPVNKEACCGTIKFLLAPGLAKYLKKTSMFYFPNTGIAAACMSSFNNKLASMSINISFCPVGWYDQLVVNSSNNCGGVTTLKEWREKLGYKTELDSDCRGNFSTASQCQACADAGIRVASQLGSDTTLCFKYACLYAAGIVNEYGPEDPRIAKCALAIPMAQSKTNKRKKIFKVAFGLLGAIFGVLTTWGCIIVYQKLKEERWLAALRQEYVRGVKAKVLPNTGAKWFHVAELEQATKGFSKKNLIGQGGFGVVYKGTLLDGSIVAVKQLLDMDINGDDEEFTNEAEIISKIRHRNLLALRGFCVESDAIKGNRRYLVYDYMSNGSLDEHLFYEEGNTIARKPLDWPLRKNIIIDVAKGLAYLHYGIKPAIYHRDIKTTNILLDSDMKARLADFGLAKQTTEGESHLTTKVAGTYGYLAPEYALYGQLTEKSDVYSFGIIILEIMSGRKVLDTSSTSTRLLITDWAMDLVKSGNVENIFDDRMGENVSKAVMERFVHVGILCAHVMVALRPTISEALKMLEGDIEVPTLPDRPLPLSHESLKYIPEFSISTLGISGGRSSLDTSGSRLSIDTSKPRSSI >KZM99004 pep chromosome:ASM162521v1:4:28677497:28678279:1 gene:DCAR_013634 transcript:KZM99004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSASAQYIDQNPNSLINSQPNHDSVINNWELIEVLDDFDFHIVQHPKHLSSNLGKPDSYINGFIKKTDDSPDFLDSYELVEHVDSNLGSIARPALTSKPRQSNCCKDSNFKKDVIVLYYTSMRGIRKTYEDCCQVRMILKSSGVLVDERDVSMDSKYRKELQNVFEGKRFSLPQVFIGGRCLGGADEIKQLHEDGELAVLLEGFPIKDPGLVCRSCGDARFVLCHNCNGSRKYFQEGEMKMCLNCNENGLIHCPGCCS >KZM97049 pep chromosome:ASM162521v1:4:10092353:10104923:1 gene:DCAR_015589 transcript:KZM97049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAELLELQKQFEFAQQAKSSVRLSDRNVVELVQKLQQLKIIDFDLLHTASGKEYITPEQLRHEIEVEIKRLGRVSLIDLSDKTGVDLYHVEKQAQNIVSSDSALMLINGEIIASFYWDTVAEEINERLQECSQIALAELAAQYQVGSELLVTVLEPRLGDLVKGRLEGGQLYTPAYVDRVNAMVRGAARGVTVPTNLSALWSSLQLLLQEMDGASGVAVDGSFFQSLFNGLVKEGEILGSLRAGVHWTPTVFATAQKDSVDAFFSQNSFISYEALNKLGIPSAIQFLQARYPEGIPLVTVFVHPSMVDILDAAVEDAIERGSWIDTLTVLPAAFASQDASKILSLCPSIQGALKSNEAHVLGDSYVFSNGFVKNLFESLDKESQTSSLSGVYGTQAPDSLDASIDSKVRKGLSSNLTESNETEAEIVNSKHTSEVGSKKKRGKSSGNAKTGAAENSTDNQESVPSKSKRNQKKGKAMAAQVSDLKPGGKKSVDKLPEDTVNIFPEKLLIQRINKLVPEFEEQGIGDQEIVLSSLAHHLRPMLLNSWKERRKAVFTDNTQRMKHILDSLQKKLDESSLNMQLYEKALELFEDDPSTSVILHKHLLRSTATPMVDTLLKNLDVYSKMKNGVDVEEFQNPESISCSSAERLALAKNLPGSLSVKATALVEALEGKASDYYFLSVENFLASLRDMAEESGISLKKLDKKLERTLLHSYRKELVSQVAAETDPVALLPKVVSLLYIQVHGRALQAPGRAISVAVSRLKDKLGKSEHKILVDYHSATVSLLALISAGTAEEEDCSSDRILSKRELLESLMSALKGLVLGTSQA >KZM99835 pep chromosome:ASM162521v1:4:35251708:35256307:1 gene:DCAR_012803 transcript:KZM99835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQENCVPLTRSSLKRAAPSMTTPEPAYKRRVVLGEILNNSSAVSGNEDLLCREFEVPKFVAQKKRKRGAKEDVGVDFGEKFDDPQMCSAYVSDVYEYLKQMEMETKRRPMMNYIEQVQKDVTSNMRGVLVDWLVEVSLEYKLLPETLYLAISYVDRYLSVNVLNRQKLQLLGVSSFLIASKYEEIKPKNVADFVDITDNTYSQQEVVKMEADLLKTLKFEMGSPTVKTFLGRFIRAVQENPDVPKLKFEFLANYLAELSLLDYGCLEFVPSLIAASVTFLARFTIRPNVNPWSIALQKCSGYKSKDLKECVLLLHNLQMGRRGGSLSAVRDKYKKHKFKCVSTLSPAPEIPDSIFNDGASFVSFMFPTNNMGYDHISWKEMHKMLMSRRRPGLGKSSRDNVAVMGRRDEPFRAAPGIPKRYRFRVRVQGVIIP >KZM97430 pep chromosome:ASM162521v1:4:14606938:14612440:1 gene:DCAR_015208 transcript:KZM97430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNKKLKQKIRAQLTDSFISSSQNAKQSFKSLLDSLTQKPRLSKRDKRRVITSTDAQTENKENQENGSGLEAEKKVKKRKRGEKLGLDGVENQENGVGLEGGKVEKKRKRDGKVGLDGIEKKGIDGLENGVKEEKVKGKMKKKKKKKGKGKKKSEGVGVEKKVVEVAKAEDRVAEVIKVTDSQENSDVSTKVYVGGIPYYSTEEDIRSFFESCGTITEVDCMRFPDTGKFRGIAFISFKTEAAAKRALALDGADMGGLFLKIQPCKTTIEKKVSDFSPAIVEGYNRIYVGNLSWDITEEDLKSFFADFKVSSIRFGEDKETKEFRGYAHVDFSDSLYVTMALKLDQKILCGRPVRIRCAVPAKKADPNAKVIPTSRIVENVEVKSDNMDTSAVSGKLKRRTCYECGEKGHLTASCPKKQAADPIQSLPTSIEVNTDNVDTSTVSGKIRRRTCYECGEKGHLTSSCPKKLAADAVQSLSTSKYDDNVKASTANAEVIPDNVEIITVPRKIAQTCYECGEKGHLSSSCPNKQYADPTIYIPTNMNVDTVGAYSDNNEVGPVSGKIRRRTCYECGEKGHLSSQCPNKQPADFMKSVPDNNNAYNAEATTRDALIKRNIAEVSSYSAPVERANVEVKNVSAGANNNVSADATPWVVFGVPMGPIRGLKRKERAEKDTSIEELESNNDGPCLPPQPQSFDWWDQFSKRLTGMYVWLVLLNH >KZM97900 pep chromosome:ASM162521v1:4:19226873:19237134:-1 gene:DCAR_014738 transcript:KZM97900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVENEKKKDRLPSEATKILQSLASGWDDIIDPNALQVIRVKGAMTNQVFQIKWPTRTERSRKVLVRIYGEGVDIFFDRENEIRTFEFMSKMGRGPRLLGRFSNGRIEEFIHARTLSAADLRDPETSALIATKMKEFHNLDMPGPKTVLLWDRLRNYLSVAKRMSSTEEARDFGLNLIEEELSFLENALSSDHLTGFCHNDLQYGNIMIDEETSYITIIDYEYACYNPIAYDIANHFCEMAADYHTETPHLMDYSKYPGYDERKRFLRVYLSCSGKEPSDSELEHLIQEVEKYTLANHLFWGLWGLISELVNEIDFNYKEYARQRFQQYWLRKPELLGFSTSSPIRANIMEVTGLAKKPAGLPEGEVVDIIDTRLNKFKGSKDEARRLELSVELGMTPSQIKFWFQNKRTQTKAKQEKEKSIALRALNDEIRGEINEIAQVLEALECRFCGKSCQVGENIFINQQKLRAENALLKEELERLSREVEEYTGMPISLHRATEPSDHVLSNSSGVIATDKAFMVELAHHAMEEFNTLLQSNEFWMNSTDDGRTVIDLQAYERRFSRGPNSPNLRTESSKDCGVVFMNSLDLVEFFMDANKYMEVFPTIVSKARTIAVIKAGLMGNQNNLLQLMYEELNVLSPLVQTRKFCFLRFCKQLDQNSWAIVDVSYDDPRESFDSQSQVRRMPSGCYIQAMPDGQSKVTWMEHTRIEDRASIHEFYRGHIWSGLAFGAERRLAVLKRMCERINCPLDYSTPFFYIGGGRKNLMKLSQRMINGFCSSINPADGQQDMISKIDDLEIHTTFRKCTDLVYPDSVVLSAAATTWFPSAPLSIFNFLIDEKCRPQWDVITDIGWVREAGRIGFHSGNCISLLEAFSTGQNKLILQESWTDPSGALVVYCPVPLPAIKAVMSGEDPSILSLSPSGFVISGAMSGGALVTVLLQMSENSATPGSMSLESANLLNTCVGITIDKLKAALS >KZM99891 pep chromosome:ASM162521v1:4:35633642:35635403:-1 gene:DCAR_012747 transcript:KZM99891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGGRKDKGSSSSLSGSRRIWVAVFIGISMGCIFAFFFPHGFFPSYSASQTTHPLSKSNLRTGSSSCESPEKINKLKSDIMGLSDKNVKLEKQVTELTQKLRLADLGKDHAQEQFMVLGKPYKAGPFGTVKSLRTNPTVLPDESANPRLAKILAKVAVRKELIVVLANTNVKPMLEIQVTSIKKSDIPNYLVVALDDAIVEFCESNDVYVYKRDPDEDVDVIAKGGSNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFLHIYRDSDVESMTDGHNNMTAYGYNDVFDEPAMGWARYAHTMRIWVYNSGLFYIRPTIPSIELLDRVANRLAKEPKSWDQAVFNEELFFPSYPGYSGLHISKRTMDFYLFMNSKVLFKTVRKDKNLKKLRPVTVHVNYHPDKLYRMQAVVNYYVDGKQDALDIFPDGSEWVRNPKGS >KZM98412 pep chromosome:ASM162521v1:4:23748005:23750420:-1 gene:DCAR_014226 transcript:KZM98412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKVPASVPSPAEDAEQLHKAFSAYHARYKKSLEEDIAHHTSGDFRKLLVPLVSSFRYEGDEVNMTLAKSEAKHLHEKISEKCCSDDEIIRISTTRSKAQLNATLNHYNNQYGNAINKDLKTDPKDEYLSLLRATIKCLTYPEKYFAKTLRLAINKMGTDEWALTRVVTTRAEVDMQRIKEEYQRRNSIPLDRAIAGDTSGDYEKILLALIGHGDC >KZM99740 pep chromosome:ASM162521v1:4:34420630:34422567:1 gene:DCAR_012898 transcript:KZM99740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGYVDDENCLGYAARDPSGFLSPYKFNRRAVGNCDVSLKITHCGVCYADVMWTRNMSKHSKYPLVPGHEIVGIVREVGSGVQRFKVGDCVGVGTYVNSCRDCEYCDDGLEVHCSKGSVFTFDGIDHDGTITKGGYSTHIVVHERYCFRIPENYPPASAAPLLCAGITVYTPMIRHNMNKPGVIGLGGLGHLAVKFGKAFGMIVTVFSTSLSKKEEALNLLGADNFVLSSNEKEMKGLLNSLDFIINTASGDIPFDQYLSLLKTGGVLSLVGFPSEVKFVPASLNLGMKTISGSLTGGTKQTQEMLDFCAAKGIHPNIESIPIQDINDALERLINRDVKYRFVIDIENSLK >KZM97460 pep chromosome:ASM162521v1:4:14924376:14926126:-1 gene:DCAR_015178 transcript:KZM97460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSNITTDWRHGRYPMEETVYPLLEEARKINKVYDGDDDIFLPTEVSHSSASQFLDSFWSSKSLPSFQDAVILGTGDSVSDSTNMVNLQNSSPKDAGLEGLIPLMKKHRVEMRTMILAFKSPKRKGDYS >KZM99357 pep chromosome:ASM162521v1:4:31420473:31424094:1 gene:DCAR_013281 transcript:KZM99357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYRTEDEYDYLFKLVIIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKTLDFDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRNSFENVERWLKELRDHTDQNIVVMLVGNKSDLRHLVAVSTEDAKDLAERESLYFMETSALEATNVEKAFKEVLTQIYRVVSKKAVETGDDGAAATLPSGQTINVKDDSSVLKRFGCCSS >KZM99543 pep chromosome:ASM162521v1:4:32885385:32885983:-1 gene:DCAR_013095 transcript:KZM99543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKTLKKDHEVRETEKQKQLLMLCRREKKLPSLTEVDDEGEDQDTGNKLKPNDMAIRSTMRLISGNMPCSMQDHALCFTNSLLRDTTNCSPSPSLLARSLKKEFDSLYGRLWHCIVGRSFGSFVTHSPGGFIYFSVDSHNLSFLLFKTEVQLIAT >KZM99805 pep chromosome:ASM162521v1:4:35018641:35025287:1 gene:DCAR_012833 transcript:KZM99805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNDYVKEEKEGLDFEKTVVVGYALTNKKIKSFLQPKLLSLARSKGISFVAIDQTRPLSDQGPFNILLHKLSGKEWRKSLEDFRQMHPEVTVLDPPNAIHHLYNRQYMLQDVADLNFSGAYGIVRVPKQLVIKKDPSSIPEAVSKAGLILPLVAKPLVVDGTAKSHELALAYDQHSLQMLETPLVLQEFVNHGGILFKVYIVGEAIKVVRRFSLPDVSKQEWSKNAGVFRFPRVSCAAASADDADLDPSIAELPPKHLLEKLAQELRHRLGLHLFNLDIIRERGSKDRFYVIDINYFPGYGKISDYEHIFTDFLLSLMQNKNKTNSTGAC >KZM97522 pep chromosome:ASM162521v1:4:15525534:15531173:1 gene:DCAR_015116 transcript:KZM97522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEGTPVSNGFHMPAEWEPHSQTWLGWPERGDNWRDNAVHAQIVFAKVAAAISKFEPVTVCASAAQWDNARRQLPHNVRVIEMSMNDSWFRDTGPTFVVNKSKSGPGSTKDKVAGIDWNFNSWGGAEDGCYTDWSLDLLVAKKILSVERLPRFPQSIILEGGSIHVDGEGTCLTTEECLLNKNRNPHLTKVQIEDELKAYLGVKKIIWLPRGLFGDDDTNGHVDNMCCFVKPGVVLLSWTDDKSDPHYERGAEALSVLSNSTDANGRQFHIIKLHIPGPLYMTQEEADGVIQDGDAKPRLAGTRLAATYVNFYIANGAIIAPQFGDKKWDDEAVRVLSRAFPDNEVVRIEGAREIVLGGGNIHCITQQQPACP >KZM97087 pep chromosome:ASM162521v1:4:10667452:10667676:1 gene:DCAR_015551 transcript:KZM97087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPFTTGANAVKDLSIYKLSARFIDILAGEGGAGVGTVGMWTDSSNPNASVVVAVTAVAGIVIAAAVFYSNR >KZM97514 pep chromosome:ASM162521v1:4:15444997:15447666:-1 gene:DCAR_015124 transcript:KZM97514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYIVAFVLDKIAGFLIEEAGSFARIDVQVEWIQAELRRMQCFLRDAELKQDGDERVKNWVSDIRDIAYDTDDLVDFCILKLSHQRVHDLLRDLCLARGQEEALFNVICAGDRVGSISGARRLAIHSGVPIFTRNSQKIRSLLCFDLIECVPLNLTKFKLLRVLDLEGVQIAQLDSAVGDLIHLRYLGLQETWLKNLPSSVGRLSNLQTLDLRSTLIEPIPLAIWKLQQLRHLYFSKFKAMVVCPPYRLTLPNLHTLKGICLSESGCIQNGLDNIVNLRELGLYGDLHLQEEEVSRWIHINKNLEELKIQSSNNLSYISNAAIPKLMSFSSHVRLYKLHLEGIISKMLDIKDFPPNLTELSLKDSFLAEDPMPILEKLQSLRVLKMKQTSFLGKELVCSSGGFPQLQYLKFGFLTFTTWTIEEGALSNLRQLEIVECKRLKISPKGLRPVTTLRELKLGFMPVDMKSKVQDRQGENWYKIQQVLPI >KZM97842 pep chromosome:ASM162521v1:4:18282853:18290108:-1 gene:DCAR_014796 transcript:KZM97842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESGNDLNAVMLTSGASGRVNALLSERAWRSLLTLINAVLLILLLPFTGRRAPAVTPPVSPEKSGKEERKGRGLQAVRVPTTIVPWKCASVEVAARRALAVRRVTQESNADGQTAREYAMYVTSRGDTLFTQMWTPISVKVRGLVIILHGLNEHSGRYSDFAKQLNVNGYKVYGMDWIGHGGSDGLHAYVHSLDYAVMDLKSFISKVLAENPGLPCFCFGHSTGAAIILKTVLDPKIETRIAGVVVTSPAVGVQPSHPILKVVAPICSILLPTYQFSAANKQGAVVSRDPEALRIKYSDPLVYTGSIRVRTGYEILRIADYLQQNLEKLTVPFLVLHGSADSVTEPEASMKLYTEASSADKSIKLFPGLLHDLLFEPEREEIMQGIFEWLNNRVQD >KZM98076 pep chromosome:ASM162521v1:4:20917709:20921806:-1 gene:DCAR_014562 transcript:KZM98076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFSRTIYVGNLPLDIKEREVEDLFYKYGRIVDIELKVPPRPPCFCFVEFERSRDADDAIRGRDGYNFDGCRLRVELAHGGRGQSSSRDRRGGYGGNSARGGGGNFGISRHSEFRVIVSNLPSSASWQDLKDHMRKAGDVCFAEVSTDSKGTFGLVDYTNYDDMKYAIRKLDDTEFKNPWARTYIRVDKFERSPRRSRSRSQSPSRSRSPGRSRSRSRSRSKSLRDRSKSLERSISRSPSRSRSASPVKVSR >KZM98072 pep chromosome:ASM162521v1:4:20891612:20893095:1 gene:DCAR_014566 transcript:KZM98072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESLNCEEVEQVVLSPTVFKPSHEDALSEQETQLLSRQPDGYETNHETPSTIDQYSFQGNTCISEEADGQLLDMTSIQPNPDPENAFGDFSEVPDHDSKIFSPCHMQMMEDYYHNSTVLGNDNNEDIDIASFLDSILATSDDCSYEDSASHPIPAIGNESPKYIGSIDGSFVKGSGSGSESDMELLHGQLDSGGYLQDGFLMEAQQNIPSTMMLRSTIGLAAPEVRNEEAQRNMQLMQNRADVSSTDVSTSNGIKIRSRQPKIVQQSAQNVMAHGTAPRRIRLLMNPQVGSNYGSLTDENAEAELPLIEEKDAKDQQTSADDVAPDAVSSTGETAKDHDQDEQDSQESSFNVFSKASFMFSKAPPFLSSISSALHIFLVLGVVLVAVAFCGCFMS >KZM99670 pep chromosome:ASM162521v1:4:33882647:33885255:-1 gene:DCAR_012968 transcript:KZM99670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDLPEEAMHKALEDGIHNHEFKNNNSFSGKPSDSGANEDGVCITADQLTTSSSIDHSCRFDEISNKRMDETKDVAYTTRIKRSQSLGNELYRKVKFIGVPYSGEDTDQGFSVDGSNDRSGSLLSFGEKDHEVSTSEKFPEALHSVSVQVSSDLVRDGSTLFIGDPQQKVEWPDISDTQFPGDCGNHAPCNQRTANVLPRSYSSSDVLAYGGHTKTRYSVPRATSCAYLNALDKQEDMIAHKICTRANQDGEEDDSAEHCEKTMMQDSANDGYDGYNYVGTAKDWVLPDEANTSKNQLDSMVDTQEEHPPKDFKIKRIEEWVSNLQHCSPSEEIYDLSTTCDYPADTGSNVSNGLSPTRQDSKSTPEMDTVKRYISSLSATSTSAQLANHGLIAIPFLSAFVGLKALNLSGNAIVRITAGALPRGLHILNLSKNSISTIEGLRELTRLRVLDLSYNRILRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLNVLDFRFNKISTTKGLGQLAANYNSLQAISIEGNPAQKNVGDEQLKKHLLGLLPQLVYYNRRSIKAGSTKDSGSARLHQFDRGLRSSELKTARSHKKPPSVIHGRRNHQAVTQVKPTRDKHGRLPPSGMKTVSRASFYDFGNRGISFKPDLGMHRSRSMGHLGDL >KZM96935 pep chromosome:ASM162521v1:4:8902223:8905044:-1 gene:DCAR_015703 transcript:KZM96935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKHFLNKIRNHPPTPFLNLPFLGHLYLLKKPLHRTLSKISAKSGPLLLLNFGSRRVLLVSSPSAAEECLSKNDVVFADRPHLLAGKHLGYNYTALHWASYGDHWRNLRRMVTVEVFSAHRLRSSQVIRVEETRILLDRLFRASRSSGTPRSSKENIVDMKLTFFEMTLNVLMRMIAGKRYYGEDDVADEAEAKRFKEIITESFVIGGASNLGDFLPLMKLVEKRGIEKKMIALRKKRDEFVQELIDQHRRHMEDGNGDDTAESGTGRKSMIELLLSLQQTQPEYYSDEIIRGLILVLLGAGTDTTVVTMEWALSLLVNNPDALKKAQIEIDNHVGQDRLINESDISNLPYLRCIIAETMRMYPAGPLLLPHESSVDCKVGGFVIPKGTMLIVNVWAIQNDSKLWAEPRRFMPERFEGLEGTRDGYKFLPFGSGRRACPGEGLAMRMMGLVLGSLLQCFDWERIGKELVDMTEGPGLTMPKLQPLVVRCLPRVTMATKLNQI >KZM97588 pep chromosome:ASM162521v1:4:16033313:16038824:-1 gene:DCAR_015050 transcript:KZM97588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKASSEFPPHLRRRPLKSKAFDTFLRIVSECHSHFQNQEQVAMIQGDQMVENNYLAIRGDSLLENDKLGTDDGLARENLLVNGDREGDLLVENNDSRAEDGLALQNSLVDENREVVSSQEPACSGDRMDVDGLEIVDNVKSNGDQVPVDNVNGIEQVIEGNQNCNNDFAAFASLIDNDDQFSLPDFDMEESDLGEQLGDNAPCADVSELIDSLLETDATVKASIPLVNNDANSLHAKRNEIETQVGLKESDSPGSASVMVSSPLQKTVEGIEDGEVLKVSGFLEKSSDLLHEDVLPLENSVDKGDLLGDTIHKEGFNPNTLQRGLKKDNQSFSQARTGVQITSTAMNVERGNDNRNLVNNRTQVYYGDTVEDNEEEWEDSRLESGRNRRRSAPKAANSQASCPKNISLRGGLTGENTIENQCSASTEKVANQNNKKRKGGCSEDRKANRKKKERMKRAEQLRELGVKKLKLLPVSKPKTVSYCHHFLKGRCHEGDKCKFSHDTVQLTKSKPCCHFAHQSCMKGDYCPFDHQLSKYPCNSFLSQGSCIRGFNRMFSHKVLMKEGSSGASDICNPDLKSVSVTEISVPKKQMNPNFTGSQNSSTNLSSSGVSYCKNYKKNGEGLALKPAGQAPKGISFLLHGKVPLGNISKNGQGPLPNGAKVDQQTLANASNGVESLHKSTQRKPVVPRGVNFLSFGKDPSESCTKKSSFSLVSNVETGKSPLSDPDKSEQADSSSKTDVGVTVDFQTGQGASSTVQNTNETANRTPATTPQGLGLLSAGNLLDRFFNKKQAGSNSNENNAMHPTVRNNESVSDKHRTFDLLLPPVLSSPLPSNHSLSKLTDVQSKGSSSSLQGSLFSNTPKSLQRAFQSTLALAAKFESKAKNAVSTDLSKEGSSNSHNNSMKPSTILDFLYSYKGKSEQ >KZM98928 pep chromosome:ASM162521v1:4:28048211:28055296:-1 gene:DCAR_013710 transcript:KZM98928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQIKSEFKKSGFTFDNEEEILQKCLAFCINYNLSPCDLVSSWDVYSLNRQLELTVQNAHMDAFLQQLQNEQKEAIIKKEPGLHLYSNDVKMILSDKAEDIDEVVPGTPTEKYDMSYLGPLDSAHKTNGSILPSGKPADLVTPFGQRKNKFVVQSTISDLPNTDSIKEEQHDNNSEDDIIKRVQPRKRCSLQTHHSKPETSSRFMYDRIEDKFNFLENRIMKHAKAFVASGIYEEPVDPTVASQKSLFAIGMVIGIKGDNPSGHCLIASEIIDHVPLLDSNDENSQPSKKQALDKDLIQTDLSHAQSEISALIAGGPFTTTDNLMFEPLTELLAYARRKQPQLLILLGPFIDSEHPEIKKGTVNQTFDELFHHEILKRIQDHVEYMGSAARVILVPSIRDASHDFVFPQPPFSIHPPDLKDQITSITNPGTFSANEVKVACCTVDIIKQLSGEEISRNAAGGSKHRMSTLANHILCQRRHVVSVGGEGEAQKKCLCVNPGRLARGEGGGFFVELNYHGSPESATASVIRI >KZM96642 pep chromosome:ASM162521v1:4:2819100:2819417:1 gene:DCAR_015996 transcript:KZM96642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFSVVYCCLIFVIVNGVLLGGPGGGAGGCVGNPGGLGGYYGGMPGWGGPGGYGSPAHRPVEGVEDMEVVLVLVKAEVVHIQCQILMIQGAEIFKWLRFLLDTI >KZM98279 pep chromosome:ASM162521v1:4:22523072:22524597:-1 gene:DCAR_014359 transcript:KZM98279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAMVLLCSLLLLLGATTTHGMGVNWGTQAAQNVNPSVVVQMLKDNNIKKVKLFDSDHWTVKFFAGSGIEVMLGIPNNQLKDLGDHDNAKDWVKQNVSKHMYDGGVNIKYVAVGNEPFLKAYNGSNLKTLLPAMENIQKALNEAGLDHIKVTTPQNADVYESGSGVPSDGNFRKDIRDLMGKIANFLSDNNSMFLVNIYPFLSLYQNPDFPVEFAFFDGGAKPVQDKSISYNNMFDANLDTLYWSLKKAGYPKLKLAVGEIGWPTDGNKKANVKMAQRFYDGFFRKMATNKGTPLYPGYIECYLFSLMDENLKSVAPGEFERHWGIFRYDGQPKYPIDFTGKGNDKMPVGAKNVPYLEHEWCVLDRDKVKNPKDSSIQSNMQYACAMGDCTSLKDGASCDNLDLVHKVSYAYNNYFQINNQDVEACDFKGTAKIVKTNASTDGCFFPIALQNAAGFRVSIATGMSVFAALLTLLILF >KZM99376 pep chromosome:ASM162521v1:4:31662214:31669447:1 gene:DCAR_013262 transcript:KZM99376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREGRMDSVVFLNENLRGLKGLITCNNKNKASNNSFNCGGAATEDVINDSELALRKAGEAASRRYEAVEWLRKMEQCAREVLPKEPSEEEFCLALRNGLILCNVLNKINPGAVLKVVENPVIDVDATEGAAQSAIQYFENMRNFLVAVGDMKLLTFEASDLEKGGSSNKVVDCILCLKGYYEWAQAGGIGVWRYGGTVRITSLQKSPSTLFGSESADDSFDESGSLQYEDLLELLQLSSDVSLEESRMANDLSVMFDRFALALLQQAYLTDTDGLEDPPLNSMVIDTVLATVTKKLLAVISSQGNQLGLYCKKILKGDGLSVSKSEFLEAIRNYLHQRTSLVSSDISRLCICGGKYNGMWHGDLADKDRVNDLQRKQEELESSFRETKLEVQQAHLTWKQELDMLVNHTKSLEVAASSYHKVLEENRVLHNQVLDLKGTIRVYCRVKPLVSGQDDAKSTVEYIGENGDIMIVNPHKQGKDSRRVYSFNKVFGANVTQQQIYVDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDMTSQETWGVNYRALRDLFEISKERAEAIEYEVEIRNNSQLNGLNVPDASLVPVKCPQNVLDLMEIGLKNRAVGATALNVRSSRSHSILTIHVRGKDLVSGSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVIAALAQKSTHIPYRNSKLTQVLQESLGGHAKTLMFVHVTPEVNAIGETISTLKFAERVGSIELGAARSNKEIGEIRDIKEEISHLKLALEKKEAELEQLKKTNIRGPSPLRVPRQNITASLKPECSQQPVDNTKDSEASFRIRSCSTGKQRKYRLPSKFTDKDVLPKLSFLPEERSTCSGKPRSPSPPVRRSISTDRGALMRSRGKPDTTDNRLMKLQFPPKASVNKSFATILEIQSSETTRKGGSGSQENISDASSKFQKVKPRKFLSENEKDQFKQALNVKQGGIRKSKADGMEKVKHQLPAKVQKSDIAVNFLSDLNTGGTREEFQKSDSEPENEQRFPKPLTRGKFKAKKFRQDFIRNSQYVESRDPEQALDFALPGVNENKLSNNINWHPKEGSTPPKLECKRSRSSPRGKFVLLP >KZM99774 pep chromosome:ASM162521v1:4:34725334:34727257:-1 gene:DCAR_012864 transcript:KZM99774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLDCAVSSLLCSEETESIYFDECVEDLETSFHNKTIKNQIFEAKEESFYSLLPLQSDEAFDLMLKKECEHLPASDYGERLRNGVLDLKARQEAVDWIGKVNSYFNFGPQCAYLSVNYFDRFISAYELPAGKAWMMQLLAVACVSLAAKTEETEVPLLLDLQVCESKFIFEARTIQRMELLVLSTLKWRMQSVTPFSFIEYFIAKTTGDHIASTSTIYRSTQLIASLTKGIEFLEFRPSEIAAAVALSVAGDTHTVETKNALYELDHHLQKDKLVKCVELIKRSSPSFSAFRSSGPNGLIPSSLPRSPSGVLDAAACLSYRSDDSTVESCLSSSHYAENTKRRKLS >KZM97742 pep chromosome:ASM162521v1:4:17437340:17448768:-1 gene:DCAR_014896 transcript:KZM97742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIERSPSESWDMMLPGPPSRNNGGSSDLSPTGLLSYAAGSSVVVVDTRSMQLITVIPLPPPSNSSLSPFVTSVRWSPHQLRRDLLSYDPSTAASHLLLAAGDRQGRIALLDLRAKSPLLFLDTSDSKLGVQDLCWILTRPDSYVIAALSGPSLLSLYNTSTGRCFFKYDAAPELFSCIRRDPFDSRQVIALGLKGFLLSVKVLNDNSEDDVTLKELQVGTDTSELQRLERDNANGTNSNATSPALALYPTYFAKCAFSPHWRHILFLTFPRELVVFDLRYGTALFSASLPRGVAKFLDVLPDYSMELLHCAHIDGKISTWRRKEGEQVYTMCMMEELMPSIGTYVPPPSVLAVSISLSESTLQNVSKYCSNQSYTSPEVDFDNPFDFYDVSHIISKTHIISISDDGKIWNWLLTAEGLGEAPKGESKSSSNLENMSTVDSNASDPGLDSIKPLEDITITTRRLSNTTTNGEDMLFKISLVGQLHLLSSTVTMLAVPTPSLTSTLARGGNYPAVAVALVALGSQSGTIDIVDVAANAVAASFSVHNNVIRGLRWLGNSKLVSFSYSQGSEKIGGYINKLVVTCLRSGLNRTFRVLQKPERAPIRALRASASGRYLLILFRDAPVEVWAMTKTPVMLRSLALPFTVLEWTLPTVPRPGQMGQSKQSDHTAVTANASSSESKGVGPDETEDDSSESFAFALLNGALGVFEVHGRRIRDFRPKWPTSSFALSDGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGQSSSFNTHRDGIRRIKFSPVVPGDRSRGRIAVLFYDNTFSVFDLDSQDPLANSLLQPQCPGTLVLELDWLPLRANKNDPLVLCVAGADSSFRLIEVTMSDKKIGHTTQPRSLKERFRPVALCSPILLPTPHALALRMILQLGVKHSWFNTSTIMDKGHYKILQSGSSTGDLRSYMINSPPVGDSVVPELLLKILEPYRKEGCILDDERVSIYANVVNKGSAARFAFAAAIFGESAEALFWLELPGALNHLLNKIVSKSLQKAPISASTSEDEDASMLLRITSKGKSVRGKKNVSNFGQHRLMAFDQGELWESANERINWHEKLEGEEDIQNRVHELVSTGNLEAAVSLLLSTPPESSYFYANALRAVALSSAVSKSLLELSVKVVAANMVRSDRSLSGTHLLCAVGRYQEACSQLQDAGYWTDAATLAATHLKGSDYARVLQRWAEHVRHVEHNIWGALVLYVAAGALQEALAALRGAQQPETAAMFILACREIHAEFVSSLDSDEESGEPNKETLLNLPELNPDNEDVIAVGEYYGEYQRKLVHLCMDSQPIFD >KZM99304 pep chromosome:ASM162521v1:4:30960851:30963129:1 gene:DCAR_013334 transcript:KZM99304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILDSRKRTRFSGKVMLTASIVTLCIIYLKSSADFSSNTRFSVHEPGVTHVLVTGGAGYIGSHASLRLLKDQYRVTIVDNLSRGNIGAVRVLQKLFPEPGRLQFIYADLGDAKAVNKIFAENAFDAVMHFAAVAYVGESTAEPLRYYHNITSNTLVVLKAMASYKVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMSEDIILDYSKTSNMAVMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGLKIRGTDYKTGDGTCIRDYIDVTDLVDAHVKALAHAKPGKVGIYNVGTGKGSSVKQFVEACKKATGVAIKVEYLSRRPGDYAEVYSDPSKILRELNWQATFTNLEESLRTAWRWQKSHRNGYGSM >KZM98059 pep chromosome:ASM162521v1:4:20771665:20775897:-1 gene:DCAR_014579 transcript:KZM98059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKECNMSSGSSTGGENRDRVVCVMDASSRLGSALVHRLLTRGYSVNAAIQSHAKVQDFKGLICETDNTRLKVFHSDPLDYHSIVDALKGCCGLFYSFAPPSDHPTYDEFMAELEVMAAHNVLEACAQTDTIEKVVFTSSATAVIWRDRGDTLPSNFDERNWSDVNFCKKFKLWEGLSKTLAEKAAWALAMDREVNMVSINGGLLMSPDLTISHPYLKGAAEMYEDGVFVTVDLRFLVDAHICVFEDISSYGRYLCFNQVINCNNDAVKLAHKLLPSDESSVSQGMDDSRVFQQRISNKKLSKMMLWEGLSKTLAEKAAWALAMDREVNMVSINGGLLMSPDLTISHPYLKGAAEMYEDGVFVTVDLRFLVDAHICVFEDISSYGRYLCFNQVINCNNDAVKLAHKLLPSDESSVSQGMDDSRVFQQRISNKKLSKMMVNFESRPQAE >KZM99166 pep chromosome:ASM162521v1:4:29913422:29917319:1 gene:DCAR_013472 transcript:KZM99166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFTESFAAPQAPSNVRRDVETGETEQRSGELGLENFLEQVQEIDKQYDRMNSLLHKLQTANDDSKSVTKADSMKAIRQKMEKDVDEVSRIARFIKSKIEALDRENMEHRQKPGCGSGTAVDRSRTATTVSLKKKFKDKITEFQNLRQTIHQEYREVVQRRVYTVTGSRADDEIMGTVAEIQERHNAIFLDMAVLVDSQGDMLDNIENHVTNAVDHVQSGNKALRKAKSLRKNTRKWMCVGILILLIVILIVVVGVIKPWNM >KZM99750 pep chromosome:ASM162521v1:4:34499129:34501933:1 gene:DCAR_012888 transcript:KZM99750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLCHAEERMALLRFREGFEILKTASHGPSAYPKIQSWKLQGDGSTDCCSWDGVYCDQQTGHVDSLDLSSSFLYGSIDSESSLFSLSHLHSLNLADNHFNYSFIPSKIASLSRLLHLNLSSSVFSGQIPSEILKLSNLTSLDLSFNVNFSSLENLLKLETPDLRSLAGNLTNLEVLDLSMVNISSAIPDLMTKLFFLSTLGLRKCGLYGEIPIGIFQLPSLLILDVGRNRKLRGHVPEIVSSSLKLEELRLDYTDISGKLPDSIMKLKSLRILDINYCLFSGFIPATVSNMTTLTTLDLSRNYFSGKVPPLASMSQLSYLSLANNNFTGKISASFANLTSLTYLDLSTNKFSGIVPLWLMNLTYLTHLDLSFNQLMHGSVPKSLSQLQNLEYLSLSHANLSGTIEEDIFLSLKKLTYLQLSHNTLSLVDNNKTNTTLPQFKYLALSECNTRKFPSFLQFQDELEDLFLDLNQIEGLIPEWIWNKSRESMNSVWLGGNQLTGFDHNPGVLPWTRLRVLDLSDNMMEGPPPVLPASTLAFFASGNRMTGEISPLICNAKTLIVLEMSNNNLVGEIPSCLGNSSHDLMILNLKGNHFKGIIPEMSSEGLTMLDLSQNQLQGKVPRSLANCTLLQVLDLGDNQIDDSFPLWLGTLPELQVLILRSNMFHGTIENHTTNSEFPKLRIIDLYNNSFAGDLPLEHFKNCDAMKFKVDKLAYMDVIVLPSIAGWILSVDYTMTITNKGVKLLYEKVSNLITFVDLSSNKFTGKIPDSMESFKNLHFLNLSNNVLNGAIPPSTGNLTALESFDISKNILTGEIPPQLAGLGFLAIFNVSFNHLTGPIPQGKQFSSFQNDSYKGNMALCGSPLSRKCGEQPTPSPPLSSEEDSDSDPFLNVVDVIILSIGFGSGLVVGIVYGDKLATKCCKYVSICFFSKLLK >KZM96665 pep chromosome:ASM162521v1:4:3459049:3460892:1 gene:DCAR_015973 transcript:KZM96665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLSGLVVQDDRVTAKAAARRFSNPVERRVQYGSEMLVRREKRLEEGLERSEYSFTQVRRSDIGLPGNKAYLSEGYASSTPSWITSNLLPTRAAASAENGVPSSSFHARLPTFHASIVMRVIFQVSKCPSVCS >KZM96710 pep chromosome:ASM162521v1:4:4759768:4760850:1 gene:DCAR_015928 transcript:KZM96710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGKKPNKLITAKNSKLYMELKDIIREHALAFLPAKSLFRCQGVCRDWKLQISTPFFAHNQSLSYHGISGLFCQTHDNPPSFFTSDPKSCGVPDPSLKFLPVPVDIRSSSNGLFLCQDRSGDKTYYICNPVTKQWKSIPQQNSDHGSDPAVVLIFEPSLLNFEAEYKLVCAFPSADFDDAIEFEIYSSKEGSWKLSGEICFADRKLISRTGVHVNGIVYWKSRSGDVVSFDLIKDRSQLIQVYAGPSSLLGIMDGKLCTASSSGNTVSLVTLSNVHSNTMQIGSGARTWKDKYTFQLDHAALSVREPKRIVYVGNGVVLGHSGPVMYSYDMKKKETMIFPETPLYDARTVPYTSTLVYL >KZM97123 pep chromosome:ASM162521v1:4:11157651:11158620:-1 gene:DCAR_015515 transcript:KZM97123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISEADIDRSWYTGPVLSRSRTNIFVEYKTLMMTEKEEFDFQGDGLRLQRERVKGVWVPPLNEDKGCVRQEEPRKNWEQNGMMDGNKQYDVANRSVNPNMPDRPVESIDKNWIVGLWLLMVLQICLVVYMNISTFYLVLRDEPEQLLYAFPAMLAISGLCGVVVGSQLQWLVQRSEYADDVPWWCYGCTPSTRPRKSSK >KZM98017 pep chromosome:ASM162521v1:4:20402828:20411633:1 gene:DCAR_014621 transcript:KZM98017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTLFLYPLYPFLRPLSPPNFSTHLFIYNPKPLYITCHSTNSHQNKPHSQSTPTIEPGGSGAAAPTRGDIFLERQQALEASAKVMLETKKIKKKKRDKMSKGSGVDYSCYGCGAPLQTVENDAPGYVDADMYQLKKKHHQLRTVLCGRCQLLSHGHMITAVGGNGGYAGGKQFVTAEELREKLSHLRYEKALIVKLVDIVDFNGSFLARVRDLAGANPIILVVTKVDLLPKGTDFNCVGDWVVEATMKKKLNVISVHLTSSKSLVGIAGVVSEIQKEKKGRDVYILGSANVGKSAFINALLKMLSYKDPVAAMARRYKPIQSAVPGTTVGPIEINAFIGGKLYDTPGVHLHHRQAAVVHSEDLPLLAPRSRLRGQSLTSAQVPANDMAPEVIISNGMNGYSIFWGGLVRIDVLKVLPETCLTFYGPKAVKTCIVPTCKADEFYKKEIGILLTPPTGKQIVDQWSGLETHRELQINFEDANRPACDVAISGLGWICVEPVGKGVGVSSELNLEETEVAKELHLRIHVPKPVEIFIRPPMPVGKAGGDWYQPACDVAISGLGWICVEPVGKGVGVSSELNLEETEVAKELHLRIHVPKPVEIFIRPPMPVGKAGGDWYQYPDLTEKEEEVRPKWFF >KZM97113 pep chromosome:ASM162521v1:4:10940676:10961402:-1 gene:DCAR_015525 transcript:KZM97113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKWRKAKMALGLNSCLYVPKTSDDASPAAGTRVSDASLLSPTNPPADSPRPATPAPSSSGLRLPKHGSKSSKRICSICLTTMKAGQGHALFTAECSHSFHFQCITSNVKHGNQICPICRAKWKEVPFQNPASDLFNRRSRISRGVWPQDDGWMNVVPQIPHPRFDANRHSAPLLQAHEPGVFDDDELFAPQTEDTASHENTSTDDRSIGSIEIETYPEVSAVARSASHSNFNVLLHLKAPVNGGEQRSDADQANPLLISQNSRAPIDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSVIAFSSTARRLFPLRRMTNDGRQEALQAVNALISNGGTNIAEGLRKGSKVMTDRKWKSPVSSIILLSDGQDTYTVSSPRVNTHTAQQSLLPSSMRRDSGAGANIPVHSFGFGADHDAVSMHSISEASGGTFSFIEAESVIQDAFAQCIGGLLSVVVQELQVVVDCVHPTLYLNSIKAGNYKTNLTADARTGFIEVGDLYAEEERDFLVVLDIPVEKCTNDMTLVKIKCHYTDPITKESVTLEELRNVVIERPETTGELSVSMEVDRQRNRLHAAEAMAEARAAAERSDLTAAVSVLEHCRNKLLDTVSARAGDRLCVALGAELKEMQERMANRRVYESSGRAYVLSGLSSHSWQRATARGDSMDSTSLVHAYQTPSMVDMVTRSQTMFFGNPSSQFTARPARSFSTQPQPSFIWIQYSLCDVLPDSSSGNKWTCTCFSAYGGNQSAAHIPSCASSCNCSYATKRSEGYKWVCLCTWDELPRMSTDADHGTECFTSCNCKSGSTGGAVPTEKRESSKFLVLILLFSVVVTALVFVGLFVCYVYHRDKYPFSQHLSLSDKGTSYNSASHLISHNATSLPEFKVYISSPATRNTGIGGSSHVYLGHLKDGTEFAIKRMKAEREIGVENDFMTEIELISRLHHRHVVHLLGFCLENQGKHMERLLIFEYMLKGNLRERLDEATEEFLDWRTRVCIALGAAKGLEYLHEAAAPRIMHRDVKSTNILLDEKWRAKLQFKDEWNKMISDLGMAKRLRNDGLSSPSSSPARMQGTFGYFAPEYAMAGRGSLKSDVFSFGVVLLELITGRQPIQKSAGKEESLVIWATLRLLDRERVLLEFPDPKLQGNYPAEEIQIMAYLAKECLLLDPDSRPSMSEVVQILSSIVSGKSGGRNFSFNHIQPQSSSTPAIENEPDIEMPVKQTQISTEAEELRRFTSDQSPPPRCSLPSEKNVKKHLLHTSTRNISSEAEEAVDIVEPQFESFSVAHSSETLELHVSSRQKQLLRTQLEILIEEQIELAAMAYGNSRSVRFQDDPEFAKLPVVNGDNVIKVKFKIDGTQFAESTSQRGERETGRRGRSLKAKVLSRVFSEDYERVKKKILDPRGPIIHRWNKYFLVACLVSLFVDPLFFYLPVVKDNLCIDIGTTLEVILTIVRSITDAFYMIQIFVRFRTAYVAPSSRVFGRGELVIDSSKIAEKYLHKDFWIDLIAALPLPQVLIWIVIPSLRGSTMANTRNVLRFIIIFQYLPRLFLIFPLSAQIVKATGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSFCDIEGSSCKHEYFDCWKVTDPVRSNWFKSSNITTKCNSNADSYPFGIYGDALTSDVTSSPFFNKYFYCLWWGLKNLSSLGQNLGTTTYVGEISFAIVIAVMGLVLFALLIGNMQTYLQSTTVRLEEWRIKRTDTEQWMHHRQLPQELRQAVRKYDQYKWVATRGVNEEALLKGLPLDLRRDIKRHLCYDLVRRVPLFDQMDERMLDAICERLKPALCTEGTCLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVILPSSTRTVKAISEVEAFALIAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWGACFLQAAWRRYKKRKITAELKARENPAAAQSEASRDKKVPSPGSGFALYAARMTASTRRGVNIHPGSSTGVVNSLQKPEEPDFSVDEE >KZM98266 pep chromosome:ASM162521v1:4:22395132:22396458:-1 gene:DCAR_014372 transcript:KZM98266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVIDFSKLNGEERAKTLALIDKCCEEWGFFQLVNHGIPEELLERVKNVSSEFYKLEREENFKTSTPVKMLNELVESGSSGKVESVDWEDIFLLSDSNEWPSQTPGFRETMGEYRGELKNLALKVMEVMDENLGLPKGYIKKAFNGGEEDTAFFGTKKFLPKEPRFQAVKAK >KZM99406 pep chromosome:ASM162521v1:4:31838809:31842299:1 gene:DCAR_013232 transcript:KZM99406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDLPLEKLSLGSKDHYTGERTYVVLLSAGSFNPPTYMHLRLFELARDALDLEGFSVIGGYMSPVNDAYKKKDLLSAGHRIPMCNLACRSSEFIMVDPWEANQSTFQRTLSVLSRIRSSLCDNGLVPSANLKVMLICGSDLLESFSTPKVWIPEQVRTICRDYGVVCIRREGQDIEKLIASDDILNEYKSNIKIVDEIVPNRISSTIVRDCVSRGLSVKYLVPDEVIDYIKQHNLYTNST >KZM97902 pep chromosome:ASM162521v1:4:19280907:19283498:-1 gene:DCAR_014736 transcript:KZM97902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAIVSFAIEKLDDFLSRQVNTRVGVTDGVRWLKDELAYLQSSVRDAEARQELDHRIRQWINNIKEVANDAVIILERFSALEEDHAATKQGGMDRRLLSLICLCKKEASLYDIGKEIESLKRRVVEIKNRREEYGIANMLATLRGQQRKMSVLLRTTAIENQVDVVGFEDDFRALLAELMGEDSSLKIIAIHGMGGLGKTTLANKLYHSSELGHFKIRAKVCVSQEYNIGDVLRRIIRSFMGHDLDLWKMDEVDLLQHLRKLLDGGSYLVLIDDIWDLEAWEMIKKAFPDKKNGSRIIITTRNKNVAQRVDDRCLVHELRFLREDESWQLFCKRVEPAQNLEKLGKDMVGKCQGLPLAIVVLSGLLLHKKNYDDWSKVRDHIWRQLKGNSVEIQEILSLSYNDLSFQTRQCFLYLARFPEDQTFRVHKLKQIWIAEEFISEADEGDGIVMEDVAEDNLNELINRNMIQIVTLLWDGQVRECRVHDLVHDLAVQKAKEEKFLAIFDSSKQQPSSLLQGQPRHTINNGIGKYLESLGPSPDDLKLRSLALIYGTRRVELEEVKLVCMRFKYIKVLDMLSVSSRWIPEEIGDLVLLKFLGLMHGISEPLVIPPTIGKLKRLQTLCGSLSYEYKFPREICDLKELRHLNFLNFSQRLARGSLKIGNQQVKLQTLDIWYEDWIQVNTVNLTNLRTLVITDASIKGGAYNLDSISNLKSLQKFFLEFHTTPIPTIKPLSSCKRLKSIMFTGRINDPSELRFLPDSVMVLSLYGSEFTEDPMFILGSLPNLTGLDLDDVYVGQKMVCSPNSFQCLRLFELRNLPNLEEWQVEDGALPSLKGFELEYCDKLKIIPEQVKCVPPIPAIYYN >KZM98846 pep chromosome:ASM162521v1:4:27346118:27346354:-1 gene:DCAR_013792 transcript:KZM98846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTCCILDASMAQGWIVEGGDDEEELSQDSNITSEEIGTEVTRRNDRELDEEDFESEDETNDNENLTFDFEDYDQQGW >KZM97889 pep chromosome:ASM162521v1:4:19038730:19041485:1 gene:DCAR_014749 transcript:KZM97889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDATISFAIEKLSDFLTQEVNIRLGVRDGVRWLKDELGYLQSALNQELNPLIRQWINNVKDVANDAVIILERFSTLQEEEDAAPRKSILGCLRSSICMCRKEAKLYDIGKEIESLRGRVVEIKNRRDEYGITNILANPIVQQRKRTVLRATSFENQVDVVGFEDDFKTLFAELVGEDPSLKVISIHGMGGLGKTTLASKLYDSGKLNHFNCRAWVCVSQEYNIKDVLRTIIKSIMDHEPTNNLDNMNEVDLLQHLRKLLLGRDRYLAVIDDIWDIEAWEKIKKAFPDNKNGSRVIITTRNKIIAQRVDDRCFVHALRFLREDESWDLFCKRAKPLKNLEQLGKEMVGKCGGLPLAIMVLSGLLLHKNSYEEWVKVKNDIWRQLKGESAEIQDILNLSYYDLSFQIRQCFLYLARFPEDHAFKVNNLKLLWIAEEFISEADEEDGVSMEDVAENYLIELINRNMIWIEKWYWGEKVHTCRMHDLVRDLAIQKSKEEKLLGIFDSSKLHTSPIRLLQEQPRHVIYNDYGKYSKLLGPGFSDLKLRSLAITSTLASEDMKLICRFKYIKVLDLTNGISNIIPDEIGDLVLLKFFGLMRSNGGTLVIPPTIRKLKKLQTLCSLGYSGYKLPVEICELKGLRHLRFQNNYVTEVTRSMKISSHQTELQTVDGMLFENWIQIDTLNLIHLHTLTILTSSTEGIAYSLDSLANLSNLQSFILKFNSGVIPTIKPLSFCKRLKAVTLHGTIGDRSELGFLPLSVTNITLTGSKLMEDPMPTLERLSNLIGLDLDAVYMGKIMVCSNDALPCLKYLIIRNFRNLEEWLVEDGALSSLKNFETSGCEKLKKYPVKRVSFGSYIATVWYL >KZM96872 pep chromosome:ASM162521v1:4:8094123:8099851:-1 gene:DCAR_015766 transcript:KZM96872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWVVHSVYSYIAKDERERDLTNFLILPILLWRMLHNQIWISFSRYRTAKGNNRIVDKTIEFEQILFNGTLFYLGNLTLKGGSNLPFWRTEGVIIAILLHAGPVEFIYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVVHPFAEHISYFTLFAIPLLTTTLTETASIASFVGYITYIDFMNNLGHCNFELIPRRLFSIFPPLKYLMYTPSFHSLHHTQFRSNYSLFMPFYDYIYGTMDQSTDTLYENSLRRAEESPNVVHLTHLTTPESIYHLRLGFASLASKPLSTSHNWYMRLMWPVTLWSMMVTWFCGRSFVVERNVFKTLKLQTWAIPRYSIQYSLLRQRAKINSLIEEAILEAEEKGTRVLTLGLLNQASSVYCEEVNRNGELFIRRHPQLKVKLVDGSSLAVAVVLNNIPKGTTKVSLRGNLSKVCCSVAIVLCQRGIQVSVSDEDDYIMLKEKCSTEVQNNLTLSRDFSQKIWLVGEGVGKAEQMKASKGTLFIPVSQFPLQKLRKDCFYHYTPAMSTPKHLENLDSCENWLPRRVMSAWRIAGIVHALEGWDVHECGHMMFSIEKIWQATLQHGFRPIAMPTDASKKL >KZM98367 pep chromosome:ASM162521v1:4:23310896:23313397:1 gene:DCAR_014271 transcript:KZM98367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPLTYLLLASFLAGIFAADILPGDSISAGDTTKTWTSPNNTFTLSFIANSASPNTFLAAITFNNIQIWSAGAAAVDSTATLTLLRSGNLQLVNGSSNGVVWQSNTSRLGVSKASVEDTGELVLKNGSDKVVWSSFDNPTDTIMPGQNFTVKHELRNGLYSFKLLDNGNLTLLWNRSTMYYNSGLNSSASINLTSPSLRLESIGILSLFDPKIVGSVIVAYSSDYAEAGQQRYVKLDDDGNLKIFSAAGGTATQRWVAVSDICQIYGYCGRLGICSYNGSESKPVCGCPSRNFDPIDPKDGRKGCKRKVEISDCPGNATMLQLDHTRFLTYTPELSSQVFFVGISACRLNCLVGPACGASTSLADGTGLCYLKNPDYYSGYQSPALPSTSYVKVCGPAQPNPTPASLSDNKDKEWKLRAWIVVVVVLATLLVLVLLEGGIWWCCCRNSPKFGALSAQYALLEYASGAPVQFSYKDLQRAAKGFKDKLGEGGFGAVYKGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVFEFMKNGSLDHFLFTTEGQSSKLLSWENRFNIALGTAKGITYLHEECRDCIVHCDIKPENILLDENYNAKVSDFGLAKLVNPKDHRYRTLTSVRGTRGYLAPEWLANLPITSKSDIYSFGMVLLEIVSGRRNFYVSSETNQKKFSLWAYEEFENGNVEAIVDRRLLGHEVDMEQVRRVIQISFWCIQEQPSQRPMMGKVVQMLEGIMEIEKPPAPKSMTEGSISGTSITASSNISALSTFAASAVAPSSTSSLQTAGATSFPSGKNVERVSSSLLREEAD >KZM98929 pep chromosome:ASM162521v1:4:28058664:28060081:-1 gene:DCAR_013709 transcript:KZM98929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRFTYVVITMFIGMAIPFFSGLLGFFGGLALSPTSYFIYAMPVFDMIETYLTKQKKFRPSIPLRMAVRFTYVVITMFIGMAIPFFSGLLGFFGGLALSPTSYFLPCIIWLNLVKPRRFSISWWMNWVCISYDLGFPTITLLFVTVFS >KZM99206 pep chromosome:ASM162521v1:4:30219125:30221284:-1 gene:DCAR_013432 transcript:KZM99206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCIAAASTISVRPNPKAALNISPKSRQTLAASATPFFNSAALRKSFQSLSVSSRSPRSLVVKASELPLVGNVAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFDKINTEILGVSIDSVFSHLAWVQTDRKSGGLGDLNYPLVSDVTKSISKAFGVLIPDQGVALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQFVQENPDEVCPAGWKPGEKTMKPDPKLSKEFFAAV >KZM98294 pep chromosome:ASM162521v1:4:22634464:22634742:1 gene:DCAR_014344 transcript:KZM98294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHHRRRTKCLNSILLLDVSFVLMAILTAAADGSRINSHVFNYKSSSSSSIPPSSSSIGFLGFLPRRIPLPTSSPSRKHNDIGLQSWTSP >KZM96692 pep chromosome:ASM162521v1:4:4501064:4506621:-1 gene:DCAR_015946 transcript:KZM96692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILLRLLPLNKLPNGVVSFQRSIFRNLNPNVASTIISRYSTGPMATIWDKWEYDLSCGAASTGTKVSAKIMDGKSVAQDIQDKMTVEVSRMKNKFGVVPGLAVILVGDRKDSATYVNKKKEACESVGINSYEVCLPQDCSEEELLEHISSFNDDHAVHGILVQLPLPSHINQRYILNAVCIQKDVDGFHPLNIGRLAMSGREPLFVPCTPKGCIELLHRYNVDIKGKHAVVIGRSNIVGMPAALLLQREDASVSVVHSRTKNPEEITKQADIIISAVGKPNIVRGSWIKPGAVLIDVGINPVEDSTDPRGYRIVGDICYEEACKIASAITPVPGGVGPVTIAMLLLNTVTSAKRIHNCN >KZM99682 pep chromosome:ASM162521v1:4:33954360:33957208:1 gene:DCAR_012956 transcript:KZM99682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEAWKPISMGFKRRWWDCIALPDDVDSCDEAAFRMQIKQLAYTSLKLLKEAIFDKELFSLDIYGSLIGMFELNNLDLVVASPVEDYFLYINELPESEKKKAEQVTKPFLNALGDDYSVCCQGTAFFPLQSCMNHSCLPNAKAFKREEDRDGQATIIAVRTIRKGEEITISYIDEDLPFEERQASLADYGFSCRCPKCSEEQQ >KZM99749 pep chromosome:ASM162521v1:4:34492628:34495417:1 gene:DCAR_012889 transcript:KZM99749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLCHVEERMALLRFKQGFEILKTASRMPSAYPKILSWKSQGEGSTDCCSWEGVYCDQETGHVDGLDLSSSFLYGSIDSESSLFRLSYLRSLNLADNHFNYSLIPFKIASFSRLSHLNLSSSVFSGQIPSEILKLSNLTSLDLSFNVNFSSLENLLKLETPDLRSLAGNLTNLKVLDLSMVNISSAVPDLMTKLFFLSTLGLRKCGLYGEIPIEIFQLQNLLILDVGRNRELRGHVPEIFDSSLKLEELRLDYTDISGKLPDSIMKLKSLRILDIHYCLFSGFIPATVSNMTTLTTLDLSRNYFSGKVPPLASMSQLSYLSLAHNNFTGKISASFANLTSLTYLDLSNNRFSGVIPSWLVNLTHLTHLDLSYNPMKGSIPTSWSQIENLEYLNLFHANLSGIVEADIFLCLKKLTVLQLSQNNLFLVDNNRTNITLPQFKYLSISNCGMKEFPYFLRFQDELEDLFFDSNEIEGLIPEWIWNKSRGSMDSLWLGGNQLTGFDNNPSVLPWTRLRLLDLGDNMMQGSLPASTLTYYASDNILTGEISPLICNAKSLILLQLSYNYLGGEIPSCLGNFSNDLMILNLKANNFSGVIPEMSPKLKKKVSNLITFVDLSSNKFTGKIPDSIESFRNLQSLNLSNNFLSGSIPKFTANLTALESFDISRNNLTGKIPPQLAGLGFLAYFDVSFNRLTGPIPQGKQFDLFQNDSYKGDMALCGPPLSRKCGKQAPLSPPLISEEDDDSDSFWNVVGVIIASIGFGSGLIGGIIYGDKLATKCCMYITIRFLSKFVK >KZM96647 pep chromosome:ASM162521v1:4:2894297:2894464:1 gene:DCAR_015991 transcript:KZM96647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVYRVIVINQQKVIVSLDRTLNDTLLQAVKGDIIGLIMIQIQAESLCKDKDY >KZM99876 pep chromosome:ASM162521v1:4:35510896:35517151:1 gene:DCAR_012762 transcript:KZM99876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVTLTFSANVAHNLVQSAVTKPGGRRLFHDIATPALFTKPPGRDEPNDHDDSDRSAFASLAREVFLESSKSKNSPISIGLSSVLRSKRSGLAVGAFGVSPIKAASMLPFLQGSKWLPCNEMSVRADVDKGGTRGEDMKIGCDGIDFERSNWLSKLLNCCSEDAKAAFTAVSVSLLFRSSLAEPRSIPSASMAPTLDVGDRILAEKVSYVFRNPEVSDIVIFKAPSILQKKGCSPDHVFVKRIVAKAGDYVEVRDGKLVVNGVVQDEDYILEPVAYDMEPQLVPEGHVFVLGDNRNRSYDSHNWGPLPINNIIGRSVLRYWPPSRISDTIYEPYAGQKAFDVLDAISVKRKWNNQDVEVTNLDLDKAEYAVVNNYDVSLRLGSISEFEYKLRDSEVSEWKKVGEFGGDFERLVEDVSSKGVVDEFEIEGPFELCASGDHRFQLILPMNSSHAGLKRVIVSEGITVKVKSALQVSLFNTYDLGHQENGSAFVNSKREKAWWSLGNSVCLSLLPINIVGSASLVAYRTWNPDAHIESNFLFGETIELLPEKCYISHTYRKHGCPVDSFKSRIVLLEKVMQGLLSDKISQDSGLGFFKGKVRASTLFRFHLEVKRDIKSNDTMWTTLAEWRTRPKFEHLTFEIVAKYEAQRLKPLMMKKVGPFDGVDTYPWSTLMSNISFTKYPSVLVPPETLTLDVRW >KZM98582 pep chromosome:ASM162521v1:4:25221644:25225867:1 gene:DCAR_014056 transcript:KZM98582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRFVMKSSAAVKTVSPLPFNHIICRTFAGKRVKEEKPEVELPDYNDVVPTSGISRPLSEILKEINKRVPDSLVKLRTESNGFSVKYIPWHIVNRILNLHAPEWSGEVRNITYSADGKSVSVVYRVTLYGTDAEIFRESTGTASLNEAGGYGDPVQKAEGMAFRRACARFGLGLHLYHEELL >KZM99643 pep chromosome:ASM162521v1:4:33672605:33679470:1 gene:DCAR_012995 transcript:KZM99643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRRRQCIPSCSSRQRVDEDDIFWTKRKDDDKLAWSQNSAQVTSDSVPCFSNAMVGPRSWIGGLFNNKLFGGGKSTEITLNPVQAARLQRLQERMSMPFDETRIDHQASLLALWKIAFPNVPLSGFISEQWKEMGWQGCNPSTDFRGCGFISLENLLFFARTFPACFFRLLFKKGGKRAAWEYPFAVAGINVSFMLIQMLDLATGKPKARPAINFVRILGEDENAFDILYCVAFAMMDAQWLAMEASYMEFNDVLRTTRIQLERELCLEDIKRISDIPAYDLLFR >KZM98791 pep chromosome:ASM162521v1:4:26925878:26926959:-1 gene:DCAR_013847 transcript:KZM98791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCNKEEAAVFCPADEAALCDACDHRVHHANKLAGKHQRFSLLQPSSKQFPICDICQEKRAFLFCQQDRAILCKDCDISIHKANEHTKNHSRFLLTGVKLSATSDIYPSPSSSSVTNKGDTVTSFKKSGNLITKSHPITSPLTDSKASKIANSQPIVNGDGPTSSISEYLEMLPGWHVEDFLDSSSAINGFSKTSDNDLLPFWDDDVDKNLKYFSSENTGIWVPQAPPPQQQPHFDHSSNMAFGSQICFKDPKDTTTNIRYSKRWIDDGGFTVPQISPSISSKRSRTLW >KZM98623 pep chromosome:ASM162521v1:4:25548384:25549802:1 gene:DCAR_014015 transcript:KZM98623 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MAISEHGGGGAHILVFPYPAQGHMIPLLDLTHHLALRNLTITILVTPKNLHFLKPLLIKHPSIQPLVLPFPPTDSIPDGVENVRDLPSGGFMYMMGALVHLYDPIVDWFRNHPSPPVAIVSDMFLGWTNRLAGELKISRYVFSPSGVMALSVIYNLWRHIPKRNDPRDENEVIEFRDIPNYPSYEWWKLSPLFRSYVEGGPHSEVLLASNVGNIESFGLIINSFTELERGYLDYMKKFLGHDRVWAVGPLLPSEEERVGRGGANEILAGEIKSWFDQFEDATVVYVCFGSQAVLTNKQMEMLALGLEKSGARFLWSCKEPTKGHKVGEYGIIPSGFEARVSGRGLIVRGWAPQVAILSHPAVGAFLTHCGWNSVLESIAAGVPMLTWPMGADQFANADLLDELKVGSRVCEGERTVPDSNKLARLVASSVSDENGAPIARAKVLSKAAQESIGKVGSSYKALDNLGDHFSKP >KZM99659 pep chromosome:ASM162521v1:4:33812322:33816590:1 gene:DCAR_012979 transcript:KZM99659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFYKRATSVFTKHPVVTKLLVVATVSSGGVVVYSGARPFNGAYADAGHAAGKRVNKKKVVVLGTGWASTSFLKNLKDPSYEVQIVSPRNYFAFTPLLPSVTVGTVEARSIVEPVRSIANSNGLDVEYREAECFKIDAENKKVLCRSTQDSKVGKKEEFEVDYDYLVVAMGAQVNTFNIPGVVENTHFLKEVEDAQRIRRSVIDCFERASLPSVSDKERERILHFVVVGGGPTGVEFAAELHDFVHEDLAKLYPTLKQYVKITLLEATDHILTMFDKRITEFAEDKFKRDGIELKTGSVVMKVTDKDISTKERSTGEIQSIPYGMVVWSTGIGSRPVVLDFMKQIGQGNRRVLATDEWLRVQGTQSIYALGDCATINQRKVMDDIDKIFKKADKKGQGSLKVDDFKEVVNDIIERYPQVELYLKKKQLNNFVQLLKNSQADEELDIEKFKSALSEVDSQMKMLPATAQVAAQEGKYLATCFNRMEECERNPEGPGRFREEGRHRFKPFRYKHLGQFAPLGGEQTAAQFQLPWDWVSIGHGSQWLWYSVYASKQVSWRTRFLVVSDWGRRFIFGRDSSRI >KZM98605 pep chromosome:ASM162521v1:4:25372219:25377008:1 gene:DCAR_014033 transcript:KZM98605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVIGASTNRVSPRGDRNAATKLHAESFSIEEIHKHNLIRNNNSADTGSSPRKIIDKDRDRDRDPIINVDDDSTHHKLSLTTKSVLSAADILKTLFFVLVWYTFSLFLTLYNKTLLGENMGKFPAPLLMNTFHFGMQAILAKAITWIWSSRFQPPVSMSWKDYFIRVVPTAIGTALDINLSNASLVFVSVTFATMCKSASPIFLLLFAFAFRLESPSVKLLGIILVISVGILLTVAKETEFQFWGFIFVMLAAFMSGFRWTMTQILLQMTVYLLVS >KZM97737 pep chromosome:ASM162521v1:4:17353090:17359828:-1 gene:DCAR_014901 transcript:KZM97737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFLEQLAKIKTLLTTSASTAASHHQISEKALAYSTLLHLQEQATDDPALIQSLADSCNYLISFILVDISSHDEEIAAQALKCLGFMIYHPSVVSVISANDASKILEILEKLITTTHIKSVCNLGVWCISIQQLNLSFLADKHHSLLRAIIHALDNPIGSLSTTFEAMQAVVKLTTQLSDMMKDTSHIWAPPIYRRLLSIDRRERDMAQRCLLKIKSTIYPPELALAKVVSIDMKRKLLPTMKELLSQGLKIQVLQAWGWFICLIGPYAVKNRHLINEMLKIPEQTFSDLDPQIQIASQVAWECLIDALIQPPKQAPETSSGHNIDKAKNPLESNEFETDKLLKRIKLIMTPLTGIMSSKCDVSVHLSCLKTWCHLLQNLDTYVNCPSVFKFVWEPMLEIVFRIRPDNKNMLLLSICTDLLDNFASTRSKDLRYDLNDQISSLLSSEIQIPGPLFSGELSWKQCSVKWLPWDLTQLDFYTNMLDILISHSSAISIAPEIKCLLCAAALRIFRTVLRGVKIILMDSSITYEETMVCIRKIVMFVNKICEVAVDDSENNHLHRISLQFVKAVTEELDAFILGSPLYKIALDSKFVNNLRTVSDFRRGKMPGTLSVDHLNMVTPIVCLTVCYMCVLIRLTLDVPEAGFAIQEMSGYLRSILSSYSPLEILQAINSLLYNHLVSECLDAWVVVANCLKDYLDSVKDLSVLETESDKHGYTVLCHFLCYPVAVFYISQRQSSPAKTNGSLQSSICLKAQRKHGLEIVVENWRLLYVSVNKASQPKCFSSKSFTEDLCSMLNWWLDERRDNIDTDSELIQIEKVRDYEIFYLCGEIVISVFEQSMISEKKGGTTTGEIGSSRTSTTGEIGSSRTSNSINNILQFTSRYVSLPWAKVPSTASIDLAVVSRVFSTLVHFLDCLFFQEQIISFMEIMGQPLCEWISHPELQDESSRYQLQLLWVKMLNSLRSSWPPINFDSSFLKLQAPLLESTLDHPNNAISKPTMKFWNSTYGEQTPLNYPRSLVPILDKLSRNGAIKLCRASHFKLDSIQGYKVTTTPNPGSKRVELAEGTQKNCHHNNSSLKRKTPELTEHQKEVRRAQQGRVRDCDGRGPGIRTYTSVDFSQANEESQESQEIRNADAILEMLRKDGKLA >KZM99067 pep chromosome:ASM162521v1:4:29172547:29180909:-1 gene:DCAR_013571 transcript:KZM99067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAHQFAQCTTCHAWSPDHSMIAFCPNSNEVHIYRLLQEKWEKMHVLQKHDQIVSGIDWSISSNRIVTVSHDRNSYVWNQEGADWVPTLVILRINRAALCVQWSPRGNKFAVGSGAKTVCICYYEQENNWWVSKLIRKKHDSSVTSVAWHPNNILLATTSTDAKCRIFSTFIKGVDKRSSGAGSSSDAKFGEQIVQLDLSFGWAFGVKWSPSGKTLAYTGHNSVIHFVDDIGPSPSAQTVAFRDLPLRDVLFVSERMVIGVGYDCNPMVFAADERGIWSFIRFLTERKTASAGVKYGSQLSGAFGKLYGQSKYSTGNDTVQVSIPDGNVHENCINCIMPLNKAKDSTTMRFSTSGMDGKVVIWDLDNQLDLHEYI >KZM97202 pep chromosome:ASM162521v1:4:12171743:12173239:1 gene:DCAR_015436 transcript:KZM97202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTLAMEQTSSFKGRINKNYSPNDGEKYWDWIHSVDATLGLLRSRPRDASTYRVPTKLRAIKHEAYTPQTVSIGPFHRHKPELRAMEEFKWRYMLAFVDRVVKADVEKTQRKELDGVSSEHSPEILALDKCSTIVTELEEEARAWYAEDINLDKHQLVEMLLVDGCFIVELFLRCKVTDMQTTNDDIRVAPQGQVPFVSLAGNFTMVQTLAHDLMLLENQIPYVILQQLFNILQGSKQTSNDMSLSEYAIWFFNSAPMLHYKFLEIIIPIDDTCTHLLDLLHDACFFSFKKLPSNYYHKNWGFKRCATELLQSGFKIVCGNRLLIVDIAFDEGEIAIPQVIIDKSSDTLFRNLIALEQTSIGRQAITSYVKLMSSLIRSPEDAYLLERAGIIITSDEVEDASSFFKSLCREVFFVDFYFEALCEEVEYYYIPLWRWRRVKGYFSIMWFRWKDSIKDLEREYFRNKWSFIAFFAASFVIILALLQTFYAVRSYYPPYH >KZM97870 pep chromosome:ASM162521v1:4:18817086:18818566:1 gene:DCAR_014768 transcript:KZM97870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNHPTQKPITKYFTLRDLWPSFENWSAYGAKTKVMVNREEVDQFFAPSLSAIQIFTNKPYESSVRNRGNNISFPSLYSSHMNISLQSDDYYLKPYFEFAEKVSPYNRTPLFDTVENLSKSNPGLQTLTSTDLTPSSWMYPICQIPNIGIPIKDFEAAFLTFHTISSFYQDFHQEDMNSSGVQSSPSISLPPFGCATYKVQGDIWFNHGHSDYGRLSHLNKAAESWIRQIGFEHHDFNFFTRQTGHHHVGAKPSAEPSAELYFPCVPNFSGFWP >KZM98416 pep chromosome:ASM162521v1:4:23775896:23780004:-1 gene:DCAR_014222 transcript:KZM98416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSCEEDQDSYKIAVLPDQSTTIRQGESKVYLLNGLGAPQALERSLSSDAVFSGQNKVKGNMENVDVYFPCIADVDLEKGRSEKPITKIEPIGSGNIENPSTKTMQRQISIQMGEKIMQMLMDKNLVLPKFDFRDKTVPERVHDMPNNRLRKYKRSTSFNARKVVLLFSVLSSLGTMILIFLTLRVRHLADGSLHG >KZM99874 pep chromosome:ASM162521v1:4:35499048:35501492:1 gene:DCAR_012764 transcript:KZM99874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQQKMERGGMRATLFVFVTTVLESIAFVGNAASLFIYFYGSMNFSLTKSATMLTNYMGSAYLLSLVGGFVCDTYLSRFTSCILFGSIQVVGYGILATQAFIDDLRPARCKDITLLLMNKCEKADDGQVLMLYAGLYLVALGVGGIKAAVPSLGADQFDEKDPEEARHIPTYFNWYFFSFVIGAMIGCTFLVWVNTYRGWDWGFLVSTLCLVAAVLFLSTGFSFFRHSSPQGSPLTRIAQVFVAAFKNRNLSRPVTTEGYHEISNLKDGTGTEILKKTNQFKFLDRAAIIRTDSSNSGPWSVCTVTQVEEAKIVVRMLPIIGSTIFLNTCLAQLQTFTVQQANTLDRKVIGIHVPSSSIPVIPLIFMFIAVPIYDRICVPALRKLTGIPTGIRQLQRIGVGLVLASISMVVAGYVETRRKRLAVHHNMVDSPNPLPMSVLWLGLQYGIFGMADMFTLVGLLDFFYSESSAGMKSLGTAFTWFSSAIGYFLSTVIVNVVDDVSGGWFRSNNLNRDKLNYYYYLFAGLSTLNFGFYLLCSSWYRYKDVEVNEIEGESKVELGSV >KZM97296 pep chromosome:ASM162521v1:4:13275894:13276469:-1 gene:DCAR_015342 transcript:KZM97296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLRRLVDAEPGMAPASVSIRPNHYINETKFDTNMVIILAALLCALLCALGLNSIIRCLLRCTHRFGTETPQQAAARLATTGVKKHVLRKIPIAIYGSEVKFSSTECPICLAEFVQGEKVRVLPKCSHGFHVKCIDTWLESHSSCPNCRRSLLERSTSRVADESCAAQSLESNSSRQQQASTVNVVVTQV >KZM98123 pep chromosome:ASM162521v1:4:21248174:21256856:1 gene:DCAR_014515 transcript:KZM98123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFHFPAFVSFHPHKNLSFSPPFKIYSYPTSQISTISASLSKKPRVRKKVRSDEDLCSDIREFMSEFRFPQDHLPSFKELSEHGRQDLAYIVRRRGYKFIKELLATSVNMKTVENDLAGKVDIGAAVNTNQQDEVDDSVEAVNLSSKFPITEDGSDGITYGSEFHMDRKGFTSVESSNESSLQEQVANFIQNGELSMIEDSDLSVAEGQTVFESEDTTEELSSNQTDAAKTLDTDNESLSQQVETSSSESSRRKDFFPTDDGLSSADHSDNSDIEHQKELELSELKQHIEKEKLALSLLQNRAETEISTAQKLVSDKDAELQAAEQALSGLQEVQIQYEGPGESVEVAGSFNGWHQRIKMDPQPSSTPNIVDVMRRRSFTLWRTVLWLYPGTYEIKFIVDGHWKTDPERESVTRGTIHNNILRVN >KZM98063 pep chromosome:ASM162521v1:4:20812118:20816559:-1 gene:DCAR_014575 transcript:KZM98063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGSSDPEKNPLSVPKSSGEVSQLREPLISSEDYSLRAVIAPFLFPAFGGLLYGYDIGATSSGTISLQSESVSGISWYGLSSVQVGLITSGSLYGALIGSLLAFKIADFLGRRKELLLSSTLYLIGALITALAPNFVVMVIGRLIYGIGIGLAMHAAPMYIAETAPSHIRGQLISMKEFLIVFGMLLGYTVGSLLINTVAGWRYMFGFGAPLAVIMGIGMWWLPCSPRWILLCALQGKGNMRELRESAICCLCQLRGKAVTESAAEQVDDMLAELSDISEENDVKLGDMFQGKCLKALTIGAGLVLFQQITGQPSVLYYAGSIFQSAGFSAASDATRVSILLGLLKLFMTGIAVLVVDKLGRRPLLLGGVSGMVISLFLLGSYYTYLDNAPAVAVGGLLFYVGCYQLSFGPIGWLMISEIFPLRLRGRGLSIAVLVNFGANAVVTFAFSPLKEILGAGILFFIFGGIALLSLVFIFFIIPETKGLTLEEIEAKYL >KZM99882 pep chromosome:ASM162521v1:4:35555758:35563001:-1 gene:DCAR_012756 transcript:KZM99882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGMELKISGSNRCMFVRFCTARPRFTFLFVKVLLVLVWSAAGYALSQEHELLWHDSEKGTKNIKSHSCIHDQIVEQRKRPGFKVYSISPQVYKEQHISKPLRRNGRALLEASEFSGKQDNAKQPIRIYLNYEAVGHSPDRDCRNVGDIVKLGEPPLNSHSDTPSCNPHGDPPVSADCWYNCTLDDIAGEDKKCRLRKALGQTADWFKRALSVERVRGNLQLSGYSACGQDGGVQLPREYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAESETLLSATLIHEVMHVLGFDPHAFTHFRDERRRRRIQVTEQVKDEKIGRMVTRVVLPRVVMHSRYHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYDMADHLDWGHNQGTDFVTSPCNLWKGAYHCNATQVSGCTYNREAEGYCPILNYSGDLPQWAQYFPEANKGGQSSLADYCTYYVAYSDGSCTDIKSARPPDRMLGEVRGSSSRCMTSSLVRSGFVRGSTAQGNGCYKHRCINNTLKVAVDDVWKVCPEHGGPVQFSGFNGELICPAYHELCKKDPVPVPGRCPNSCHFNGDCIDGRCRCFLGFSGRDCSKRSCPSNCSGHGKCLQNGVCECEKGRTGVDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTISSNLLICKDLLGKDASMQHCAPSEMSILQQLEEVVVKPNYHRLFPGGPRKIFNFFRGGDCDGAARRLACWISIQKCDKDGDNRLRVCRSACQSYNKACGASLDCLDQTLFSNEDEEGLCTGYGSLDRGYSVF >KZM99871 pep chromosome:ASM162521v1:4:35469327:35473868:-1 gene:DCAR_012767 transcript:KZM99871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFGGRFYWGRRERGEEVQGLVVIYAWMSSEEKHVKSYVDLYASLGWNSLVCHSQFLNMFFPEKGASLASEVLHELTEELKVCPGPVVFASFSGGPKACMCKVLQMIEENCAEKANRDEYQVVRDCISGQIFDSTPVDFTSDLGTKFVLHPTVLKRSRPPLIASWIAHSLKYTADKFFLYRMESLRAEYWQTLYSTIRMGAPYLILCSENDDLAPFQTICNFAQRLIDLGGDVKLVKWSSSSHVGHYRRYPVDYKAAVTELLGKAAVMYSQRIRQLEGGKMGFGGTHDEVRESVAQLGDAAVSSSQTFQRRVALELNDHFFVPGSVEYDGDKVLGSVHNEQKERYVTLTKPPVIKAHGILGQALFDVCVPTDVENWDIKPSSFLKPPSSYSRKSSPFNPIKCIRRSRL >KZM96848 pep chromosome:ASM162521v1:4:7608767:7610362:-1 gene:DCAR_015790 transcript:KZM96848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSKSLCFLLFLTFSCASSSELPEDENPSKKFVKCLNRSFRDISDLVYTQQNPNFTQTLLSTINNLRFAEPDTPKPLVIVTPVSEPQIQTVIFCCKKHDLQMRIRSGGHSFEGLSYVSNVPFVVLDLVKLKTFSFDAATETAWIGSGLTIGELYYNIAQQSDVLGFPSGLWGSVGIGGIISGGGYGMMKRKYGLAADNVEDARLIDASGRILIRQAMGEDLFWAIRGGGGGSFGVVTSWKVKLVPVPRNVTVFRVFRTLEQNLTNIFHRYQSVAPKFPKELDIRTDGQSILSAASPRSDNRTMIFRFESLYLGGIESMLSTTTQFFPELGLVRQDCFEVKWIQAMLYFSNFELNTPPEIFLNPSVLLRINHKSRNDLVRAPIPVQGLEGLWEIMHEMPPQQAGVQFTSYGGRMDEISESALPFPYRNGSLYEFNMFVLTDTDEAARMEWIRSLGAYLTPYVSQNPRGSYVNYVDLWLGTNNLNGTTSYAQASRWGKRYFNNNFDRLVMIKTVVDPGNFFRHEQSIPVTSV >KZM97707 pep chromosome:ASM162521v1:4:17087653:17092056:-1 gene:DCAR_014931 transcript:KZM97707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSDPKVLVDSGKTQIYNYIDGASLTEPQSLKHTYKQRAGLGSDNTSHRGLGFSEELGRIPSVPNSSQNCVPEEESSLSSLSFEEVETDFTYGDELSEGDDLLARIPSTEKNSGFLSLGGLKLYTEDISCSESVEDDDKVSDGESMESFESVESSGSSENDGMSDADSSIDEEVAEDYFVSIQGSKRFVNSDLLVGKFCHVIDDGISGSNDSDALQKFGGNHFQDASKECSLKKPQLGRKCSAKPGISCTNAWFSSLDDLMAMKDYKTVSKKKKHGSRFPLSRPSEAGKSKNFRRSPGKEKKLHKETIAHKRRERMIRHGVDLEQINKKIRQMVLNGDDILSFHPMHSRDCSQVRRLAALYCLQSGYQGSGKKRFVTVIRTESTCMPSASGRVHLKKLFRASEEDASIKGDRKLAKRGSKGLERGYAPYKSFKKPVDDSGTKEVEGKKKSKDKHSYAAQPVSFISSGIMHPESEIRTLNATETASTHHDKNNVTHSSSHGAFELHTTGFGSKMMAKMGYMEGEGLGKDSQGRAEVIEVVQRPKSLGLEANVHETSITSSVSSAAKAPKRVNKTPHKESQQFAAFEKHTKGFGSKLMAKMGFVEGTGLGRDCQGIVNPLVASRLPKSRGLGATGYN >KZM98793 pep chromosome:ASM162521v1:4:26949084:26950500:1 gene:DCAR_013845 transcript:KZM98793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFFTLAGRDQEQEDHKNNSIANSLFLFRNEEIYDKNFELWQQYYQLHHQPKQTHQDVDLSLVGCSSGSSNPRPSTIHHNNLNAFTITTEDEPSLVYNRVANNNNNRSPTNSSSGFGVMMRPSGGNYGGGNDGNNNNMGSSSSIGTINCQDCGNQAKKDCMHMRCRTCCKSRGFQCQTHVKSTWVPAAKRREKQQQLLLGGSLQQQQNYQQQNQQLSLIRGESTTPKRPRENPLACTRLPTHTSGLEVGHFPAEVNSPAVFRCVKVSAMDEADEQFAYQTAVNIGGHVFKGLLYDQGAENSSHRYGVVGESSSTVAAIQQPLNLITSGTSTGPTTTNNPPGVTWIDPSIYPTPLNAFMAGTQFFPPPRS >KZM99638 pep chromosome:ASM162521v1:4:33637770:33638756:-1 gene:DCAR_013000 transcript:KZM99638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAKLNMELIEKAKVRTTTFLTRKKNLTKKAKELATLCGIKTAVIINPPRQVTPQGRPLKLETYPENDDVVLELIDKYKGQSSEDRKKRTSLLPCFFQERNRKVQRALAKLRSTNVRSKYPTWDLRFDNFMEEDLRNVAVFLENKIVDAKGKLGQMKANVSNSNNIYNAYLLQQQQMMLTRERSMEVGNQNGINYLFTINPPQTGFMQVPVPMPMPIQQQNFAFLDHYQDQRMVTFGHDYIGGAPNIEYNANSSTPLYNYPMMTGDSITYANNSLRDPQNYSDEGRQPFAHHQMENHHMRLGSTPHQMVATSHQVDAHAQFDENHIE >KZM98700 pep chromosome:ASM162521v1:4:26237668:26242293:1 gene:DCAR_013938 transcript:KZM98700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAALVAIVATIGNLLQGWDNATIAGAVVYVKKELQLGSTAEGLVVAMSLIGATLITTCSGSISDRIGRRPMLILSSTFYFVSGLIMLWSPNIYTLLLARLLDGFGVGLAVTLVPLYISETAPSEIRGLLNTLPQFLGSAGMFLAYCMVFGMSLMESPSWRLMLGVLSAPSVIYFLLVVFYLPESPRWLVSKGKMTEAKRVLQKLRGREDVSAEMALLVEGLAVGGDTTIEEYIIGPANELTDDQDPDAEKEQIKLYVPEEGLSWVAKPVTRQGSIGLASRHGSMVNQGRSLVDPIVTLFGSVHEKLPEAGSMRSMLFPNFGSMFSMADPHVKPEQWDEESLQREGEDYTSDAGGEDSDDNLQSPLISRQATEKDVVPPPSHGSVLGVRRHSSLMQGHAGEDSMGIGGGWQLAWKWSERQGEDGQKEGGFKRIYLHEEGIAGSRRGSIVSLPGGEMAESEYIQAAALVSQPALYSKDLVNQHPVGPAMVHPSETVSQGVVWTALLEPGVRRALVVGVGIQVLQQFSGINGVMYYTPQILEQAGVEVLLSSLGIGSESASFLISAFTTLLMLPCIAVAMRFVDVAGRRTLLLTTIPVLIVSLVILVIGNVFDLGTVLHAIISTICVIVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALSYWICDIIVTYTLPVMLTSIGLAGVFGIYAVVCVISWFFVYAKVPETKGMPLEVITEFFAVGARPVDDAKAE >KZM98015 pep chromosome:ASM162521v1:4:20390960:20393841:-1 gene:DCAR_014623 transcript:KZM98015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNIVPSYKTIGYILVVSTLIVLLSIQKAQKATSDDRDDELKESHLSSVLGEKIEQENVPEVLGEKDEAFKWTNAMLSWQRTSFHFQPQMNWMNDPNGPLLHMGWYHIFYQYNPDIAVWGWGNLTWGHAVSKDLINWFHLPIALFPDQWYDVGGVWSGSATTLPNGEIIMLYTSRLLNLTQLQSVAYPANISDPLLLDWVKYSGNPVMFTPKDIGHEDFRDPSTAWLGQDGKWRIAVGSMVNNTGLSFVYKTSDFNNFELLDGLLHEVQGTGIWECIDFYPVSLTTNDGLDTSANGVGVKHLIKVSLQKTMKDYYAIGTYDPIKDKWTPDDPKEDLGNGLLIDSGKYYASKTFYDQSKKRRILWGWVGESDSQSTDLLKGWASLQGIPRTVVFDKKTGTSIIQWPVEEVESLRSESYDFDKLKLEQGSVLPLNIGSASQLDIVATFDVDEEALLSMEEAEGDYNCTSGGGAVSRGVLGPFGFLVLADESLTELTAVYFYIGKGIDGRAKTHFCADQSRSSSASDIEKLIYGSDVPVLHGEKLSMRLLVDHSIVESFVQGGRRVITSRVYPTKAINGAAKVYVFNNATGTSVTASVKVWQMNSANFKAYPLTGDQL >KZM99796 pep chromosome:ASM162521v1:4:34923757:34926271:-1 gene:DCAR_012842 transcript:KZM99796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPSVLVGNNTLKLEPDFKKHLPTTSLSVQQKGNYNNKTATTQLVESYESVSLDFREALSVIQDGSSGFESNVYIPILQECMNNQSVSQTQMIHAHIIKTGTFEDLYLMTFVVNVYGKCGAMDLARKLFDDLPKKNVVTWTSLMSGYIQSSEPMVAIRVFSEMLEDGTYPTNYTLGVVLHACTLLLSVKLGEQLHGFIVKYQVEDDTSIGNALSCLYSKCRSLDSAVKAFSRTGDKNVISWTTAISACGDNGDSVMGLSLFTEMLYDGIEPNEYTFTSVLKVCCFMQAMGVGSQMEFEPNEFIWSNLIAGCRSHGNLELAYYAAEQLLNLNPKDCETYVLLLNMYLSAGKYQDVSRVKKRMKIEKVGKLKDWSWISVRDKVHSFKPDDRLCPPGGEVDLMLADLLEKARTIGYEAQGSFGVTDEEGLEQTTSKIIHHSEKLAVAYGLLNAPNESPIRVIKSISMCSDCHSFIKLISVITEREIIIRDSKRLHKFVNGKCSCGDFGSLM >KZM97786 pep chromosome:ASM162521v1:4:17798448:17798929:1 gene:DCAR_014852 transcript:KZM97786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCCAGKCGCGSGCKCGTSCTGCKMYPDMINGNASETLIDGLAPQMNYMEGWESDMGAENDGCKCKCGEKCTCNPCTCK >KZM96579 pep chromosome:ASM162521v1:4:1116622:1118254:-1 gene:DCAR_016059 transcript:KZM96579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRLHDVVICSNEAECEEPVINGTADGRSGGPKRRAGGAALNEFLENRLSSMKSQNSQCRIASTPLGTIDTNISQVSSIDTNTCVEGGKKHRGHGPSVDKIFKHIHVGSHSEVGADKENSPSNGLAADNRVQKRRGRGPGINNVINSMHASHSPLGVYTPGHKDKSDILLTGTEAKRRRGPGIKSLIYTPLTTPEDSNPIASGSGIHIHTSEQTPPTAHQNNSVSIRRRGRGPGINNIIANLDTTPYSAGSLAKETITPMNTVTVEQSVTNNSTGVNTSRRSG >KZM96610 pep chromosome:ASM162521v1:4:2094819:2095869:1 gene:DCAR_016028 transcript:KZM96610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEELAGQGLLEHRLIPRYDNSDDIEHGVKKRWCCNISDSTIVELKKQVCLAGPLVLVSLLQYSLQTIPVMFVGHLGQVCLSGASIATSFAGVTGFSLMLGMGSALETYCGQAYGAKEYHKLGVHMQRTMLVLMLMCFPISILWSYTGNILTFFRQDVEISIQSGIYAFWLIPAIFAYGLLQCQFGFLQTQNNIRPLVISTGITSLLHLVICWTLVCGFSFGSSGAAICSGIAYWFNVLILGMYIKFSPTWTGCSIEGVKNLSSFLALGIPSSLMLW >KZM97857 pep chromosome:ASM162521v1:4:18504352:18507376:1 gene:DCAR_014781 transcript:KZM97857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKVGFPDHFASVRRKIVNDTVVTHDNATVAKMLDSVIKDPVANVSQIGLNGTFVSDSVKRGDLEGAHVGNSSDLVKDESFGGDGNVNVEKSIEGKVSGSLVGESVGLADDLSSSDGTSSVSGDELVGSGEGLRFRNGTNLISELKFEQLVLHFEQLHFKLGTHLKMVQLEEATTSFHGGPEDEEEPTPLWKLILVAAIAAGVQFGWALQLSLLTPYVQLLGIPHTWSAYIWLCGPVSGMLVQPIVGYYSDRCTSKFGRRRPYIIGGACFVACAVVLIGFAADIGYAAGDNLSKELKPRAITVFVVGFWILDVANNMLQGPCRAMLADLCKDDKARMRSCNVFFSFFMAVGNILGYAAGSYKNLYKIFSFSKTDACDVYCANLKSCFIIAIIFLMTMVAVATSLVAEKPITVDEIEDDSSKGGIPFFGEIFGAFKDLSRPMLLLLLVTCLNWIAWDPFLLFDTDWMGKEVYGGTPNEGKAYDRGVREGSLGLMLNSAVLGLTSLVVEFTIHGVGGVKILWGVVNFILTFGFAMMMVVTEVASNQRKYGPDGEPLTPSAGIRATALFIFAILGIPLSDLILIPVNQVTYSIPFALASIFSADSKAGQGLSLGVLNISICIPQILVSVTSGPIDEAFGGGNLPMFAVGMVCALVSGILAMVLIPKPEDNAIDLTLTPVRQY >KZM97148 pep chromosome:ASM162521v1:4:11508067:11516870:1 gene:DCAR_015490 transcript:KZM97148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPSQSDAENEAEQQPDSQNSLPPSHHPNAPPDELFDVLTTVDPSYVISLIRKLLPPRTTSSVVTCENGDKTCDTVVLEAEGECMEEGGSLRQENEVQDVCNDEHESMETVNAFGEAGGPGASDERLSEQDKHEVALVGEKAWEEYGCILWDLAANRTHAELMVQNLILEVLLANLLVSQSARVTEISLGIIGNLACHEILQNNISKTEGLIEIIVDKLFLDDIPCLSEACRLLTLCLQGIEGLPWAEAIQPENVISRILWIAENSLNPQLIEKSVGLLLSVLECQTEVQSLLLPGLMNLGLPRILMNLLAFEMSKLMGERVPERYPVIDLLLRTAEALSVADDYSQELCSSKELFRLLIDLIKLPDKIEVANCCVTAAILMANMLTDAVGLVMEISQDLLFLGCLLDLFSFASDDAEARKAIWSIISVLLQFQDVEVTPSILQQHVSVLVINSDLIKEELFDHELEDSNINHESLTNHAVLNPRTTALRRICNLISRWRTLKDHGNGNGITEKDYDDKDVDKLLECCYRFAK >KZM99079 pep chromosome:ASM162521v1:4:29269670:29275864:1 gene:DCAR_013559 transcript:KZM99079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPDSVETSTSSPANPTPTQPSIYIHPRREPFEHGLVPIPKLIFTDAFQTLAAHKVKLISLANESNHRVNSAAIAETLQISPENARLVIEIIASVLHSESDPLVNAKPSEIESVGVDVYDLILFLYIQSYKRLLPRGHRDSPAVTDVWPSTSAFDGFLSALSPLQLVRSNSRKFMPSQADEEAHQLSYLQKHLGNLVSLLSDSTEGEGDDSLVLKMENFEHLGFLIFFGEKGSENVPLCQNAPFFANSDPDMPAVPVPAPQVHDWLLENISSALEHIAERVSTKENGPTSSSDPDVPMADVSPISAKSTTFTRGPSFIEGISKSSCLKRESDLQGSSVKVVNCHESVIYILAPLRYATLYGCSDTTIVLGAVGKAVRVEHCERVHVITAARRICIANCRECVFFLGINQQPLIVGDNHKLQVAPYNTYYSQLEDHLNQVGVDTTLNRWAEPLALGIVDPHDSLSHPVGVSDVQTESASPVDPDLFTSFLIPNWFDGESSGSTKDNPFPLPDAYVKSQQKNHENLGELKNALKETQLEDSKKRELSTALHASFKDWLYGKDCSLMVG >KZM97230 pep chromosome:ASM162521v1:4:12555763:12557346:1 gene:DCAR_015408 transcript:KZM97230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRSDVAGKERLKWTQELHDLFEKAVNQLGGPDIKIRFSPGATPKGILKAMGIPGLTIYHVKSHLQKYRISQFVPETPNKSKFERRSISEILPNFSATAGAQLNEALQMQVEVQRRLSDQLEVQKNLRLKIEAQGRFLTKIGEEYKTRPDINAKPSKSFSPVSLPSLCDESESDNKEPAESDSDIEIYDTRTTDTSRGSKRLRVDQKDASPQRHKKLTPESCGQQGMLVPKPPRTQCEPPEFGSPWNAVVYCQAPLMPAASYGSFT >KZM97476 pep chromosome:ASM162521v1:4:15069836:15071760:1 gene:DCAR_015162 transcript:KZM97476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYDPIFSKSFSRFEQQKIGRFAFLACAVIALSICTVFKPQYHVRIVPSISLQFPRSVGGVDTAVIKDRNISEPLQYLSVGTDAEVDNEATRDTLQLSANDGVNARRAEKDVIASNLVKSSTNDGVKERQVAKDTSSSVSLLEANGGNVKDTYITKDTTGTKPLQSSTGEGVKDVVASNEALAPEPIGLEAKLIKLVCSLSEPVSDYCELEGDIRIHPNSSTIFVVSHRTDSSFQIGTSWVIKPYARKGNEGAMESVKSWTIKVISSHQTPPSCTADQSIPAILFSLAGYSGNHFHDFSDIIIPLFSTSRKFDGEVHFLATDYKAWWSSKYRGILGKLSKHKVNDIDREEGIVCYKSMIIGLKTRKELGIAQSSNIYQGLSMKDFRDFLRSAYSLKTKNVTKMRKGTTEKPRMMIISRKKSRTLVNENKITKMGENMGYEVIVADATLSTDLSKFAELVNSCSVLIGVHGAGLTNMVFLPDNAVVIQIVPLGIEWYARRDFEEPALDMNIRSVYLDRQNVILDVRRFRRTLSKAFKLLHQ >KZM99393 pep chromosome:ASM162521v1:4:31774331:31778647:1 gene:DCAR_013245 transcript:KZM99393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIITEDMIKQFQKMLDDIDGSLKMTFENMHQGYPGENLCRFLKARDGNISKAQKMLLDCLDWRIQNEIDDILAKPIIPADLYRAVRDSQLVGMSGYTKEGLPVVAVGVGLSTYDKASIHYYIQSHIQMNEYRDRVILPTATKKYGRHINTCVKILDMTGLKLSALNQIKILTAISTIDDLNYPEKTDTYYIVVRPLLQERTRKKIQVLQGCGRDELLKIMDYASLPHFCRREGSGSSRHSRTEKDDNCFSLDNTYHQQLYDFVKRQAELKDRVAPVKQGSFHVDFPEPAPDDAKIAETIQSEFQRLGDQSKLSKSLSNLDINGH >KZM99219 pep chromosome:ASM162521v1:4:30316992:30320173:1 gene:DCAR_013419 transcript:KZM99219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLQFSCSLIFLLLCSALCLSYAAPRFPGSVIKPEQISSSTVAKSENELYKTKYFTQILDHFNYSPQSYHKFQQRYLINDTYWGGAKKNAPIFVYTGNEGDIEWFTQNTGFMFDIAPQFKALLVFIEHRFYGKSIPYGGNKEVAYANSSTLGHLSSTQALADYATIIIDLKKNLSATDSPVVVFGGSYGGMLAAWFRLKYPHVALGALASSAPILNFEDITSPYSFANIITQDFRSESENCYKVIKGSWQKIEDTAKQPGGLDLLQKSFHICKNTIGADSLSGWLSTAFVYTGMTDYPTPSNFLNPMPAYPVKQMCKAIDNPVHGNDTFAKLYAAANIYYNYTGHAKCFDLDDHSDPHGLDEWSWQACTEMVMPTDGSNEESIFPVSEPSYKDWAESCHDYYGVWPRPNWITTEFGGHDIKRVLKRFGSNIIFFNGLRDPWSGGGVLEDISKTIIAIVAKEGAHHVDLRYATKEDPEWLKEIRSREIKIITKWLSQYYTSLFHLSR >KZM98772 pep chromosome:ASM162521v1:4:26785911:26787285:1 gene:DCAR_013866 transcript:KZM98772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEEAGGVGKMKGNAEIDTSAPFRSVKEAVALFGERVLAGDVYHANRLQQMNRARGEVDHVPLKINTMTVELEETKENLQKAIDEGMIMATCLSSLQKELQQTKRELQKLKQIDLYSKYQSILGDQVEEDVKHVEDVTKFEVRKSETINHEKEAEFQKKKYVRFANEPCLTKFIVPQEEDPVLERHPSLRKKKKKPLIPLIGGIFSRKKGNKEFQLHRMGTS >KZM96894 pep chromosome:ASM162521v1:4:8421257:8421472:1 gene:DCAR_015744 transcript:KZM96894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSIQLLNNRVYNEGMSSESPPLSQAHEATNKMKKAKCRSKNLTIQQYMLLISAWEDVSLDPIQGDNQT >KZM97471 pep chromosome:ASM162521v1:4:15013399:15014710:1 gene:DCAR_015167 transcript:KZM97471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKEIRAKASEVVGDEDTIYYMKDGVTPDKGYESLIYDEEIERVARVSRFTGVEGYELEDVGGVYPTSADMKNKKFTARKRYLSKTQDKGKGKSVVLEEDGDNDDDYVAEMDEQEDETDDDSGYVEEEDEEEWPCSDTELADMRRMRAQLREENDALEKSQSQARRDSLKGLSGQRNDSSLLKEPIYNNELEEEEDYAYAEPAVAQKHKAGAHELEGYVEQARQKRGGKRKVTTEDDLEVEKELERNEDEAMTGEAEMMEEALRMSAEEVFTPPLPSSQQPTQVPANSPSNPLDAAAGGVKLQGAVNRPKRRGTRLLFIQERSFSLS >KZM97078 pep chromosome:ASM162521v1:4:10543465:10545083:-1 gene:DCAR_015560 transcript:KZM97078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIAFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTIKPGMVVTFGPSGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVSVKDLKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMLPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKAVEKKDPTGAKVTKAAAKKGANKFGLLASDGLPLQFSSLVREGIGGIEQQVA >KZM97974 pep chromosome:ASM162521v1:4:20024216:20025873:1 gene:DCAR_014664 transcript:KZM97974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWQIPPSPSPSPASPVPAPIDLANKGPYITLPNPEPVDTETCDRIFMTCHLKRITACVGLQGSMLIVRNDDTSSLKVNVTIFPVNVTYKEIDIPRHQTKKINISGNFTKRSSILLDAKNGQCIIHMRGSVNTYITLISGACTVFSVVLIIAGTWTCLKFWKRRQHPEGVAYQELEIGHSKLVSSANVEAAEGWDQVWDDHWIEEEGV >KZM97767 pep chromosome:ASM162521v1:4:17632146:17633073:1 gene:DCAR_014871 transcript:KZM97767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENRGNPLQLKSLNHISVVCRSVSESLDFYQNVLGFFPIRRPGSFNFDGAWLFNYSIGIHLLQSEDPGRMPKTSPINPKDNHISFQCESMSAVEKKLTEMKIEYVKARVEEGGVYVDQLFFHDPDGLMIEICNCDNIPVVPLAGESLRMCSLVSCSVQQKQLLDTISALHIEDDHFLP >KZM99172 pep chromosome:ASM162521v1:4:29963229:29963969:-1 gene:DCAR_013466 transcript:KZM99172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPKQRHQLPVNSGSAQETDGEHDWVIVKKQKVTILIPPLPVTKKSAMPNPKQNQLQDKLGNSVNVQSPPLIKPNFWRHSDTNGEKSVSITREKTIPDFEKPFASQTISPAPCPLKPDTLQPRIGSKFPVVQYSGYKRAVGVRSASKLKRKTKSFRDGNLRLHQSLRASNLERKLRRAGGLSRWLTSLGLDQFVTFFESRRVSKFQLVNLTMKKLKDMGVAAVGPRRKLMHSIDCLCQPHCFVAL >KZM99091 pep chromosome:ASM162521v1:4:29348357:29349505:1 gene:DCAR_013547 transcript:KZM99091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMPVDPQGKKSEEVLQRVPVSKPPFTVGDIKKAIPPHCFKRSVLRSFSYVVYDLAIASVLYYVATNYIQLLPHPLAYIAWPIYGYVQGCFLTGIWVIAHECGHHAFSDYQWLDDTVGLVLHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKPKSELQSFAKYINNPPGRVLTLLVTLTLGWPLYLLFNVSGRHYERFACHYDPKSPIYSDRERLQILVSDAGVLAVSYGLYRLVVAKGLAWVLCVYGGPLLVVNGFLVLITFLQHTHPALPHYNSSEWDWLRGALATVDRDYGILNKVLHNITDTHVAHHLFSTMPHYNAMEATKAIKPILGDYYRFDDTPVFKAMWREAKECLYVEPDEGDQGKGVFWYNNKL >KZM97663 pep chromosome:ASM162521v1:4:16668319:16670165:1 gene:DCAR_014975 transcript:KZM97663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSSSISALVFLLLPLLLQFYSGKCELQLNYYSESCPQAEEIIKQQVHSLYYKHGNTAVSWIRNLFHDCIVKSCDASLLLESVNGIQSEKISTRSFGMRNFKYVNTIKAALESACPATVSCADIVALSARDGAVMLGGPHIEMKTGRKDSKGSYLTEVETFIPNHNDSMSSVLSTFQAVGVDAQGTVALLGAHSVGRVHCLNVVNRLYPSVDPSLDPKYAEYLKGRCPNPQPNPVLVEYARNDRETPMILDNMYYKNLLNHKGLLSIDQELLSDPTTSPYVQQMAADNTYFHDQFARALLILSENNPLTGEDGEVRKDCRFVNRK >KZM99214 pep chromosome:ASM162521v1:4:30300973:30301883:-1 gene:DCAR_013424 transcript:KZM99214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLEGKVALITGGSSGIGECTARIFVQHGAKVVIADIQDELGHTVVEALGKSNAIYVHCDVTNEDHIKDAVDKAVSAYGKLDIMFNNAGISDPNKPRILDNLKSDFERVMAINVTAVFLGMKHAARVMVPARSGCILSTSSLSSNIGGAASHAYCASKHAVLGLTKNLAVELGQFGIRVNCLSPYAMATPLARSFVGIQDDEILEGVMNSLANLKGVTLKTEDVANAALYLASDEAKYVSGQNLFVDGGFGIVNSAFKLFQYPEDEPLA >KZM97045 pep chromosome:ASM162521v1:4:10067716:10068297:1 gene:DCAR_015593 transcript:KZM97045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARLADFGLAKQTTEGESHLTTKVAGTYGYLAPEYALYGQLTEKSDVYSFGIIILEIMSGRKVLDTSSTSTRLLITDWAMDLVKSGNVENIFDDRMGENVSKAVMERFVHVGILCAHVMVALRPTISEALKMLEGDIEVPTLPDRPLPLSHESLKYIPEFSISTLGISGGRSSLDTSGSRLSIDTSKPRSSI >KZM99822 pep chromosome:ASM162521v1:4:35149469:35155207:1 gene:DCAR_012816 transcript:KZM99822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQVRERETGVINSKLFTRRVKSDRVSSLQLSNHKEIVSAHRGAINSLQVDLTEGRYLLSGGSDASVAVYDVQRATEYVAGGLVAKHKNILLVDKQHEHGHKYAVSSAIWYPVDTGLFVTGSYDHFVNVWDTNTTQVVMNFKMPGKVYKTAMSALSTSHMLIAVGSEDVQVRLCDIASGAFSHTLSGHRDGVMSLEWSTSSEWVLLTGGRDGAIRFWDIRRAGCFSVLNQSHTQLGRRPPFLQRVSTNKASTSKSSLASVSSKSKTSQRKHVNGNGSKQSSAAKKNVIQEKASAGKRLHPGLISSRDRSTAHYGAVIGLKMTSDGQYLLSAGSDSRLRLWDVESCCNTLVNFDIVRLQTSKPMQLAVSPDPDLVFVPCMSTVKAFSMWSGQTMLSFRNHYDNVNSCWYNEQDQELYTGASDRQILVWSPFKSNLEHEGVAPDQDNWSD >KZM99663 pep chromosome:ASM162521v1:4:33826678:33826971:-1 gene:DCAR_012975 transcript:KZM99663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLNRVWAAATVAVVNGHTDHGGQTLKSGLRSIQHGRRRFSSSSSSGADHVDVRPISSIAGADHGAAIMNCGGDEVRKQADDSLRQVMYLSCWGPS >KZM97801 pep chromosome:ASM162521v1:4:17981653:17983403:-1 gene:DCAR_014837 transcript:KZM97801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLRFLELKAKRTHRFVVFKIEEKQKQVVVEKVGEPTESYDDFAASLPADECRYAVYDFDFVTAENCQKSRIFFIAWCPDTARVRSKMIYASSKERFKRELDGIQVELQATDPTEMDIEVIRSRAN >KZM97257 pep chromosome:ASM162521v1:4:12876316:12881735:-1 gene:DCAR_015381 transcript:KZM97257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLKPASLSFLLLCLLVISAAQRSPWKTLSGDPPLAVARGGFSGIFPDSSMNAYTLALITGLPDMVLWCDVQLTSDGAGICFPELTLNNGSDIGALFNESSNTYLVNGVSRTGWFSLDFTLDALVNVSLTQGVFSRSNLFDSSLFQIVTVEEVARQLKPPSLWLNVQHDAFFSQHNLSMRSFVISASRSVIVNYISSPEVNFLRSIVTRFKPSPTKLIFRFLGQSDIEPSTNQTYGSLLKNLTFIKTFASGIIVPKTYIWPTDKDLYLLPHTSVVLDAHKEGLEIFASDFANDIPFAYDYNYDPVAEYLNFIDNGNFSVDGVLSDFPITPSEAIDCFSHMDKNNSGPAIPLVISHEGASGEYPGCTDLAYKQAISDGADVLDCPVQMSKDGVPFCLGSINLIDRTTAAQSFSNLVVNVPELNTEGIFSFNVNWSDIQTLKPVISNPDLGAFLYRNPRNKNAGSFVALSDFLALANNATSISGVLIRIENASYLAEKQGLGVVDAVVDALSKAGYNNQTNKKVMIQSSNSAVLIKLKEDKNNYEFVYEVEEDIRDALNSTILDITKFANSLVINKNSVFTKNIGFLTGATDVVSKLQAFKLPVYVQLFRNEFYSQAWDFFSDAYVELNSFVAGAGIDGVITDFPGTANKYRRNPCLSLGKDTPSYMTPVGPGSLLTVSETQPPAVAPSPVLDVSDVTEPPFPSVAAKPDSDTGATAPPPQQPSGQAKVVAGIFLSNIAIILATVLLI >KZM99523 pep chromosome:ASM162521v1:4:32725614:32728760:-1 gene:DCAR_013115 transcript:KZM99523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMGFFPLFLVKWLFLVGIVWSSLCPGSASQACHPVDLLALKDFAGNLTNGSIISTWSNDSSCCNWDGVFCRETDNLSDARRVIMLNVSDKGVQGVISHAIGNLEHLKLLDLSHNYFEGELPAEFSKLKQLEFLDLSHNVLTGPAFHALAGLESIRAVNLSSNSFNGSLFSFVDFRNLVALNLSNNFFSGGFNSQVCSSSKKIQFLDLSMNHFTGGLEGLGNCSSSLQQLYMDYNSFSGSLPEALYTMSSLEHFSVSANNLSGPVSQNLSKLSRLETLILNDNQFYGPLPDVFENLTQLKHLVVHSNSFSGLLPSTLTFSSNLRKLDLRNNSLSGTINLDFTRLPNLCELDLGTNHFEGALPESLSSCQKLKSLNLAKNELSGEIPENYANLSSLSILSLSNNSFVNLPKTLSIMQHWKNLTTLILAKNFRGEDLPNNISGFHNLKFFALGNCALKGQVPAWLFNCSKLQILDLSWNHLNGSIPQWIGHMESLFYLDFSNNTLTGEIPKSLAELKSLISANNSILCDQIGIPLYVKRNQSANGLQYKQASSFPPSIILSNNRINGSILPDIGKLKQLHVLDLSRNNISGTIPTSISDMENLEVLDLSSNNLYGSIPSSFNKLTFLSRFSVANNRLRGAIPTGGQFLSFSNSSFDGNPGLCGTLISPCGAASSLEHQPKFSTGSGSKLGRGTILGITVSIGVGVAILLAFVVLRLSKRNMGDPVECFDDDFSRPNRLSGAFGSSKLVFFQNSECQNLTVADLLKSTNNFNQANIIGCGGFGLVYKAELPSGIKAAIKRLSGDCGQVEREFQAEVEALSRAQHKNLVSLRGYCQHGTDRLLIYSYMENGSLDYWLHERIDESSHLTWDTRLKIAQGAARGLAYLHKEPKIVHRDVKTSNILLDERFEAHLADFGLSRLLHPYDTHVTTDLVGTLGYIPPEYSQSLTATFRGDVYSFGVVLLELLTARRPVEVCKGKNCRDLVSWIFQMKSEKRVVEIFDPLIWDKDIEKQLLDVLVIACKCIDHEQRRRPSIDQVVSWLDVVGDEGNLQ >KZM96832 pep chromosome:ASM162521v1:4:7303812:7305340:1 gene:DCAR_015806 transcript:KZM96832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLVKHISKYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEENLIIELHAVLGNKWSQIAAQLPGRTDNEIKNLWNSSIKKKLRQRGIDPNTHKPLSEIENEEKASASNTKTMDKASEESYELNFVEAENSNQEMSMEKPKPPQMNASKQIYSQLIDNSFNRISTPPSTHEFFLNRFIANHEASTSKPNSDLSTLFSFQQLQNYKQNSDIGLSVDSSTSNFFFNQASKSSEMISDFNSMTPSIHLSSISNSYKLPTSLPLDDDMNHDSTMRPFHSLRGVQNWDANTLANSGGSNNESSTANTNTVSYFDHNNNGFGWNGAIGKMEKDHHQNHRVNSLEGVENDEMKWNDYLQTPFLLSTSMQNHTSQDMYNETKPETQFLSPPWHHHHQNQQQETSTPDVYSKNFQRLSATFGHFS >KZM97229 pep chromosome:ASM162521v1:4:12539056:12551724:1 gene:DCAR_015409 transcript:KZM97229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCLQNVCKPGSDGRRLVALKFVAATILLYTPDPSGSSEPPSNHSYEEKFDGFNMAWLRGGHPVLNVGDSSMEASKSLGLLLDQLRYSKGKSLNNSAMIVLINSLSEIARKRPALYGRIMPVLLALDPSSSANKGMHVLGAHYPLKNAFFACLKCSHPGAAPWRDRLVGALREMRAGDMAEEALHELCQVNGNVGYKNKAPDEEEKPSLDPVDAMDTDIRRKRSGTPDASDLAAEDDISGKRARQTPEASIDQCKSSSSAVSTSRGDGDNGPVQQLVSMFAALVAQGEKAVGSLQILISSISADLLAEVVMANMRHLPSVRPKDEELELDKELHTTYGSKDSQFKQLSSFLRDILSPSSPSPILDARPSASNNLENPKLEEQEVMTPIYNDVGGPLITETAVVTVPTDIPISSSEGVPSVNDVSLAIAPEVPDIGFPENGIPGLESSVHSYGLPETLAVSSVVGTDLEDASQDQAISLGRSSQERIPSISTDRSEELSPKAVCTDATSINSSTATSLRLPSQLVLPKMSAPVISLDGEQMDNIQKMSFMRIVEAYKHIAVAGGSQVRFSLLAYLGVALPLDVDPWKMVETHVLSDYMSHQGHELTLRVLYKLFGEAEANADFLYSTNATSVYEMFLLKVAETLRDAFPASDKSLSRLLGEVPYLPKSILKLLECLCCPGNNDKDEKAVHSGDRVTQGLSTVWSLILLRPPTRDVCLKIALQSAVHHLEEVRMKAIRLVANKLYPISSLTQNIEDYAKEMLISVTSTDKTNAVGLNLESQKEHLNASVSEGTVPKDVSSDAHQLNTCESSSLSSVSEMQRCMSLYFALCTKKHSLFREVFVVYNSMSDVARQAVHGQIPILVRTIGTSSQLLEIISDPPTGSVNLLMQVLQTLTDGTVPSPELISTIRKLYDSNLKDAQILIPVLPFLPKHEVLLLFPHLVNLPLDKFQGVITRTLQGSSQSSPVLTPGEVLIAIHKVDPERDGIPLKKVTDACNACFGQGQIFTQQVLAEVLNQLVEQIPLPMLFMRTVLQTIGAYPSLVDFIMNILSRLVSKQIWKYPKLWVGFLRCALMTKPQSFGVLLQLPPPQLENAMNKTPALKAPLVAHASQPNIQPTLPRSVLVVLGIVSDPQAASPTEKTQPQSPTAEAQPSDATAEAQPSGATEEAQPPSADGSGSEAEKSKETSTVSNM >KZM98602 pep chromosome:ASM162521v1:4:25341925:25345593:-1 gene:DCAR_014036 transcript:KZM98602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLTKIEREEMVTRCRGRKRYMKTFVEARQALSSSHTMYLRSLKATGSALLQFATAETPLHHPVTAPPVSVPGPQQPPMSPTSWGTSTTVSSSAVRPPPPPPPVQGSWDFWDPFMPASSPAATEEDWEETTATMSEVVMTPVRATAPEPAAEMAVVVSAKSKELVEIIKELDEYFLRAAGSGNQLSSLLEVPSFSFSDQRSTGKVYGYGKSLSPLLWTWGSSSSKMDGFGKFNEEVLHNNLNGSHCSTVERLYAWEKKLYLEVKNAETLKMEHEKRVKQLRRLEMKRADYIKTEKSKKEVEKLESRMMVASQGIETTSAEIIKLRESELYPQLVELVKGFMCMWRSMYECHQVQMHIVQQLKYLNTTPSNNPTSEIHRQSTLQLELEVQQWHISFCNIVKAQREYIQSLSGWLRLSLFQFNDNSVSKTKQDSAIYSLCEEWHLAIDHAPDKVASEGINSLLTVIHGIVVQQAEEHKQKKKSESVFKEFEKKTSELRALENKYGPFSMPDSSGAKNPVADKRAKVAILRVKSEDERAKYEKAVSVTRSMTLNNLQMGLPHVFQAVTGFANVWMHAFESVYNQGKAIDELHNVKMILPR >KZM99060 pep chromosome:ASM162521v1:4:29113784:29120949:1 gene:DCAR_013578 transcript:KZM99060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWQKRALNKPVCRAERNGGVFVLVCFGRTVLNNRGRSGPNVVIKFPRIRYNGAEAVCCINLGICRASLITTAKNYSGSQLDKTGDSVGLNHGFIKGIGRGLVGFAAALAVCVDSPALAQSLTVAFPVSPAHEVNTVQRTLVEAWSLIRETFVDPTFNHQDWDMKLQQTMVEMFPLKSADAAYNKISGMLATLGDPFTRIISPKEYQSFRIGSDGNLRGVGLFINVNPKTGNLVVMSCVEGSPADRAGILEGDELMEINGERLDGISSEGAAQKLRGKVGTSVTVKVRRGSKSGGGDNLREVNLPREFIKLSPISSAVIPHKTPDGRLSKTGYVKLLAFSQTAASDMESAVTELENQGVQSYILDLRNNPAISLQGGLVKAGLDVAQIWLDGDETLVNTIDRDGNMLPINMVNGHAKTHDPLVVLVNEGSASASEILAGALHDNGRAKLVGHRTFGKGKIQSVTELDDGSALFITVAKYLSPALHDIDQVGIAPDVQCSAEMLNSPRESFMKNKSSTSLEADSCIMVAEHELEVQESKGSAS >KZM97612 pep chromosome:ASM162521v1:4:16213237:16220990:1 gene:DCAR_015026 transcript:KZM97612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNHLLLEEPIRMASILEPSKSSFFPAMTKIVGTLGPKSRSVDTISGCLKTGMSVARFDFSWGEPEYHQETLENLKIAVKSTKKLCAVMLDTVGPELQVVNKVEKSIALLADSTVIMTPYQGQEASSDVLPINFDGLAKAVKIGDTIFVGHYLFTGSETTSVWLEVESIKGDDVVCKIKNSATLAGSMFTLHVCQVRIEMPTLSEKDKEVISTWGVKNKIDFLSLSYTRHAEDVREAREFLSKLGDLSQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDMYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSSTNESVLKVALDHGKSSGVIKSHDRVVVCQKVGDASVVKIIELED >KZM98146 pep chromosome:ASM162521v1:4:21450618:21450983:-1 gene:DCAR_014492 transcript:KZM98146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQRESWSSYVGTYESLERIERLASENAVVIFSVSSCCMCHAIKRLFCGMGVNPTVYELDEDPRGKDMEMALTTLLGGRSATVPVVFVGGKLVGAMDRVMASHISGNLVPLLKQAGALWL >KZM98038 pep chromosome:ASM162521v1:4:20585484:20587037:1 gene:DCAR_014600 transcript:KZM98038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQLTSPLCCKCTIDQSCDLISPVSKTREPDMSTALILKSRATKTKQQAQSHFSLAIKEAFSIASIALPMILTGLLLYSRSMISMLYLGQLGELALAGGSLAVGFANITGYSVLSGLAMGMEPICSQAFGAKRYTDLGLSLQRTILLLLVTSFPVAVLWLSVRRILLLCGQDEAIAIEAQSYLLYSLPDLLAQSLLHPIRIYLRTQSINLPLTFCATLSIILHIPINYILVIKLGLGTKGVALSGVWTNFNLVALLIAYILVSGIHKRTWERWSIECLTGWQSLLNLAIPSCISVCLEWWWYEIMILLCGLFVNPRATVASMGILIQTTSLIYIFPSSLSFSVSTRIGNKLGANKPAKAKLAAIVGVSCSFVLGLSALLFAVSVRNVWATLFTQDKDIIALTSLALPIIGLCELGNCPQTTGCGVLRGTARPKIAANVNLGCFYLVGMPVAVGLGFFMGLDFEGLWLGLLAAQASCGVTVLIVMARTNWDVEALRAQELIAGAAEAVITENKEEFFV >KZM99704 pep chromosome:ASM162521v1:4:34159500:34161274:-1 gene:DCAR_012934 transcript:KZM99704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNLKLCFLILASLYSHAYSQCATGCDLALGSYYVWDQNNLTFISEISSKSIDEIISYNKEEIPNKDSIKSTIRIDLPFSCECIDKQFLGHVFRYKVRSGDTYDKIAKTYYSNLTDSNWVQKFNSFNPNNIPDTSSVNVTVNCSCGDSKVSKEYGLFVTYPLRPEDSLESIVRDLNFSAGTDGADLLRRYNPGADFSAGTGIVYVPGRDKDGNFVPFKSSKGGLSTGGIAGIAVAVVAGLLLLVGYFGFYRKKKVEKFRLSTASEDPSSRGGFQI >KZM97134 pep chromosome:ASM162521v1:4:11305566:11306006:1 gene:DCAR_015504 transcript:KZM97134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKGEKKPAEKKPAEKAPVAEKAPAEKKPKAGKKLPKDASASGADKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTST >KZM96939 pep chromosome:ASM162521v1:4:8922083:8923272:-1 gene:DCAR_015699 transcript:KZM96939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKNSLRVPSESSSGLTAPLQPHFCQLNQSPWDLSPSSPSSPSLQVEGDIGLLQNKGFGDLIGGLESFASLRKSLECEDNGNVYDYEKEKNDGDSKKYCLKTDENGNWICGLEVKEGETFCEFHLRSYIVPNVVPSAAKKSTTKKPAKKSKADLNPYESVYYSGFEPTWGKRKIARELSKMGEDVATPEEESENSEDSEEAEQDGNEEIEAENSSENEKKRGRKLMKARSLKSLM >KZM98018 pep chromosome:ASM162521v1:4:20414457:20422830:-1 gene:DCAR_014620 transcript:KZM98018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEKGVAFGSSASSRDYDESEYKEEEKMNIPAVRFSTRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVALKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTGMVYELLRTSTDAPLSSSPVWARHFSTPEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGMHLDPSIYLMDDENKTPTNISAKLDQQRFVEAVEKNAAEATNDSIASASYNEHFPADELDKVIDGLQSVDIAESACDDKGESTQDLGVDRNPLVMKEKSSGAAAAPIIVPIVLKMAEFDHKALLEEWISTRSFSDNYPLQDKDKLISNLKTIQDYLCSFTSQGLTVANISATTFPQTLDWLHSYLLQCIEQGTTSVSTPQRQNTG >KZM99642 pep chromosome:ASM162521v1:4:33658876:33660069:-1 gene:DCAR_012996 transcript:KZM99642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNAKKVTGESQMDHGSNQDFDYTSLEGRQWKTVFEEASMSTITTDRPFKRQHSFQSSSSSASSFPTTSTPQSSRLFPFAFDDNGSQQSMESLQKYRTSPLPILPAQNHHQQHHHQQQQQMISFAPNHQGFPPYFSGDLAQYQQQQLFQYWTNELNLSPRGRMMMINRLGQNTNRNSMFRPPTQPVTQTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPEHYLNKNKPKSPDSSSQAPEPPQQLPEPPSSSELAPSIELGDNSLTDDSGFGSSEVTTGGEANVVSSSVSSELVWGGVGEDWFNAVAEGWGPGSAVWDDLDTSNNLLLPSNYSFGNPQNQEPHSSDPQDHNIFSAFSSHSSSYPTDPF >KZM96862 pep chromosome:ASM162521v1:4:7909254:7928683:1 gene:DCAR_015776 transcript:KZM96862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMNRQLRLSSYLIKRLQQHNTITANEIASRSFTTSECHRPTIVHKRSIDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMSNEQQIDRFMADLKRLEVNARDGPSDPYALAKWRILNRLHDRNETMYYKVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAADRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGISIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLDGDEYIEVVDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRDTYRMFNDDVQGTAGVAIAGLLGAVRAQGKAMINFPKQKIVVAGAGSAGIGVLNAARKTMARMSGNNELAYEGARSQFWVVDAKGLITEEREDIDPDAKPFARKSNEVGRQGLKEGASLAEVVREVKPDVLLGLSAVGGLFNKEVLEAFKNSTSTRPAIFAMSNPTKNAECTPEEAFTIVGDNILFASGSPFGNVDLGNGHIGHCNQGNNMYLFPGIGLGTLLSGARVVSDGMLQAAAECLAAYMTEEEVLKGIIFPSISSIREITKEVAAAVIKEAVEEDLAEGYRDMDPRELGKLNKEELAAYVKNNMWNPDYPTLVYRQE >KZM99427 pep chromosome:ASM162521v1:4:31954471:31955979:1 gene:DCAR_013211 transcript:KZM99427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRKSHSDRIMRILLVCIAAFAFFVRVCNADELSEPENAVRLTVGFNHEKVYRRALLQSSGIREDVDALSPADSLVDHHRKPKNWTFVRWLPLFVGLAFFFLLAYYGNKKAIQTMKDKEILKILTQSPVPPPSFPPMKDVEEVKPVKENPTDLCFFVEEEERFKMSDLLEAQANLQGHGLCSSLYKVTLKNNAVFAVKRLKQSPISFDEYCSVMGRIGKLRHPNILPLVAYGFTVEARLLIYKFQHKGSLLSVLERYNEGKKDFPWTTRLSIAVGIARGLNYIYQSGEDQEVIPHGNIKLSNIMLNDDELPLISEYGYTKLMDPKKSCLINANGHTAPEKTLSEQSDVFSFGVILLELLTGKIVEKSGVDLPKWVRAMVREEWTGEVFDKEIAKIAKHAFPLLNIALKCVAHLPQDRPTIAEVVEKIEEVANQHDDLSPASTTSIESNPQCLLHSVVPEEWDTPGSSC >KZM96725 pep chromosome:ASM162521v1:4:5327747:5329873:-1 gene:DCAR_015913 transcript:KZM96725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKDNKLINQEQRLGPGNEEGAPVRKRGRPRIVVTNEVLEQRRLARQRVNANRPRSQAPPTATTQTVVVEAENTNLQASVSQELDQHLSTNPDVMEGASGSSGIQR >KZM99404 pep chromosome:ASM162521v1:4:31828439:31829427:-1 gene:DCAR_013234 transcript:KZM99404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISGQAYEKTTSIQCQAFPVVLSERDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHLIYLEAKKFSKIRVSAVYGGMSKLEQFNELKAGGEIVVATPGRSKRDARKGGGKRAKGRGGGGNRGVRGVDFGLGIGYNAESKSAPLHVVPGRSAAVNSLRTGMTAQFKSSFVAASSGTLNARLSLVQACKLATGCCVVLCRVVLLGEVGILLQQAVK >KZM98280 pep chromosome:ASM162521v1:4:22528362:22537747:1 gene:DCAR_014358 transcript:KZM98280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDEYDYLEKSVYEPKDGKSKDDDIMTEKGHRRSRRESRDKDDEREEDGGERKSSRRLRDGDENGGGERKRERDEDRDSRRIKSSSGHRSGRDGDRHRERREESDRGEKDGDRHRERREKESDRGDKDRDSDKRDKDRVSEKRDKDRDSEKREKDRDSEKREKDRDSEKREKDRDSEKRERERRKERERSKEKDRSRRSSSRSRIEREEFLKDGEPEMETRRFKNKKEALEAEADPERDQRTVFAYQMSLKATERDIYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGHLLLGQPVMVKPSEAEKNLVQSNASAVGSGGLAGPYGAVERKLYVGNLHFNMTEFQLKQIFEAFGPVELVQLPADQETGHCKGFGFVQFLQREHAKAAESLNGKLEIAGRTIKVSSVADHVGAQDSGAKAADFDDDDGAGLALNAQSRASLMQKLDRSGTATSFAGSLGAPMLFGSAPVQPSIGMPMNGANPAFPAQMTPAALEPIGKPSECLLLKNMFDPTTEDDPEFDQDIKEDVEEECSKYGQVKHIYVDKNSSGYVYMRFENVEGASRAQQAMHRRWFARKMISAIFLQSYEYDAKFKGAA >KZM99347 pep chromosome:ASM162521v1:4:31264243:31274668:-1 gene:DCAR_013291 transcript:KZM99347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSVQNPRLLYLQSRLSHFNFRIKSSLHTSQTLTLTPSPPPPTSQILDIRKTLLSREKTAVELAGDYLTRIQRSDPKLKSFLYVSEESVLREAEELDRKIERNEEVGPLAGVYVGVKDNICTADMPSTAGSRILENYKPAYDATAVSKMRESGAIVVGKTNMDEFGMGSSTEASAYQVTANPWDLSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRYGLVAYASSLDVIGCFGSSVSDTGILLNAISGHDRHDATSSRREVPDFTSQFISKDILDSKPLKGLRVGVIRETLDEGVDAEIVMSIRAAVSHLEELGCTVTEVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGNQCESEELNSLYENTRAHGFGSEVKRRILMGTYALSAGYYDAYYKRAQQVRTLVRKSFKSALDENDILISPAAPSAAYKIGEKKDDPLAMYAGDIMTVNVNLAGLPALVLPCGLVEGGPAGLPVGLQMIGAAFDEELAGMEKNMCEKDEDGTRNVPSLVDLCVQIAIDNVDCLGDVGEIDGKLLERILPHCSVEQLQYVEDSTQGRDLSPVTDKIWKRFYQMNFGEESYEEVVRRMNSSNVTFTWKKLYEEKLEEVKAALQRLIEGVMRQYMNGSARAA >KZM97004 pep chromosome:ASM162521v1:4:9681117:9690686:-1 gene:DCAR_015634 transcript:KZM97004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGTRPMVSGKEGSFGDELEKELGFMLNESRRQDSDDLQKELSMFRSGSAPPTVEGSLSAVDRLFNHGGGGLPFSEFGLNNNGSGFSSEEELRSDPAYVSYYYSNVNLNPRLPPPLLSKEDWRFSQRLQGGGTSNVGGIGDRRKVNRIDSGGAAGGGVSLFSKPPGFNKKKQESQSESEWGGEGLIGLPSLELGSKQKTFAEIFQDDVARATPGSGHPSRTPSRNALGDNFDSMGSAEAELAQLRKGLASADNFEMSSKVQNLSAVQRASTPPVSYSYAAALGTSLSRSTTPDPQHIARAPSPCLTPIGEGRGTSEKRSKSSNSYNGNSTHIKEPSDLVAGISGMSLSNGGKNADNSVKSQIEETVADQEKYTFDMPGGQNNMMHHSYINKSEPTHLHGYSEPAELSYSKSTGNSHGFHNSSLEADMHSNSYQKGSPGSVPNIGGGLLSHYQQVDPTNLQYPNYGPNGFPMNTPVQPLMSGHLGNVTMPPLFENAAVASAMAVPLMDPRMMGGNFTSESNFNYDALESQNLGRLRNQMTNSALQAPFMDPVYRQYLRTAEYAALHNNPAMDMNYTGNPYVDLLQKAYLGSLLSPQKSQYGASLGGKASASNLHGFYGNPALGIGLSYPGSPLASPLIPNSPVGPGSPIRHGDVNMRFPLGTRHLAAGGIMGPWHLNSGSVENTFASSLLEEFKSNKARSFELLEITGHVVEFSADQYGSRFIQQKLETATTEEKTMVYEEIFPHAVTLMTDVFGNYVIQKFFEHGMPSQRRELANKLIGQVLTLSLQMYGCRVIQKAELSALEKAIEVVDLDQKIEMVAELDGNVMRCVRDQNGNHVIQKCIECIPEEHIQFIISTFFDQVVTLSTHPYGCRVIQRVLEHCADAKTQSKVMDEILGCVSMLAQDQYGNYVIQHVLEHGKPHERSTIIQELAGKIVQMSQQKFASNVVEKCLAFGDPSQRELLVREMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQERELILTRIKIHLNALKKYTYGKHIVARVEKLVAAGERRMAAQPQQLA >KZM97981 pep chromosome:ASM162521v1:4:20072385:20077652:1 gene:DCAR_014657 transcript:KZM97981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSSSSSPSSSQSTNTYTNPNPNPNNSNSSPPPPTQNTNSTRSRRSRYSTLLSTFRFLQAPLSTILSHYFPATALNPNPSHADAAEVSIRIVPGQERNQADHELGLAHSSGSAEIDSLQDDRSYVSGSAASGNGNADSNSVPAGAAAPRTPNQQRYDLQHAARLIEQIIPFSLLLMLVFIRQHLQGFFVTIYITAFLFKSNDILKKQTALKGERKLAVLMGYFLVFTLHVIGIYWWYRNDDISYPLIMVPPKEIPPFWHALFTILVNDTMVRQAAMAFKLILLMYYRNGKGHNFRRQGQLLTLVEYTLLLYRALLPAPVWYRFFLNKDYGSLFSSLTTGLYLTFKLTTVVEKVRSFFSAFKALSRKEMHYGSYATLEQVNDAGNLCAICQEKMHVPVQLCCKHVFCEDCVSEWFERERTCPLCRALVRAADMWSYGDGSTSLFFQLY >KZM99546 pep chromosome:ASM162521v1:4:32895372:32898149:-1 gene:DCAR_013092 transcript:KZM99546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSWLIYLLLQVTIVSSNSSALSEARNTEREALLGSRWWTGTYLDSSHCKWNGIECNKKNKVTSINLYDSYIDDEFRKLDLSSFPYLKALDLSNCGLYGTIPYEIGMLSKLEYLNLFNNSLTGELPSSLANLTRMDTLIISYNPLSGSIPSGISSGFRRIKLLDFSNCKFNGSIPDQIGMLTKLESLTVNNNNLTGNIPSSVVNLTRLQVLDVSVNDLRGSIPSGISSISSMYFLNLGYNKLTGLIPLKLGNLTMLERLFLNDNNLTGTIPSSFGSLTNLIYLKLDHNMLTGELPSSLVNFTHLIVLDVSNNLLGGSIPPGISNLKGLTYLGLGHNRLIGYIPSEIGNLSSLVELSLNHNRLTGVIPSELGDLSNLVNLSLSDNNLTGKIPSTLGFLTKLTNLDLSCNQLNGSLDFQQANLTKLIKLDVSHNFLTGSVPVFKNFFSLRHLNLSNNLLSGNIPQELGDCPLETVTLNNNHLTGNIPNQFFCLLNLTCLELFYNNLSGTIPPTNYPYLNNKCDFSYNNLYDGKRTYSRRKSVLLVLYIVTPLTIGLILLILASVFFCRHTPAENQNRMEVRNGDMCSVWNFDGKIAYEDIVRVTNNFDSRYCIGTGGYGIVYKVMLPRGNIVALKKLNRLEAQEPASDRTFRNEVQVLSNIRHKNIVKLYGFCMHKQCMFLVYEYIEKGSLFSALQDDARALELDWSERVNIVKGISNALSYMHHNCTTPIVHRDISSNNILLNSEMEAFVADFGASRLLDPDSSNRTMVAGTLGYIAPELAYTMAVTEKCDVYSFGVVALEIMMGGHPGDFLLSFTYLQSAKSTMLCDLLDTRLSRPTRHQEQDIIIVLTQAFACLRSDSRVRPSMDEVSKEFSRTQKISTDKSIYTTSVEEVY >KZM99767 pep chromosome:ASM162521v1:4:34647156:34653996:1 gene:DCAR_012871 transcript:KZM99767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQNQKVCLESSSNKQRREQVMEAIKSVGLDNCLSETNLHLSVPSLKSKTRGKVRDIYDAGDYLVLVTTDRQSAFDRVLASIPFKGQRVASEHGLILVDTKYEFGKSRDGTVMLIDEVHTPDSSRYWIAHSYEERFKNGLEPENVDKEFLRLWFKDNCNPYEDEVLPAAPEELVTELAWRNQYMTGSLEMFHKLCLLYNSSIERKLLNAFSTCCDNISIQMMRYCTAD >KZM98259 pep chromosome:ASM162521v1:4:22324918:22343182:-1 gene:DCAR_014379 transcript:KZM98259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSTPISSSPSTPPPSSPQTLKTKQPFSQNSSKNPKRALLTTPPSSISPKWVPLNISKSELFLPLTFPTGQTFRWKQTGPLEFTGAVGSHLVSLKHLDNGDIAACFHHSKDGVFDAKLALLDFLNVGICLSDLWEEFSGSDSRFAQLAPYLGGARVLRQDPLECLLQFICSSNNNIKRITQMVDFISGLGVYLGTVEGFRFYEFPTLDRLSIVSEEELRVAGFGYRAKYITRTVDALRAKPGGGVEWLASLRDLDLQMAIDALSTLPGVGPKVAACVALFSLDQHHAIPVDTHVWQIATTYLIPELAGTRLTPKLYGRVSEAFVCKYGKYAGWAQTLLFIAELPSQKALIPSILWTTAEKKPSKPRKKKRRLETGRVLKCSLGKLAKFPAPVLRMLESSSTQENRKSDGSTSLDDPDGIISRVAKLVEQLHANKSSPLEKELITTRLLGITKARKEARTLIGSHAQAMPLFISILRSGTPVSKVNVATILSILCKDEDMRLKVLLGGCVPPLLSLLKSESFEARKAAAEAIYVVSAVALLDDHVGTKIFTIEGVVPALWEQLKPCNKTDKVVEGFVTGALRNLCSDKDVFWRATLEAGGVNVIVGLLSSSNDVAQSNAASLLSRLLIAYSDSIPKIIDSGVIRALLRLLGKENNISARASAADALEVITLKSTEAKKAVIDEEGVPLLIRAVVAPSKECMQGEGAQVLQVRAAQALANACGGISSLILYLGELCQSPGSAAPIADIIGTLAYLLMIYEQKYDTEEDSFDPKKIENILTLLLKPLDNKLVRECVLEAMASLYENTFLSRTIEQPHSKRLLIGLITNAVDDIQDSLILSLISICCDGIDIWEAIGKRDGIQLLVSQLGLSSEQNQEYAVGMLAVLSDQVDESKWAITAAGGIPPLVQLLELGSEKAREDSAHILWNLCCHSEDICECVESAGAISSFLWVLKNGGPGGQEASAKALTKIIRVADSPTINQLLALLQEEPPNSKVYVIKVLGHVLAMASQRDLVDKEAAANKGLRSLVQVLHSSDEETQGYAASVLADLFSSRQDICNTLAMDEVVNPCMKLLNSKTHFIAAESARTLGRLIRPIKAKSRNKMFPIAEGNVKPLIKLAKNSFIAAAETAVAALANLLSDPQIAAAALAEDVVSALIRVLINGSLEGKKSASRALLQLLRHFPVCDVLKDSAQCRSTVLEIVSSLKEMDMKLNEAAESLEVVALIFRTKQSGNLGFPPWTALAEVPSNLDPLVRCLADGRSSVQDKAIEILSRLCADHPALLGNSLVANSRSIASLAKRIIKSTCLEVRVGGTALLISAAKERKSNTIDALFASGYLMPFVYALVEMTRRNSGCHSLEIEVATPRGSTEKPPFQEADDFEIPDPAIILGGTAALWLLSIISSYHVKNKKLVVEAGGLEALSEKLAHYTASPQAASEDSEGIWISALLMATLFQDASVVSSPATLHIIPSLSVLLESDEVIDKYFAAQAIASIVCNGDKVSKLAVANSGVIVRLINMIGFVELDMPNLVALSEEFSLVLNPDQVVLNCIFELEDVRAGSTARKTIPLLVDLLRPMPDRPGASQFSIHLMTRIAEGSDANKLLMAEAGALDALTKYLSLSPQDSVESMLSELLRILFSNHEILRYEAAISSGNELIAVLRFGSRSSRFSAARALNELLNAENIRNSELAVHAVQPLIDLLNVGLESEQHVALLSLIKLTSGDSSRSALLSCVEVNALETLYRILSSNSATEFKTNAAELCFVLFGSSSIRAMPIASKCMEPLILLMRSDIDTAVEAGVCALERLLDDEHNVELAAGCDLLDLLVSLVSGSNSRLIEASISVLIRLGKDKTPCKLDIVEAGVIENCLLLVPSAPSSLCSTIAELFRILTNCSTIAKSTSAAKIVKPLFILLLRPDFSLWGQHSALQALVNILEKPQSLDILKLTPSEVIEPLLSFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAIIPLVHLAGTGILNLQETAIKALENLSLIWPKALAEAGGILQLAKVIIQDDPQPSHALWESAASVLSNLLNFDADYYSRVPLVVLVKMLLSSSDSTVSMALRALVFSEKNEPSSGELMAEAGAVDALVDLLRSHRCEDTSGRLLEALFNNVRIREMKVCKYAVAPLAQYLLDPQTRSQSGRLLVALALGDLSQHEALARGSDAVCACRALVNLLEDQITDELKMVAICALQNFVTHSRTNRRAVAEAGGLLVIQEMLLAPSPDVAAQAAVLINILFSSHTLQEYVSNELIRSLTAALEKELWSVATINIQILKTINVIFSNFPKLHVSEAATLCIPHLIAALKSGSEVAQDYALNSLCLLKDSWSSMSVDVSNSQATVAAEAIPVLQMLLKTSPPSLHERADNLLHSLPGCLTVTIERANNLRQILGGTNAFCRLMIGNGPPQQTKIIYNSTSPQWNQGFMWSFDVPPRGQKLQIVCKSRSTFVKTTLGRLMIQIDRVVSEGVYSGSFSLSHGSHKERSSRTLEISITWSNGMPADNELD >KZM97482 pep chromosome:ASM162521v1:4:15119288:15121640:1 gene:DCAR_015156 transcript:KZM97482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVITVECGLGASDTCFHEYKGAKMASSSRKIKKKWNSREEMRIGKEYDVLVPSDGVCLCGSESNDSGWSIGWLEPHAPDFQSDDEADDSFAVLVPCYRNDLKESEDMANNQLLT >KZM96809 pep chromosome:ASM162521v1:4:6682258:6682725:1 gene:DCAR_015829 transcript:KZM96809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEFEGTAAKECIQQLEYSGSRTDEGRFEEQKMGEVEICTTQMRVKENENADLKSFKIAGTKDSNKNSFDQSAVVENCSVIGQKVTGAQECNVNISHSVHNNSDDIHDARRSGNQVIPKVDSSKERLSATPGHVMKYEDEDSRFAATKLLKCLM >KZM97653 pep chromosome:ASM162521v1:4:16568456:16570966:-1 gene:DCAR_014985 transcript:KZM97653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLGTKPEAFQRDGLTWQCTTGLPSDVTIKIGEMSFHLHKFPLLSKCGLLAKQFGELPSGDGSILELQLSDLPGGAKAFELIAKFCYGVKIELTAVNIVIVRCAAEYLQMNEDVGEGNLISHTDAFLNEIFGNWTDSLKALETCEEVLLYAEKLHIVRRCINSLAMKACADSQLFDRTGCNDSNETDSDILWSGISTATKAQQMGERWWYEDVSYLGLAFYKRFIQAVESVGMKPENIAHSLVVYAKRYIPLMNRHSSFKGANSAKSGNNVSTPSEADQRALLEEIVELLPSQKGGVQTKFLVRLLRTAMLLQASPTCRENLEKRVGAQLDQASLDDVLIPNLGYSVETMYDIDCFQRILDYFLSTEQISSMAYSPSIVEENQLAESSDSLMAITVVANLVDAYLADVAPDVNLKFPKFQSLGASVPDYARPLSDGMYRAVDIYLKAHPWLTDMEREQICRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFYVSDNLENLQAPPLSKNDGSRQVGAGGVDDMKERVMEIEKDCRDMKEELRRLVKKKRRWSFFGIWRSQKCRNL >KZM96880 pep chromosome:ASM162521v1:4:8185200:8187786:-1 gene:DCAR_015758 transcript:KZM96880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKHYPTWWVVDHFLFWSREERADFVIKLRGVLKFQSMSTFGTAFNRRMIRKGAKFFGDRIPDGILKKILSKGKDEEVEGHSSLESTTSDVQKSDSNLVSIGAYKSHFDAVRYMTAVHTHYNDLDYIDLRANEVCGG >KZM97273 pep chromosome:ASM162521v1:4:12983372:12983575:1 gene:DCAR_015365 transcript:KZM97273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRLIGYLLLLKVEDKCNNQGFWPPRVPGAARQLYLHAFVLRVKGECDGRWNFIVIARGSEHNATL >KZM99897 pep chromosome:ASM162521v1:4:35688351:35691110:1 gene:DCAR_012741 transcript:KZM99897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCRSDAACLCLSCDRNVHSANALSRRHSRTLICERCNLQPAFVRCVEENLSLCQNCDWTGHTNASGHKRQAVNCYSGCPSADELSSIWSFLLELPLAADATCEKGIGSMSIADDGLANASGPSRRNNSRNSSVTMTDLENVNNSNEWKPSSMPQVDENLVDQQIKFTKSISSKLGAKELELCEDDDFYEDFNMDELDLNIEKYEELFGVGHNDPQHLFNNNGIDSLFEMKGMTGANSISPGAYGAEGSLVGLENVVKPTDSNAASADSIRSCKTEPSGCFARQISNLSFSGVTGESSAGDYQDCCASSMLLIGEQQPWCPQGSESSLASDIRNSAVQRYKEKKKTRKFEKRVRYATRKARADVRKRVKGRFVKAGEAFDYDPLSETRSF >KZM98588 pep chromosome:ASM162521v1:4:25254655:25258421:-1 gene:DCAR_014050 transcript:KZM98588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKSEEDLVIVGAGICGLATALALHRKGIRCTVLERSESLRSSGVALTIMPNGWRALHQLNVASILRQTATPILGTKDIWLDKNKQQDMPLSATERLWLQYGNFCKMIVLVGLMVHGEARCLRRSDLIDTLYNALPPDVVKFGHQIVSVKLDPETSYPVVQLQDGSSIAAKVLIGCDGAKSTVADFLELKPTKLFDLCSIIGLTNYPNGHSFAHESVRMRINNVSVGRIPIDSNLVYWFVAHPWMQTDNIVSVDAELIRQYALSLVKGFPEEVSEMVINSDLDSLCSTRLRYRAPWDLLLGNFRKGTVTVAGDAMHVMGPFLGQGGSAGLEDAIVLARSLAKKISCTPTDSAIIIEALDQYVKDRRMRIVRLSTQTYLTGLLITESTSLLVKLACIVLTVILFRDASYQTKYDCGTL >KZM98340 pep chromosome:ASM162521v1:4:23056625:23059721:-1 gene:DCAR_014298 transcript:KZM98340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARTCFLEYFCAGFHKFTYSHQFILLVSLTFFTFSSSSHDDPFSCGDILNISCPFYLQGDPLHNCDQHGLLSRQLHCQDNRTIIYVTSSYDDKTYKYQVADINYQNLTVRLRGNQTSFRLFDGYDDGLAQNTPIIFMNCPAPITSDSRYIDATTSDAFSGCGKNDTKSFSGKNYCPSQYSYVVAGPLILRDVVDGCKIRDAALVSPDWRTNVTFSTDNIINITIISKADNEDIRSEVLAYGFDVSWREIFCYSSCRSDYDCVLNFENNAYGYKCQPFSAILSLPKRLLKILRDIAAYFVDRIPFILVFTGKFIGIRALCGIPFLLALLLFKMRRRHWSIYDSIEDFLQGQKNVLMPIRYDFSDIKKITNGFKDKLGEGGFGTVYKGKLRSGLVVAVKIMGKSKATGQEFINEVATIGRIHHVNVVQMIGFCFEGSKRALIYEFMPNGSLEKYIFPREGEEEHISLSCEKMYEISCKVAAGIDYLHRGCDMRILHFDIKPHNILLDKDFNPKISDFGLAKLYATDDSIVNLTAARGTIGYMAPELFYKNIGGISYKADVYSFGMLLMEMAGRRKNISPSVEQLSQIYFPSWIYDQINTGKEIEMEDSTAEETKLLKKMIIVALWCIQLKPGDRPSMNQVIEMLEGDVELLVIPTKPFLSPQEENQEAEMQVEDQEAATPELIEPA >KZM99868 pep chromosome:ASM162521v1:4:35447611:35457130:-1 gene:DCAR_012770 transcript:KZM99868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYFSRGVYTISAPFHPFGGAVDIVVVEQQDGTLKSSPWYVRFGKFQGVLKAKEKAIIINVNGDDAYFHMYLDHTGEAYFLMEVDTEDGDLILSCTSSGDEMEEVERRPMKCKSWNEGIDSPKTVTELQVSNGTVVPTPGPHNSRVLGIFGKNPTKENKSEVESAEIAADLLEVNWSTNLASVRKDKDNKLRSAPDILNSVSSTDFLVEKDACSLEGGVTKVVNKEFRRQPTVYYETLDTSKIDLNYHDGQDSNTTCLESGGGGVLMQTDTSPDPESSTEVASKVENLHFTGILGDNACRDGKLHESEESLQNNTVPIATSSGPVNVDHVNGTAKQVDSFSTLTISSSIDPISPIRGEKSDEKEYEVKELQQVVLPSCNLKEVGNEFVRDEEVNKSSSVGLDEEQFPFSDLDDSRNGQSRCMEVIPTIHMDKEHDSSRQSEVIGSENGSSHSEFETPVKFRQEKLPFDSKELREQVRVISARIRISRSNKSEGQEPEQVVQSLPNMWSHFRELDKLNIHPRLGHSLDTNPRLSKWASVGKNFKSSMKSDEGSAHSSSNLGCTTKDVSDLEELKASDTSLAIGDTSVSVTVEPSSGSWGIWPFKRSKSMNAPSALDCTRSIGSEVSLESTCGMDREGEVHGKEDFDNKVVAQEVLDKEVPDEEVPDKKVSKSKAKKKKKKKGIRVLSPTSEQLASLNLKEGKNIVTFTFSTPMLGKQQVDARIYLWRWDTRIVITDVDGTITKSDVLGQFMPLVGKDWSQTGVAHLFSAIKENGYQLLFLSARAISQAGITRQFLFNLKQDGKVLPDGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKACFPSDRNPFYAGFGNRDTDEFSYLKVGIPKGKIFIINPKGEVVVNRCDKKSYTSLHAIVNGMFPPTPSSEQEDFNSWNFWKLPPPPVDM >KZM96659 pep chromosome:ASM162521v1:4:3129279:3132305:-1 gene:DCAR_015979 transcript:KZM96659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSNSLQAMPFDILAVLLKLLLPSSLHVRSLYKYGSVGTADDIACFHRFIGSRVDLGVADAIVYARCRDLIRMAGDFDANFVVLTHVANNGDFLTKVGHFILSIMYGRHTSISGLEALVDMHISPHYRFQIGNVVSSIELVFSSISPSAVVAEVPNEIGCPFHAMLDDQPFVDNSSKLYGVLMPTIFYVDNVHIVHPGGKKRGLDTISEDDSTGAKKRVGGAALNEFLRNRLNNMQAAKIKNSMGTGHRGRGPAFDNVFRHINTGCHSNVSNDKENAQINNSDEKTGLKGDVDVDRVLIVSLTN >KZM99241 pep chromosome:ASM162521v1:4:30495813:30496157:1 gene:DCAR_013397 transcript:KZM99241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDDSFPAADVEKELNPGNNTSVEVDSVSSQIAEGKGVAKKGEKGKNDPVQTFKTTMIVSGVLIVLAGVALAISKKLRENKA >KZM98162 pep chromosome:ASM162521v1:4:21616463:21619819:1 gene:DCAR_014476 transcript:KZM98162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTSNGVVWMPPQDTTNIEQQQLLLDTCVDTKISPFASSSSLTSFKSLLENDWFSNNYLGNGNLDFSASGSQSQPFTHDPSQSHSQFFQPKSCFSPMLNGLYDNGFQLGSDPNFTNGSDSHGFMSYNGLNSVTNLDMGLVSDFGGTRFESDPVGVGFNPVGFGGSCLVDDNVHDNDDNALFCNRSNGNQILRPLEVSPSVGAEPTLFQKRAALRQGSGNSGKSEGLGRKRMRNASEDGDEFDDGSMGVSGSFNYDSDDFDTKNENGGSGDVDGSNSVKVGDRKGKKKGFPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKDLLQRINDLHNELEAIPPGSSVTATSSHNPLTHTSTTLPHLVKEEIYSSSMPSPQSTAARVEVRAREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVISCFNGFAMDVFRAEQCGETEDILPDQIKAVLLDSAGFHGAI >KZM98361 pep chromosome:ASM162521v1:4:23258339:23262034:1 gene:DCAR_014277 transcript:KZM98361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTCLFFALVLAALISTSCILCSSTDQSALLAFKSYITDDPIQILAKNWTRGSDMCSWIGVSCDASQEHVTALNLSMMSFKGTIAPHIGNLSFLTSLDLKSNQISGSLPIVLFNMPALRVINLSDNALSDNLPVNMCSNHSRLKALYLSSNQLHGEIPASLYSCRELQYLSLENNTFDGRLAKEIGNLTNLKHLYLGTNVIHGEIPLQIGNLRSLEHLRMGSNFLTGPVPSTLFNISSLETINLSRNKLSGVLSSDIQWNLPLLRDLNLQTNLLTGQIPSGLWGCKLLQMLSLAENSFTGSISKQIGNLTLLKGLNLDTNNFTGVLPAEIGYLNLELLFVPSNKLSGEIPYEVFNSSTLRIITLQFNQFYGHLPRGIGLWLPNLEELYLGNNRLSGSIPVSLSNASKLTILEMSTNQFSGYIPNTLGSLRSLRRLHLGENNLTRESSSTELRFFYSLTNCRYLQVLELSMNQFHGSLPAVVGNLSTSLQIFSAFNSRIKGEIPIGIGNLSSLNMLTLDSNELTGIIPSTIGRLKYMERIYLEHNKLNGSVPYEICLLEKLGDLYLSDNQLSGLIPACLGELPSLTRLYLDSNKLTSNVPSTLWKCVDLIGLNLSTNYLSGYLPSEVGNLRAITEMDFSWNQFSGNIPATIGGAQSLNYLVLSHNKLEGPIPPSLGNLKGLEILDMSNNNLSGKIPKSLESLRYLRYFNISFNELEGEIPTGGPFQNFTGQSYLQNDGICGEPRLKVRMCPASATQKESGSRNIAFLKYTLPLIVAATLLVAVAFLLKRRRNQKIILTQEDSLPHSLRRFTYHELLQATNGFGESNLLGVGSVGSVYKGELLDGTVVAVKVFNMQFEGASKSFDAECEVLRNVRHRNLTRLISSCTHLDFKALILEWMPNGSLEIWLHSEKYCLNFLQRLNLMIDVASALDYLHCRCSTPIIHCDLKPSNVLIDNYMIAHVCDFGIAKLLGEQEFLAQTKTLGTIGYMAPEYGMEGIVTARGDVYSYGILLLETFTRKRPTEEMFSGEMSLKDWVKESLSSSEVLDTKLRERNDKYLPIKNLCALSIFNLAMDCLRNSPVQRIDMEDVLNKLYKIKTLFLEQCHLIDSEVNDYEV >KZM96590 pep chromosome:ASM162521v1:4:1695440:1697761:1 gene:DCAR_016048 transcript:KZM96590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKQALSNPRKPQFSPQPHLTTHFCNSIINRHSSKGAHASVLHAYISLFQPNNISPDAYTYPSLLKSCTFFNLFPHGVLFHQQIIVNGFSSDAYVASSLINFYAKFGYTNYARYMFDGMSNKNVVPWTAMIGCYSRIGDVGTAFSMYKRMVWEGVRPSSVTMLALLSGVCEDVLSVECLCGCVVKLGFDCHVAVVNSMVSLFGKYGRVEDARKLFESMGGKDIVSWNSLVSGYALTGNVREVMFLINRMRFEGLEPDHQTFGALVSATVRQNNVNTGKLVHAHILSSDFQLDLPVKTLLISMYLKFGNHDYAYTIFKQTLDKDTMLWTTMISGLVQNDFADRAVVLFREMLSSGVMPSSVTVACALAACAHIGALDLGTSIHGYMVRQHMSVEVATQNSLVTMYSKCGHLERSCKVFDMMDKKDIVSWNAIVSAHAQNGDLCKGMCLLKEMRLASEIPDSITVVSLLQACASIGAYHQGKWIHSFIIRNAIESCILVDTTLVDMYSKCGNLESAKRCFDRMLKHDAVSWSTIISGYGSNGKGETALELYAEFLRTGLRPNHVIFLSILSACSHSGLVDYGIRLFHTMTKDFQLEPKVEHCACIVDLLCRARRVEDAHDFYKKMFAEPVVDVLGILLDACRSNGKAELGETIAEEILMLQPGDAGKYMQLAHNYASTARWDDVGKALIQMRSQGLKKLPGWSFFESQGTITTFFTDHSSHPQYEEIVAILMFLSKEIRKPDIMTPEKEKIDGDYRNFENTSFLMQELLHVES >KZM98975 pep chromosome:ASM162521v1:4:28440394:28441580:1 gene:DCAR_013663 transcript:KZM98975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDSFSRAFRKKGTIHLSTYLRTYHIGDYVDIKVNGAIHKGMPHKFYHGRTGQVWNVTKRAIGVEINKQVGNRIIRKRIHVRIEHVMPSRCTEEVKLRKKKNDQLKAEAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >KZM96671 pep chromosome:ASM162521v1:4:3663866:3664954:1 gene:DCAR_015967 transcript:KZM96671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQTLLARESLERAKHMLGLSTRLGDGASLLTPENLEWLGFSTTIVDVSPIDEGDTIARAPRSPEQIPEAAVKEWDKLSLIEAAHDKILSDAKSLFLDLKIGEQVTDAARIDEGLLADMKQVKASLATVTKDRHALRKANQEFKDAEVKVQEERRIKGMVRRSLEEEVDGLGKLVKVLEMQIKGLLDAAASSKEATKQWEAAIFEAGVAAG >KZM97118 pep chromosome:ASM162521v1:4:10992637:10997496:1 gene:DCAR_015520 transcript:KZM97118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYEQDPDVLRWGLQLFDGHPVPDCGYCDANAQYNAEDYYQQQYYNEDRYDMGCISLANNELNEHDIQQLSQLSMADAPGTCTEGTCTEEPLQTAYYPQEWPCQSTGNYSFGNNYGNEEADDGRHSSSCSSPGRRSYCEEEWAYSIEPTDEYVLDGEVGKRLNQMVPIPHIPKINGEIPSMDEVTLDHQRLLDRLQLYELVEMKVLGDGNCQFRALSDQIYRTPEHHKFVRQQVAKQLKSHPEIYEAYVPMAYGDYLKKMIKGGEWGDHVTLQAAADSYGLKIFVITSFKDTCYIEILPKVQRSNRVISLSFWAEVHYNSIYPEGDMPSLVSTKKKKRWSSQCEHLDLEDDDC >KZM97335 pep chromosome:ASM162521v1:4:13654913:13657554:-1 gene:DCAR_015303 transcript:KZM97335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSHPSIHPVDLAAAPSTAAPGEENIYPPMRLRMKDIQGMPGTCMSLVLRAFQFLFAAISLSVMASTSDFPSVTAFRYLVAAVSLQTLWSLSLGIVDIYALLVKRCLRNSIIVALFTMGDGITSTLTFAAACASAGITVLISNDFNSCAQNHCTRFETATAMAFMSWFVVSPSFFLNFWSLASR >KZM99150 pep chromosome:ASM162521v1:4:29788042:29789748:-1 gene:DCAR_013488 transcript:KZM99150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSLFFHTSFIFLISCYLTTNFHVSANHTSPAILARSSNLRVVQDDGCSGLQNYTDYESKCVYIRSNKSCKPKGYINSLEIFYCSCGKFPILGYFILLLWLVLLFYLLANTASEYFCPVVESLSKILNLSPTIAGTTLLPLGNGAPDVIASIVSFTRSGDGDVGLNSVLGGAFVISSIVVGVISILIKPNQIIVDKPSFIRDVLFLLFSLISLIVIIVVGRINLWVAICFASIYVVYISVVCTMHFSSSEQENFAVDRNDLSEIRIPLLGYVDEEKCNLAPKNGLEDSDEKQMSRWCCKQESLCHYLRLFLYVVDLPLQLPRRLTIPMVGEERWSKPFAVLSVIFAPILLAALWNSQREDMNSKAKLAIYVSSFVVGMVLGNIAFVTTKTSRPPKNCLLPWLAGRFVMSITWTYIIAEELVSLLVSLGNIMGISPSILGVTVLAWGNSVGDLIANVAMALHGGPDGAQIAISGCYAGPVFNTLVGLGMSLVFASWSEFPKSYIIPVDSSLYETIGFLMCGLLWALVVLPRNDMRPDRILGGGLLAIYFCFMFLKVAGGLGLLKPNSP >KZM98243 pep chromosome:ASM162521v1:4:22190168:22196022:-1 gene:DCAR_014395 transcript:KZM98243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGIHSIKIVNNVAACAERATSDALTGPDWAINIELCDLINTNPGQTKDALKILKKRLGSKNPKIQLLTLFVLETLSKNCGENIFQYIVERDILHEMVKIVKKKPDLNVREKILILIDTWQEALGGSGARFPQYYTAYNELKSIGVEFPPREENSVPLFTPPQTQPLMHPPPIAHPASTYEEAAIQASLQSEAPGLSLTEIHTAEGLADVLMEMLSALDPQNREGIKEEVIVDLVEQCRSYQKRVMVLVNNTSDEELLMKGLTLNDTLQRVLSRHDDIAKGTAAPRVGQTQTSVVPLVNVTHEDEEPEDDFSQLALRSSRDTLQGQGRKPANGHIETSANPSKKPVNSDYGQIDYLSGDVYSSERTSGASGYTPVPVPTHVNNTKSSAPSKAKVSFSPPSDDFINPTASDDDFINPTASIFSENRSTYGEQSATTKPIERSASIPLETPVYLPPPPSKHSQRTQFFKQHSAGAPSGGGSGSSYDSLVGKTNNLSLNSSTPKKPDNSEDALFKDLVDFAKAKSSSSSKPSRAF >KZM96657 pep chromosome:ASM162521v1:4:3099431:3099926:1 gene:DCAR_015981 transcript:KZM96657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKSVPDMQADFLTRSLLLEENYEDVMGQLDGKVKEKDGESCGNNVVLPNAYAATFHRFVTDSSHSLADHSLLMKEENKKMATIFAKYEAIWTDNMKDLRQQAVLIENQIIKLSGKLAKFNCYVIHDSDSD >KZM97224 pep chromosome:ASM162521v1:4:12444940:12448029:1 gene:DCAR_015414 transcript:KZM97224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKERYGCCCFWRKFKLGVAEAPLEIKQLFEKYSDDGIMSLHHFQRFMKEVQREVADASIETVFFNIKNQNITKHVTQNKGLNLEGFFRYLFDGHINPPLPSPPKVHHNMRAPLSHYFIYTGHNSYLTGNQLSSDSSDVPIIDALHRGVRVIELDLWPNSTKDEVHVVHGGTLTNPVELIKCLRSIKQHAFATSEYPVILTLEDHLTPVLQAKVAKMVTETFGDMLFISGSESLAEFPSPELLKRKIIISTKPPKEYLESNNLEVVPTADEHKQKKSSEEKAWGTEVSDIGRKMEVLKEIEVEDGEALNEEGVQNERSSLKHYIDPEYKHLIAIRARKRKGGINDWLHDDPNKVTRISLREQNLESAVIDHGADVIRFTRRNLLRIFPKGSRVDSSNYNPFIGWMYGAQMVAFNMQGHGRSLWLMQGFFRANGGCGYVKKPDFLLKIGPSNEVFDPKRTYPVKRTLKLKIYMGEGWHQDFDETHFDIYSPPDFYVKVGIAGVPNDSVMRKTKTIQDKWIPTWNEEFEFPLTVPELALLRIEVHEYDLSDNDDFAGQTCLPVAELKTGIRAVPLHNQKGDEYKSVKLLIRFQFI >KZM98536 pep chromosome:ASM162521v1:4:24845599:24845889:1 gene:DCAR_014102 transcript:KZM98536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLDSQRCESESMLEDDEATAMWASSLKHHFLTFCIFCEINVSKQGSFREKTCVWCQQLNPWECHGCCSADKIIYVKGRSLLTQSSSFFTCTSTS >KZM98328 pep chromosome:ASM162521v1:4:22963916:22968098:1 gene:DCAR_014310 transcript:KZM98328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTDPPPPKQNSLLFLLSLPILLALFLSVAWYQLDSFDAAPLPMHELTHDAVAAPLLNARILQGSDKIGAGKMVGPEDVLYDSGTGVLYTGCADGWIKRVSVNDSAQDSVVEDWVNTGGRPLGLARGLHGEIIVADADKGLLNVSEDGVIELLTDSAEGHQFKLTDGVDIAEDGTIYFTDASYKYSLHQYPLDILEGRPYGRLLSYNPSTRQTKVLVHDLYFANGVVVSPDQKFVVFCETPMRRCKKYYIGDERKGSVNTFVDRLPGFPDNIHYDGEGHFWIALSSEATDLWHFIQNYPFLRKIMGIVIKYWGHLPPILKSSGAMLADLEGKVIEHYYDPSLIYLASAIKIGDHLYAGSIVNPYIFRLNVTRYPATSSA >KZM99549 pep chromosome:ASM162521v1:4:32924505:32929287:1 gene:DCAR_013089 transcript:KZM99549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKFWPISLADLPLHLILEILTSGPLRAVDLVSLELTSRTFRANARLDTRFQSNSSLVNFAAFQLCWSHPLYSSMHLEERKALFDKTEGNWKRVLRFLQSVDQSSDMVETSAGNMQIKSGRYHTLLVNGSSVYSCGSNLCGVLGHGSETTQCVAFTRISFPSLAQVTQVSASHNHAAFVMQTGEVFTCGDNSSFCCGHGDTNRPIFRPRLVETLKGVSCKQVAAGLSFTVFLTSHGNVYTCGTNSHGQLGHGDTVDRPTPKVVELLESVVQIAAGPSYVLSITEDGIVHSFGSGTNFCLGHGEQQNELRPRAIQLLKKKGLHIVRVSAGDEHVVALDSRGHVYTWGKGYCGALGHGDEIDKIVPAPLLCLKKNLIVQVCASKRKTFVLTDSGSLYGFGWMGFGSLGFPDRGHSDKILGPRLLQSLKGHRISQVSTGLYHTVAVTTRGRIFGFGDNERAQLGHDSLRGCLEPTEIFVQQIAD >KZM98159 pep chromosome:ASM162521v1:4:21588215:21588772:-1 gene:DCAR_014479 transcript:KZM98159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELNNQEDPTVNHTFPPKRKPEEQDALIHSDSATKKPKPEALLESKVIETKVIETKVIEEQHIEDEDTEEDEEEYEEEEEDEDDDDDEENSNGEAEVDVKGKGIMKEDKGKGKLIEESEDDEDDSSDGAADVFGSDDESDSDLSEDPLAEVDLDNILPSRTRSRRGASRGVVIGNSDDKDNDDE >KZM97526 pep chromosome:ASM162521v1:4:15548981:15550169:1 gene:DCAR_015112 transcript:KZM97526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWAVPTSGKRLKVGVPVKDGFTEFVKLQQFQNTAEYNITGVVILILEHRANDPGKLEKSYTANLSSNMAISWLQHSTDKLYCIGFQDGSFVKEILIKRLDFNISRIKSYASVEQYHDALSKGCQNGGVDAILDELPSIKIFLDKYGRTNPILPSVSSFHVRKLLMFPN >KZM99143 pep chromosome:ASM162521v1:4:29754430:29754738:1 gene:DCAR_013495 transcript:KZM99143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDMKEGDEQGAKKEVKVTMNKYTECRKNHAAHIGKFAVDGCCEFMAAGEEGSAAALTCAACMCHRSFHKMRSEIIVIEILQHYGKSSCCSSSVSRPASKSN >KZM99161 pep chromosome:ASM162521v1:4:29884717:29888485:1 gene:DCAR_013477 transcript:KZM99161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNTRTVQVAHVSDLATEREIHEFFSFTGQIDSIEIRNDLRPRTAFVTFKDAQALEIALLLSGATIVDQIVSITPAENYVRQPVVQSESMADNSVNIVREDASQHTEQAQTTSPSNGRVYVSKAQDVVSTMLAKGSALKQDAMNKARAFDEKHQLRANASARVNSLDKRVGLTEKFSVGISAVNEKVKSVDQKLQVSDKTMAALMAAERTLNDTGSAVKSSRYVTAGSAWFNVAFGKVAKVGQVAGTKTKAKWNMAVSNLTAKVGVV >KZM97915 pep chromosome:ASM162521v1:4:19408575:19410523:1 gene:DCAR_014723 transcript:KZM97915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIELKTAPADFRFPTTNQSRHCFTRYIEFHRCLAAKGDGSAECEKFAKYYRSLCPGEWVSHLLILLMSHELDFRCFCL >KZM98738 pep chromosome:ASM162521v1:4:26510496:26517680:1 gene:DCAR_013900 transcript:KZM98738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPHPSEGSSSASASAGSSDSIVELNIKTLDSQMYSFQVDKNIPVSVFKENVASKTGVPVEQQRLIFRGKVLKDNHLLSEYQVDNGHTLHLVARQPSQPQSSSGTSSAETTTDAGNRGQETNTGVPRSRVGQVSHSVLLGSFNVGDQLDNSIPDLSRVIGAVLNSIGVGNQTAFNGSSGTQPATMAPQGNEAEGRQGPTADQIRDINQLLRGQVPSGQSMPQVLQIPLGAAIPFPFINQPIPDSLHTLSEFMNRMELTLSQNASQSAQPLNDTGVQPPVNLPANTRGVPTPEALVAVLRHAERLFSGHAISALSVCRLEQEGGSTDPTIRTQIQSESMRVGLVMQHLGALLLELGRTMLTLRMGQSSSESSVNAGPAVYISPSGPNPIMVQPSSLQTGSLFGGPVAPPLNSGVFVPGGVGPTARHVNIHIHTAVGSRTTNGEGMHGDHGSGITSADPAQPRVLPVRNIVTAAVPSQPAVVSVTNAVPGGGNLAQQSVSSVPLSTIIAGVGSQIRNYAGNMQSQDLGSSAHQESPGNQDQSVVSGAGNNERGNDITSLLDTIREFPLPGQSSDTSSHMAQGEGQKSCKKEDKENAVRAEEPSSSSMKGIDNQVSSSDNTNSAPSSDQRVDVPLGLGLGGLQPRRRSRVSNSRGVVGSGVTSNMPNNHNQPSRSYGLSAGQLAGAGGTVIPTVPMEGLVAGDQDDTANVMSQVLSSPAMDGLLAGVSRQTGVGSPDVLRNMLGQLTQNPAMMNTVNQIAQQMEGQDLGSMFGGVGGSRSGGIDLSRMFQQMMPIVSQALGGGSAFPQPAPFPQPTPAVEREAQPTNSGTRLSRDEKHSDQSSQIDLQQVVQGLEHENPPEEVLRSVAESVLSSSDYGSGAEGLVNELCAEDGLASEFMEMLLHDLSQRVQNETDSTAES >KZM98518 pep chromosome:ASM162521v1:4:24641757:24649058:1 gene:DCAR_014120 transcript:KZM98518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYPEELRTPPVALVSLVGCSDLHASILTHLHTEQPPIHTLALPDFSKISLFAKAHKERTSMSAPPVGVLKKDWLLKHRTKIPAVVGALFSSAHISGDPAQWLQVCTELENLKVVIQGRNIKLLLIVVVRSSLLDISEDRMISLRKRADVDSKYVINLVLDDGLELKKSLIRLGSTFAELTNVYYRDEGRRVKARIERKNFSSVELNIRYCFKAAVYAEFRRDWVEALRFYEDAYRVLREMIRISTRMPPIQRLVEIKFVAEHLNFKISTLLLHGGKITEAIMWFRRHNTAYSKLVGSTEVTFLHWEWLSRQFLVFAELLETSSAAAHSISSPVVSGAADKPTEWEFYPSHYYQSAAQYLMEKRACLELGLSMLDSSNDVDGNGDSVVPSAYVGQFARLLEHGDTFEMQPLTDEEYTRYALSEGKRFQDSFEIIALLKKSYEAYKNMKANRLASYCGFQMAREHYNLSEYDNAIQLFSEVANLYRQEGWIDLLWEVLGYLRECSRKVGSVQGFIEYSLEMAALPVSTIAGPRSFKDCGPAGPATLPQREVIHKEVFGLIREDSEIPSSKDNSILQISGDCPVHLEIDLVSPLRVVLLASVAFHEHIVKPDAPTSITMSLLSQLPHTVEIDQLEIQFNQSECNFIIVNGQRLQSAAISNIQPGHRVETAPCLSLATNKWLRLTYDIKSEQSGKLECIYVIARIGTRFSICCRAESPASMNDLPLWKFEDRVESFPTKDPALSFSGQKATQVEEAEPQVDLKLGSSEGYSGVALVGESFIVPVTVASKGHSVYSGEIKINLVDTRVGGLMSPREEELFSTDDLHVELLGISGQDESETDTDKIRKIQHSFGLISVPFLNDGDSWSFNLEIRWHRPKSVMLYVSLGYSPQNIEPAAPKVHVHRNLQIDGKIAVVISHRYMLPFRRDPLLLSTIKQVDSDQLTSLPMNETSMLIAVAKNCAEVPLQLLSMTIEVENVEMAKLCTIREGCQELGNPALLVPTEEFKKVFTITPELNHPNFKIGTLCLRWRRILEPGEISDSSSTAPEVVTRYRLPDVKVELPPLIVSLECPPHAILGNPFTYFVIIKNQTELLQEIKFSLADSQSFVLCGPHNDTIFVLPKSEKILSYKLVPLVCGSQQLPRVTVTSSRYVTGFQPSIAASTIFVFPSTPHFELSDNAGKVKEISCS >KZM99176 pep chromosome:ASM162521v1:4:29995513:30002297:-1 gene:DCAR_013462 transcript:KZM99176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVAARAPLPSHQFDKVGSGAVSKATTAVKRKTPSELRGEQLKRKNIIELVDESPGSVCALRKSNEVCGIRKSDPSTKNPRYIDTRLDEVFPVRKNSFRLNMHSRKENSKEYTPSEDVDYVKSSHIPSDLTAESRPHITNPQDLLASRSVAKDINQKEAHSNTEKSSENTFRSVTEISMGSGKLPGLSVVDMDKAFKGLVQEPPIISASRGDAFGRTSDFTAKKFCSEVCVPGRKLPLDVTLKTSMRVVLSSSVNRYHRSITCGTFSKMNQIKSQTGSVGDQNLSTGNNITTHMTSLKSVHSWVYPQSSLPPAVISALALAGTEGGQMDFLSKRQSAWEDSFRSLYYMLRKNACNIFYVCTAQFVVMFTSANILKEGKVTCNAYISQSTRNLRSLLKEHDVCFSMPLSHSKVEQATAEDLAELSEIERHNLGQTRRLGSLSDEDNSPQSLLAFRGNGNVHGLYDFLLNYRSIMISMTSVDVPVLYAPLPFENASISAPEIRCKEVRRADHVAVPSEKKMPGELNQGAASGFCYSIEIKDSYLPPWIISSICDILDSEENSYESSFSTQPTSTGLNVGLEAVLGKYNTEVTDKDGLQETSFSFGVPHAVISPLLSSAFLKGLKYCNDSYTASLSPV >KZM97250 pep chromosome:ASM162521v1:4:12834845:12837571:1 gene:DCAR_015388 transcript:KZM97250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDTPTTHINPSEATLGRHLARRLVQIGVNDVFSVPGDFNLTLLDHLIAEPGLNLVGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPIICIVGGPNSNDYGTNRVLHHTIGLPDFSQELRCFQTVTCFQAVVNNLEGAHELIDTAISTALKESKPVYISVGCNLAAIPHPTFSREPVPFSLSPKLSNKMGLEAAVEATAEFLNKAVKPVTAQDVSTMLRWGQNPIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTTKVRCEEDLVEAIKTALGDKKDCLCFIEVICHKDDTSKELLEWGSRVSAANSRPPNPQ >KZM99637 pep chromosome:ASM162521v1:4:33635194:33635487:1 gene:DCAR_013001 transcript:KZM99637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLNITELQKNSNKWDKTVDQIVRMEKKIFPKHESLARSFDTELSKKNSGLLYILDSAADDNDNVAGYVMFSWPSSLSASITKLAGIFSDPFEIYA >KZM99435 pep chromosome:ASM162521v1:4:32005787:32013316:1 gene:DCAR_013203 transcript:KZM99435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFQGVLVSDQWLQSQFTQVELRTLKNKFTTIKNKNGKVTIGDLPPVLAKRKTSSEVFTEEVIKALLDESGSDMSKVIDFEGFLRTFLDLQARAAAKLGNSNSSFLKATTTTLLHTIVESEKSCYVAHINSYLRDDPFLKQFLPIDPKSNALFDLAKDGVLLCKLINVAVPNTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRTHLVLGLISQIIKIQLLADLNLRKTPQLLELVEENNDIEELIGLPPEKVLLKWMNFHLKKAGYKKTVANFSSDLKDGEAYAYLLNVLAPEHCNPDTLDAKDPVERANMVLEHAEKMECKRYLTPEDIVEGSSNLNLAFVAQIFHQRSGLSADSKKVSYAEMMTDDEQISRDERWMLLEALDKVSPGSVNWKQATKPPIKMPFRKVENCNQVTRIGGQLKFSLVNVAGNDFVQGNKKLILAFLWQLMRFNMLQLLKNLRSRTQGKEITDADILNWANRKVKSTGRTSKIESFKDKSLSNGLFFLELLSAVEPRVVNWNLVTKGETDDKKKLNATYIISVARKLGCSIFLLPEDIMEVNQKMMLMLTASIMLWSLQQQAESSPSPSVTTPDISPAPSINGDICTLDESPAPSINGDASPNPAVIMTPDQSPAPSVNGEDECSLDGDISNMTLDEEARLSVSATSHSSAAPSVNGDEVNGEDKGAASGDEVSSNI >KZM99104 pep chromosome:ASM162521v1:4:29443776:29444794:-1 gene:DCAR_013534 transcript:KZM99104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTISLGLVLVLVFAYYCDSGYCRDVQLIKMKKHNTLLGGLKPSSQNSAEIESIARFAVLEHNKKQNSLLQFARVVKAKEQVVAGTMYHLTLEAIDSGKKHMYEAKVWVKPWMNFKQLEEFKSANDVPVFTSSDLGVIRGK >KZM98113 pep chromosome:ASM162521v1:4:21194866:21195857:1 gene:DCAR_014525 transcript:KZM98113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLNLLKFWRNPAGGSDDVNVCDTGLDDEDSSLLAETRDFDKFVSKNKSSIFSTRSVGITSSDNDINKHHVHKIFSKKDGQARPSAFNANLYSKQNSLPLETNFKSQSPILILNSSPKNCISMLGFKKSSSSGKIDLTSTTRSTASPKIKESKKCIEEVQNTEDSSSSKYLKVIKPLYVKALKIKIFEQPVPESSRTPGAKQGSRAAVFREVCKKLMKSKSSVRTVPVPAKRRDDSALQQQDGIQSAILYCKRSYSSASTTTISQECAVLSRSASESSCQNGSNKSTDYIEMK >KZM96604 pep chromosome:ASM162521v1:4:1981497:1981970:-1 gene:DCAR_016034 transcript:KZM96604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQANYPQSNYPQGADQHRGGGGGGMMKNISGSQIMAVVTLFPLGGLLLLLAGITLTGTLIGLAVATPLFVIFSPVLIPAVLTLGLAVTGFLTSGAFGITALSSLSWIVNYLRQAGSSAGGDPIEMAKQRVQGTAGYVGQKVRDVGQKTQETAGRA >KZM96709 pep chromosome:ASM162521v1:4:4730754:4736035:-1 gene:DCAR_015929 transcript:KZM96709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYNYNSPFPNAYRYPYQQNQQNQPHQHQNHNQNQHQPYHVQYFHYTGNPYGYNYPHPYQCAYKTQPGPRITNSSSQSSPVLYQYHQRPQQNSSMVPDQPSIQRQSSFQHGPTRIYYRQHSRPLVPPPECSDNESVGSGESGNVPTYTSIYPPNEDKLSYMHSAPVSPRSSSASIDCDPSPTTFHAHHESVEIKSHDTHPYLKHSISYVDPRTRGQSQQIVQYTASKGSLKFLLLHGNLDIWIYEARNLPNMDLFHKAIGEVLNKLPGKLGTLTSDPYVSISVTTAVIGRTYVIPNNENPSWMQHFYVPVAHHAAEVHFLVKDNDILGSQLMGIVSIPVEKIHSGGKVQGHFPVINTNGKPCKPGAQLSLSIQYTPMERLNFDHQGVGGGPDYQGVPSTYFPLRRGGIVTLYQDAHVLDDSLPKLELEDGMPYVHGKCWHDIFHAIRGARYLIYITGWSVWHKVQLVRDSSGTEDSSTLGELLKAKSQEGVRVLLLLWDDPTSRNIMGYKTDGLMQTHDEETRSYFKHSAVQVLLCPRVAGKRHSWVKKKEVGTIYTHHQKTVIVDTDAGDNKRKIIAFLGGLDLCDGRYDTPHHPIFSTLQTVHKDDYHNPTFAAKVAGSPREPWHDLHCKIDGPAAYDVLTNFEERWHRAGKPHIIKTLKISYDDALLRLDRMPNILGMSDAPHLDDSDPNGWHVQIFRSIDSSSVKGFPKDPKEATYKNLVCGKNVLIDMSIHSAYVKAIRAAQHFIYIENQYFIGSSFNWTSYKELGANNLIPMEIALKVANKIRAHERFAVYIVIPMWPEGNPTSAPTQAILFWQHKTMQMMYETIYKALVEVGLEDAFSPQDYLNFYCLGNREEFSDDESAEGLGAGTPQGLCRKRRRFMIYVHSKGMIVDDEYIIMGSANINQRSLEGTRDTEIAMGAYQPYHTWATRTSGPRGQNVIVGRASGSDGGVLYETRISGMREKGEIDGGVELEDIFSR >KZM99769 pep chromosome:ASM162521v1:4:34665581:34666351:1 gene:DCAR_012869 transcript:KZM99769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLGNISAISQSSVLLPQVQFQASIRGRPFLPRNVTYAGAYTDCTSVCFLKTRSYKQSHTVSALNQQEKRATWAINASVIPESKVQTSSTVNSASEGVGIEPDTTGGGGDIGGASGGGDSGGGGNNWGNNNEGDGESEQSGDSNKKMAMSMSQKLTLGYAALVGLGGLMGYVKSGSQKSIISGGISAAILLFVYSQLPTNPVFASSVGFGMSAALLGVMGSRFKNSGKIFPAGVVSLVSFVMTGGYLHGIMRGMH >KZM99751 pep chromosome:ASM162521v1:4:34505164:34505949:1 gene:DCAR_012887 transcript:KZM99751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASLTATESSSTTTTGNNNNSSGKRDRDQFLKHVNKLSHKISKPKKTTMFDNNYNSATLNEMIEEKGVERSQPLVQPLVMSQTQSQPLNLHQPQQQHQPPVYNINKSDFRDVVQQLTGSPAHERFNTPPPIQAPKPTSSRLQKIRPPPLPQINAPPPMNPSFLRQPLSPLPPFPSVHTAAESPISAYMRYIQNANFPSPRWNNFAAPPLPPENIAPASSIQFPTPSSSYGCIPSPKSPYPSPLGFPLSPSVSSPRWKGN >KZM99183 pep chromosome:ASM162521v1:4:30038175:30039986:-1 gene:DCAR_013455 transcript:KZM99183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNDGVRFEDQTAVTERNDVQESLVEIKKEVEGKKNSGEEGYSENVKKPKNRKMSKDDTEVKGSSVSTNKARARLTQSRSFTANGLSKQGMSTSIDAKPLRSNARKSQTNGLKVEATSVTRVNSASRRASTGTNGGGDSVRRSTLASLPNQSRKNVSTNGTPKCPPSEGSLPADQQPRPTKTVVLSTEDDESRSTTSSILTPRGTSRSSASGFCFRLDERAEKRREFYSKIEEKIHAKEVEKSTLQEKSKESQEAEIKKFRKGLTFKATPMPSFYKEPPPKVELKKTPTTRPISPKLGRNKSINGRLTNSVELSGSSLSHREQDRSPKISQAKKDVSVTKKVLRKSLSSLPVSKTGGKPEKFRQKPTEDGNEKDQAEDLEKKSGQDSDSCVKPSNPEMIPHAEITVDC >KZM97728 pep chromosome:ASM162521v1:4:17287616:17288740:1 gene:DCAR_014910 transcript:KZM97728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLRPQPHAAVKSNKHHSLPLSPASRLSHFSPPHLSRFSPPRTNLRLVIRAIDAAQPFDYEAHLSQRFNKSTKLKIAIVGFGNFGQFLARTMVKQGHTVLAHSRSDYSEKAAELDVSFFQCADDLCEEHPDVILLCTSIISTESVLRSLPLQRLKRNTLFVDVLSVKEFPKSLFVQILPQDFDILCTHPMFGPESGKESWKDLSFVYDKVRIGKDESRALRCERFLDIFKNEGCRMVGMSCAEHDRHAAESQFITHTMGRVLEKLSLDSTPINTKGYETLLNLVENTASDSFDLYYGLFMYNKNAMEQLERLDLAFESLKTELFGHLHDVLRKQLFGKAEEGLERPVERPLLPELPQNGRALISRAENLNKEN >KZM98815 pep chromosome:ASM162521v1:4:27085700:27090047:1 gene:DCAR_013823 transcript:KZM98815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFREDSEDGRDLRKPFLHTGSWYRMGSRQSSMMSSSQGIRDNSISVLACVLIVALGPIQFGFTAGYSSPTQAALSRDLGLTVSEFSLFGSLSNVGAMLGAIASGQLAEYMGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYVVPVYIAEIAPQNLRGGLGSVNQLSVTIGIMLSYFLGLFANWRVLAVLGTLPCLVLIPGLFFIPESPRWLAKMGMTEDFESSLQVLRGFETDISVEVNDIKRAVASTSRRNAIRFGDLKQRKYWFPLMIGIGLLVFQQLTGINGVLFYSSTIFESAGISSSNVATFGLGAIQVIATAVSTSLVDKTGRRILLIVSSSGMTISLLVVAVAFFAKGYVSETSTLYSFLGYLSLLGVVGMVIAFSLGMGPIPWVIMSEILPINIKGLAGSIATLANWFIAWLVTLSAPMLLSWSTGGTFSIYLLMCAFTLAFAASLVPETKGKTLEEIQSSFR >KZM97763 pep chromosome:ASM162521v1:4:17601827:17606234:-1 gene:DCAR_014875 transcript:KZM97763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKPVPKLGRSTYLPPHLRNTNTHPTFSDPNSYASDSQTHRFPPSRGRGTPRGRGSGRGRGRGRSYAPRNRPAFHPNPDPNPFDVIEKFDDLEILSDSDEKSGINYEVYDDIPVEASGSDIPEPANSFNEIDLGKGLNDNIRRCKYVKPTPVQRYAIPVVMAGRDLMACAQTGSGKTAAFCFPIISGILKHHNVGSGSPVNHSGIHEEARKFSYETGIKVAVAYGGTPITHQLHKLEKGVDILVATPGRLLDMIERAKLSLKKIKYLALDEADRMLDMGFERQIRKVVEQMGMPPPGVRQTMLFSATFPNEIQRLATDFLSNYIFLTVGKVGSSTELIAQRVEYVQDMDKRDRLMNLLVEQSANENNGKRALTLIFVETKRGADSMESWLCRNGFLATAIHGDKAQMERERALRSFKTGVRPILVATDVAARGLDVPHVTHVINYDLPKDIDDYVHRIGRTGRAGKSGLATAFFCDKNSHLAKSLVQLMQEAKQQVPPWLTQYAETSSSYGGARAYRPGGSKFGGHDFRKATNMSGGNHYYSSGYTNQVAFGEPCIAPSGPFNSFYSGEPAYPVASVDSYAAGAYGYPYNHGSLVAGTWN >KZM98759 pep chromosome:ASM162521v1:4:26698267:26701695:1 gene:DCAR_013879 transcript:KZM98759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFFTLTEMREGLTAPARVKELINVLQKDKHNTVKNDVYSNRQWSTVARTIAATENKDCLALFLQLDGLCYFDTWLKHGQKCLQETGDGFMEEAMIELLGAIEKLHKDEQKPVISDIMLTVKDLLSNKSSRVQDRARSLYSIWKQNSESNAVYMDVDKDKAVSDNLVGVNENCNHDQPECSLQDISTSRVDNNEEKNLEPASSYMTPTVSPEIMQTESDTLNLVSETRPDLGNRSMHQLEATTGIKSPGSAVPGQRTLEGQSDVASSNEHDEAKQMPHIRSSNNLGVTETSFCVDSTNSVESKVGDNNEKDVDVGKEDPCINKSPSGDVRKELSEGNGETFDSRPSNPCTNLSAFDSTASANVLQGSPAHEIMAKNEQLTAILSEEVDTEANKESNVQNFSDKDEVDLGNEDPTTNISSKEDRETIDGSNGQNVPEKAGFDCENEDSEAIEGSSGQNVSDEDEVVRKNDYDLSMSGADVKHSVAKKSDFDMFDPLEVARQVAMEVEREVDCREPSFSSSERMSGDGKVESSDYLKEKNIIGIHCSFNDVSTGPNHYAVGGKDFVQTKNIQRKNCTVNIEPTESSEMAQEKEHEEDNNVCGFDLNKDVLSDIDADNLINPVTTPNMVVSASRDVSTSGLPLSALQIEGTVEWKGYAEASAFCPPSTCKISKGESSTFASGSSTNLFRRKEYLDFDLNVAEGEDKLTDFPPTREIPNSTRRSSENCSFEASPKKSDLLQLDLNCVSDNGDAPVSYWRKEERVLPHYNGQLSPSASSSSSSMQPALKKFDLNDQPSPFTEFLHPALTVRSSTNVYTSVAGGFKTDESVISLMGSRVEVRQKDNVPQTIPLFNGSIGDPAADASMTRNDGVMGSALSLQYAHSFMYGYNGPLSGPTVPYSSAIYGHGGQIPFMVDSRGTPVAPRALSPVPALPPSFPQPLFFESLAASPADSNGLVPTRHGLDLNLGVMTEGRSRDVGNMLQLFNPGQGISKDEQMRAYSQAASSSGVGGKRKEPDGGWDPSSFNYKHHQPPWK >KZM98445 pep chromosome:ASM162521v1:4:24042602:24044447:1 gene:DCAR_014193 transcript:KZM98445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHSLLCTETNNLCFDDLEARDDQTREIDCENVVGNESEALICAVPLQRDEILCLLFERQGEFLPRGDFLHRLRSGELDLCVRKEALDWIYKAHAHYNFGALSVCLAVNYLDRFLSLYELPSGKKWTVQLLAVACLSLAAKMEEVADPKFVFEAKTIKRMELLVLSTLKWRMQACTPCSFIDYFLRKINNADALPSGSLIDRSIQFILKTMKGIDFLEFRPSEISAAVAICVTREAQTLDINKAMSNIIPVEKDRVFKCIEMIQDLTLVTETSNVASGRTRAQVPQSPVGVLDAACLSYKSDERTVGSCPNSSSHTETSPHTKRRKLD >KZM97456 pep chromosome:ASM162521v1:4:14867578:14868162:1 gene:DCAR_015182 transcript:KZM97456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISVEAYLPHRVAMQFGMDQDLPRWIPKSNATPETAWINYNRPVCDAKLYFPHRLFEGDVTKRYLEWWENSVVDLLGDTKAVLKGLRLLTSTSDLPTKNKWGEDLDGWH >KZM97957 pep chromosome:ASM162521v1:4:19760616:19768724:1 gene:DCAR_014681 transcript:KZM97957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNASNDESFNIDWDTDDEREIENLVPCSSSSFSVPNGNVNAGSGEALQNSPEQQQCINSGQCSSAYNDDLLNVLSDSDSWCESEMNSKHSERKRKLNELEVLKKEKKGAQANETIRFPKPMVGFGVPTEEGTLVLQRSLPMAATGPPYFYYENVAQAPKGVWDTISSFLYDIQPEFVDSKFFSAAARKRGYIHNLPIDKRFLLKPLPPKTIHEALPLTRRWWPSWDTRTKLNCLQTCTASAKLTERIRKALEGYENDPPQNVQKFVIDECKKWNLVWVGKNKVAPLECDEVEMLLGFPKNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDRFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEISEANQNIVRSWWEQTNQKGNLIHIADVQKLNGDKLEEFVASFGGFDLVVGGSPCNNLAGSNRHHRDGLEGEQSSLFYEYVRILDLVKGMIS >KZM97338 pep chromosome:ASM162521v1:4:13665769:13669729:-1 gene:DCAR_015300 transcript:KZM97338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAAIYQKQLRVSNAAKAFHSPGQIMNYLLVDANRIGEFPYHFHHIWATSLQLCLALLIIYYCVGKATVAALLVVILMVVGNSPLAKLQHKYLTKLMVAQDVRLKAITEAVTNMKVLKLYGWETHFRHVIEGLRKEEARWLSAILTQRGYYLSLFWSSPIVITIFTFWACCFMRIPLDTGTVFTFLATVRIVQEPIRLLPDVAGIFIESKVALTRIVKFLEEPELQKGSTEGQCKCIEQSIQIKEASFSWDISSSQATLENIELNVKPGEKVAICGEVGSVIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTATNLFNVTSEYVMGALSEKTVLLVTHQVDFLPAFNIVLIMSEGKILQADTYQQLLVHSPDFQNLLISQNGSTNLERRISYSPPQRPKISNQEIQKIDVEQEVKVGSGDQLIEKEQKETGDSGLKPYKQYLKQNRGYLYLSLSVILHIFFIVGQLVQGIWLAAELQNPAISILMLNGIYTGIGCVMLLCLLIRSYVVVLLGIKASETIFSKAIVSIFRAPMSYFDSTPVGRILSRLSSDLSIIDLELATKFAFSLGSTMNTCFNFGILVVLTWPILFLVIPTVYITIVLQKFYLASANELIRIEGTTKSSVASQLSESIAGAVTIRAFKEEGRFISENFYLIDANAIPYFHSFSANEWLIQRLEGLCAIIVSCSALGMTLMPLEASKSGYVGMALSFVLSMNVYLVNAVNMNCTLSNFFISVERLEQCMHIQSEAPEKLKENQPPPNWPSAGRVEIHNLKVLEKCHLLEAVQEKQEGLNSSSK >KZM98004 pep chromosome:ASM162521v1:4:20297158:20298452:1 gene:DCAR_014634 transcript:KZM98004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDESSSESFPMGETAQEKAFSHVPQCYTISTSQRPGLNPETGIVPVVDWAALSSPDRRSDAINEIKNACSRSGCFQIINHGISQSVLDGALETAFNFFKLPTKEKAKFMSNDVHKPVRYGTSLKDGQDKLQYWRVFLKHYANPLNQWIQQWPTNPPEYREQMGTFATEVNKIAAELMKAITESLGLGPTYLTHKMENGMQVMVINCYPPCPEPTLSLGLPPHSDYSCFTIVLHSSQGLEILDKSDDTWKVVPDLHSALMVHVGDHIEVLSNGIYKSMVHRVALNRDETRISIASLHSLGMNEKMETAKELIDDEHPKGYRESSMMDFLNFLSKRDISEGSFIETLKIKN >KZM98764 pep chromosome:ASM162521v1:4:26732160:26733011:-1 gene:DCAR_013874 transcript:KZM98764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVLLKPCSTHVLYFILLVFYVANWSNVVSAATFTLINKCNYNVWPGILANAGTAKLDSTGFQLTPGDSRTFQAPPGWSGRFWGRTDCTFDANTGQGTCKTGDCGSNQMECNGAGANPPATLAEFTIGSDSGPSTQDFYDVSLVDGYNLAMIIEASGGSGACGSTGCITDLNRLCPNELKDGDGQACKSACEAFGSPEYCCSGEYGSPDTCKPSVYSQIFKSACPRSYSYAYDDATSTFTCTGADYIITFCPSSPRYVEISSYSYNLTSPENFLMYIRCILK >KZM99035 pep chromosome:ASM162521v1:4:28908769:28913070:1 gene:DCAR_013603 transcript:KZM99035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNVSADLSSEMEVDAFRRLFPLRFYERHLLESIRPDARPLEKARDTTLALGAVASADGSALAKIGLTTMLAAVKMEVMTPTTESPDEGCIAIDFHMPPICSPMVRPGRPAEVATVISKQLSDTIVSSGMINLKDLCLVRGKAAWMAYLDIYCLDADGALFDTALLSAVAAFSHLTIPVVSLNDDGRVVVSEETEGQKMDNEPVNKDKRKLELNSIPFSLTCILHKNYVLADPTAEEESVMDTLMTVVLNSSCQLVSLHKPGGTFLAYNAAVQDCIALARQRVKELQSILTEAISDMEVD >KZM97730 pep chromosome:ASM162521v1:4:17297956:17301133:1 gene:DCAR_014908 transcript:KZM97730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKKITDHNKTPPPSPSGFMPYSSPMDSFSNEKSSRSVGTSSIKPLSSIMDIMESPVKMASQSNAHHHNRTIVVRHPRHYYGRQYSRRNSTHHTDASSSQGKVTPLHNDRLSFKLARQGNSESSRHAEGRDKASRRLERIRSGTTAMETISADVIQMTCGICQKHLKSRSYIFGNTISSSDLSVVAVLVCGHVYHADCLETKTSYEDRRDPPCPTCTSFFSHIDTPSTKN >KZM96798 pep chromosome:ASM162521v1:4:6456201:6456836:-1 gene:DCAR_015840 transcript:KZM96798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREMISTNDLPCLLQSIEHHLFDDSELSDIFPTMNLWDVPDTSLTTLFFMDNTSDQTLPMDCYQPDHVDAREETKEKEKEVSARAHPDHIRFRYRGIRRRPWGKFAAEIRSPAKKGKRVWLGTYETPEDAALAYDRAAFKIHGSAAKLNFPHLIGSNLPDPVKVAPRQRTSSVPSLTSSSSSLSLLSSSSSNNGSFKKREKNAAKAIKSA >KZM97961 pep chromosome:ASM162521v1:4:19800466:19819653:-1 gene:DCAR_014677 transcript:KZM97961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEKPSPYRRHDNDLEAGINEFEEEEDSGPFDILRTKSASVDRLKRWRQAALVLNASRRFRYTLDLKKEEEKKQVITKIRTHAQVIRAAYLFQAAGKQELGTAPKLPVTTVPSGDHGSKPEQLASMTRNHDFSALQNYGGASLSLLITSPDFVNGLADTLKTSLDKGINGNEADILERKDVYGSNTYPRKKGRSFWRFLLDACRDTTLIILMVAAAASLVLGIKTEGLSEGWYDGGSIALAVVIVVVVTALSDYKQSLQFQNLNEEKQNIHLEVTRGGRRVEISIFDIVVGDVLPLKIGDQVPADGVLISGQSLAIDESSMTGESKIVQKDHKAPFLMSGCKVADGYGTMLVTSVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLMVAVSVLVVLLARYFTGHSEDEEGNQEYFPGKTKASDAIDGAIKIFTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNLMTVVDAYICGKKFDPSENQSVLPPKVASLLIEGIAQNTTGSVFKSEDGEVEVSGSPTEKAILQWGVNLGMAFDDVRSGSSIIHAFPFNSEKKRGGVAVQLTDSNVHLHWKGAAEIVLACCSSYINVDEGLVPIEEDQRMLFKKAIEDMAAGSLRCVALAYKKCGRDTVPDNEEELAHWQLPEDDLVLLAIVGLKDPCRPSVKVAVQLCIDAGVKVRMVTGDNLQTARAIALECGILQSDEDATVPNIIEGKTFREYSEADRLIAAEKISVMGRSSPNDKLLLVQALRKNGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSDGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMKRSPVGRREPLITNIMWRNLLVQAFYQVCVLLVLNFHGKSILNLEHEKKEHAEKIFNEFNARKPDEMNVFKGVTKNRLFMGIVGITLVLQVIIIMFLGKFTTTVRLSWKLWLVSIIIGFISWPLAAIGKLIPVPDKPLSSFFTRKLLRRRTSRVASRPISLERARCSVEKTARNQSVEREVGRLNGMPENVNQLYVMHHRTASSLIIHCPLEHFNHCLSKRTELQWYGILPLWWEIEG >KZM99505 pep chromosome:ASM162521v1:4:32618798:32619352:-1 gene:DCAR_013133 transcript:KZM99505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFATLMSVAEQTPSICRVVVPCEASVDVYPVFVAVMYWRNLRAFQGPFRGSQFVVQLADYCEKIEELGLHGKFSEKDVSCIVKGFPRLKVLDLSDSTLPAAAVSVVMDGRLKCIRDLNVVHCKFLDEDGKDMRINYVKWKAFKLEILEKASGINTMKKFMHCFRERTEKPCEDCGVIDLEEK >KZM99611 pep chromosome:ASM162521v1:4:33418543:33421459:-1 gene:DCAR_013027 transcript:KZM99611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREILHKMKEKACFSIATPASPDTGKGKQKTSRHVTHGYHLVEGKSNHAMEDCLVSEMKELDDNQLGLFAIYDGHMGHSVAHYLQSYLFNNILREHDFWIDPVSAIRRAYHTTDKDILDQSKYLGKGGSTAVTAILVNGEKLVVANVGDSRAVISKKGVVKQLSIDHEPNKEKKTIESKGGFVSNLPGDVPRVDGQLAVARAFGDKSLKRHLSSEPDIIVEMIDVDTDFIILASDGLWKVMSNQEAVDSIRNIRDPKVAAKHLAEEARTRKSRDDISCIVVRFQ >KZM99292 pep chromosome:ASM162521v1:4:30869807:30873200:-1 gene:DCAR_013346 transcript:KZM99292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKKSGVSDVGAWGMNIVSSVGIIMANKQLMSSGGYGFTFATTLTGCHFLVTALVGAVSNASGFSASKHVPLWELLWFSIVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCIMEWIIHNKHYTREVKMAVVVVVIGVGVCTVTDVKVNAKGFICACVAVLSTSLQQISIGSLQKKYSIGSFELLSKTAPIQAISLLIFGPFVDYSLSGKSLLNYNVSYGFALFLILSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLTLGWVLFDSALTFKNIMGMVIAIAGMIIYSWAVELEKQANARNSSHIKNSLTEEEFRLLKEGVDHTPLKDIVVEDSNSHFRQPILGWDCIRHRSVYVPSSPLCNVVYTREGHKLKHRPKEN >KZM96842 pep chromosome:ASM162521v1:4:7528737:7529953:-1 gene:DCAR_015796 transcript:KZM96842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSRYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTAAAVTVRSTIRRLREQTES >KZM97518 pep chromosome:ASM162521v1:4:15466678:15478129:-1 gene:DCAR_015120 transcript:KZM97518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNRRGSDQQQQQPPPPRRGLLRTQTAGNLGETMMDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHKLDPTSSGRGVRQFKTALLQRLEREDKATIVGRKKSDAREMQNFYQHYYAKYIEALQNATDKADRTRLTKAYQTAAVLFDVLKAVNLTESVDMADEIIEAHNKVAEKAEIYVPYNILPLDSESKNQAIMRYTEIQAAVQALRNTRGLPWSKGKKKKEDEDILDWLQAMFGFQKDNVSNQREHLILLLANVHIRQLPKPEQQPVLDDRALTEVMKKLFKNYKGWCKYLGRKSSLWLPNIQQDVQQRKLLYIGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSQMTGEHVKPAYGGDDEAFLRKVVTPIYNTIAEEARGSREEKSKHSRWRNYDDLNEYFWSVDCFKLSWPMRADADFFCQPIGHLSIQKNNAMIIMAWSESGQPTSIFEDDGFKKVLSLFITAAILKFAQAVLDIIMSWKALHSMSAHVKLRYILKAVFAAVWMIVLPVTYAYSWSNTTGLAQTIRSWFGNGQSSPSLFVMAVLLYLFPNMLSTLLFVFPFIRLRLEKSNNMIVSLIMWWSQIKPLVGPTKAIMKVHVRNYQWHEFFPQAKNNIGVVISLWAPIILVR >KZM96620 pep chromosome:ASM162521v1:4:2334560:2336191:-1 gene:DCAR_016018 transcript:KZM96620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFYMLMDDQHLRKLALLLRNQEEPLSLSINSEPDRNKFLQECNDAYDTVIALLDDCIQLGKKHDAWRGKHSHKAHIAKDMLDYVKYGLNLGMQCVQNCGMRWTIVEKMKTHFDKLVAELNVTESRDRKDFASLAEEVAFYKTSMWEYATKLRSPEARAQSKAYSDVLKLEGVQFPSLVAAHKNKLGYTDEFELLGDDQKLEVYNNIIEESGRAKMPVIYKIKGQPWYKTSGGIAVMAFTAGMMTWDIFTAEHKLESALNNGVSLLSAAVSYAIEVSFTSAVGAVVAESEVGLLVVSAAGFVVGALVGILFAAATGAIIAAILSSGGSVPQNVEDLKFYSVTMPNGMALANEIAHT >KZM98180 pep chromosome:ASM162521v1:4:21750102:21750941:1 gene:DCAR_014458 transcript:KZM98180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSPSSSKSSGKKSASKQDTSNSSGVRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGARARTNFVYSDMPPGSSVTSILSPDDQNHNNTINNMLLQHNFSSSHYAPPEPTPPPRTNVNPEASHFLTGQDFANLESNTLYQVPSHYHDVMNNGFGGTSVSQYSDGIELPPLPSNDFLDSNNMNSNYNEVDQTAWSSTNYMAFSDCNTSTTSSQYDSMGSQSYLGFDSNNYVHSPLFGQMPPVSNGDPFEALELGSSSYFY >KZM96574 pep chromosome:ASM162521v1:4:697146:697489:1 gene:DCAR_016064 transcript:KZM96574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHFVTYRNNLTKILATPYTRNDPWEMGVHKRKGVVYLDVPKLEEKSKSELDR >KZM96623 pep chromosome:ASM162521v1:4:2447087:2448001:1 gene:DCAR_016015 transcript:KZM96623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPFGQVVDDEKLDTMVNYVGNVKTQEDRAREAMNLINENDKNGKAKRYVEGLKKEYGDGATTLCMVYNATGETLYYSASKDWYGFIGRTPYPFEIGNGQWASFLHVKKASDASGSEAALVFRGSNASGMERDYCVAWSSPWAAWYKNKAYCDVGYVGSFPRDWDTVYHTLNNGGYEHSTNKDGVDIEASTATGTSPLFVAKIKIPFSPRP >KZM97513 pep chromosome:ASM162521v1:4:15442657:15444547:1 gene:DCAR_015125 transcript:KZM97513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKPLPYKTRLIIWTITTLNDAAIRRDGTTNRRFLNFINIKAPANPNPVKNVKTYDITVDPSRNLWFRVFEPVLEDPQDAQLPVIVFFHGGGFTILSPDSMTYDAVCRRFARKVGAVVVSVNYRLSPEHRYPAQYEDGFDVLEFLDGDRKVLPECANVSWCFLAGDSAGGNLAHHVGKKACEANFSRVKVVGVVAIQPFFGGQERTESEKMKNQIFITTKRTDWAWKAFMPDGDRDHEVINVSGPNAADITKIKDFPATLVFVGGLDILQDWQRKYYEWLKKSGKEAYLVEYPNMIHAFYVFPEFPESTMLITEVRDFVQKQIQIKSLM >KZM99453 pep chromosome:ASM162521v1:4:32176855:32188573:1 gene:DCAR_013185 transcript:KZM99453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSRGTGSNYGQPQPAKPYDSQSAYRHNLAAAYSASAGGGPDESSQLSMASRHSSMLGGASSHEAADISGGYRAHLSAASHYGGQYSSVYGSAALSSTQQHSAQSGKGAAPTALESRRGYSSTISDSPKFVSSDYVSSSIHGYGQKGDQLYVDKLSDYPSIDRRQYGERSSAYIGREQNESTGRYGDSLGFTHQHQTDLYDRLDQASVLRHEQMLKVQSLQSNPLDGGSRQADYLVTRGAGIRHPADDLMSYASRVDADPRNLTALSGSSHGGQQLAPSILGAAPRRNVDDLVYAQSSSNPGYGVSLPPGRDYATGKGFHGASLESDYTGSLLSRGSLPRIDDRKDERGGYAREVERRDEERRRDLLREREKERERDKERERERERERERERERQQRRERERREKERNREIKHGSEVKRERTPARLSRDRRGSSLSKEARPLRRVSPRHEVVHRRPSPVKEIRREYVCKVYSSSLVEVQRDYLSMDKRYPKLYVASEVAKVIVNWPREDMKLSVHTPVSFEHDFIEDESAAEQTLSPPKSVDKVLLKSEKGITVWNAKMILMSGLSQNALEELSSERRYDDRIPHYCNMLRFALLKKDNAFMAIGGPWDAIDGGDPSVDDSSLVRTVIRYAKAMTGLDLTSCRRWNRFLEIHYDRIGKDGLFNHKEVTVLYVPDLSDCLPSLEIWREQWLAQKKEVAERERQLALKKEKRGEKKDGVKDKNLESVKDPKGDVKSVKRSNELSGDVNANKKEKDENSLIGTSALQVDIVNNKKVQEKDVSEIEEGKQLEKKVEEENPGQTSGVTKSGKKRIIKKRIVKQKVSNKNSVAENSTAQTDKLVDEDVGEKNLNSEVAGQLAKTSATPVAAKTLIRKKIAKKIVKKIVQKGNIVQPELIAAKEPEGSEGSKGKLDSGIATGLQDSSVKTVVKKKIIKRVPKRKASALGTSDGANESAKDVNKDGSKLATAENVMKTTGEQTTDASNQGKEVESENKALPKVNLKQSEKQAMGSSSKKVSKAVDGKKVKDHSNISMEAGNGEQTVGQKDGDAGRNEKSNEKEKSKVEKERKDKDGKVETRNKSNRDVKEKKKPEEPPRHPGLFLRTKGSKNMKLRSLSLSLDSLLDYTTKDIEESTFELSVFAETLYEMLQYQMGSRLLTFLQKLRIKFITKRNKRKRQRDVSAKKSEQVSPVKRVKTDNPSVEVKVIETESKDESVKPDTKDKSQSNLAESIKSETNTELQTDVGKIANVEDGNSSINEDIKVKPDDETDEDEDPEEDPEEDEEMPDGSPHDSANVLQQEKDATNNSATEAVKSDKVPEDEKNKNQEPAKETSDTKPKLEAERNPEEAKADKRKKESRVVDKELLEAFRFFDRNRVGYIRVEDMRLIIHNLGKFISHRDVKELVQSALLESNTGRNDQILYDKLVVMSDI >KZM97718 pep chromosome:ASM162521v1:4:17198289:17199622:1 gene:DCAR_014920 transcript:KZM97718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEMAAWLQSLCTDKFFRACSIHVMAKKNEKNICCLDCCTSICPHCVHSHRFHRLLQVRRYVYHDVVRLEDLEKLFDCSNVQAYTINAAKVVFIRKRPQNRQFKGSGNYCTSCDRSLQEPFYHCSLGCKVEFVLKHYRDLSPFLRKCKTLKLGPDFFIPQDMGDDIIYDHEMTNESPHSTIVDSDEQPMSYNHNYSSGSSGSENMSSSSMLCTKFVRKKRSGVVYVCGVNNNIRSSSNNNKQLHSDEDMATSMSRRKGIPQRSPMC >KZM97912 pep chromosome:ASM162521v1:4:19381991:19385583:-1 gene:DCAR_014726 transcript:KZM97912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIELDTSSEKKPTTAAEGYASDGFETASESDVINDENDHHNNKDEEQITRDDDNDQSVPVTGEDIHPYHDSLTDDQIHQKALEEANDAKLRGNELFGSTQYEDALLKYDLALQLVSEVPSSDELRSVCHGNRGACFLKMGKYEDSIKECTKALELNPVYKKILLRRAEAHEKLEHFEEAVADLKKFLEMEPSNVGVKRSAIRLESLASEKREKMKEEMIGKLKDVGNTILGKFGMSVDNFKAVQDPKTGSYSLSYQP >KZM97558 pep chromosome:ASM162521v1:4:15815554:15818760:1 gene:DCAR_015080 transcript:KZM97558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADDTTMDAVQRRLMFDDECILVDENDRVVGHESKYNCHLMENIESKNLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEEKALGARNAAQRKLLDELGIPAEDVPVDQFLPVGRILYKAPSDGKWGEHELDYLLFIVRDVKVNPNPDEVADIKYVNQDQLRELLRKADAGEEGLKLSPWFRLIVDNFLFNFWDHVQKGTLKEVADMETIHKLT >KZM97697 pep chromosome:ASM162521v1:4:17025987:17030682:1 gene:DCAR_014941 transcript:KZM97697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTLSRLTHSDPRFPVGLVDHESVSRFGEIGVMSAAVGRVPVGIGRKRLRIVVTGGAGFVGSHLVDKLIGRGDEVIVIDNFFTGRKDNVMHHFGNSRFELIRHDVVEPILLEVDQIYHLACPASPVHYKSRAKLIESLDILDYDYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGADVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPLTVYGDGKQTRSFQYVADLVNGLVALMEGEHVGPFNLGNPGEFTMLELAQVVKEAIDPSAAIEFRENTADDPHKRKPDISKAKDLLNWEPKVPLREGLPLMVSDFQNRILNEEEGKGQK >KZM98209 pep chromosome:ASM162521v1:4:21942521:21950603:1 gene:DCAR_014429 transcript:KZM98209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKPVRGEEDGLGGEGKSKKKSVRIDDDEYSIGMETEEPVAEVKQNAPGGKGKKKKSKNARGKGVDDDDEDDYVLKDDGDDVGRKANSKKSGSNSSSMFSSSAFGLLGEDDEDEDGNEQDGDGVSTEEDVGTSLAFKGKKKSSKSKKSSGFSGSSAFDALGGDEDDDGDVIDDSGVEQKSDNDDEPVIKLTGKKKPSKSKKKGSAAGFDILDEDENEESKDDDEDVASISFSGKKKKSSKSTKKSNNSYLDEDNGEDLSVSESGADAGTVNDDISALDEDASAVIFSGKKKSSKKKGNTGFTQLEAEQSEEVPGEEKPATGVSDGRSDDSKSKDTSDNVVLTSKNKKKKKKGGRTAQEEDDLDKILAELGEVPPPSKPDTAPQQEEKAPIQAEPSLAVDTSVEKEAGEDTMESAAAKKKKKKKEKEKEKKAAVAAAGPSVEEKQEETKNKLADKKKVPKHVREMQERVAKQKAEAERKQREEEERLRKEEEERLRQEEAERQAEEKKRLKKEREKEKLQKKKQEGKLLTGKQKEEARRLEAMRNQILANAGGLPLTTSDTASTSKRPKYQTKKSKQHSQVNGASSSKTNEITEADEKQEVMSGFDSTETDKVEETNLIDAEEKSEVADVVEEDGTEEDDEEWDAKSWDDDNLKLLGKSAFADEEADLEPEIVVKKDTKSSQTATRNAKPTVPPEKVVPNAPVKSQDTNKSLPMGDVPAKKKQKDIVEKKEAQKADGENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENLRDRTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLRMRNTEFIVALNKVDRLYGWKTCRNSPIVKAIKQQSKDVLNEYNRRAIEIITQFKEQGLNTELYYKNKEMGETFSIVPTSAISGEGIPDLLLLLVQWSQKTMVEKLTYSDVVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVCGMQGPIVTTIRALLTPHPMKELRVKGTFLHHKEIKAAQGIKITAQGLEHAIAGTGLYVVGPDDDLEVVKESTMEDMKSVMNRIDKTGEGVYVQASTLGSLEALLEFLKTPAVNIPVSGIGIGPVHKKDVMKASVMLEKKKEYATILAFDVKVTQEARDLAEEYGVKVFIADIIYHLFDQFKAYIDTFKEEKKKEAADEAVFPCVLKIMPNCVFNKKDPIILGVDVLEGIAKVGTPICIPQKDFIDIGRIASIENNHKPVDTAKKGSKVAIKIIGSNPDEQQKMFGRHFEIEDELVSHLSRKSLDILKENYRDELSNEEWRLVVKLKHLLKIQ >KZM97099 pep chromosome:ASM162521v1:4:10777444:10778097:-1 gene:DCAR_015539 transcript:KZM97099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKSILDAKDVKNPRVFFDIEIDGVHAGRIVIELFADISPKAVEKFWALYDGQNGGPWARYTDYSSTIRDVYFFEAGPNFYLRLSKTWGVVSGKVLDGVDVVKALESVRVIYRYGRKGMVLRTTIEPVIRVTCGQLSDQSLKYHNLKNYGPRYHNLIKGSNVPHEYVYRSGQGKDHKRRSVFGLSCRYSSLGVVDLLNRMLESKAFMAFIFGGEN >KZM98212 pep chromosome:ASM162521v1:4:21977960:21979009:1 gene:DCAR_014426 transcript:KZM98212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLILTLLVVLVSQYLPLQTQASAYTYSSPPPPYHYKSPPPPPPVHSPPPPPYHYKSPPPPPPVHSPPPPYHYKSPPPPPPKHSPPPPYHYKSPPPPPPVHSPPPPYHYKSPPPPVHSPPPPYHYKSPPPPPPVHSPPPPYHYKSPPPPVHSPPPPYHYKSPPPPVHSPPPPYHYKSPPPPVHSPPPPYHYKSPPPPVHSPPPPYHYKSPPPPVHSPPPPYHYKSPPPPVHSPPPPYHYKSPPPPPPVHSPPPPYHYKSPPPPVHSPPPPYHYKSPPPPPPVHSPPPPYHYKSPPPPVHSPPPPYHYKSPPPPPPVHSPPPPYHYKSPPPPPPTTHYIYSSPPPPHHY >KZM97869 pep chromosome:ASM162521v1:4:18783446:18786657:1 gene:DCAR_014769 transcript:KZM97869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSSRSSFPTSTHSNFERLLYSLTPKVPSIQIPESCIEKFNIMWNDPTQKPITKYFTLRDLWLSFEKWSAYGAKTKVIVNREEVDQFFVPSLSAIQIFTNKPYESVRNRGNEISFSSLYSNQMDISLQCDDYYLKPYFEFAEKVSPYKRIPLFDTVKNLSKSNPGLQTLTSIDLTPSSWMCVAWYPVCHIPNIGIPFKDFEAAFLTFHSISSFYQDFPEEDVQMGCNKRSPSISLPPFGCVTYKVEGNIWLNHGDLDFGRLYHLNKSADLWINQLGFEHHDFRFFTRQCSHHLVSAEPNAEPSAENNYSFHRFL >KZM99263 pep chromosome:ASM162521v1:4:30638346:30641033:-1 gene:DCAR_013375 transcript:KZM99263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETNSIPSFDINSTARPFCTQSAGSELMETKVLNSKCDDNESCSESAAMRVQKYYRGYRTRRLLADTAVAAEEFWWQAIDFARLNHSTISFFNFSKPETAASRWNRVSLNASKVGQGLSVDAKAQKLALQHWIEAIDPRHRYGHSLHLYYEEWCKADAGQPFFYWLDIGDGKDVDLPKCPRSKLRQQCIKYLGTQEREHYEYVVVKGKIVHQQTGIFLDTNNGLPGAKWIFVMSTYQKLYAGEKKKGGFHHSSFLAGGATLAAGRLVVNNGTLKSISAYSGHYRPTDERLDSLLSFLKDNGVNLDEVEIRKASEDAENNDEGKLSRDGSIEDTTSESLQPHNIPSLADTVPVHEETEVFEAKNNYRRTLSGGLQSPRADVPKKAILERINSKKAAKSYQLGHQLTLKWSTGAGPRIGCIADYPAELRLQALEFTSLSPKSSSTPPIYRRKDSPASPLASPSQLTNHEDVNYIM >KZM99553 pep chromosome:ASM162521v1:4:32963137:32963981:1 gene:DCAR_013085 transcript:KZM99553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSPAMRMLQQQLISQKYLRTQMSQNVAAALPPKASCDVFINHRSIDTKRSISSLLYDHLRWLGIRAFLDNKNMKPGDKLFEKINGGIMSCKVGVLVFSPTYCESYFCLHELALMMESGKKVIPIFCDVKPSELRVVDKGSCSHAEVQRFSSALEEAKHTVGIAFDSSKGNWSDVVQDAANIVVERLMESEDEEGLQAQFKQLPSGHKTWA >KZM97830 pep chromosome:ASM162521v1:4:18186340:18192824:-1 gene:DCAR_014808 transcript:KZM97830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSKSDYLGGQRDKFARLDDLDSRLSSPSSSVAMNNCGFNIEGLGRGSHATKTPSKSFKQGMRKGSEGLKSIGRSFGFGVSRAVFPEDLKVSENKIFDPQDKFLLLCNKLFFVSCIVAVSIDPLFFYLPVFHKSSYCLGVDRKLAIIATTLRTVIDVFYLIRMALQFRTAYIAPSSRVFGRGELVIVPAEIAKRYLKSYFIVDFLAVLPVPQIVIWRFLHTSDASDVLATKQALLCVILLQYIPRLLRIMPLTSELKRTAGVFAESAWAGAVYYLLLYMLASHFVGAFWYLLSVERYDTCWQRACEDSGSCKTNFLYCGDQNLQSYEAYNSWVNISESVINEKCGVDGEDEPFDFGIFKQALSSNIVYSKKFISKYCYCLWWGLQNLSTLGQGLQTSTYPGESIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERXQYKWLETRGVDEEALVHGLPKDLRRDIKRHLCLALVKRVPLFENMDERLLDAICERLKPCLYTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGVNLPSSTRTGKALTEVEAFALTADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYTKRKILELRRKEEEAEEMANSNASSGSYSLGATFLASRFAANALRGVHRNRNLKSARELVKLQKPSEPDFTAEAD >KZM99563 pep chromosome:ASM162521v1:4:33066485:33067415:-1 gene:DCAR_013075 transcript:KZM99563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILDQLRWVAGRAIAVSSVVDHNLKPVSDIRWEIFCCYFLTISGSESAGGSQVYKGVKDEALKAGTFQSSYKKKSHGSRGLAIMVEEMQAKVETVEEERNSATQRQSSTNCVKASDNLGELLAEPSDESTPQKANRKKVFIRSRL >KZM96750 pep chromosome:ASM162521v1:4:5749840:5750619:1 gene:DCAR_015888 transcript:KZM96750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLHVLFPIALYITLLLHAVCAQTDPGAAPADAPAGGGTLPVGRKGVPGGSAGAPSPGGDDEPLGGPAGAPSPSSDDDGLGSSKLTLPPGAFNLPAGFPMPSLGSPVGSDGLPLAPLASAGLVLPPMGSVAKELEKGMKGLGLSDLGTAFENSLKGGGLASSPASSPSSPSTDSSLASSPSSSSSVSSLASSPSSSSGSSSSSSSSGDSSSGGGVSSSGGSSSSSSVSSSASSSSDVATPSPAPSPSVLSASNLIPAI >KZM99687 pep chromosome:ASM162521v1:4:34025736:34026750:1 gene:DCAR_012951 transcript:KZM99687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLRKSVLVLMQLTILFVCAVLNLADAQGLKVGYYEKTCPSVEKIVKQATAKFISRAPTLAAPLLRMHFHDCFVRVIDGVKTAVESKCPGVVSCADILALVARDAVSMLKGPVPYWKVPLGRRDGRVSIMLDTLTNLPPPFFDISQLKSSFASKGLNVKDLVVLSGT >KZM96921 pep chromosome:ASM162521v1:4:8703031:8705133:1 gene:DCAR_015717 transcript:KZM96921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVSSAYIFKKHKKPLPIETKFSLPSPIPSWSPGDGFASGTIDLGGLQVCQVTTFNKIWASHEGGPDNLGATIYEPSSIPEGFTMLGCYGQANNEPLFGFVLAAKQTDPESDILKSATDYTLVWSSDSSKIKQDGVAYVWLPDPPDGYRTMGHVVTTTPDKPALDKIRCVRADFTADAETETWIWGLGKDLNVNGFNVFAIRPKDRGTQALGVSTGTFLAQNGGTDVSSFCLKNVEANLSAMPNLTQLREVMEAYSPVVYFHPDENYFPSTVNWYFQNGALLFSKGNESNPTPVEFMGSNLPQGGSNDGAFWLGLPNDKNASERIKKGDLQSASAYLHIKPMLGASCTDIAIWLFYPFNGPARAKIEIVNISLGKIGEHVGDWEHVTLRISNFNGELRSVYFSEHSKGQWVSAPQLEFENGNKPVTYSSLNGHAFYPKAGLVLQGSGGIGIRNDSAKSKNVMDTGRRFSIVSAEYLAASGVVEPPWLNYMRKWGPKISYDIAEELKKVEKLLPGFLKKAFVKLVKNLPSEVLGEDGPTGPKVKSNWTGDESV >KZM98938 pep chromosome:ASM162521v1:4:28149244:28149480:1 gene:DCAR_013700 transcript:KZM98938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGAQPKESLLPTTYESKEGGENKTKTDIRSRDDAGGVEIDKLQDKVQDAAGKGGPVFGAGKDENKSDLGVTGTG >KZM98052 pep chromosome:ASM162521v1:4:20665630:20667939:-1 gene:DCAR_014586 transcript:KZM98052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITTVYHDQHHIPRPRGLLIAACAFLLIFSQLSLSDALSESEILLKFRDSLSNNNALSNWNESKPPCPTGNWAGVYCINNTVWGLKLQDIGLKGNLRIELLKDLRGLRTISVMGNELEGEFPDFRAVSSLKNVYMSNNKFSGEIRADAFLGMFSLKKFYAANNQFEGLIPASLAVLPRLIHLRIENNRFRGEIPNFQQKNLKSFNVSNNQLEGPIPDNLVNLDATSFTGNTNLCGGPLGPCRVFMAKLPVGMMVVSAVAAVAALAAIVVAFVILYRRRQVSTQNQDGTYEVGPTSSNMPPAASADLDSMEQGSSQVAAKTTSFKRSISSSVKSNAVQNPMKLTFLRDDRMRFNLSDLLRASAEVLGSGMFGSTYKAVLDDGSRHGGKATSMVVKRYRKMNNVAKEDFQEHMRKLGKFRHPNLLPFVAYYYRKEEKLLVSDYVDNGSLAFHLHGGSNPLDWGKRLRIVKGVTQGLLYLYKELPSLIIPHGHLKSANVVLNEDWEPLMSDYALSPIINQEDAQDLMVAYKSPEYQQLGRVTKKTDVWTLGILILEILTGRFPANTIQPGNVGKDSVDPAEWVNSLMKENKNWKDKVFDKDMMMNIKGKEDEQEMIKLLKIGLACCEKDVEARLDINEVVERIRNVREPDRYPLRNDVENHHHHYSSSKDEDFYSSCASEGDTRSCRGPDDLS >KZM99028 pep chromosome:ASM162521v1:4:28841542:28842936:-1 gene:DCAR_013610 transcript:KZM99028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKDHENERQAEESEVIVVIVPFPAQGHLNQLLHLSRIISSYNIPVHYVSTAIHSRQAKLRVQGWDPLSAPNKMITFHDFSTPSFVSPPPNPHSAAKFPAHLLPSFYAALHLRAPVGELLSALSPTTKRLVVIYDFLFSSVIQDVVSIPNGEAYSFQCVSAFRVSSTVWDITGKPVEIDDQIMEQLPSRSATVIPQVMDFIKMQEEHNKYSSGVIFNTCRPIEGPFLDVLAKVNAKQWALGPFNPMEISNNSSDRRHRCLKWLDKQVPNSVIFVSFGTTTSLTDEQIERLAVGLETSGQKFIWVLRDADKGDIFTGAVRECELPKGFQDRIQVLKIAVVVRDWSRGEELVESLTIENAVRELMASNEGEAIRKRTAELSMAVKRSVTGGEMDNFIAHIRR >KZM98917 pep chromosome:ASM162521v1:4:27939059:27941379:1 gene:DCAR_013721 transcript:KZM98917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPYLDNLFHKRGSVREKGLTTLITKLKSGIQLELVEENYFTILSRCENSIKKGSGLEIKLAAQVIGLIALTAGPGDCANEIYSESLRVLPQALETKSQPIPILECLAIVTFVRDGDFDETERSMKIIWEYINKEGVARVVVVAIYAWSFLLAKADHCKLDRNYWKGVIPYFLELLKNEDEYALYHPLIIEALALVSDKGVKHKFGSESDGKLSTLVLEDAGMTNVQTAVEATTKVSEVSEDLKCHQTSLNVCGNIYTLRTWSEQKKMNYVKRYLGDGFKKHIVDNNFLRNAFKCSREEYAGPTLYVPEEKEVITEIYVPGGRDIKDDERLMGRSSNSSIHGETRQQNFLKSLVGKSGNSIMSKGRTQHRNKLRMISQTVDSLGCEIIELLAHPKQPLMNL >KZM99734 pep chromosome:ASM162521v1:4:34366314:34369413:-1 gene:DCAR_012904 transcript:KZM99734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAHVVTSREGMPDAISVDKYQQGENPDAVFHDSVSNQKEIPPSGVHDKEEQGNLQQRQKPSEAGASQVSQEVSIGRVESEKLPNNLKPVQSLDDITDASQSNQDGSSISMVHETEPVSLHQGHSYDSAIRTSESCQEGSSLSTIPELELDNSLPTQSLGTIILTSKTAEHGTALSTVPENVQDTLQQNQDPDTCLSVSKSDQEKSSLSIMQEKVLEKLPLRRNPDIGVQPLQSVQEGSPRSKLPEKPSEDGYNWRKYGQKLVRGNQFVRSYYKCTFLSCPAKKQVERTHEGNITNINCRGNHEHPKPQQSPQTPVPLQAKRPEKSPVTRSEDETTDVHKEIPHQIVLIETPKPLRVQASVDVMESSASKSNKTKDRVDQDADPESKRRKRDNSTSDDVLSSANRTNGGTRIVIQSTSLVDIVNDGYRWRKYGQKLVKGNANPRSYYRCSNTGCPVKKHVERASHDSKVVITTYEGQHDHTMPSARTVTHNTSGADTNANPQNGEARSDTEEKETPTSGLEMVVHVGASE >KZM99887 pep chromosome:ASM162521v1:4:35604954:35606024:1 gene:DCAR_012751 transcript:KZM99887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GGPS-4 description:geranylgeranyl pyrophosphate synthase MKSLNVFNPWLQTTSISTHSNSFITTFYKQLRPTCPNLKSARPISSVLANQQTQQTQTQTGSFNFKSYMIEKAVSVNTALNDAVLVQEPPMIHEAMRYSLLAGGKRVRPMLCIAACELVGGRESFAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVYGEDVAVLAGDSLLAFAFYHIATSTKGVSPRRIIQAVGELAKAIGTQGLVAGQVVDILLTGDSSVGLDQLEFIHIHKTAALLEASVVLGAILGGGEEEEVEKLRKFARCVGLLFQVVDDILDVTKSSQQLGKTAGKDLMVDKSTYPKLMGLEKSREFAQKLNREAKDQLLGFDEAKAAPLLALADYIAYREN >KZM98650 pep chromosome:ASM162521v1:4:25777384:25781289:-1 gene:DCAR_013988 transcript:KZM98650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVQHIRENNKEKWLQLGDSCVGRERERECTINTCLPSFITTKIHTVTCIYPPQQYPPPLCIYYLHNYTVFYRGSGVCTDALEMGKISQQQLPLHQQEIDGVGSSDHSGICFSMWGASKLCKVCNLRCLVVLILSVSVFLSAIRWGLPHHSKKSGFDAKSEIKNSATVQAYFRLQRPVSVLIPFMSKLEYDINEEIGIPSTKVAILSMHPAHVVNSTDVIFGMLSDPISAPINAIYLSVLRSSFVGLFQKQVNLTVTNSTFGQPALFESVKFPGGITVIPKKSASPWQIPQIFFNFTLHNTIKDIEQNFDDFKVQLTSGLHLAPDENIYVQVTNEIGSTVDTPVTVQISVTSDQGGILPQRLKQLAQTITGSHSKNLGLDNSVFGKVKQIRLSSYLRNTLHASSPTPSPAPSPGGNEYTEPSYSPDISPSYAPAPLPHLRHKSPCYDCAAPSPSVGNNPISPSPYHQHYSPNPKSNSPEPSNVYTHAPHPSPHCGSENSPSPAPSQSKPVPPSPLSPALSNPLTPTLSPHSSTPATPMAPTSQTIPGLSPLPAVAYSSSPGKGRINGMSSDTSSSSSFSSKTWTFLIFMLLTLHQLCWV >KZM98955 pep chromosome:ASM162521v1:4:28280248:28280418:1 gene:DCAR_013683 transcript:KZM98955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKMIFIAVVMMMAAVQLVAAADAPAPSPASDASVVAVPAALASVLAVVYAFVL >KZM96607 pep chromosome:ASM162521v1:4:2082602:2083416:1 gene:DCAR_016031 transcript:KZM96607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSVYELEGARSLHKHLLLYTNQAMTLSTNSNAIDSHSSTNIKFLYSYGGKIIPRPTDAQLRYSGGFTRVLSVDRSISFAELMIKLGELCGCSMSLKIKLPYEDLDFLVTITNDEELASAINEYSLVSKATGKDMKIRAILYPHPSLKKISPPSTPGSVWSGHCSSRPPRKSTVKTCQSGSFFHRYEAPVVGIPVGVEKVETRAWQSVRKWNQWL >KZM96904 pep chromosome:ASM162521v1:4:8555865:8561629:1 gene:DCAR_015734 transcript:KZM96904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVAFVGFVYWNGSIVLGAKEGHAVSPHFAQLMYFGLLSALLMVPVHFTLSQTAVLYQSLRKLRVLGIVLCLTGFTTAFLSVNFFSIAHPYLLADNRHYTFYIWRKIINFHWSTKYLMVPLYVYSWFSIFSILAKF >KZM98051 pep chromosome:ASM162521v1:4:20660854:20664617:-1 gene:DCAR_014587 transcript:KZM98051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVHRGGAGNSQNADMVAVAIDKDKGSQHALKWAVDNLLGKGKAVTLLHVKQPKSASGSGTNLDAAKSPADKEFFLPFRCFCTRKDIKVSEVLVEDTDVARGICEFLSANLIQNLVLGSPTRGIVSTKFKASDIASSVSKAAPEFCNVYIIAKGKISSLRSATSSPGPNSRLHMQHSQSSHNSPSFNHLDARFTPSTRVSDQRIPFAPRHNSEDLDIKSPFTRGNISNKSYGDLPDCDISFVSSGRPSTDRMSDDFGSRLSCGSDTENRLSFGSAFNSNEGLNSTSLSLDSSPSHKVDDVEAEMRRLKLELKQTMDMYSSACKEALSAKQKAMELHRWKMEEEARLEEARQAEEAALAIAEQEKAKCRAAMEAAEAAQRLAKMEAQKRISAEMKALKEAEEKKKYMSTLAHNDTRYRKYSIDEIEAATEFFNQSRKIGEGGYGPVYKCYLDHTPVAVKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRQGNSPVLSWQQRFRIAAEIATGLLFLHQSKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQIITARPPMGLTHHVERALERGTISDILDPEVTDWPLEEAEAFARISLKCAELRRKDRPDLGRDVLPLLERLRQLAEDSLPNIDMIYNMGMGGGRSSASSNSSMSTRTQDQIASHVSNE >KZM99557 pep chromosome:ASM162521v1:4:33027997:33028323:1 gene:DCAR_013081 transcript:KZM99557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVSSKKMMGRLQTPANVSSVTDHYKAERFHDQRKHSMGAPRRHQHTSLRSMTLHNHREVPPRDKLRGRRAGKEVARRALMPPIRKLNMRWRNFQPTPSRLSNMSMT >KZM96567 pep chromosome:ASM162521v1:4:225004:226284:-1 gene:DCAR_016071 transcript:KZM96567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSSISNLCFGGDYCHCSDQSGYCGCCSDPLMAKVLLMSLKADRVGINLTAASHAFVMVCSMCWSRFQIFSAIAILILYFVQPRT >KZM96920 pep chromosome:ASM162521v1:4:8699065:8699508:-1 gene:DCAR_015718 transcript:KZM96920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQSSPSNHLVTLTFIVTVGFASLNFVSCGSFVVINQLEHGKPLGVECPPHPSVSIGKGRRNTWNVDNYPNKLACTFFSNGRKTKIDNVLGDKQLYYLVVNDGIARGTQDVPNDGKSGDWKPAARWYDEDDFDKDPCVRDPISCER >KZM97553 pep chromosome:ASM162521v1:4:15755810:15758777:1 gene:DCAR_015085 transcript:KZM97553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAILIRALYRSAIRRSLGSPTVIGSTSTNINHYLTQGNRCLHLSATVKRLSPDIRTPFLMTMNIGRTRSFSDDVTHMPAINDADIKTAIKDLLAADWSELPSAVTKDAKRALSKDTEDKAGQETLKNVLLAAEAVEEFTGKLVSLRMEIDDSVGLSGENVKPLPDLFSKALLTAFQRYNAYLEAFGPDEAFLRKKVENELGTRMIHLKMRCGGLGSEWGKITVLGTSGLSGSYVEHRA >KZM99270 pep chromosome:ASM162521v1:4:30677873:30680789:1 gene:DCAR_013368 transcript:KZM99270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTQEQAVTQSGQLSGSLSFSGSMSKEDEEMSKTALSTFRAKEEEIEKKKLEVRERVQAQLGRIEQETKRLANIREELEGLADPMKKELSLVRKKVDSVNKELKPLGQTCQKKHKPNRQKALKREKKANKINKRETQETRGVFKTTEMMQTQEQAVTQSGQLSGSLSFSGSMSKEDEEMSKTALSTFRAKEEEIEKKKLEVRERVQAQLGRIEQETKRLANIREELEGLADPMKKELSLVRKKVDSVNKELKPLGQTCQKKEKEYKDALEAFNEKNKEKVQLITRLMELVSESEKLRMKKLEELSKSIELTR >KZM97795 pep chromosome:ASM162521v1:4:17917500:17920281:-1 gene:DCAR_014843 transcript:KZM97795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFVKNAVVTVPAYFNDSQRQATKDAGVIAGINVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIASKLSADDKTKVEEAIEGSIQWLESNQLAEADEFEDKMKELESICNPIIAKMYQGAGGEGAPMDDDDIPSGAGGSAGPKIEEVD >KZM99039 pep chromosome:ASM162521v1:4:28931068:28932379:-1 gene:DCAR_013599 transcript:KZM99039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSLCLVLVFSLFVSFAFAAIPPYLDGYLANGNFELGPKRSDMKKTTIIGKYSLPKWEISGLVEYVSGGPQPGGFYFAIPRGAHAARLGNEASISQIVSDLKPGSIYSLTFSATRTCAQDEILRVSASGRSSDLPIQTLFSSDGADTYAWAFKASSSSEKVTFHNPGIQEDPTCGPLLDAIAIKEILPLKYSKGNLVKNGGFETGPHVFKNFSTGVLLLPKQQDLISPLPGWIVESLKPVKYIDSKHFSVPSGSAAIEIVGGRESAIAQVVRTVSNKLYDLTFTIGDAKNGCHATMVIEAFAAKDTVKANFTSQGKGGYKSASLRFRAISDRTRITFFSAYYHTKINDWGHICGPVLDNVRVWPARV >KZM98496 pep chromosome:ASM162521v1:4:24472333:24476008:1 gene:DCAR_014142 transcript:KZM98496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPILKRSESVIDTMPEALRQSRYHMKRCFTKYIEQGKRLMKLHHLMAEMETVIDDKFERTQVLEGLLGYILCTTQEAVVIPPHVAFAIRPNPGFWEFVKVSSIDLSVEGITATDYLKFKEMIVDENWANDANALELDFGAMDFDAPRLTLSSSIGNGLNFITKFLTSKLNGPLEKAQPLVDYLLSLNYQGEKLMINETLNTALKLQGALIVAEAALSLLPRETPYTSFENRFKEWGFEKGWGDNAGRVMETMRYLSEVLQAPDSVNMERFFSRVPTTFNVVLFSVHGYFGQADVLGLPDTGGQVVYVLDQVLALEEELLLRIKQQGLNAKPHILVVTRLIPDAKGNTCNQELEPVLKTKHSHILRVPFRTESGIDATKKILEIMEGKPDLIIGNYTDGNLVASLMANKLGVTLGTIAHALEKTKYEDSDLKWKELDSKYHFSCQFTADTIAMNSADFIITSTYQEIAGSKDRPGQYESHTAFTLPGISRVVSGINIFDPKFNIASPGADQTVYFPATEKLKRFTSFRPAIDELLFSKIENDQHIGYLEDKKKPIIFSMARLDTVKNISGLTEWYGKNKRLRNLVNLVIVAGFFDPSKSKDREEIAEIKKMHTIIEMYQLKGQLRWIAAQTDKNRNSELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGYHIDPNNGDESSNKIADFFQKCKEDAEFWNRISSAALSRIYECYTWKIYANKMLNMGTIYGFWRQLYKDQKLAKQRYIQMLYNLQFRNLVKTVPLSVSAPAPQLAIPKEIVKVQPKRTQSRFSRLFGA >KZM96834 pep chromosome:ASM162521v1:4:7375469:7382440:1 gene:DCAR_015804 transcript:KZM96834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPGGSFVAVRRISQGEVGAGSAAWLGKGLSCVCVQGSENDARPSFDLSAAQEHCLQRLQYRIDVSYDSSLPEHKETLRALWTVAFPKENLRDLISEQWKEMGWQGKDPSTDFRGGGYISLENLLYFARNFPKSFQALLRKQEGDRALWEYPFAVAGVNITCMLIQILDLEAVKPRTFVGATFLKFLEENESAFDLLYCIAFKLMDQQWLSMRASYMDFNAVMKATRRRLEAELLQDNITRLEDLPSYSLLKR >KZM97821 pep chromosome:ASM162521v1:4:18111640:18112464:-1 gene:DCAR_014817 transcript:KZM97821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLSTDDSIQIREVWSDNLEQEFALISEIVDDYPFVAMDTEFPGIVIRPIMTFKSSTEYNFHTLKANVDMLKLIQLGLTFSDDKGNLPTCGTDKGCIWQFNFREFDLEKDAYANDSIELLTQCGIDFQRNSEQGIDVGKFGELLMSSGIVLNDNVQWVTFHSGYDFGYLLKMLTCQKLPDTQAGFFDLLKMYFPVFYDIKHLMRFCNSLHGGLNKLAELLEVERIGICHQAGSDSLLTSRAFMKLKESFFSGSPEKYAGVLYGLGVENYKAAP >KZM99022 pep chromosome:ASM162521v1:4:28812262:28813719:1 gene:DCAR_013616 transcript:KZM99022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTNSFLKNNDLIGRFLVTMLLSSNLYKNDICSKLPSDNPTVSSQSEFSILPSSLKSLSLDGHIFFEDIQHAANDFGNRYHFLPAAVLHPKSVRDISSVVRHVYELGNTSMLTVAARGRGHSVAGQAQTHQGVVINMQTLQEQKMCFHTGDLPYVDVSGGELWINILHEGLKHGFAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQINNVYELEVVTGKGEIVTCTKQHNADLFYGVLGGLGQFGIITRAKISVEKAPKRVKWIRMLYTDFSIFCQDQEHLISSDNYFEYVEGFVIINRTGLLHNWRSTFSPKDPLQASKFHSEGKTLYCLEIAKYFNPEESDTVNQVS >KZM99115 pep chromosome:ASM162521v1:4:29526296:29526946:1 gene:DCAR_013523 transcript:KZM99115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSEPWNYRPVFTDSWLSEAFARDTDTLTNALQKTFYNDNVNVNDCVNDPFYPLFDMVKPESTSTMPTPSVSGSEPDTPVPKRRGNGVANGKITKRKSRASKKNTTTFITADPSNFRQMVQQVTGVKFGNAQVPVASVLKPEPQRMVNRFQGCLPTLDTSAFLLDHHVAAANAPVMSQQPTMGYGTAGVAAEGGGAGFDFESFSGFPTLESWKVM >KZM96613 pep chromosome:ASM162521v1:4:2201996:2203112:1 gene:DCAR_016025 transcript:KZM96613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVYLSDLRGNEEDWIIECAFAGCESLSVQRMAVPRFKHRLTEGLIYELRNVKVSTNIYPYRPLASSLRLLFQAATEVRPLGEDGACIPRYGFQFVNQTTLRGRADDVTTLSDEHISVLGLEVEDTTG >KZM98960 pep chromosome:ASM162521v1:4:28300773:28304724:1 gene:DCAR_013678 transcript:KZM98960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSVSTHLSPRVSSSSHTHLFYNYHPTCKPNNLLLKFSRNSSPIVLFDHKSGSRTVLKQQKKSLFIRACAQVGAAGSDPAVNKISEFADAFWRFLRPHTIRGTALGSISLVTRALIENPNLIRWSLLLKAVSGLLALICGNGYIVGINQIYDIGIDKINKPFLPIAAGDLSVRHAWILVLFFAVAGLVTVGLNFGPFITTLYSIGLFLGTIYSVPPLRMKRFPIVAFLIIATVRGFLLNFGVYYATRSALGLPFVWSSAVAFITTFVTLFALVIAITKDLSDVEGDRKFQISTLATKLGVRNIAFLGTGLLLINYIGSVLAAIYMPQAFRGSLMIPVHTILGSTLIFQVLKHFPQILCAL >KZM97700 pep chromosome:ASM162521v1:4:17064754:17065083:1 gene:DCAR_014938 transcript:KZM97700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRFIIVLVLVAIANTNITESRNVKLEKTKVTVAQEDPLKFHFPLPPAAPGYPPDTISKFHFPLPPAAPGYPPDTISRLFVSATSDDTMPKCLPPKCVLPDPPPARN >KZM99396 pep chromosome:ASM162521v1:4:31786317:31786565:1 gene:DCAR_013242 transcript:KZM99396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNESENNICYSFEQVEKAEEQVNEEEVSQLCKDHCSGVSPPDGEKDREPTGEVACCDDGSGDKCRGGPQQDSHFKFKGME >KZM97084 pep chromosome:ASM162521v1:4:10638624:10643940:-1 gene:DCAR_015554 transcript:KZM97084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHVVSEVEEPNACNGECNKKGDASVVCCLSSSTSSCSSTISSPMAAAAAAAASPMFMELWHACAGPLITLPKKGDLVVYFPQGHLEQAASASPFPHIQVSNFDLNPQIFCKILDVQLLANKENDEVYTQLTLLPVPEPEFPDTNLEEKQHECPGMDDEEGGATPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFAPLDYTQQRPSQELAAKDLHGVEWKFRHIYRGQPRRHLLTTGWTIFVSQKNLVSGDAVLFLRGANGDLRLGIRRAARPRNGLPDSVIGSQNTCRDVLSSVANAISSNSPFHIYYNPRASHAEFVVNYQEYVKSIRSQIPIGVRFKTKFDKDDSSERRFGGVVTGIQDLDIYKWPNSKWRCLRVRWDDKDAIGRHDRVSPWEIDLSVSLPPLSIPSSPRLKKLRTSLQATPPSNPIPARVGFLDFEESSRSSKVLQGQENVGLVSSHDRGDKLNYPSEFEMQSIMHQRIASHRMSNTGANNFTRAQPSNTYTGFLESNKFPKVLQGQEICSLKFLTGKSDVKLSAWGKTIGYDLLDMHQTPKANFYPLASEGTRNMYFPSNNVNKAAQDHGMLAYTNFPKEKLPLISSFNQSGYTNHEATKFNSSNESRSDSVISSFPEVKVNSKYEKDDILNGMVHKQGNLVGRSIDLSKLNGYDDLLIEIESLFSMEGLLRDPAKGWRILFTDNENDMMVVGDDPWNDFCKMVSKIHIYTQAEVEKMMIGMINDDTQSCLEEAPQ >KZM99669 pep chromosome:ASM162521v1:4:33877567:33879282:-1 gene:DCAR_012969 transcript:KZM99669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSGQVKQLTWLEPSKQWNLFWSQPRQQCEVYAFCGAYGACQNSLPFCNCLPGFKERFGDDWNLNDYSGGCERQMELNCGNTGTTDKKKDKFLMQPNMGWTSTTPNSALPANAKPQTVAARSDKECESTCLSNCSCTAYTFDNDKCLIWTGDIMNLQQLPANDNNGKAIYIRLSSQAPEFSGGKNNKGVVIGAVVGAVIVIFLVGLVLFVLIRRRRFSGTSKAEGALMAYVYRDLQSATKNFSEKLGGGGFGSVFKGTLPDSTVIAVKKLEGISQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGNKKLLVYDYMPNGSLDSQLFYDKRDKVMDWKTRYQIALGTARGLVYLHEKCRECIIHCDIKPENILLDAEFCPKVADFGLAKLVGHDFSRVLTTMRGTRGYLAPEWLSGVAVTAKADVYSYGMMVFEFVSGRRNTEQYADGKVTFFPSWAASVTIDGGDILSLLDPKLDRNADVEEVTKLCRVACWCIQDDESIRPSMSQIVQILEGVSEVNVPPNPRNLQVFIDNEEEVVFFTESSSTQSSQTKSNHSTTSSQAKSTSAESSNV >KZM97315 pep chromosome:ASM162521v1:4:13452130:13454634:-1 gene:DCAR_015323 transcript:KZM97315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRRQIITRTSTCKDDDDDDDPTSSSSSSSSLAAQAFIAARSDSSYSPRSPQGPTYDYRSLKTTNDQAGGFWNVLARTAKSVLEEDTSPRHYSRPNQMAYNSTYLTNDQYYHSRLSNERSKPVDNPSLRKGLDALTSSFNQFSGTTGNALEEGRSKVEKKSPDILQQSRSMQIRRKGSDLEGNSQASGIPKQEPLINSAQPRVQSNQQTQIKASRDVAMATAAKAKLLLRELKNVKSDLAFSKQRCAQLEEENKMLREAFDKGDHPADDDMIRLQLETLLAEKARLAHENSLYARENRFLREVVEFHQLTMQDFVYLDEGVEEVYPVPGISRRPSLSPPSHRSPTPERSPSRTSMVSNKSSLGPLQLQAVTEVVGNEAPLDSTSPVSSPETATAKPNTYST >KZM97081 pep chromosome:ASM162521v1:4:10592936:10593384:-1 gene:DCAR_015557 transcript:KZM97081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTITVCEAPGIKGEEKYCATAPEKMIDFTTSRFGNKVKALSTDVNDVKAYKVSLVGYDGTKAKAAAICHTYTSSWNPKHLAFQALTVKPGSAPICHFLPEDHVVWVPY >KZM98289 pep chromosome:ASM162521v1:4:22583670:22587248:-1 gene:DCAR_014349 transcript:KZM98289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEFLRARLLSERSVSRSARQRADELARRVLELEEQLKIVSLERMKAEKATADVLAILETGGASDLSEEYNSSSDQEATPSGSEVGRSSLTGEENLADQRSCGRSLSWKSEKDSPCFLEKKYKNSSRRRHGSVISSANSSPKQVGKSCRRIKRRETTETSVVRSAADQLQIDTNRLPLEGCEVAESPNVPTIADNVPATSEEPSEVLENHRLSNGHSLSAHGEDKDMEIALEQQAQLIQQYEAEEKAQRDWEEKFRETNSRIPVSFDVGNHSDVTEDRDESREPASPFGEDTFVSCNQEPSLADERLSVSYEPTRVKKSTSNVAFKSQASDVALSKGGYDQQQSVAHHYFPPVSQYNGVPQMENTEVFSSTTNGLNKEASGSTNQFSLMPHDTSNKLGSVLEALQQAKASLKQNLNRSPILDSGPVRPLNPSVRITGSENGFEFPVGSAGLFRLPTDFQHETTAPGHFRRSGSQLSSTNNSPGPVGDRFYPSPYMQSRSNSPGDGLFTTRANPYMDPRSTLPTMFPVFRTMLDSERNTALAYSSPDPRLNAGLPSGSRYSSLDYPPYPEMVRRMPAETALSGPAANRDMGVRYPSHSQHYDDPRQYMYR >KZM98249 pep chromosome:ASM162521v1:4:22242703:22245972:1 gene:DCAR_014389 transcript:KZM98249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQETLKEYSNLFSQSPEVTSKVTVSNGEVNDGIKILTEKLSAALVNVGAKEDLVKQHAKVAEEAIAGWEKAENEVSILKQQLEAAVQQNLGLEVRVNHLDGALKECVRQLRQAKEEQEQRIHEALTEKSNEWETTRYELESELLELQNQVQEVKDNGPICIDPGVQHKLESIEKENAFLKSELESLSEELEIRTIERDLSTQAAEMASKQQLESIKKVAKLEAECRRLQAGVRKSLMVNEQKSPAASSLSVESLADSQSDNGERIKTLDADVYRTSGIDTNAGDKGCSDSWASALIAELDHFKAEKTSNKNLTSCSPDIDIMDDFLEMERLAALPEPESKTCEVESESVSCQTNDTESSLRDEVKTTYQRVAELENNLLQIEAEKESIACRANETENMLRAELDTMTQRVAVLEDKLEKIEAEKSELVKSLIASTESVDLSKAQLKETETRLEQLQKELNAMNESKELLEYQLVGMEVEIRTMSKEVDTLHLDVKKEQNISAEMVLKCRDLEDELTRRTQEVELHQAKSSDSDVKLNQEDLVVAADKLAECQKTIASLGRQLKSLATLEDFLTDTPNVPRLRRGESSVPPAVGAEPWKLHSNESFLPKRDPSSELSAETPTPLVNGYNKESPVSASSSSSSDASLNHISSGKSKSGFGKLFSRSKSGVQLGNHQGLN >KZM97385 pep chromosome:ASM162521v1:4:14138621:14140838:1 gene:DCAR_015253 transcript:KZM97385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINIGAVLTHNNGGHGEKVSLGCIASCGLSCARTGELLPACMAACILINCTDSPIADPDGAKQCSSACAQSVCARYSDSWGVDSKAVKFRGQG >KZM98615 pep chromosome:ASM162521v1:4:25491373:25494650:1 gene:DCAR_014023 transcript:KZM98615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILERYDRYSTTDGQLVATDSISQGSWILEHAKLKAKIEVLQKNQRHYMGEDLESLSLKELQNLENQLDSALKHLRSKKNQIMFESISQLQKTDKALQDKNHMMSKKVIKNKEKELAQPSPLEQQNQETSSFVLQKTLQPFNVTGDVFQAVGNSGDVEGTSQQQNQTNTMIPAWMLT >KZM97073 pep chromosome:ASM162521v1:4:10470293:10473188:1 gene:DCAR_015565 transcript:KZM97073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGITLLFLTSAVHSLRPPPCGFDESSSCQSPTKLQFTALYTGIILASLGIGGLRSTITTMGADQFSKPKDQGVYFNWYYVATYVVAVISSTAMVYIEDNISWKLGFGLSVAANLLGLVIFLLGSRFYYLAKPEQNPYKGIARVIVATFRKWKVPLSCKSEDYYYGHDAEVNTNELAAIPTKSFRFLNRAALKTDDQTNSKANPWKTCTVQQVEQLKNLIKIFPLWSSSIFLATPIGIQSSLAILQSLTMDRRIGPHFNIPAASILVFVLISTALFLIIWDRLLMPACQRLLNLSLTPLQRIGTGHVLTVISIGISALVEKKRLNIAKSNRDLKVVPMSVLWLVPQLSVMGIGEAFHFPGQVDLYYQEFPVSLKSLSTAMIHLIIAIAFYLSTALIDLVRNATNWLPNNINNGRLDNVYWVLVVTGMVNSGYYMVCACLYKYQKAEQVNESVRPASDAEKY >KZM98784 pep chromosome:ASM162521v1:4:26876296:26876778:-1 gene:DCAR_013854 transcript:KZM98784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSKVKIEFNALDPRTAACMEFLAQCNARKAKESNPACQLLVKRRTDDHPPQITVTFVNGVEEKFDATCTPAQKIRTMILEKGMMLETEQMFKDAGEKWPVVIPEEEIHQYAPGTKVIDCFVVFWVRHFVEHRVFAACFDFLVVDLGVFVIKFVNVT >KZM98232 pep chromosome:ASM162521v1:4:22122246:22124530:-1 gene:DCAR_014406 transcript:KZM98232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIIDFFLIRLFVADLRYLLSDLHYSQTGGGSSADDAPSCPRGHWRPAEDDKLRQLVARYGPQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRKPFTEEEEERLLAAHRIHGNKWAHISRLFPGRTDNAVKNHWHVIMARKQREQSKLCGKRSCPDLISAPDHVHRPNNNSSKICCTNTHFLEFQNYKKMDSSTSWNFRPSASKDHAGNADRGGVNYSSSNEFFRGSASSSTPLLHHPYHLSPSVSRGTLYRDFGSRAISGYKMLHSKHGDQESMNRAMVSLGNNQQVREDESIIQKEIPFIDFLGVESLNS >KZM99400 pep chromosome:ASM162521v1:4:31806853:31807595:-1 gene:DCAR_013238 transcript:KZM99400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKLFFILNIAIFVSSVFSYQFEVGGDEGWITPSSANFTTDIDEPYNVWASHNRFRIGDTLHFRYENDSVLVVGYEDYKNCTTTNAISEFDNGDTVFKFKRSGFFYFISGQPDHCTSGQKMIIRVMHPSEYTPPHMQPQSPESAPSPGTRALGPSGDSYSSSTRVSAVAAFVVAALAAPLVIIYLFI >KZM98669 pep chromosome:ASM162521v1:4:25906422:25910312:1 gene:DCAR_013969 transcript:KZM98669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLFSFIFLILFPHFSNSALHSSGQNIMPRGSVLKLTTDAVSATFDPTRVTQLSWRPRAFIYRNFLSDEECDHLIALAKDKLEKSMVADNESGKSIESEVRTSSGMFLNRAQDEVVAAIEVRIAAWTFLPPENGESIQVLHYEHGQKYEPHFDYFQDKVNQELGGHRVATVLMYLSNVDKGGETVFPNSEEKDSQLKTDDMSDCAKKGYSVKPRKGDALLFYSLHPDATTDPLSLHGSCPVIEGEKWSATKWIHVRSFERAVKNKPSGDCSDENENCAQWAAAGECNNNPLYMVGSRDKLGFCRKSCKVCSS >KZM99255 pep chromosome:ASM162521v1:4:30555267:30555644:-1 gene:DCAR_013383 transcript:KZM99255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNLQYKQSDSTKTNRWPADRATSKRPLQCTNESRDHEATISRSTSPEAAAALTSKTEAAVEDCGRKRLKEHRIEMAGRVWIPDIWGQEDLLKDWIDCNPFDASLVNNNILSARQALIQGQTSS >KZM97992 pep chromosome:ASM162521v1:4:20178071:20181406:1 gene:DCAR_014646 transcript:KZM97992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFRRAARLLNLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRVQHDNSRGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPKDLSKSELERLTRVFTQKIHDLIGVQTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAIVTGKPIDLGGSLGREAATGRGVVYATKALLEEHGKSVKDLTFAIQHKEDTGSLKNFSGGDDMPAEHLLGQECDVLIPCALGGVLNRENAQDVRAKYIIEAANHPTDPDADEILSKNGVIVLPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNRELKKYMTRAFLNIKNMCQTHNCDLRMGAFTLGVNRVARATMLRGWEA >KZM98337 pep chromosome:ASM162521v1:4:23038768:23039898:1 gene:DCAR_014301 transcript:KZM98337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHSDDGWSHEENKLLEDLLEPQIDDGWTSEDNKLVGDLLEDLEVIESSNNGSRDIVKEDNGGPSKKRRVTKKPRAKPIPWTEDEHNL >KZM96985 pep chromosome:ASM162521v1:4:9469361:9469582:1 gene:DCAR_015653 transcript:KZM96985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KZM97647 pep chromosome:ASM162521v1:4:16518051:16520338:-1 gene:DCAR_014991 transcript:KZM97647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEPDSDVARWGLDLLDIDQLFSSSYYTDTSQHDVEINHENYSINSQQDAESSSLHIEETYPHTLQEQLSQLALDKGTESYSAEGDPWPVSTGIQDWSSTYYDVKSHDGDLNGTDFIRPSSSCSSPEDQSYDGEEFVHTLDITDEFELDGEVGKRLNQMISAPHVPRTNGDIPSVDEATSDHQRLLDRLQLYNLVECKVQGDGNCQFRALSDQLYRTPEHHKSVRRQVVNQLKSHPEMYEGYVPMDYGDYLKKMSKSGEWGDHVTLQAAADSV >KZM97760 pep chromosome:ASM162521v1:4:17586043:17590159:1 gene:DCAR_014878 transcript:KZM97760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQSSNFEVDLGNLMAFDPHHHFSTPPSREELVKESIQQGTKLVQAIADSLFSLTSTEDRDGPIVKLPPPTTRLPREKPLPKPRPPTKWEEFAKKKGIKNYKKDKQVFDEQTGTWKRRYGYDRVNDDNDVPIIEAKLTDEPGQDPFAKRRTEKKQRVEKQEKNRLHNLKDAAKVGALPSHVQLAATALPITGTQAAPKKISKDELGNVAGMAATATASGGKFDKKLAGEKPAKHDKKYRKFLPVVEGSGMGSLERQQTDKVLNKLLSSNSHNILDVNKAVNFYNVKKDKKRKHQGKDQGKTSSTSSKLKSKKSPYKKMSKKGSSSEGKSKRN >KZM97769 pep chromosome:ASM162521v1:4:17637955:17638149:-1 gene:DCAR_014869 transcript:KZM97769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTINSSIRGKEMLLPSNSGGPRENCSPAEVIETKSLKWKPPRKIAGIYGDWPEGYSLPDNK >KZM99676 pep chromosome:ASM162521v1:4:33918659:33923068:-1 gene:DCAR_012962 transcript:KZM99676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGSFLLFVTFLCSLIAETHEANRKFAERVLESGLSSKIEDIDEDTAEQVWIHCKKELLEIMKVYDYTDFYIPPSASKDQDVVESDLGILKKRILYKAIIDLPSHRKQSLLNCLDKELIRSQSSSRNSALSDWHKLFFEWSTVRRRYLERKVNPPQPHQHHKHKHKDTRKKPTTATRRAGVLNPLESSSSRTTSNVPSIPENTRTSLNVKPDRISSSRTASNALDIPDSSLTTPNVKFEKSSPTDTSEAITSPEVSKDLANAKVPGAAASLFDTSAIKQIEKASDQSKTKEAISPEPLEKKNEDSPSPSPIEKNKSPAPLSQERSISPAPSPTEKNKSSAPSPSKAPAPSSSEQNKSPTPSPLENNKSPAPSPSEKSKSPAPSPSEKNKSATPSPSENSKSPTPSDKSRSPTPSPSEQSQSSTLSPSEKSKSPAPSPSEKNKSPTPSPSEKNKSTAPSPSKSPTSSPPKQKRSSAPSPSEKNKSTAPSPSKSPTSSPPKQSRSSAPSPSEKNKSPAPSPSEKNESLAPSQSIKRKSHAPSPSEKNKSPAPSQSENRKSPAPSGKNKSPSPSPSEKKSKSPTSSQSHNKKSPSEKSKSPTSSPTKKKSKSPSPSSSKKSESPTEKGEFPGISPAEPQPKGTSKLSKNNKASVVVGCYAAGAIVVAFCLLCCVRSAKKKKYGQSDDQHFSYLSTGSLQTPNGVATGSNSSTGSGITGARGQSSGASGDGSLPLPPGRAAPSAPKPAAAASPPPPPSAPAPPPPPAPAPPEPPKPKAPPPPKAAPPQPPKPSPLGPNSMRHSSAEGSGDQKAKMKPFHWDKVAASDQTMVWHDLKAGSFQFNEEMMENLFGYKAAEQQKNKKGQAAFEAPKYIQIIDPRKAQNLAILLKALNVTTDEVCEAVLDGNELPSELIQTLLKMPPTSEEELKLRLYDGDLSQLGPAERFLKTMVDIPLAFKRLESLSFMYSVEEEISTVKESFASIEVACNEIKNSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVLEIIRTEGIRAARKEKDSSSLTSVKTEDMLEKSNEETAGYVRKLGIEIVSNLRNELENVQKAAHIDSDVLKSTVLKLGHSLQKSKDFLNNEMNDAEQEGKFREKLESFVHKAEDDIVWLLEEEKKMSSLIQSTVDYFHGHAGKDEGLHLFAVVRDFLGMVEKVVNEVERKAQTAKKMPARAPPAAQESRKPDNQQKLQQRLFPAIQEQRRNDSSSSSSDDES >KZM98140 pep chromosome:ASM162521v1:4:21390149:21392128:1 gene:DCAR_014498 transcript:KZM98140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMARALFEAMPCPNISSWNTMITGYAQNGEIALARHLFNQMPRRDSISWAAMIAGYAQSAQSEEALNLFVDMKRDGERINRSTFTCVLSTCADIAALELGTQLHGRAFKAGFESGCFVGNALLAMYCKCGSIDEAYDVFEDITDKDVVSWNTILAGYARHGFGKKALNIFDSMKVAGVKPDEVTMCSSQKMEGSAVGL >KZM97355 pep chromosome:ASM162521v1:4:13852431:13853897:-1 gene:DCAR_015283 transcript:KZM97355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILIVGGTGYMGKFIVEASAKLGHPTFVLVREATLADPSKSQLIDSFKSLGVTFVHGDLFDHVSLVKAIKQVDVVISSLGNGQLADQDKLLAAIVEAGNVKFGQDVDRVNAVEPAKSGFAFKAQFRRAVEASGVPFTYLACNFFAGYFLPNLAQTGAAAPPRDKAIILGDGTPKVAFNKEEDIATYTIKAVDDPRTLNKILYIRPPHNTLSFNDVLSLWEKKIGKTLEKIYVPEEQVLKNIKESPVPINVLLSIGHSAFVNGDQTNFEIEPSFGVEASALYPDVKYTTVDEYLNQFV >KZM99592 pep chromosome:ASM162521v1:4:33277066:33280588:1 gene:DCAR_013046 transcript:KZM99592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRNVKVPNVPGGGAASALLKVGAVAALGLYAVGNSLYNVDGGHRAIVFNRIVGVKDKVYPEGTHLMLPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPMASQLPTIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAANFNLALDDVSITTLTFGREFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAIIRAQGEAKSASLIGQAISSNPAFITLRKIEAAREIANVMASGNNKTYLNSGDLLLNLHGMDVTPGK >KZM99569 pep chromosome:ASM162521v1:4:33102969:33106027:-1 gene:DCAR_013069 transcript:KZM99569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNTTVPIAYLNNTSSVPDWLNKGDNAWQMMAATVVGMQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVIICWVTWAYKMSFGEKLLPFWGKAGPALGQRFLIGQAELPATRHNYDNGTEQMPMIEPYFPMASMVWYQCVFACITLILLAGSLLGRMNIKAWMMFVPLWLTFSYTVGAFSLWGGGFLFQWGVIDYTGGYVGPRSRADRERFPPNNILLMLAGAGLLWMGWAGFNGGGPYTANIDSSMAILNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWFTMMVVHKRWTLLQKIDDTLGVFHTHAVAGYLGGFLTGVFAEPALCRLFLPIPDTRGAAYGGGSQMGKQLVGGGFIIGWNIVVTSIICVVISFIMPLRMSEEQLVIGDDAVHGEEAYALWGDGEKYDETKHGIHSVDEGEHFKPSIGVTQVM >KZM98749 pep chromosome:ASM162521v1:4:26615778:26616755:1 gene:DCAR_013889 transcript:KZM98749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLGVVAVALLVSSLCLVVNVKAFRASGWTKAHATFYGGSDASGTMGGACGYGNLYSAGYGTNTAALSTALFNDGASCGQCYRIICDYQADPKWCRKGTSVTITATNFCPPNFDEPSNAGGWCNPPLQHFDMAQPAWEKIGIYRGGIVPVMFQRVPCVKSGGVRFTINGRNYFELVLISNVGGAGSIQSVQVKGTRTNWMAMSRNWGANWQSNGYLNGQSLSFKVTTTDGVTRIFNNVVASGWSFGQTFSSSVRF >KZM98564 pep chromosome:ASM162521v1:4:25079546:25089562:1 gene:DCAR_014074 transcript:KZM98564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHGSGANKFVSVNLNKSFGQSSSYNFNRATHFNNGSYGQVVSSRVRTGGSGGAGSGAGGSGEGMVVLGRPRSSQKVAPKLSVPPPLNLPSLRKEHEKFDLGGSGSGLAAGNGSGSRPNASGGGWTKPGAVTLQEKDDGLVGDHVDQSVQGMDGAVKGSNSYVPPSARISGVGGGSGQSSVQPAEKAMVLRGEDFPSLKAALPITSGPSQKQRDNVSHKQKQDVNEESSNEQYRGYNDLHSSVDMRPQGQYSYSANSNASIATGGQGRRTGGYANISNRTHEDYPLPLVRLNPRSDWADDERDTGHVDTDWGRDSGPTRTDAYWDRDFDIPRANVLPKKPPSSIYDNQVLRVDDFDKGRSSEVRKVDPYQRSMRPPAHEGNNWRTTPLQNVGLNKHEVSTSVNASSQPMGLSRDNWRENKYVPPRLGQEGRQQWNHMVESSSQRSEQKDRFGAEQIIEYRGDAPQNETVSKFPISSGSKELSVNDPILNFNRQKLHVPKNDRPYSEDPLVKNFGSSSFGEMDPFSGGGVGVFKRKKEVINQAEVHDPVRESFEAELERVQKMQEMERQRIIEEQERVMEQARKEEEERQRMIREDEERRRRLEEEAQEAVWRAEQERLEVIRRAEEQRIAREEEKRRIFDEEERRKHAAKQKLLELEAKIAQRRVEAQKSDCTFAEFQNEKTSSGTKEKDMSADADLDDWEDSERMVERITTSASSESSAQNRPFVSSSRLPPLVKSSSGFLERGKTVNPWRKDVFENANSSSLTLQDQDNAHLSPRRDALVGERSFLRKDFYGGTGFPNAYSVGLQEDPLGEYTHTKENRWNLHKDGDLFSKSSRGIGPESYKNVSEINEDAAWGQAYDRGNPYSAYPERLYPNAEADELYSYGRSRYSMKQPRVLPPPSIKSSYRSENEHPGPSSTLGVDTPYSYIARSESAPQTGSFDESDESGDSPAMPVSAEEKVVPLSETDSIVMNKSAEDIVVTVSSSMTAGEDDEWTLNKNEKLQEQEVYDEDGYQEEDEVHEVDEENIDLTSEFENMHLNEKDSSDMMDNLVLGFDEGVEVKLSNDEFDRNVNSEGNNCEISEVSTGIVDDQESAEGKQGDPGKVHPVDCFSRTDTEIASGRIDRPEQSTQGMVMQPINDPPVSVICDLLNVENTFSSGLSSLSTASSLVDTASQFACSQPIMSVASSSPKPADLPVKLQFGLFTGPSLIPSPVPAIQIGSIQMPLHLHLPLDPSINHLHTSQPPLFQFGQLGYTTPVSQGILPLPPQSMSLLQPSVHHHYNVNLNSGGSLPNKFNEHTQTQHLVNDKVSSLSKALDLSDNNGPGVLSSFPVGGSADGNRTGFEVQQAVNNNYPINSVSQAEDKVVSDSATENGGQLNGGGPQGRLRSTGKFVSCEKGNTSKGERPLIGHKEKKLPYPVRNYGGRSFSQENSYSDSRGFQRRPRWVVQRTEFRVRQTSSGFPSKNSGLDDKLNLNGTGAEVSTGSGYKRGTMTPKSLKRVVDSESSNSGPISPQEVDSDNKVAKERAKDALPKRQGNSFSREENMKMNISKEDVDVPSQSGVVHVFKQSGIEAPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSRVTKLSRKPRSSSQSIMVLTSSNKNCASLGGETSNNTQSGSTSEKEVLIGFAPIASQQLAPIGTPTLNSDFGADFRSHTKSLQAAAVPAVAGGGKDIGQDLIYENKNKVLDTVQTPLGSWGNEPMSQQVMALTQNQLDDAMKPASFETHVTSIGGCTTSETVLASSSSLTKDKPMTSSANPISSLLAGERIQFGAVTSPTVLPPSISCVTSLGIGAPGSFLSNIKMSQNISREQSSSPLLLERDNSHHESCGKLENSEDKAEASASAAAVTAISKDKTAVNGLSCVSNADAKNIGSADVHGIGGMSDDQQSGIQSRSEESLSVSLPADLSVETPPISSWPPLPSPQNSSSQMLSHFHVPPPSNFPYYEMNPMLGGPIFAFSPIEESGGSHSQPQKNPVSGPGPVGSWQQCHPTMDSFYGPPAGFNGPFINPPGAMPGVQAPPQMLVYNHYARVGQFGQVGLSFMGTTYIPSGKQPDWKHNPTSSGLGISEGSMNNINMISAQHNPPNMPTPIPHLAPGPSILPLPMASPMAMFDVSPFQSAPDMSVQGRWSHVPSSPLPMVPMTLPLQQQTEGISASNFSHGHPIEQFRANRFSESQTSAPSDSNHAFPVVADAGHSLSPDKLGSEGTSSRISAGTSTNVVTHQSSTGSVTSETGKREAVQNGSNNTSSGLSINSFKPQHSQQKNLSSQQYSNTTGYGYQRGGASQRHSSTSDWSHRRMGFNGKNSLGPDKALPNSKVRQIYVAKQTKGSSTTD >KZM99729 pep chromosome:ASM162521v1:4:34313916:34337090:1 gene:DCAR_012909 transcript:KZM99729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTASPSSLSFKKSHHLFISPLFSSCSRRRLNFPARAPQKVVYQSCGPIWCRPIWRNVRGGVVCSSGNSGVRLKSAESGKDGNLMSFGGLGKWGVLIAVLGYSVIKCQRVLAGEGFVAMSQVYLKDNWPKILQVLGVFKEQGLILFVLLGLSAFFSMAETSITTLWPWKVRELAEKEPDDGVFRMLRSDVTRFLTTILIGTTVVNIGATALVTEAATTLFGEAGITAATGVMTVAILLLTEITPKSIAVHHATEVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKLLGLKAKSDPYVTEDELKLMLRVAESSGAIEEEEQDMIENVLEIKDTHVREVMTPLIDVLAIDAGATLLDFHKFWVTHPYSRVPVFEQRIDNIVGISYAMDLLDYLQKGEVLETTSVGDIAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDTKEEIQKKTGYVVMRAEGVFDVDANTSIDQLSEDLDIVLPEAHQYETVSGFICETFGYIPKTGESINVVLEKAKREESSDYNEEESERHDEKNTHQAFKLEILAGNCRKVKAVRFTRKNHDSTTDTKEITRMIPRIWKRKWKDTEDSGQSDDDIYEEGTPNGSIIQDPVIVEDEINQNI >KZM99625 pep chromosome:ASM162521v1:4:33536500:33537114:-1 gene:DCAR_013013 transcript:KZM99625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVALGPGKFYGSGLPRPRYYTDVKFSEERVDPPAPVLDSLMSWAEEAHWSMGGLNGKRLRFQGRIEGSVSKIKAEHERSERKKAKEDVVANFSPESSPEAPPAPFVVKRKRRFLVDEESDEENVKPGAVRKLVDDFEKVAEECGMKKEKAGADRVATRSRKAGSEGSVAEKLKLKGKKLKKKGESKGNGETGVRVSPRLAKRG >KZM97837 pep chromosome:ASM162521v1:4:18232625:18234765:-1 gene:DCAR_014801 transcript:KZM97837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVAAGSTTFAKEMAIRKRISNIFNKREDDFPSLREYNDYLEEVEDMIVNLVEGIDVPAIEARISQYQKENSEQIMNAQARKAEEYAAALAASKGQPVNNDFDATGSQSSQAAIGAGGNYAPAVAGGVIAQPIPIASGHEMLGYQFDDEEMMKLKAERGGKAGGWSIELSKKRALEEAFGSIWI >KZM97034 pep chromosome:ASM162521v1:4:10009419:10011216:1 gene:DCAR_015604 transcript:KZM97034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGGHLDAETLFGSSSTSESKRPHHWFVDAAEQELFPSKKQAVETPNSKFTSEIPTGSLPWDTGTGFHSSPNQFIDRLFGSETNKSVGFSEGYVSPIARENSNNQSPVSLSMTYGIEAPNSCLSYGGIRKVKVDEVKDLNSIVEQSFERSGTCSEQVYNRMNENSFITIGQAFDKDESISSLGQAYKGEADIRSIDLVFGKDDDNSMGPIDYSFDKVNNNTISFEVFSDVSDNMAKPINSFNLLPNPLSVETSDAPVEKALAAPNPYPLMNASQAGKQRIQVMAKTKSEPKQGRKEAPNSFPSNVRSLIATGMLDGVPVKYVALSREELRGIIKGSGYLCGCQSCNYSKALNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPETLLFDAIQTVTGSPINQKAFRTWKAYLWEGRIEYLGLEVSVTVMAVRATGKQGAAAACTPAHSFCICM >KZM99675 pep chromosome:ASM162521v1:4:33914246:33916610:1 gene:DCAR_012963 transcript:KZM99675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-6 MALPALFSTFIVTAPPRTSLSLARNPSNTCALKPVQCIMTNPKTNDPDQGTPSRRNANYAPSFWDYSFVKSLSSDYAEEKYVKQVDELKDDVKSLIHAETEVLDKLELLDSVQRLGLKYQFQKDIMQAVDVIHNNNNNSADAWLSDDLYLTALKFRILREHGHTVSQDVFQRFIDDTAGKFKANLCGDVKGLLSLYEASFFGFKGEDIIDEAKAFSRTHLKNALKGEISPDMARKVNHALDMPVHWKLPRVEARWYISNYEQDDNMNQNLLKLAKLDYNIIQSVYQKEVSKLASWWMDMGLDKMAFARDRLVEHYFWCNGMVPDPEYSAYRDMGTKLICLITTIDDVYDIYGSLEELELFTDYVERWDIAEIDKLPMNIKTVLLAMFNTTNEIGYWTMRERNFNIIPYLRKQWVYLCKAILKEAKWYHSGYKPTTEEYFENAPVSIGAPLALFCAYFLTAEQITVEALDYIDKLPSIMWCPSLIVRLTNDLGTSSDEMERGDNLKGVQCYMNDTGATEEVARKYVDQLVHETWKTLNKDLLGSYPFSEPFISANANLARTTQTFYQYGDGHGIPQHWTKDHLKSLLVEPFTLSQ >KZM98065 pep chromosome:ASM162521v1:4:20836657:20839222:-1 gene:DCAR_014573 transcript:KZM98065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHSLARRDLQALCKLNAIPANMTNVAMADALAALDIVEGLEDFLNRSPERKAVTAAKVPRTGRRTATQSKPIGDGSESVQPMTMSTRRSTRRGISDEIMAESMTPAIRSSRKTVEPVKAESERIENVEKKDVSDSPALATYSRRNQVAARKSSVKEVSTVQRAYSTRRSARLTENITVEEKEEKINSLVSELSRIDLKDGLDNKSLSEVVLQESVEKSNGPSETLNNLKDNEALDEVNAVQEDSTKSLVIENEKDVDALEEVLEEFEEKPKQLVESQFVADSVVIKNENNEVLEYPVDTENSYEETKSDEAAPESGLKEDAQEIVADELKEKSEQCFDTLDDNLMIKDEDSKVEKSLMDSDNTLEQLDLGAGEGYETNKNLESDVKATAELSDGGDSYLVEEHRTYSDESKDEILENNDHADLTEDEMETEEEMDSEAESMGESEVVQQVSCFEESKADILNNNDAEEIATGTEIRSVAPLVSSGGAESKPVTKTLVSDDKENIDRNGIKFELTKEAKKDKKETVPAANELNAKSLRELTKMLKEKLQISNNTEEDIGKVTKERPALQKIEDNCQW >KZM99667 pep chromosome:ASM162521v1:4:33860499:33861763:1 gene:DCAR_012971 transcript:KZM99667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKNGLKKGPWTQEEDSKLMQYIQTHGPGNWRILPKNAGLQRCGKSCRLRWTNYLRPDIKRGGFSFEEEETIIQLHSVLGNKWSAIAGRLPGRTDNEIKNYWNTHIRKRLLDMGLDPVTHAPRLDFLNLSTILGSAQLNVANLLGLQNIVNPELVLRLANLLSSGHNQNLQLLNQANLLQTPVQDMSSIINQAQIMEQNLVNYQNSEENFQTPLVQQNYGQYGINDQARGNASMMPQMMNGSQGGFDFDSLVSNTNTTTTTNTPLSSAVPTPLTSSSTFINGSSTEDEKDSFCSNMFKFEIPESLNFDDFM >KZM96881 pep chromosome:ASM162521v1:4:8196522:8198446:-1 gene:DCAR_015757 transcript:KZM96881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVNVLLVGLPGAGSSTLFKKLLELGDQSMYMEHSVALIERDTKRFYISELPSSYTYEPGLLKWGSLADIAVLIIPGSENIDNNWETLIHTVKCVCCIKALGIPKIVVAVNKIDNLSYSSYNTHQGRGIGVCYNVHYRDEEEGNELLYFSCSIVKCC >KZM99010 pep chromosome:ASM162521v1:4:28728396:28728587:1 gene:DCAR_013628 transcript:KZM99010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNTTDLNSMLLISADSRWNLEYVSYNVALRTAIANTKRARRYVAPSAVEHRINTADRHIEYP >KZM99865 pep chromosome:ASM162521v1:4:35431280:35434067:-1 gene:DCAR_012773 transcript:KZM99865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSPPQPANPDDTQTNTETQESNHLLISRESTLSTDDEFYDDDFLSIDINSSPTVIDDDDNITPPFSWKKLWEFTGPGFLMSIAFLDPGNIEGDLQAGAIAGYSLLWLLLWSTLMGLLIQLLSARLGVVTGRHMAEICRDEYPRWASLLLWCMAELALIGADIQEVIGSAIAINILSQDVVPLWAGVIITASDWQNYGVRKLEAVFAVLISTMALTFAWMFADTKPNVQELLGGLLIPKLGRKAVKQAVGIVGCVITPHNVFLHSALVQSRKVDLTKKGYVQEALNYYTIESSIAVFVTFLINLCVTTVFAKGFYNTKEANTLGLLNAGNFLQDRYGGGLFPILYIWGIGLLAAGQSSTLTGTYAGQFIMGGFIDLRLKKWLRSLITRSCAIVPTMIVAVVFDRSDASLDNLNEWLNVLQGMQIPFALIPLLVLVADDHLMGDFKIGRFFEWIMWIVAALVTVINGYVLLDYFSSEVKGLVFGILVCSVIFSYAAFILYLTSHGNALPSTWFSTLRSKRLGYTAQ >KZM98142 pep chromosome:ASM162521v1:4:21420433:21426771:1 gene:DCAR_014496 transcript:KZM98142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDYKLTYPSGTATAMLINSFHTVSGAELAGKQVSCLGKYLSLSFCWSCYKWLFSGIGNSCGFDRFPSFGLTLFKNTFYFDFSPTYVGCGLICPHIVNCSLLLGAIISWGFLWPFVSQHAGDWYPAGLENHDFKGLYGYKVFISISLIMGDGLYNLVKIITITVKDLCKINTTQAHLPVVTEILDDESYKLLQEQKQRDEVFLKDSIPNWFAASGYLGLALISTAAMPLIFPPFKWYLVLCSYIISPALAFCNSYGTGLTDWSLASTYGKIGLFMIASYVGEDGGVIAGLAANGAMMAIVSTAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCIIAPLTFWLYWTAFEIGTPDSPYKAPYAVILREMAILGVEGFTELPKNCLAICYGFFMAALLMNLLRDVLPEKISQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFAWEQVNRQGAKDFSGAVASGLICGDGIWSIPSAILSLLRIEPPICMSFGPSITG >KZM99573 pep chromosome:ASM162521v1:4:33125797:33129978:-1 gene:DCAR_013065 transcript:KZM99573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSFSPSSISTTCSSTKALKPESKTLAFPLGFLASSASRTAINSLKARVSGKGFGSGLGARMVSAPSINVPPAILDFETSVFKKEKISLAGHEEYIVRGGRDLFHLLPEAFKGIKQIGVIGWGSQGPAQAQNLKDSLVEAKSDIVVKIGLRKGSRSFAEARAAGFTEETGTLGDIWETISGSDLVLLLISDAAQADNYEKVLSYMKPNSILGLSHGFLLGHLQSFGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVEGITGIISKTISSKGMLAVYNSLSEDEKKEFEVAYSASYYPCMEILYECYEDVASGNEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRASRPKGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESLIESVDSLNPFMHARGLSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNGAPINQDLISNFMSDPVHGAVEVCAQLRPSVDISVPADADFVRPELRQSSN >KZM98411 pep chromosome:ASM162521v1:4:23743626:23744645:-1 gene:DCAR_014227 transcript:KZM98411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRGCYKKISTARFDTSINISPRGLVFSLREWLIMRRVHISAHCFSIFLIILLVNQCLATVVQNDAAMLGSNDHVSKETKAKVGGFEDSKLPGWGFGGRGFPWGGPGGFRAPGEAFPWFGGGGYHGPIGGAGGGWFHWGRLPWGNGGGHGGAGGDGGGAGGDGGAGGLPGTPGTPGTPGTAGGIWFPWGGWGGRGETPGVIWYPWGGRGGQGGYPGGTPGTPGGWWGGRGGNGGGSGGGGGGGGGPGGSPGSPGSAGCGGNGGGPGGGAGGCGGRGGAGGGWASEKTAIPKPGNAACGNMKIVPGSNGYTVTYDCGKCKYKYTVDNNGIATGGSDNCS >KZM96639 pep chromosome:ASM162521v1:4:2802191:2802412:1 gene:DCAR_015999 transcript:KZM96639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVVQLGSCNQLSGRCEEIYVVGEGETLHSISDKCQDPFIVEHNPQIVQDSDVYPGLVIRITPPPISRKLLF >KZM97572 pep chromosome:ASM162521v1:4:15908422:15908799:-1 gene:DCAR_015066 transcript:KZM97572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSYQRLQTDEWFDDIGDTGIDSEKLHESRWSRNYRKVHIRKKLRIKIPSLKKLLKRKKDKFVAASWSKFVRRLKEVQSNFGDIFGGNYLFMQVSPPSLKYIDKAHFYLQDHLSSKYCLPRP >KZM98641 pep chromosome:ASM162521v1:4:25716013:25716999:1 gene:DCAR_013997 transcript:KZM98641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGRSSTGGTNSSQQYFCYQCNRTVAISSPSASSELTCPNCNGGFLEEIENPNPNSDPNPNPNPSPFFSFSSDNIAPFPSFTGGSPFMFSTNLGGDDPAELSSMFSGGADRFQSADEFNPFAFLQNYLNTLRASGANIQFVVDGNNTSGNLSGANLGDYFIGPGLEQLIQQLAENDPNRYGTPPAAKSAVEALPVVKISQEMLGCDFSECAVCKESFGLDEEARQMPCKHIYHSDCIMPWLEMHNSCPVCRFELPTDDPEYENRARDGSGAGRVQESAETPRTMERRFRIQLPWQVMTSGEGSNSRDASDNAGGSNSGGQAREEDLD >KZM96718 pep chromosome:ASM162521v1:4:5029244:5029661:1 gene:DCAR_015920 transcript:KZM96718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSTRGKLFEFASSRHFKFS >KZM99858 pep chromosome:ASM162521v1:4:35384287:35388523:-1 gene:DCAR_012780 transcript:KZM99858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELGFQDQTRLPSSGLSGARDHLAVHECGESSSSGPDLDPNKSTVHKNSIVCRKVEKAKVQTEGSKVETDDENQALDSARSSFSRALKDCQVRRSRSEALLKKPDRRRPASLDLNNTLTNVVSSSPRFGVLKKSSVLTRRTSTFLSPGTPNYNHTSSGMQKGWSSERVALPTSGSRRNINNALLPFNNGRTLPSKWEDAERWIVSPVSGDGSSRPSFPQPQRRPKAKSGPLGPPGTAYYSMYSPAVHMFDGGIVENFMAASPFTAGVIAANSFAVRRDTGYGGIGNFYERMEPCIERSASVHGCSETLCQSTFPGSHDRKLNTVEDERKNISRAVSRRDMATQMSPERSPHSSPRERHSFASSTNSGLPIVEWQNSHSSKQEVRDVQVDERVTMTRWCKKNKSRTTGKVLGNGDDWKRKALEIRSTNWEVSETSNTISNTKREEARITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMKKLKLAQKKAREMRRSDVVNQAHQVATTSNKLLSLRRTRQISSLSGCFTCHAF >KZM98172 pep chromosome:ASM162521v1:4:21681908:21683374:-1 gene:DCAR_014466 transcript:KZM98172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSANSLIPPIHTLPCSKFRPRFSTPIHQLSQPWPTLKRPRKLVLQVVSSLAPDPLLSDPTSLFLISENVGYSTASYYTSLGLFVISVPGLWSLIKRSVKSKIVKKTFIGEGEGKMKKPSQMAGEILSFFTRNSFAVLDKGETITFEGMMAPSRGQAALLTFCTCISLGSVALVLTITNPEVGNNWFWLTILSPLAGAYYWKRASRTEQIKVKMILAEDGTLSEIVVQGDDQQVEQMRKELQLSEKGMVYVKGLFERG >KZM99703 pep chromosome:ASM162521v1:4:34149997:34152716:-1 gene:DCAR_012935 transcript:KZM99703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSWLQSFVSPGLIALSKRLRIHGLPLVNVFNDMISKDDLRSPIVVEDDDASCSMESLPSLEEVEKIIGYSFKNQSLLQQAFTHQSYQHKCESYERLEFVGDSVLNLLITRQQFNMYPNLPPGMLSPLRSANVDTEKLARVAIKYDLLKYLRHNNRKLSQQAKGFHKALSKYPFHSYGLIDPPKVLADIVESTIGAVYIDSNSSMDITWEVAKDLLQPMITPEMIQENPIKKLQEICQKQRLKVRFKDLWLKKGTFEVYVDDQLRGKGEYRAKKEIALNRAANDAYNDIARSICIENETGK >KZM96885 pep chromosome:ASM162521v1:4:8262603:8266415:1 gene:DCAR_015753 transcript:KZM96885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQASPNNFISSKNWLSPGSATSFWPKTVCPWSHIELKKERASVNRSRIQASLTETAILWAGRVFIHYALLKVGLAGSSASPRIPSGKQWRRTQIQKISDKVFDRVKKETGHDGLTFEDLYIAVLLVYNEINKRLPGPHFDPPSKEQVKALMLECDLNLDGVLNREEFVKFVKHSTKDTFFVVSQGLIVTLAVAPTVALLTKRSTEGIPHVGRIVQKLPTSVYASIITLAVVLFQNSSQSIE >KZM99151 pep chromosome:ASM162521v1:4:29791541:29796092:1 gene:DCAR_013487 transcript:KZM99151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPRSLDKVIVHQDVAQNLKKLVSEQDCPHLLFYGPSGAGKKTLIMALLRQMFGASVEKVKVENKNWKVDAGSRTLDLELTTLSSTHHVELNPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRINAPKEEEIVDVLEFIGRKEGLQLPSGFAARIAEKSNRSLRRAILSFETCRVQQYPFTNNQLIPAMDWEVYVLEIASDIMKEQSPKRLFQVRGKVYELLSNCIPPEIILKEHRMRLGQKAIFHIEAFVAKFMSIYKGFLISTFG >KZM97688 pep chromosome:ASM162521v1:4:16899709:16904346:-1 gene:DCAR_014950 transcript:KZM97688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGIENVGKEGHLSGNSSEVGEMYPAWAKEVDECVEIFGTDKVSGLNSKDVSEIRGVYGFNELEKHEGTSFWTLIVDQFHDTLVRILLLAAVVSFLLAWFGDGGSDFSAFVEPFVIFLILIVNAIVGVWQETNAEKALEALKEIQSELTTVIRDGIKIHNMPVKELVPGDVVELRVGDKVPADMRILKLVSSTLRVEQGSLTGESEAVNKTIKPVCEDSDIQGKKCMVFAGTVVVTGTSICLVTQIGMGTELGKVHEQIYNAAQCEEDTPLKKKLNEFGEALTMVIGIICLLVWIINVKYYLTWEYVDGMPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSRLVAIGGKGDVLRTFRVDGTTYNPLDGKIHDWPVGAMDANLEMIAKIAAVCNDSSVSLSENKFVVNGMPTEAALKVLVEKMGQHSKPDEHEALSPGDILRCCSQWEKTEHRIATLEFDRERKSMSVIVISQSGKRSLLVKGAVENLLERSTSIQLPNGSVAKLNTKSKAVILNSLHDMSTNALRCLGFAYKSELHEFATYDGDADHPNHEILLEPSNYKSIESELTFVGMVGLRDPPREEVHQAIKDCREAGIRVMVITGDNKSTAEAICREIGVFGAEEDIRLKSLTGKEFMQLENPKAHLGQCGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFTTIVAAIGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKMLMKKPPRRSDDSLINAWTLFRYLVIGLYVGVATVGVFITWYTHDSFLGIDLSRDGHTTVTYSQLAHWGKCASWNNFTVSPFKAGAQVFSFENDPCEYFKAGKVKATTLSLSVLVAIEMLNSLNALSEDTSLLAMPPWVNPWLLVAMAVSFGLHVLILYIPFLAHVFGTVPLSLNEWILVLAMAFPVILIDEVLKFLGRRTKWIFRTSTEASAKQKSE >KZM98074 pep chromosome:ASM162521v1:4:20900729:20903795:-1 gene:DCAR_014564 transcript:KZM98074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEAKNDGVVRWEKFLPKMVMRVLLVESDDCTRQIITALLRKCNYKVAAVADGLKAWEILKGRPQSIDLILTEVELPSVSGFALLTLVAEHDVCKNIPVIMMSAQDSVNTVYKCMLRGAADFLVKPLRKNELKNLWQHVWRRQAQSASETPQATSDAQHKVEATAENNAPSNHSSGYEACIKRDRECIEKGSDAQSSCAKPDLQAEEANLGYKQDLTQQNQHNFLLSGIKRQKYDQCVKESAQIGMPDGEASGSLAVPLTSSKDNVAADGQWDRANVSSEAFDKNNVPCNPSREAIDLIGAFENYPKLSYRSLGATCGTNKVDSSSLLDLTLRSSHPSGSVNQVLDDGHRLKQSDASAFSRYVSRPIQPLHTASASISNQRKGYETSCDKQKSNQALDHTSDTLAPAVGSDINILSRTSGQVRQAEIQFPCPQHKVIQVPARGANHESLSNAQSSAMLPLFSTQWRRPQLQNPNSYINQDPAFQGYPFDPQTRSSPQLCNVIDENLHKASSDQMEHKQGHKPDHSEDQGHFSSATDQSGSSSFCNSTLKRFNSINSGNIGNANSVPNMNFGPESGNEATLTQEGSVHRSMQREVALAKFRLKRKDRCFEKKVRYESRKKLAEQRPRVKGQFVRQLQTGIKQ >KZM99144 pep chromosome:ASM162521v1:4:29761461:29763056:1 gene:DCAR_013494 transcript:KZM99144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNIQSGGGGNKNMCLDLNFEPSPELSLSREEAAYFGRSDSMDVLQEGSFRRVGDGGGVVDGGVQVRGEERRNGAHHVKLCARGHWRPHEDAQLKELVSHYGPQNWNLIAEKLPGRSGKSCRLRWFNQLDPRINRKAFNEEEEERLVAAHRIYGNKWALIAKLFPGRTDNSVKNHWHVIMARLQRDQNSIHRRRNNNASSSTTTIVPAQTFSLGGGITTAPIPANQYDQEMVNIGTVNACSGTTFVSTNKNIITACSNDSATISTNTISASTGDESAASTCTNLSLNHEFPVSVSRFSAPNHFLSRFSPRILAPHQPFSWSHLGLHSLSAAENVENDANKKVLIGAEEFKTNSNDSNSDVVSASVLVSVSTATDSIGNYRRIQNNLSYKDAKMYEQEKKAPRFIDFLGVGSTSS >KZM98957 pep chromosome:ASM162521v1:4:28291016:28292832:1 gene:DCAR_013681 transcript:KZM98957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISLHIGQAGIQVGNSCWELYCLEHGIQPDGKMPSDTTNDSFGTFFSESSSGQHVPRAVFVDLEPNVVDEVRSGKYRQLFHPEQLISGKEDAANNFARGHYTVGREIVDSCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFNIYPSPQVSTAVVEPYNSVLSTHSLLEHADVVVLLDNEAIYDICRRSLDIERPTYTNLNRLISQTISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQSSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNSAVAAVKTKRTVQFVDWCPTGFKCGINYEAPTMVPGGDLASVKRAVCMISNNTAVAEVFGRINHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGQEGADEEEAEEY >KZM99462 pep chromosome:ASM162521v1:4:32260549:32261844:1 gene:DCAR_013176 transcript:KZM99462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSGSEIELPPGFRFHPTDEELVMHYLCRKCASQQISVPIIAEIDLYKFDPWQLPEMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPSTVGIKKALVFYAGKAPRGVKTNWIMHEYRLANVDRSANKKNSNLRLDDWVLCRIYNKKGTLEKHQIVNQSPVSYNEMEDMVESKPNFLAFDQTLVKQSMSPQTESMPQQRSVMPMQTAKAYSPFDTSESGARFHTDSSCSEHVLSPEFTYEKEREVQSEPKWSELENAISNSLDFPFNYDYFHDNPFTPEPQYNDQQFSLQDMFMFSQKPF >KZM97384 pep chromosome:ASM162521v1:4:14105028:14114615:1 gene:DCAR_015254 transcript:KZM97384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEPFRAIGYITSTAPFSINRLGTETFVTVSVGKAWQIYNCAKLTLVLVGPQLPKKIRALASYRDYTFAAYGNDIAVFKRAHQIATWSRHSVKVNQLLLFGEHILSVDVEGNIFTWAFKGIDQNLAPVGHILLDNKFTPTCIMHPDTYLNKVLIGSQEGALQLWNISTKKKLFEFKGWYSSICCCVSSPALDVVAVGCSDGKIHVHNIRYDQEIVSFTHSTRGAVTALSFSTDGQPLLASGGSSGVISVWNLEKKRIHSVIKEAHDSSIISLHFFANEPVLLSASVDNSIKMWIFNTTDGDPRLLRYRCGHSAPPLCIRFYANGRHILSAGQDRAFRLFSIIQDQQSRELSQRHVSKRAKKLKLKEQEVKLKPVVAFDAAEIRERDWCNVVTCHSDTAQAYVWRLQNFVIGEHILTPCPENQTPVKACAISACGNFAVLGTAAGWIERFNLQSGISRGSYLDMLDGGSSAHSGEVVGIACDSTNTLMISAGQNGDIKVWNFKYRDLKSRWEIGSSVVKIVYHRCNGLLATATDDLVIRLYDVVALRMVRKFEGHADRITDMCFSEDGKWLLSSSMDGTLRIWDVILARQIDAVQVDVCITALSLSPNMDVLATAHVDQNGVYLWINQAMFSGDSVMHSYGSGKEIVNVKLPSVSASEGSEDATSDHPSGKNLQIQGVSWAPHLDAQIPDLVTLSLLPKSQWQSLVNLDIIKERNKPIDPPKKPEKAPFFLPTIPSLSREIVFKNSDTGDEHKGSQADETNKSRVYHDLPASEFLQLLHSSAEMKTFSTFTDYIKGLSSSTLDVELRMLQIIEGDDDDDGDDKQEPTKKPELHSIELLLDYFIHELSCRNNFEFIQAVIRLFLKIHGESIRRQSNLQDKAKKLLELQSTVWQSVDKLFQSARCMVTFLSNSQF >KZM99913 pep chromosome:ASM162521v1:4:35883165:35886204:-1 gene:DCAR_012725 transcript:KZM99913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIANLQCSFTTLSLSSNSFLGTRFSPLSPPKLKRWERKKCKPNSLPILQKMHVKLGDTVKVISGRDKGKIGEVSKIFKHNSSIVVKEINMKTKHMKSREEGEPGQILKVEAPIHSSNVMLYSKQKEVASRVGHKILENGKRVRYLIKTGEIIDSAEEWKRVVKEKEKTEELVAAA >KZM98590 pep chromosome:ASM162521v1:4:25267393:25282908:1 gene:DCAR_014048 transcript:KZM98590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESQKLYTRMRLWEFPDQYVIEPTDATPGPCLSISRVDASLNLIEEVTRSDVSETFTIFGIAGMLKLFAGSYLIAITECECVGSYLGHPFYKVTSLKVFPCDHSLKNSPAEQKKMETEFSALLKVAEKTPGLYFSYHVNITLSAQRLHELSDETRLLPLWRQSGFQSFQAAIGKNVIDVTLIARRCTRRSGTRMWRRGADSDGYVANYVESEQIITSNGYTASFVQVRGSIPLIWDQIVNLTYKPKFEILRLEDAPRVIERHFLDIRKRYGNVLAVDLVNKHGGEGRLSEKFADAMQHISGDDVRYLHFDFHQICGHVHFERLSILYEQIEDFLVKNRYLLLNEKGEKVEVQTGVVRSNCIDCLDRTNVTQSMIGRKMLESQLRRLGLFDAEETINSHPNFDDSFKILWANHGDEISIQYSGTPALKGDFVRRGKRTFQGILNDGRNSLMRYYLNNFCDGTKQDAIDLLQGHYIVSASRDMMPTSQKGGIEAIALVASSQNPSTEGTFRVDHGPRPPLVISVGHGTCDDRVVFYNDVIEAW >KZM98226 pep chromosome:ASM162521v1:4:22085745:22087542:-1 gene:DCAR_014412 transcript:KZM98226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATKVEKYRDLLDPENVKDVVWRFGAPPNYDVVDKLFEQGRTKIWAAGSLEEKVQKLVKTWEMEMFHKTRPEDFKTVDTQKFTLSVNGRQGLTMGDIKRIKGGYNAMLQTQLPEHYRVYNPAEESADSSHVVFTTAFPRGFALEILHVYAGPPVIVYKFRHWGFVEGPFKGHAPTGEKAEFQGIGIFETKVSVKQLDENSKIFKVELFHDRGELLGGLVKGEKTTDSTGGMASSCPVMRTG >KZM99250 pep chromosome:ASM162521v1:4:30524010:30537703:-1 gene:DCAR_013388 transcript:KZM99250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKNGFDLNGASQSFNVLAGYLFGKNTREESMEMTTPVYTRRTQSEGEKMEMTTPVVTKKLEDDDKWQMSFVMPSKYGSSLPLPKDPSVAIKEVPSKIVAVAAFSGFVTDEEVQKREAALRKALKNDTQFHVKIGSPVEVAQYNPPFTLPFARRNEIALEVERREE >KZM97973 pep chromosome:ASM162521v1:4:20021725:20022462:1 gene:DCAR_014665 transcript:KZM97973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLVPSAGKSLSPEPPLSFSGRFSRHNLKSFVSIPIPRVKAPCIRASAASIEYPSLTAREKVGVFTDNLDGWMRESVVEIVKSLKNSPLMVHVYCNDATEVKSERGASENWSDLLQEWRDGEKRSPDGIILVQQLEDNKIEYDDDRSVDFEDADLTSTLTCTRKKSWETVSVKAEEEDGTKAWGVLVQGKGKERECSRTACYLLKTCRVNSGLGLCGTHFSLVKVKNFRESAFSQIKNSWLL >KZM96738 pep chromosome:ASM162521v1:4:5542192:5542962:1 gene:DCAR_015900 transcript:KZM96738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQNLPSPESESTDDSESESQQPTKNPCDNVLSACKKPIKPNAKRPADKASTSKGELFSRVFTEEDEIALVEGMIEFKKTNSNPSSKMVAFQSFVKDSLSCDVNVKQIVGKISKLKQKFVCNVGKLQNGEDPMSWKPHDYKLFELSREIWGSEVLGGMEKDKNDDHGGSKTEAEGELWWSLYPCLCASLEAEANKKFRGTISAKEYVNKVVRGLETKRAVELEGEWKNFVVMQQQVYAERTKMIRKQAEAVMNS >KZM97061 pep chromosome:ASM162521v1:4:10211604:10221276:-1 gene:DCAR_015577 transcript:KZM97061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAENKVMSPPAAASSSTRNSRGSLEVFNPSTYNRPSNYQVFRPQSSWKNWTDKSTEPPENISGRHNDASDKVTSWMALKDSTPPPQLVINEGLKSPIGGGSVAQRAAEWGLVLKTDDETGKLKGVKVRNSGGDEPNNNKTVASTSRKNSSTSVRSSGDLSDDGDKGIPRVSEDLKDALSAFQQTFVVSDATKPDYPILYASAGFFKMTGYTPKEVIGRNCRFMQGADTNPEDVAKIREALQEGKTYCGRLLNYKKDGSPFWNLLTVSPIKDETGKTLKFIGMQVEVSKHTEGSKENMLRPNGLPESLIRYDARQKEMASTSVTELLEAVERPESMNVRPLIRRSSDQGTDKDQLDGLGKYYTAVTTAPPPRRNSHAGIRTSMQRINEVPDKKPKKTSRRHSFMGIIKKRRASNESDDHQFKDLSLEDGEDDDDDDISVPNGDDERPGSLDDKVKQKEKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVKKIREAIDSQKEVTVQLINYTKTGKKFWNLFHLQPMRDHKGDVQYFIGVQLDGSQHVEPLHSCIPETTATESAVQVKETAVNVDEAVRELPDANSKPEDLWRNHSKTVHAKPHKKDSSAWKAIEKILDNGEQLALKHFRPVKPLGSGDTGSVHLVELCGTGEYFAMKAMDKSVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFVLLDRQPTKVLKEDAVRFFAAEVVVALEYLHCQGIIYRDLKPENILIQQNGHVVLTDFDLSCLTSCKPQLLIPEITDKKKKKKKTKDTKELYPILRNTHQKGQPDPIFMAEPMRASNSFVGTEEYIAPEIISGAGHTSAVDWWALGVLLYEMLYGYTPFRGKTRQRTFANVLQKDIKFPGSKVVSLQAKQLIYRLLHKDPKNRLGAREGANEIKQHPFFHGINWALVRCQSPPKLDSPLFELIEAEAKAEKVDPGLQDLQTNIF >KZM98129 pep chromosome:ASM162521v1:4:21287213:21289014:-1 gene:DCAR_014509 transcript:KZM98129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLRRFNRIRALASKASSSSLYSRTQNRGFVNCLHVGSCIRRRESLIGVQERYKWGHGGGSNSDDYYHTRKIRAEANCPRCSKQLDLLFSNRSHLIPPSASDANNPPSASDANNTNSNCNSNGKNVDVSSSGAVPSPGGKGGGRYEAVNVCPNCKTAYYFRPYKMAPLQGSFVEIGRANSSNYDNGNNKSGKDFNKRMTSNEDYGNRIKASFWQTLRGGEPPENWPPPGPMPPNGNGNGSGLAVHTPPGPPFAPGVNVLSVAVYNHYKRIYHASLKKGSGAESGVAEARDNDDNVELEKSNVLLMGPTGSGMHPSQHA >KZM99196 pep chromosome:ASM162521v1:4:30133647:30135975:1 gene:DCAR_013442 transcript:KZM99196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMEIDSTTCEHGLMGRLFHWAKALSGKIRTNMEVLIERIMKLARDDPRRVVHSFKVALALTFVSLIYYVRPLYDGFGGTLYKGLNRGIATFSAGGLGLGAIYIASHLGEAEPVFLGFMVFLLAAVSTFIRFFPHIKRRYDYGVLIFILTFSMVAVSGSRVEKIIELANQRLVTIIIGGAACMVVSIFLCPVWAGEDLHKLVALNMEKLANFLEGFGGEYFKLDEEESSGEAGVCSKDKLLFLNGYKSVLNSKASEESLANLAWWEPGHGRFRFSHPWKQYLETGGLIRQCACHIEALSGHLNTDIEVQSEFHKRIAEPCMKVCSESGKALKELASSLRAMKRPSSFSAHIQNCKAAIADLRAALEASSPDSANLVEMIPSIAVGSLLCDISKCVEKISESIHELSDKANFESAKSTQSSHIIHRGSVKPLTGDTRHGNDDNYVHVVVINVNSTSSGASLP >KZM98473 pep chromosome:ASM162521v1:4:24269425:24269631:-1 gene:DCAR_014165 transcript:KZM98473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAQNEKRKLDMAPYLAENKILIKDLNSIDDLILREHFRNEQLKILQKRSTHDQAAPHGFHDDLPEY >KZM97989 pep chromosome:ASM162521v1:4:20137656:20145196:-1 gene:DCAR_014649 transcript:KZM97989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEPEHEVYGGEIPDEADMDADIDMSRGDEEDENASKELEDMKKRLKEIEEEAGALREMQAKVEKEMGAGQGVLPLKIVNLVVIYSSGTSATLAEKEEADARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVELEAIQNALLLNESELHGRQLKTIQFSGGVYSNYFRSDFALDENLSRNDGLTYSSGTSATLAEKEEADARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVELEAIQNALLLNESELHGRQLKVAAKRTNVPGMKQFRGRPANPYFGFRARRPYMPGPPMFSPYGYGRTLAFAACRSLVGAS >KZM96570 pep chromosome:ASM162521v1:4:232519:235455:-1 gene:DCAR_016068 transcript:KZM96570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPETAPSKTISNNSTSSSEPDSSTPSPKPSPSPNSPPPPPPDSKSPPPPPPSSPPPKSSPPPSSSPPPSSQPPPSPPSSSPPPPPSSSPPPPPPHSDSPSSPPPSPSQPIFQPPSSSSPSPSTATSTPTPPTVLSPPPLINARSPPGPPKLVPPPPPSSPPSSNSLSRSSGSSSNHAGIIAGAAVGGALVFVIMLLVLLCIWRKKRKPVYYMDTTTFPSAGGGPAEHLVKLTPPPRVMVPPSAGWGATPSNSNHPSPAFSDARQLPVPISPNMNLGRSQFSYDELATATGGFSQSKLLGQGGFGYVYKGVLPNGQEVAVKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCMTNEQRMLVYEFVPNRTLEFHLHGKGQPVMDWATRLRIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDNNFEAMVADFGLAKLSSDNITHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPLDPTSKLMEDSLVDWARPLMTKVIESGNYDELVDPRLEGNYDHQEMAHMVSVAASSIRHSARLRPRMSQIVRKLEGEQLSVEDQKPKPGQSLASGVTRAYDTGAYNADMMKFKMMVMSSQEFGSSEFGGSTSEYGCQCSTTSSDSDANDVCDNAQKV >KZM96948 pep chromosome:ASM162521v1:4:9010595:9011248:1 gene:DCAR_015690 transcript:KZM96948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPKSPFLELDIDASIREQLADLVIIEYPVIHVFLPSHYYDFEVIKAAIRQKLDLKKPIENHERSPKGVPFREEEIIEENSADPKVLDLMKYPKQDGIDNTSHQSTGTEEQVTDKLDSVSCAPSERVIKIEKNDIRSDKTEEGQLDFSVNSTVADLLENMDFEFEQGLIDSYSDLISESNLDEVFDLDGVFKQELALVESTGQLNVEELEEGEISG >KZM98118 pep chromosome:ASM162521v1:4:21214661:21216495:1 gene:DCAR_014520 transcript:KZM98118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDSVISSAVKKLSSKTPFFGLKLWPLILISIGVLILLLLILKFCCARRRSSKYGHYQLNKPESSRDAISKTPSSALLLSRNALPSETDIESSGGRWSSREGYRCSSTKSGQLKHWNSIGGIKQYTLEEIETATNEFADENVVGSGDYGIVYRGLLFDHSRVAIKKLVFNNGKLMDFIQEVEAMWCIRHKNLVKLLGYCTQGTYKMLVYEYVDNGNLKHWLHGTGGDVSPLTWNTRMNIILGIVKCLVYLHEDTEPPIVHQNLKSSNILLDRQWNPKVSDFGLSKLLGPDWTLSSARPTGTSGYMAPEYSGTRILDEKTDVYSVGVLIIEILSGRSPVQRRGDGTEVNLVEWLNSVLRDQNIIHVLDPKLPEKPNKKELKRIILIALRCVDADAENRPKMGDIIHMLEPRDLLLSDGRVTRTETFRRNPDSN >KZM98269 pep chromosome:ASM162521v1:4:22417979:22420648:1 gene:DCAR_014369 transcript:KZM98269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLANQLQSYQDISRTKLWDAKHEDLSKEIERIDNENKSMQIRLRHLNGEDVTSLHLPELASLEATLDTGLRRMEEFDKITQRDQDLEDENKRLRFMLQQQEMGMAVAAMDSNVRDQYQQQMPFSFRVQPNQPNLHERMKAIFEF >KZM99160 pep chromosome:ASM162521v1:4:29875366:29881798:-1 gene:DCAR_013478 transcript:KZM99160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKYMRKSFTYSSLTRRPARKSKPSDPQQTPNPVQESLPSILETPSNSSLNLPHVEEITPPFAESHQTQINERTINSNVQDSLRSRLVPTNLETPSQSTPNLAHSDEITPPVNSNVQDLPPYAVVSPNLETPFQSNANLVCSEEVTAPVDELAQDLINGRNIKGDDQGFSETVSRAAESPVELKRVILVEKESGDDGGGGEKSGAETEAIDGVKKKKTRIVKRVVKRIVKRKVLEKVNYQQTEEGNQDLGNGVVGDVKIMSNECANMAGENSNLKMVESVDVEISDRNNDVVGKSADGYESKPTCIVWSEAENCGVVSEIQKDLEGFKLVKNRRESHCPSPDAVTVHKDTESCVEGKVNCSSTKNLSKVSGLDCVVTSFVTGTHLEDHIVNHVQQQNHGCVVENRLDSESGNCKQEEGFKINLEPETKDWEQEKVVKNSQEITAPVVFNLEIPTPVELKRETLVEKEMENDSGGGEKRGAETETENVGKKKKTRIVKRIVKRKVLRKVNHQQTEAVTQDSGNGVVGDVKLVSNECVNMAVENSNIKTVESVDVEMSDRNNDVAMQTGHCESNDVVGKSVDASESKATCIVCSEAENCGVGENNLEPETKDWEQEKGVENSLEIGSEDCKQKEGVHGKEQCCDSAEMKAETSVGDTILSGEMGALERRRRRRTEIFVGGLDKDTREEDIRKIFEVLGEIKDVTVVNSSKTKKNAYAFVRYNLAADAKKALIKYRKVEICGKLCGTSPVEGNDTLFLGNLNKKWKSDDVTKLLQGIGVMNIDHVTVMPDPSNIEVNRGFAFLELESHKDAQNAFKKLQKRGVFGKHLNIKVVWAEPLIEPEESELSTVKSVYAEYLPSSWDEEKVKDCFKRFGDIENVALARDMPSSRRKDYAFVNYTTRDAALACVEAFRFSHEQSNDEVKIKVSLAKPIPRVVKSLQGSRITSNLPSSNYDKMIVDQRSSMTSDLLQLLREQASTRLTNPSLTTGSVDRQHSYSLPEKKRPFPVQGDGLHFSDPRGHPRARVEGSYPVTNPSVPRGGVSLTCLPHHQQTAGYISSKLN >KZM97194 pep chromosome:ASM162521v1:4:12106591:12107112:1 gene:DCAR_015444 transcript:KZM97194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEEPVLPLEIRKSSPVFLKGAFHWLAIDPRNHEDSQSAIVSFDLKDEQLRLITVLDSCRQGGKLVLINDLLSHIVSHQPRDGVAYDIWVMNSYGVKDSWTKQFSVKESLGTLWPLGYWRSDLLLMIEILRGKRNVFSYNLSTGARKNLPYLENFSFLGFCTYVETLVPLS >KZM98122 pep chromosome:ASM162521v1:4:21240306:21241700:1 gene:DCAR_014516 transcript:KZM98122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKHFLILSLAVQSHINPTLQLAKILTHHGAQVTFATTTSGLARLKNLPTIPGLSYATFSDGTEQHSNQSQDPSKKDPPDAYLATLRREGPQNLKKLLQKLSDEGSPVTFIVYTVVLPWAAEAARDMRIPSAFLFIQCAIAFTIFHRFFNSHDGLHSEDNNFRDDASIKIPKLSLFTSQDIPSFLLPSNEYHSSMIPVFREHIQTLEKDPNPCVLVNTFEALEEDFIHSFPSIKFLPIGPLLPFAIQDGHELHDKSSGGHLFQSPTENYLSWLDSKPDTSVIYVSFGSLLVLKDTQKEEILQCLRESGRPFLWVIREIKDEEVSSMRESHCISEEMGMIVPWCSQVEVLSHRATGCFVTHCGWNSTLESMASGVPLVGCPSFSEQKTNMKIIEELWGNGIGAKGNEEGVFVREEIRRCVDIVMGGDEKGNEIKENAAKWKRLAVEAVKKGGSSYNNLLNFLEM >KZM97098 pep chromosome:ASM162521v1:4:10765362:10766522:1 gene:DCAR_015540 transcript:KZM97098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKSKKKLKNESFVDKFLEGRDGEGRGDYDGGFKSGSNDSLIPGLYDDVALNCLAWACRSDYFSLSGLNVRFSKLMKSGYLYELRKKLEIVEHWVYMVCDPRGWEAFDPMRNKWVKLPKIPCDECFNHADKESLAVGSELLVFGRELFGFATWKYSLIHHSWFKCEGINHPRCLFASGSLGSVAVIAGGSDKSGNILKSAELYDSSTGKWEMLPSMHSPRKLSSGFFMDDKFYVIGGMTSHTESLTCGEELDLKTRKWRRIEGMYPNENKAAQAPPLVAVVDNQLYAVEYLTNDVIKYDKENKKWDVLGRLPVRADYSNGWGLAFKAFGKQLLVVGGQRSQEGEAIVLNTWCPKSGIKNGSLDWKVLGVKEKVGVFVYNCAIMGC >KZM97868 pep chromosome:ASM162521v1:4:18774507:18780399:-1 gene:DCAR_014770 transcript:KZM97868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSETYASIPSTERGRGILISANPKSNAILYCNGRSVFIRNLDKPLDVSVYTDHAYNTTVARFSPNGEWVASADVSGTVRIWGSYNDHVLKNEFRVLTGRIDDLQWSPDGLRIVASGDGKGKAFVRAFMWDSGTSVGEFDGHSRRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFRFKLSHRDHSNFVNCVRFSPDGSKFITVSSDKKGILYDAKTAQKIGELSMEDGHKGSIYAVSWSPDSKQVLTVSADKSAKIWAISEDGHGKVTKTLTCTGTGGFEDMLVGCLWHNNYLVTVSLGGTMFVFSATDLDESPVILSGHMKNVNSVVVLKNSPNVILSCSYDGLIVKWTKGIGYTGKLNKVIDKIKCFVAADEEIVTSGFDNKVWRISLQGDQCGEAESVDIGNQPKDLSIAILSPELVLVAIDSGVALLLGTKVLSTVNLGFPVTACTLAPDGSEAIVGGEDGKLHIYSVKGDSFNEEAVLEKHRGAITVIRYSPDVSMFASGDVNREAVVWDRASRELKLKNMLYHTARINCLAWSHDSSMVATGSLDTCVIIYEIGKPASSRITIKGAHLGGVYGLAFTEENSVISSGEDACIRLWKLTPQG >KZM99662 pep chromosome:ASM162521v1:4:33824074:33824439:-1 gene:DCAR_012976 transcript:KZM99662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRLEHSTFIKGNGYIIHKSPPISIGFKTVVCNKVYGAENGDTCSTVSAALNITPDFFGSINPNLNCSNIFVSEWLCINGTLI >KZM97295 pep chromosome:ASM162521v1:4:13274242:13274397:1 gene:DCAR_015343 transcript:KZM97295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPELNSCCYKLIQMFKSDSFPDMGICLIIEFPADQPSSRTRSLLGTEIA >KZM97533 pep chromosome:ASM162521v1:4:15591177:15591911:1 gene:DCAR_015105 transcript:KZM97533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKRLNILFNGHEVPPVPRAARSPRKIGDYQMCAFDLLATVAGKLLEGESTPSATELLTGEDESALVKFFLKTEKVAEENPEQVNLSHKEGRGRILFVSDTNPQAPEETHDIKEVSNVQNDASSAFASAVTTCDGPEMLGSASKLVNDEGRIEYGNLSKVKVGQYHSATSLYSLDVESKNCMKIEPQMIGKDPSSAGDVTCYKI >KZM99408 pep chromosome:ASM162521v1:4:31853866:31854510:-1 gene:DCAR_013230 transcript:KZM99408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITNLLSTASPQIFSYKHSLYLSSSSSSLSLLSLAQKPRNASTSPPRKLSISAKSDDNESVDAPDRLISAICYFYPFFDGVQYGKYVITQFTPIQTLLQPLIPAIRVFKSFPFNGFLVFLTLYFVVVRNSNFSRYVRFNTMQAIVLDVLLIFPDLVERSFSPRDGVGLDLMMSLDSTVFLFLLVCLIYGSSSCLFGQVPRLPIVAEAAERQVP >KZM99320 pep chromosome:ASM162521v1:4:31074934:31078380:-1 gene:DCAR_013318 transcript:KZM99320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDLNRELKKKVLGMRLWEVIGICVGAFIVLILCILSMWVTCRRRSKRTNNKYSDPQIPFASKDIKVDIVGDRNMNDHPESLVVTVPDKSSDKNSEKLLVHLGMSKSSDADNISQCSSMYYHERPCSSQSGEEGSSGTVRKQSSYATASPLIGLPEMSHLGWGHWFTLRDLQLATNRFSAENVIGEGGYGVVYRGVLINGTEVAVKKLLNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGVHRMLVYEYMNNGNLEQWLHGAMRQHGSLTWEARMKVLIGTAKAYVAPEYANTGLLNEKSDVYSFGVLLLEAITGRDPVDYSRPANEVNLVEWLKTMVGNRRAEEVLDPDLETKPPTRALKCALLVALRCVDPDSQKRPKMSQVVRMLEADDFPHREDRRNRKTRTASMEIESMKDNGNSAEVDSKVGQSENTTSEILHE >KZM96751 pep chromosome:ASM162521v1:4:5766288:5777604:-1 gene:DCAR_015887 transcript:KZM96751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSTYIDLERCNLIPLSLSTLSSSLSPRLSPSRTLIRLSSSNSPPPLLFGRTFRLLPTTYSNHRQTILCLADSQSFGDTSDIQERLESEAQHKASQLKKRVVFGIGIGVCVGGVVLAGGWVFTVALAAAVFAGAREYFELVRSRGIAAGMTPPPRFVSRVCSVICALMPLVTLYMGQIDVSVTSAAFVVAMTLLLQRGPRFAQLSSAMFGLFYCGYLPCFWVKLRCGLAAPALNTKVGALWPVLLGGQAQWTVGLVATLISFSSIIAADTFAFVGGKKKKVSFIAQDLRAKGQSQEKRPRVLCVNPDSGKNELELIKSKNCWRPGGALGRVLYLKVLLQSIRILPHNALDLSICPSMPAFGRTPLTNISPKKTWEGAVAGLGGCIVTSVILSKILSWPKSVLSAVAFGFLNYFGSLFGDLLESMIKRDAGVKDSGSLIPGHVCSLYFKKSSGVVGGADNGLGDGVAEADNGVAAAT >KZM97548 pep chromosome:ASM162521v1:4:15698148:15698669:-1 gene:DCAR_015090 transcript:KZM97548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNGEFTPSRGNTPLHHSFATGSQRVHRAVVEEPVLDVPVVIPGQPSPTDKKMRLSDLFKQSIRVKQNDDDEQNAPVSLSDKNSTTSLQSNEQIPNPNVKAHRAKSLKSFQCCLPNLTASNTLSENKKMRALSTPMVK >KZM98566 pep chromosome:ASM162521v1:4:25103070:25112088:-1 gene:DCAR_014072 transcript:KZM98566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKEGGGVWEKGGDLMEGEEVVVENCEGKGGRIEGDGGGEGGELSKKFKGVRGGRGRPREENKGCGENGDQDCELGEKGEVEREFWDLGEKGESFVKENDKGVVDLDEKGERIDCVEKNVDLVDDKCEQVGNGSDEMSAERLDKDGMLSSDDQRVGTEDKGLTKRDVEKKKVNLEESGTKRTLRQRKVVHKDPYTEFLDDYIQGVDEGGSGRKRKRSSKKKDDKDINGVMSDGGLKEYADDKDGKSSEILKGMKGKRWGAKKVNKGLGEIGSQDVDMNEKEEETGEVVREIGDWTQKGVSFVQGNETGDPAIHGQGEEFNPIRKNADWNCVKVDEISNGSDKSEKDRIDSCADQTIEKGQEMMGLTKRSVEEKKMNSEESVPRRNLRQRKVVIKDQYDECVEKYFQEEDEAKSQKRRRKSSMKTNDEENCKMTRGPEKKESDGGNDESASSEGDATSTACKGADALKKPKGGRKMDENGKPLSNMCHQCQRNDKGRTVKCENCIWKRYCVPCMTTWYPKMTEYDFAKMCPVCQVNCNCKRCLRLEVLKKVNVLTHVQEVQFTSAQQVMIEEIKQKHISQDKRELFGEEQIAVDMEKQEEELNEMTGAPNGTIQSSEVKLGQGSTDITNVRMKRNAAINRTLVIHPIHDQTFYLTMEHKRRLKQEYDVEPWTFVQKQGDAVFIPAGCPHQVRNLKVKKISFYAMQAAVKDLNMLEEEALHILLLESGTGKPDDANASEILAPKGKKEKAGLQQLWDLSMAGRHLHTAMNVDG >KZM97866 pep chromosome:ASM162521v1:4:18764588:18769534:1 gene:DCAR_014772 transcript:KZM97866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKDLLRFLKPYVEPIHVKKYAGKRVGIDAYSWLHKGAYSCSMELCLNMDGDKKLQYLKYFMHRINLLRYHKITPVVVFDGGNLPCKAGTENERYMKRKMNRDLAMENKKNGNISAAYELFRRAVSITPAMAHQLIQILRSENVEFVVAPYEADAQLAYLSTIEAEQGGIDAVISEDSDLLAYGCPAVMFKMDRDGNGEEIVLDKLFDSVSCLPSFRNFDKNLFLGMCVLAGCDFLPSIPGIGIAKAYSLVSKYRNLDRVLSILKFEKGNQVPEDYVKSFKEAIAVFQHARIYDSVSKTVKHMKPLPETTLETLGNELDFLGPDISPSMAISIAEVMEKEPDAEEMKHLLEPEGKKYLNESLALEKLVMPFRSGSTEEITLVTEKYLPMVPDNNPFKKRKVNEHLVLDDDQAKSFIQQDSVVTEIECLEMGNSDEVVLDNVQTEGFNKQSSTITKAESLEIFCATPDSQKSVVSKPMKTTEGKKDAIK >KZM97783 pep chromosome:ASM162521v1:4:17782568:17787752:1 gene:DCAR_014855 transcript:KZM97783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIEKKKVAVPLVCHGHSRPVVDLFYSPVTKDGYFLISASKDSKPMLRNGEAGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFTAKIWDALTGEVIRSFEHKHIVRACAFSENIGTAYAWKNQFAELRYRLKSSLNNDTQFLLTGGVEKLLRIYDLNRPDAPPRELDKSPGSVRTATWLHSDQTILSSCTDMGGVRLWDIRTGNIVRTLETKSPVTSTEVSQDGRYITTADGFSVKFWDSNYFGLVRNYNMPCTIESASLEPKYGAKFVAGGEDMWIHIFDFYTGEEIACNKGHHGPVHCVRFSPEGDSYASGSEDGTIRIWQTGSLTREENDVVKTNSSTGKVRATVEAIRRKVEGFKISDEGKTKDEEVIGKNKDKEVIGKNKDKEVA >KZM96766 pep chromosome:ASM162521v1:4:5966502:5967136:-1 gene:DCAR_015872 transcript:KZM96766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFSSHYSSTITDQTKTMIPLPILMMVMPSIMMIYILHLSEDELIN >KZM99708 pep chromosome:ASM162521v1:4:34172968:34175590:-1 gene:DCAR_012930 transcript:KZM99708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKQILGKLPRKTSSKSSRSNLSNEGVFNSVSLAGGVSNSSQSNSGSRKAVNSGSGGSRPSNGTHVQEPMSTSVKSEQGKKVGLMGSQADQRRENVVYEALPSFKDVPNSEKHNLFVKKLSMCCIVFDFTDPSKNLKEKDIKRQTLLELVDYISSVTSKFNEITMQEITKMIAVNLFRALPNHDNKVLDVYDPEDDEPTLEPSWPHLQIVYEFLLRFIASPETDAKLAKRYIDQSFILRILDLFDSDDQREREYLKTILHRIYGKFMVHRPFIRKSINNVFFNFIFETGHHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKSISVYHQQLSYCIAQFVEKDCKLADPVIRGLLKYWPITNSSKEVMFLGELEEVLEATQAAEFQKVMVPLLRQIGRCLNSSHFQVAERALFLWNNDHIRTLITQNRRVILPIIIPALESNTRSHWNQAVQSLTLNVKKVFSDADQALFDDCLSKFEEYQIKEKETLSKRLSTWKRLEDVAASKAGSNGGLLDSSFASVAISASTNCQTAAGS >KZM98663 pep chromosome:ASM162521v1:4:25873429:25873686:1 gene:DCAR_013975 transcript:KZM98663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPATPIKMDSSPYEDAEEVGGEAGDSTCAPTMHIANTNQIAKAAAIFSKPILTEINLDDYILMIRSRVAMDCWCISHCCEAFL >KZM98950 pep chromosome:ASM162521v1:4:28244350:28249952:1 gene:DCAR_013688 transcript:KZM98950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLRSGARRSKRLGDLQPAPQPVEQAENFLLPTQNRTRRRAGAGRGRGSNAAVVTNGPEVAVPGRQTYAGGGRGVRLIDLDPEPPCEGLPQPNVVGPAGEHIFNRVEGGAEKDIAMEGASGDKVLGVEEDTSAAPVPERVQVGNSPVYKTERKLGKGGFGQVYVGRRVSGGTERTGADAIEVALKFEHRNSKGCNCGPPYEWQVYRSSYFANSPQKTAERWLRADFESNFQVMDILGPSLWDVWNSLGQSMSPNMVACIAVEAISILEKLHLKGFVHGDVKPENFLLGQPGSPDEKKLFLVDLGLASRWKDSSSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMSTSPELIYHYNVADTRLRQHVEKGNEDGLYISCVASAANLWALIMDAGTGFCSQVYELSAIFLHKDWIMEQWEKNYYISSIAGASNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGNRWGVVMSRNSGYSDQVVELDFLYPSEGIHRRWENGYRITSMAATADQSAFILSVPRRKMTDETQETLRTSAFPSTHVKEKWSKNLYIASLCYGRTVC >KZM99621 pep chromosome:ASM162521v1:4:33490818:33493238:1 gene:DCAR_013017 transcript:KZM99621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTKKRTLNLLVSLLYVLCFQPHLHEGTDVIANGQTLSGNQTISSEGGIFELGFFTPGRSKKYYIGIWYKNFGNKTIVWVANRKHPVFNPFDSQLKLFPNGNLALLNESRIQIWSSNSAVKRYNSSVAILLDSGNFVIRDNQDSSDIIWQSFDYPTDTWLPGGKIGYNRLKKENIYLTSWRNAKDPSPGHYSLKVNTDALDVEEYSLFSDGTALFSSGAWTGSNFEFVPDFDWNPFISNFTYISDANENVFTYEVAIPKRVTRFMIDTEGKLKQFVCRGDFPECHWDLFWDWPNTKCDVMNFCGAFGTCNEGKVFPCDCLPGYERVSNDWKNENYSDGCIRKSRLECGVGGGSEDTFLSTIVQFPNSSYTENSPSLDVKSYEECWSACLRNCTCTGYVYDAVSVTCVIWNGEVYNVKLTSFTFNRIIFNVRIAKSGKARKISVWIFVAASGGFIILLAAVILYILQQWKRKMGIYDGAAEDLMVFKYKYIRKSTKNFSEKLGEGGFGSVFKGTLPNSRAIAVKRLKNLKQGEKQFRAEVSTIGQIQHINLVRLQGFCIEGEKRLLVFDYMKNGSLENHLFRQNSNVFLEWKARYNIMIGTARGLHYLHEKCRDCIIHCDIKPDNILLDDEFNAKVADFGLAKLLGREFSRVLTTIRGTRGYLAPEWISGEAITVKADVFSYGKLLFEIISGRRNMELLDDGDYFPALVAKKLSEGEEAVMQFLDQKLQGEADPSEVLRACRVACWCIQADEKNRPSMGLVIQFLEGIIEVEIPPFPRFLHGFTKDTADHSIIYDQWTSETTSSSR >KZM98410 pep chromosome:ASM162521v1:4:23741549:23741713:-1 gene:DCAR_014228 transcript:KZM98410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAKSEAKHLQEKISEKCCNDEEIIRILTTRSKAQLNATLNHYNNQLEMLLTR >KZM96807 pep chromosome:ASM162521v1:4:6671792:6675257:1 gene:DCAR_015831 transcript:KZM96807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADIPYCGTEFVLYVFASLGLVLLAGLMAGLTPGLMSLGLVDLKDRGKVNAKIQSERCEDECDEAVLLKCGFRMLGADLLNDTKMLEINNGAKELNIPTSDANRKLVASDNGGLQNPSYLIFNPVWDSKGAPSPNKRFNYPSVPGVQKPISDEDIAFMTVLELGQLIKTKQISSEELTKIFLKRLKRYNPVLEAVITFTEELAYKQAKEADHLLSQGVYLDNQIHHVTAAPPCKILSPP >KZM97642 pep chromosome:ASM162521v1:4:16500371:16501911:1 gene:DCAR_014996 transcript:KZM97642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKDDKGGEKKADASPITVVLKMDLHCEGCAKKVQSSVKHFEGVSKVKADCESNKLTVTCTLEAERLRERVAYKTKKKVEIVSPQPKKEEKKTDANPEKKSDDKAEKKPKEVSTVVLKIRLHCDGCIHKIKRIISKSEGVDKVTVDSKNDQVTIKGTMDVKLLVPHLKEKLKRNIEIVPAKKDDGGGDKKDKAGGGDKKEGGGGDKKDKGGDDKKKDGGGGGGNVEVKKMDYHGFDPYTTFIVPPCGQSHSIHEYGSTSTAMYNQSYSNQDYGVEMHDQGHVISHGYGVDHYLHAPPPPAYYRAPQMFSDENPNACTVM >KZM98775 pep chromosome:ASM162521v1:4:26799759:26805813:1 gene:DCAR_013863 transcript:KZM98775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAGGGGGEELSRHLSAIHLHHQQHPHFYNTNTITQTHDIHIKKKPGRKRNYQNPFISPSPPAPTFQPANIVGEDKGKSDETTTIHEYSNKHSAGVEHSPNLKVIRKPKVSIVKSEQESYAENAENLNLATSCRYDSSLGLLTKKFISLIHEAKDGTLDLNKTADVLEVRKRRIYDITNVLEGIGLIEKTAKNHIRWKDFDTKLRESEFHAAQLMAEIKSLYAEDNRVENLIRKTKEQLRDLKFGQTSQKYLFVTEKDIMSLPHFKNQTVMVIKAPHASYVEVPDPDEGNFARKEYRLLVRSTTGPINLFLLSKHDGEGEDDAVNDIKLSDASFRNGGRYQDENNFHSEESNMYKLDKIVPSDSHIDDDYWLRSDDSVCASDLWGTKHT >KZM99032 pep chromosome:ASM162521v1:4:28869387:28876207:-1 gene:DCAR_013606 transcript:KZM99032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICVKKTLHELNLAGRKAEEAALRRYQAKEWLESLVGPLGISKQPSEREFISCLRSGLVLCNAINKIQPGSVQKVVENHLPSESQKWDSQPLPAYQYFENVRNFLVAAEKLKLTTFEAPVLERDNLEAGSSAKVVDCILELKVFHERKQRGGEYVSLKPQRSPFVTHYVGKIHSHVLEETSTDPHRRLDMNASCNRKTFAESGTQELEDLLVAALAECMVDKKENIDANLLASFRSGNQSAINLLRQTMSSCLEGQVQNMLTEVKPALVDCSAEECNSITHSKRTTLTENSSVLGNRKCCRACSNKGYCNHHMIFKMQENELSEIKSLLSRTKKEFEGLQSQLQTDMKQLETHVQDMSAAALRYHKVVKENINLHNKVQDLKGLEKTLAVADVSAEETELRKELESLKKALSKRNRQSSVVNILKENRSPSDKPKLITNQTPLRPRRLSIETSNTIRREKALANRTKETSPLLPGASAEITPPPRGLKVENRYTPTIKKSPRARRLSIGTSSVVKLEKAKETGPKGTNYVLEKGQANAERTPPRPRRLSVENCSTTKKGKTAYLEDKKVLKTPSMQSRTRRLSLEGPRYVNKDSANLERTGAPQAASKPKVFPSHVDSTLDVSRQMAPRSTVNAVYNNQVARGGSEIKVPSLQLPKTPEPTVFAKGDLVTPLESQTTSTTAIANGKASHIRKSLRAIGRLINGSEKRNQHKQKETPLIKADGSLNIKSPTSGNARTLRRRSLSGTQCPNMSSTTSVGVNLNGFSSSRAISPPPVRASTKSPKRWL >KZM97956 pep chromosome:ASM162521v1:4:19756852:19757073:1 gene:DCAR_014682 transcript:KZM97956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNANPIHKAEEFECVTPKSDRLVKCSTSVCPPAPKKLKQRNKFAVTALTERPHQVYVQVPKDLSSIFLLRTI >KZM98191 pep chromosome:ASM162521v1:4:21798590:21804809:1 gene:DCAR_014447 transcript:KZM98191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVYEVWKGSNKFFLQGRLIFGPDARSVIATILMITVPVVLFCALVGRHLRHEFSQYNAGYAIPVVAVVFTIYVLVLLLLTSARDPGIVPRNSHPPEEEFRYDTSASAEIGGRQTPSVQFPRTKEVMVNNIPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFLFVSSSMLLCIYVFAMSALYFKFLMDDHEETVWYAMKHSPASVVLMLYCFVALWFVGGLTGFHLYLISTNQTTYENFRYRADNRINVYNLGCLSNFQEVFCTTIKPSRNKFRAYVQEEVQRPPLPTSRTSETQDANEDRRMKVEDDLELGGDLMKISQRHNIEDIEADIRSRGSDIHRHNSSEFDSVVGSDRRPPTGHMDTRHSSWERRSGSWEIAQEVVGVPSNVTESNGYSASKEESK >KZM97310 pep chromosome:ASM162521v1:4:13387215:13389872:1 gene:DCAR_015328 transcript:KZM97310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSVQVGYGKLIVDFLLLAFVVGEVLAADYVPADNNLVNCGGPDDKVDGRQWTTDKGSKFVLAGAKSSTFEADAQKSSVPTTPYLTARVFQSEFTYSIPVAVGRKFIRLHFYPASYGNFNASKAIFSVSCGPYTLLKNFSAAQTAEALNYDIIVKEYSINVPSTMLNITFTPASNIPDSYAFVNGIEAVSHPDIYATEAALVGLSNQFTIDNSTALENVYRLNVGGNAISPSSDTGLFRSWFDDMLYIFGAGSGVSQTADPDMTIAYPPDIPAYVAPVDIYKTARTMGPDPNINDQYNLTWVFSVDSGFSYLVRLHFCEVSANVTKINQRVFDIFLNNKTAEAGADVVAWTVTNGVPIYKDYAVIVPSGPPQQDLWLALHPNLKAKSNYDDAILNGVEIFKINVTDGILAGPNPIPAPKQDRIDPSRKRSSSGSGDSNKTGVIGGSIGGSIAAVLLVGLLVCFLARRRQRRDPSASDGPSGWLPLSLYGNSHSSGSGKTTTTGSYASSLPSNLCRHFSFAEIMAATKNFDDALILGVGGFGKVYKGEIDGGTTMVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAFHCHQKGNLDQIIDPYLKGKIAPECLKKVAETAVKCVADQGIDRPSMGDVLWNLEFALQLQESAEESGKGFVGMDLEDGAFDKGKKDPDSSTGFDGHVTDSRSSGMSMSIGGRSLASTDSDGLTPSAVFSQIMNPKGR >KZM99424 pep chromosome:ASM162521v1:4:31939624:31940547:1 gene:DCAR_013214 transcript:KZM99424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASTKPEYPVVDRNPPFTKTVANFNTLDYLRLTTISGVAITVGYLSGIKPNIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNEGEVARFKKMH >KZM99855 pep chromosome:ASM162521v1:4:35377592:35378760:1 gene:DCAR_012783 transcript:KZM99855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKTDSEVTTISIAPSSPTRSPRPAAYYVQSPSRDSHDGEKTTTSFQSTPTGSPPHSHSSVGRHSRESSSSRFSGALKDGSRKVYPNDGNDRRKNHKKIDVIEEEGLLDDEEYRKGLPRRCYLLIFVLGFFVLFTLFSLILYGASKPQKPKVTMKSIKFETLVIQAGSDNSGVATDMITMNATVKLSFRNTATFFGVHVKPTPVNLDYSKITLASGNVKKFYQSRKSQRLLTVTLTGSRVPLYGSGATLSSLNGVPTVPVPLTLNFVVKSRGYVLGKLVNPKFDKKIECSVVYDPKKHKNVAISLKKSCTYN >KZM98696 pep chromosome:ASM162521v1:4:26203315:26209076:1 gene:DCAR_013942 transcript:KZM98696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSYTSDGAATYTPLPNAPPQYQLPSDPAVEAPPITAVQPPQQQNMNVNVMGEVVKKKRGRPRKYGPDGSVGLLTHSSAPPANFSGGPLSSPPLQSPPSQNVVASASGSSPKKGRGRPPGSKKKQHLNNALDSNFAASPGIGFTPHIIDVKVGEDVSSKIMAFSQNGPRAVCILSANGAISNVTLHQAATSGGTATYEGRFDILSLSGSFLLSEVGGQRSRTGGLSVSLSGPDGRVLGGCVAGLLTAASPVQVIVGSFIVDGQKGSKTINQMEPLSSPPQLNPVSGAPGPNSPQSRGTLSESSGGPGSPLNQNTGALNNSNYQGMSSMPWK >KZM97626 pep chromosome:ASM162521v1:4:16324150:16333988:1 gene:DCAR_015012 transcript:KZM97626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTACLLNINTLKRCLRDVSELYGSESSLHAVQQYRNSSGLDRISAMCFQAARISTLLIDDGIESDKKLDIEWHNKFVPKTGRILRIERLAEQILDDGSADGIIWTLDTFMRIFLGKLNLIPFGFLIHFVTLADKVFGLKSIAAYRSGLKINTNVTLEEAQEGLTEVLRAGNPVRITNKHLIDYIFMRSLEVAVSYDLPLQIHTGFGDKDLDLRLCNPLHLRSVLEDKKFSKCRIVLLHASYPFSKEASYLASVYSQVYLDFGLAVPKLSVHGMITSVKELLELAPIKKVMFSTDGCLFPESFYLGSKKARDVVFSVLRDACADGDLSISEALEAVKDIFADNAKQFYKLDAAAKFVSSENGASDHSKLAIENGISPHSSKVATQSSEQDVAFVRMIWVDTSGQHRCRVVQKKRFHDSVKTNGVGLTFASMAMTSAADGPADGTNLSGVGEIRLVPDLSTKCRIPWAKQEEMVLADMYLKPGEAWEYCPREALRRVLKVLKEEFNLEVNAGFENEFFLLKKGLSGGNEEWVPFDTSSYCSTSAFDVASPVLYEIIAALESLNIAVDQLHAESGNGQYEIVLGYTACSDAADNLIFTREIIRAVARKHGLLATFVPKYALGEIGSGSHVHISLSQNGKNVFMASDECSRYGMSKVGEEFMSGVLNHLPSILAFTAPVPNSYDRLQPHMWSGAYLCWGKENKEAPLRTACPPGVPDGLVSNFEIKAIDGCANPYLALSSIIASGIDGLRRHLSLPEPINEDPQDGKLQRLPKSLSESVDALEKDTALEKLIGEKLLLAVKGVRKVRLIDLFHVYVSS >KZM96569 pep chromosome:ASM162521v1:4:229998:231812:-1 gene:DCAR_016069 transcript:KZM96569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKEKEKEKAEEEDVIDRDLERLIIPADHIPPPTTTNINGGSELSSASSQQEAFIKLIRSWATKKFITGCVILFPIAITFYATWCFVHFVDGFFSPVYSHLGINTIGLGFVTSIAFIFLVGVFMSSWLGTSLLALGEWFIKKLPLMSYIYAASKQISSAISPDQNSRVFKEVAIIRHPRMGEYAFGFITATVVLQQISGVEELCCVYVPTNHLYLGDIFLVNSKEIIRPDISVREGIGREFENMKFLKSSSAPTYSAEMLVKLPEENKLDLNPIQSHWKIKPSVQSC >KZM97923 pep chromosome:ASM162521v1:4:19461259:19464603:-1 gene:DCAR_014715 transcript:KZM97923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTTGGNGNHGAIGVGLRTNLNPTPMAMQMTPGVSSVSNRNLYMNPRLHQGNLGNRVGNNNSDQRNEDVKKVLEILLRSSRKRNPVLVGDSEPEIVIKEVLKRVEGGEFREGGLKNVEVIQIDKDLVLDKSQIPAKIEELGGVIESRIGDLNNDRGVILNLGDLKWLVEQPVGIGVPGAGGAVQQQQVLSDFGRVAVAEMAKLVARFKDKRIWLIGTATCESYLRCQVYHPTMENDWDLQAVPIAARTMFPRVGSTAILSSSVESLSPLKSFATSATPAPRYISQNADPARKLTCCPQCSENYEKDLAKLVAKEFEKSSSEVKAQEAQPSLPQWLKNAKALGSEAKTTDLLQLKDQQREYKQKSEELQKKWNDTCLQLHTSYHRSPVPERITPTALTLTGLGLYNPKLLARQPLQAKSQHTRNVENPLQLNSYQISSEPSQMKLQPTRGLGESLQLHSNRVGSQPPQQACSPPRSPVRTDLVLGPTKIAERSPQKMNDEPVVKDFLGCLSSEPQAKFNQLLNDKFADALDADSFKKLLKGLMEKAWWQPEAASAVATTVSQCKIGHGKQRGAGSKGNIWLLFTGPDRVAKKKMASVLAEHVCGTSPVRICLGSRREDEEVDVGFRGKTALDRIAEAVRINPFSVIMLEDIDEADMLLRGSIKRAMERGRLTNSYGREISLGNVIFILTGNWSAGNLKNIDNGCFLDEDNLASAASSSWQLRLSMVEKNAKRRASWLQDKDRQTRARKETSSGLCLDLNQAADAEDDGSNNSSDLTNDRETELSLDNSQFSITSVPQELVISADAAITFGPANFEHMQCEIEKTITKTFGNILDDTSSLEIQEDALQKILAGLWFGQTSLQDWAERVLVPTFRQLKSTSTLDGSMAYRLEIDEMSSGRGSDRGWLPSRVSVNGIPE >KZM98664 pep chromosome:ASM162521v1:4:25874426:25879386:-1 gene:DCAR_013974 transcript:KZM98664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALIATSLFTSQMFPAPAVNVKGDHASSCCAGNFFSIWRCGRLRLGGTKKDFPFAAEHSRVLVCAKSSANLNELWEDPKEISDSEDEDEDKEAEAGENDLDFESDWEADKGAVQISNRIAELSASNYEDELIKEVEQLLEPEELAILQHNMTPDMKKISSSKWNSLHTLALAGQIPYMDRLLEEGLNIDLVDKDGLTALHQAIIGKKEAVISHLLRKGANLHAKDLDGATPLHYAVQVGAVQTVKLLIKHGVDVNVTDNEGWTPLHVAIQSRNRDIAKLLLVNGADKNITNKDGKTPLDLSLCYGKDFKSYDLAKLVKLVPYNSCCFNQYLSSFATMAAMKSLFLLLLTTLTVSTMVSTKDDDLLEAGYAIVKPSPAVPVKAPLPPSIGIQVTPPPPPPVLAPPLKPPPAAPQPPPPRNTKECYPPCVVRCKLHSRKNVCLRACVTCCDRCKCVPPGQYGNKEKCGKCYANMTTRGGRPKCP >KZM96792 pep chromosome:ASM162521v1:4:6349792:6350352:1 gene:DCAR_015846 transcript:KZM96792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFISIPDSDLAVLDSIRQHLLEDVYDFNSFTESSSCNFFINEMPCCNVDKITDTVKFPKQERVKMQEVERPHGSYSQDWKRYRGVRRRPWGKFAAEIRNPAKKGTRIWLGTYNTPEDAALAYDKAAFKLRGSRAKVNFPGMIGSYISEPIRDTIKGQPTSSASSSSSAISDNSESSKRRKVLNLF >KZM97075 pep chromosome:ASM162521v1:4:10510541:10510795:-1 gene:DCAR_015563 transcript:KZM97075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGFFVMRYMYDIVMLSQKQPDMNWKVGLGSRNYTRKEINEVRELWAKFFSLECL >KZM99098 pep chromosome:ASM162521v1:4:29398371:29399048:-1 gene:DCAR_013540 transcript:KZM99098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKHFSHHHKLKVHQITEGQTIRCSGCERLCQSTHVVGCSQCNFFLHTLCYSAERYVKKHSSDPQHPLVLIPKPTYCSGSFICNKCGETGSSFSYCCTLCEIDLHLHCAFLPLKASHNLHQHELQLTKYSEGQGQDEFCKVCSKLLSAKYSFYSCHACQFDAHIYCVVNEVKPLEGDHDASSASGTSSNTLTAEEMAVELYNLQLQMEMTNAFAQMIASFKPII >KZM96608 pep chromosome:ASM162521v1:4:2086345:2086722:-1 gene:DCAR_016030 transcript:KZM96608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALSMRRSGYDKLVDESSIGLVEVKLSRSATLPAKLFGSRRKPTPEGNLAPIPEQKPSKVKIEKNPGEKQVKKASKILPIFSIFEKRRRKKATAKPEFARYMEYVKEGGTWDANLNMPVMHYK >KZM98748 pep chromosome:ASM162521v1:4:26611004:26613286:1 gene:DCAR_013890 transcript:KZM98748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAMSKQAHNLFDKFPKTILGYLNSPASSLFQTQQAHAHILKTALFFDTYTTTKLLSLYANHLRFNDAQLVLNSLPNPDISSFSTLIYASSKFSRFGEALNLFSKMVSTGLVPDARVVPCVVKACSGLLVVRIGQQVHGLAASFGLASDSFVVSSLVHFYVKCGQLGNARKLLDTMREPDVVSWSALLAGYARQGNVWETKVVFDMMVKAGIEFNSVSWNGVIAGFNQSGHHLEAVLALRDMHARGFELDGTSVSSGLSAVGDLENLLFGIQVHDYVIKQGLGSDRCIISALIDMYGKCSCTFEMSRVFDEMSNIDLGACNALITGFSRSGLVDEALSVFKKFKGLGMELNVVTWTSMIASCSQHGKDIEALELFREMQTVGVKPNAVTIPCLLPACGNIAALMHGKAIHGFSLRRGISSDVYVGSALIDMYANCGRIQAARRCFDGMPKPNLVCWNALMGGYAMHGKAKEVIDIFHLMQNAEQNPDFISFTSLLSACSHSGLTEEGEFYFGKMSKEYGIEARVEHYACIVTLLGRAGKLEEAYSIIKKMPFKPDACVWGALLSSCRVHHKTKLAEIAANELFELEPNNPGNYILLSNIYAAKSKWREVYKLRDKMKCMGLRKNPGCSWIEIKNRVYMLLAGDNSIPQMDQIVDKLDRLSGEMKKSGYAPITDFVLQDVEDQEKEHILCGHSEKLAVVFGLLNTLPGTPLQIIKNLRICGDCHAVIKFISSYEGREIFVRDTKRFHHFKDGVCSCGDYW >KZM98501 pep chromosome:ASM162521v1:4:24510852:24515445:-1 gene:DCAR_014137 transcript:KZM98501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESSLIDIVFSWSLPDILNHHLYKHKVEQIPDTFSSLLHYMNSFTFPLIEETRADLCSSITNVSKAPTFQVLEIKDAEPASNMNYAISIGRNQDIEFDADMYEPGYGDLIAFTVVRPKCGDDLYTDATNFTVALVQRVKIKKDNVVLLVTSSKPIDPEESMHKKLDATNLFVVFLMNLTTNLRIWEALKFQLDGRNAKIINKVLQHDFVIGRRCNFCFKEGNYRITASILAPTVRSFSLNTSQAEAVSNSFAMKYCCHENSVKLIWGPPGTGKTKTVAVLLYALLEQKCRTVTCAPTNIAVLEVAARLLRLVTQSNGYETYGLGDIILLGNRKRMKIDDHTDLLNIFLDYRTKILLKCFAPKSGWTNCLSSLISLVEDPEEQYRLYLRNEKYEESDEEDGYDEEEVEVSCAPGNRSLKYTQEKNVWKEVIVKILRKNDKKINWRREDPSREDKHSKSGRKKQNDFSQNQKVMNFEDFFRSRFFSKREFMKFCIVNLLTHLPTSFISLDNVKNMMTALRLLESFGTSLHSDAVNGIGLKDVLAQIMNVGQFVNVFSILKKTRKELLNILKSLYVGISVPNIYDEYSIRMFCLQNACLFFCTASSSSKLHVTSMELLVVDEAAQLKECESNIPLQLPGLRHAILVGDEMQLSALVKSQASDEAGFGRSLFTRLVSLGYKKDLLDIQYRMHPSISLFPNKEFYHRQIADGPNVRQKNYQKHILRGDMYGSYSFINIPFGREESCDGYSLKNRVEVAVIAEVVANLFKESTGSKQKLSVGVISPYNAQVVAIQENFGTRYSMDPKSDFSVTVRSIDGFQGGEEDVILLSTVRCNGNGSVGFLSNCQRANVALTRARYCLWIFGNEATLRGSRSVWRNLINDAKNRRCYYNAQDDHRLAKTIVATLVDIDRFEVSLSIDSLLFQEAKWKVCFSNNFWTSMSNIQNIEIRKETLSHLTKLSNGLRWPCNDKSRIVIDGKSAQLVELCKVNCMNYIVWSVDVVKVHSSYSQVLKVWDMLPLSKIPNLLKDMDYSFGSYNMDLMYLCTFKKLEGTLEVPVTWPVHSDVARKITLPGTDPMQHLCSTFAAMSLNDN >KZM98813 pep chromosome:ASM162521v1:4:27079264:27080274:1 gene:DCAR_013825 transcript:KZM98813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTSSSGLVVFLKKSLMLIRLCDKAFVHSASVHSCLLHSNYPYLVDSCNGLLLYGTCDDVSWTYHVARPHFDRVVGLPTALPPAHSVSRLVYTRLLVDGLSYDKFKILCLFLNEVDFGAETIQCMIFDSREGEWIEHEARLVNSDLLRGDGFVRGKCFRPSVYSGKRLYCIWSLCLLIYDDKKGVFKLVQLPETSKSSESVKKNNTDHLFQLLWESDGRLHFCAPAADNQGFCIWAYVGDGDNDFMDHNLMWKFKQFIMVKDNLKLGLSWRRAQPVAFNEDLQRLYMLVITAGVIVSYSFETQRFDKVWSIGELGHINLMSNIFPFILGSTSKME >KZM98378 pep chromosome:ASM162521v1:4:23430525:23432405:1 gene:DCAR_014260 transcript:KZM98378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSAMLSKDTTWCFFALPAFLGSNNLLDPFVLFSLFISLLILALLTWAFSPGGVAWKNGRNKMGSVPIPGPIGLPVFGSLFTLRRGLPHRVLASTASARAGLNKQLMAFSLGSTPVVVTSEPVVAREILTSPHFADRPVKLSARTLMFGRAIGFAPNGAYWRHLRRIASTHLFAPRRIAAHETRRHADSAAMLHAISTEQATRGVVTLRKHLQNASLNNIMGSVFGKRFDFARDNSEANELKEMVAEGFELLGAFNWSDYLPWLSYVYDPYQTVKRCEALVPRVRRFVGGIIKEHMMNESSGACDNGDFVDILLSMEGDERLSEDDLIAVLWEMIFRGTDTTALLTEWAMAELVLHQDVQAKVYQEIHHIVGNKNITDAEVAKLHYLQAVVKEALRLHPPGPLLSWARLSTSDVHLSNGMVIPANTTAMVNMWAITHDSNFWEDPQEFKPGRFLGGGDVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVNMWVAKLVQNFKWVQDVAKNPIDLAEVLKLSCEMKIPLTAVPIPRNHFVH >KZM97019 pep chromosome:ASM162521v1:4:9832976:9835028:1 gene:DCAR_015619 transcript:KZM97019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDFESLGLSSNIIEGCTRNGYNRPYRLHQDVIPGILSGRDVVVLAPSCSARILSFVAPLLDKLNRQPSINSIRALILTPTKDLALEIVKIVRVVAQFTGLRIGLLVGDNKTESLFEELTLQNPDVMIATPDNLKGHLSHVKDMLLHNVECIVLYEVDCLLSLGFAKHLRQILFHINQKHQTLLFVKEIPHEIPPALVYFFKSVTQDPAIVRHHSQIRSTLKLIFFTLSEEEKSAAVLYLINERIRCDEKTLLFVPTEDHAEFLKLMFMDKGIEPSICYRNMDRDERILEVSRFKEGKSMLLITTDVAYQIMITPLADNVISWDFPTPKRFLFRVMKTAMHGPGTAYSFVTREDIPYVLNFRFFLLEELTAAPAPTEEQIMRDADMLEVVSEIDQANARAGDILYGRLPPIKADIYADIVRKLLDASPELKSMVLQQ >KZM98753 pep chromosome:ASM162521v1:4:26636976:26639898:-1 gene:DCAR_013885 transcript:KZM98753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAISSVVEKIQKLAISTQDFADAVIRRHHASNRRNPIEILKRLQREAFSDIMKIRDRQDKVERLLLLNKTSKGSPFQEASTRLRGDIDVLGALLMLDHVDEQHVDAIQKAGIKTGASSMFTFQTTIRETDTLTVEFATRGKDQCDVLGSPLSLEKVHYKANISDWFSIVSTPVGAQCKDFAIKKSSCQEKGATNFSFSGPPFLNEQSGSAIGLMVRKSNVVASLAQFVSELGMQSNSTGLFHCFSTFGQVMCQLSGSTKLSLLGIHRVPKFSKQQVKLGPLVVPGGILKRGKHPESPVGTSSSSFLTNTEEDISSGSLALTLETELDDSTKIGGWIEMKNSNPRHLHWAVTMADTPDDDFGWGLSLGGLVQGPSRWDHFQVEAFLRMNLGDRFSLQPGLAYVMNGATQFPVATLRSTWSM >KZM98341 pep chromosome:ASM162521v1:4:23063451:23065078:1 gene:DCAR_014297 transcript:KZM98341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMKLLTSSQWTMVVVVVTLLTAVEGAGKKNCSVSRCGDGGPEIHFPFWLKQNNTAPADDCAYLPEFQLFCGPGRGQYQSHKDSTLVKFQHIVNTSIKGLDLAFKYEAIVSYIDYTNRALLMHIPLLVDIVPIFSSISSHLPFKPNTFNDISVCYQTLDTRNTIYELFNLDPFRSCYYGYSRVDHYYTFFNCSSSSPVIEHNGNIIINSLSTKDFKVYAYSSSYPAIYMLDRIRSCTKMYDISTRLKQSSQSCACGSQFYSENILSWSQNVGGGKCEAARESCNIYDDDSNSYTDFPYSQPSTRGSGRAIAKLLVAVLLPCIFLVVLGLIFRYNFVRLNKQREEDELKIKMFMENYKALKPTRYSYSDIKKITNTFKHKLGQGGYGSVFKGQISADIPVAVKVLHIDSKANGDDFINEFMSFNLIIH >KZM99838 pep chromosome:ASM162521v1:4:35276644:35277066:1 gene:DCAR_012800 transcript:KZM99838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTQEQAPNAEISKSEKPSISFSIWPPSQRTRDAVISRLIETLSSPSVLSKRYGTLPEAEAAATAKIIEEEAFAAASSSAASDDDGIEILQGYSKEISKRMLETVKSRSGGTGGEKASEGHVAEEVKVEQDESSVTESN >KZM98544 pep chromosome:ASM162521v1:4:24906715:24912401:1 gene:DCAR_014094 transcript:KZM98544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETTIALTTKMRSIVVVVSNKEVPKTGVADEESPVTNKSNFFVKVSEKRQQKIQQKDQSCVGSVENDNKSSSHEDDAKCSSSPDSEANDEKSPQRRKRRCTATSEKHGTSATRKKRHASATPEKRGRNTTPKQKVIASGSNSGKYGQIKNPTESEHTSQLTPNLHLEAKLKAESNGSSDSCPIQVDEVLLHHSPNKQDSFPNAPYPVLTDEQVPFCEKSGQREASCTIFAIICLQICGNGERVHFLNNWLRLWHEKGSGTSKLLVIYTEHKMLTTLIQVNQILRI >KZM96580 pep chromosome:ASM162521v1:4:1141115:1142565:-1 gene:DCAR_016058 transcript:KZM96580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRFRESRIDWKTLLLRTISEMVIDASNDINLLPYPKLTPRADFPVQFKAATKWLNILVDADAGLADCLSPDAIECLLNFLEEIDNRKDLDIAIQVLVREEDVDAPSLWSCVDAGES >KZM97534 pep chromosome:ASM162521v1:4:15595112:15595444:1 gene:DCAR_015104 transcript:KZM97534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFSKDSYRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGAT >KZM96980 pep chromosome:ASM162521v1:4:9426530:9428461:1 gene:DCAR_015658 transcript:KZM96980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIGVFIWQAHPIYPLLLLLNRDEFHHRLTIPLEWWEQGGGDEILGGRDGVAGGTWLASNKRGRIAFLTNVRELPSLPQLKSRGHLPIRFLESEKDPMMFAEEVVREAELYNGFNLIVADICSKKMVYVTNRPQQDSAVIKQVSPGIHVLTNANLDTPWPKAQRLKQHFTDFLNLYGNDEYPVGEMCEKMMRDTVKAEKEMLPGIYPPEQEYQLSSIYVDMETPKGHYGTRSTSVISVKTSGEATFYESHLESSLWKEKTVSYMIENMK >KZM98442 pep chromosome:ASM162521v1:4:24027041:24027448:-1 gene:DCAR_014196 transcript:KZM98442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMLKLLIITALVATSCMAQAPGGAPTVSPTATPTPPPSVAPPTPTPSPTPVPAPAPTPETPSPSPTPSEAPTPSEAPTPSSETPFGAPASGPAPEGPTGDESPSGSFADGWVHRAVIAGTAVTATCLSMALM >KZM98860 pep chromosome:ASM162521v1:4:27450992:27452062:1 gene:DCAR_013778 transcript:KZM98860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTSFRVFSTRFPQPILHPTKTPVFQTLFLSTIPPQTPTKISFFRSISIQKVPTTPHASSSSTPSASVNLQPISDLPPKLQEIVKLFQSVQEPKAKYEQLLFYGRNLKPLDARFKISDNKVQGCVSQVWVRAYFDDDKNVVFEADSDSVLTKGLAALLVQGLSGEPVEEIVRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQKKALNLFIEAEKSGSNEMVVESLSLGSSTDKDGDLFVGDGVEVDGGDSGSSSDNVIALGSRGERIREKLERELEPVELKVEDISYQHAGHAGVRGSDGETHFNVRVVSKEFEGKSLVKRHRLIYGLLQDELQSGLHALSIEAKTPTEVGSS >KZM96673 pep chromosome:ASM162521v1:4:3740516:3740878:-1 gene:DCAR_015965 transcript:KZM96673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLKSLEWLYCSVCIPASALCAVFGNFRGLHEQTEAGQLLQVPGNDGPAPLNNRVADVKTTMPHVPPARRVKKSVSISENVEEIVPSKKWNRKIYGMDNEDVKPLKSILKVGSRIREKQ >KZM99452 pep chromosome:ASM162521v1:4:32170184:32173953:1 gene:DCAR_013186 transcript:KZM99452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAAEALSMNYYIMRCPMVELIVKDTVNRALQSDPTLAAGLIRMHFHDCFLQAGGPVYDIPKGRKDGRRSKIEDTRNLPPPTFNSSELIRMFGQHGFTTQDMVALSGAHTLGVARCASFKNRLANFDSTHNVDPDIDTQFANTLIRTCKAGDNAEQPFDRSRNTFDNNYFIGLQNKAGVLSSDQTLFSSPQTRGIVNNYAMNEAMFFLDFQQAMVKMSLLDVKEGSKGERKPATEKEGIYTEMLTLLVLIVSSLVIVSGNVETASPQFSAMFAFGDSLTDPGNNNYLTSLAKANYVPYGVDFYQGQPSGRFCNGKTVIDLLGELLGLPLLPAYADPLATGQNILKGVCFASAAAGILDESGQNLGERFSFRLQVQNFESILNQLKNVMDDQELTKYLRSSLAVVILGSNDYLNNYLLPSLYASSYIYNPADYADLLIKHYTRHILALYSLGLRKFFLVGIGQLGCIPNQLATSRPPPGKCVSSVNDMVGQFNIQLRSLIDQLNKSHLDAIFVYGNTYGAFGDILKNPHAYGFTVTDEGCCGIGRNRGQITCLPLTIPCMNRKEHVFWDAFHPTEAVNRILATRAYSGPPDDCYPINVQQMADYR >KZM97986 pep chromosome:ASM162521v1:4:20110214:20110809:1 gene:DCAR_014652 transcript:KZM97986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELKVHKGFTYAGLQSRTYRNMYLWRRTVNTLSRHGAPVADSIKISNILSGQCLAKTKAGNSLPLEKNNCSSKCNLGRVADAPEDITALFYDE >KZM98911 pep chromosome:ASM162521v1:4:27896330:27901802:-1 gene:DCAR_013727 transcript:KZM98911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQILTPNIGVVYSGMGPDSRVLVRKSRKQAEQYNRLYKEAIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGVLTPAEIEDYLQEVE >KZM99783 pep chromosome:ASM162521v1:4:34815885:34821341:-1 gene:DCAR_012855 transcript:KZM99783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISPQSLTVSCTAHKNEVELKEKETKLWGGRFEEGVTDAVERFTESISFDKALYKQDIMGSRAHATMLAHQGLIEAGDKDIILKGLDQIEKEIEMGKFVWRTDREDVHMNIEAALTDLVGEPAKKLHTARSRNDQVSTDFRLWCRDAIDTIISRIKHLQSALVILAKKNEGLIVPGYTHLQRAQPVLLQHLLLAYVEQLERDAGRLLDCRTRLNFCPLGACALAGTGLPIDRFMTSDALGFSAPMRNSIDAVSDRDFVLEFLSSNSITAIHLSRLGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVVGDLVTLLVLCKGLPHAYNRDLQEDKEPVFDSVKAIIGMLEVSAEFAQNITFNSERIRNALPAGHLDATTLADYLVKKDIPFRTSHDIVGRSVALCVSKNSQLQDLSLDELRSISPVFDQDVYEYLGVENSIKKFSSYGSTGSECVASQMDYWMTRLSINSNA >KZM99260 pep chromosome:ASM162521v1:4:30604806:30605648:-1 gene:DCAR_013378 transcript:KZM99260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNKSRKAELYTVEAIRTLDKEYVEDGSSTHFPKVLKNLTGRPFTFKLEIATANIGNHGAFYWATNVCNGFKTEAARQELQQTTTEDTQATTSTFHQPSDLNAESSAVTKD >KZM98986 pep chromosome:ASM162521v1:4:28533277:28535207:1 gene:DCAR_013652 transcript:KZM98986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGRNRRALGDIGNLVTARGGIDAVKPLPQVSRPITRSFCAQLLANAQAAAAENNKKQMAIPGDGVLAGIGGKQAALPLPPKAAQKKKVVVESKPEDVIEISSSETEQVKKERPNRKKAIEASSSMKNGQTLTSTLTARSKAACGINKKPKEQIVDIDAADATNELAAVEYVEDMYKFYKEAETESQVSDYMDSQPEINQKMRAILVDWLIEVQHKFELSPETLYLTVNIVDRYLATKMVARRELQLLGISAMLLASKYEEIWAPEVNDFVCISDRAYTNQQVLAMEKKVLGRLEWSLTVPTPYVFLVRFIKASLPNEPDVNNMTYFLAELGMMNYATVMYLPSMVAASAVYAARRTLNKTPVWNDTLKLHTGFSEAQLMDCAKLLVGLHSAAAENKLRVIYRKYSNPERGAVAFLPPAKSLLPAANN >KZM99496 pep chromosome:ASM162521v1:4:32579096:32580968:1 gene:DCAR_013142 transcript:KZM99496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKHQTYKFSSPSFFNLFSIKSSTIFTIILIFSSFVYFFTPFRYSQETFFNVNEAQIFKGDLRDARFPWNKLCFGPPSEKLKLAVFSKTWPVGAAPGGMERHASTLYSALAAKGHEIHVFTVPSDKRPRNDIQQGSLHVHFAANDHGSVNISLAFDIFNQENLIGEFDYVHTESVSLPHWRAKMVPKVAVTWHGIWYEIMHSKLFQELLSSSDGKLPGPMTELQEAMPRLVDEIKFFSSYKQHICISNSAGEALVNVYQIPQRNVHVILNGVDERKFVQDPKSGQKFRDKHGVPKNVSLVMGVAGRLVRDKGHPLLYEAFLTITKHHPGVFLLVAGSGPWGKRYAELGPSVKVLGELEPSELSEFYNAIDLFVNPTLRPQGLDLTLMESMHCATPVLTPNYPSITGTVVLNEGFGYTFAPNVASFVEAMELAIRDGTEVLQRNGMVCKNYALSMFKATKMASAYERFFLCMKNTRYCQYPLATDC >KZM99224 pep chromosome:ASM162521v1:4:30373185:30374195:-1 gene:DCAR_013414 transcript:KZM99224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDNQSTTADIFNLSSEEKNERAASDSTRNVEDIRDSTSRRERNGKVPGAAIPVARESTAIDIELSSREEKGKAPLLVPPFLTRAKKGKSPHMAASVFAAPSSRSPSKAEKPGNKRGIAIFDLVLRLGALISALSATITMGTTDQTLPFFTQFLQFQASYDDLPAFTFFVIGNAVVSVYLALSVPFSIVCIMRPHAARPRLLLIICDTVMVTLTIAAAAAATAIVYLAHNGNSNANWLPICQQFNDFCQRVSGAVVASFIAALFLVFMIVFSGFAL >KZM99631 pep chromosome:ASM162521v1:4:33579145:33579753:1 gene:DCAR_013007 transcript:KZM99631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKELGVSKIDGGFDMKIKGKVVLEDVNLGDSIAVNGTCLTVTHFDKKLSEFTVGLAPETLRLTSLIELEAGSVVNLERAVKPSTRMGGHFVQGHVDGTGEIVGKEVEGDSLWIKVKTTPEILKYIVPKGYITVDGTSLTVVKLFDEEDCFNFMLVEYTQQNVVIPLKKVGQKVNLEVDILGKYVERLLSSGFVGAMKSA >KZM98185 pep chromosome:ASM162521v1:4:21769523:21771395:-1 gene:DCAR_014453 transcript:KZM98185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFASLISQLLIVPCLLLLSSGYLYVSEAQTPPLVSGLSYTFYNTSCPDFETIVRTRLQTEFASDIGLAAGLLRLHFHDCFVQSGGPNYSVPFGRRDSLDFATQNDTLANLPAPTSNTSDILSSLATKNLTTTDAVALSGGHTIGIGHCTSFTSRLYPTQDSTMDQTFATNLKITCPTTNSTNTTNLDIRTPNVFDNKYYVDLMNRQGLFTSDQDLYTDNRTRSIVTSFAVNQSLFYENFIIGMLKMGMLNVLTGTQGEIRANCSARNPTSSTLSSVVEEGLETLMGF >KZM99684 pep chromosome:ASM162521v1:4:33967914:33968674:-1 gene:DCAR_012954 transcript:KZM99684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHSSLECTNAQSLRDGFYQKSCPAVESIVKKVTAQYISKSPSLAAPLLRMHFHDCFIRGCDGSVLLDSTTKHKAEKEAIPNKGMRGFQVIDAAKSAIEKQCPGIVSCADILALVARDAVSAISGPFWPVPLGRRDGRVSIQSEADNQLPSPNANINQLKSVFSSKGLNARDLAVLSGN >KZM96829 pep chromosome:ASM162521v1:4:7103147:7105454:-1 gene:DCAR_015809 transcript:KZM96829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSKTQTSDDKPKYDRGEAHLDLLDDLVSVNSLFTLAVFVGLSQASPGIRSLENRHECDAGPGVAKMLVLYEVVAFACFLLSSLVAKVLKLLFRLDIKKIKFLIRDGFDVKDALLLLTAVSSVTGIILLTLSVVNVVQIRIGLYSCGSGEARKAIWALCTIVAIALVIYVVSITVGIIASMRGDAESHAVPDQQGQSSNGGNNKSGDATAAAVQAAAVQAQTQDGSADEPKYDRGEAHLQLLDDLVSVNSLFTLAVFVGLSQASPGIRSLENRDECDAGPGLAKMLVLYEVVAFACFLLSSGLVAKIQIRIGLYSCGSAEARKAIWALCSIVAIALVIYVVSIIVGIIASIRGHADSHPDPDQQGQSSDKGNNKGSDVAAAVQGAAVQAQTQDALV >KZM97418 pep chromosome:ASM162521v1:4:14526909:14527709:1 gene:DCAR_015220 transcript:KZM97418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESHCGKICLKLLVDRNANRVIFGEAGKDFVDFVFQFLSLPVGTVVKLLSKNKMVGSLGRIYESVENLQANYMEPNLNKDDVLNPKVYSSLGDTPLLLGNKANADQLNETKYFYRCRYNCSYVGSDQQTKCGDCGNIMTHQMTYVKPAESKKAAKVSTGGYVKHLVTYMIMDDLTVKPMSTISSITLLSTFKVKDLSALENVEVYIGKIEAVDLLKASFVTNKVLTSLFLGNMKA >KZM96777 pep chromosome:ASM162521v1:4:6195059:6196729:-1 gene:DCAR_015861 transcript:KZM96777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYEWGNPSSLMVNGDDPPDQDSGHNPQLYNHYTQTFDQNLLNPNHHNHQNTYENQTHHPYFHYPPTHNTHLQSLYDPNSYGSYPDPDPRMMSLDPVHDPTRFMVVPKSEPAGFEYGTSRIGLNLGGRTYFSSSEDDFVNRLYQRSSMVEPGSLNVPRCQAAGCNANLTHAKHYHRRHKVCEFHSKAATVIAAGMTQRFCQQCSRFHLLTEFDNGKRSCRKRLADHNRRRRKTQQTDQDNKLQLHIASNSSSENLTRSPPDSGVHSSSSVTIAISPPRISFDKFQQRSDYQASSSSTNSLF >KZM98557 pep chromosome:ASM162521v1:4:25008241:25010740:-1 gene:DCAR_014081 transcript:KZM98557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKQIPAQYDVNAKWDACLDLGIRRFVYSSVGGAFAGLLLFRTPVTRWASVAFGAGLGIGSAYSECSTKFDGTSKKVEGLTSI >KZM98336 pep chromosome:ASM162521v1:4:23033771:23036580:-1 gene:DCAR_014302 transcript:KZM98336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVLVAVAATIGNMLQGWDNATIAGAVFYIKREFSLETQPTLEGLIVAMSLIGATLITTFSGPVSDSVGRRPMIIASSVLYFLGGIVMFWSPNVYVLLIARLIDGFGVGLAVTLVPLYISETAPSEIRGLLNTLPQFTGCVGMFFAYCMVFGMSLLDAPSWRLMLGVLSIPSVVYFALAAFFLPESPRWLASKGRMEEAKHVLQRLRGREDVSGEMVLLVEGLGTGADTTIEEYIIEPDNEIAGDPDHVEKDKIKLYGAGQGQSLVAKAVRGQSMLGVMSRTGSMAVRSASMMDPMVTLFGSVHEKLPEMGSMLFSHTGSMLNVPEQPVNDQWDEENLHREGDHHYSDASGGESDDNESNPISRRGSILSPSGADMTAGDAVHASALVSQSVLHVENLPGQHPVGAAMTQRPKSAKGGPSWSDLLEPGVKHALIVGVGIQILQQFSGINGVMYYTPQILDQAGVGILLSDLGISSESASFLISGLTTLLMIPSIAVAMRLMDIAGRRFLLLGTLPVLLASLVLLVLANTINLGSVLHAIISTVCVIVYFCFFVMGFGPIPNILCSEIFPTRVRGLCIAITALTFWFGDILVTYSLPVMLNSVGLSGVFGCYAFVCAIAWIFVYMKVPETKGMPLEVITEFFAVGAKQSDMST >KZM99322 pep chromosome:ASM162521v1:4:31094243:31099331:1 gene:DCAR_013316 transcript:KZM99322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDQRKKSPTEAADFFSEYGDASRYRILELLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTGPCENINAAALTLLFTDYVATRWYRAPELCGSFFSKYTAAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGSPSMETISRVRNEKARRYLTSMRKKQPVPFAQKFPNADPLALRLLERLLAFDPKDRPTAAQALADPYFKGLTKADREPSSQQISRMEFEFERRRVTKEDIRELIFREILEYHPSLLKDYLNGTESTNFLYPRHVCFYAVDQFRKQFAHLEESSDKSGPVIPLERKHASLPRSTVVHSNSIPPKEQPVDMALRNMQLTEASCNKQFRYGDGIPVKSSRTSQVPNKMPPAKPGRVVGPLPPYENGNLFAQPYDPRTLVRNGAIPPQAVQPIGPPAYCYRQDGTVNQERVVGREREPSSQQKNIPESSIGAKLAPEIAINIDPNPFYMTRVGLPKMEHVEGRISNAASAHRKVGAVQYGMSRMY >KZM97100 pep chromosome:ASM162521v1:4:10795784:10796107:1 gene:DCAR_015538 transcript:KZM97100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGTAIGTSGFVNITDLKGGKVGFGAEDNSGVLPATFVKSVDERLYNLSVIQIIRSSCRRKPKFRRRHQALKTHNRRRRSRKRSDGVDLDLKMQQQNRIQGRWWVK >KZM98101 pep chromosome:ASM162521v1:4:21107866:21109644:1 gene:DCAR_014537 transcript:KZM98101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFCGAQGNKFVYTKLIKSWWPDTVKKILFDPEFSHILVDESLVIHHFVHEILKPEALKLYIPVMDAMVREHVELDWDGNEVVKVHPLSKKHTFSLACRLFVNEIDVQQVTKIFKHFVLVTSGMFSVPINLPGTAYSRGVKGGKLVREELEKIITRRRKELLEKKETSPCYTDVLSRMLLLTDENGKFMSDKEISNYIIALLVASYESTSTAISFVLKYLAELPHIYHEVYKELTNIAKLKGEGELLNWEDIQKMRYSWNVVCESLRLTTMNSSFSGFREVVTDVSFSGFTIPKGYKASWSSYTTHKDPECFPDPEKFDPTRFEGKGPAPYTYVPFGGGRRMCCGKEYARLEILVFIYNIVTRFNLEIVNPQEKIVFHSFXGLPIRITRHNIYS >KZM99303 pep chromosome:ASM162521v1:4:30953977:30957730:1 gene:DCAR_013335 transcript:KZM99303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSYGGASARLSRSSSSSSSSNDTEDDQTIARILAEEENPKSHGKLGKMLSHLDSIPHTPRINGDIPDINDATLDHDTLSERLAAYDLAELQMEGDGNCQFSAKICLVTSFRDSGYIEIVPKEKNPIRGNRIYIIFHFGCLLDLINLFLLKLLSSVIFWLSEYITS >KZM98468 pep chromosome:ASM162521v1:4:24239998:24243673:-1 gene:DCAR_014170 transcript:KZM98468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLYFTIFSFLFAFFSATFSDLTADKAALIALRSSLGGRSLLWNLSNPTPCFWVGVHCQFNRVTELRLPGMALSGQIPPNILGNLTSLLTLSLRFNTLSGEFPNDISSLKSLRNLYLQGNHFSGTIPDAIFSLRNLVRLNLASNNFSGSISSDFGKLNRLGTLYLEHNRFIGSIPDVELHSLVQFNVSYNQLSGKIPTRFSSKLESSFVGNSLCGSPLKSCDGNGGKLSGGAIAGIVIGSVVGVLIIVAILIFVCCRGKGSKKGESKDGRPFKEAGVVDIPRESVVENGSDLSSGYMSVKEKGIVKFDGGKRLVFFGKVEKSFDLEDLLRASAEVLGKGTFGTAYKAVLERGMVVAVKRLKEVAVSEKEYKEKIEGVGKMHHENLVPLRAYYYGGEEKLLVYDYMSMGSLSALLHGNGSAGRTPLNWETRLSIALGAARGIGYLHSQGSTVSHGNIKSSNILLTSSYEARVSDFGLSQLVGPNNSPNHIDGYRAPEVTDARRVSRKADVYSFGVLLLELLTGKSPTHSLLNEEGIDLPKWVHSVVKEDWTSEVFDLELLRYQSVEDDMVQLLQLAVDCATKYPDKRPTMAEVTRRIEELCGSQHEQKPLHSDILVDNIDSDSL >KZM99339 pep chromosome:ASM162521v1:4:31212604:31215721:-1 gene:DCAR_013299 transcript:KZM99339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVSEGMDRSIPNRNRKRNETVKVPSLEDMCFRKVIDNVKHIGNVAGIPDQFLERILPHCNAEELKNIEERNKGTDLSHLTNYLWQNFYQKRFGQRSFKSVVEKISSKNVTFRWKDLYEAKLKNVEQAEQESIERIRQLYQNEDARWGPGRIVGSSKSSLMKKSREDFVNSQEVRNLKALRKNAVQKNGRPLCIREYGGVSSNGSSSSSQGSKELQRR >KZM97146 pep chromosome:ASM162521v1:4:11490442:11494801:1 gene:DCAR_015492 transcript:KZM97146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDHNDDVQSETSDYTSEDEGTEDYRKGGYHAVQIGDTFKHARYVVQSKLGWGHFSTVWLSWDTHKSRYVALKIQKSAQHYTEAAMDEIKILKQIAEEDPDDKKSVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGIPLPKVKEICSHILGGLDYLHRKLSIIHTDLKPENVLLLSMIDPTKDPTKSGVPLIIPSKKDKIVPGSGACREVRSYNSDLTKNQKKKIRKKAKKAAQTCIEEEPSEESVPVNESSATEVSHQDEKSNNESNEEKSTRDRSTTTGSNRNSQQGNQGHRRGSRSARRKLLSEIDTRCKLVDFGNACWTYKQFTSDIQTRQYRCPEVLLGSKYSTSADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEQDAKDLADFLVPILDFVPEKRPTAAQCLSHPWFADGPKEADGPCTIEPTVVPPEAKDKIMSEKKRENDEREAMEVAVGNIAIDGASKPVNHPKQK >KZM98293 pep chromosome:ASM162521v1:4:22620815:22621417:-1 gene:DCAR_014345 transcript:KZM98293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPWDEFSGEQQPSPIKRQLQGPRPAPLKVNKDSHKIKKPPIHPPPKPPKQPPPAPETRQPVIIYAVSPKVIHTTENEFMGLVQRLTGPSSGEMSGNFSGDISPAARLATIERTSPSGRERGMIDGFEVGNFPGILSPAPASLAPISAGMFSPGFDMSLLNEMSPFLSNMFTPSPSSLLAAPMVSPSPTSFELLSQFFDL >KZM99422 pep chromosome:ASM162521v1:4:31928741:31934461:1 gene:DCAR_013216 transcript:KZM99422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISDLFLVSGGGNIGLKPIFLHGFSGVLHLVFLFMLLGSWVFKRFSGKQGFSGDNVVRNGWSDDKVLVIFDSVSKAVTWVVVSVYVSTQVKNSGEVKYPLVLRVWWCFYFSISCYSVVLDYVFYIKHQSLELQFVVSDALYVVTGLLLCFVGFMGRSEVSGNENGNGLLQEPLLSADSNGLSNGVEFRESRGGETVTPYASANIFSTLTFHWIDSVVALGFKKPLDLEDVPQLASMDSVKGAFPRLSDELRFGGGDNSIMTTSRLVKALMYTTWKEYLFTAVLAFVNTVSTYVGPYLIDSLVQYLNGRREFKNEGYFLVSAFVIAKVIESLTVRQWYFKLQQVGNRAKAALIALVYHKGLTLSGQSKKSHTSGEIINFMTVDAERIGDFSWYMHDPWLVFLQVSLALGILYKNLGLASIATFFATVIVMLANVPLGRLTEDYQTNLMESKDQRMKATSEILRNMRILKLQGWEMKFLSKIMELRNTEAVWLKKYVFTNAFITFIFWGTPTFVAMVTFGTCILLGIPLESGKILSALATFRILQEPIYSLPDVITMIIQTKVSLDRISSFLRLDDIQTDVVKRLPVGSCDTAVEIVDGTFSWDVCSPNPTLKDINFKVSRGMRVAVCGIVGSGKSSLLSCILGEVPRLSGVIEISGTKAYVAQSPWIQSGKIEDNILFGKEMDRERYEKVLEACCLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGLLESKTVIYITHQVEFLPAADLILVMKGGRIAQSGKYGDILNSGSEFMELVGAHKTALSALDSMNAVSASKALNVGEDRNVGGDNNSKETSKVEDGKPPEDIASLKGQIVQEEEREKGRVGFIVYWKYITTAYGGALVPFILLSQVLFQILQIGSNYWMAWASPVSESAAPPVESSTLLIVYVALAVGSSFCILARAMSVAAAGYQTAAVLFQKMHLSIFRAPMSFFDSTPSGRILNRASTDQSTVDLNMPYQVGTFAFSIIQLLGVIAVMCQVSWWIFLVFIPIIALCIWLQQYYLPAARELARLIGVSKAPVIQHFAETISGSTTIRSFDQERRFRDTSMKLIDANSRPKFHTAGAMEWLCFRLDILANLTFAFSLILLISIPEGTISPSLAGLAVTYGLTLNMLQGWVIWNLCNLENRIISVERILQYASIQSEPPLVVEQNRPDDNWPSSGKVDICNLQVRYAPHMPLVLDKCQLGEEVRNKEKKLDSTGLVEEYDTPAKLLENKSSSFSKLVAEYSVRSNTSFENKGKP >KZM98244 pep chromosome:ASM162521v1:4:22198723:22200906:-1 gene:DCAR_014394 transcript:KZM98244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRHQLSCKTFAHQVEDRKYDEIGEFEGVSFSGVVGGDGYVCGLDEDRSNIICWRFGGGDGVVVSKRIYSGPVVDDLASGRERICGVLGGGRSLECWQWRKFKRPGAKFSGGLAVGGDFVCGLVGLGQIQCFGNLSGVGGGVFRGNYSSVAAGLGHFCAISVRGRIKCWGDNLVGDPPKGLFKGLALGDGRSCGIRVDGRVVCWGEGGFRLPWGLEGTSFEAIEANGGVFCGVGTKDYGLYCWGDEVFDANGLVFENVMAGVCRRECPCGASPGAGRFCGQGLMVCKPCDPVPTVENPVPVWVPEPESKHGGVNWTTKNVALLVVGCVGTCSSVILLFVLCFRYKKGTRGSHRVHDSGPLDDVEMPPQLTSPKEAARAPVLEKRLSQMFSMENGGNLEDFSFSVLVRATNSFSDEHKIGSGSFGSVYRATLQDGREVAIKRAQLTKCPSLDGARGGVNYNITKRQDENEYAFVNELECLSRLNHKNLVRLLGFCEDCNEYALIYEYMNNGSLHDHLHNPQTNATMSWPTRIQVALDAARGIQYLHVYADPPIIHRDIKSSNILLDSTWTAKVSDFGLSLMGPQENMSHLSLHAAGTVGYIDPEYFRLQQLTTKSDVYSFGVLLLELLSGYKAIHLNEERMPRNVVDYMVPYIVQDNIHHVLDPKLPPPTPLEIEAIAYIGYLATDCVMLGSQDRPTMSDIVNSLERALLACFAAPALSHSSTNSSS >KZM96771 pep chromosome:ASM162521v1:4:6038053:6057774:-1 gene:DCAR_015867 transcript:KZM96771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFSVTASTQIRYLLESVNDSNFDSVFQELCEFIEYGTQESILVLQTCFDQLAMHAKEYKNMQLEPIFVSVFRNILNRPNFSTLLCQSLRSVSVNEEFLESLCKALQLAAPERIVIGLALSESENLDVRMCGKSFCINQIAQLCSNNESLESAEQIQNVIIFLNQSEGLSEHVDSFMEILSLVNLKEDSEFILSPLITDELREAKFFRNLDMLNDGSESEFDTILADMEKELSMADLMTEFGYGCTVNVPQCKEMLSLFLPLTEATVAKIFGTVVRTSAGLDSYHQNTYLTFCSAITGSSLSDLPHVDSWNVDVLIESIKQLAPGINWIKVFENLDHEGFYIPNEASFAILMSIYRYACQELFPLHAVCGSVWMNTEGQLSFLKHAVSAPPEVFSFAHSGRQLAYIDAVNDHKFHPGHANHAWLCLDLVEVLCQLAERGHAGSVRSMLEYPLKHCPDILLLGMASINTVYNLLQYEVYSSVFPELLISSTGATVILHLWHVNPSLLLRGFMDAFSMDSSNMIRVLELCHELKILSLVLEMVPFSFGIRMAALASRKELVDLEKWLNTNLVTYKDTLFEECLKFIKEVQISTQDTPPTRFDQSGSLWNIYSETIPTFLKVLQANTSSISSRSLCEEIEKLNVTVMHSNIRVVNPNGTDSTTADGYAEDIETEINSYFHQMFSGQLAVDTMIQMLAREQSIFECMIANLFEEYKFFSKYPERQLKIAAVLFGSLIKHQLVTHLTLGIALRAVLDALRKPADSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRGTHSELVAFIERALARISSSHSESDLGHVAAVDQHHNPISAAHAEGPSFPSTGSSSMQIASSSSVQLQQRQQGSLEERNKASPPLSSYMKPALSSSTNASSTANPVLSSTTGFLRTTRSTTSARFGSALNIETLVAAAERRETPIQAPASEIQDKISFIINNLSPANAETKAREFSEFFQEQYYPWFAQYLVMKRASIEPNFHDLYLKFLDKVNSKPLNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKITIGRNQVLRAREIDPKSLIIEAYEKGLMIALIPFTSKILEPCHNSLAYQPPNPWTMGILALLTEIYAMPNLKMNLKFDIEVLFKNLAVDMKDVTPSSLLKDRPRETEGNPDFSNKDVAPSQASIVGDVKPGVSSTLNQVELPHDVASPAHPTAHSHLLSQYAASVHLSAPTLEDDKVAPFVLSDQLPSAQGLLQVQSPFTVSQLPTPASNIEQQVVVNPKLHTLGLQRHFQSVLPAVMDRSIKEIVSSIVQRSVSIATQTTKELVLKDYAMESDETRIRNAAHLMVASLAGSLAHVTCKEPLRASIISHLRSSVQGLTLANELLEQAVQLVANDNLDLGCAHIEQAATEKAIQTIDGEIAQQLSIRRKQREGVGPAFFDTSLYTQGHMGVLPEALRPKPGRLSHSQQRVYEDFVRLPWQNQSSQTSNISPVGPSTSVSSALSQAYGSASGQLNSGPYSTLGNTGMGSVAHSLDLGSEELDAGPPKQLSVSTMPSGLAEGVVQQNFESDAIPVSYPSASASELQSAEPTIVSKESGASVQALPSTSTSERLGSSISEPSLTTGDALDKYQLVSEKLESLVTIDGTEADIQAIVSEVPGIILRCISRDEAALAVAQKVFKGLYENESNNAHVGAHLAILSAIRDVSKLVVKELTSWVIYSDEDRKFNIDITVGLIRSDLLNLAEYNVHMAKLLDAGRNKAATDFAVSLIQTLVMSNSKVISELHHLVDALAKLAARPGSPESLQQLVEIARNPSASAASLSGLAVGKDDNLRHSRDRKQTTGLSAASREEYNLLDSVEPDPVGFRNQVSMLFAEWYQICELPGAKDAASAHFVLQLLQSGLLKGDDMLDKFFRLLMELSVSHCLQSHQQTQPLSFLAIDIYAALVFSILKFFPADQGSSKLSLLSKVLAVTVRTIHKDAEEKKTSFNPRPYFRLFINWLLDLTTLEPVTDGANLQVLIALANAFHALQPLKIPAFSFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLAEITQAPRILSEVDAALRAKQIKSDVDEFLKTKQQGSSFLSELKQKLLLPPSDAARAGTRYNVPLMNSLVLYVGMQAIQQLQARTPPHAQGSVSLAAFHVGAALDIFQLLITELDTEGRYLFLNAVANQLRYPNNHTHYFSFILLYLFAESNQEVIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWSRSFTRCAPEIEKLFESVSRSCGGPKPVEEGVVSGGLADMH >KZM97427 pep chromosome:ASM162521v1:4:14593692:14596008:-1 gene:DCAR_015211 transcript:KZM97427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREINVLKYGRYIYICNDILKLSSLRHHSVYTSKTPLLTNKNSNCGGTHNAVSFDLSRSSIYHDDVKIRSLGCSGKVYEAEKVFDGMPQRDVFSYTSMITVYLKNNDLLRAESIFLSMPEKGIVAESAMISGYAKAGRIEEAQKVFDGMKERNVFSWTSLISGYFGIGRVGDACRLFQEMPEKNVVSWTTMLLGFANNRLLDHAKVTFEVMPEKNVVSWTAMLKAYVDGCRIDEARKLFNEMPQRNLYSWNVMLQGTLEDERVDEAIQLFRLMPSRNAVSWTIMVMGLARNGSIEHARTYFNQMPKKDIAAWNAMITTYSGEGLMVEASELFNKMRERNITTWNALIDGYAKNGLDGEAFKLLVHMLNCFQVRPNEITLTSVLISCKESTSELLQAHALVIRLGFVRSTSLMNALVTMYSKIGDVNSSWLAFTDLETKDVVSWTAMILTGETKETKLNKKLPVVIHRDPNLRQKKSSQTRSCLKCIAMVISLRIPKLLGAETS >KZM99446 pep chromosome:ASM162521v1:4:32100311:32111786:-1 gene:DCAR_013192 transcript:KZM99446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHTHRMSAQGGGGAAAVPVLNGSSNSNPQIQASGGGHVNLEPDYVSARRFMTTKIYEFLMRRQPQANDRTTRKVLDVVKRLEEGLFRNATTKEEYMNLETLENRLRILIRREPMNNPSQQYSHQHINSSSSTSTMIPTPGMPQSGNSSLMHASSVDSSLIASGGSNSIASSTVNTGSFLPTGNTTSGGMHGGSFNGLSEPNSAGSLPHGYQQSPSTFSISSGGNIIGSSVGGQRIASQMIPTPGFNSSNNINSNNSNDMNNNNYNQPVMNLDSNNMAYSTVETTIAAQPLQQKNQIGGQNSRILHNLGSQIGGGIRSGVQQKYGLSSGSLTTGLGTIGNNIQISKGPGISEGYINAPLYGNSSKLLQHDQHQRQISQGVGYGSCTADSSTSGSIYCPVTSAELSGSNQDINQQQRFLQQQRQHNLRQQQHVLLKNEASQGQMTSNVAGQVKCEPGIERQAESLHSQFSGQFQSSEVQYQNLSEDQSVVNKSLSLPPSSQEIYSAQTQTVEEMQQSVHQPPFLTDSCDEFTSNDGVPSERVLHGQWRSRSQDGPHVMQSFSISKEHHIQGDFSQRTSGQGDALQNNLSSGGSIMCQNVANRTADPRSGNGSALKYGNANCDRQFKNQLRWLLFLRHARACNAPKGRCPEKYCVAAQELLRHVDGCFLARCPNPRCPMTKRLIEHHKKCKHPECPVCIPVKNYIMMHIKARAHQDSKSSFPSSINGSCNTYDTRDALSRLNMSQSVVSTSEDLQPSLKRMKIEQQPSQSNASERESCAPPVSSISEPHVPQDILNGESQTVDTCGVIKTEVAEIKIENLSSSARGSPGVLEMKNENIDKTFVRVEDSDSAITSDPVGFPKEESIKTEKEVAAANHSLVTLPNENVAATKSGKPNIQGVSLTELFTPEQVREHIKGLRQWVGQSKAKAEKNQAMENSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTIGTGDTRHYFCIPCHNDSRGETIIADGTSIPKARLEKKKNDEDTEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEVERGERMPLPQSAVLGAKDLPRTILSDHIEQRLFKRLKQERMERARVQGKSYDEVPGADFLVIRVVSSVDKKLDVKPRFLDIFQEENYPTEFGYKSKVVLLFQKIEGVEVCLFGMYVQEFGAECQQPNHRRVYLSYLDSVKYFRPEIRTVTGEALRTFVYHEILAKYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKAAKEDIVVELTNLYDHFFVPNVGECKAKVTAARLPYFDGDYWPGAAEDIIYQLQQEEDGRKLHKRGLTKKTISKRALKAFGQADLSGNASKDLLLMHRLGETISPMKEDFIMVHLQHSCSHCCIQMASGNRWVCNQCKNFQLCDKCYEVERNLDERDRHPISNQRDSHMLHPVEIEGVPVDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHLDIEAGQGWRCETCPDFDVCNACYQKDGGIDHPHKLTNHPSLAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSAQCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLKRLQQQSDSRRRAAVMEMMRQRAAEVAGNP >KZM98828 pep chromosome:ASM162521v1:4:27207060:27208580:-1 gene:DCAR_013810 transcript:KZM98828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAISCLVMTLCFTLFAHSTYSQNISSFNVQKLGAKADGTLDASQFFLTAWRLACASNNSAQVYVPPGRYLISTAVVFSGYYCKRTMVMRIDGTIVAPTNYNLIANSENWIKFDNVRGLYITGGTLDAQGAALWACKASGKTCPQGATSVGFYSSRNIVVSGLRSINSQMFHMIVYKCNNTKLQGIKISAPADSPNTDGIHVQLSTGVSILSSQIGTGDDCISIGPGTVNTWIESVSCGPGHGISIGSLGWDLQEPGVQNLTVKTVTLRDTDNGLRIKTWARSSTGFVKNVLFQHIAMGNVRNPILIDQDYCPNNLNCPGQVSGVKISNVIYQDVHGTSATPVALSLQCSRDYPCSGIRLEDVVLTYNGLPTTASCSYAAGTASGVMNPTSCL >KZM97637 pep chromosome:ASM162521v1:4:16445915:16451193:1 gene:DCAR_015001 transcript:KZM97637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDLEEERQTPTASPSRIIISSARRKLFEILGFELPVSAPGAGEGGPDNIQERDKKNEKIKKKEEMEAKETDKEKPGSVLPTVKFMELFRFADRLDYVLMVIGSVGAFVHGSALPLFLRFFADLVNSFGANANDPDKMTQEVVKYAFYFLIVGGAIWVSSWAEISCWMWTGERQTTRMRIVYLEAVLNQDVQYFDTEVRTSDVVSGINTDAVMVQDAISEKLGNFIHYMATFASGFVVGFTAVWQLALVTLAVVPVIAVIGGVHTATLSKLSAKSQEALSEAGNIAEQNIAQIRTVVAYVGESRALKAYSSALKISQRFGYKSGLSKGLGLGATYFTVFCCYALLLWYGGYLVRHHYTNGGLAISTMFSVMIGGIALGQSIPSMAAFSKARVAAAKVFRIIDHKPSVEKNSKSGLELETVLGEVEIKNVDFSYPARPDILVLNNFSLCVPAGKTIALVGSSGSGKSTVVSLIERFYDPATGQVLLDGNDIKTLKLKWLREQIGLVSQEPALFATSIKENILLGRPDATLEEIEEAATVSNAHSFIVKLTNGYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEQLVQEALDRFMIGRTTLIIAHRLSTIRKADLVAVLQQGSVTETGTHDELFAKGENGIYAKLIRMQEIAHETAVNNARKSSARPSSARNSVSSPIMTRNSSYGRSPYLRRLSDFSNSDFSLSMDTSYPSHHLEKLPFKEHASSFWRLAKMNSPEWIYALVGSVGSVVCGTISAFFAYVLSAVLSVYYSQDHAYMIKEIEKYCYLLIGVSSAALIFNTMQHFFWDIVGENLTKRVREKMLEAVLKNEIAWFDQEDNESSTVASRLALDANNVRSAIGDRISVIMQNSALLLVACTAGFVLQWRLALVLMAVFPIVVAATVLQKMFMEGFSGDLEAIHAKATQLAGEAVGNLRTVAAFNSESKIVALYVSNLQAPLRRCFYKGQIAGSGYGIAQFLLYASYAVGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIRGGRAMQSVFDLIDRKTDIEPDNPDSSFIPDTIRGDVDLKHVDFAYPSRPDILVFRDLSLRARAGKTLALVGPSGCGKSSVIALIQRFYEPSSGRVMIDGKDIRKYNLKSLRRHIAVVPQEPCLFATTIYENIAYGHESATEAEIIESATLANAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAIARAFTRKAELMLLDEATSALDAESERSVQEALERACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLIKNYPDGCYSRMIQLQKFSHVQVALNIASTSGS >KZM98160 pep chromosome:ASM162521v1:4:21591726:21595991:-1 gene:DCAR_014478 transcript:KZM98160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDNSPRQSLIPSYLYSSSSSNLLLNKLTTNRAAATAFSSPSAEQAVSPARSRVMIQAPNEPLGKIEMYSPQFYAACTVGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGLGDGLPKFVKSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVEMLYKYAIPTPKEQCSKELQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKMGMLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPVAAAETEPAQA >KZM99775 pep chromosome:ASM162521v1:4:34739340:34741481:1 gene:DCAR_012863 transcript:KZM99775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNNTVPSIVVYVTVPNKDAGKKLAESLVKEKLAACVNRVPGIESVYEWQGQIQTDSEELLIIKTRESLLDALKEHVKENHEYDVPEVIALPIVGGSLPYLEWLKANTRE >KZM98484 pep chromosome:ASM162521v1:4:24328605:24329552:1 gene:DCAR_014154 transcript:KZM98484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQEVRDAARMRIGDTGLIDYMLKSMNNVIVGGYVVCRDVNPQTRVLEYTIEEACENGAAVQVDPESDLVVLSQDQPQAIMPGIDVYSDIAYLYNNVLLEYQNSEWVELAVERVIDSKQFVKEWLFIDEPDQLLRFVCRVIPSLSDLETEFTRGYPPGEHIAVPLHLTVGELKEVIQHAMRDTYYIMESILVTEVRGLEGVDDGEVLFGIVESGSELCFRAVGMDFGSELKYEGGADNWTVKCKCGTRDDDGERMVACDLCEVWQHTRCIGIDDFDTMPPFFVCDSCCAALAPPRTIQPSFEYDFNSLGTCLNY >KZM98551 pep chromosome:ASM162521v1:4:24989446:24990303:1 gene:DCAR_014087 transcript:KZM98551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLNERLIVLASKQTHQLHRAKSRSFVRDHSFCHDQKPQANVASLPKIFAIGSLLNRFEFRHRKSQDLDFSDASSSHEDSFISIKFENNNGVGSWEKNANTVSKVSLEHCNFSWKQNEDKGSSKTVVEHVKPRGSTLRWRKRIGHLFQVSKWKRSSKTSACHSGKLDGGKGRVNSGWIRSLTKRRTKE >KZM97267 pep chromosome:ASM162521v1:4:12947103:12948696:-1 gene:DCAR_015371 transcript:KZM97267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSVKKTSRIEEDRISKLPQNVRETILCFMPIEDAVRTSILSKKWRHCWTMMPHLIFDEEFVNRILRKLGRDGDDRLNAYGLVSRINKIILLHKGPILKFSFTIPYYNPHYNCDAQLLHDFIDQWIPLLSVRGTKEVILDDYLLRHVTAHHFSSLDLTHLRLISVWFPYKPTFGRFTHLKILELVHAHYTDDAYSFKKNIFDCPVLEKLSLILCDGLFHTNFHAPNLNCLHQIYREATTEIPYAGLENLTEYSLMLAQLGTLKELKTSNVVKYLGSLHKIEKFSAARDFLKYLAKGGCPNRLSKSLPYLKTLSIADIHFTHLSEVSCLLCMIRSAPNLCKLYISATDCDGDEDCDDENCDGENDLQNYRIEDSEDCTTTGHLQIVSFNYFRGLRAELELVKFVLAHSPLLKTMFIHRHRDVSIKSGVALKLTEEILQYPRASTIAQVKHLEHSIEIDAFDDELWCGYEI >KZM99520 pep chromosome:ASM162521v1:4:32714404:32714736:-1 gene:DCAR_013118 transcript:KZM99520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRSARKRPEVYVANRPSLSKQYGSWQYVTQTPALTRKVSRKHKADTEIQQASKRKKQGGKAKQQAGKGKRIAGFFWRFFSWFVSTCNECHAINPSKRETVLQGGINS >KZM97824 pep chromosome:ASM162521v1:4:18123942:18128245:-1 gene:DCAR_014814 transcript:KZM97824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNKVNEVVKVFNGDHETESPVKGICGADQSNDGATQVQKRVRPKQSLDATSGKRKTWGAETVQITKGGSGLRYSMEEQCKELSVSVENSVGMSELSEKNDEKQTKRSPVKVSRQKSEVSKNVEVKCKELSDSVDGSKIQIARKRSEWSKNLDEQTKELSVSADGIKNSPSKIRRTRSELIRELSVSVDGMEKSPVRSMRTRAMAQKESKGLGGEIDRSSVQLRKVKSASSKGSSVANESNAALRKTRSEASKDSNVPGNSSEGVSSELVKFESLSDKVVGDSGRMSTESGDSLCKSIELVDESEKVLEESVGGIKESSVGIAMCSSDVNSEELIECEEKTITSNLVNVSLIKPPPKLEVTDDEDDWEEELELEEEFDEEIETETIKKSLDVIEIGIPEEKAKKIVIEEKKIQESNLRSSPTSMTVKKQTPPVAIHPRIVSKSTKTTAIPVADEYYSVPKRHSKLQSLVDLVMWRDASKSAFIFGLGTFVIMSSSYTTDLNISVISVVSYVGLIYLAITFLVRSIIQRGVTEEDETDQEYVFGVEEAIWLLKMILPYVNEFLLKARALFSGDPATTMKLAVLLFVLARCGSSITIWKMAKLGFFGAFTVPKICSSYSSQLTAFGTFWIRRIRDAWESCSQKKAVGFFLFTLIWNLSSVVARIWAVFMVFVAFKYYQQSLSDGEDWIKDDAEEEISGKSSSSLVLVEEKKNKSCKQIIGGRMRRQGPGPTILETKKPKKPIS >KZM97389 pep chromosome:ASM162521v1:4:14171756:14174787:1 gene:DCAR_015249 transcript:KZM97389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADDEKASTLCPKRKHQDAAGSSGAVDALSVSPTITLIDPKVLDCVFCHTFLSIPVYQCDNGHLSCSACCRTLKKTTCPTCSLPIGKNQSLAVEKVLKSIKISCKNITYGCKETIRFDKKYDHESFMCIHQPCSCPQHGCEFLASSKILYLHYYEKHLHSAINFNYNSFFTVPIKKGVKNVILQESTDKTLFVLNYGVQSIGSVANIICIAQKSSKKCFSYELVAWKGDNSVKLESLTKSKQSYFPCLQWSWYLYSTAFLLVPSYFLDSSGGHNFQVCIRSGPQGKS >KZM99070 pep chromosome:ASM162521v1:4:29200472:29205898:-1 gene:DCAR_013568 transcript:KZM99070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTEEVRSGKLVEEIADESLRFGEELQTLMSVPPESGNSFTALLELPPNQAVKLLHTPEKLAGEAQQPKLYPYAPIFPSNPALIDRASKFSVFATENSPERSSIPANYVKQEPVESESHRNSSPGMSDSVVPNSDQKSSKRKEREKKAKAPSKKSKNAENDKEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTAMVLDEIINHVQSLQRQVEFLSMRLAAVNPRVDINLDGLFATEGGSMIESSFPGVCMPTTCMEGQVNGSINRQQYQHLWPFDLLHQPSWVREEDNTNFVTPDNSLLSYDSVANSGNLHIS >KZM97734 pep chromosome:ASM162521v1:4:17325839:17329491:1 gene:DCAR_014904 transcript:KZM97734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKKAPIRSPVGERRRVGADGSRLKGGDVLAQAMSELALCWWCATVLTSSIVGDGRSTAAIKRARSMAARGAGSICACIMCWILDLILIYGFMVRGMSSEHQMDQSQLLLTSAAATNKMIINKKKKNQKNKKNKWRKYTSAAKNGAANHTYDLVSFEELPAYMKDNEYIRNYYRANWPLKQALFSIFRWHNETLNVWTHLVGFILFVGLTCSNMIQVPPVVEFFNILTTWSSPLGSAGNVSHDSKSFFSDTTKLIDLKHSSFGMDITLPELEVTRWPFFVFLGGSMFCLLSSSICHLFCCHSHPMNILMLRIDYVGIAVMIITSFFPPIYYIFQCSPHWQILYLSGITIMGIFTIVTLLSPVFSTNKFRSFRASLFVAMGLFGLVPAVHAMVVNWDDPSRNIILGYELAMALSYLIGTLFYVTRIPERWRPGWFDLAGHSHQIFHVFVIMGALSHYGAALVFLDFRSKIGCETNM >KZM98241 pep chromosome:ASM162521v1:4:22174153:22182045:1 gene:DCAR_014397 transcript:KZM98241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRMRNQIEQLQAELLYIHGESGRPFEELLILKQKISLLEASNAELQRQLQECRISSKHLWQRAIDDQVEKDNRIMKLELARSGKSWEEIDADLNQRDIGLVKNYVTELEGEFLRIQKSNTSEHHEFAGYLKFDVVGSAPKKSLFDQSDTEATDTDDLRISLLVGGDSMESQFEELAQNPDIIIATPGRLMHHLSEVEDMSLRSVEYVVFDEADCIFSMGFAEQLHQILSKLSDNRQTLLFSATLPSALAEFAKAGLRDPQRVRLDLETKISPDLKLVFFTLRQEEKHAALLYLIEERIRPDEQTLIFVSTKYHVEFLNVLCQERGIRPSVCYGDMDQDARKMAVSQFRSRKTMLLIVTDVAARGIDIPLLDNVINWDFPPRPKLFVHRVGRAARAGRTGTAFSFVTTEDMPHVLDLHLFLSKPVRAAPTEEEVSHNVNNLMSKIDQAIANGETVYGRFPQTVLDLYADRVRELLDSSTELHSLERPCTKAFRLYYKTKAKPSKESVRRAKKLPREGLHPIFKSSLGGDEITALAYLEQLKKYRPKQTILEAEGEVAKSKKVKGSASQGGDVMKMKRAVHEEIINKEHQKHSRECAEKKYEMDDDMDEVMENVPTAGIEKKVSGSKRKAKSFKDEEYFISSVPTNQHYEAGLSEKGSRGFESNRLDADVLDLAADDNSGLQKQKASYHWDKRSKKYIKLNSGDRVTASGKVKTESGAKVKANKTGIYKRWKERSHNTISSRGTSRDGGAEEPTNIAGARGRMDSRRFKGGKQRQSIPNAHVRSELKNNEQVRKERQKKATKLQQLKSNPKRGKKFGKGGKNGKRGGKSR >KZM99223 pep chromosome:ASM162521v1:4:30362896:30369302:-1 gene:DCAR_013415 transcript:KZM99223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQSGKLMPNLDQQSTKVLNLTVLQRMDPYIEEILITAAHVTFYGFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTENLVENLLGDFEFELQVPYLLYRNASQEVNGIWFYNSRECEDVANLFTRILNAYAKVPPKPKVSSNKSEFEELEAVPTSAVIEGPLEPSFTQSPSTDIPDDSSFVNFFSTAMNIGHCASNVMNSGQVTNVPLSSRVANVAPTPAPAPVVQTPPLPHAASSSYQMPLHDTPDPVNSSNRVTNLLKPSSFFTPPSSSSALMTPLITSSVPTATLQPPLNTQRSHGAPMLQPFPPPTPPMSLTPNPAAVANYGPLSRDQVRDALLVLVQDNQFIDMFYQALMKVHRS >KZM99849 pep chromosome:ASM162521v1:4:35340424:35342115:-1 gene:DCAR_012789 transcript:KZM99849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRWIHVVLMVAAVAILILLVIMLLQKCCHVEKQLTNIVVANNGGRATNLENGIVRLHQLDQEPSRKKTNYYVFRRGVSSKPLFSWADNPALVTDAVENGWSRFAFTNYACYAASPSVRSARTLLGVCAAGDQVNEMGVEISWEVCQGSADFVQKIRLNSGLKKANSSVAANSVIKTALPFPGPSLGNSAFPREAYFEITILACGENEDHGLAGTTVGMKSEGEKTKLIQDESESIDHVTSIENGYGNSRLDEAKVGFKEEGKNGVVALSLGFSGGGFLPLKLPGSYSGSIGFNSNGSVYLDGAKVVSELEKEEWGRVDKVIGCGYNPNQKKVFLTVDSELVHEIHCKTEEFGTPLYPTMAANSDMTVLVNLGQSVFKYAPANQQRTPNPCFIGPLTKSPALGYEDSKELFSMGRIDSQWLNRSATKGQYIYGNSTHRGPEFDEVSEGDLFEIVLESSGRSPHRPL >KZM99823 pep chromosome:ASM162521v1:4:35157457:35162709:1 gene:DCAR_012815 transcript:KZM99823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLNDNFGEVKAKNSSEEALQRWRKLCWLVKNPKRRFRFTANLSKRFEVRAIQRSNQEKLRVAVLVSQAALQFIHGIAYKIPEDVKDAGFDICAEELGSIVEGHNKKKLKLHDGVDGIASKLATSVTDGISTSEQLLNKRRKIYGVNQFTESPAKGYWIYVWEALQDMTLMILAFCALVSLIVGITMEGWPKGAQDGLGIVASILLVVFVTATSDYKQSLQFKDLDKEKKKITVQVTRNGFRQKMSIYDLLPGDIVHLAIGDQVPADGLFVSGFSLLIDESSLTGESEPINVCLENPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLFSHKMQEGSHWSWSGDDALVILEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATNICSDKTGTLTTNHMTVVKVCICGQIKEGGVSIKSSAFSDGVPDFALKMLIESIFNNTGGDIVKNADKNIEILGTPTETALLEFGLLLGGDFQAARKASKLVKVEPFNSEKKRMGVVLELPGRHFRVHCKGASEIVLGACDKFINSEGEIVPLDRKTFNHLSTTIENFANEALRTLCLVYKDLGSEFPAESSIPFDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDGIAIEGPDFRMKNEAELHELIPKIQVMARSSPLDKHTLVRHLRTTFQEVVAVTGDGTNDAPALHEADIGLAMGISGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGEAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRAPVGRKGNFISNVMWRNIFGQSLYQFIVIWYLQTAGKVAFHLDGPDSDLILNTLIFNSFVFCQAFNEISSREMEKINVFEGILKNYVFVAVLSCTVIFQIIIVEYLGTYANTCPLSLEQWFASIVFGFLSMPIAAAVKLIPVGSS >KZM99521 pep chromosome:ASM162521v1:4:32722010:32723693:1 gene:DCAR_013117 transcript:KZM99521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYVFAQFKQVENNELGLFAIFDGHLSREIADYLQSHLFENILNEPDFWTETEKAIRRAYRVTDKTILDKAVDLGYGGSTAVTAILINCQKLVVANVGDSRAVICKNGVAKQLSVDHEPEKERSCIEDRGGFVTKFPGDVPRVDGQLAVSRAFGDKSLKEHLSSEPDVMVENIDDDTEFIILASDGIWKVMTNQESVDCIKHIEDADAAAKRLAEEAVARHSQDDISCVVVKF >KZM98875 pep chromosome:ASM162521v1:4:27577374:27582914:1 gene:DCAR_013763 transcript:KZM98875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRYMAYSPSAPHSPHIGALRSAASAIVEQEKYLSELIHERQKLSPFMPVLPNCYRLLNQEILRVTTLLGNASVLEQSGIELASPLASGGMYSNGGANVNRWTSPFPSEISGLQPSPSQSWLGSQGSSSGLIVKRTIRVDIPVDHYPNFNFVGRLLGPRGNSLKRVEASTDCRVLIRGRGSIKDPVKEEMMRGKPGYEHLNEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFYKKQQLRELALINGTLRDEGSQMSGSVSPFNSSLGMKRAKTRGSLHAKGVAVLYRPVPPTAIGALGGSS >KZM98475 pep chromosome:ASM162521v1:4:24282098:24282646:1 gene:DCAR_014163 transcript:KZM98475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSAINFLTDRRKTKPEAVEDEAAVIKAAAWAWYERGSGSEGKPIREFDFTNSRRTDPAPSRYKIEAMKSEKIDKYLSSACPSFNSSLMDNYEMESISKELGHYIERRRVVAVEKIEKVVGSDVKSKKLSRGFLLRHAAVSCGSINDVVAGRDKIVDNIRGRRRPEKRGPVPVVKSSTHAL >KZM99127 pep chromosome:ASM162521v1:4:29627836:29629884:-1 gene:DCAR_013511 transcript:KZM99127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGSNYNPTGPIDKSRVLDVKPLRSLKPIFSPQMGSSQTGPFPGVPPTGPFAPGGPSFFPFASGNESQRASGFANVIPSPVPLNSFRTPDTQYSNGNAGPSKTRGRPRKGRTEDDYGASDLGENLDDPSSGRKQRGSSHKRAKGPANLSSVQVSIDDTLDQFLRAFKLTALESHQQADGNKELVARVLLTYNLFRRRLFQICEAKEDIPGGTNRPDLKAATTLMNKGARTNSTKRVGPVPGVEVGDIFFFRMEMCMVGMHAPTMAGIDYMTVKLSADDEPVAVSVVSSGGYEDDGEDGDVLIYSGQGGVQRKDGQIFDQKLERGNLALEKSLHRANVVRVIRGVKDVPNGTGKIYIYDGLYKIHESWVDKGKGGCSVFKYKFVRVPGQPEAFTLWKSIEHWKAGSGTRIGVILPDLTSGEETLPVSLVNDIDDEKGPAYFTYSSTLKYDKPFDLPVPSSGCACHGGCQAGDANCPCVQRNEGFLPYNSLGVVLNYNSLIHECGSSCLCPPNCRNRVSQAGLKVRLEVFRTKDKGWGLRSWDPIRSGMFICQYAGDVIDASSIMDLGSEHEEYIFDATRAYPSLDYTSNGSAEVPFPLVISAKNTGNVARFINHSCSPNVFWQPVLRDSGDKTYLHVGFYSIGHIPPMQELAFDYGIPKSDRAALRRKRCLCGSLNCKGYFY >KZM98345 pep chromosome:ASM162521v1:4:23088254:23091497:-1 gene:DCAR_014293 transcript:KZM98345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQLMLLVSFTFFTFSSSSNNDPSSCGDISNISCPFYLKDDLLHNCGRHGLLSRELHCQDNRTMIYVTSFYDDNLYMYQVAGINYQNLTLRLSHTNQTSFRHFDGLAQNNTPIIFMNCPAPLTSESGYIDATTSDAFSSWGNNDTKSFSGKNYCPSEYSYVVAGPLKLGDVVEGCKVRDAAWVSLDWRSDVTYSSDDITIISKADNEDIRSEVLAYGFDVPWGKIFCYKSCSLDYHCVRHFENNAYSYKCQPFSATLSLPERLLKILRDIAAYFVGKFIGIRALCGIPFLLALLRFKMRRRHWSIYNTIEDFLQGQKNILMPIRFDFSDIKKITNGFKDKLGEGGFGTVYKGKLRSGLVVAVKIMGKSKATGQEFINEVATIGRIHHVNVVQMIGFCFEGSKRALVFEFMPNGSLEKYIFPRDGEEEHISLSCEKMYEISSKVAAGIDYLHRGCDMRILHFDIKPHNILLDEDFNPKISDFGLAKLYATDDSIVNLTAARGTIGYMAPELFYKNIGGISYKADVYSFGMLLMEMAGRRRNISPLVEQLSQIYFPSWIYDQIKEGKGIEMEDATTEESKLLKKMIIVALWCIQLKPGDRPSMNKVIEMLEGDVELLVIPPKPFLSPQEENQEAEIQVEDEEAATPLLQS >KZM98223 pep chromosome:ASM162521v1:4:22068202:22068984:1 gene:DCAR_014415 transcript:KZM98223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVMDRSWNKSSQAEIAPNCPRCASPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKYRRSRTKQSGTSLAHTTVNPDGLTTRSTTTEGDEEPANIDLAAVYANYLNQNSGSQNNVGADQDVSSGASCASYDFPIQNSDNMVMEFEKSLDLLEETQLHDDLYQDISIGDDNYQDFVAQTPNAFELLDDNLQEEVTWMNAGTFPNFMWESGMQWQEPGSFPHQDQLQNSANLINQNWSSSSTDLSGLI >KZM99090 pep chromosome:ASM162521v1:4:29343014:29344165:-1 gene:DCAR_013548 transcript:KZM99090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGRMSDPPSGKKTAAEALKRAPHEKPPFTIGDLKKAIPAHCFEKSLVTSFRYLIQDLLMAYALYYVATNYIDQYLPSPLNYVAWAAYIAVQGCVLTGAWVVGHECDHDAFSNYNWINDLVGLVVHSSLLVPYFSWKISHRRHHANTQSLENDEVYVPRFKSNIRNYYKLLNNPPGRVLVWLTTLLIGFPLYLMFNVSGHKYERWTSHYDPHSPLYSDRERKEIIISDIAILAVIYGLYQLVLAKGFAWVFCVYGGPLLVVNGWFVLITILNHTHPSLPYYDSTEWDWLRGALCTVDRDYGILNKVFHNVCNAHVCHHIFSMIPHYHGLEATEAMKPILGEYYQYDGTPILKAMYREMKECIYVEKDEGETKGVYWYRSKF >KZM99614 pep chromosome:ASM162521v1:4:33442212:33446979:1 gene:DCAR_013024 transcript:KZM99614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIHESEHASGQRCIKSFPFSLFQRRRGGPCNKRSNCNQKAYILNSKGLHLRSVSSSGIAKSNPEFFNDMTDPVYSNLETNKVKETKRLVSELCRHVYTLGWVSGTGGSITIRVHDNATSRSSQLIVMSPSGVQKDKVDPDDMFVLSSDGCILCKPNTKVYPHKPPKCTDCAPIFLKAYEMRNAGAVIHSHGIEACLVTMIHPLSKEFRITHMEMIKGIEGHGYHDELVVPIIENTAYEGELTESFTKAIEAYPKTTAVLVRNHGVYIWGETWISAKTQAECYHYLFDASIKMHQLGLDRFSTGHDPYPSSGVCRCMKNGCAMRKKTIGFGCVTELVQRSILLDIEGTATSLPTKFLPSNTSKSMNPVLKSAFETSELVGVMSNEMPEILGRWHASGIKVYLYSSSSRGAQRLLFSNSRHGDLRKYICGYFDTTTGGKTEPKSYLEILQTVGIDKPEELLLVTSDMEAAMTARAVGLEVVIILRPGNAPLPGSHNFKTTESLLQI >KZM97264 pep chromosome:ASM162521v1:4:12935547:12937083:-1 gene:DCAR_015374 transcript:KZM97264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSRKKIALSKEDRISELPLHIQEIILCFLPIQDAVRTSVLSRKWRHCWTTIPNLIFDHRLSSFLRLDRYDGGHVKAIKFVSVVNKLLLLHNGPILKFSFICPMSFDAEVVHDFIDHWIPLFSRKCTKQLILEEDNEAGNFKAHNYSSLDLTHLRLGRSLFPHSSAFGGFVNLIKLELVNVASNFGQTIIVCPALEKLTLICCSEIFPVNFRAPSLKCLHQVHYGVSFEYDFMGLENLTEHSYVLVRNPETRTKSSNVVKVLGSLPKIEKFSTGIDFLKYLAGGGCPNRLSQPPPYLKTLNIWDMNFANLSEISCLLCLIRSAPNLCKLHMSHYECFHDEDCECAGKEDLKHYLIEDFEDCTIGHLEIVTFSNFMGIKTELEVVKFLLGHSPLLKTMCIHCGKGMKEDVALTMKKEIMQYSRGSSRAQIKYLEHPVIVDDFDFELWLEIFEM >KZM98011 pep chromosome:ASM162521v1:4:20354420:20365548:1 gene:DCAR_014627 transcript:KZM98011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPPSENLQPDNNSNSDPNSNSNSRLTASSSIVKLRKIPPIPIRQSQQDTSSDYSECSDEDENDDEDPVIVQASALGLNHIRTRSDPSRLRFASTLDRKNSNLTKPELPKDAHKVSIPLQHAITLEPGLKVQWGHSKPSRITTSINPSSDGHHAALAKEIQSPRFQAILRVTSGRYRKAPDIKSFSHELNSKGVRPLPFWRSRAFGRMEEIMVLMRSKFNKLKEEVNSDLGIYAGDLVDILEKTSESHPEWKDSLEDLLIMARRCAKMSSTEFWTKCERIVQNLDDRRQELPMGTLKQLHTRLLFILTRCTRLVQFHKECGYEEDHATGLHQLSDLGVYSEQINNALSNQEFHSHFGGKEAKHGHSRKHRGNGRKNLANSRNQSNESLEARADADEVSTAKSGASSTGSFKMSSWKKLPSQAEKNRKGMETADISPKDKSDGVQVKHETKRVDVNIGTSTSVLGTSDQSETPLKVQRVTWGTAGDHHHVTYDHSLICRICEVEIPTIHVEEHSRICTIADRCDLKGLTVNERLERVAETLERILETWTPKGSDSTAGSPEVSRVSTSSASEELDDYSPKRQNLSRRFSEDMLNCVPEADTTFSYSLNVPSDVSCDSRGLLTPKKASSHGSLTPRSPLLTPRTNQIELLLSGWRTIAEHESYDQINRLMEIARSIAVVNTHEYSALEYMLDRLEDLKYSIQDRKVDALVVETFGRRIEKLLQEKYVHLCAQIEDEKVESSNNAVDEDSSLEDDVVRSLHASPMNPYNKDRTSIEDFEILKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTGRDNLYLVMEYLNGGDLYSLLKNLGCLEEDMARVYIAELVLALEYLHSLNVIHRDLKPDNLLIGPDGHIKLTDFGLSKVGLINSTDDLSSPPVSAPTPHDESETDAQSSIRKEQHQKHSVVGTPDYLAPEILLGMGHGATADWWSVGVILFELLVGIPPFNADHPQKIFDNIMNGDIPWPKVPEEMSSEAFDLIDKLLIDNPVQRLGATGAREVKNHPFFKDINWDTLARQKATFVPTAEALDTSYFMSRYIWNPEDEHLHGGSDFDDMTDTGSVSCSSSSYSNMQDEDGDEFGNLAEFGGPSLDVKYSFSNFSFKNLSQLASINYDLLIKSAKESIEASKSADLETSVKKGIQIDTQTFSSILESCYNLQGIDYVSRIHRLIPENLLRRNVGVSCKLIRMYAVSGLVDEAHQVFDKMSQRDVYAFPWNALISGYAELGQFEDALAIYFQMVEDGVEPDGFTFPRVLKACGGIGMVHVGEEVHRHVIRRGLGNDEYILNGLVDMYAKCGDIVKARKVFDGIVCKDLVSWNVMLTGYVRHELLREALHVFRLMIKEAFEPDSVSLSTILACALPKRVGAEIHGWVLRRGAECNLSVANSLIVWYSNNNRLDRATWLFDQMSDRDVVSWNSIISAYGKHPDALKYFKKMRSTDVCPDRVTFVSLLSTCAHLGMVKDGEMLFSMMRDKYRITPIMEHYACMVNLYGRANLINKAYDTIKNQMEFEPGPTVWGALLYACCLHGNVDVGEIAAANLFELESDNEHNFEILMNMYKNAGRLEDVQRVKSMMEERGLD >KZM97463 pep chromosome:ASM162521v1:4:14942400:14942879:-1 gene:DCAR_015175 transcript:KZM97463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLNDHKFFFFAFGCAAFVVTMYHCLLSIFCHPRQHDFNDQPQQATGLGEVDIRSIPTHKYTTSNKSPKEECAVCLSEFEDGEDLRTLPKCLHTFHVVCVDLWLYSHTNCPTCRTDAAPEMHQLQQTGTINSSSSGGVAINLVSSRLSQDLEAQHAAV >KZM98821 pep chromosome:ASM162521v1:4:27119887:27123436:1 gene:DCAR_013817 transcript:KZM98821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIENKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKSDLSHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFISTAATIHKKIQDGIFDVSNESYGIKVGYGGIQGTSGGRDGSSSQAGGCCS >KZM98233 pep chromosome:ASM162521v1:4:22133656:22134669:-1 gene:DCAR_014405 transcript:KZM98233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKEDTLQFLGFSGIYTEAYKIIVSWKKIFTQITLAILLPLSLILFAHIVFSYFYFERLVGYNYWIYNTEYNRVGREYYKKSFDLASSELVAFWLFNIAYRTFALTFSCPSTFVVVFMISCIYTGREEDVTFRRVRTIVPKVWKRLRVTFWWALLTFLLYYCFTALGLLAWGYLLGSANNASKIAVIILLISFGTGLMYLMSVWQIASVVSILEDTHGIQAMRRSIEVIKGRKLMTMLILFKLNLFLFFVQVVFEIYVVDGQLLGIWPRVGLGILCLGLVLYLNILTLVIQTIIYFVCKSRRYHENSTGKTALSDQLDGYLTALLTADKDVELAAV >KZM98581 pep chromosome:ASM162521v1:4:25219140:25220718:1 gene:DCAR_014057 transcript:KZM98581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLSFRVKRKPAELITPAKPTPNDQKPLSDLDDREGLRYKLSGVQIYAKSPCMENDDPVKAMREALAKTLVFYYPFAGRLREGPDRKLTVDCSGQGVVFVAAEADVRAEEFPLYPPFPCMDQLLYDHQHGSQEIVNSPLMFIQVTRLLRGGFIFAIKFTHNICDAFGMSQFLTALGEIARGRTSPSVFPIWKRELLNVRNPPQPTCTHHEFTHLTTRVNVTTNHSPDSEMVYRSFFFNSTNISVLRNHVPPHLAKCSTFDLLAACIWRNRTIALALHPEQKVRLVFAVNARSRLKSCIPVGYYGNVFVLPGVISTVEELCNKPIGYALELVMNAKANVTEEYVRSFIDLTVMKGRAPISTEWTYIVDDLTQLGLWDTDYGWGKPVYGGMAYSEVDPVPGTGNYFLRIRKKDGNEDEIVAPMCLPACAMQRFDKELKKLLVYGNSGSQIVCIKNLSAL >KZM99197 pep chromosome:ASM162521v1:4:30139111:30141128:1 gene:DCAR_013441 transcript:KZM99197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNRVDRESLKPGDHIYSWRNAYIYAHHGGILYRFEYAVSHALFLAKARGGTCTLAVSDEGEAVVHRANYLLNNGFGCYNVFKNNCEDFAIYCKTGQLVLESSMGQSGQAVSIIGGPLAAVLSTPLRLVTTNIYGMAATAVGMYCVSRYAADIGMRKDVVKVSAEDLTRRLTIGTLQMIEPSLPALPSTVS >KZM97345 pep chromosome:ASM162521v1:4:13783713:13794262:-1 gene:DCAR_015293 transcript:KZM97345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQVPQFVNKVSNEAKLKELLRNITSNELKLCKDGSKEFVKLLKGDSGGELLKLYVHSSSVCSEIVQAWKLREGKAGFSYVLRLVSAILSHYEGMYRVDNVDGIVSRSLDKFAKLIVEEKLDGLCKMLNGKDSKQQKGVLLLLGSIVRRGSGLASDVANRFDFKLPVFPKLAEYRKRERGVKRKKVTRKAFVGFAMSFLEVGKAGLLRGVLTKREMYSGVLRGLGSDDDETVVYVLSTLRDKILKEESLVPPGLRSVLFGSVTLDQLIDISGRDNGGLATELAQKVLSVVCTDPSNGLMPDMMRCPPLTGNSTRLLGVMKKLKATEIEYHRVLLLAIVKGKPSFGSAYLDEFPYNLEDHASSNWFSAISLAADVVSSVGDGVSFEFLNTQTQDSTPFRSSDVQSIIRCICPRPITRLVINKGLLHSNPLIKHGTLRLVFEALKLLDSFVSALSSNSCGGSQMRPEWASLKKDIEEEVWISLPDPQVLLSLLSSLNSHYKSPTPKRTSRPGNVSEDNSSQNKKRKTHDADDETDMIVGGISSLGGVSSSVDPEDLEINSTDELDNEGEDMKIIAKIWGVHYCNSLSMTLDDEDTYFYSKLLDALKIYYRTGTTMLEGSSNFFKYLPNNALALPSILQRSLLSLLIEYIGWSNECELPVKVHPLMKHLSALLNLLLYSPAKDIKEQAYILAKAAMSSSGAFDHNLGEIGTWFLFLPGYSTNNFFIDDQHINMCQDLFSTVVTFLCEAVSTMGNSLCKNWDRLRSYIYHLTGRKDLSPNFSPLVLCILENCLKLLRAESKKSLPEKTMISLYVSNTLMYLLQSQVDSGLLSSVVKRVLSESSEGLDISLDMCEWRPLKKLSVFLENITCQKTHKRSPSLAKVAIRPENSVVNTIDNIKVVAARGHAGELAGVTSAFYFSMLCTPPSELLQNFPSVIALSANFLGVPLPLLMSTFFSEQSLLCDISKLWPEMFFDGLQRATSATHSEEENKCTIDNLDSVECSSVAFGSLLKQAPFHVLFPALFGEESSHLLDNIKLQDLLLAKLSEGTTDIYISYVSLVFFWFYQMQSSYRHKPPHDLKKISELCSTVLRNLVSRIVSVRTGSCSETSCSPSPQYVQEVAEIVFSHPAVLASLEHPIIINQVSTEEISGISVMDCFGLAKQGVHTIDYHVWNFVASTSDQLFTFCNHQNSLSELDSSYERITKAFNSMVRKLFLILKDKFDHWLKTEDVAPLVPTLYALQKLVPFISPFTLLELVRYIFSGVDLNDSAVWSSYTKPALCIGLSIAGHAFDLLSANLRDSYLKPKQFTLWGEEKATDICLFEEIYFHVVEIALRCDLDVTDLCLLKAVNAAKKSILLRNECLSLCFVVPRIIASTPNKFITRCIHNTSMSKAKILFVLAEVSPLHMSVFGHLFSDMMTEYMLLKGKNMQACSHTLSDDSILMLLPTFLLYLDPTLRNSGNRYPKTLMNITGFYWELLTRGFSMWKDYVWRDIFLVEYGDIGFSSLVEFLSFFHDSLLGKAILMVHYYLASKGNSVELEKRLELYNSVLRTSGAHVLLDCKVSEIDGYSINQSVDVICKTIAKIKYCRMLVFPEDNKIDSVSLQGNYGDESMGVVPSEVGYEESQIQFVDSLVCAWQSLVKKFPSKMEDFGEVKSTNNSSLFRLLEVFIMNNILQLTSQMGKNIFKLGSVKKLVKSSLVYRFQDPAVFRMLQGVVTSTVDKRNTCDLIIKLLLAHSQFAPTIAAASKTCNDSQFGIVLKPISSILRCYRNQDSVSKKSLQASNPYMNLLEVLKLLKILLHFKKECKSLGEDVGINAKELLVMLLSAYGATLSEVDLEIYNLIQVIECTIDGGSSYIAEMDYLWGCAALKVHKVCGTEHDASSEDIDSVEAANERRRNEFRENLPIDPKLCTNTVLYFPYDRTIFGLPEQVNNLHIEEHSRYIDKPPIYDPVYILQFSIHNLSIGNIDPLEFASFGLLAVAFVSLSSPWEEMRKLGYEVLVRFKDALEVNSK >KZM98099 pep chromosome:ASM162521v1:4:21090595:21093084:1 gene:DCAR_014539 transcript:KZM98099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATAAFGFSNFTSSSLCKEFAQLPICFDAKKPICKQKFVQLLAAQEGNLSRTITACSTSGNNSEPEESRTTACTNFKVLADTEKCESSRDVGDKFVTSILYSDADANFKAGNLGNQDNLFDKLKAVHLHILAMEQWNASRLKLCHRTYLVSAANLIHYVALKSLDIGQLKADLSSLGLLNLETINPHVLASLSAGIQMLENLKSNSFASKTSGEISNSKILSIQEKKEFTVNRMAKREFDRSKLLLGSLREQRTSHIMVTVGEEAAESETLITDLLKTGTTIIRINCAHGNPATWSEIIRRVKQSSQMLEKPCRIIMDLAGPKLRTDKLKAGPCIMKISPKKNAAGEVIFPSQIWMSPQGGGPPPSHASPDAVLYIDGQEFLSKLEIGDNVTFCDARGKKRSLRISNKYSVFAGVGLMAECKNTSYVKSGTILYIKGKKSKSAVGFVRDVPAVEQFVRLRVGDLLVISRDSLNEHEISSSNIGSPRVTCSSGYLFDSVKPGQRIAFDDGKIWGVIKGTSISEILVSITHAGPRGTKLGSEKSINIPESDIQFEGLTSKDLIDLDFVATHADMVGVSFVRDVQDIIVLRREIEKRKLENLGIILKIETKGGYKNLPLMLLEAMKSPNPVGVMIARGDLAVECGWENLADIQDEILSTCSAAHVPVIWATQVLESLTKSGVPTRAEITDAASGRR >KZM97464 pep chromosome:ASM162521v1:4:14951419:14952117:1 gene:DCAR_015174 transcript:KZM97464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPAVGAETFILKTNVHSLAAEIELEKLLEDIPGVHRISIDPTEIGKITILGTIDPKRLIKILWNKGIKSEQVFGPRINLRDSLNLTINDQVVDRLEKLSKLQNFETVDLTKDGIKLKYKKDGKTGSCSTIMDENAAHKNGEHATNNYCDFHGNYKSHCQRNQGKQVEYCHGCRTNSVYMPPHEGISIGIPLPAPDYTPSAPPMPVENDPIGFFVFFFMFLFVLVIQAALA >KZM98920 pep chromosome:ASM162521v1:4:27975616:27976529:1 gene:DCAR_013718 transcript:KZM98920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVLPNLSPPSLALLQLKDNKSSATCVSSPLTLTNKPISPVTKLDSPPNRVALINQAPPGGQKHQNDDFYVNIGLAVRTLREDMPLLFTKDLNYDIYRDDITFVDPLNKFTGIENYKLIFWALRFHGKILFRDISLEIFRVWQPSENVILIRWNLRGVPRVPWEAKGQFQGTSRYKLDRNGKIYEHKVDNLAFNFPHQLKPAVSVLDLVTASATPKPTFFWGPVESYSSSWLLLYRAVRETLVDEGSFVIAQDCLVTCS >KZM97644 pep chromosome:ASM162521v1:4:16504946:16505269:-1 gene:DCAR_014994 transcript:KZM97644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFFVRIKHTLRQLRRRFYNSVSGEDNIGNSLGELKEVRGSLMDGYFSVLAKGNHGMRKFQVELYYLQHPPFVKLLEAAAQEFGFDQPGVIVIPCEVNELERILTR >KZM98049 pep chromosome:ASM162521v1:4:20650809:20653595:1 gene:DCAR_014589 transcript:KZM98049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTSSVSPMPLLLPYPKTCNLVYARRISTGCLKMHKVVSQASQGRGGEITDKSTSSSSSNRVEDYNTAMKRLMRNPYEYHHDLGMNYTLITENLIVGSQPQKAEDVDHLKNEENVAYILNLQQDKDFEYWGIDLQSVVNRCQKIGIRHMRRPARDFDGDSLRAGLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAIAYLFWFGDMDLNTAYSFLTSKRPCGPNKRAVQGATYDLVKDNPWEEPFESLSENAFEDMADWERKKAQDRVRSLRGT >KZM96830 pep chromosome:ASM162521v1:4:7116883:7119025:1 gene:DCAR_015808 transcript:KZM96830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPKNPDVEALVQPKYDRKDAHVKLLDDLVNVNSLFTLAVFVGLSQASPGIRSLENRHECDAGPGVAKMLVLYEVVAFACFLLSSLVAKVLKLILSLDGKKISIIRQGFDVKDALLLLTAFSSVTGIILLTLSVVNVVQIRIGLYSCGSAEARKAIWALCTIVAIALVIYVVSIIVGIYASISGDADPALVSAQGNTSNQDNNSSADTLRLTDQKQNAYILVAVDHDKQRITSKMPITPKLCQIATNSMNERRPLGKEAEDQMTQKFNDQQIEVKFDRKDAHIKSLDDLVNVNSLFTLAVFVGLSQASPGIRSLENRHDCDAGPGVAKWLVVYEVVAFACFLLSSLVAKVLKLHLCLECRRYSFVKSRFDLKDFMLILAATASVLGIILLTISVVDVVQIRIGLYSCGSVEARIAIWALCTIVAIGLMIYVVSISIAIYASIIGDALPDDQTLNPRQAPKSEFNIV >KZM96727 pep chromosome:ASM162521v1:4:5390789:5391148:-1 gene:DCAR_015911 transcript:KZM96727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQVVKHSQFSEQVGVYDYVPLLHSTCFELFDEGPNDIRCFLKDNGYITGQYYDSKSFADVLKWYFNGHDVYITFKQHPQDLYIYLDEIAILLRTCGRMINHPMVPLIPDGKFMLRHI >KZM97266 pep chromosome:ASM162521v1:4:12942651:12944257:-1 gene:DCAR_015372 transcript:KZM97266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSVKKIGSIKEDRISELPQNVRETILCFMPIRDAVRTSILSKKWRHCWTMMPHLIFDDKFVDGMFEKLGVNDDDKLVAYEFFSRMMAYEFVSRINKIILLHKGPILKFSLNIPQYGDPQIVHDFIDQWIQLLSVKGTKEVILDDYELRNVTAHHFSSLDLTHLRLSAVQFPYKPTFGRFTHLKILELVEPHRPTPYDANCFKQNIFDCPVLEKLSLILCDGLFHTNFRAPNLNCLHQVNGEAYSEIPYAGLENLTEYSFILSRLGTLKESKTSNVVKHLGSLHKIEKFSIARDFLKYLAKGGCPNRLSKPLLYLKTLNIGDIHFTHLSEVSCLLYMIRSAPNLCELYISATGCEFDEDCDNEDCYREKDLENYRIEDSEDCTTTRHLQIVSFSYFTGLKAELELVKFVLAHSPLLKTMFIHRDISIESGVALKMTEEILQYPRASTIAQIKHLKRSVEIDAFDCELWSRYEDI >KZM98720 pep chromosome:ASM162521v1:4:26396530:26402379:1 gene:DCAR_013918 transcript:KZM98720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQQKAFLTVAPFECAWRDDLRFREAGRGCVSFEAFALNDVTIVFREHVGSQQYHYKRDNSPHYTVIIGSHKNRRLKIEADGKTVVDEAGGGLCCSTAFQSYWISFYDGLISIGTGRYPFQNLAFQWLDTNPSCTVQYVGLSSWDKHVGYRNVNVMPLTQNHISLWKHVNCGKYEGEYESEGEDGTEDERRCHETWGLENFLESWELSDIFFLVGKEKRAIPAHKVILAASGNFGLSMSAKDIIELEDVTYSVLHSFLQFIYTGRTWVPVSQLSTLRTLSLHFEVNSLAKKCDEFIERFKINKRLFDSANSVEILYPSSRPHCGVSFPSGVPLDLNKLKSYYISGEYSDVEIHIEGHDLFFRSHKVLLSLWSVPFTKMFTNGMSESMSTEVCLKDVSLEAFKIMLEYMYSGEFNKENIMDIGILLLQLLLLADQFGVSALHQECCKTLLECLSEKEEKSFKTNETLDIDTSYLHIKCRHEVSLWKKDLVCPILQVISSISSCKLIEETCERKFAMNFDYCTTSSAEFVSLDEQNFTNILQHIDLTVISEERVLNAILMWCLRAEDLHGWELVEEKILNSSPELLFGDRLQSLNKFLPLVRFSLLPHALLKKLEWSMLSKQISALDYQVKEAINFLELGMVSPGQDQKFQHRRSSFREFQYICDGDSNGVLYFAGTSYGAHQWLNPVLAKRITVTASTPVSRYTDPKVLVSRTYQGTSFAGPLIEDGKVSSWWMVDIGNDHQLICNHYTLRQDGSRAFIRSWNFQGSVDGKNWTNLRVHENDQTICKPGQFASWPVTGSNSLLPFRFFRVCLTAPTSDDTNQWSLCTCFLELYGYFR >KZM99851 pep chromosome:ASM162521v1:4:35351066:35355313:1 gene:DCAR_012787 transcript:KZM99851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMQLEPPQMRVTGGNGAAAASGGGGSSQMVMTSLFVAGLDKSVTESQLYDLFNQVGQVVSVKVVKDTTSEIGHGYVNYSNPDDAARALDVLNFTPVNSKTIRIMYSERDPSSRKNVAANVFIKNLDKSIDGRALHEIFSRFGTIKSCKIETDSYDESKGYGFVQFDSEEAAQKAIDKLDGMLINDKQLYVGRFVSKEERDAALSRAKFNNIYVKNLSATTSEEDLRKIFGEYGRITSVAVMRDVNGKSKCFGFVNFDNADDAAKAVEALNGKKFDDKEWYVGRAQKKSERMMELKSQFEQTAKEQGDKSKGLNLYVKNLDDSINDDKLKDLFSEFGTITSCKVMRDPSGISRGSGFVAFSTPEEASRALAEMNGKMTMSKPLYVAIAERKEERRARLQAQFSQARPVAVPPVTPPVPVYQPGATNFGQNLFYGQGPLAMNPSQAEFSYQQLAPAMRAGVGHVPNLYVPVIPSGQRPSGRRGAGPGPAQQTQQSMPLSQHQMMPRGHMYRFPPGRNMADVMPGDGGVFPGPYGLGGMLPRDAPSPQPMPITALASALANAPADQQRIMLGENLYPLVDQLEHNHAAKVTGMLLEMDQTEVLHLLESPDSLKAKVAEAMEVLKHVSQVNPTDQLANLSLNDNLVS >KZM98930 pep chromosome:ASM162521v1:4:28063498:28065069:-1 gene:DCAR_013708 transcript:KZM98930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCNSLLKTNINHLVLSLSSVLLRADFACSSRCNFIFHRVSLLGISCLADTRIVDREERREDLKGEGGADVIIAKVRDGDSVDERLQSVLHDQACSAVVVSDKLVAHLLYRFKDDWKSALAVFRWAESNPEYKPLAQSYDMMVDILGKMKQMEKMRALVDEMRLTQMVTVSTIAKVMRRFAGAGQWEEAVRTFDELERFGLQKDTESMNLLLDTLCKANKVEQAREIFLELKTHILPNAHTFNIFIHGWCKIKRVDEAHWTIQEMKGHGCRPCAISYSTIIQSYCCQHVFHKVNEIIDEMQAQGCPPNVVTYTTIMCSLTNADKFDEAIKIVDRMKSAGCKPDTLFYNAFIHTLGRAGRVREAIYVFRTEMPNIGVPPNTSTYNSVIAMLCHHAQEQDALNVLKDLESSRCSKPDVQTYYPLFKLCFKTGKIGNLKKLLDDMINTHHLSLDLSTYTLLIHGLCRTNKWEWAYLLFEQMIGNDITPRYVTCRLLLDEIKQKNMYDAAEKVEDYMRKMKSKSITR >KZM97128 pep chromosome:ASM162521v1:4:11242892:11244589:1 gene:DCAR_015510 transcript:KZM97128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELTLPENTASAAKGSRLEFALHVFRGRWLSLYASFTIMATVGSTYLFGIYSKEIKASLGYDQSTLNLIGFFKDLGSNIGIISGLIAEVTPTWFLLLIGSSLNFVGYFVIWLAVTGKIAKPKVWQMCTYMCIGANSLNFANTGVLVTCVKNFPEDRGVLLGLLKGFAGLSGAIMTQIYLALYGNDPQSLILLIAWLPAAISMLFVYTIRTINAGRQQNEVRVLYQFLYVSIVLALFIMVMTITQKLITFPPVAYDASATLVCGLLIFPLFIVFRKELFLWKQKRISTNPNDVRIVIPAQTTTSEEMPKTSFFSEILNKPPRGENYTILQALLSVDMLIVLISTACGIGSNLTAIDNLGQIGESLGYPTKSINAFVSLVSIWNYFGRIFAGLISEKLLVKFKFPRPLMITLFLFLSCVGHLLIAFPVPGSVYVASVIIGFSFGAQFPLLNAIISELFGLKHYATLFNVGQLASPVGSYLLNVKITGVLYDHEALKDLARKNGHRSLSGELTCIGTHCYMKAFIILAAAASFGAFASLILVFRTREFYKGDIYKKFRAETEAQEG >KZM99398 pep chromosome:ASM162521v1:4:31790808:31792458:1 gene:DCAR_013240 transcript:KZM99398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMVEQIAVVAVIVMVLSEIMGGVVGLRIMLEKQECLSHKAEHGATVRASFVVVKVHGWRQSMSSGADLVVTGPAGEQIRDFRDKTSEMFEFVANKEGVYRFCFTNKSPFYETIDFDVHSSYFFHFDQEHAKDEHFKPLLESIAKLQDALYNVQYEQHWLWAQTSRQAIVNEKMGKRAIYKAVFESAALVSASGLQVYLLRRLFKRRLEMSGF >KZM97136 pep chromosome:ASM162521v1:4:11325249:11327116:1 gene:DCAR_015502 transcript:KZM97136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIEALILDLTTATNRQLNVEIFEKLSKLRLLEIIGPCYLHGSFKNIFHELRCIRWDYCPGRCLPSDLRPQLLVSLEMPDSEFTTLWKGAMSLVNLKILDVSGSKHLKAAPNFGNAKKVEKLLFSDCTSLQKVHPTIGVLSNLKHLDLYGCEQLIELPDTIGQLTKLDYLNVSDCVNLTQLPEPITQLTNLSCLYMDGCRNVKRLPEQLGDIKVLKKLSACDTGIEKLPDSITQMKGLVQLDLSRCRNLKKLPEHFGDLEGLELFGACDTALEELPDSFVCLNNLVHMNLSSCKNLINLPDGIGKLKLLKEIDLGSCSKLKRLPEDIGKLPCLQELDAQYTAIEKLPDSIGQLGSLKRLMLSCCRRLTWLPDSIGNLTSLRSLHLEGSSIIKWPETAKRMRLRCLTACTTELWLPVIQSLSCLKELYLSDEGHGFSSTKPFSLSKLLNLNTFSCKNLGSSLPELPLNIKHLRVEDASTLEQLPDLSSYKKLWRLVIRRCMSLQVLALLPPHLEDLVVSKCNSLQNPPDLSLLKKLRYLRIVECNSVKSVCFEERLLQVSLSLSLYGCE >KZM97116 pep chromosome:ASM162521v1:4:10979017:10983290:-1 gene:DCAR_015522 transcript:KZM97116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIGGGIIGVAFADLEKAFLKVIKTIARFKSTFKRLKATMDAINLIFSDIKKLEEILERPEREIDFFAEELRRGTDLILLCSKIKSWNLYKKYVYSKKLQSFDEVLTRFFQINVQAHQLRSIRQVSKGVSDVSLKLDVVKREILDDVLEKIDSMSVTCSSSASSRKYRGSAYVGEIPELVLGLDVPLEELKVMLLKDNDVLPTVVVLSAPPGCGKTTLANMVCLDSTIKEKFKENIFFVTVSKAVNIQITVETIFKQKGCLDELLQVRSDEDAVNQLGQLLKRIGGGPVKDPILLVLDDVWSPGLEAFVQKFRFKDIPEYKILVTSRFASKLHWEYKLKLLSDEDAKELFCHSAFPSGSEHPKISDETVNKVVKACNGFPLALNVVGLSLRGKTEETWKTTLIKWSKGRSSIFDSNSLLLNNLKTSLDALDEEKGMETLKEGFLDLGSFPEDQRISSPVLLDMWVESYDLDEQGIETYSNLLELSTRNLLNFVPTRKDDSGDLDDRYCNGHFVTQHDMLRELAIHLSSQEAIELRKRLITEIIGKGHPKDWVGMLKQPLTARLVSISTDDTFTSSWPDIKLPEAEVLILNFSF >KZM98857 pep chromosome:ASM162521v1:4:27413763:27421674:-1 gene:DCAR_013781 transcript:KZM98857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNDKYNNNASISSNRIERLLRYRELRELRKSGKASYSIDTTSEGIRNSGLSELDLRDVDDLGVSYVEQYLEGALAAHALVDGFERPDGEPCRQRLLVVANRLPVSAIRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQKALTKALAEKRCIPVFLDEDLVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYEEDSERFIRALEVPEVQEHIRELKERFSERKVMLGVDRLDMIKGIPQKLLAFEKFLEENAYWRDKVVLLQIAVPTRTDVSEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGALLVNPWNITEVASSIGQALNMKADEREKRHHHNFYHVTTHTAQEWAETFVSELNDTVVEAQQRIREVPPVLPVKKAIRHYLQSSNRLLILGFNATLTEPVDTPGRRGGDQIRAMELKLHQDIKESLMELCNDPNTTVVVLSGSDRNVLDENFSEYNMWLAAENGMFLRATRGEWMTTMPEHLNMEWVDSIKHVFEYFTERTPRSHLELRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKSISTPIDYVLCIGHFLGKDEDVYSFFEPELPSESIIGLPRAKINDSLKSPGERRPASKLQNSKGSSKVLHNRSQRHLLNPERKNTNSSNNSAQPHGRRQSSEKISWSVLDLKGENYFSCTVGRTSSNARYTLGSSHDVVSFLKELAMEASSI >KZM97812 pep chromosome:ASM162521v1:4:18064063:18067803:-1 gene:DCAR_014826 transcript:KZM97812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNISMEDIKNEKVDLEKIPLEEVFKVLKCTREGLSSDEGLARLQTFGPNKLEEKKACTLESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKAPDWQDFLGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKILRDGKWSEQEASILVPGDIVSVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDSVFSGSTCKQGEIEAVIIATGIHTFFGKAAHLVDSTNSVGHFQQVLTAIGNFCICSIAVGLVIEVIVMYPIQHRTYRQGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDILCSDKTGTLTLNKLTVDKHLIEVFARDMDKDDLVLYAARASRVENQDAIDASIVNMLSDPKEARAGIKEVHFLPFNPVDKRTAITYIDSSGNWHRSSKGAPEQIIEICELKGETLKKAHDIIDNFANRGLRSLGVARQTVPEKDKESKGSPWEFVGLLPLFDPPRHDSAETIRRALELGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSTDESISSIPVEDLIEKADGFAGVFPEHKYEIVKKLQERNHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFSPFMVLIIAVLNDGTIMTISKDRVKPSPVPDSWKLQEIFATGVALGTYLALISVLFYYVAAETDIFADIFGVRSIQNNHEEITAAVYLQVSIVSQALIFVTRSRGWSFAERPGLMLVTAFFIAQFIATLIAVYADWSFAEIHGIGWGWAGAIWLFSVIFYIPLDIVKFIARYIIEGHAWDTLDNKNGHNSKKLSKEEREAQWAQAQRTLHGLQSSGNDSTSV >KZM99049 pep chromosome:ASM162521v1:4:29024248:29027298:1 gene:DCAR_013589 transcript:KZM99049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLNLELGDCFLSMVSSTEFVGEAPASLIDNLQGGYTNVFDLKSKGSQTATLIGTKTYVIGWSNDQEESFGVRIFDKCTNEWVIPTVLGTKPKPFKGHLAVLLGQDRILVVKENSSFDDCIWFLEVDTEYIKQQKKKLATPVVAWSRGVIGAAEKPVVISGPSGVGKGTLINKLMKDFPAMFGFSVSHTTRAPREGEKNHVHYHFTDRTVMEQEIKDGKFLEFASVHGNLYGTSTEAVDAVADAGKRCILDIDVQGARCMRDSHLEAIFIFVCPPSSEELEKRLRARGTEMEEQIQKRLRNAKTELEKGREPGLFDYMLVNDDFNTCYENLKKLLGLDEAVNGNSCHSPSEMGYLPLVHSASKSDEKILIASETGGLEKASQNRIVLDLSSIKGGAPARTRGLNMYFA >KZM98441 pep chromosome:ASM162521v1:4:24010552:24012082:-1 gene:DCAR_014197 transcript:KZM98441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETVVFQQDPFTTAYGCEDFYTTDDSADAYYKELALQPHFQHKHNIVDHYNINNNLISPSPSTLQTSLKHSSPNDQNDNIFTTDPFFTQAYPHPAKLPTAGSRRKRRRVKASKNKEEVENQRMTHIAVERNRRRQMNDYLSLLRSMMPPSYAQRGDQGSIVAGAINYVKELEQHLQYMESEKQKIKKKETDNKDQSDSLLFDKFFTFPQYSTRPTNNSSHSSSESSAEADIEVTMVESHANIKVLLKRQPKQLFKMVSGFQSIGLNILHLNITTIDHRALFSFNLKVEDDCQLSTVNEIATAVHQMVVMIKEEAIFC >KZM96889 pep chromosome:ASM162521v1:4:8368765:8369229:-1 gene:DCAR_015749 transcript:KZM96889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKTRKRREEKGKQERLKGKMKEILKVERWWEEMLIERKGENETPPRPPACGGV >KZM97983 pep chromosome:ASM162521v1:4:20087306:20090395:-1 gene:DCAR_014655 transcript:KZM97983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPLSIISLPLLFILLHLVILPQASVTLLKSYTTWCFLVVVVCFTFLNNNIWLKSLRLRWKLKRQGIKGPHPSLLYGNVPEMQRIQSSTKSISSSSVDFIAHDYTSTLFPYFEQWRKLYGPVYTYSTGDKQHLYVNHPELVKEMNQCITFGLGKPSYITKRLEPLLGNGILRSNGHFWAQQRKIVAPEFFMDKVKGMVGLMVESAEPLLKRWEACIEAQGGSMTEVRVEEDLRSVSADVISRTCFGSSYVKGKQIFSKLRTLQETISTGSVLFGLPTFGFLATRQQKEIRGLEKEVESLIWEVVKERQKECIDASSSSERDLLQLILEEAINDVCVGKESSKQFIVDNCKNIYFAGHESTATAASWCLMLLALHPEWQARIREETLQICPDSVLDSDSISKMKTVTMVIQEVMRLYPPAAFVSREALEETQIGRITVPKGVCIWTLIPTLHRDSDIWGADANEFKPERFSSGVSNACKVPQAYIPFGLGPRLCLGKNFAMVQLKVVIALIISRFTFSLAPSYKHSPAYRMIVEPGQGVSIVIQRSKLA >KZM97623 pep chromosome:ASM162521v1:4:16307844:16309298:-1 gene:DCAR_015015 transcript:KZM97623 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MASPVNPQDLNFILTPLHGASVSTPLTDLGILLALRRVNVTIITIKDNAVRYKPSIDLANATHRLNIRLLCLDPPGEQASEKMRREVLERLMLELKPPPSCIVSSSTRGYTNDIAGKFRIPCYIFNARSCFSTICSSKILSNKLHTTGLTETFLVPGIPHKVELKGYQSPYYGRFCKENQTRETQKGENPVRGTEKFNLYQGMLINSFEEIEPLYSGPLKQKSLFWCIGPVSLCHKEILHKSCRSLKASIDADHCVTWLDSMKQGSVIYACFGSMSCIHPFQLVEIGLGLESSNQPFIWVIRDNVHLDVIERWLETTKFEKRVEGRGLVIRGWAPQLVILSHPSIGGFLTHCGWNSTLEGVCAGVPMITWPLFEEQHLNEKYVTDILGIGLSIFVEARVSSEAVIIKRDRVKEAIRELMNGEKAGEMRRRARKLGEVANKSVEKGGSSYSNITKFIQDVVNLLKLKQTNPTAYQNVFIRSPRPS >KZM97714 pep chromosome:ASM162521v1:4:17152200:17158557:-1 gene:DCAR_014924 transcript:KZM97714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSIPINLRPLNTARTLVDDSRIAPPVTTTSGRNIEGFYAANPSRDHPHPGSPSAVPLYYPATVSDSGYVGLGYPGVGGGWVQRMPHPPAAVIGAPVVTSPAVVASPAVVPTAGYGNSPKLGNRLGGTNFDQASDDSRDDSVSGKKVKFLCSFGGKIMPRPNDGALRYVGGETKIISVRRNLSFNELVQKMTDSYGQNVVIKYQLPDEDLDALVSVSSPDDMENMLDEYERLVERSPDGSAKLRVFLFSPTEGDSPATVQTGISKDNGQRYIEAVNGIVDGTTDGITRRESMASATSTQNSDLSGTEAVDSTGHGQVDVVGNLSTGGVSPKTNFIATQEVAPRLVYVDPNPPMHIEAATAPIGVQMVSSHPPVLSTQPISVVQPPQQLGFPPRAPYFQAYADPQQEVLNRTEYVQYPSQMGFPAQLYGTVRPVFTQQQYHNNVANINPHQQYIPAVHMSMTPSSYANLRPTAVQPIVQPQQVQVELFPEENKYGQRVMQLPGDQSYNAYHAQVSQSPIMPGGFGWHQAPQTEQVPFSDGLVPQQQAALAEKLPRFEECFMCQKALPHAHSDTVAQGQRDSPTTTSDFIPGYHSLQTDIKIRSQPISTAAPTGPMAESIVEHQFTGAPQTSLGHLDHEGRKPAHMGVALPQYVDDKTSLQRENNHNHLQTSLPQSVVGGSQSPDSGLTGTAPQLYQVNISQQPVLLMEHKAKQDVVYNTSVSVASARDASSQASDHLVHESPKENSGKVPDFVSKEGTLESSPVYDQLRQIDSRLENLGVRPSEVVIDNEQLIPPADSSKDDIVDSRAHQISNTEPYIDNAFSNPQMVLDGNYYKQNEMQYCPSDEVPNLHNEVPSCYEVAQPPLLGNPDFPFERLRMNNLATNHASYGHSVSFAGNESVQVTGAPPSGESKDNSSQFIPDTVGHVEAIPIDGKSQYHIPPANRIGDVQDNSNSLFSSQDPWNLRHETHFPPPRPNKILTRKEAHNRDPFGENRFGNIGEAPSGNNLGFTSELLSDDGVQTGSAEEHIKQELQAVAEGVAASVLHTSIPSVSVSEANQDSLVHNNDVEFLHANKVEETKSKLPEKANLGFPVSDGIGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFSGKPSEQERMRDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRTALLKNEKNLDKRKRLLIAMDAAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYKDLHYGAIIGGIVSNSLRPHVPDFCDQEWKSLMERCWSADPSERPNFTEVANQLRTMAAKVPQKVQAQQQPSSTQPQVKS >KZM99107 pep chromosome:ASM162521v1:4:29458591:29460222:-1 gene:DCAR_013531 transcript:KZM99107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTINQQHHELKSFVRPPKCRLQSYSNFDQIMLDFAQSNLSHSFKHAFELTNLHRSSSTPCLSRTREDSDANSNPKVEIVGGHGAPKVLALVVEFDNECEDDSNQVSYIPQLLETTNRTGKPPKIPKNSSERLISKFHNLMLPLRDEECQGKRAEAYNNSLQDSSDRNSGLEIDPNAGGISSRTSFSVHNHNYNSEGISFGDMNKEEWELFLESFAELLHEALENRKNM >KZM99725 pep chromosome:ASM162521v1:4:34298951:34299178:1 gene:DCAR_012913 transcript:KZM99725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNHRGLFLFCLVMLLVSAMSMRKIDARDDAINLNTHGKQYEIEHDEDDNRGTTGRSGQEKDRAGGDVRVVGH >KZM99482 pep chromosome:ASM162521v1:4:32453782:32458586:1 gene:DCAR_013156 transcript:KZM99482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRIHTTDVGSIACDELDELGAGKEGWLVENPNLLAALDTHSIALANRTIVLILQFNGSGSRVKIRPDLSPIEAEYISAIEWLVFDEIRVLALGTSCGYLLIYSLNAQLLHRQIVYPGRILRLRVRGTKRDLKEDTSSEEVCVVLAGVIARFDGSVIQSMLHRRFQETQDQFWDEMSEKSSFEDPENSFGRLPYQLWNVNKYGSCADAAITGIMPPPLMELQSSQRYFCAVTIGNDAVISAFRLSEDRKRSLVGSILSKVVPATFSTIASLSSMFWRSEPKSVKKSEPKPQPFAQAFPLTCLKDSPRKGEKLTLSPSGTLAAITDSLGRILLMDTQALVVVRLWKGYRDASCLFVEMLVNKNMGASSSAYHGNVKSDYCLCLAIHAPRKGIVEVWQMRTGHRLLTLTCSKGSKLLQPTYRFDSSNGSSSSYAPLEAFFLNGDSGQLSVLNSSLK >KZM99766 pep chromosome:ASM162521v1:4:34640787:34643967:-1 gene:DCAR_012872 transcript:KZM99766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAALAGLQAKGLALTKVPTLKFLIIISGARLLNESWAEKAFSNAICCPSLHFLGETDFLKPYGTVLLESFVDPVVIHHGEGHTIPRLDEKGSELVLSFLEKIQKEGSVLSAALVGLQAKGLALTKVPKLKFLIIISGAKLRNEAWVDKAFSDIIRCPSLHFLGELDYLKSQGTELLESFVDPVVIHHREGHTVPSLDEKGLKLMLSFLLKMQNESWMQRSDATIIALETPGTHYFISAEPGHCTNGMKFATDDSVEGETVTTTPSCKL >KZM97536 pep chromosome:ASM162521v1:4:15602428:15603303:1 gene:DCAR_015102 transcript:KZM97536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLPRKIQSISGNITNVVLKKCLFLTIFIAVIITGSLTITTLISSSATSALCTYVTRSNTDSHSAPQVDALLHYATSRDIAQQTFNEITLSLNVLRSISPCNFLVFGLGHDSLMWAAFNPRGVTLFLEEDIKAVHTVLSRAPLLRVHAVRYDTRLSDADDLLASYKSVKECLPPRLSLQGNVQCRLALSELPHEVYRREWDVIMIDAPKGYFAAAPGRMAVIFSAAVMARGRKKPGLTHVFLHDVNRRVERMFAEEFLCKKYLVKAVDRLWHFAIPPARDDGSKKISFC >KZM99017 pep chromosome:ASM162521v1:4:28766261:28766431:1 gene:DCAR_013621 transcript:KZM99017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGENKAERTDRKTFTTSPTKKLAIYYRKTTSNLKKCLKLSFAKLIFALFVVSAS >KZM97055 pep chromosome:ASM162521v1:4:10184759:10190961:1 gene:DCAR_015583 transcript:KZM97055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGENYGNGEELNTMISNEAVFVAWAKDPRECEELLNVRREDGLSNEEVEKRRRVFGWNELEKLEGQSIIRLILDQFNDTLVRILLVAAVVSFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSAQATVIRDGEKNSNLPAKELVPGDIVELRVGDKVPADMRVLSLISSTLRLEQGSLTGESEAVSKTVKPVPEESEIQGKKCMVFAGTTVVNGNCICLVTQTGMNTEIGKVHSQIHEAAQSEEDTPLKKKLNEFGEVLTILIGLICALVWLINVKYFLSWDYVDGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVVKLVAMGPQGHSLRSFNVDGTTYNPFDGEIQNWPLGQMDTNLQTIAKIAAICNDAGIEHNGTHYVSSGMPTEAALKVLVEKMGLPDGKDTNSSITGGDAQRCCQRWSETNSRIGTLEFDRDRKSMGVIGAVENLLDRSSFIQLLDGSVVELDQNARKAILQSLHEMSSSALRVLGFAYKDDLAEFATYTGDEDHPAHELLLNPSYYSSIESKLVFAGLAGLRDPPRKEVRQAIEDCKIAGIQVMVITGDNKNTAEAICREIGVFGLNEDISSKSLTGREFMDHRDKKSHLKQSGGLLFSRAEPRHKQEIVRLLKENGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKYIMKKPPRRSDDSLISAWILFRYLVIGLYVGIATVGVFIIWFTQDSFLGIDLSKDGHSLVSYSQLSNWDQCTSWGNFSVSPFTAGSQVFNFDTDPCDYFQTGKVKAMTLSLSVLVAIEMFNSLNALSEDVSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQIFGIVPLSLNEWLLVVAVAFPVILIDEVLKFVGRCTQSKSSLKLKAE >KZM96784 pep chromosome:ASM162521v1:4:6289165:6295969:1 gene:DCAR_015854 transcript:KZM96784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIDLYRASNSSRANSTSIWRNSGADIFSRSSTRDDYDDEEALKWAALQKLATYNRLKKGLLVKANSYGVNGISEVDIQNLDVQEKKELLDRLIKDSEGGNENFLLKLRKRLDSYKCIITGEMLVGPSNALFMDEISTGLDSSTTYQIVNSLRHTVHILQGTAVISLLQPAPETYNLFDDIILLSDGHIVYQGPREYVLEFFESMGFKCPERKGVADFLQEVTSKQDQEQYWMRQDKPYRFVTAQKFSNAYLAFHVGRRMRADLRTPFDKSKSHPAALTTNKFGVKKMELLKACTSREILLMKRNSFVYIFKSTQFLIMALITIALFPRTIMHRDSVNDGVLYMGALFYAVMSIMLNGIAEMPMTIARLPVFYKQRDLQFHPPWSYALPTWIIKIPISLIEAFVWVFLTYYVIGFDPNVGRLFKQYLLLVLINQMGSALYRCIAALSRSLVVAGKFGSFALLILFALGGFVLSRGGLCAIVNVKVWWIWGYWISPMMYGMNAIAVNEFLGHQWNHVLANTTEPLGIIILKSRGFFPYAYWYWIGVGALLGFILLFNLCFTLALTFLNPIGKPQAVIPEESKNSSTKDRGDHNRRNSHGSSTTGYNGEANHNRRKGMVLPFEPHSITFDDITYSVDMPQPLKDQGITEDKLMLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGHITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSDVNSERKKMFVDEVMELVELKSLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKQGGLELYVGPVGRRSCELIRYFEEIEGISKISEGYNPATWVLEVTSSKQEMIFGVDFTEIYRNSDLYRRNKSLIKELSTPRPGSSDLSFRTQYAQSFFSQFLTCLWKQRASYWRNPPYTAARFFFTTFIAVLYGTIFWDLGSKRRHRQDLFNSMGSLYSSVLFIGAQNASSVQPVVTVERTVFYRERAAGMYSVLSYALAQVLVEIPYILLQTVVYGVIVYAMIGFEWNAAKFFWFLFFMFTTLLYYTFYGMMTVAVTPNPSVASIIASSFYGLWNLFAGFIVPRPRMPIWLRWYYWANPVAWTLYGLLASQFGDVKDVLVDEHVSVEEFLQTYFGFHHDFIGVAAAVVSGFVLLFAFVYAFSIRVLNFQNR >KZM97079 pep chromosome:ASM162521v1:4:10573997:10580060:1 gene:DCAR_015559 transcript:KZM97079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGLRRSNRLIESPWLHNRPKKGPVYINLEEGQEIVFVHDSSGKKELSDGRGLAAAVSDSRGLAVAADMINEWKSAGVGNNNDFSDEDTDFRTPMECFDNSKKKVNSEKDSAALPEESSKGIMLRSGKKAHHQMKSNKRPRQSEVAGQTKIRITETNKLKTRASTAKNDDHGGKKQDTRITVGAKRGRRKLAAEKSSAVALKKEKVKKTRTYENYIQKKFSPGIMSDVLANLSDEQRKWVTTTGFGDILSFRQMWYAHTLGYNVVSAFNSRNCSLELKAGTIEISDKTVRSVLGLPMGSEIIEPGDNEERVSLWGEQFEGCLVCKVSPMTLSNRIQGNREANTEFKLNFLVLLYNFFIDGNQNMYLNRDVLRYSMDIDHCGKYNWCRLLIEKLRVSHDYWSAVKSRYFTGSLTFLIYLYVSTLRVKGTIHIPPMYPAFRGWSDRFLRERQKFEASNDCFGDGDLVTLKDKAEGITSSTEIGLQVKDSERASGEDKQETMAAENENLDAMDDSGSKGNRDGDNISDEELVVEDSFTLHDECINDGWEDEDGDLRNYFAQPSEPAGCNATKKDGTSKAADEDKEGFLERIIEVVSCVKNNADVNTEDIISKQAVQMKSTGTVAKKGDSTKLNEEQGKVLETPEIDVTKKKERFPGADDVIDTHFDEQMYLEEFAEKLTQLRDLFGICLDRYEVSHALYPDNPLMQELRTNFVYFFKLFGDTSPLSKRLFTRVEADKDTTKTAHCNDSTVVPSFSLGISQVTPKKLDSVLESIVVAQECDNQKSSITDIVRPRRETKISQICRSPFVSRVVDVSSHAISAEEKRIWEWLFSNKRNKKEHLFEWNTRMCTKAHFQSLDYNKMVEGTVIDAWTCILNENEILRSDSSPMRLFLNTETTYGPMNMLVNKDDVHDIIKRKCVFDDNMQIVLEMVNGIHQKIYNVQEFDMFVFPIYNATHHYIICYNMKKPSWEIIDNRVQTSHFGEMFGDLPFLLHDLFCDWISQYNLPKGDEIKNMLPNVVKFGWQTTDNWMDCGVYVMRHLETYMGSLYTWKAGLRAEDGKTRDLLKKLRMIYCHKILTWNGNKRRAMVMRSVATFTKAKKSAM >KZM99478 pep chromosome:ASM162521v1:4:32395137:32396661:1 gene:DCAR_013160 transcript:KZM99478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYIQETSKHLEKQHELLWDTYRSMTHELQKLQVEEEMLMRKFYEAMSAQGLNKKPSSKKDIRYVYTRRPRSVKVPAIVVPSEASMSDNLSASPPTPTTSSSDLPATRRSSRKRN >KZM97721 pep chromosome:ASM162521v1:4:17226974:17233732:-1 gene:DCAR_014917 transcript:KZM97721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVDEIGSRDAPAETTEAASTSANGTVIEDDKLRNDVYTAAAYGDMEKLQRLVEIEGCSVSQPDGLGYFALQWASLNNRPVAAQYILEHGGDVNAVDHTGQTALHWSSVRGSVQVAELLLQEGARVNATDMNGYQATHVAAQYGQTAFLYHIVTKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLVVTDNTGLTPAQLASDKNHKQVAFFLGNARRLLDKRWDGNTRLGQLSKLGLAPVLWCMIFVLLVIKDPGYIRMNMHGAPNMKDDEPLVKIEIGNPALLAGNWSLLCPTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFIAFLIIENLAMLITGGIALSRLLTDPLGPASFGPWLKHAYHQHIGAVAFLMWDCFLFSGVTILTVVQASQVSRNITTNELANAMRYNYLRGPGGRFKNPYDHGCKKNCSDFLINGYNEDTEYNEESPQSEEIHMMHMTRNLNLQNGLGTRSDQPNGNSHVVDVNASANSRHGHVHSSHCSHTEKSVNSSVPLGLGLGLGRNSTRSVAAS >KZM98237 pep chromosome:ASM162521v1:4:22146817:22147809:-1 gene:DCAR_014401 transcript:KZM98237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREQEDMQFLGLLGIYREGYKIVVSWRKILSQITLALILPLSFIFLAHMEISTRLFWKIRDTEWQLYRSGNDHQKHKRLADVLSSEWTYYYLFKAFYFTCLLVFSLLSTAAVVYTIACIYTARDVTFKKVMSVVPRVWKRLMVTFVISFAAFFVYNFISFLIIISCVMLDGLASLYLFIIVLIIYAIGFVYMTMVWQLASVVTVLEDSYGFKAMMKSRNLIKGNMWVSLIIFFKLNFALVAIQFVFQVFVVHGFSVDPWKKILLGILSFLLLIVLILFWLVAQTIIYFVCKSYHHENIDKSSLSDHLEVYLGEYEPLKSKDLQLEHYEV >KZM97562 pep chromosome:ASM162521v1:4:15850991:15851833:1 gene:DCAR_015076 transcript:KZM97562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVGVKLYSVFFKFLLKHRLQSRIQTPIDQSKDPNHFGVSTRPDESIAASNPSFVDAVATKDIHIDPFTSLSIRIFIPDTCLVNSATKHSKSRVSALIGSENDDLYRRSSYDSSNVSNRQVGGNVGSRRNSYATVGDSGKSESGGSYRGYLPSGENCRKLPVMLQFHGGGFVSGSSDSVANDSFCRRIAKLCDVIVVAVGYRLAPENRFPDAFEDGMKVLHWLAKQANLAECGKSMGNVRGVGAELRKGEVQRHIADSFGASMVEPWLAAHGDLTRLNV >KZM97656 pep chromosome:ASM162521v1:4:16603155:16603655:1 gene:DCAR_014982 transcript:KZM97656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVIDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHTGNISLDDVIEIAKIMRPRSMAKELTGTVKEILGTCVSVGCTVDGKDPKDLQEEIDDGEVEIPMD >KZM99635 pep chromosome:ASM162521v1:4:33602887:33604861:-1 gene:DCAR_013003 transcript:KZM99635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRELELPKSNASTLREQLARKTLENVRRQGHSYVELREDNKRTVFFCVLCLAPCYSDSVLYDHLRGNLHKIRCDAAKATLLKPNPWPFNDGVYFFHDLSDQVEKSKDSNSDRFSFIEIDEIEVNNNLAIVPHDGKKSPGSFRLESPDRSDSEAVYSDGDKDSSGNCNGNETEDLVIAGVLHKDEICDLHVRFIGVAKIAARFCNKEGISKDISRLWCEWLGKNDLENEDIFIPEHDFAVVTFPYDYDLGRKALFPDVQHFLLPGPQQVSGRATKRKRKSLSDPEDISESLGSSQYDSSGEENLSSNNSSMKLTLGKSDGQLMESSVISSKTVRKELRKQQRVAAERMCDICQHKVLPGKDVATLMNMKTGRLVCSSRNVNGAFHVFHISCLVHWILLSELEIHNNQTAGSEVKQRGRKKAGVKRKELAKDGDIKFIGKKIYSVFCPECQGSGIDIHGDELEKPPVSLSEMFKFKIKITDARRAWIKNPEELQNCSLGFSFPSQSEEAVQEEISSLKLLRFYRADE >KZM99593 pep chromosome:ASM162521v1:4:33285773:33286183:1 gene:DCAR_013045 transcript:KZM99593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAIPYKTWASFAANDRGSSSAGTMKGPAKAEELKRMVSQNAVIVFGKSGCCMIHVVTRLLQGQGVNPAVYEIEEKDENDVVSQLQQIGAGKDEKVQLPAVFIGGRLFGGLDRVMAAHISGELIPVLKQARALWL >KZM98622 pep chromosome:ASM162521v1:4:25542603:25544024:1 gene:DCAR_014016 transcript:KZM98622 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MAISEAGAHILIIPYPAQGHMIPLLDLTRQLALRNLTITILVTPKNLHYLNQLLIKHPSIQPLVLPFPAMAALPPAGVENVRDLPPGSFRLFTMVLADLYDPIVKWFQSHSSPPVAVVSDIFLGWTNRLANELKIPRYVFSPSGALALSVVYNLWNNMPRRNDPTDKNEVIEFCDIPNCPSFPWWKISPVFRSYVAGDPQYEVFKDSFREDIASWGLVINSFTELERIYLDYLKEFLGHDRVWAVGPLLPPREEQVKRGGFSGNLANEIELWLDQFEAGTVVYVCFGSQVVLSNKQMEMLALGLEKSAVRFLWSYKAPTEEDVLENYGVMPLRFKDGVGERRLIVKGWVPQVSILSHQAIAAFLTHCGWNSVLESIAAGVPMLTWPMGADQFANADLVDELKLGTRLCEGEEMVPDPDELARVVAQSVTDSRGGKGVRAEELSKASVDSIAQGGSSCKALNCLVDFLSRRETS >KZM97509 pep chromosome:ASM162521v1:4:15390863:15398128:1 gene:DCAR_015129 transcript:KZM97509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTRRISRVIDPKIRHVGFFAPQSQPGPSESPVMIPLPDITRAIQVPVGDFYHRRSDYDVVRVGSYDPSDSMSSRNGDGEFSEDSGIWKLPMSLPSNGLDKLAARNFPFGAKKSPHDDEDGTPPAEMSSKSDPKPHKGKTTKAERRALQEEAQRTAKAAGKGLNEKGDSPAQMSMKSVLNPSKEKTTKAERRALQEAQRAAKAAGKVSKAERKMSSAAATGKEASVQIGEVVKNSRQKKDVLMASFEKTIGNRPPEKDRKKDVPPSRMQFDDKSRVDKAKKHSVVKQVEARNRVDYFMHLPQYERGTQHPDLETKFFQLNHIHPAVYKVGLQYLAGDITGGNSRCIAMLKAFQKAIEDYSAPLERTVNRDLIAKINSYVSFLIECRPLSVSMGNAIRFLKAHVTKLPPEITVSEAKASISSNIDRFITEKIVLADRTIVKHAVKKIRDGDVLLTYGSSSVVEMILLQAHELGIRFRVVVVDSRPKLEGQALIRRLVRNGVSCTYTHINAISYIMHEITRVFLGAASVLSNGTVYSRVGTASVAMVAHELRVPVMICCEAYKFHERVQLDSICCNELGDPRAITMVPGEVDIQYLDDSVKNNGLQHLNLLYDATPSDYISVIITDYGMIPASSVPVILREYGGEYLFT >KZM99138 pep chromosome:ASM162521v1:4:29719421:29722926:-1 gene:DCAR_013500 transcript:KZM99138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTLLRAAALAAPHPPIKPTNRNSFACLSLTPKRQIHPKSHCSSLKFTPLQFSHRVLKRHRFGLGSVCFASSGETTGTQEVEEEVQEAQLEDNSESTDVEDGETATEDETTDAEEPASAILASLQSYKEAVANNDEPKIAEIEALLQSIEDEKVALESKVATLSAELSYEKDRVLRISADFENFRKRTERERLSLVTNAKGEVVESLLPVLDNFDRANTQIKVETEGEGKINNSYQSISKQFSEVLGSLGVVPVETTGNPFDPMLHEAIMREDSDEFEEGIILQEFRKGFLLGDRLLRPSMVKVSAGPGPAKSESVQQSEESEESEDVIEPKEEDSSEPTSTED >KZM99844 pep chromosome:ASM162521v1:4:35312863:35317163:-1 gene:DCAR_012794 transcript:KZM99844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLLRSIPLFRHRLQPHRLAVAATLLTRRPCSTLTRPDEYCSPTLPSDTLSRAKSESLSHPARIDDPDYRKWKEKEKEILEDIKPLILFAKDIIHSSRYMDGERLSEEDEKTVLEKLLAYHPRSEDKVGCGLNFIMVDRHPQFRQSRCLFIVRTDGAWIDFSYQKCLRSYIRHKYPSYAEKYIKQHYKRSS >KZM98797 pep chromosome:ASM162521v1:4:26979665:26981756:1 gene:DCAR_013841 transcript:KZM98797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGAGGSSGGAVNYSRRIMGITVPEKVQLHVAMLALQFGYAGFHVVSRAALNMGISKIVFPVYRNILAFFLLLPFAYFLEKKERPPLTLSFLTQFFLLACVGITANQGFYLVGLDNTSPTFASAIQNSVPAITFLMAAILRLEQVRLNRKDGIGKVIGTLFCVAGAMVITLYKGPTIYSPTPPLHRPNVSPLLLSLGDAKPKNWTLGCIFLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYQCFFGVIQFLVIAGFVERDPQAWLIHSGGELFSVFYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASVALGEEFYLGGIIGAVLIITGLYLVLWGKNEERKFAMLQKAAAIQSPTEQGNSRSHIKSSLAQPLLSQTTESV >KZM98109 pep chromosome:ASM162521v1:4:21170776:21173669:1 gene:DCAR_014529 transcript:KZM98109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQTVQIQQQAERDAAIARLEQSRIVLALRLGEHQGKNYEVIDEARAFVGTVREATNFAPPDNNYCSAACPSGKSCLHQEVKKSNAVFKILISGLNFAKKTIKSNHFTGILGNAALFAVSMVALLHLQQASSRSRYISHLPQMQEDNIRGKNVTKVSRPEESASSVPAQMDVLLARG >KZM98091 pep chromosome:ASM162521v1:4:21021731:21031781:1 gene:DCAR_014547 transcript:KZM98091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSGSSVSEFSLGGEENEECRALSLQLDCDGVSSGGWEAKRKRTFDQIFGCDDDEEEGLRVKRGRPKGSKNKKKRKVVSFRGEGGLDQGKMRGRRGRPKGSKNKKRKIVAGDVSDKKVIGFKGERAIFDDHVSGCDEVEAEVIGPVRGRRGRPKGSKNKKKKIVAGDVSNGKLIALAGAADILADHVSGCDEEEAEIVRQNRGRRGRLKGSKNKKKIVVLGRGRVGRPKGSKNKKKKIVAGDVSNKKLIVLEGEIDNMADHVSGCDEEEAGIIGQKRIVTGDVTNKKLIALEGEINNLADHVSGCDEEEAGIIGQKKIVTGDVSNKKLIVLEGEIDNMADHVSGCDEEEAGIIGQKRIVTGDVTNKKLIALEGEINNLADHVSGCDEEEAGIIGQKKIVTGDVSNKKLIALEGEINNLADHVSGCDEEEAEIIGQKKSRRGRLKGSKNKRKTVVLGTGRRGRPKGSKNKKNKMVAGDVSNRKLIALEGGADILADHVFGCDEEGVEMIGQRRGRRGRLKGSKNNKKIVVLGTGRRGRPKGSKNKKKKIVAGDVSNKKLIALEGERDNLADQISGCVEEEAEIIGQKKRRPKGSKNKKKKIVAGDVSNGKLIALAGAADILADHVSGCDEEEAEIVRQNRGRRGRLKGSKNKKKIVVLGRGRVGRPKGSKNKKKKIVAGDVSNKKLIVLEGEIDNMADHVSGCDEEEAGIIGQKRIVTGDVTNKKLIALEGEINNLADHVSGCDEEEAGIIGQKKIVTGDVSNKKLIALEGEINNLADHVSGCDEEEAEIIGQKKSRRGRLKGSKNKRKTVVLGTGRRGRPKGSKNKKNKMVAGDVSNRKLIALEGGADILADHVFGCDEEGVEMIGQRRGRRGRLKGSKNNKKIVVLGTGRRGRPKGSKNKKKKIVAGDVSNKKLIALEGERDNLADQISGCVEEEAEIIGQKKSRRGRLKGSKNKKKTVVLGIGRRGRPKGSKNKKKTVVLGMGRRSQPKGLRNIKKINGAGDASSDCIIVWESNSEILERVAGEGTDKTFSRRGRPKGSKNKKKKKIRAEKKIEDHNGEILEVKKIMEIPGPNNIKIDGLKNKDQDCSPEVLKNNNGTFASSKRIRGDSMMFHKCQSSQVKGHEKNHLYVTETCSDNKELITDKDAGEVLSDSSTLQRHQGRPRKCSEEVNKSNCVGDKKDDGYTYAEISDNTKRNLEQGSLTCHQCRKTKSNVVNCSNCKRRRYCNECLTKWYPERTILDAKNACPSCCRNCNCKPCLQADMIHTDTRYEVDENIRLQRLLYLLQKTLPLLRHIQEEQSCELDVESRIQGVKFEEADVEEATIDDDDRVYCDNCNTSIVNFLRSCPNPDCSYDLCLSCCRELREGIQPGGSEADSSFHQFLERSHGNVTDVNKKDSTNTWESRVPLPVDDCLHVIPGDFPNWTAEITGAIPCPPPARGGCGTRILLLRRIFDADWVLEIIRKAEALVNILTHTRKSEIGTWKLKTIKALQEKYNDEDLNDLSDITHKHGATISHTTCFDAEKNSCSQGDVISGAKPGEIGSSRNGASVINNASLVDEHAVGQHSSGKNAVNTCCRSSTIEDSCNNQFSQHSEATRPSNDSHILEGNIPSNRSTDVAEANANNNIDDYSVEIGNGAAVWDIFRRQDVPKLIEYLRKHKKEFRDINNLPINSVVHPIHDQTFYLNERHRRQLKEEFEIEPWTFEQHYGEAVFIPAGCPHQVRNKQSCIKVALDFVSPDNVEECIRLTDEFRLLPKFHRSKEDKLEVKKMALHAANLAVDDAKQLMGKFGIEAAPCNSSNLSAQEQEPELPAALEGCNQI >KZM98541 pep chromosome:ASM162521v1:4:24873381:24875136:1 gene:DCAR_014097 transcript:KZM98541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTMSFKVLSQMLLNIRPYLAMISLQFGYAGMYIVTMVSFKQGMSHWVLVVYRHVVATLAIAPFAFVLERKIRPKLTLSVFLKVMLLGLLEPVIDQNLYYVGMKSTSATFAAATVNVLPALTFIMAIIFRMERVNLRQKPGLAKVIGTSITLSGAMLMTLYKGPVVDILGGYSHRSQHENSGDASSGQHWVAGTIMLLSCTIGWSAFFIVQSMTLKEYPAELSLTSLICVMGMVEGGVVALVMERDMSAWAIGFDSRLLAAAYSGVVCSGIAYYVQGFVNKVRGPVFVTAFSPLAMIITAILGAIILSEQVHLGSLLGAVVVVIGLYSVAWGKSKDENMTSGEGKAQELPVVREDKYKSAHDSFDGATALDTSIALKSALSGEP >KZM97815 pep chromosome:ASM162521v1:4:18074665:18078849:-1 gene:DCAR_014823 transcript:KZM97815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKFLTLFLLFTQLNCSKTHTWIRAGYWYVGKEFPVPEINSALFTHLICAFAHLNPNTYELSLLSADEPYISTFTNIVKRKNPSVITLLSAWTRDNNSSTLPLMVTQSSRRRSFIESSINIARKYEFQGLELYANAILSMGINMTNLGTLLDEWRAAIDLEAKTSKQPRLILTMSGYYSPRQSSTSYPIDALRRNLDWITIKAYDYHLPSKENYTAAHAALYDPSSRLNTDYGIKEWIKSGLPANKLVLGLAYHGYAWTLVNSTHNSIGSPARGPALTEDGSMAYWYIKKFISSSRAASEYNATYVVNYCTIKSNWIGYDDVDAIKTKVAYAKGLGLLGYNVWQVPNDDNWMLSKAAAGLEDKHAKRKGWMLIVWPTAAVIAFLLGTMMLLRRKGKLQDGQEIAVKRLSQKSKQGVEEFENEVALTAKLQHVNLAYDLWKAGKGMEFMDPSLNDTTSSCKLLRCMQVALLCVQEKWAERPTMLDISSMLRNETEVIPIPERPAFSTDGNGEAMRFTAEDVMSVDIATISQVVPR >KZM99125 pep chromosome:ASM162521v1:4:29617840:29620341:-1 gene:DCAR_013513 transcript:KZM99125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGMALVKPISISKLGNYSKPRTSHTKLVTVKMAATNSKPSTSSSNKGTKKGIKESLLTPRFYTTDFDEMETLFNTDINKNLNEAEFEALLQEFKTDYNQTHFVRNKEFKEAADKMQGPMRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKSNPEYQLYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRSSFYEGIGLDAKEFDMHVIIETNRTTARIFPAVLDVENPEFKKKLDRMVEINTKLLAIGETDDIDFVKNLKRIPLIAALASELLAAYLMKPIDSGSVDIAEFDAQLVY >KZM97993 pep chromosome:ASM162521v1:4:20182243:20183122:1 gene:DCAR_014645 transcript:KZM97993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTVTVSMHKACSTSYFTSKHRSMLKPSCSLATKPAAAAPVVSLNIEGDKSLSLDKSGEIQGESSLHKNKEAAQEPEFFDSRWKEGTWDLNMFVKNGKMDWDEARRRKFLEVYPAESTNSAPVLFKTSVIPWWAWVTHSHLPEAELLNGRAAMIGFFAGYIVDGLTGLNVVGQTGNFLCKTGLFLTIIGILLFRRSSDVDKLKKLVDEATFYDKQWQASWQDEESGKPGVSK >KZM97437 pep chromosome:ASM162521v1:4:14680005:14687242:-1 gene:DCAR_015201 transcript:KZM97437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFRAGSSVAKLAIRRSLSQTGVYAARTRVVSSDCRGFHSTVFRSRAQAAPVPRPVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLFVRAYQVNGHMKAKLDPLGLEERVIPDDLDPALYGFSEADLDREFFLGVWKMAGFLSENRPVQTLRAILTRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFNEFSSGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPLVVGKTRAKQYYSNDVDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKAGRSSQYCTDVAKALDAPIFHVNGDDMEAVVHVCELAAEWRQKFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALTIYQNKLLESGQVTKEDIDKIQNKVNTILNEEFIASKDYVTLRRDWLSAYWTGFKSPEQVSRIRNTGVKPEILKTIGKAITTLPENFKPHRAVKKIFGDRAKMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEKYCPLDHVISNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVVLPHGYDGQGPEHSSARMERFLQMSDDYPYAIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQSDHADLEEGIRRLVLCSGKVYYELDEERKKTNGKDIAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYIAPRLSTAMKVLSRGTVDDIKYVGRAPSAATATGFYTVHVREQSELVQKALQRLGIDLELCKLLRERLESISALLSDADTKKLTISAVRNWFSKLEAVAHLANISKLEAVAHLANIFTDELAYEVTRRKVETRCKVEKLSAEDSWALFKQRAFAHGGVLESETFVSLGRNMVERCGGLPQAVKTLGGLLHSKKSEEEWLLIQNS >KZM99463 pep chromosome:ASM162521v1:4:32263068:32265369:-1 gene:DCAR_013175 transcript:KZM99463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSMAKICKQIMSVFLVLMIVGVSSDDQQQSWWKWFSSGASSSVVSSVGSSVVLPLYGNVYPSGYYHVQFNIGQPPKPYFLDPDTGSDLTWLQCDAPCIQCTPAPHPLYQPTNDLVVCKDPICASLHPDNYRCDDPDQCDYEVEYADGGSSIGVLVNDLFPVNLTSGMRARPRLTIGCGYDQLPGIAYHPLDGVLGLGRGSSSIVAQLSSQGLVRNVVGHCFSRRGGGYLFFGDDIYDSSKVIWTPMSRDYLKHYTPGFAELILNGRSSGLKNLLVVFDSGSSYTYFNTQTYQTLLSFIKKDLHGKPLKEAVEDDTLPVCWRGKKPFKSIRDAKKYFKPLALSFGSGWKTKSQFEIQQESYLIISSKGSVCLGILNGTEVGLQNYNIIGDISMQEKLVIYDNEKQVIGWQPSNCDRPPKGDTFSM >KZM97497 pep chromosome:ASM162521v1:4:15271266:15271661:1 gene:DCAR_015141 transcript:KZM97497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKTVEFDESPPDFDPENPYKDPVAMLEMREHIVREKWIDIETSKIIREKLRWCYRIEGVNHLQKCRHLVQQYMDSTRGIGWGKDGRHPDQHGPKVAVE >KZM98855 pep chromosome:ASM162521v1:4:27398009:27402376:-1 gene:DCAR_013783 transcript:KZM98855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEMERKYSLLKDLNFQVNVNQEDESSRRGFFISFWLYISTISTSFPSVILYQADPDKAYNVPFLGLSANKKLMLFPLPFLHQEAPSPTDPVSWIENTYASSKTDFPLKKWVHVGCEVSIDFVRIYTNGVLVGEKPLSSTSEKGLHLKGPRTVSLSSTHKDIKVNYEIQGYVYGVEVLSHASDVKDHYLKDPPLELSIDESSALDIDEDNDGVGSIVCGKDNSAYKASLRHMQEIPIEAEHCPSGSESNEATMSNSRSMSSCKYPVSDSIVFKYCLDGPSERSLMLREIAMLASEQELLDFAEQVSMYSGCFHHRHQIVMSKKLLEDGTKTWNLVSEKNKQVLWENLAPEIEEQFKKISCCNTRYLSLEDLELLRKIAGCQDLVTRENFERLWHWLYPVASTISQEWIKAIWDSVLPKWIEGLISKEEAEASLQGNGRFQDPGTFVLRFPTSRSWPHPDAGSLAVTYVGCDYSIHHKLLSLDLIYSSGAKETNAKPLKEMLLEEPELSRLGRIRRTF >KZM97918 pep chromosome:ASM162521v1:4:19425885:19430455:1 gene:DCAR_014720 transcript:KZM97918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAMTVVAAATKSKPINPSHLTTIVIHIQNAQSYHTHKSSPKHSKPHKPPRKPIQFLTEIKQIQDPDEALSLFHQYHHLGFKHHYPSYSSLIYKLAKARNFDAVDTLLGYANTYNVRCGEALFIGLIKHLGKAKMIDEAIHVFNNIKAFNCVPTVQSFNTMLNVLVDNDRIDDADLMFGNARKMGFRLNSVSFNVMIKMWLRKGEWDAARLVFDEMLERQVEPSVVTYNCQIGFLCRRGELDKAKGLFDDMNRRGQRANAVTYGLLMEGLCCLGKFKEAKKLMFDMEYQGCKPRLLNYGVLMTDVVRNGKWDEAKTILVEMKRRRIKPDAVIYNILINYLCKEGRTMDAYKFLVEMQVEGCEPNAATYRMMVDGFCSVDDFEGGLKVLNAMLTTNHCPRVETFCCLIVGLSRGGKIDDACYILEEMYKRKLRVNLKLWESILPAVSRKGGMAMKRTKRAKNKNKFVHKLVDYLKSDCYLYAPLVDYSSSMQAAPESNGATKISRPSKRAAKRPNGKKSSPRSTLVKNTLAFRREEKCLINSVGRSPSSSGGLSKGFRIPKTTTIWTGEEVLKIIKDGA >KZM99132 pep chromosome:ASM162521v1:4:29654378:29657529:1 gene:DCAR_013506 transcript:KZM99132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQRDGNVVKKDENFGNVVKKDENFGNVVNKDENFGNVGTFPEIRRGVVEKLDGEASSTANLFSDAGRDDNLCRENAVLADEKSREIKTEKQGSDVERKLPDKVFEKNNELTDLKEGKSESLGIQESREESPDQPQNKSSEKLDGNSPTGLNLKQEDEEEDDDEDKNISDSGSERTLSDRTVSISPGNSMAEISPTDELHPLLDEDAPLPTHMSPEVSDATSEISSNTISESSYDIDTDMETHGGGELEITDLEEDYADNDDDDVKSAVSWTDDDHKNVINLGSSDLERNQRLENLIATRSLRKSMKMMAERDLIDLDGMVRPLNVAHISTARHNPFNLPADLNSNMPESAPSIMARRRSPFELSYDPGEEKHHLLGDDCEHVVTLQPKDPPLLRRNETFSAGISLHGTPRHDYNLRQGYIHHRKLSTLSESKESSEPETESLGSVEELEDKVPVEEDDSQEPVVTTIAEHPSEDVGHGSESSEDEDTVNSTEADKKDATADDIKVNFGDVENSQEKVSRLSVADTHMEGDTSEANEVVTPDDNSSTSLPEEHGNEFNEKEGEQLSHFKPTMESSDAESSSQSVNGTPHKGPVYDSSPNAHNSSSSLFSDHQVDIVETNSPPVSVEHKISATDNESDLSNQITEKDTPASETDEKLISAHHQSPSENNTSIQSDKELPLPDQSVVERSPVKHEILQDSGNASEVQEPVEEAQHGKPTTSDAASRQSEISEREATSDRPRSEDNIPSVGNSDTILISEKTDSGPAESEEVPSRDAGEKIPDMSVQSGTVKDREPRHGEDKHADDGSGESEISPQTTLDKSPNETKDDSSEHELRQKSSEPDKTGSESSRSIKDDHSEQQDEEASNKREPPESNIDGPKEPPQPKPWWNRF >KZM99025 pep chromosome:ASM162521v1:4:28826914:28831057:-1 gene:DCAR_013613 transcript:KZM99025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKPKEISSTKMFDGYNKRYKHYSPTLGCSMTFYIYFPPTPKSSQKFPVLYWLSGLTCTDENFIIKSGAQRTAASEGIALVAPDTSPRGLNVDGEADSWDFGVGAGFYLNAKQEKWKNWRMYDYVTKELPSLLSENFVQLDTARASIFGHSMGGHGALTVYLKNLDKYKSVSAFAPIVNPINCPWGQKAFTNYLGDNKTDWEEYDATCLISKYKDVSATILIDQGEDDSFLHNQLLPHKFEEACRSANVPLLLRMHAGYDHSFFFIASFIDDHIHHHAQALKLAVKL >KZM99123 pep chromosome:ASM162521v1:4:29600045:29606077:-1 gene:DCAR_013515 transcript:KZM99123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDADMEDYGFEYSEEEAEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVEMEPEKAEWGFKALKQTVKLHYRLGSYKEMMEAYRVMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLKEFYETTLRSLEEAKNERLWFKTNLKLCKIWFDMGEYGRMNKILKELHKSCQKPDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIHECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESQVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKIVKELNVPEADVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMKKYAAIEKWNMQLRSLYQTVSSRVT >KZM99612 pep chromosome:ASM162521v1:4:33429660:33430220:-1 gene:DCAR_013026 transcript:KZM99612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPGNDFLPPPISPSISSPSSSDLDTESTGSFFPDRSTSLGTLMGVSAFPPIIFSTPSHRRNAAPPENNPGKSKKRNTKAAVESLLRRRRSWWGRLCSDQELRPSKLGEFLEVERRFGDGAIFSVDGVGMEVGGYDQGINANGRVLFADGRVLPPATTAGEECSPAANGGGGLCRFSVSLPGICS >KZM98640 pep chromosome:ASM162521v1:4:25713861:25714178:-1 gene:DCAR_013998 transcript:KZM98640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRAGANMLLSRANRIKTKLQSALEATILEVEDVSYQHAGHAGVKDNPNNETHFNLKIVSGKFDGQTLVKRHRMVYELLADELESGLHAVSIQAKTPKEIGGSG >KZM98760 pep chromosome:ASM162521v1:4:26706507:26709296:1 gene:DCAR_013878 transcript:KZM98760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEAVCDDVDVNGYPGSKHEQPECSSQDISNSRCINSEEKTLEPAMDEITPAILPEAVRTEVGGDSTIQPYDENSDHASPDPVHSCKMKLHSENNSVLGNPSKQQLEAISGIRSPGSVVTGPRTFEGQFDVANSNEHDKEKPMVQIRSYSISPIPCSKIPASDSAAPVDTLQGSSVNELNMGKSEGVTSSLSGREDSEMIKESNGQNVSNEVEVVCRNEVELVSSEVCDSISPNPCSKLSASDSAAPADALQGSFANELDLGKSEGVTSNISGKEDTKVTEESNAQNVSSEVELVCRNVDLTANLSRKEGTEVTELSDGQNVMDKDEVTTGSEDITTDLLRKEDVKVIEKQTGQKNSGKDEVVRGNDPGFSMSGADLNHSVQKTSDFKIDYDIFDPLEVARKVATELEREVDCREPSRSSSERASGGRIRLPESPDPIKGKNSIVAHHPCKDMSTGTNLTAAVGEKVLVKATALPIERETCIVDSEVAQNIEPDEDKLVCGFDLNENVSDDNMDNQTNSVTAPISLVTASMAATTSDLPLSPLQFDVTHGFKGSAKTSAFCLAPMHKVSEGESSIFATGSSSSLFRKSEHLDFDLNVAESEYWKISDLPPSKETPNSAARPSGDASLESFPKRSNLLQLDLNCASDSGDAPSSYWRKDERVLPHFTGQFSRSASSSSSLMQPSLKTIDLNDQPSYTEFLHPALTAKSSTSVSGGYNTNTSVISLMGTRIAVNQKDDVPQTFPFFNDRIVDPALDASMPRSDNVLGSASSSLYARSYMYGNNGPPYGYGPTVPESSSIYGLGGQTPYMVDSRGSPVGPQVLGRFPALPSFTQQPSFSEGLAAAPADSIGFVHSRHGFDRTSSLMFEGRSRDAGYTRQLLNPGQDIPMDGEMRGNPQSTSSSGVGGKRKEMDGGWEPYPYSHKHHHPMWK >KZM98584 pep chromosome:ASM162521v1:4:25235268:25237228:1 gene:DCAR_014054 transcript:KZM98584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAICSVSPFSQAVKLLDPPHSDSNPSIKIFANKSNLHRTQITSTRRKICNRVLKSSSNDVVTNVVATSEVELELESASNVVRKFYGGINGRDLSSVEPLIADNCVYEDLIFPHPFVGRKAILEFFEKFNDSISEDLQFVIDDISAEDSIAVGVIWHLEWKGRPFPFSKGCSFYKLEVVNGQRKIISSKCVLFVDDNGLNLKNSYGRDSVEPAIKPGETALVASSEHYSARLSLLEFYTNDVGCHQRSYLAAAAVSTVS >KZM97372 pep chromosome:ASM162521v1:4:13962954:13964290:1 gene:DCAR_015266 transcript:KZM97372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRRRRVGEGKWRRKRVDSSEHSVQDSGFELAWREVHSLNDEEKQKLKISVLRYWFLLDYELKEAIEKDNISLARVAIERIKFLRKFVDAELLDGALKGDEQSLEDIQSSLLHQGLCEKADKLKISEVLDPIASEKSESEKKDLTDFIWFHRDLVHSYVLNQLSSKDDESINLALRHIHYGSLQRSREEKKKHNEAQDMEAEKSKLDFKKVLLQPAFPKPSKPVNGGTPSSSKGLIPSKSVFVYNLPIEAKVQELWKVLKAWGKILDITLPIKKDKFGRRFGFVLLKSTDEAENFIRNSNGKVIKGNQIKTQFARNMRTRDKKISSPTKVESNGHTGESRKRGRPTKKSGRKSVKSFAIKFKGKNDICQELPANIEKESAKVLESCLLMGLGLEMCNTDALACIKERLTQ >KZM97645 pep chromosome:ASM162521v1:4:16507973:16512365:1 gene:DCAR_014993 transcript:KZM97645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLPESSDSKGAKRDFSTAILERKKAANRLVVDEAVNDDNSVVSLHPETMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCADVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVRREDEDRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDTIDAEILNSMAVTNEHFHTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDESSRHSIFKSCLRKSPVSKDVDLMALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKEKRRSENPEAMEEDDVEDEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSETSGTAAAGSDPFAAPAGVADEDDLYS >KZM98310 pep chromosome:ASM162521v1:4:22799437:22805419:-1 gene:DCAR_014328 transcript:KZM98310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIGDFRPIIWELKAEGLVSSSKPALPHIMYVDAPENSELRIVVTDFKYSTWMAVKSVIQLENMKVNIGYGGEFSSFIEYVGTSLKSRDTKIVFEGQSIEDGPAYAKLVGQESKEMPLVCFHLNKLVGAAAVEAAGNVSQELFKEYKSKHESLVKEQERLYHLSEARTAERRKSDDLQKALDMIMHPKRLKTKHMNERSTSDSLSVSTLQQTQAVGKEADVSKESTTVSNNVVPAHHREKLPEISPQNIILIDDDDDDK >KZM98364 pep chromosome:ASM162521v1:4:23287300:23291324:1 gene:DCAR_014274 transcript:KZM98364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRICFLSCTILNFFLTSTIFLSSCSAQKTILDDRHALTAFKAHITHSDPNNILSLLWSDKIPTCKLEGVSCSVRRQRVTSLNLSNMNLTATISPHLGNLSFLSSLFLNFNNFHGFLPMELANLRRLQRLHLQYNNLSGQVPVWLGNFQRIEEIVLSNNSFTGFIPSSVFNISSLTVLSLVANDFSGALPENICDDLPNLEILSVAINRLHGQIPANLFKCIKLQKLSLSYNQFSGSIPRQIGNLTDLRELYLGFNNFKEATIPREIGNLVQLERLDIPSASLTGRIPSSIFNMSSLQTLNFQNNKLFGSLPQDIIYNLPALENISFSMNNLSGRIPSSLWECKGLQNLILKENEFTGSIPKNIGNLTSLQFLYLKYNKLTGTLPDEIANLNLEMIVIGNNGFTGPIPSKIFNISTLRHIDFQFNHLSGHLPSDIRLPNLEQLYLDNNELDGWIPSSITNASKITLLQLYGNYFDGPIPNTLGNLKFLKKLHLADNSLIKESGTTELSFFNSLTNCRFLQTLTISQNYLKGFIPNSVGNLSTSLYYFEAYESNIKGKIPAEIGNLSGIQVLELSGNELRGTIPTTMGRLTEMGRLRLSGNILQGSIPKDLCNLKIIGELDLSHNRLGGSIPPCMGELITLEKLNLSSNELTFDIPSSFWSLVKLNQLNLSSNLLNGSVAPDIGNLNIITHIDLSRNQLSGDIPTTIGKAQMLNFISLADNRLQGSIPESFSNLKGLEFLDLSTNNLSGEMPGSLGTIRYLQYLNVSFNMLQGEIPATGSFANFGAESFAHNFGLCGPPLPNVSQCKPQRVTKSSKLMERVEEHKYAEECVTAILELALDCSVDSAAERITMKEAGHRLGKIRLMLQMTR >KZM97891 pep chromosome:ASM162521v1:4:19049799:19050875:-1 gene:DCAR_014747 transcript:KZM97891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAILLMAKDLFGNRGKSRLETTVWAIQLLLLLVGIISIVLVLKTAVIPYSFSKVSTLPQLCLSLKFWLSPPYIYIIINFIIVFIAVSSTFHRPRVNEDQHNDYGGEYADPRLQQHSDGDFGGALQHPIRTPPSPESPSLEEINNEVDVDFLFSPPVSEPNSPLPNLDTPKIVSPKRMKNVKAQQIPASPEHIKHILISEQPVTKGHDKEFETTEHILVTEESVTKGHDEEFETMEKNLVTDESVTKGHDEDFETMEATWEAIIEARKSPPKKELKKIESWNAPPVVTAPTRRKDMRKLETFNDSLLERQRGGLRRDVSIGHAELNSRVEAFISKFNMDMRLQRQESDQRFLEMINR >KZM99776 pep chromosome:ASM162521v1:4:34743221:34745256:-1 gene:DCAR_012862 transcript:KZM99776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADITMAENLSREQLVFLSKLAEQAERYEEMVQFMERFVLGLAPGAEPTFEERNLISVAYKNVIGQLRAAWRIVSSIEQKEEARKNDDHVAMAREYRSKIEKELSELCAGILKILEENLVPAASSSDSKVFYLKMKGDYFRYLSEFKIGDERKRVAEDTMNSYKAAQEIAMADLASTHPIRLGLALNFSVFYYEILNSSERACSMAKQAFEEAIAELDSLAEEQYKDSTLIMQLLRDNLTLWTSDNIQDQPDE >KZM99656 pep chromosome:ASM162521v1:4:33789641:33790780:-1 gene:DCAR_012982 transcript:KZM99656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPPVVAAKKGQWTPDEDIKLVIYVQEHGASNWSLVPENAGLKRSGKSCRLRWVNHLRPGIKVGKFTHEEELIIKHHHALLGNRWAAIAAHLTGRTDNDVKNYWYTHMKKKLDKVDGPVDEPTKNPVAPPSTLALVAAPPAVAPTLPPTLDPAVAPTVASVAPPTLAPAAAPPTVTHAVTIPVVTHAATPVFAHRCVLPTPTFAHASVFAPDRAVFDNPLTAPTSSFASGRAILDYSFTAPAPAPNFVRGREGFN >KZM97376 pep chromosome:ASM162521v1:4:14004646:14005460:-1 gene:DCAR_015262 transcript:KZM97376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSTKLMAHLSGRLQTLNPTNLFRRTLCYQPKQPIFFQSQNYLSDNGLADQASSEIVRGPRSVWKEPFVDAFLMKMMQKGGKLANRKIWSRRSTILPEFVDSFVRIYNGKTHVKCKITEGKVGHKFGEFAFTRKRRPKKDNSAVAKKKTKK >KZM97624 pep chromosome:ASM162521v1:4:16315195:16316685:-1 gene:DCAR_015014 transcript:KZM97624 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MAYLAKDLHFVLFPLMAQGHLIPMVDIARLLAQRGATVTIITTPANAIRFKAIVTRSIHAGLDIRVAELYFPSEEVGLPKGCENFDRLSTLNLHHYFFAAISMLQEPFESLLKNLNPPANCLISDLLFPWTTNIARKFHIPRLVFHGPCCFSILLPYNIFTSSILDGVDSSSEKFVVPGIPDEIELTETMLSAMLNPRISPLIKEYYEVLVESEKAADGVVVNTFEELEPWYVKEYARVMGTKVWCIGPVSLCNKDTVDKAERGDKASISEHDCLNWLDMKKPCSVVYVCLGSLSRLATSQLIELGLGLEESDRPFIWCIRYKTEEFDKWFVEEGFEERVKGKGLIIMGWAPQVLILSHQAIGGFLTHCGWNSTLEGICAGVQLLTWPLFAEQFVNEELVVKVLRIGVKVGVELPIVFGEEEKVGVVAKKEDIIRAIKNLINEDKGGEDRRERARKLGTMARKAMEEGGSSHLNMTLLVQAIQQKRFLQESISNVT >KZM96942 pep chromosome:ASM162521v1:4:8954885:8960001:-1 gene:DCAR_015696 transcript:KZM96942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLKEYLKKYQSSDDVEKKKKKKKKNQKKPDVTGVLLVDEDPVWQKPVVPEEENDDDSAGSLPVYALCHVLLADISYLINNETMDSFSDEEKPQVDEDIEVKRMKRLEQLKARRPYGLISDDGSGWVSVNNAPQKLVDSNSDMSPPRKRRSRNDTPSPEPELKPSDAEGTDQSPPRQRRRRYHSPSDSMDEKVDLSPPRKRRASLNTQSPERIQTTSKSEHKDLDMSPPRRRSHVSDTVLSPRRGHRDSPSRVNSRLSPGEDLSPTRKRRSGNPQSQISDLSPPRKSRKDHSPPRKQSKETTSRAEQRKTGLVSGKDVTEEVLKAKKEEFLRFKAKDPSITGQGAEPIYRDKKTGQRLTKDEFLKSQKKDEKPKEVKLEWGKGLAQKREAEARQEELELEKAKPFARTRDDPDLDRMLKNKTRWGDPMAHLVKKKQDELVLPDMGDDEKMKASGFIVPQEIPSHSWLKRGFEAAPNRYGIKPGRHWDGVDRSTGFEKAMFKRMNEKQATEKEAYLWSVADM >KZM99106 pep chromosome:ASM162521v1:4:29456330:29456950:1 gene:DCAR_013532 transcript:KZM99106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTIYDHELPYDTHNLYDISFFSTNIRTLVTNHPYHVTSWISDVTRSLNSPLVGFDVEWRPNFQRNQDNRIATVQLCAGTDCLIFQIIHADSIPHSLINFLGNGYYTFVGVGIGADVEKLLDDYGVGVRKFVDVRPVAAEAYGVRELRNAGLKELARVVLEKEIWKPKHVTMSRWDNQWLTAPQVMYACVDAYVSYEIARVLNVV >KZM96814 pep chromosome:ASM162521v1:4:6832122:6832565:-1 gene:DCAR_015824 transcript:KZM96814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTTTRGGLVEYAVAKQEVMVLRPLELSAGECAALGTRGLTAYQCLITAGVAKLGNIHVTITCGSCTIDFVKKT >KZM96900 pep chromosome:ASM162521v1:4:8474603:8474785:1 gene:DCAR_015738 transcript:KZM96900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPISLAQLCLNSLPLKTLSYSLLNKWVSIRDLEQQIVEGVVKRGFNGIEFNLDSSLTG >KZM99840 pep chromosome:ASM162521v1:4:35288599:35289153:1 gene:DCAR_012798 transcript:KZM99840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSIISGADNFADNFADRKMKKIKADDGDDESDKIESVLLDENLLYEVLKHADARTLGSAACVNKQWNKTAKDERLWELICTKQWANIGCGKQQLRSVVLALGGFRRLHALYLWPLAKPAAVTAPQATTATTSAWPCLPTPVKSSPAVAPAAKTRWGKDEVHLSLSLLSIRYYEKMNFSGRGK >KZM98604 pep chromosome:ASM162521v1:4:25354905:25363334:1 gene:DCAR_014034 transcript:KZM98604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIGDRVHNFFEQEHLSRGQNQSQIADVNWVGVNNNRWNGSQRQYDDTLSFRTKDYIPQQSDPEAHTIQSRQAQYGFCSPPLVRPALANNRSYNQHPDLDGNIYGHQVSQRRPEEANFLGVGAESYRNNSNGRGFSMYGPAQGSGAEHPATSGRLDTFESPVSYDFFGGQPKVNDRPVLLQSLPPPQSGVGDMHQLQQHLMFRKMQELQRQEDIQHLHARQQYSVKQVTAFTKKEAGKNSHDFTNGTPVSDAYHPWTTEMPAGNRNRLHHASTAMQGSSGGLTSSPGQVQALNSVNLVRQQLDQSLPGVPVSGSRDNLNQDQFARDKPLQQMTTYNNSFLSNQHAAFPQQVTMKDDRVNSRQVFQGKDMFGYTSHQGQSSQMNLENHNQPSPQGKIEATQEFQEKRKVIGMSEVSHDKIVEQAPHSQNSIALDPAEEKILFGSDDGSIWDAFGKTDDGVSNLLDGTDFMNGLPSIQSGSWSALMQSAVAETSSSDVGHQEDCTNLSIENPDFSASNHQSLSYEAEKHQTALVNNNLSISSAFTFGSVAPSDDTNSNHIHAQGVLQFGHKISQEHVAGLQSNSSHRPTQQSLAGGSNWLSSKNQRYGTASHTVDAEINGRRYSNHGALSQNGVSQPFKPYNLSVTNGVTPNGQKIQENENFVHNYQMTGQKPVVHEAMIHKNGMRKVDSISNSSAELEQLRSTTGSSLANAAGEVLKSTKLSVSEEASLFGGCKPMEASLRTQESENSRKVEHLRKVPQLVKSAFHSSEEEVNMKAINTVSKKTNDNASYRPNMFHHNFTAGRGEKDLVDASGPQSLAGGKQKSSKQADQKFAGPRKFQFHPMGNLDEDVEPSYQMRGANNYKMMPLHNSQGFRSQDAGFFRQSNLLGQFPNSCSEKEKGQLSDHHGDASRNEASLKGNRAGYVPSMSSANNKPVGMSTSYSSENMLELLCKADIKERSTERHLTPTGHSSLSQTPEAESSNGTVGCLQDNQSSAIQGYGLQLAPPSQRPGLNHVPSSPSQTVKYISSTQDSVVTRGMGLRMLEPADLDQSMPSPHEVSQREFKNKRTVIPAHTAREESPPKMQENVSSDSGFSYPRSQLQDQLISKASGQVSINSHTIHGYQFAGHSVLDAAGSAPYDKSTSSLNISRSTPTNYPHDRVSADKNSAGDLLSVSKSITTGTSSDGAPTNMLYSVQPNVSPQQRYVGTHACHSQPKPNLSSQSDIVESTFSVPHNLVDQGPTLMDEFPSEFCTGFLHPQGLVFEKEHLGKDNSCLQLSSGSKDLIQKLKESPSQEPNIQQLHRAPPVNPPSTQRDIEAFGRTLKPNNFIQQNFSLVNQIRAMKSVETDPSNRGSKRLKGPNNRLMSEQVVLRTGQPYEDDAMVKDPPFSTSSVSEPDDNGGTNVSSYKIEKLPSQDVLKDQIESENFRHGGSTGSIRTEHLNISPQMAPSWFNQYGSFKNGQMLQVYDASKAVTLKTVEHPPRQSFNNMHTDSQVHTNAVADTNEISAKLLSTDLMSLQSLPRDELCGNLVISRPKKRKCASSELQSWQKEVSQSCPDLQCLRVAEENWSKAAKRPSEKVEDNVDTIEAGSPMPRARRRLSLTRQLMQLLFSAPPEVILSAEARSNYETVTYVLARRTLGDTCSFMSCSDGENLQADKRKSSDKSHEQRTSQVMEDFMGRVRKLETELSRLDKRSSVSDLRIEVQDVEKISIINRFAMFHSRLQSDGADTQSLRGASANSEKPFPQRFVTAVPLPRNLPDSVQCLSL >KZM97227 pep chromosome:ASM162521v1:4:12532433:12532786:-1 gene:DCAR_015411 transcript:KZM97227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESACNQGDSSAMDSHSQQPMTNRSSTGRKRNHENQADSSFAGISLTMA >KZM99075 pep chromosome:ASM162521v1:4:29251853:29252848:1 gene:DCAR_013563 transcript:KZM99075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMNYLFSITFLVYFLSLSFPSNSERCNPQDKKVLLKIKQDLNNPYHLASWNPDTDCCDWYVVDCDPKTNRINALTVFQANISGQIPAAVGDLPYLENLVFRHITNITGQIPSAIGKLSRLTLVRISYTNITGPVPSFFSKLKALTYLDLSFNQLSGSIPPSLSLLPNLQALHLDRNRLTGMIPESFGAFAGSSPPDLYLSHNQLTGSVPKSLGNMNFTRIDLSRNQLQGDISFLFGKTKALENADFSRNLFEFDITKLEFPESLIALDWNHNKLQGNVPVALTTLGNLQQFNVSYNRLCGQIPVGGNLQSFTYAEYFHNRCLCGAPLPAC >KZM98407 pep chromosome:ASM162521v1:4:23714186:23716156:1 gene:DCAR_014231 transcript:KZM98407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSGSIQSSSGADDDYESRAGESTFSNPSSTTTTTNNPLLFSQSSTMFDPLSNYFDPPIPSRPTHQNQNQNQNTSLLNLDMPSWPKSQPNPFFTPQTPMSSFPHISEPIPTTTPGNNTNQVIQQDQTSQTQTRNPKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFTSSPFPRTRLDLFTSPSPSSFRSQPSNMDSGNSGSIQSSSGADDDYESRAGESTFSNPSSTTTTTNNPLLFSQSSTMFDPLSNYFDPPIPSRPTHQNQNQNQNTSLLNLDMPSWPKSQPNPFFTPQTPMSSFPHISEPIPTTTPGNNTNQVIQQDQTSQTQTRNPKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFTSSPFPRTRLDLFTSPSPSSFRSQPSSFNQNHLLRPFPQKFQPQLSPFTTNHNNINTVITTAAATTSEQLGLLKPPHSSSGATNNYNLLNNMQMQNPLTFQSFLQPSSSGDHQFGINTHQLNANNPSAHLSSPRNQEEEGNGYNFSGSASSNLNFSGGKAVGDNVSASGSTTRGEGLMESWICSSD >KZM99686 pep chromosome:ASM162521v1:4:34023036:34023765:-1 gene:DCAR_012952 transcript:KZM99686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTILFVCAVLNLADAQGLKVGYYEKTCPSVEKIVKQATAKFMSRAPTLAAPLLRMHFHDCFVRVIDGVKTAVESKCPGVVSCADILALVARDAVSMLKGPVPYWKVPLGRRDGRVSIMQDTFTNLPPPFFNISQLKSSFASKGLNVKDLVVLSGT >KZM99301 pep chromosome:ASM162521v1:4:30945576:30949419:1 gene:DCAR_013337 transcript:KZM99301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYTDPIQAKDEESNLDAEFIKVEKESVCSDKSSPSRDLLEAQEKVQELEHELVSVCGDLKKSESEVARLNDEVLVVNKKLQESERKYDELEISSKELQKQISEEGAKQKAMNDVQEAYDDLQLELESSKKKMLEVNQDLQISEGEALKFEELHRQSGVHAEIEMKKALEFERLLEMAKVSAVEVEDQMATLQGELMGLYKKIAENEKLEEALRFTTGELSTVQGELKLSKSQVEELEKKLDLQKDLMNKVALLNSELSSSTAQKSELELQLKTAMEKEKVLNESLNATTEEKMNLADAFNNTTEKLVEAENSLQFLENELNLTYQKLGSAESNLEAAVMRENEVLEKLKLSEELLEKHRDLEVQLQEVIANFNNKDFEVQALEEQVMIYKEQTMEAAERSASLKDEFDQIALKLANSESTNEELRRRVSQAEDQATQSFSEIDMLVETNTQLKSKINELQELLNSEHAEKEETSQKLSSHLSTIMELNDRHSKASERADSHKIELEQTLTRLKDLESMVEELQNRDEATEKLHASQKEVEDLKLQLDSDSQRLQSQISSVMEENNLLTETHQNAKVELQTAVIQLEGQLKQHISNENALTNEIENLKAEIEGKSVLNDQIKELEEKLAIAEARAKEEKQVNTHKEMEAEANKKAVFLLENQLKELEQKLQQEDASHKDNGASPAEMKSREIGSSIPTPSKHGHTRKLEEVSTQTSSLEIQTKTTEVSSSINFKFILVVALVSVIIGAILGKRY >KZM97139 pep chromosome:ASM162521v1:4:11398661:11403377:1 gene:DCAR_015499 transcript:KZM97139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGLHNVSAISSSLGIEAQSSLPRWCANNEKQSTSLLRMWRELEGDQIASSSQSQVGGRSMQENVIEHGSSLSNTCLSAGSGDGSVHSLIDSNEKENERIISPRVRQIEHDDTRSSSSESTNYSEVERERLREVFREWQNNGLRNNTPSDSNSNNSTRAQWLGEKECERVRTVREWVNKTTHQRDRCHGGGRDGQDSETGVQIDRVHEGHSGDQCETRPKRTIRRLCGRQTLLDLLMRAEKERRRELQDLLDHKHVSDFPYRNRIQSLLKGRFLRNTPYNQDEKHSSLAASELGILRQKQTVSGLREGFLSPKLENCISGPVGSCQSDSSSHSDISCHRNEQTRVNSFHDVVQDEFCHSEPDSEETDTNISKTEDLEFDASEGSHLQESEALAGESRDGLVLESENREQQSSTDEFDARRTFVSESSWRSTDDRQHDTLGTERSEQGTLLEPCLSVSQGYDPTSNERDAPSASGDIDQLEATVIEGMSWQNIPLELEDWQDSVIEDGDIDWVQLSMGNYTAWREASEDGIDTISHASSHQEEYELRNDSEHFHFQVPQDEWHENASNDGRDDWSDRPSVEEVPGVDVSHFSDDDNGQSLELRQLHSRRRVSNLLRSDFRASLDRLIQSYVERRNHAPLGWEADGASSSPPAVIEEQEEQMTVQSEVFVSTSLPTVVLPSPQVTSSQTTWEHNAGQQQVNSIQQSRIDWEIVNDLRLDMAMLNHRMNSMQMMLEACMDMQLELQRSVRQEVSAALNWQSRSADSELNLVPDESNLEHVKNGVCCICCDANIDSLLYRCGHMCACSKCAQKLVEGKDKCPMCRAPVVEVIRAYSIQ >KZM97235 pep chromosome:ASM162521v1:4:12640923:12641108:-1 gene:DCAR_015403 transcript:KZM97235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKLSQTPCPFKKYDSRKSSLRSGFSVFDSQGWWKSSYTTVQFHCFNVCKNQQVNFTMSA >KZM98083 pep chromosome:ASM162521v1:4:20957156:20962028:1 gene:DCAR_014555 transcript:KZM98083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGKSKGDVKVFDSNENLSLSLANYISDLSAKVTAEKSTFTVVFSGGSLFKSLSKLVEAPYVDSIDWSKWNVFWLDERVVPKDHPDSNYLLAYDNFLSKVSIPPGNVYAINDALSAEGAADDYETCLKHLVNTGTLSISEASGFPKFDLMLIGMGPDGHVASLFPGHPLLQESTKWVTYIKDSPKPPPERITFTFPVINSSAHVALVITGSNKAHPVHVALGDGQNSGTLPIQMVSPEGKLSWFLDKEAASKL >KZM98261 pep chromosome:ASM162521v1:4:22354341:22355633:-1 gene:DCAR_014377 transcript:KZM98261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMIKNYPFDVASNGTTSSDQLLSVEVVSEILRWVPRLFFQSPRSVGCQKGFRHRAPLKQRNLGDEMSRASKGVRVVGPAEYRDFGEVKRGLKNAVGFYNWVERRFGFQHDEMTCREMACVLAKGNNMKLFWDFLKEMSRRGGGGLVTTATVTCLIKVLGEEGLADQALEAFYRMKQFRCKQDVYAYNTVINALCRVGNFRKAKFLLEQMELPGFRCPPDTYTYTILISAYCKYSLQTGCRKAIRRRLWEANHLFRIMLFKGFVPDVVTYNCLIDGCCKTYRIERAVELYDDMIKRGCAPNRVTYNSFIRYYSAVNEIDKAIDMLQKMQNQNHGLPTTSSYTPIIHALCEAGRVKESWDSLVQLVESGSIPREYTYRLVCDLLKSEGQANLLDVKLCRRIEEGIKMRCRDVMLFKPIKSQNLYSVRSLT >KZM96609 pep chromosome:ASM162521v1:4:2090276:2092649:1 gene:DCAR_016029 transcript:KZM96609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQSGQGPNQNLLLPLCKNDDGIELGVKKRWHYISDGTIVELKKQLLLAGPLVLVSLLQYSLQTISVMFVGHLGEVYLSAASMATSFAGVTGFSLMLGMGSALETFCGQAYGAKEYHMLGVHMQRAMLVLMLMCIPISILWSFTSNILTIFGQDPDVSVQSGIYACWLIPAIFAYGLLQCQFRFLQTQNNIKPLVISTGITSLVHLVICWTLVFPFGFGSRGAAISSGISYWVNVLILGTYIKFSPTCERTWTGCSMEGVKDLSNFLSLGIPSSLMLCLEFWSYEFLVLMSGLLPNPKLETSMMSICLNTSSVFYRIPYGFGSAVSTRVSNELGAGNPRAAKLALQVVLFMAVIEGMLVASALVAVRGVWGYIYSNEEEVVRYIATVLPVLAISNFMDGMQGVLSGATRGCAMQKVGLYVNLGAYYIIGLPLAVLLTFVLHQDGKGLWTGIIGGSSLQAVILLVIILRIDWEQQAKKALYLVHGSKISEDTMESSTTFLDSGKLQVLV >KZM97402 pep chromosome:ASM162521v1:4:14257263:14260966:1 gene:DCAR_015236 transcript:KZM97402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFPYADVDSSLRAMAGKAEGFGRSAVGGLHGSLHSVTTLADVDSSLRAMAGKAEGFGRSAVGGLHGSLHSVTTLADDGPGSLREACSKTEPLWIVFDVSGVIHLSSDLSVSSFKTIDGRGSRIKLRGRGLRLHECENVIICNLEFEAGRGRDVDGIQIRPSSKHIWIDRCSLSDYDEGLIDITRQSTDITVSRCHFKNQDKAMLIGADPSHFEDRCIRVTIHHCFFDGTRQRQPRVRFGKVHLYNNYTRNWGVYAICAGVESQIFSQCNIYEAGEKKKVFDYYPEQAADKPEAESGVIRSEGDVFLNGALGTGTHDTIVFHPSEYYSTWTSEAAASYFLKGILQTCSGYQAMVRPIDDCDLLDSPVDHSNDPDENAFTTTN >KZM98415 pep chromosome:ASM162521v1:4:23771057:23774041:1 gene:DCAR_014223 transcript:KZM98415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSPLAKFKLATIGIDFLSKTMYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVANRQSFLNTAKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGNLKSQECGVMFIETSAKAGFNIKHLFRKIAAALPGIETLSSTKQDDMVDVNLKPASSSTQSDQQGGGCMC >KZM98039 pep chromosome:ASM162521v1:4:20587507:20591393:-1 gene:DCAR_014599 transcript:KZM98039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSGIDFIQCLGPDMSIKILMCLEDPSDLVRVSSVSTSWRHFVIENGLCKKLCLQMYPELGGLSHVIKVNNVIKPDKFELNNSIEWTCLKRDHITYAFLARGFDSFIRKDCLFEAICASSTDNYPEESIQNTLEPSDSVDNRVSYWSSEGESNHAVPETLLYKLTSNLCVITEIHVQPFQAFFQSGFPIYSAKAVRFRTGYPRYPMDIQDEAAGESIHGFADKFVWTYTSPEFPMVQENCLQKFKLPEPAICIGGILLVELLGRVQRQEMDGLYYICVAHVQVVGRPLSPTFDVEVLDSSGKCNLKYYPEASYCWSPTKSGEACTNSPSRFRMFTASIRGWEQMILNTLLGAGVDAAAEAAAGAGANSDSDDLVA >KZM98202 pep chromosome:ASM162521v1:4:21886250:21890231:1 gene:DCAR_014436 transcript:KZM98202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSYPRAFWPAGGGLGIAAMTYIGVDYIRHISPAWHARLQPVLWALLALIAIIRIPYYKHWSVEMRSAVVFIASMIFMVGSLLVEMITVRSVTAVLGLDWHRNTPPLPDTGQWLLLALNEKLPATIVQILRARIIGLHHYLMLFVMLAFSVLFGSIKAPGLGIGARYMFTMGIGRLLRTISFGFTILPSPRPWCAASRFHVPAHANRWAQKYYVPYASDVDAMRKLISRDIAYVNPGEYKEEYRPDWGFMSFLSDFLRPYPYEGAWYNLLKKGGGGCNDLLYSGHMLVAVLTAMAWTEAYGGYSSAFIWMLVLHSAQREVREHHHYSVDCIVAMYVGILLWRMTSFLWSIKDASRGRRLEKLEMIQGRLTQAAKDGDVDEVRALLEGVETSSEENHNKGRAMQLFAVGTIFTSLTIVLLAFTLLSDG >KZM96661 pep chromosome:ASM162521v1:4:3190550:3191547:1 gene:DCAR_015977 transcript:KZM96661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPKKGVSVDRLMLDRSWDRFSPLSSVLSCAKTLDGSSHKGRLKEKSLKRKGPFIVDQINKKLHFGP >KZM98505 pep chromosome:ASM162521v1:4:24538584:24539375:-1 gene:DCAR_014133 transcript:KZM98505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEQAKKELEKLEIQHPNSFQSLKFELRNFISQLEEEEEEKLSSPQYYSTTASTHVSWTGKKRKKGSSDEEEMRDVDEAESKLEVCKMLCRKRSCCDEAYGKRRDMNTVAAIERARVCLQKVQQLKTSFFRY >KZM99571 pep chromosome:ASM162521v1:4:33114936:33116288:-1 gene:DCAR_013067 transcript:KZM99571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSSLIFLTLSLTLLFLISPADSTSRRALNKSIKTPGIKITLTHRDYGKNLTKIELFERAIKRGKNRLQRLNKLSSYSIAVETTKSSSAKSKVHAGSGEYLMDIAIGTPAELYSAILDTGSDLIWTQCKPCDECFDQATPIFDPSKSSSYSAIPCSNKLCTALPTFTCGKSKQSCEYLYTYGDQSSTEGELATETFTFGKVSVPNIGFGCGSDNEGSGFSQGAGLVGLGRGPLSLISQMDEPIFSYCLTSIDDETSTSTLFMGQAASAQSKSDGKMISTPLIKNPSFPSFYYLSLKGITVGDTKLSIDESVFALNDADGSGGLIIDSGTTITYLEESAFDEIKKEFVSQTKLPVDDSGSMGLDVCFKLPANVDSVEVPKLLFHFDGGSLDLPAENYMIADSSIGVVCLAMGSSQGMSIFGNVQQQNMMVLHDLKAQTLSFMPTECDKL >KZM99869 pep chromosome:ASM162521v1:4:35459879:35460805:-1 gene:DCAR_012769 transcript:KZM99869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQTFQNNNIKSNIPELPALVASASPPSSCSNSPAHEFSFTISFHQQNPLIAKNSTKSLMNSPPGAAVDLSPADDIFFHGHLLPLHLLSHLPVSPRSSTNSLDSFTLPITEFFFDDRDQIIEENFTNDDIEYTNVFQEINQELNDTATTNAAAISSGRVKSKSFSIFGGRKKGSERQDQERQKKKLKLKSEVSDVLKKYMKMLKPLLPFRGSRKSINKPFHNQSYSFPGSVSSRKNQEEFRGRRGLFSAPASMRASPTNSGLLVATGAAGYSTSDSTMEELQAAIQSAITHCKNSIAVQEKMKGRDD >KZM98356 pep chromosome:ASM162521v1:4:23189965:23206750:-1 gene:DCAR_014282 transcript:KZM98356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGRCSRRRKGGCDVGIVDSRVPIDFSRENCEIEEIDLGIGKVDLFTQARKALSERCPFDLEDGQAVKTVSLPKEFADLLSRKSDGRKRHKKSHAAESKSTRLAKSKGAAFWARNEEYFRGLTVDDVDKLYQLGSIKFSGDWNCLMIPCVNNVRASSCVSNGNDSNLNNGFGDVSPASYKKEEEEAKDAHDDKLAEVECGEGIELALEEKDRALNQQGSGLEWLLGSRSKVYLTSERPSKKRKLLGGDAGLEKLFVASAVEGSSSLCHYCSLGETGDQLNRLIICSSCSMAVHQRCYGVQEDVSESWLCTWCKNMNQKDLERPCLLCPKQAGALKPVRKKGCGSDSSGFAHLFCCQWMPEVYIEDTRRMEPIMNLEGIMETRHKLICRLCKIRHGACLRCSNGACRASFHPICAREAKHRMEIWGKFGSDDVELRAYCSKHSEIQNNIITLQDGDSRLLIPDPHITKHQPMSTMTHKIKIGRKNEEKVAGHNETSELDLDRVDSSVPHGDVFPNASSNLTNQLAFGDTQQSNNADVLAKKSRDDIDLSECLIDRGRVKMTDVASEIGVSPEVLTSNLIGEHMVPEVQCKIVEWQKNHATIGPSQKNLKVRFKHPTKVEAGSTDETHVLVSESCIPNVSVTSVPPRRRTKNDIRILRDGKALCLTESSSIADGVAMSDKSAHHLAMHEPACKSDTTQKILIEPDGSQDILRNSSSKTEGKGASLLICTAVKSFQAEGGAVSENSAALGSVVANPVCDTAVNCVPNIIETEAVSISYMHPTIQKTLSQIPNLVVKRPLSDEDDGSRDGEFSSAEASSSSSICCHHQTEDSVSPGSTSKVGGIVEQLAQARRMGILEQSPADEVEGELVYFQQHLLHNAVKRKHFSDNIIVKVLTNLPKETDALAKQKWDAVTANKYLSELKELKRQGRKERRHKEAQAVLAAATAAAAASSRISSFRKDSQEESAQRDIVAVHLDCYRSVKDSAGPWYCELCEEMSSSRSFGAAAVNSWDKPYFLAECGLCGGTAGAFRKSTDGQWIHAFCAEWILESTYKRGQANLVQGMCNYGHCQSSFHPSCAKSAGFHMNLKACGGKLQHKAYCEKHSMAERAKVETQRHGIEDIKSLKPVRVELEKLRLLCERIIKREKLKRELVLCSHEILASNREAVALSAVSYSSLCPTDVSSESATTSLKGYTDDYKSGSEAIQRSDDITVDSTVAGKRRIKLPVSMDNDQKTDDSSTSQQLFPSKPSDRVSFSGKQIPIRPSSAASWSFSSEGEKRAKFRKHPETFEKELVMTSDQASMKNQRLPKGFVYVPIRCLSNEKEAVPGACTQEHIRSDE >KZM97706 pep chromosome:ASM162521v1:4:17081433:17081945:1 gene:DCAR_014932 transcript:KZM97706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDLPPRKRHSYRIKPLSPSPPPPPVAFFRRRRMAYLTYRTLPLIKEDGKLSKWKIFYIRPQNQGGYQQLRETLYNKAGANAEGVSHDHQAVIYYLTRQDLVLNRDQPFKRLDGVLDWNTFANSVQRFRIVWVPLNKNSSNRPAEPDRNKKYSSDRSARYSNARQFLFI >KZM97524 pep chromosome:ASM162521v1:4:15544493:15544651:1 gene:DCAR_015114 transcript:KZM97524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYIPYYGTEFVLDVIASLGLVLFAGFEVLIKSGRPQDRKHAGEYHLLLIS >KZM98498 pep chromosome:ASM162521v1:4:24482822:24484645:1 gene:DCAR_014140 transcript:KZM98498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDKCAANYVALTPLTFLKRAAAVYAKRTSVIYGGVRFNWLQTYQRCCRVASSLRSLNITKNDVVSVLAPNTPALYEMHFAVPMAGAVLNAINTRLDANNIATILRHSEAKMFFVDYEYVPLARDALRLLLSDIDCSLPLVIVIDDIDKPTGIRLGELEYEQLVCHGDPRYVPEAVQDEWDAIALNYTSGTTSAPKGVVYSHRGAFLSTMSLIQGWEMGTEAVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRNTTAKQMYSAIAEHKVTHMCCAPIVFTILLGAKPEERCSISHQVNILTGGAPPPAPLLERMEKLGFHVMHAYGLTEATRPALICEWQAKWNDLPQEQKAKLKARQGVSILTLADVDVKDLTTMKSVPRDGKTMGEIVLRGSSIMKGYFKDQVATKQAFHQGWFLTGDVGVIYPDGYLEIKDRSKDVIISGGENISSVELESVLYKHPSVMEAAVVAMPHPRWGESPCAFVVVKNMDKNNVREDDIIKHCQENLPKYMVPKKVEFLNDLPKTSTGKLKKFELRAIAKTLVISDKQTAKKQANKVHRNRLNDNGHATDHHDLVMSRL >KZM97773 pep chromosome:ASM162521v1:4:17670446:17672291:1 gene:DCAR_014865 transcript:KZM97773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQENITWDVEEAGEIRVSSREHHYRSAQTLSASLLRTKSDPELVNKVRFRCLKNFVANLQQVIFGTKLIVLFPAIPAAIAAQSYNLGRPWIFALSLLGLALLAERISFVTEQVSFYTGPTVGGLLNATCGNATELIIALLAIRQGKIDVLKYSLYGSVLSNLLLVLGSSLLCGGLANLYKEQKYDRKQADVNSLLLFLGLICHLLPLMFKYAVKPSSDIVQGSILQLSRASSIVMLIAYVAYLVFQLKTHHQLFEAQEDEGNKETEEKPEIGISSGLVWLVGMTITIALLSEYVVGTIEDASASWGISVSFISIILLPIVGNAAEHAGSVIFAFKNKLVSCTFLTSITSLSTRCFMTLTL >KZM98374 pep chromosome:ASM162521v1:4:23379033:23380876:1 gene:DCAR_014264 transcript:KZM98374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCAASIEVSPFNGYKLLFKAIGMIPVSHYVLGSLCILIVFLYNFLEFHFLEDFLYGGSPVKLTYHSGSELYQAVVSKCRLLHGRYSSTPWLASPHLQTVLLEYIGSPPAFTYTREIFHSSEGGTFALDWLRSSDLTGSSEDTNTANLKNDSTPIVVVVPGLTSDSSAAYVKSVSYNTAKCGKNVVVCNHRGMGGVPFTSSYCYNAGKTKDVRDVVNYLVNKYPMAPVFLVGTSIGANIVGKYLGEEGANCPVAGAAVICAPWDLLIGARFLRRKLVQRVYDSVLCIGLKGYARLHESHFTKHANWEGIMQVDIFPAT >KZM99814 pep chromosome:ASM162521v1:4:35096875:35099592:-1 gene:DCAR_012824 transcript:KZM99814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNSDGSLCIMKNPSRSQHSQDSPKLENLLGNATIGAHCINYEREAMALSFDNMYYHQNVNDQEPDQSQRQQQEEEAHLQYFSGMPCYEMYQTNDLFPGNFKGQQLGNNTMLHDHSSVEPMGYGDLQCLSLSMSPGSQSSSITPATQISPTESHCLAIETKKRGTGSAKLSTKQPSHRKTIDTFGQRTSQYRGVTRLVINLAEFDNLLRHRWTGRYEAHLWDNSSTKEGQTRKGRQGGYDSEEKAARAYDCAALKYWGSATHINFPLESYQQQLEDMNSMNRQEYVAHLRRRSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFCTQEEAAEAYDIAAIKFRGIGAVTNFEMTKYDVEKIMASDTLLAGEQARRINDIEESRLTEAIVEYVIPASVAPGQENNYQNTSHDNNGRSIDWKMMLNQFPHQQQQNVEAVAENQRHDKNLSFSVALQNLISDETLSSSSTQLMLHDSSSTKTDDRHHFSDPSSLVTSLGSSREASPDKPCFSSWISGAQMRPAQTSMAHLPVFGSCNSP >KZM98203 pep chromosome:ASM162521v1:4:21893209:21896532:1 gene:DCAR_014435 transcript:KZM98203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAACLAQSPPQTSDSVFFLEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGERIHQRGLGPAPIPIDKLSVEALSDAINIMLQPEVKSLAMELAKLIENEDGVASAVDAFHRHLPPQLPVSDDEPPETETDDSPNVLQWVFIQIGKVCSIPCG >KZM99529 pep chromosome:ASM162521v1:4:32767292:32767543:1 gene:DCAR_013109 transcript:KZM99529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSGAAFARKFKQDDPVLDIIDKELLHRKSGNFTPGGWCSGNPPCSKVGNLDKLRPGAGAQRLRRLVGRLVLSAKYGRNQCQ >KZM99012 pep chromosome:ASM162521v1:4:28734562:28737595:-1 gene:DCAR_013626 transcript:KZM99012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKFWRNMMRAALTYEEWAHAAKMLDKETPKMNESNLYDEELVRNKLQELRHRRQECSLRDIIFCMRADLVRNLGNMCNPELHKGRLQVPRLIKEYIDEVSTQLRIVCDSDSEDLLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVIKTLVEHKLMPRIISGSSVGSIMCAVIATRSWPEIQSFFEDSWSSLEFFDQMGGVFAVFKRVTTQGAVHDIRQLQMMLRLLTNNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFHLGPEEGSAASGRRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEVVRAYGGNFAAKLAHLVEMEVKHRCNQILELGFPLGGLARLFAQEWEGDVTVVMPATLAQISKIIQNPTLAELHKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERATAASQGLASTVKFNASKRIPSWNCMARENSTGSLEDFLQDAASSLHQGVAGSPGPSGRNWRSPRNMLDGSDSESETADLNSWTRSGGPLMRTASADKFVDYVQNLERNSKINQGTVPFPNTLSQAVSRDPHYKNLRVTTPERSLEVDPDHRVPGSNSSILVAEGDLLQPERMLNGIMFNIVKKEELTSPQRSRDIDSVEECVQIDCPDKETDASSTSEYGDDANANQLDSKDTI >KZM99014 pep chromosome:ASM162521v1:4:28757199:28757426:1 gene:DCAR_013624 transcript:KZM99014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQYNFFPTDFYYPRPQATSDTNKQQIQSVSMADDTSIVTSKDRNSSELKIVCSDPQDINKIVAKYSPILKKD >KZM98253 pep chromosome:ASM162521v1:4:22271034:22276671:-1 gene:DCAR_014385 transcript:KZM98253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKVDDLPLVIRCRERKELIKAAVDHRYALSTAHILYFRSLIDVGEALRRFVDEEIVVGESPLDSPVLTLPSDEGKRGRSRNLGSTSRNVGGEHRKSTSDSGSISHGHLRVDDDEDGHLHLSDSDLDSSSGHIHLDDGHIHIDDEEDDDDSPRNRGRKASPPRQRGFQPEGYGVDGYPGREPNGYGVDRYPPWGPNGYESNFNPPPQSAWQPYGYGMNPPYAMNVVDEPYGMNVVDEPYGMNVADQPYGMNVEDQPYGTGWGQYGGSSNSYAYYMRSSGPSVRTVIHGEGPYASANSYSGYPDASGGFFGFLTSSPPERPPPSNRTSSQADPLPPPPPAPSVSGWDFLNPFDGYGYENGYLGNYTQSVYGSIASSPNSDEVREREGIPDLEEETETEIIKEVQKQKNVNENVKRNPKPMPPKKGDGSRAVRSHGSEGSPRTVPSHSNDASRAIPSHNDGDTKAIPSRNDGGKKAMPSFQSEGTSRAGPSRNNEAKSSSSAKGEEGSPDTVVTMSSEDDYVQKREVSFEVEEVSIHDVESSKTSSLTTLSTHGSRDIQEVVGEIKNEFEIASSHGKEVALMLEVGKLPYLPKSTALKVALSRIMHRKAPSSASSLASSRPSVRVNSKTIKLARSYYENSEKDTNVEYGNISSILEELYAWEKKLYKEVKVEERLRLVYEKLCKKLKALDDKGAESSKIDATRASVRRLLTKLNVCMRGIEAISSRIHKLRDEELQPRITTLIHGLTRMWKLMLKCHRKQFQAIMDSKTRTLRSNTGFQKDSRLRATIELEMELRSWCSNFNDWITAQKSYVQSLNGWLFQCLNYEPEVTSDGIVPFSPGRIGAPLIFATCHDWHQAMEVMNEDGVSNSMQHFASNLRKLWERQDEEQRHRLKAEFLAKDYDKRMKGLHGERVKPGRKQDGVSGKSIAASDSGISRHDDLKVDLDSMRKRLEEERIRHSDAMKLVHDAASSSLQGGLVPIFKALENFSSEALKAHAQVRPQTSGEGN >KZM97447 pep chromosome:ASM162521v1:4:14759248:14761948:-1 gene:DCAR_015191 transcript:KZM97447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDIINNVGCRFAQPIFDVTKVLSELELLIHRVKVMTTPDGKVLDLFLITDVMDLLHTKKRRDDTCEHINDEIGEDCISCELDLAGPEYESQLGLSSLSKAVCEELFCCELSDREACSQALSAEITKVKKATITVDNHLSPAHTLLQIQCVDQKGLVYDILRTAKDCNIQIAYGRVPPSVKGYRTLDLFIQKSDGGKIIDREFQDTLCSRLKEEMLHPLRVTITNRGPDTELLVANPVELSGKGRPRVFYDVTLALKMLGICVFSAEIGRHSTEDRQWEVYRFLLIDSREFPLASKRAKNEIADRVRRTLMGW >KZM97059 pep chromosome:ASM162521v1:4:10201360:10202277:-1 gene:DCAR_015579 transcript:KZM97059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKAFLTIFALQALLITSAKHLTAPATSDIFREYIGAEFNNIKFSDVPINPNVEFHFILAFAIDYTTSSSPSPTNGKFNIFWDNDNLSASKVSAIKKKHSNVKVALSLGGDSVGGGSAYFSPSSVDSWVSNAISSLTNIIQEYNLDGIDIDYEHFQGDPDTFAECIGQLITALKKNGVISFASIAPYDDDEVQSHYLALWKSYGHLIDYVNFQFYAYDAGTTVSQFMNYFETQTSKYNGGKVLASFSTDGSGGLSPENGFFTACQRLKSKKELHGIFIWSADDSMSRGFPYEKQSQALLAISH >KZM97417 pep chromosome:ASM162521v1:4:14520963:14521466:1 gene:DCAR_015221 transcript:KZM97417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKINISSILLIAIIIVSVVYATNARVFVDEKLGVSQKFDRVGIDNPGPSPRGKGHGAPPSIQKFYEMSTDNFGSSLDVRGHGNPPFSQEFDLVNIDKSGPSPGGGHGGPPSSRKFNEINTDNSGSNLDSRGYGNSPFAQEFDLATIDNSDPSRDGIGHGAPPST >KZM99211 pep chromosome:ASM162521v1:4:30283848:30284693:-1 gene:DCAR_013427 transcript:KZM99211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMSTAVPPPLKSKPILIRSVWSDNLASEFELIRTYIEQYPYISMDTEFPGVIVRATNAGTDFFVHRNSGEHYVILKQNVDQLKLIQVGLTLSDATGNLPDLGTENRFIWEFNFRDFDLASDVHAPDSIEMLRRQGIDFEKNREKGIDSVKFAELMMSSGLVLDQSVSWITFHSGYDFGYLIKILTHKPLPSTLSEFLKLLKMFFGENVYDVKHMMKFCQGLYGGLDQVARTLDVKRMVGRSHQAGSDSLLTWHAFQKIKDLCKDGAERYAGVLFGLEVF >KZM99220 pep chromosome:ASM162521v1:4:30331794:30335583:1 gene:DCAR_013418 transcript:KZM99220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNHLSSEKLWWMESPTKGAVPQNTLFDFNQNHQENHQSNQDGEDREGIVAEREAMFEKPLTPSDVGKLNRLVIPKQHAERYFPIGGASSGGAGESVEKGLILSFEDELNKIWRFRYSYWNSSQSYVLTKGWSRFVKEKQLQAGDTVVFERFRDDGDRFFIGWRRRSTAVAPPAGGWTPGLYSGHPYPSQNSRPNGPPLPYQPHCPHAERAEASAGVQNQTSSGSSRTLRLFGVDLECQLEEPSPDGPSQLAARIQDMHIQKATVEILLEDLN >KZM99401 pep chromosome:ASM162521v1:4:31808756:31810786:-1 gene:DCAR_013237 transcript:KZM99401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFSSRTPLIIFLILLLFFKNPPLISSQNTNFDFPSFSSLRNISLLGDSFLRHGVISLTKQLNVPSSSSGTVIYNHPIEFSNQDSTHIASFSTCFTFSINNVNPVSFGDGLAFFITPDDRILGSPGGYLGLVNSTLLTKNKFVAVEFDTRLDSHFNDPNENHIGLDIDSLNSIKTADVISIGIDLKSGNLITAWIDYESVEKSLKVFLSYSGTKPFDPLLAVDVDLSEYLREFKYVGFSASTEGSTEIHLLENWSFSTFGVKSSRPRFPPYNVSDNSVVRKPPIPVSGSGNKHKRLGWGLGIAGPALFCVFLGLFGYISVKKWKGMRMDKSIKADLLTGPRQFSYKELKAATKGFHDSRIVGHGAFGTVYKAFFMSLGTMSAVKRSKHSHEGKTEFLAELSIIACLRHKNLVPLQGWCAEKGELLLVYEFMPNGSVDNVLYQESDQGLALKWPHRYNIAVGLASVLTYLHQECEQQVIHRDIKTSNIMLDANFNARLGDFGLARLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATEKSDVFSYGVVILELACGRRPIDKETEGQRMVNLVDWVWDLHSEGRLAEAVDRRLNGVFNVEEAKLLLLLGLSCANPDSAERPSMRKVFQILNGEAEPVLVPKTKPTLSFSNHMALNVGDIVSDSEGSQTPDHMFEIKVV >KZM98240 pep chromosome:ASM162521v1:4:22165413:22165865:1 gene:DCAR_014398 transcript:KZM98240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEAPLLVSSVTELKKKEKLQKKAKSGGFESLGLSFNVFRGVKRKGYKVPTPIQRKTMPLILSGCDVVAMARTGSGKTAAVLVPMFEKLRNHLDECGGIRALILSPTRDLALQTLKFAQELGRYTGTDTYLLYVCVCVCSCEYLRSEIF >KZM99305 pep chromosome:ASM162521v1:4:30963765:30970494:-1 gene:DCAR_013333 transcript:KZM99305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIYTYIFLYVLLCFHSVKIESAVSSIDLGSEWLKVAVVNLKPGQIPISIAINEMSKRKSPALVAFHSGNRLIGEEAAGLTARYPNKVFSSFRDLMAKPFDLAKKALDSQYLPFEVVTDPVRGVARVKVSDDESYSPEELVAMALSYGSSLAEFHSKVAVRDAVISVPPYFGQAERRSLIQAAELAGINVLSLINEHSGAALQYGIDKDFSNESRNVVFYDMGSGSTYAALVYFSAYKAKEFGKTVNVNQFQVKEVRWDPELGGQTMELRLVEYFADEFNKQNGVDVRKFPKSMAKLKKQVKRTKEILSANTMAPISVESIYDDIDLRSTITREKFEELCEDLWERSLIPLKEVLKHSGLKVDDLHAVELIGGATRVPKLQAKLQEFLGRKDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMVDGSMYGFVYELDGPDILKGESTKQLIVPRLKKLPVKMFRSIVHSKDFKVSLSYESDDLLPPGVISPTFAQYDVSGLTDASIKYSERNLSSPIKASLHFSLSRSGVFSLDRADAVIEISEWVEVPKKKLTVENSTSAFPNITGEGSPQNVSEETTENLNNEGGLNITDASVDNQNNTDLGTEKKLKKRTFRIPLKITEKTMGPGMPISKESFTEAKLKLEALARKDAERRRTEELKNNLEGYIYATMEKLDSDEYEKISSSEERQSFIQKLEEVQNWLYDDGEDASASEFQKRLDLLKAIGDPIFFRYSELTARPAASEHAKQYFAELQQIVQGWETKKSWLPKQRIDEVLLDSKKVKSWLEEKEAEQARTSSMNTPVFTSEEVLAKVLDLQEKVASIDRIPKPKPKIVKPVKNETEGNTENNSSNQNESSSKESTSPVDDTEGESGSSTNEKAEGDSEPHDEL >KZM97161 pep chromosome:ASM162521v1:4:11653869:11654045:1 gene:DCAR_015477 transcript:KZM97161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTLNVNVEELFGETDGSDDEDDGKENDKDDDDVGDRDGSANDGDDEVGSDDGDDSA >KZM99653 pep chromosome:ASM162521v1:4:33768993:33771757:-1 gene:DCAR_012985 transcript:KZM99653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSTVGDESRRPKFREVSSRYLHNIPSSPQIVENYSSLPLPNPSISGPSWQIKKSSPSVPDTRKHRSHDSGLLRKLWPSAGKSSRNGEKESKNSDNSMSLNRQKSCSTVFSRFESSPQINGSHSTSSGSSKENYKPFFGGSMRYTGKFKFPGRSSSPSPVAGDDDFMIPGRMSVDETALRQRSFGGRSSDLSDNQDSGSECSDHGSNTSLGSPFIGKNSPASYMSPTVSSSFRKSGLDASPIDQKHRRGTSETNIVTENFKKINLKNSVKRANSLTAPSKKSSPSHSNLKPPSPPHMNAKPPTSPSSKGKLPPTSPSRSKGVGSFISLGLDLFKHKKPYPSSIGSGTVGASGTNTTAESVHQLRMLHNRLMQWKYTNARGAVVNQNLTTQAESKLLCTYDALVKLQSSVLQKRLQLEKEKLEMKLNNILLSQLAPLESWSNLERQHQSAVLMTTDSLHAAICRIPLVEGAVVEPETASFALRYASDLAVSIETMLSTYSPEVEKNVALLAELAKVAAQEKSALDECLELSRFISELEIEEWSLQCQAVQMKSMQQHQQDISA >KZM96962 pep chromosome:ASM162521v1:4:9224756:9225828:1 gene:DCAR_015676 transcript:KZM96962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSPTKLELPGFRFHPTEEELIEFYLKNTLYGKKLHCDIIGVLNIYGYDPWYLPELAKIGEREWYFFVPRDTKHGSGGRPNRTTANGFWKATGSDRKIVTLSDPKRVIGLKKTLVFYKGRAPRGTKTDWVMNEYRLPASCPLHKDIVLCKIYRKATSLKVLEQRAAMEEEIMKTNNSTCSDSPSPQTTSPVISMDTTASFSSKDQDLAAAGAKESFMKEEIVEEEDTMLSFPSGEAGANTKCSSLHLPTGMESMDLQLPKFSSQDPFLTPLCSPWLDNWFISYANVYNL >KZM98393 pep chromosome:ASM162521v1:4:23585220:23587243:-1 gene:DCAR_014245 transcript:KZM98393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFSRYLVLALCLIICLTSISSANAAVRRFKWEVKYEYKSQDCVRKLALTINGKTPGPTIRAVRGDTIVVKLNNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILAGDTFTYKFVVDRAGTYMYHAHYGMQREAGLYGSLRVALPDGESEPFSYDYDRSIILNDWYHKSAFEQAAGLSSPQFQWVGEPQSLLIQGRGKYLCNSTTLAKDDVCNATSPECARHGHNMTVVEADGHYVDPFVVKNLFIYSGETYSVIVKADQDPSRNYWAVSKIVGRESNTTSGLAVINYYPNPRRDPPTIPPTGPKWNDTDARRNFSLSIKSHPKFIQSPPQTSDRVIVLLNTQNEINGIRRWSVNNVSFNLPHTPYLIALKERLGHFDQTPPPDGYDTAKYDIFKVQDNANATSSNAIYRLKFDTVVDLILQNAQTMNKNKNDSETHPWHLHGHDFWVLGYGKGKFNKSSDPQNYNLVNPIMKNTVPVHPFGWTALRFRANNPGTWAFHCHIESHFYMGMGIVLEEGIEKVGKLPSSIMGCGDSKGYRRP >KZM99374 pep chromosome:ASM162521v1:4:31592248:31602091:-1 gene:DCAR_013264 transcript:KZM99374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKPVEDIIECSSGVHFSGFHLNGLQKINTKVEQQTTSVAESVHRQPFVIGVCGGAASGKTTVCDMIIEQLRDQRVVLVNQDSFYHNLTPEELANVHEYNFDHPDAFDTEQLLLSMEKLKHGQAVNISKYDFRTYKTDASKPRKVNPSDVILLEGILIFHDARVRDLMNMKIFVDTDADVRLARRIRRDTVEKNRDINMVLDQYSNFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDAQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISERHVLLLDPILGTGNSAVQAISLLLKKGVPETNIIFLNLISAPQGVHVVCKHFPRIKIVTSEIETGLNEEFRVIPGASLSPQSTPNDRRQRDLEELKEFITNRTMSAFVGKYADELIKNAKYIATPGKGILAADESTGTIGKRLSSINVENVEANRQALRELLFTAPGALAYLSGVILFEETLYQKTSDGKPFVDVLVENNVVPGIKVDKGTVDLAGTNGETTTQGFDSLGARCAEYYKAGARFAKWRAVLKIGVTEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGSHDIKKCAAATETVLAAVYKALNDQHVLLEGTLLKPNMVTPGSDSPKVAAEVIAEYTVTALRRTVPPAVPGIVFLSGGQSEEEATVNLDAMNKLEVLKPWTLSFSFGRALQASTLKTWGGKKENVEKAQAGFLARCKANSDASLGTYGGGSAGGVASESLYVKGYKY >KZM99389 pep chromosome:ASM162521v1:4:31751787:31753966:1 gene:DCAR_013249 transcript:KZM99389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSKNSNPRSGDGASPGKIFIGGLPKDTLYNAFTKHFGKYGEITDSVIMKDRHTGVPRGFGFITYADPSVVDKVIEDPHVFNGKQVEIKRTIPKGAAQSKDFKTKKIFVGGIPATVTGDELKDFFSKYGNVVEHQIICDHETNRSRGFGFVIFDNEEVVDEMLSKTNMIDMAGTKVEIKKAEPKKASNHPPAPAYGSKPRARSFSDEFGRFNSSYGGYDSGYVGPGPYRTPGGLGRLSGYGGYGGASDYGGYGDFGGSALGGYRGESSLGYSSRFGAYAGGYSGGYGGSGLGGYGRAEGLGSYGRGEGLGGYGRGEGYGSYGGSGYGGGYDSGPGASYGGTGGLYGRGGYSGSSRYHPYGR >KZM98743 pep chromosome:ASM162521v1:4:26591334:26597260:1 gene:DCAR_013895 transcript:KZM98743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDISDSSESVYYLHGDLDLSIIEARCLPNMDLLTEHLRRCFTAFDVCRKPTSTGRKHHHKIITSDPYVTVCLGGATVARTRVISNNQNPVWNEHFQIPLAHPVSQIDFVVKDNDTFGADFIGIASVSAQRVKTGDLIDEWCPIIGLFGKPPKQHAAIRLRMKFTECEDNVEYSSAKFSLRGSYFPMRHGGHVTLFQDAHVPDGMLPEIELEGGRVFRQEKCWEEICHAILEAHHLVYITGWSIFHKVRLVREPSKPLPRGGDLTLGDLLKYKSQEGVRVLLLVWDDKTSHNKFFIHTEGVMQTHDEETRKFFKHSSVNCVLSPRYASNKLSIFKQQVVGTLYTHHQKCVLVDSQAHGNNRRLTAFIGGLDLCDGRYDTPEHRLFRDLDTVYQNDYHNPTFPAGVLGPRQPWHDLHCKIEGPAAYDVLKNFEQRWKKATKWSEFGRRFKKISRWHDDALIKIERISWILSPSDSIPNDHPILRVSQEDDPENWHVQVFRSIDSGSVKGFPKNNKLVVAQNLEFAKNLVVDKSIQKAYIQAIRSAQHFIYIENQYFLGSSYAWPSHKNAGADNLIPMELALKIASKIRAKKRFAVYIVIPMWPEGNPSSASVQEILFWQGETMKMMYEIIAQELHYANVENSHPQDYLNFYCLGNREKYDKNEPDAVSQPPNSGTTVVSASQKSERFMVYVHAKGMVVDDEYVMLGSANINQRSMAGTRDTEIAVGAYQNHYTWAKKGKHPRGEVYGYRMSLWAEHLGIVDDCFKEPESLMCVNTVNKIAKDNWQNYTAEEFRPLQGHLLKYPIQVDSNGKVSSLPGHETFPDCGGKVLGAPTTLPNALTT >KZM98271 pep chromosome:ASM162521v1:4:22443669:22444654:-1 gene:DCAR_014367 transcript:KZM98271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYHHPYSLDSQKVRLALEERQIDYTSYHINPITGKNMDVSFFRMNPTAKLPVFQNGSHIIFNTIEIIQYIERIAAVSSGGENFIPSSSEVLEWMRKIQEWNPKYFTLAHVPVNYRLFVSKFIRRVIIARMAEAPDLATSYHSKLRTAYETEEKLRNAEVLKRSEEHLVKLLDEVETKLSATLYLAGEEFNLADVMLIPLLARLVLLKLEDKYINNRPRILEYWHLVQQRASYKKVIGMYFSGWRKQKTLVKTWCFLRFRSLLKRY >KZM97768 pep chromosome:ASM162521v1:4:17635502:17636631:1 gene:DCAR_014870 transcript:KZM97768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNYWLHKSLGMFDGVMRSSFNTLNCPQVHVRNVNKRRALRKTRTDSSLAIQVSSTVLQTEEGGESTKLSQVPGSFLSGERCRIPVGIIGGVSVVSTLIFLEKLVWWSSRSGGESASFIVCNDPAITRLRHPSTDSDQKGIDHYDRYSVIENLRQKRVFLEQSGVRCIVMPCHVSHLWHREVSLGCSVPFLNIGDCVARELKEAKFRPLEAGSCVQIGVLANSSSSTAAFYKKKLQSQGFEVVLPDNPTMEHAVLPAIEALQKKDVEGAQNLLRIAIHLLLMSAVNTIILAADELRNILPPNDPLLKRCVDPMDALVRSTISRGRTSQKAVYHKKN >KZM99186 pep chromosome:ASM162521v1:4:30053475:30053675:-1 gene:DCAR_013452 transcript:KZM99186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDISKGSEICEQVLNLPGSFLMSVEDVFVEYDDAQTAGSEDIKRKDVGSTVTLNGYVIKELEDDF >KZM97676 pep chromosome:ASM162521v1:4:16777861:16784206:-1 gene:DCAR_014962 transcript:KZM97676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSDCFSLIDENDSLISPDADDAADLTHLHSGFLDADEISTIIDGAEKGKKQLLPGIDEDISRSSESISTLASDNLALESLENELFKDIRASIQKSSISASNRKAAPKETDKLVKRSVKKEDITSRNGIATNVTSIRQSRGMLGSARISKKDSSSALVKQAGFRNGKSSSSLPQLPKVIPKPEAMPAVTTKRAVLGANRIKTETNKSKVGGKVQVHVPVTTGLDNPRRSVSRPASLQKAPGLASSNAAKKEVGRSSSSSNNSNSTFTEKIQKSTSISGTTKKPSKPIIQASSKIPLSRKAPSKSGLPATLTSSKISSSISPSSSISEWSSASSRTSIDTNSSRRSMDSETPSIMCSDVQSNDGILDGILNQFKESPSQDMKINNAQTKVVSRSLMMKPSGLRMPSPKIGFFDGAKSMVHTPNACRESQLRVPTGLPKIGAAIRSPVGRSKKAEGNMPSGKKTISGANTVLNTIKSASPRPSQESSRASMEGHGDSKSVSSLNISSEVGSSNKGTGKPWLEMTSTKIELPGQQSNSPKAFQESASSGMSSEQTQLHLPAGLPKIIAGIQSSSPSSKIEGSILAGKKATAGANTVLDTPNAADPEPLRALTMKVHGNHRSSLGPDISSEARSLDEGTGKLWSKMTLTSINTEVESQNLNSLKPFQGSASVGLSPEKSQSCLPIVLPNTEALISNPVGSSKKEEKIMTGEKATSIAIAVLDTSIPASPTPSQESSRDMTCHGDSKSVSSPNLSSGIRSFNKGTGKPWPEMTFASANIEFEDQNSDSAVPFPESAFSGLSQEQSQSHLPICSPKLRAAIDSPVGSSKKAEGKIPTSEKTTEGANAVLYESESAASEASQELSRDSVKDHGKHRNLVSMGISSEVTSTNIELEGQISDPSKLGQDNASFGMSPEQQLCLPTCLPKTGASIHSPVGKKAEGAIAVLDKSESATPEQSQELSRELMDHGDHINIVSIDISSEMTSSDDVTREPCSEMISTITNKELEGQKVDSPKLSHESASFGMSPEHQSCLSTGSPKIKAPRHSPVGILKKAEGNTPTGTQTTEGANTVLDKSESATPETLQESTGELMKGLGDHRSVLSVDISSEVTNSVEAARNPCSEIKLASTKSELESQKADSPQLFHESASFGMSPGQPTGLPKIRNAIHSPVGSSKKAEGRSPVGTKTPEGANTALEKLESATSELSQESTGDLMKDHGNHRSLLSLDISSEVMSSVEVTRIPCSEMALTSTNIELEGQNSYFPEPFQKNGFGLSPEQELCLPTGLPKTGAASCNTVGSLKKGEFLAGEKATVGATVLHTPKPTPEPSQESLSALMEGNGDPWKLNTNESSEARSPNMGSGKPWSKITLTSTNIELEGQKADSPVPFGQSASPGVSPEILSETDRSNCGMAEDVKIRKHQSAEWANMSDEENNENLLFII >KZM98974 pep chromosome:ASM162521v1:4:28437892:28439451:1 gene:DCAR_013664 transcript:KZM98974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVNYKGVEKLFSPEELSSMVLIKMKEIAENFLGKKIKDAVVTVPAHFNDSQRQATKDAGTIAGLNVLRILVEPTAAAVAYGLDQKLTNTLTGEKIVLIFDLGGGTFDVSLLKIKKDIFEVLATAGDTHLGGEDFDNRMVNHFVEEIKMKHNKDISVNAKALRRLRNHCERAKRILSCNAMTTIDIDSLYEGIDYTGKISRAKFEDLNMDMFRSCLDIVEKCLEDGGMEKSRVHDVVLVGGSTRIPKVQQLLQEFFDGNELCKSINPDEAVACGAAIQAAVLSGATENIIRDIVLLDVSPLSLGVDMEGEVMSIIIPRNTTIPTSKQSHYNNSCDYQKSILFKVYEGERPCSKDNNLLGELRLNGIPSGLRDEVKASVTFDIDLNGVLHVTAECKINGVKTNATITNDKGRLAANEIERMTRDAETYRAEDELFMKNIKAMNAYEDYAYNMRSAIRAAGNLNSATKKKAENAIKEAIQWVNANRSAEAAEYNSRKSDLGAFFNSMIPSHTGIKIEEVE >KZM96867 pep chromosome:ASM162521v1:4:7984585:7984743:1 gene:DCAR_015771 transcript:KZM96867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIAPLRCDGCRNIAPGIKQHRPNRSQERKRSTTISTSIRPKNLCNSYTFAR >KZM98691 pep chromosome:ASM162521v1:4:26164202:26167304:1 gene:DCAR_013947 transcript:KZM98691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTGVEVEDAKRLEVIEEEEEETGTPVDQAAFMIELENYKLKGVLLEYERHKSQNIKPRLDLPSVPEPLAAGNVPNGYQTTGSGRATRDAATHAMQGWHAQHPYGYEEIAQPNIKVH >KZM99641 pep chromosome:ASM162521v1:4:33651853:33653970:-1 gene:DCAR_012997 transcript:KZM99641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGLQENGNGEMGLVSGAKNKYKRMDSELTDFDDDIDEATQHHHIQLERSKTTRKYVFACAVFASLNSVLLGYEIGEVLGFDKLVHAFKTQKGFLAYVGVMSGAILFIQEDLKITEVQQEILVGSLSVVSIFGSLLGGRASDSLGRKWTMGLAAVIFQAGAAIMTFANSFEVLMLGRLLAGIGIGFGVMIAPVYIAEISPSIARGSFTSFPEIFINLGILLGYVSNYAFSGLPAHTNWRIMLAVGILPSIFIAGALFIIPESPRWLIMQNRTDEAKLVLINTNETDAEVEERLAEIQTAAGTTNGEKYEEKAVWRELLSPSPALRRMLITGFGIQCFQQITGIDATVYYSPEILKSAGIEDNTKVLAATVAVGVSKTVFILVAIILIDRVGRKPLLYVSTIGMTICLFTLGLSLSLLGEGSGSLGIALSLVAVCGNVAFFSVGIGPVCWVLTSEIFPLRLRAQAAALGAVGNRVCSGLIAMSFLSVSRAITVGGTFFIFSVISALSVVFVYTLVPETKGKSLEQIELLFHKEHDRQRGEVELGDVEHLVASK >KZM97662 pep chromosome:ASM162521v1:4:16640758:16641544:1 gene:DCAR_014976 transcript:KZM97662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLWFQHLILHHLPDPILVPESVSSESFTSSSSCTSQDYALSDQSPLTHQQSISSEVEEREKIIELVLKQTRPSRTNRSKTRSHSSRHSRSKRYTGSVMRLQKTMSCKTLEELEVEEVKGFIELGFSFDSQNMCPRMMSVLPGLQRIGEACSYEELIDQELAGAGDGNEEKSEAGAYRRPYLSEAWLIRRPDSPLLNLRIPRNSKAEDMKKHLKSWARTVASVVHQES >KZM98871 pep chromosome:ASM162521v1:4:27526143:27528395:1 gene:DCAR_013767 transcript:KZM98871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEHNLLPEDRLKDDDGHIVRTGTIWSTMAHIITAVIGSGVLSLAWSTAQLGWIGGPVALLCFAVVTFVSSSLLADCYRSPDSVTGVRNPSYMDAVRVNLGRKQTWICGLLQYVSMYGTGVAYVITTATCMRAIQKSNCYHKEGRDSPCDFGVHIYMLAFGGIQMVMSQIPDFHNMAWVSIVAAIMSFSYALIGLALGFAEVIEHGMIKGSIRGVPADTTAEKLFLMFQALGDIAFAYPYALILLEIQDTIKSPPPENQTMKKASVSAIIVTTIFYLGCGCFGYAAFGNDTPGNLLTGFGFYEPFWLVDFANACIIIHLIGGYQVFSQPVFAFAERWFNDKFPNNDFMTKFYDLKLPFLPAFQLSLFRICFRSVYVVTTTGIGMVFPYFNEILGVLGALNLWPLAVYFPVEMYLLQKKIGAWTTKWVALQCFSMVCLLVTIVALIGSVQGLIRARTS >KZM97901 pep chromosome:ASM162521v1:4:19244824:19266177:-1 gene:DCAR_014737 transcript:KZM97901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASEKDEVPMLSSTYMQMDENEKHQFTRSTTRMRSASISIPMPSLDSSDKANTFIGHTGPLRSERKIPYIQMSGPLYAKRGNDTLFEQRATGSKATEATFDKYPSIKGVDHNDWPDRNRERTNEHLLKSGPLGMCSDPYCTTCPTYFEGNRKNVKTSSVFDQKDNKCIVFHATFTKVLISLRSLTDFIYLLHMLLQFRLAYVAPETRVVGSGDLVDHPKKIALNYLSGYFLIDFFVVLPLPQIIIWLVLKKFKGSSEANFAKNFLRAAILIQYIPRLYRILPMLAGQSASGFIFESAWANFVINLLTFVLSGHVVGSCWYLFGLQRVNQCLRDACVEDWCIRFIDCGRGNENETFSNDPKWTDWTTNATAGACFYDESFTYGIYKQAVNLTTQPDLKTRYIYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPERHRRLIRESERYNWSATRGVNETMLLENLPEDLQRDIRRHLFKFVQKVRIFSMMEEPILDAMREKLKTKTYVKESRILVRGGLIDKMVFIVRGKLVSIGKDMIRVPLLEGDVCGEELLRRCLEHSSVNRDEERIRIPGHKLQSDREVICETNVEAFTLRAADLEEVTSLFSRFLRNPRVQGALRYESPYWRGLAAARIQVAWRYRKKRLSRATTADSDINNY >KZM98149 pep chromosome:ASM162521v1:4:21473029:21476923:1 gene:DCAR_014489 transcript:KZM98149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSILVSVYTSMIESVVFCPCFGRKRGLKLKSQDQEDDVNDDVTVESPQYSPAQNSRSRTSNDRSVPAIIGLEIKQKINSEPDLELSIVENDIKAKSDAQIFTFRELATATKNFRNESIVGEGGFGPVYRGKLEKSGQVVAIKKLNEKGVQGDKEFLVEILMLSLLRHPNLVNLIGYCAEGDQRLVVYEFLPLGSLDSHLHDLEPDMEPLNWDTRMRIAYGAAKGLDYLHNDAKPQVIYRDLKSSNILLGEEYHPKLSDFGLAKFGPCDGNTHVSTRVMGTHGYCAPEYGISGKLTKRSDVFSFGVVLLEIITGRKALDTTQPRERQLIVQWALPLLRDRRDFVKLADPRLKGQFPRSSVRRAVEVALMCVQEESRARPGMREVVQALDYLLCKKYEHEGSRTNSPGSDGLRTAESEPQRNMKTVGSVKSSEDVEAEKLNKERERQRAVAEAKMWGETWREKRQQYVENQFDLSSR >KZM97065 pep chromosome:ASM162521v1:4:10341753:10345037:-1 gene:DCAR_015573 transcript:KZM97065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPWSRDVTKRLKNKMEYKALDQNYDHPRTTLDASEDSSEDTDKKEDYGGVEQSVVSKELDCNRRVGDDDRKKGKSKSFKLSELLHATENFSMENLLGEGGFGKVFKGKLKETGELLAIKKLDSDGSQGIREFVVEMMTLSLVDHPNLVKLVGYCVEAGQKLLVYEYMSLGSLEGHLHGPNRKGLDWNIRMKIAAGAARGLEYLHDKMNPPIIYRDLKCSNLLLGEDYHPKLSDFGLAKVGPTGNNTHVSTRVMGTYGYCAPEYAMTGQLTFKSDIYSFGVFLLEIITGRRAIDKRKSAKEQNLVAWARPLLKNRENIYHMVDPALQGRYPAKSLYKAFATALICVQEQPSKRPRVSDVVKALDHIVSQTYTPQSHPS >KZM96966 pep chromosome:ASM162521v1:4:9279018:9283697:1 gene:DCAR_015672 transcript:KZM96966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKQIGVIRIRVIVLTIGWIIFLSSYFSVHFLLKGQEKLRKNLERSLVELICSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRTEAKDREIVARKLREHVEGADNNPLLIFPEGTCVNNHYSVMFKKGAFELGSTVCPIAIKYNKIFVDAFWNSRKQSFTKHLLQLMTSWAVVCDVWYLEPQNLKPGETPIEFAERVRNIISTRAGLKMVPWDGYLKYSRPSPKHREQKQKSFAESVLRRLEQK >KZM97872 pep chromosome:ASM162521v1:4:18837006:18838479:1 gene:DCAR_014766 transcript:KZM97872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNDPTEKPITKYFTPHDLWLSFEKWSAYGAKTKVIVNREVVDQFFVPSLSAIQIFTNKPYESVRNRGKEISFSSLYSNHMDMSFQTDDYYLKPYFEFAEKVSPYNRTPLFDTVKNLSKSNPGLQTLTSIDLTPSSWMCVAWYPICHIPYIGIPFKDFEAAFLTFHSISSFYQEFPEEDVQMGCNYEHSSISLPPFGCVTYKVEGDIWLNHGDLDFGRLYHLNKAADSWTNQLGFEHHDFSFFTRQSGHYLVSSEPNAKPSAQNSYSFYRFL >KZM97942 pep chromosome:ASM162521v1:4:19642899:19645695:1 gene:DCAR_014696 transcript:KZM97942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYIRTGSFKRLFSSKRQSFDNKEDEEGMAKMCSLSNDQQENNESFNSSTGSPKSRRYSRTSSFRRLFSIKPRSSDYSNDQEEEYNDGNFQRRTWKNFTFQQVFRATNGFASGGYAEVYKGVLEDGQEIAVKRLTKVSNDERKEKEFLTEIGTLGHVNHPNVLSLLGCCIDNGLYLVFQFSSRGSVASLIHDENSPTMDWKSRYKICVETALGLHYLHKVCPRRIIHRDIKTSNVLVTADFRPQISDFGLAKWLPSQWTHHSIVPIEGTFGHLAPEYFMHGFVDEKTDVFAFGVLLLEIISGKKPVDGSHQSLHSWAKPILNQGDNGKLVDPRLEGAYNTKQLNRLAFAASLCIRKSSKWRPIMSEVLQVMLMEGEIDKERWKIPKEEEEDEVWSLEDLECECDSAFSSLSLTN >KZM96786 pep chromosome:ASM162521v1:4:6303853:6304258:1 gene:DCAR_015852 transcript:KZM96786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQVEERGGDDAVTAMGMGEKEGERSGNGSWLXKRRWRNRGGGTEEQGRGCWREASIHVVVGHKITGGYSRACIEGGSSRVSDERMEPQVEEREAATTP >KZM97060 pep chromosome:ASM162521v1:4:10204976:10209188:1 gene:DCAR_015578 transcript:KZM97060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNGKLSREKFGVCVGMGESRDFAVELFDTLARRRDVNTENGIDLEQLKLFWEDIALQDSDTRLSIFFDMCDKNGDGRLSEEEVKEVLIMSASANKLGTFKKHAGRYAAVIMEELDPDHLGYIEMWQLEAILRGGLANQEGGNSGGAAMKKSQSLARTMIPTRYRGAIGKYGSLALEKTYENWQKIWVMLLWLYVNAQLFAWKFQEYKKKPSFLVCSYCVCFAKGAAETLKFNMALILFPVCRRTLTKIRESFLGTFIPFDENINFHKIIALGVAIGVAVHTGFHLTCNLVRMSSCSRSLFMSTLGPSFDYKQPSYMDIVMTIPAGFNAFWYSHHLLAVVYILFYIHGTMLIIGKEKTTWMYITVPILCYATERLLVLRDLNFDVQIIKAIIYTGNVLALYMSKPPSFKYQSGMYLFVKCPDISPFEWHPFSITSAPGDDYLSIHIRTLGDWTTELKDRFAKVCEVKDAKPRQGNLVRMETRSNVRSASLASRSSAAECVPKSGPLQIEPESSSDQDFPTIIIKGPYGAPAQNYKKYDVLLLIGLGIGATPFISILKDLLNNIGDYKKQDFDEEADAKIPDRAYFYWVTREQGSFEWFKGVMDDVAEQDVNHIIEMHNYLTSVYEEGDARSALITMVQSLERAKKGVDVVSNSKIITHFARPNWRKVFTDLATTHDKHIIGVFYCGSPTLTKPLRELCEEFSLETSSRFEFHKENF >KZM99773 pep chromosome:ASM162521v1:4:34711076:34715068:1 gene:DCAR_012865 transcript:KZM99773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTHMNRQAVIESVTIHLLISSSLLADVEYLLRDYAGLVDLKHINPRFFWIRIKLPRKERLLSVYLTILLRNVERQRSNIINIILPLLEACNDKSPDVRQEYVQGLVDLRSRIMLVVEAFTFASPGLVHILSCIKYTLQVNQISLPDFVPTALSKSQRHKVLSKHCRRLDGAEYQASDLSLLIENTSVEHFGLARNITITKDSTTIIDDAASKDEIQARIAQIKKELSETDSVYDSEKLAKRIAKLSGGVTVIKAKLEDAEERCGADIVQKVVSIVSCVSGDGNLIPELRDDLKEVGVDVRLVRHTVQMPDGIVGMRGAAAPPVGL >KZM97331 pep chromosome:ASM162521v1:4:13630312:13631148:1 gene:DCAR_015307 transcript:KZM97331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNIVKVDGHKHRIDELQIQVDKIEKRVNEVQHFYRTTSKNQLSTVKDHPARKDKDKDKHIPGMKRQQQDASRRDAAAAKRMQELMRQFGTIFRQAIP >KZM97669 pep chromosome:ASM162521v1:4:16730072:16730569:-1 gene:DCAR_014969 transcript:KZM97669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSTRNDINLCFVFLLLAVTPCLSAGRLDPAAALYDIDYHDPHTSPPGSPPSNTRLYDIDYHDPHTSPPGGPPPNAKANPHVKEVHG >KZM98096 pep chromosome:ASM162521v1:4:21063692:21072762:1 gene:DCAR_014542 transcript:KZM98096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLKRCRLTESDDEDSETRKKRKLSGYYPLHLLGEIAVGIIPLKEYDKPIYPPLLVNGDCETEKIEEWCTDVSILNKFDSKSEDTVGEEDEDEDEEEEREEEYVKNDRLDRVVIEAPPKPPLVRTSRGRIQVLPSRFNDSVLDNWKKEKSTVTVRELDSDPEFNPKGRSNSSRNQRPTVKCRNQDGKFVSKHVPLLIKEEAETTEEETEEDELQPRSVRRKYGSSSGRDKISSMKEVNGSMVNDNVKKKSWEDDFAPGDIVWAMSGNNYPAWPAIVLDPLTQAPQQVLSFRIDGTVCVMFFGYSGNGTQRDYAWIKNGMIFPFVEHVDRFQGQTELNDSKPGYCHMFGGGRHILPKPSFMLQVAEYHQRSLVPVNSQKRPSQKARKQKLLDFLQGGALKPTDVKPLWVHITCAWFQPEVSFASDEKMEPALGILRIPSNSFVKICVICKQIHGSCTKCSKCSTYYHAMCASRAGAPDPDTVLIIQTPSGVFSTKSLLQNKKKNGSRLISNRLKLQESPSVEPIDVEPFSAARCRVFTRLNNKKIEEPIAHRVAGPTRHSLVSIQKLNSTRNIAEPEPFSTFRERLRHLQARKTENDRVCFGRSGIHGWGLFARRDIPEGEMVLEYRGEQVRGSVADLREARYRIEGKDCYLFKISEEVVVDATDKGNIARLINHSCAPNCYARIMSVGADESRIVLIAKTNVSAGDELTYDYLFDPDECEEVRVPCLCKSSNCRKFMN >KZM98589 pep chromosome:ASM162521v1:4:25259515:25265316:-1 gene:DCAR_014049 transcript:KZM98589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRDSNEEKGLLWKLPAVNSKNLGKLGPAFGIGVGCGVGFGVGLMGGYRFCRVSKVLNPSGSHDVGLYRSQTQDSVVNGFGFISVISALLGFGLGAGCGIGVGFGYGVGRGVAHDEYRKYTNVGKIFHRPGHLPIQDEIGTLVDDLVVNTKKLIRATSKEILHLPATHQNQTMASLAASTAAASIGVSEMLGNTLNFSSRTAPSASSPATFKTVALFGKKAAPPPKKAVVAAPESDELAKWYGPERRIFLPEGLLDRSEIPEYLNGEVPGDYGYDPFGLGKKPEDFAKYQAYELIHARWAMLGAAGFIIPEAFNKYGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVLAVVAEVVLLGGAEYYRITNGLDLEDKLHPGGPFDPLGLADDPDQAALLKVKEIKNGRLAMFAMLGFFIQAYVTGEGPVENLSAHLSDPFGNNLLTVIGGAAERAPTL >KZM99801 pep chromosome:ASM162521v1:4:34974086:34980108:-1 gene:DCAR_012837 transcript:KZM99801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSLQIHLRGQIGPKRLTATKRKKPFLTPSAPPALLSTATFPSLHNFFTVCFPLRERENTKHTVASSLCERESPEEESSDQVSDSSDNRLEVTEMAGPEADRNRHRGGATAAAPPRSRVSLRLLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWSSIIWLCGPLSGLLVQPIVGHMSDQCTSKYGRRRPFIVAGGTAIILAVIIIAHSADIGGLLGDTADNKTMAIVAFVIGFWLLDVANNMTQGPCRALLADLTGNDARRTRVANAYFSLFMAIGNVLGYATGAYSGWYKVFPFSLTSSCTINCANLKSAFYIDIIFIIITTYISISAAKERPRISSQDGPQFSEDGTAQSGHIEEAFLWELFGTFRLLPGSVWVILLVTCLNWIGWFPFILFDTDWMGREIYGGEPNQGQSYSDGVRMGAFGLMMNSVVLGITSVLMEKLCRIWGSGFMWGLSNILMTICFFAMLLITFIAKNMDYGTNPPPNGIVISALIVFAILGIPLAITYSVPYALVSTRIESLGLGQGLSMGVLNLAIVVPQVIVSLGSGPWDQLFGGGNSPAFVVAALSAFAAGLIALIAIRRPRVDKSRLHH >KZM98831 pep chromosome:ASM162521v1:4:27221572:27228046:1 gene:DCAR_013807 transcript:KZM98831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIASLASLGCTCSSAQFEGSLSFSSRICFSRNSCSFREVRFGKKWRYECICKCSITTECIAQENSVSLDTTFRGSNVDESDLVRNASPRHVLESGPKAELLLGINGVKWDRLKPNWEDEKLKVEEEERNMVIESLGEVLEKAEKLETSKKAVTSARRPPTFTGANQRNAPVTRRTVKKSKTLKSVWRKGNPVATVIKVVKKQPKNGDVDKGEIITKTVVRVESPLAAPFRPDQSSLKVQPELQVRPSAAPKPIIERPILKDGAATALSAVGATSAATTKEHKPILIDRFASKKSAVDPLIAESVLAPPKPKKASSSGRFRDDFSRKTGLSGGSRRRMAKNDIPDEEASELDGFIHGVVTSRKGRKWSKASRKAARLQAARDAEPVKAEILEVEEDGMLTMELAYNLAVSEGEILGFLYSKGIKPDGVQTLDKDIVKMVCKEYDVEVIDAAPIRVEEMAKRKEILDEDDLDKLEQRPPVLTIMGHVDHGKTTLLDHIRNTKVAASEAGGITQRIGAYKVQVPIDGGFQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVVAINKIDKDGANPERVMQELSSIGMIPEDWGGDIPMVQISALKGDNVDDLLETVMLVAELQELKANPHRNAKGTVVEAGLDKCKGPVATFIVQNGTLKKGDIIVSGEAFGKVRALYDDGGKLVSEAGPSFPIQVIGLNNVPFAGDEFEVVGALDIARERAESRADSIRLERISAKAGDGKVTLSSFASAVSSRTHTGLDLHQLVIIMKVDLQGSTEAITQALQVLPQDNVTIKFLLQATGDISKSDVDLAVASKAICFGFNVRAAGSVKSYADNKGVEIRLYKVIYELVDNVRTAMEGLLDTVEDQIPIGSVEVRAVFSGGSGRFAGCMVTEGKVAKDCGIRVCRKGKTVIVGALNSLRRIKETVREVSAGLECGIGIDGFNDWEAGDTLEAFNTVQKHRTLEEASASMATVLEELGIEG >KZM98208 pep chromosome:ASM162521v1:4:21935873:21937753:1 gene:DCAR_014430 transcript:KZM98208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFCIHTRHYSSYISFNLNPAKTPSTATPSVSDLLSLCKSGDLFDALKLLNSIDSRTILVKPLVYASILQTCTKVQSLKHGLQLHCHVIKSGLETDRFVGNSLLALYFKLGSNFDETRRVFDGLYVKDVVTWTSMISGYIKVGKARNSLDLYWRMVGEDVEPNGFTLSSVIKACSELGELNLGRGFHGVVFRRGFDGNNVIVSALIDMYGKNKQASYAIRLFGEMVEPDCICCTSVISACTRSDLYEKALGFFYMTQRNYPFVPDEYTFGSVLMACGNLGRIKQGKEVHAKVIVVGETNNVVVGSSLVDMYGKCGSVTNSRLVFDRMTRNNAVTLSALLNAYCQNGDFEIVIELFREMEVDLYSFGTVLRSCAGLAAVRQAKEVHCQYLRRGALLGACATSRNSTAAERIAKKMMELEPNNHLSYVLLANVYRDSGRWSDADKIRKLMQDRGVKKIMPGKSWIDIQGSLSLSSDKAYVKFPG >KZM99114 pep chromosome:ASM162521v1:4:29520207:29520434:1 gene:DCAR_013524 transcript:KZM99114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLLRLDGWINNALQQHSFLENFEFEGHSNSIKRTKLKRTPALFEFQHMICLAGDDEEKVDTILFGLQKISTTI >KZM97179 pep chromosome:ASM162521v1:4:11965376:11966158:-1 gene:DCAR_015459 transcript:KZM97179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSDESLFNASLLTLFIMGPPTFIACQFLTAPYGKHHRKGWGPTISPPLAWFLMESPTLWLTLFIFPFGKNYHDPKAHILISPFLFHYFHRTVIYPLRQYLKIRRRKTASGFPVSVAGMAFGFNVLNAYLQARWVSHYAEFEGDEWFWWRFAGGLVVFVSGMAVNVRSDMVLLGLKSEGGGYKIPRGGLFEWVSCPNYFGEIVEWAGWAMMSGSRVGFGFFAYTCANLVPRAGANHRWYLEKFGEDYPKKRKAVIPFVY >KZM99351 pep chromosome:ASM162521v1:4:31313611:31315220:1 gene:DCAR_013287 transcript:KZM99351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGSHGSSSPAPFLTKTYEMIEDPVTDSVTPNSSGTQEDELEKSDTDGRTSDTAMEGQQRFWWTNLNHVHNLTEQMGHLTPAERT >KZM99624 pep chromosome:ASM162521v1:4:33530530:33535993:1 gene:DCAR_013014 transcript:KZM99624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGNNLFYPIVGFASCVAFIYMSFGDLWVDIHGEAEQPLSFVERNGTHFMLDGRIFYINGWNSYWFMDHAVNSYSKPRIKQMLQAGAKMGLTVCRTWAFNDGDYNALQISPGQFDEQVFRALDYVIAEAKQQKIRLLLAIVNNLQAYGGKTQYVKWAWEEGLGLSASNDSFFYDPSIRRYFKHYIKPLSFVERNGTHFMLDGRIFYINGWNSYWFMDHAVNSYSKPRIKQMLQAGAKMGLTVCRTWAFNDGDYNALQISPGQFDEQVFRALDYVIAEAKQQKIRLLLAIVNNLQAYGGKTQYVKWAWEEGLGLSASNDSFFYDPSIRRYFKHYIKTVLTRKNTITGIEYRDDPTIFGWELINEPRCITDASGDTLQEWIEEMSSFVKAIDKKHLLTVGLEGFYGPKSPKNSTQNPEFWAADLGTDFIRNSMPSTIDFASVHIYPDHWTHVEDLEYKLNFVSRWMRSHIEDGDKELKKPVMFTEFGLSSQNKGFNPAQRDRFYKIVFDLIYESAKKKGSGAGSFAWQFFVGGMEEYNDEFGIVPWERRSTYKLITEQSCRLARIGGALPWQSEYLKKVC >KZM97841 pep chromosome:ASM162521v1:4:18279848:18280822:1 gene:DCAR_014797 transcript:KZM97841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNIDSFNVRQVIGDVIDITSSCTNMTVYINGRLIVNGCSIMSSLATDAPRIRFQGQAGHLYTLLLTDPDMPSPSNPTQKEYIHWIVTDIPGSGNINEGNVILPYAPPTPARGYHRYILMMFEQAMPLGLLPPPLTRSHFNSKFFAFAHQLGVPKAVAYFWAKKETSQRRPEA >KZM97790 pep chromosome:ASM162521v1:4:17869980:17871932:1 gene:DCAR_014848 transcript:KZM97790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVGKLDRCFAGDVSGRHHNISDPLDEGLGHSFCYIHPDSSKAYSDEFSVPFRMISGASISANTFTPLTTTLIDPFSTCTNSGSLDKASSFESSHSFASVPLQPVPGKSGPIDGGFLSGPIERSSILSLERNHGDDQLSKRGCKFHKCCLISKSLKKAMLKSNLKLSRGKKVICWPDGNSNETVMDASVGDHDEGGEEFSENRKVEWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDATDFLLSNLYSNVYKELKGLLWNDKPGSAADGAPNGSVKHSDVLKALSEALRKAEESFLEITDTMVTDNPELALMGSCVLVMLMKGDDVYLMNVGDSRAVLAQKQPHLERIVEEDSNDGENNKIGAFNRVSSLAASQLTFDHCTSVQEEVERIKSEHMDDSSAIMKNRVKGSLKVTRAFGAGFLKQYFTNEEAVAEVEVFNFLFPEGDPAQHLVEEVLIRAAEKAGLKFHELLNIPQGERRKYHDDVSVVIISFEGRVWRSSL >KZM97386 pep chromosome:ASM162521v1:4:14143652:14143972:1 gene:DCAR_015252 transcript:KZM97386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKYIIIKLVISMLVIDSTMINIGAVLTHNNGGHGEKFSLGCIASCGFSCARTGELLPMCMAACILLNCNDSPVSDSDGVKQCSTSCAQSVCARYNGSGNALYPYK >KZM97905 pep chromosome:ASM162521v1:4:19310977:19313896:1 gene:DCAR_014733 transcript:KZM97905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVFVNFFKTGTKRKTMQELAGSSPSIKHHHLTDTTNTNWTKNIHDLCTTHRDVDSALRLLDHLRLHNYHPNSLNLSSIIHALCDAHRFSEAHQRFLMFISCAHTVPDERTCNVIIARLLSYRNPEATLVVFNRLGEIKPHFVASLCNYNRLIDQFCGVLRVRDAHRLVFEMIRRGHSPNVVSYTSLINGYCKVGEIGDAFKVFDEMCENDVRPNSLTYSVLIRGVFRKRDVDQGRVLMGKLWGVMGDEDEVSVNSLAFGNLVECLCSEGLFSEVFEIAETMPQGKNVCDDFAYGEMINTLCKYGKYHGASRITYIMRKRGFVPSFVSYNAIIHGLSKTGGCMRAYQLLEEGNGVGYVPSEYTYKVLLESLCRESDIGKARNVLEIMLKKEGVDVTRIYNIYLRALCLVNNPSELLNVLVSMLQTECKPDVITLNTVIHGFCKMEQIEEPLQVLSDMMAGKFCSPDAVTFTTIICGLLRLGRTEEALDILHNVMPENGFVPGVVTYNAVLRGLFKLDRTKEAMDLFNSMSTGSVAADSITWTIVIEGLFKSNQVDEAKSFWDDVVWPSKIHDNFVYSAILKGLCNAGKFDEACDFLYELVDAGVNPNAVSYNILMDAACKLGMKKEAYRIVGEMKKNGLTPDAVSWRILDKLHGNDHDEIKRVFDRFDTNSDGQLSSDELAEVLKNLGTAPSPEEIARMMEEIDTDKDGFISFDEFERFYSAGSDNGEGEMREAFEMYDINKNGLISSSELHQILTKIGEKCTEQDCVNMITSVDADGDGFVNFEEFKTMMSRAN >KZM98114 pep chromosome:ASM162521v1:4:21197951:21198427:1 gene:DCAR_014524 transcript:KZM98114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARLSSLVKRATQLTTTPFHSLAISPQPHHLSAQSHLTTSFPPTSRQALQTHFFSTTSEKRNIQDHKRRLLAAKYELRRKLYKSLSKDPELSSEMRAKHRYKLSKLPRNSSFVRLRNRCVFTGRARGVYQFFRMSRLVFRDSANKGALMGVTKASW >KZM98782 pep chromosome:ASM162521v1:4:26857685:26858125:-1 gene:DCAR_013856 transcript:KZM98782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCSKIRHIVKLRQLIRRWRKKAALTSRRIPSDVPSGHVAVSVGANRTRFVVRATYLNHPVFKKLLVQAEEEYGFNNSGPLLIPCDEYVFEDILRCISGRSDSRISGCCFTSIEEFQRYCHVGLRSKNNVDFWAESRPLLNIGFR >KZM99153 pep chromosome:ASM162521v1:4:29808004:29816942:1 gene:DCAR_013485 transcript:KZM99153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTSCSLGTLNLNFYTRPKGIISSNSITILGSKAKASSLNHCFMCRQNRLFPQKGFVGDTFKTFNSSNDGNNSVSDDGEDGKLNKDSNLATVESSKVEQEERDNGIKEDKASVSFSSGPPNFVGPSYNSFQVDSFKLMELLGPEKVDPADVKLIKEKLFGYSTFWVTKEEPFGDLGEGVLFLGNLRGNREEVFAKLQSQLAEIMGDKYNLFMVEEPNSEGPDPRGGPRISFGMLRKDVSEPGPTTLWQYVIALLLFLLTIGSSVELGIASQINRLPPEIVKYFTDPDAIEPPDMQLLFPFVESALPLAYGVLGIQIFHEVGHFLAAFPKNVKLSIPFFIPNITLGSFGAITQFKSILPDRKTQVDISLAGPFAGAVLSFSMFAVGLLLSSNPDAARDMVQVPSMLFQGSLLLGLISRASLGYATMHAATVPIHPLVIAGWCGLTTSALNMLPIGCLDGGRAVQGAFGKNSLVGFGLATYCLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSEVGTWRQTVLAIFIFLVALTLLPVWDELAEELGIELASISSTCKTLNSIAKSITTARISDASRTFENLPIPFVNTVDDQPYAYFNYTPTQTLAFNPSFSGRQPWGGNRVTRPGLFVDTVVVRDACGCGCESAPELSRECGPSCKCELECGNRLTQKGIDVKVKIVKDERKGWGLFSNQVIKQGNFVCELLTTKEARLRQQTYDKLAQGGKFFPALLVVREHLPSGNACMRINIDATRVGNFARFINHSCDGGNLSTVLVRSSGALLPRLCFFASKDILEGEELTFSYGDIRLNKKGLQCFCGSSCCVGILPSEQT >KZM97095 pep chromosome:ASM162521v1:4:10733255:10735098:-1 gene:DCAR_015543 transcript:KZM97095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAAFILVGILVVFVAMEAVTVLGQGSGNYDDQNAETGIDGSSNAGGNEEVQSDDQNVSGGNGNGNGNGNGNEGSGNGNGNGNGNGNGGGGNGNGNGNGNGNGGGGNGNGNGNGNGNGGNGNGNGNGNGNGGSGNGNGNGNGNGGSGNGNGNGNGNGNGLDGDGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNSGSGNGNGNGNGNGNGNGDGNGNGNGDGNDNNNDDENNNGNDNDDNKNGNNDASNKYDVKKPEPGSRKEQAVCKSKGSCYNTTLTCPSQCPERKPKNKKNKGCFIDCNTKCEATCRNRKPNCDGYGSVCYDPRFVGGDGVMFYFHGAKGRDFAIVSDTNLQINAHFIGTRPIGRRRDFTWVQALSVMFDSHTLVLAAKRVSKWDDNVESLIVRWDGEDVNIPTDGEAEWRINSQQKEVVIERTDDTNTVKLSVDGLVEIDVKVTPIGEKENNVHNYQLPSDDAFAHLETQFKFFSLSDRVEGVLGKTYRTDYISPVKRGVLMPLMGGEDKYRTPSLYSPLCKVCLFQRQSAPSAAFSIM >KZM98614 pep chromosome:ASM162521v1:4:25478126:25480395:1 gene:DCAR_014024 transcript:KZM98614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLILGIGRAFRRKRASSLDILTSKRGPRDYYKGKNCKPTGFHTRKGGYVVVQEKLPNYVVPDLTDFKLKPYVSQCAVQGQTSGAADAAK >KZM97181 pep chromosome:ASM162521v1:4:11985519:11987396:1 gene:DCAR_015457 transcript:KZM97181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTTDPPVAQPPRSSFSCDAHPQEQFTGFCPSCLCERLHTLDQNDVALPSSSTSRRPSFSSIKSILKLTTNNNYNNNDTSKNVKPAKPSSGFFPELRRSKSFSASKNEKFSGVFEPQRHSCDVRGRNTLWTLFSLDDEAKVVEAKEGHSLISGEMKGSFPEENEGRVEENEGIEEEDDGIEEITEIRAIREPVICENVIEEGFSNEGLVKIKDHLDLENDSQVKKGSSGMNFWSAASGFSKKWQKWVKQKRVKKSNRSGGGSGLATLPVEKPISRQFRETQSEIADYGFGRRSCDTDPRFSLDAGRMSFDDGRYSFDEPRASWDGYLLGRSFSRLPPMVEDVAVVHVPRIDANIPVVEEDTSRSSGGNGVDENVPGGSVQTRDYYLDSSCKRRKSLDRSSSIRKTAASVVAEIEDMKVVSNAKVSPATIDYVCGGKVVNGDRDLRDSNSNSLRDDCSETFELGASVIENNGEAKGSKKSRRWSWNIWGFIQRRGGGNKYEDEERYNGRGNGVERSFSESWRENNRGGRGSFNRKVFRSNSSVSWRNSNGSMRKSTVESNVNGKKKKEEVVLERNRSARYSPPNHIDNGLLRFYLTPLRNSRRGKFGKSRSNNSHSMARSVLRLY >KZM98165 pep chromosome:ASM162521v1:4:21633679:21636231:1 gene:DCAR_014473 transcript:KZM98165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERPVPRRESPWGTPEGEHRQPKAHRCNDRAEDVIQACFEGNPFKTVPGPFKLFYRCMRSKPGYLYSFIAFRRI >KZM97711 pep chromosome:ASM162521v1:4:17132278:17135558:1 gene:DCAR_014927 transcript:KZM97711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAASHMMLHCAFDSCLSMSDMEIERRPYHRNCSCALHKPKDSRPAACFRHGNVAFSKKGSWSDCSITLSSPKISSQSLFLSVHLQKTEHFTFKFTNKIEGQQFMPTCDKEFIAKDNSLCLHVSNEIFARYQNHWTISHPKHNTKITETSTYKFKEKETSKIMDAVSGHMLPQYVFDSSLSMSDMDIERRPYHRNCSCALHKPKDARPRACFQHGNVAFSKIQSWIDCSMSVAATKSSSQSLFNSDLSGKNRDKDGLLLLRNKGIHGISSGR >KZM97999 pep chromosome:ASM162521v1:4:20250814:20252268:1 gene:DCAR_014639 transcript:KZM97999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCNYKETDQNSLPENYQADRCQDQVRKVLDLYKDTLERVRKDDKVKTNGKRKIGMQIYVDAAMQLKDHKKWLNVDKCVGALPGIEIGDQFQSRAELVIVGLHSKFLAGIDYKNIGGKICATSIVTSGRHGDKNHSSDVLIYGGEGGKPNPSSGKPEDQKLVRGNLALKNSINDVPVRVIRGMQNMKAPKSTLADSVNKSKFVYDGLYFVRKCWPEREHCGNLVYKFQLERIQGQQELPRWNTQNTSRKFNKATQGPVVILDISNGEENMPVRVVNAIDGQKPPAFKYTTKMAYHSQQCVDTKSSGCDCLNGCSKEILCSCIDKSDGKVSINNSVSIVKKDPVVYECGPCCKCPPDCKNRMSQHGIKLQLEIFKTIPTGWGVRSRNFISEGRFICEYVGELLQYKEEEGRIDFDESAVDAGNSNDAKTAMFGNVAKFIRHSCSPNLYAKCALFDHEDMRRPHVMLFAARNIPPRKELTFDYKLP >KZM99830 pep chromosome:ASM162521v1:4:35213672:35217578:-1 gene:DCAR_012808 transcript:KZM99830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTRKESICTSTMIEQPCSNPRCFFCILKEPKSSIRRGGIKRCFEEMPVSDNEEHVLVLSALWNIAMTHPNDPEFPTLGIFKCMANLISRGINDRAWLLAGQNIYLPYYAAHIIGSYTMNKVEFAEKAVESGVITPLIELMSGRMTWVEQRVAVRALGHLASYDQTFEAVAKHEEITKMAISLASTCLGSVFDMFVGVKDKNKRLNYHCDLLTRGIGGVELQNRKAEEWASQMQCWSIHLLNCFAVKERSIDIICTRGFLEDLCEMWGGLVNHTSPAGVGLIRVLCYTKAGRRGVAESKKVIKNLCNLSRSSDDWQYMGIDCLLSLLKDPETRHKVIEIAIFFLIDLVELRTLKERSNVGETITRTLLLDFKESNSKIKIDRVQKALQEIWVLKVDKRKKENLMSREKTEETKVMVSLIKQQGNQSFVLGKIEEAALRYTEALKICPLKFRKERTVLYSNRAQCHLLLSDCDSAISDTTRALCLSNPPNSHPKSLWRRSQAYDTKGMALESLMDCLMFVNSCCTKPTKDVKIPYYAMRMISKQMEATCIFAASRAKLTNTYVIRTHESGNENVDFIHDNGVKGKMIENKAFMSIVLNSTMHFDVKFSGSSGLINESEINDMKRRIAAAGTLTFDVVFRAYISKSFEGRWTDYLQLEMVCEHIKLFFGYSDKSRAQMLNPPRQCEAYFV >KZM98456 pep chromosome:ASM162521v1:4:24132460:24136204:-1 gene:DCAR_014182 transcript:KZM98456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDTTSIDLLKNEIPVETQSLSLTGDVKTGLVLVDLVNGFCTVGSGPLAPSAPDAQISEMVDESVRLSRFFCQKNWPVFAFLDSHHPDKPEPPYPPHCIVGTEDSELVSALQWLENEPNVTLRRKDCIDGFLGSFDKDGSNVFVNWVKTNQIKVVLVVGICTDICVLDFVCSTLSARNRGLLPPLEDVIVYSRGCSTYDLPVNISRNIKGALPHPQELMHHIGLYMAKGRGANVVSEVSFGLGVEQ >KZM98543 pep chromosome:ASM162521v1:4:24900868:24901164:-1 gene:DCAR_014095 transcript:KZM98543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKLDYKEFNLNHNHEHNLSVDLASIALMTAGSLMYVLVHGFIHRFFSGSDARRADGLHLLVPPPPPRELPPVTRSGNRVFFVQFPAPTASSRRYTI >KZM98583 pep chromosome:ASM162521v1:4:25229308:25232393:1 gene:DCAR_014055 transcript:KZM98583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGDILEAVVITDKTTGRSKGYGFVTFREPDAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGRNLRVMSSFQATGFQSGMGGAFPSATTYPHYAIPQGIPYNLYGYSPYSADYTYPTNYYGVYGGAAAQYPMYGGGGGGMITGAAAAAAAFYPYLNFGEGTTGGGTGYTGGQSYGVQYPHHQLYQYSALNSAAAGYPHHYGAPMSLATTPGMQSGVTVALPAPVPHH >KZM98554 pep chromosome:ASM162521v1:4:25001600:25002478:-1 gene:DCAR_014084 transcript:KZM98554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTNPISNPPSPTCQESETPSKQRKSPVEIASEQVLDATFLKSLLAYKVSVGPLPSFDDGLLTQQGQELLEYVNADLGSGLVVDEALLREKVLRLRSKYNQIVQERRGGPGEEDLSTDSEKLIFMLSERIWDPEYEDYGFLEVNVDQEVVVSGYRQGLQDQDNQELFLFPNSTCEDDDDISTLVNLNIYGVYDESFLPPFIDEPGQRHSLADFINSNRKNRGKVNEALLRDTVFKLQTRYKKIVEEKGKNLTDEDFSTYNEHLIFRLSRKVWGKEEEANAVREVHTVMEAM >KZM98674 pep chromosome:ASM162521v1:4:25940345:25941286:-1 gene:DCAR_013964 transcript:KZM98674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKIQLVPFISLFFLLLVPIYSKNPTAQKPQPFGFLKPLQGCRKGENLAGLRDLKQYLNKFGYLNYNSSKAHDNDEFDEFLEAAMKTYQANYNLKVTGTLDFETFSKMAMPRCGVPDVINGTNSMRRNKKRHRQNNHHSRPKKLHTVSHYNFFPGFPRWPVGKTHLTYWFDLGTTHPDAIPAFARAFAKWSSYTQYFTFEETEEYEEADITIGFESGEHGDGFSFDGQGGILAHAFAPTSGMLHCDADDLWSIGALPDYTDLETVALHEIGHLLGLDHSSVEDAIMYPTITPGTVKDLHADDVQGIKALYNI >KZM99434 pep chromosome:ASM162521v1:4:32000669:32002010:1 gene:DCAR_013204 transcript:KZM99434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDERTATTSVNTEEASDSEGGTLSRQPSDSSLCQTEDEEDLKVALGPKMSIRQHLEIDKDDESLRRWKEQLLGSVDASQEVEEPDVKILSLTILTADRRDLVLDIPESGNPDGLWFTLKEGSKYRLRFSIKVSNDIVCGLKYTNTVWKTGVKVDSSKEMLGTFSPQAEPYTHVMPEETTPSGYFARGSYTAKTKFIDDDKKCYLELNYTFDIRKEWPE >KZM97357 pep chromosome:ASM162521v1:4:13861466:13862869:1 gene:DCAR_015281 transcript:KZM97357 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MASVEKLHIVMFPWLAFGHISPYLKLAKLMAAKGHKISFISTPKNIDSLPKIPQNLAPLINLVKIPLPLVPNLPENAESTTEVPFHKVKYLKLAYDLLQEPITNFLESSTADWILCDYVSYWLRPIASRLRIRWCHYSVYTASFMGFLGPPSNMIKGDDYRVRPEDFMVKPEWVHFDTNVAMSLYQILALAPELEADEDTKNVSEAYRAGRSLEYCDMVAIRSSVEFEGDWLKLLQDMYEKPVIPVGLLPHVEESTEADNQDWIEIKDWLDKQAKGSVLLVAFGSEAKLTQAQTTELALGLELTGVPFFWAMKKQRGLSDTEAVELPEGVQDRTRGRGMIYTAWVPQIKILNHESVSALLNSSGYSSVVEAMQFGKALILLPCVYDQGIIAKHLEEKKLGFQIPRNESDGGFTRESVAESVNLVMLDEEGKIYRDKVKDMQAILCDMDKQNGYVDNLLNHLQNHKFM >KZM97244 pep chromosome:ASM162521v1:4:12737879:12743089:1 gene:DCAR_015394 transcript:KZM97244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVLNTVSSIRLSNVKTNPLRVPRLLATPSVSFARRQLTIRATETDANEVKPQVPEKAPAGSGSGFNQILGIKGAKQETDIWKIRVQLMKPVTWPPLIWGVVCGAAASGNFEWNVENVAKSIVCMMMSGPFLTGYTQMLNDWYDREIDAINEPYRPIPSGVISENEVITQIWVLLLGGLGLAGILDVWAGHKFPIMFYLALGGSFISYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSVEGDRAMGLQSLPVAFGFETAKWICVGAIDVTQLSVAGYLLGAGKPYYALALLGLIAPQVFFQFKYFLKDPVKYDVKYQASAQPFLILGLLVTALATSH >KZM99544 pep chromosome:ASM162521v1:4:32887588:32889530:1 gene:DCAR_013094 transcript:KZM99544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILLENLTVPRATVVAAASISPIVGSLSVSPISKRREVIKLPESRGLKISSRRSSGSLTFNSRGVRRSARIVAETQDTAVVVPPVTDGTWKSDVLESETPVLVEFWAPWCGPCRMIHPIIDELAVEFAGKLKCYKLNTDESPNIPTEYGVRSIPTVILFKDGEKKDAVVGAVPKATLTTSIEKFL >KZM99764 pep chromosome:ASM162521v1:4:34613043:34615774:1 gene:DCAR_012874 transcript:KZM99764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDDADLAAAAGGASIRTAVVTTGGDYSSPYPDQVLENVLENVLIFLTSRRDRNAVSLVCKSWYRAEALTRSEIYIGNCYSVSPRRAAERFRRVRSVVLKGKPRFADFSLMPPDWGAHFAPWVIEMAEAYRGLEKLYLKRMNVTDDDLAVMARSFGNFRELVLVCCEGFGTAGLAVVASKCRQLRVFDLIEAEVSDDDEVDWLSCFPESGTCLESLIFDCVEFPINFDALENLVARSRSLKKLRVNRFVNLEQLHRLMILAPQLTDLGTGSFSPQDHPIDQEPDLVSAFTACRSLVSLSGFRETVPDYLPAVYPVCANITSLNFSYANITADELKPVIRNCHKLQIFWVLDSVRDEGLQAVAATCKDLRELRVFPIDATEDNVGPVSDGCREIAQQIPRVIVEVFRWNQILEEGEKSDLVDTLYLYRSLEGEAGYLYMYVVNGRKLSSCNFKIVEMITPEVLVYTGLSYPSKHTAYKV >KZM97920 pep chromosome:ASM162521v1:4:19438099:19439262:1 gene:DCAR_014718 transcript:KZM97920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQISVHSKDGRLLSPTQQPFSTEASPSSDMFSLNNLVSPTILLIIIILAIIFFISGLLHLLVRFLPRPLYRDPDDFDDATALQGPLQQLFHLHDAGVDQSFIDNLPIFCYKSVIGVKDPFDCAVCLCEFEGEDKLRLLPKCSHAFHMDCVDTWLLSHSTCPLCRSCILSDFPSFNNNNYRPPLVLVLESGSETSREIVSDREANLDGISVNSQFGSGRIEISRKSCEIDVKEDENDQEKRKCGEEKIVTVKLGKFKNVDGRCTEESSDGNNGNVDARRCFSMGSFEYVMDEDSVLKVPVRTPMKKLSSKKPSLPLFPGKRLALSECDCESRRHVDDLEAFRGFNKNAGTSSKIIATSADTSNGNSQSPEGEFFDVKGMASREEGQF >KZM98350 pep chromosome:ASM162521v1:4:23139405:23142660:1 gene:DCAR_014288 transcript:KZM98350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMVNRQFHRLEHAGVRSFKPPASPEDKNIVTNVEFASSDDEECMTEFKESIDKGKSELKPSVLDLRDEGTADNWIERNASLVRLTGKHPFNCEAPLARLMHHGFITPVPLHYVRNHGAVPKATWADWTVEVCGLVKRPGCFTMEQLVNDFPSKEFPVSLVCAGNRRKEQNMVKQTIGFNWGASAISTSVWRGVPLHHLLKRCGIYSRKNGALNVCFESAEDLPGGGGSKYGTSIKKEFAMDEARDIILAYMQNGELLSPDHGFPVRMIIPGFIGGRMVKWLKRIVVTTKESENYYHYNDNKVLPSHVDAELANSEAWWYKPEYIITELNINSVITTPCHDEILPVNSYTTQRPYILRGYAYSGGGKKVTRVEVTMDGGESWQVSKLEHQEKPNKYGKYWCWCFWSLEVEVLDLLAAKEIAVRAWDETLNTQPEKLIWNLMGMMNNCWFRVKTNVCKAHKGEIGIVFEHPIQPGNQSGGWMSKEKTLVKRSVSTPFMNKASKVYSMSEVSKHSSAESAWIIVHGHIYDCTRFLGDHPGGADSILINAGTDCTEEFDAIHSNKAKKMLEDYRIGELITTGYTSDSPNNSLHGATKLVALVPREKIPCKLISKTAISHNARVFRFALPLEDQVLGLPVGKHIFLCASINNKLCMRPYTPTSTIDEVGYFELVVKVYSKGVVPKFPDGGLMSQYLDSIPLGTVLDVKGPLGHIEYTGRGNFTVSGKHKFAKKLAMISGGTGITPVYQVMQAILKDPEDETEMYVVYANRSEDDILLKNELDAWAEKYADKVKVWYVVEKANEGWKYSSGFITEAILREHIPAGSEDVLALACGPPPMIQFAVNPNLEKMGYDINDSLLVF >KZM99063 pep chromosome:ASM162521v1:4:29125256:29125438:-1 gene:DCAR_013575 transcript:KZM99063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQMMNLFFVVLAVVVAAASAQEMAPAPSPDMGSGFSLPVSTAVVGTSLVFSLVALFRH >KZM97520 pep chromosome:ASM162521v1:4:15502821:15504362:-1 gene:DCAR_015118 transcript:KZM97520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVSPGSYFHVVVLVFLILHSLPQAQSCANHTLDATFSQLQLPTGCYGPSAVTFDHKGEGPYTGSADGRIFKYDAETTQFKEYCVGNSHRSRKSCDAVDFTKVPTACGRPLGFSFDQKSGDMYYVDAIYGLQRVKSGGGGVAQPVCSSVGGAPLSFPSSISTGGPNGEIYFTDYSKNYNIKNAFSSLGNTKDSTGRLMTYNINTKQTKVLADNLNGAAGCVPSKDGSFVLVTELMGKRIVKHWVKGPKANTVEHFRTLDGFPTGIKSTPEGHFWVTMNQMNGDQKTTSPLCIKMDHTGKDMHVVDLSSKYSEQFVTGVEEKAGKLYVGSTSTPYVGVYKL >KZM98035 pep chromosome:ASM162521v1:4:20548514:20552095:-1 gene:DCAR_014603 transcript:KZM98035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHKTSSRSICRDSPVMVSRYLWGFFSYAAFFGTFLLLPSLCFSEKAPYSTFTRDATAAPEVEYYDYIVIGGGTSGCALAATLSQGSKVLLLERGGLPYGNPTITNIGGFALTLADVSPSSPSQLFISTDGVINHRARVLGGGSALNAGFFTRASTQFVQTAGWDPNLVESSYEWVEKKVAFEPEIKQWQTAVRDGLLKAGVLPDNGFTYDHLIGTKVGGSIFDKNGHRHTAADLLEYADPSNIHLHLHATVQRILFNPGEKPKSYGVVFEDSKGGNHWAYLNEGSNNEILVTAGALGSPQLLMLSGIGPADHLRANGIEVILDQPMVGQGMADNPMNALLIPSTEPLETSLIQTVGITPFGSFIEAASGTIELSWARNLPQELLLNQTQQVYGVSREQQSEEVKAAVDSYLASTVQAGIILEKVMGPASSGHLELKTLDAKDNPEVTFNYFSDPQDLQRCVQGMEKVIEVVETSAVSKFRYLTGTIQVYLDTILALPLNLRPRHLSAAFSLEQFCKDTVMTIWHYHGGCHVNKVVDHNYKVIGIESLRVIDGSTFYFDSPGTNPQATVMMLGRYMGQKILKERSTYGKK >KZM98908 pep chromosome:ASM162521v1:4:27872690:27874097:-1 gene:DCAR_013730 transcript:KZM98908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADIGHLFRVMNGYKDDGISKSTALVTRDLLGGGSNSASFDSQELELDLQVPSGFEKRLDLKSGKVYLQRCNSPNTTSASPEHVHQTNQTMSKLQDLNYPPAPKPLNLFDDTSLDLKLVQSAHAKSTSNYQSVCTLDKVKSALERAEKETNRKRSMSVSPPSLSSSVIETESTDSEEKSFASFAAGCPSCLLYVLLSKSNPRCPRCNMIVPSPMPLKKPRIDLNL >KZM99601 pep chromosome:ASM162521v1:4:33332979:33345890:1 gene:DCAR_013037 transcript:KZM99601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKRQSRNSIAYSNLFNLEPLMNFQMPRQDDDFDYYGNSSDDDSRGGAMTERTNGKASANKKRRSAYSSDEDGNYGTYISEEQYRTMLGEHVQRYKRRRNNSSPNPGPTRTVMPVLKGSLGPKERKSGNESRVGSRKMESNSEYLADMINQKPGKYNEREFASEYKINRTVYEPAYLDIGESIAYRIPQTYEKLAASLKLPTTSEIRVDEFYLKGTLDLGSLAAMMAADKRAGQRSRAGIGESKPQYESLQARLKSQAANNSIPKFSLKVKDVSLDASSIPEGAAGGIRRSIMSEGGHLQVYYVKVLEKGDTYEIIERSLPQKEKVKKDASMIEKEEAEKIGKYWVNIVRKDIPKHHRIFTNFHRKQLTDAKRFSEICQREVKMKVSRSLKLMRGAAIRTRKLARDMLIFWKRVDKEMAEVRRREEKEAAEALKREQELREAKRQQQRLNFLLSQTELYSHFMQNKATSQASEIPNDQEALMNSSEAAPVAVEEEDPIEAELKLEALKAAQDAVSKQKKITSAFDDDCLKLRQAAPEEAPIAGSSNIDLLHPSTMPVASSVQTPELFKGSLKGYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGPQERAIIRKNINPKRLYRNAHFYFFRDAGFHILITNYQMLVSDEKHLRSIKWQYMVLDEAQAIKSSQSIRWKTLLSFKCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRIKKDVMTELTGKTEITVHCKLSSRQQAFYQAIKNNISLAELFDSNHGRLNEKRILNLMNIVIQLRKVCNHPELFERNEGNTYLYFGDIPNSLVPAPFGELEDVYYSGSRSPITYKIPKMVYREFIRSSGAFSSAARHVESREFIEKHFNIFSPVNVFRSMFAQGNNLNAAFAGSGTFGFAHLIDLSATEIAFLATGSFMERVLFTIMNWDRKYLDGMLDMFMEAESDDSICSQIGGDKAETTLLSRRLATGPWDVPNEALVLSHQDRLLSNIRLLHSAYSFIPTTRAPPISAHCSDRNFAYKMLEELHNPWLKRLLVGFARTSDYNGPRKPTAHHLIQEIDSQLPVTQPALQLTYNIFGSCPPMQSFDPAKMLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKSTVQQLVMTGGHVQGDLLAPEDVLSLLIDDAQLERKIREIPLQARDRQKKKSGTRGIRIDAEGDASLEDLTNSESQVNGSQPSQDPEKEKSTKRKSTSDKPVPPKPKSKKGGSRNSDTSSPALIPLENEGDDPLQNSDSQHRSKRPKRPTKSVNENLEPAFVASPSLAD >KZM97213 pep chromosome:ASM162521v1:4:12311718:12314519:-1 gene:DCAR_015425 transcript:KZM97213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSFKDSLKALEADIQHANTLYLFLTLSFLQFWKKRMRKSFKDSLKALEADIQHANTLASEYPEDYDGACLQMRLSYSSRAHFFLFLVQWTDCHLAGALGLLRILIYKAYEGGKTSMYVHERKASIKEFYGVIFPSLLQLQSGISDIEDTKQKELCATKFRRREEMNGDKLSEIEIEREEECGICMEMNSKIVLPSCNHNLCMKCYREWHSRSKSCPFCRDSLKRVNSSDLWIYTSADDILDLTIIARESLRRLIIYIKKLPMVIADLATVSYNSHF >KZM97324 pep chromosome:ASM162521v1:4:13522360:13532842:-1 gene:DCAR_015314 transcript:KZM97324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLRVSPSEIAPTCSDILRGRNMNLIVSKPLFRAGDFIRKENNLPIYDDARKVVISSRIVPGQLEGSLMGKKHMAGPSRKLKYVRTLLIDNYDSYTYNVYQELSIINGVPPVVVRNDEWSWDEICHYLYKERAFDNIVISPGPGSPTCPGDIGVCLRLLLECRDIPILGVCLGHQALGYVHGAQIVHAAEPVHGRLSEIEHSGCTLFHGIPSGRKSGFKVVRYHSLVIDAETLPKELIPIAWSSSTGTLPYLGNQNSDVTVDGYKSRLNQQPSDRSVLEDFRNGDSWLSGDAEETRSKEVLMGIRHHTWPHYGLQFHPESVATSHGRQIFKNFKKITEDYWGSLSLTTVSERKVYCNGSSKIPRYLQDTEKRARFSFMGGKGGPLWKQVTFRLLEQSDTSCKQGGYLSTEDAHGSTTSTYLEDGFFDFLNKELQSFYYEEKDYEGLPFDFYGGYIGYLGYGLKVESNMSLNRHTEDVPAACFFFSDSFVVIDHCNDDIYILSIHDGSNKDAQWLDDVEKKLLSIKDHGANDLRPQHSGSAPCPLVRPDFVAEKSREQYIADVEKCQEFIKDGESYELCLTTQMRKKIGERDSLGLYLNLREKNPAPYSAWLNFSSENLSVCCSSPERFLQLDRHGVLEAKPIKGTIARSATPEEDELLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVCVPRLMEVESYATVHTMVSTIRGKKRSSVSAVDCVKAAFPGGSMTGAPKLRSMELLDSLESCTRGIYSGCIGYFSYNQTFDLNIVIRTVVIHKGEASIGAGGAIVALSNPEEEYKEMLLKARAPVNAVMEHHRNSDKN >KZM99373 pep chromosome:ASM162521v1:4:31579041:31583202:-1 gene:DCAR_013265 transcript:KZM99373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQRVYSSSVEFSFRNLSVFLLHCYVHIHLLNVFFIHHLGCVAQLLPPEEVQTLKAIATKLNIKHWDVKSTSCSGGGGFQGAFAPKASNVTCDCTFSNNSVCHVTNIKLKALNLTGELPSEFSKLAFLQELDLTQNYINGTIPVAFGHLPLKILGLTDNRLSGLIPRELANIDTLEELTIEDNQMGGSLPPELGRLKSLRRLLISANNFTGRIPESYSALKNLSDFRIDGSRLSGKIPDFIGNWTKMTILDMQGTSMEGPIPSSISLLKNLQELRISDLNGPVSRFPNLGGMTNMKYLILRNCLITDQIPDYLSDMQSLNTLDLSFNRLYGHIPDSMQSIAHLNFLYLNSNLLTGTIPSWISDSKENFDVSYNNFTQPPSQYRCQASSV >KZM99599 pep chromosome:ASM162521v1:4:33326031:33327365:-1 gene:DCAR_013039 transcript:KZM99599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHFVLVHGACHGSWCWYKVATLLKSAGHTVTAVDLGGAGINPKQRHQLTTLADQLEPLMELMAALQPEEKVILVGHSMGGIAVSIAAEKFTKNVAAAVFVAAFMPNPDFNLHQFYRQLFNSQPDSNDKQVTEAGVLNFQDSRFTFEEREDSSSFLFRPNILRSTLYQLSPPEDLTLASSLVRPMPIFRDHASLKAAELTNKGYGSIRRVYIVSQGDLIIPEKIQREIILDYPPQDVKEISDSDHMVMFSKPQELDSLLQVVSEEM >KZM98781 pep chromosome:ASM162521v1:4:26841922:26848313:-1 gene:DCAR_013857 transcript:KZM98781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHNRMHFRLNFVAIHSIMDRRSWPWKKKSSDKAATEKVIATLESAGAPSILAGSHDSSKNPKYVQISVDTYAHLTGLEDQVKSYEDKVKKYEDKVKKYEDQLTIYKDRVKEYDEQMTSYDDKVKELEDVVEELNEKLSTANLEMVTKESLVKKHAKVAEEAVSGWEKAEAEALTLKNNLESVALLKLTAEDRASQLDCAVKEGMRQIRNLKEEHEETLHEVAMKTKQFDMIRLELETQLGNLEQEQECMRQIRNLKEEHEETLHEVAMKTKQFDMIRLELETQLGNLEQELLKSASDNATISRSLQERSNMLIKITEDKSQADAEIKLLKNNIDSCSREISSLKYELHVVAKELEIRNEEKNMSVRSAEVANKQNLEGLKKIAKLEADCQRLRGLVQKKLPGPAAVAQMKLEVERMDSDYGESRLRRSPAKPSTSPSMNPFTDFTHDNVQNYRKENELLTERLLAMEEETKMLKEALAKRNSELQASRSVCAKTVTKLRSLEAQLQANNQRRNTSNLNGQIPAEGSFSLNASNPPSMTSMSDDGNENQALCAGSRAISEVCNLHKGKNIDSPRKGENSDQLELMDDFLEMEKLAHSSKKSNETMASFDLDARNFEIVNHDLSEATSTRGLQLQDQQGSESLGIERSNIRDLSPCMKLQSRISMIFECASKDTDLEKLLEDIRNEVQNMQASLRQNSASSFIEEPYYTNTVTDHQVFYPISEELAAALSQIYEFVLLLGKEGKAIQGTSQEGNELTKILEEFSAAFNQVINNRGSLNNYILCLSQVFSKANYIHFDALGYKCIETEPSTSDCIDKVALPENKAVTNYTDSCAHFSDSTSDPDIPHDVPFVPTSELKTASWNCSLEDFRLLKSEKDNLVTDLARSTKNLEITMSRLQETEELLAEVKSQLTAAQNMNGLAETQLKCMAESYRSLEKHADELQIKVDCLEAKVESLNSELREERKNLADSFARCIVLQEDLKRVENFPVGRVDTRSSQETELVAAAEKLAECQETIFLLGKHLDSMRPQMEFVGSPTLEGTRRKDEIFPEDKLTSSSMLLQNVDTSVRANFATXVGSDSDIFSTPIYPSDSDASNLSRSPVSTKHQPKHRPTKSGSSSSTLEPEKHRGVSRFFSTKTKSEN >KZM98504 pep chromosome:ASM162521v1:4:24526235:24536756:-1 gene:DCAR_014134 transcript:KZM98504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGLFPTEPLSGENRYYIFSQGTYKVGRKGCDVIINKDKGVSRVHAEIVIDAMIALNTQRNTSRIDAKVRIRDCSKYGTFISNDLVSKKKVHEFPSRETTLQEGDLVSFGTGNATYRFSFVPFMFSVCCSVPFKKLQEKISLIGASAIRNWSMECTHVLVDDIAPFNEDIVDAIVGKKPLVCHKWVEVIAESNICTEIPCCSSHAPTLKVEDLSVKFADSMSRENCLRGYTFLLESAPKYQLKDRLQALLEVSGAKVIAVEAFYQSAQKVYDSYHVKDKGSEGEGNERIALVIPAGSADGSESLRHLSSLLRVNEMHLICATLSGQLDPSVLVLPPVVIASSCSTDETVVADSDEEVETATSIPNSVALDTINIGESEGRREADVFIVMSDDQGDEGPISRTNIESPHNESKMDVVTNRGDESVEKSEQVACSEDRYCGKMAREMKDEESDAKNSDIIYSQDLVIRDLKLPAKARSSMNNTVVNFKRFRKMDMQSGNSFNNLIPFAKNPYKYMPHPLLDSDYGNEEVAESIKEEKRRKQMEAVADDLFNHEKGKGRGTAGSLHGNGAYYSPQSRICTDYSTKCGGKQIFCPRQCPTFKASTEKGKGCFIDCNARDCEAICKTRKPNCNGIGSACYDPRFVGGDGVMFYFHGKTNEHFSLVSDVNFHINARFIGRRPQGRSRDNTWIQALSLIFGSHTFTLSANKVAEWDSSTDHFLFTYNDLPIHISSGHLNTWTAPDSKLTVERTTLHNSIALTLPGVVEMSVNVVPVTKQDDLLHNYQIPADDCFAHLEVQFKFFSLSDKVEGVLGQTYRPGFMSPVKRGVAMPVMGGEDKFMTSSLVAADCKKCIFSGGEMNIEAKSMLSDVMTVDCTSTSPGHSHGFACRR >KZM99817 pep chromosome:ASM162521v1:4:35124238:35124822:-1 gene:DCAR_012821 transcript:KZM99817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKREQNKLLRILTLPIRFLKKGRDLYVKSMMDVAHKPRYATTRTSKSRNKSSQPSSALPKSFSTSFTPSWANNLHQSDDLRELIRANSTAYNNDPTNQLRKDMELYIQQLVKEQKVQKLKESLMSGNVGKFSISKEAVPRSCSVGMGKIDEETALEEGDDEEEEGGIYVKKNEVKFPRSRSHAVTQTNGVF >KZM99184 pep chromosome:ASM162521v1:4:30042945:30046877:-1 gene:DCAR_013454 transcript:KZM99184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCVGSRGLLKDGVFQSISNTIWWSEPQDKIGYTKREISAESSPPDQGTDFHNVVQNKPPEMIQIFKDEPRSAKKVTPVKDDDKQSQSAGEPEKAKKANGNTKRSEKPEKPEKPEKPEKHEKPQHKKPQNVKRVSSAGLQAKSVLKTKTGHLKEYFNLGRKLGHGQFGTTFLCVEKTTGKEYACKSIAKRKLVTEDDVEDVRREIEILHHLSGDPNVVSIKGAYEDAVAVHVIMELCGGGELFDRITKRGHYTERKAANLARTILKVVESCHSMGVMHRDLKPENFLFVNEEEESALKTIDFGLSVFFKPETEQDIFEEVLRGDLDFSSDPWPKISESAKDLVRRMLVRDPKRRITAHEALCHPWVRVNGVAPDKPLDSAILSRLTQFSAMNKLKKMVLRVIAENLSPEEIAGLKEMFKMIDTDNSGQITFDELKVGLKKFGANLKESEIYDLMHAADVDNNGTIDYGEFIAATLHLNKVEKGDHLFAAFSYFDKDGSGYITQDELQQACEEFGIDNTQLDEMIKEADQNNDGRIDYNEFVAMMQKGDNDMGKRRLQSNFSMGFREALPVY >KZM97631 pep chromosome:ASM162521v1:4:16383327:16386495:1 gene:DCAR_015007 transcript:KZM97631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPKKVEEGFGYSGNEGTYDEYFNEVKKDQSTEHDKQMEQRVAPEHMPSIKLKDDDQQTASITNKPKNKRIASLDAFRGLAIVLMILVDDAGGVYPRIDHAPWNGCTLADFVMPFFLFIVGLAIALALKRVPKMRVAIKRIFVRTIKLMFWGILLQGGYSHTPYELVYGVDMKKIRWFGILQRIAFVYMVIALIEALTVKLRPTILNPGHLAIFKAYRWQWCGGLVAFLVYMVTTYTLYVPDWTYNGPESKVTVRCGMRGSLGPACNAVGYVDRQVWGINHIYTGPVWIRLKACTLSSPSAGALRDDAPTWCLGPFEPEGLLSTISAILSGTIGIHYGHILIHFKGHAERLKQWVLTGLGLLVLAIILHFTDAIPINKQLYSFSYVCFTAGVAGILFSAFYMLIDVWGIRAPFLFLEWIGMNAMLVFVLGAQGILAGFVKGWFYEKPDNNLISWILDHVFIDVWNSEKVGTLLYVIFAEITFWGVLSGILHKFGIYWKL >KZM97010 pep chromosome:ASM162521v1:4:9739947:9741202:1 gene:DCAR_015628 transcript:KZM97010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWSQYRHKDRSINHRKGFSGKQRDVQVFGSSKSVVKDDANRPYANSSSPRKGEGSSGKSSLACLQDDLFLRIMDETLTRARAHPEEYTLTPEAIQFLTSNVIE >KZM97121 pep chromosome:ASM162521v1:4:11144852:11145287:1 gene:DCAR_015517 transcript:KZM97121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNYGGNQEEPTVAGFEVPRSPDASYNNVYPGNADEGPEPPVVPPHLQHTLLNHPSGRGGDAPTSVPLPQNVVLNHLYLENREAPRSVVALGITHRFRAKYVTVVLYKPVQRNGSTST >KZM96856 pep chromosome:ASM162521v1:4:7759617:7761200:1 gene:DCAR_015782 transcript:KZM96856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAAITCFTYLLLLLLTFSSAQDPTQNFLQCLNRHSHDISEFVYTRKNTTYSETLLYSINNLRFAKPDTPKPLVIIRAVSESQIQSVIYCSKKHHLDMRIRSGGHSFEGLSYVSPLPFVILDLVNFKSFSADTKTNTAWIGSGLTNGELYYKIGETSDRLGFPSGLFANVGVGGILSGGGYGMMMRKYGLAADHVIDARLIDSNGRILDRKAMGEDWFWAIRGGGGGSFGVVISWKVRLLPVPKTVTVYKVYRTVEQNLTSIFHKYQSVAPKLPKELVIKADGQSIVSNISQRADNRTMIFLFEALYLGSADKMLSVMQGQFPELGVVREDCFEVSWLQAMVYFSGFELFTPPEILLNITVLPRPAFKSNNDYTQVPIPVEGLEGLWDLMYKLPPLKATLQFTPYGGRMDEISESALPFPYRAGTLLKFNRYAETDTDEAERMEWVKTLARYLTPYVTKNPRSAYVNYVDLSMGTNNLKGTTSYQQASRWGKRYFKNNFDRLVNIKSAVDPDNFFRHEQSIPPIRI >KZM98660 pep chromosome:ASM162521v1:4:25853788:25854648:1 gene:DCAR_013978 transcript:KZM98660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTTTNKQINSPTTAAATLRLFFHDCFIEGCDASVLISSTHFSKAERDADINLSLPGDGFDVVIRAKTALELSCPGVVSCSDILAVATRNLVTMMGGPYYDVKLGRRDGLISLASRVGRNLPTPQMSVTETINLFKSRGFSVQEMVALTGAHTIGFSHCKEFSSDIYNYSRNAQSDPSYNPRFAAGLRNACNDYHKNPTLSVFNDVMTPNKFDNMYYQNLPKGLGILKSDRLMVSDSRTRPFAELYARDQNAFFKAFRSAMQKLSLYGIKTGRRGQIRRRCDEFN >KZM98594 pep chromosome:ASM162521v1:4:25303662:25304989:-1 gene:DCAR_014044 transcript:KZM98594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISRSIGDVYLKKAEFNREPLYAKFRLRDPIIRPILSSDPTISVHELSPHDHFLIFASDGLWEHLTNQEAVDIVQHNSRNGIARRLVKTALQEAAKKREMRYSDLKKVDRGVRRHFHDDITVIVVFLDFNLVSRGSSLRGPTVSLRVASTNQQ >KZM99283 pep chromosome:ASM162521v1:4:30809415:30812840:1 gene:DCAR_013355 transcript:KZM99283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRANGRSSSDQHSTLKCNAPQEVESSLDHHLLNVSPRLSFQFYYSSAELLNEIITIEDEITYLERYLLSLYREAFKQSSHSFSGDSETDLQNKILVEPRNITDQSCSTLKSNFPKDVPDHHHCISSVRASTDSDDWNRVGMLKPSTQRDRVVVEHGHRSLADYLVASRLDKNLDRPDRLSEDIIRCISSIYIKLADPTRSQKGLSASSVSSLSSSSAFSPRSDSWSPHFSEDARGRQIQGLNEESDPYAAMFEVLKLCLDDDSFNYAARVLQNFRLLINNLEKVDTMKMTHKEKLAFWINIHNALVMHAHLAYGASNHVKSTSILKAAYNVSGHCINADIIQSSILGIRSHFSAPWLDTLLSPGKKFKPARTRHKFAIDHAEPLVHFALASGVYSDPAVRVYTANTISEDLKVAQKEFIQASVSMSKETKICLPKILDYFAKDMALTMQALLEIVYACLSEVQRKSMVRCIRGRPDKFISWLPQSSTFRYVIDREVSRKR >KZM97620 pep chromosome:ASM162521v1:4:16270164:16275512:1 gene:DCAR_015018 transcript:KZM97620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSTDSAASTTDTLAGTSTPATESSAQDAKLPESKRWADVSDDEKDDLESKIDSLAIEEPKKVNEFLEDPEPSNIQAVTSGDTPYTSAKRFEDLNLSPELLQGLYVEMKFERPSKIQAITLPMILTPPYKHLIAQAHNGSGKTTCFVLGMLSRVDPKLAAPQALCICPTRELAMQNQEVLERMGKYTGISSTLAIPMEDMPIGKIPPVTKQVVIGTPGTINKWFTAKKLGMGAMTILVFDEADHMLAEGGFKQDSERIMKGIVKISPKCQVLLFSATFNDAVKDFATRIVTQISVNEYNQLFVKKEELSLDSLKQYKVYCPDERSKIMVIKDKILEMGQKVGQTIIFVKTRNSASMLHKSLVEYGYEVTTIQGALKQEDRDKIVKEFKEGLTQVLISTDLLARGFDQAQVNLVVNYDLPLKYDTRGEPDYEVYLHRIGRAGRFGRKGAVFNLLCGDRDDMLMTKIENHFNHQVTEVASWESDKDFEDALKKAGLM >KZM98956 pep chromosome:ASM162521v1:4:28284924:28287748:-1 gene:DCAR_013682 transcript:KZM98956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSTPIPLSFFIICAFSSLIGASALSPSRPATCPLQSKMFVYNLRAQSPLSFVPTPPLQVGGDFLEKALNSKDANMYTSVLFYASWCPFSNDVLQKFETLSSMFPQMTHLAVEESLVMPSILSRYGIHSFPTILIVKQTSKTRFHGTKDFNSLLGFYWKTTGILPVQYLAADQPVASLERNKEFIMQSWVGLSPTEILRKEPYLFKALWVSYGPHLNLEIFGETSQILGRILQIINVNRIWTKLKLCKTRNFLHGAKNARVWASSLASVSLGKTASCRSSS >KZM98322 pep chromosome:ASM162521v1:4:22916974:22918023:-1 gene:DCAR_014316 transcript:KZM98322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSDPNNSNPPDDPNPSSEIYTYEAPWHVYAINWSVRRDKPYRLAISSLVEHYHNQVQIVHLNDVVGEIKPEPGLTFTHPYPPTKTVFIPDPECQKPDLFATSSDFLRIWEVKGDSDSGRVELKSVLDGNRSSGFCGALTSFDWNEADPGRVGSSSIDTTCTIWDVERECVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDRDHSTIIYESPEPDTPLVRLGWNKQDPRYMATVVMDSTKVVILDIRYPTLPVVELEGHLNNANAIAWAPHSASHICSAGDDMQALIWDISNMGKAVEGGLDPILAYTAAAEIEQLQWSSSQPDWVAIAFSNKLQILRV >KZM99395 pep chromosome:ASM162521v1:4:31780177:31783147:-1 gene:DCAR_013243 transcript:KZM99395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKDSKSTGSPKVQVGEIDTRAPFQSVKDAVNMFGEGAFSGERPAIRKARPDSAEITRLKDIGAFRECADKSSKFNHFDVSSIVRVLAKETQLHLAQKELHKLKERLQNVETIKAQEAAELERAKRTVEELSQKLKAVNEAKDISTKATEAAMDQAKKLEESNGGIPTGTDSASNYDSEATRKQYTLASDELAAAKQTLQKIRQDCNATAEEKASALKQITEAEDAAKANMVRASELSKEITVVQESIGQVKVATTQTQQDEAKIYAEKDVQKQLHKAKLEESAKKLLELKEEVDPELTKQLESELAEAAIAIGVIRKDIDSARTSDLDSVKAVTSELDDAKDSLQKVAEEESSLRSLVESLKTELENVKKEHAELKEKENETESVAGYLHVKLRKCKSELEAAITEESKARGASEDLISTLKQLSVECEDAKHESELMKKQAEELKKEAEKEKIAHEEAEDVLKIALMEAEEAKAAESSALDQIKLMSERTSVARASTSESGAQITLSKEEFESLSRKVEESEKLAEMKVAAAMAQVEAVKASENEAIKRLEATQKEIEEIKAATQESLKKAEMAEAAKKAVEGELRKWREREQKKAAETAARILEETEKSIQTEKSIESSPNHYTVQNHYQPEKVVKSSKLEKAKTSLTKKALVPNLSGIFQSKRKQVDGGSMSYLPGERPL >KZM99200 pep chromosome:ASM162521v1:4:30153334:30154701:-1 gene:DCAR_013438 transcript:KZM99200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSVNCFHGCEIPVQDLDTDFALGGELVLNGATDSDAAVTIITKRDIRWTRHMNMIPTRSEGSNFPSHPSIHLDHQQYVPAGLVLAQRHPVVRRHRDVGAVNKRRPDVGVFVALVGGEDGGEVSDLLAPVGGEDVELVVVDSDFAVGVSGSDGDLEVGGEEVGDGGDVEGVDGGVLEGEAGLFGLENGPDDEEGEEADEEDDEEDGADAADDPLSAVFVVAALFCAHGEFWRGREEMSFVNCEGGAVWMSR >KZM96666 pep chromosome:ASM162521v1:4:3570049:3572729:-1 gene:DCAR_015972 transcript:KZM96666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVGDSRGDAQVKKAKSSFGEDRWISLARRARQGSDSLAEEEIYDLQNCIMKFWFLLDSDLLGVITKGDLRWADVAMARIDYLEAQIDQVLLGKCLEGDEESLCELHRMLMNEGWWDRADNLDLRKTVWRRDGNWDEMVGRQERELKEYILYRSELVHPNVISMVRKEDREGIRMALNHIHYNSIRESRSVKQMKAMVKEAKELKTGNQDSKAVQGEGKNYKSALLKTRVSMSERPKEVPKVVKVGRPQLGVNVENEHKNCTVFLHNLPEDLNRMVMWRFVRQWGRVIDCFTPNRKDKLGKRFGFAKLQSMQEAELFKNKINGKILAGNVIRAQFARGKKESNLKQKKGNYDKEYNKKVKEPSHELNNRQMASKDPRHPSEEAIVDRKEEPLHLEAVNKELVNDLGRSVVVHTWKDSSVVEVLNTAEVLGYQGVLVRGISSRKFLITFPTMESFLNLDQELLGLGYLGCHQARLDDLVLPRKVVVQCLGLPAVLWELSNLSKLLTGIGDITAIGEMLDEELRFQNPLIELETKETTRINRQILVEHDGLAFQMQILEEGDDSAHGNSDNAIEVGDRASDDYLVGSVENEREESEHEMEDAEGSVEIVYETPVNAVEVIEEKQSLSRESSIQDCQKENCSSHGLTNSIKEVLTSYEDQIISNEAVLNNCREIIVKETRTEEDVQSDDYMGSDHIRDSNANGEIYNKEIVVASAQAGDETQLSDFSIDDRIWHTRDDDLSSVSSKLEQTLSREDSNVSSRGSEGEPRSDESVRVMEGKMIKMNLGRKRGRPAKRKSNKTNQPFALKYKEQPVANTTGKSEAEKIYETCLLMGLEGQGNRDEAIRKIVDRLSGR >KZM97931 pep chromosome:ASM162521v1:4:19559948:19561411:-1 gene:DCAR_014707 transcript:KZM97931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKAYIDTYGTGNNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKNSHINPLSASTSQDPKDADGVEDNSLSNSAMERLQLHMQLQNLQNPTSLFSSPAVWPKLNPLQEKMIQTLHSFNENSNPLVQPMIPQPGQIQNAQLCAPKMDDDLGNNSIPNASFTNIPHSYVNVAPKLDAQQSNMGTDSTFQSDLDVFLNNSHNNAGGMGEFDCFKAMEGSKDSMIWWPNDQFDTNSASSSSWDSTPALHHQSEHQVYKDFASGYNMQQ >KZM99527 pep chromosome:ASM162521v1:4:32760876:32761334:-1 gene:DCAR_013111 transcript:KZM99527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNLLKLATILFLLTTLFISSSSYKTLEDEEEEEEYVLDTPFANTRLRSRFLASKNVIKKGTTCSQSNKYVCNGVSANNGTSLLYCCKTHCRNVLGDRNNCGQCGHKCAYAQRCCGGTCTNVEDNDAHCGKCGRTCSYGAKCENGFCGYA >KZM99583 pep chromosome:ASM162521v1:4:33201783:33203684:-1 gene:DCAR_013055 transcript:KZM99583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCGFVFTQFTFGGLLSCDCLEVWRGMYLYGLIVKSGLLYVDAFAGTALLGCFGRNGCLDEVFRVFEDMPSKSLVTWNSVISLFGHHGFGDMGLYMFRELMRTRVSLSLYSFVGVLSGFGREEDLECGEQIHGLAIKCGLWNVVLVANALLNMYVKSLSADMAEIMFEEMPVRDIVSWNTIIGVFSRSGRPEKALECFRSMNKDEILPNQTTTVSVINSCTILKIIHCGQSIHALAIRKRFEFDVFVGSALVDFYAKCGRMEDAHCCFDEIQDKNVVSWNSLMIGYLNTSCSTAVFLLRQMIRMGYRPNEGSFSTVLRVSFNTELQQLHSLLIKMGYDDNEYVLSTLIGSYAKSGLIDDALNFAAETNMMLSVVPSNVIAGIFNRTGQYHRTQRLYSLLDEPDIVSWNILITACARHGDYKEVFELFGQMQIYQVRPDKYTYISLLSVCTRICNLDLGRSLHGLIIKTDFKSYDTFVGNILIDMYGKCGSLDSSIGIFDEMNDKNVISWTAVMSSLESHGRVYEAVQKFREMESMGFLPDEAAIAAALSACRRIGLVKEGIELFEQMQSKYKMKPEMDHYILVVDLLARNGHLKEAEKLITGMPFPPNARIWRIFLEGCQGQRHTEDLALCI >KZM99276 pep chromosome:ASM162521v1:4:30755842:30756747:-1 gene:DCAR_013362 transcript:KZM99276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIATCYSEHAIKVSDSYCSGPSNQPIFSPNLIPSVQDAVTCMYRVKLCSEDQLLITVTWCSSLMDQGFTMTLIEDPSCPFKFSTRRLYLHKIKGTKSLESANSKVEVFWDLSSAKYDNGLEPVRGFYIAVLVNFELSLLLGDMEEEPEVRKLMCKVPVSKFSLVSRSEHFSGGAVYSTKAQFFDTGTSHDILIKCLVQEKGEKNSVLSVCIDNKNVVQVKRLRWNFRGNQIIFIDGCLVDMMWDVHDWFFNPKSGYAIFMFRPRSGLDSRLWLEEKKLEQNEHEKPGFSLVISACKNPD >KZM98968 pep chromosome:ASM162521v1:4:28356789:28364204:-1 gene:DCAR_013670 transcript:KZM98968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLPGNDCNQFSSSITTPLNGGSVSVNGFWSKQRGDISYNQLQKFWSELSPQSRQELLKIDKQTLFEQTRKNMYCSRCNGLLLEGFLQIVMYGKSLLQDGAVVPLPCNRVVTLKSKSDSDLCVANGCQDDFQEPSVHPWGGLTTTRDGTLTLLDCYLYSKSLKGLQIVFDSARARERERELLYPDACGGGGRGWISQAMTSYGRGHGTRETCALHTARLSVDTLVDFWSALGDETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRIRREPRCTSWFCGADTSFQYEVSHDTVQADWHQTFLESFATYHHFEWAVGTGEGKSDILEYENVGLSGRVQVNGLDLCGLNACYITLRAWKMDGRCTELSVKAHALKGQQCVHCRLVVGDGFVTITRGESIRRFFEHAEEAEEEEASFDDDSMDKDGNDLDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVSLALKLLEEKVHVACKEIVTLEKQTKLLEEEEKEKREEEERKERRRMKEKEKKLRRKERIREKEKDKGKKNSETGQHVAPDVTIEEVTPSVEEEPNIIVNEDLINSETGDVVSPRLASPDIQDEHVLNGYHLSSMQNYSDGSPDDGFSTFKDESASCGAEHSNYSRQKLKHRKDFQMEPKWSDRRRFSSVSENGGMNSKLDNNYQGDHVDTSRAVNGLNKQSRCSAAKTNPRTAGSKFAEKLHGSNRVSERYDYHSCSCYQHNDYRTKIESHSSARTGRDGKSVCKSESTSDVLKPYYRGTKYTQIDHTREGIGRPKTKFVVGSNSYTRDSPHTKQVWEPMESQKKSVRSTSYSDVTMRSTFSNETTEPNKPLESSDAISCAAADGNTASNKYVDNDKNFQGGFHIETKSSLYFKKEVPDEEADSSPMTSSSLTGTSDPSMSSTSNSDSCSSCLSEGDSNGLNPPNPETSSASDSDDASQHSEGREQSRRLQNSFDKHQDARMEKKQSADGGEQFINKVMDSGVNNEPGSFSVKNAQEYKNGLPNVSNMNPQPHGVLPSLHPQSLPYPLFQPASIGYYHQTPVSWPAASNGLVALPPRNHYLFPSPFRYDINGNSHFVPYSSLPHMPPPILNAGSVPVYQPVSHINDVNSKVRVNKSDFADVKDAHQEVNIQAVTKTEQGPVEAQINAESEPDRKCGIEPESGNAGFSLFHCGGPVALANGYKSTPLPPKEAVGHLTSSKSTDHVVGDHNSKKEEAVGQYNLFAASNGIRFSFF >KZM99208 pep chromosome:ASM162521v1:4:30243379:30250344:1 gene:DCAR_013430 transcript:KZM99208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKSLLSPCARARSFSLLIHSRSISAMKSRANLEMDRGNLNLIPPRKHTATIRLSNVSGRQADLLEIYAKNPTLHVLFIPGNPGVISFYTDFLESLYELLGGTASITAIGQIAQTKRNLERGRLYSLEEQIEHKLDFIKQELNNIEVPIVLIAHSIGCYISIELLRRSSAKVIYCIELYPFLEINKRSSQQSVIRKVAASPFLCATLSSIVALLGLLPANVTRYLVRNSVGKSWSSTAVDALCDNLLQYNVMQNVFFMARTEFIKLLEPPDWAFLRDKRNQIAFLFGDDDHWGPLEMSEEIAKQVPNAHLVIEREGHTHAFSCTEKGSVWVAQHVARLIKKQISN >KZM97860 pep chromosome:ASM162521v1:4:18541380:18542756:1 gene:DCAR_014778 transcript:KZM97860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETDEQEEFLTLTLAIVADSRFDKKRKRRKREESIEPVSSNEDCEGRIFSLLQLREQMLKLDRKRKGVAEDGKGLHLIHLLLISATFVDENKLDSSVESLSELFQNVCLTGDSVQRVAAYFADGLVARLLTRKSPFYNMIMKEPSAEEEFLAFTELYKVSPYYQFAHFTANQAIIEAFEKEEDSNNRALHVIDFDVSYGFQWPSLIQSLSDKATSSSRISLRITGCGRSLEELQETETRLVCFAKGFRNITFEFQGLLRGSSDFADIKRRNNETVAVNLVFHLNTLTNFSKISDTLKTVHFLNPAIVILVEQEASRNPRSFLAQFMESLHYFAAMFDSLDDCLPLDSDGRLGIEKNHLGREIKSFMNYEKDSSNCNKYERMKTWKERMEGHGFRGLKLSSKSVMQAKLLLKMRSQYCPVQCDGENGGFRVFERDESTALSLGWQDRFLLTASAWHCV >KZM97011 pep chromosome:ASM162521v1:4:9746651:9750074:1 gene:DCAR_015627 transcript:KZM97011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFSLQVFGFLFLVSVFASEAAVRRATFVVQETSYTRLCDTKKILTVNGQYPGPTLYAETGDTIIVDVQNKGNQNITLHWHGVKQPRNPWTDGPEFITQCPIKPGGRFSQRVILSDEEGTLWWHAHSDWSRATVHGAIVIRPKQGTNYPFPKPHKEVPLILGDWWKSDIEEVLQEFIRTGGDPNASDALTINGQPGDFYNCSKQDTFKLIVDYGKTYMLRMINAGMNNILFFGIANHTFTIVGQDAAYVKPFKSDYITITPGQTLDVLVEANQPPNHYYMASKVYIGAGLAPFVRSTTTAVLEYRGNYTASSPPPLPILPNITNSTAPQSFSANLRSLASADHPIKVPVNVDQKFLFTVSVNFVPCNNTTDCRTANNQRFRASINNITFQSPKIDILEAYYRGINGVYGDDFPANPPLEFDYTADNLSAALRIPRNGTEVRVIPFNTTVELVYQGTNLLRGIEHPMHLHGYSFFVVGTGLGNFDREEDPKRYNLVDPPLVNTISVPRNGWTAIRFTADNPGVWLMHCHFERHITWGMEMTFIVRNGKRSEQKILSRPSDMPPC >KZM97088 pep chromosome:ASM162521v1:4:10671604:10674024:1 gene:DCAR_015550 transcript:KZM97088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICYELNSSEEERRKLRTEKRKEYEKLRRQCKQLLDSNNSLKVKESSGDNKIEDNENHSHVIDSAADTEEDTSTRNSPCWKEMNPSAECSDDVSSALLEKDAGSERVTDVKTSDTDSSDSDSDSSVEVSQTFTTTESTEEKGPEVASNADSSKTESQSTPTKKEDFTTWQRIIRLDAIRANGEWVAYSPAQAAVSAERAMSSAEAVGLKDYDHLEPCLIFHAARLVAILEAYAVYDPEIGYCQGMSDLLSPIITVMEEDHDAFWCFVGFMKKARHNFRLDEVGIRRQLNRVSTIIKCKDSRLFKHLEKLEAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIRKSAWSRIRQSAPPTEDLLLYAIAASVLQRRKLIIEKYSSMDEIIRECNSMAGHLDVWKLLDDAHNLVINLHDKVETPFDG >KZM97979 pep chromosome:ASM162521v1:4:20063104:20064774:-1 gene:DCAR_014659 transcript:KZM97979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDTRAVFSALDNAKTQLYHFKAIVIAGMGFFTDAYDLFCISAVTKLIGRLYYYDPSNHVLGKPPSPGTLPPNINNAITGVALCGTLAGQLFFGWLGDKLGRKKVYGITLVTMVGCALASGLSFGSSAQSVITTLCFFRFWLGFGIGGDYPLSAVIMSEYANQKTRGAFIAAVFAMQGFGILVAGAVSMIVSKAFLVAFPADNFQTNHVLSTQPQGDFVWRIVLMFGAVPAALTYYWRLKMPETARYTALVAGNHKKAAADMAKVLETEMPSEPSHYTNPVKLPKSKYGLFSNEFVKRHGIHLFGTSITWLLLDIAFYSLQLTQKDVYPAIGLIPKPSHMNAIEEVFKLSKAMFLVALFATVPGYWFTVFLIDKVGRFIIQLGGFLLMSIFMAVVGFKYEEFRGHLCTGDSNKQYCDGKPVLFAVFYGLTFFFTNFGPNSTTFVVPAELFPARFRSTCHGISAASGKAGAIIGAFIVQSYTQKTEGIKRAIIALSVVNLLGFCFTFLVPETNGRSLEEISGEDKDMDSGGGDDANGKEKAQTNTNLEVLPASDIV >KZM97406 pep chromosome:ASM162521v1:4:14304368:14306510:1 gene:DCAR_015232 transcript:KZM97406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAPDVTYEEEYILNARGFKLFTCRWLPNGEPKALVFLCHGYAMDCSISMRGAAHRLVKAGFAVYGIDYEGHGKSSGLQGFFSNFDDLVVDCSDYFSSICEKRENSNKLRFLLGESMGGAMVLLVHRKLPNFWDGAVLIAPMCKIADDLKPNQMVVSVLTQLARVIPSWRIIPTNDIIDAAFRDPAVRKEIRANPYCYKGRPRLQTGYQLMLTSMDIEKNLEQVSVPFLVVHGEADTVTDPAVSKLLYETASSTDKTIKLYPGMWHSLSYGELPENLDIVFTDVISWLDDRVASGYERLEKEGKRENDPLEAKYSSKELVA >KZM97770 pep chromosome:ASM162521v1:4:17643804:17644211:1 gene:DCAR_014868 transcript:KZM97770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQCSCGNWAVEKTSWTEYNPGRRFLTCVNGRCNFFRWNEPEFDARSKSVINGLLRRLKNKDDEHFAEMIKAKDEYQHFYKEELTETQNEAAIYKEKMSELKKEARQWKFVCVLLLLYVFHYWFAPVGRDETNV >KZM99561 pep chromosome:ASM162521v1:4:33052413:33054570:-1 gene:DCAR_013077 transcript:KZM99561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGGIISVLVLVIVLVAGVNGEFVTCTNRGSKCFLKQLKCPSQCPSTSPSNPSAKVCYLNCNSPVCSPECKNRKPNCSGRGAACLDPRFIGADGIVFYFHGRSNEHFSLVSDHNLHINARFIGLRPEGRTRDFTWIQALGIMFDNHKFSLEATKAAKWDDEVDHLNFSYNGNELAIPEGYPSIWKSANNEIKVERISSKNSIMVTLPEIAEISVNVVPITKQDDKIHNYNIPSDNCFAHLEVQFRFLGLSSNVEGVLGRTYQPDFQNPAKPGVAMPVVGGENKYRTTSIFDTKCESCVFSPAQVPRKEDSLVMDYGTMDCTSRSSGGNGIAAESKIKSYDVEIVSLKHEIRDLSEKLESLNATAQSFEREARIMEQEKVFLQEKYRSEFNRFEEDDKIHNYNIPSDNCFAHLEVQFRFLGLSSNVEGVLGRTYQPDFQNPAKPGVAMPVVGGENKYRTTSIFDTKCESCVFSPAQVPRKEDSLVMDYGTMDCTSRSSGGNGIVCRK >KZM97450 pep chromosome:ASM162521v1:4:14786568:14787853:-1 gene:DCAR_015188 transcript:KZM97450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFDPWPVFFKREWNRNWPFLVGFAITGTIITKFSLGLTDEDAKNSPFVQRHKKST >KZM97002 pep chromosome:ASM162521v1:4:9678049:9678874:1 gene:DCAR_015636 transcript:KZM97002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKYKAADKAKRCEKAVKTRTKKSQVKENTTAVVVFGEENQGRPKHKQHNKAAKKMDAGTTGAKRPSNNPDSCTTNQVGEGGSTPEDSQKGGVAQQGKKRGVKKGPISSRRMLDQARRERTKKFEENAAWKI >KZM98560 pep chromosome:ASM162521v1:4:25025602:25027386:1 gene:DCAR_014078 transcript:KZM98560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVALLLILTSLVGGSFWSPTPHENDPNPNVVIREGHQSVVVEYDQQGKDGNTKVSISPHEADNSKEEETSTHKFGPRELVCDAFGKCKHKIANVLGKVGDKTKEEVLKGVDKAKEVISEGSGKLKDGIENIKESDVVKKPTRTIESIGKNTEMKEVMDEGKSKLKDVYEKAKEVDAVDTPKRVAEDVERNMSKAMGFVQRVVKGGASKLKEEGKENANRLGEMAEKVVDGAKDGGHRMKETAKRAGGSASDSLKEGAHMVREMAEKTTIKTQRSIRERLKDMLVYSGGMNSLIGLVHLLGFSMAFGMSMWVTFASSYILGDALPRQQFGMLQSRVYPVYFKVQACSIAVAFLAHLYGRRYLLNRWEVINVTNIVIAVLLSLVNLLYLEPRATQVMFQRVKLEKDAGRGRVTSRLTSGIADDAGEPVTATTERQEEVQPETQTSVLSEKLRTLNRLSSYVNVLTLMSLSLHLVYLGQRIQVN >KZM96573 pep chromosome:ASM162521v1:4:618504:618785:1 gene:DCAR_016065 transcript:KZM96573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTKFWFGVDRNEEGADEHEEEVKSLFAEMDQSLKEVEEEEAKKAEEDYDRMLEEEGHTREELHLIFQNFMKELKELEEEEDDDDDDEDEE >KZM96595 pep chromosome:ASM162521v1:4:1841543:1843150:-1 gene:DCAR_016043 transcript:KZM96595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSLPREFCAKYGHLLQSTVDLKLRNGYVIPVEFDMSKGILNGVLCFFKYLELKGDEWLLFQYFGRYYINVYVIGSNCSEVNYPYIVDLFKECIPQLVTIGNGGWRFMCLIQKRGSVPDEVEISNEYRKLCNMWDNIQTINVYCGEGCWILDIRKRDNHYYSTIVDGWQIMRDCLHLDVGDKLVFECPKQSPDQFSLRVLKNHV >KZM98214 pep chromosome:ASM162521v1:4:21991664:21992710:-1 gene:DCAR_014424 transcript:KZM98214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKSMGSRMASFTATLVVLLVSLNFPVFITADSEQSYKYESPPPPEKSPPYKYESPPPPEKSPPYKYESPPPPKKYPPPYKYESPPPPKKYPPPYKYESPPPPKKYPPPYKYESPPPPKKYPPPYKYESPPPPKKYPPPYKYESPPPPKKYPPPYKYESPPPPKKYPPPYKYESPPPPKEYPPPPPKCPSPPPPPKCPSPPPPPKCPSPPPPPKCPSPPPPPKCPSPPPPSKCPSPPPPPKCPSPPPPPKCPSPPPPPKCPSPSPPPKCPPPPKPHKPKPPKYEYKSPPPPPKEDKCPPPKHEKSPPYKYESPPPPKKDKGYEYKSPPPPKKDEGYEYKSPPPPYHT >KZM98222 pep chromosome:ASM162521v1:4:22061047:22062202:-1 gene:DCAR_014416 transcript:KZM98222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLASPPSAYTFLPIIDSVHHNMDFSGHGKSPRRELQGPRPTPLKVRKDSHKIRKPPPVPQQQHQQPPPVHRPPVIIYTVSPKIIHANPNEFMQLVQRLTGPNSSTTSSSSPVHSSSHSFFPDSGAISPAARYASIEKIKSPEVNQLACV >KZM99414 pep chromosome:ASM162521v1:4:31891078:31892783:-1 gene:DCAR_013224 transcript:KZM99414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWGYKISWEDVELDEYDYEMVRRRVGERDVREGDFVALERESGRNRFIVVDGNGRGDSATVQGAVDMIPDYNSRRVKIYVLPGIYREKVIIPASKPYISLIGNQNAANQTVITWGDKASDIAKDGTVLGTYRSASVTVLSDYFCAAGITFQNSVNAAVGSTGMQAVALRIAGDKAVFYGVRIVGTQDTLLDDTGSHYFYQSYIEGTVDFIFGRARSLYKDCIVHSATKTYGAIAAHHRDSPYDDTGFSFINCTINGSGKVLLGRAWGNYSRIIYSYCNIDDIISPPGWSDWGYPSRDKTAVFGEYECKGKGADRKYRVPWSKSLSYYEAKPFLDLGFISAEKWLGL >KZM96632 pep chromosome:ASM162521v1:4:2708395:2711457:1 gene:DCAR_016006 transcript:KZM96632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIFCGNLEYDARPSELERLFKRYGKVERVDVKSGFAFVYMEDERDAEDAIRRLDHTEFGRKGRRLRVEWTKQERGDRRADISKRPVNTKPTKTLFVINFDPVHTRTRDLERHFDLHGKILNIRIRRNFAFIQFESEEEASRALEATNMSKFMDRVISVEYAIRDDDERRNGHSPERRGRDFSPDKRGYGRGRSPSPYRRDRDSPDYGHGSKPNSRLESRRSPDYGRAESPAAERYQGHGSKLNSRHESRRSPDYERAESPADERYQSRSRSPPPRSRSPPPRERSRS >KZM98033 pep chromosome:ASM162521v1:4:20529140:20531288:1 gene:DCAR_014605 transcript:KZM98033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGSLSYAANLFQTIQNPNLFMYNAMIRAYASKTMNNIDGINPYRGFFLYKQMLYDGIAADCITFPFLLKECVRRQDYGAGRSIHSQIVRYGVHGDVFVGNSVISLYSACGDVDSARKMFDEMWVRDIVSWNSMVIGCLRCGELDMALELFRRMSEKNVITWNSMITGFVQGGRPKEALEFFQEMQVLSDDMVSPDKFTVASTLSACASLGALDHGKWVHNYLVRSGLECDMVVGTALIDMYGKCGSVKRAFEVFEEMPNKDVLAWTAMISVFGYHGMKIQCHPVLQATIILPASPPAMDIYGGSPRNVPAMNEHNQQPHAVREGRRTFQVKEEKRDLEQSQGKKITGPGRTGWM >KZM97774 pep chromosome:ASM162521v1:4:17680389:17688173:-1 gene:DCAR_014864 transcript:KZM97774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDWLCGSCQHLNFKKRDSCHRCRFPKFGSEADMASYGINREEVLAGDWYCNVMNCGAHNYASRTNCFRCAASKEDFYGYGAGVMASGGYACENTVPPGWKCGDWICNRLYSDGYVAPERSLSTHTYSSTMATPIKTLSLKELSEKTSTEMLDGTLPRFKTRTWDMASQEDERMKPLAVINLEVKVDCQKLWQLSLVLNRMSKKMRRSFNI >KZM97309 pep chromosome:ASM162521v1:4:13381835:13383497:-1 gene:DCAR_015329 transcript:KZM97309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMEENAWAMVQKQGKQFVANNRPLYVNGFNTYWLMVFAVDQSTRAKVSEVFQQASSVGLTVCRTWAFNDGQWRALQKAPSVYDEDVFKALDFVISEARKYKIRLILSLINNWDSYGGKPQYVKWGKDAGLNLTSDDDFFSHPTLRSYYKAHTVLNRVNTFNNITYKDDPTIFAWELMNEPRCPSDSSGDKLQEWIEEMAVYVKSIDPNHLVEIGLEGFYGPSTPNRVQFNPNTYAQQVGTDFIRNHNILGVDFASVHIYADSWISQSIDDSHLKFVKSWMESHIEDAEKVLGMPVIFGEYGVSTKDPGYNSSYRDKVISTVYTTLLNSTKKGGSGAGSLLWQVFPEGTDYMDDGYAIVLSKTPSISNIVSLHSKRLATFNSLCSWKCRWGCKKKHRLEELDHHDEL >KZM99352 pep chromosome:ASM162521v1:4:31354926:31363454:-1 gene:DCAR_013286 transcript:KZM99352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTPSPQSNAPQSTNTQSSTRTPLSTVNTNQFSSNSIQSRLSWNQNQTGTNSGEPELQEIQVTREDEILITGCDGLWDVMSSQFSGHELAANISQHAAANTSVSRSSIPKRRGRGPGVNNVINGLNTTNDHSRRLAPGFQEGDETTMVGRVTCQLVRQR >KZM98953 pep chromosome:ASM162521v1:4:28272097:28274999:1 gene:DCAR_013685 transcript:KZM98953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTQSATQDESTSSNDLNGFQLIQAHQEKAARLPPVEEIRTVLDNSVRAMLSTFSQKHEGYPSGSMVDFACDANGSPILAVSSLAVHTKDLLANPKCSLLVPKDPEDRTDLVITVHGDAVSVPEGEKEAIRAAYLARHPDAFWVDFGDFQFLRIEPKVVRFVSGVATALLGSGEFDQEEFREAKVDPIYQFSKPVASHMNKDHGEDTKLIVQHSLSIPVDFADILDLDSLGFNVKAGYKGSTLKLRIPFPRRAVDRKDVKTLIVEMLQTARNPVN >KZM99608 pep chromosome:ASM162521v1:4:33397284:33401055:-1 gene:DCAR_013030 transcript:KZM99608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGLVKWGFRGNRVLDEASKLTVRGVLGTVMENLRNDGARPIIPLGHGDPSSFSCFKTAPVAEDSVVEAIRSAKFNCYGPAAGISAARRSVADHLSLNLPYKLSAEDIFLTVGANHAIEVILSVLARPGANILIPRPGYPVYEARAAFSNVEVRHFNLLPEKGWEVDLDSVGALADDNTVAIVIVNPGNPCGNVFTHEHLKQVAETARRLGILVIADEVYNHLCFGSNPFVPGGVFGTITPVLTLGSLSKRWIVPGWRLGWIAINDPNDILQKHGLVESIKSYISISAEPPTFIQGALPQILEKTTNGFFSNTIEILKEAVDVFYTKLEEIPCLTCPQKPEGAMSCMVKLNLSLLEDIHDDMDFCIKLAREESVVVLPGAAVGLKNWLRITFAVDPAALEDGLERMKAFYLRHAKKQGEADEDQIVSL >KZM97387 pep chromosome:ASM162521v1:4:14153487:14162450:-1 gene:DCAR_015251 transcript:KZM97387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVEKEEGLPPALLGSCNDRAKELHASPSGRLLTALLCEYLDWAQLNHTLKVYLPECNMQKDAWKSELKDFSNKNGYDLNSNGDSGPLLLDVLEGFLKYENLSQAMNTGRRSTAPDPESYSNIESRNARRPSSSTVAGGLPPLGRPGPVSQSSDRRGGSSVSGYRKDEYDWRYDSNEHSEEVGQASSALENLRLDRKAHNLTTSWRCVS >KZM97392 pep chromosome:ASM162521v1:4:14192491:14198755:-1 gene:DCAR_015246 transcript:KZM97392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVYSPLLIESKMRFSDTNLDVSGWQSIDCGTNETRWEGMLQWDVDSDYTQTGSNSNILVQNETIREEFNTLRFFPNSTQDNCYIVPAETQIIRYILRAGFYYGNYDGLSSPPTFNLFINDVKWATVNTSKNNGEPYYEEINYENNGSGFFKICLVQIKDGGVPFISSIEAVVLWDKLYSQMEDNATYNLVTRTNLGGDEIRFDPLKFDEMYNRVWSKGVTPSNCDNFIGYTDVTATIENYPPYLVLMDSVQPTDTDTIILTVDLPQSAPQTAYFVFYVTELKEKSSIERRAMKLEIAGKYQGTVEAPSKGETAVITKYPVTVSGPTINIRLTRDKNSTLPPMIAGMEVFTKWDTGANHTDHSAAAAGHNGQWYLGNYNKVGLLDITGWIIIDCGINDPRWDEALLWDPDSDYTQTGSNKQVQKGTSREEFNTLRVFPNSSQDNCYIVPAETQTIRYIIRAGFYYGNYDGLSSPPTFDLFINDVKWTTVDTSGNNGEPFYEEIMYENNGSGFFKICLKQIKDGGVPFISSLEAVVLSDKMYSQMEKNATYNLVTRTNLGGEEIRFDPLRFDELHNRIWSKGVALSNCHNSTGFIDVTATNENSPPTIVLRDSIESNGSDPIILTVDLPQRTSQSAYIVFYITELVIKNSNQTRIMRIEIDGEDQGTLEAPSVGETSVVTKYPVAVSGPSINITLMRTKESSLPPMIAAMEVFTKWSSEVKQNHTAPASEAAGAYSSFALSLMIPLVFLLVAT >KZM97856 pep chromosome:ASM162521v1:4:18493027:18502649:1 gene:DCAR_014782 transcript:KZM97856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGICSRRSTEDHPSVGGFPNVNARFSYGSGMVYQSRGMPVQENNNADPSPVSESTNKQLRDPFSFPDVHAIHLGMNGDDIDDGIPRLSRALSNRSRTTMSKQIAVAKVSEVSSILGRAGTAGLGKAVEVLDTLGSSMTSLNVSSGFVSGTSIKGNKISILCFEVANTIVKGANLMQSLSVESIRYLKEEVLPSESVQQLISKDTEELLRIAAADKRDELKVFSRELVRFGNRCKDPQWHNLDRYFEKLGSEHTPQKQLKEEAENVMQQMMSLVQYTAELYHELHALDRFEQDYKRKLQEVDNPSISPKGESLAILKAELKSQKKHVKSLKKKSLWSKILEEVMEKLVDIVHYLHAEISDAFGTTADGDRPAKNNHQRLGPAGLALHYANIITQIDTLVTRSSSIPQNTRDALYHGLPPRIKSALRSKLRSFHHKEELTVPYIKAEMEKILQWLVPMATNTSKAHHGFGWVGEWANTGSVLNKKAAGEMELIRIETLHHADKDKTELYILDLVVWLHHLVCQSRSGNGGNRSPSPVISPIRSPNQTTIQLTHRPSSPLPTLTIEDQKMLRDVTTRKLTPGISKSQEFDTAKTRLAKYQRLSKSSSHSPTHEYPKDTFPIKRPSSLPIINFNIDKIRAMDAVDRVDSICSL >KZM98699 pep chromosome:ASM162521v1:4:26225617:26228096:1 gene:DCAR_013939 transcript:KZM98699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIEEILCELNGSEMNEQGMPPGFRFHPTDEELITFYLASKVYNGSFCGVDIAEVDLNRCEPWELPDIAKMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSSSANGGAATLLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSCRHTCKEEWVICRIFQKIGEKKNGGLLQGQSSSSSYMQEASNSSLSRLFDQSLKSGSALSLQPYHTLQSLQNQNQNRSQVLINNIPHEADLKSLITNSSSSSLAVSQASPFPVNNELNGLQTSCSPPKTKMKQDHNLLKTLLPHQDYYCPKEQEEAPFPKICKTESNFSHFQSPHFSTHPHIPNFRFPISTTAEYDMNLAHQITNTPNYKQSPLLFRSLDSDTKNVMGNNCGVGLGSCGFPAYGTGDTEMSTSSSSCSRGLPFSRAGFKQMLLLDPPTKMSAGESWPFHF >KZM99233 pep chromosome:ASM162521v1:4:30451174:30458181:-1 gene:DCAR_013405 transcript:KZM99233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRDKEKGVNVQVLLRCRPFSGDELRNHAPQVVTCNDFQREVAVSQNIAGKQIDRVFTFDKVFGPTACQRDLYDQAVIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNGELPPEAGVIPRAVQQIFDALEGQNAEYSVKVTFLELYNEEITDLLAPEELSRVPVDEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGKTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSTLIKDLYGEIDRLKAEVYASREKNGVYMPKERYYQEESERKAMADQIEQMGVTIENHQKQFEELRAQYNAEVLKCSDLSVELDATQKNLDQTSKLLANTEDEVRRCQYAMRERDFIISEQKKAENALAHQACVLRADLEKSLKDNASLFSKIGREDKLNADNRSVVDKYQAELTKEIHNLCNTVATSITQQNEHLQCIEKFCNSFSDVNVKAVMDVKKKVNASQSLYISHIEAMQNVVRLHKACSNAGLEEISTVVAANVQSVEEFLGAESVEANAIFDELHKSLSIQQGELAIFASELRQKFAASIDLSTNISKYIHDFLDNLIKEAKQLEIHASDNAEVQTRNITDFQKAYEVNAKLVGLKETVVGNKNFLDRHVSSMDGITTDAKRKWQEFSVQAGNDVKNCSDFSAAKHCRMELLLQECVDTNEKAAKHWKKTHESMNEMGNQHASTLSSHVRNVLAGNEQHDAEIASATGAAEDDVAKFSEDLIHHINSVSEQELGSISGILSAAKAHTKTIEVLWDNHSAQSLAIEQKAVDTFQKKFMDYEPTGSTPIRCEPEIPSNGTIESLRAMPMDTLVEEFRENHSSELHDVKESKVSQIPRPPLTQINQV >KZM99126 pep chromosome:ASM162521v1:4:29621495:29623891:-1 gene:DCAR_013512 transcript:KZM99126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPSNSRVRLYQVWKGHNKFFCGGRLIFGPDASSVAKTVSLIGIPAIAFCIKTFLNVAKNSPLRGYSVLIVGIVLLLLDLIFLFVTSGSDPGIVPRSSTPPESGTTSTLSMDWLSRSMSGRKVPRTRDEIVNGKTVRLKFCQTCMIYRPPRTSHCSRCNNCVLKFDHHCPWVGQCIGIRNYPYYILFITSSTVLCIYVFTFTLIHVLQEKGSFWMVLARDILSVIILVYCFLSVWFVGGLSVFHLYLMCTNQTTYENFRSRYDNKKNPYNRGILNNLKELFSTKIPPPLVDFRELVLEDESLCTESYRLKGKTYIGTEAVLGKYHGSNVYSSPRSVNLPGDKNNTEGNKEASGRFGTGSISSPLFPGSRLRRSHSFSGVTSVDNARCEDIMFLRVSSAYH >KZM99731 pep chromosome:ASM162521v1:4:34347875:34349568:-1 gene:DCAR_012907 transcript:KZM99731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITAGPPEPLDFFIWTVEDVGLWLEEINLGSYREIFKENGVNGEYLESMSMFTTEQILRFIRRCHMKWGDFITLCKELRQIKGLSLIWHYNLT >KZM97909 pep chromosome:ASM162521v1:4:19343164:19350419:1 gene:DCAR_014729 transcript:KZM97909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNKTFVDGVRRLFQRRSTSSSSSVNDNTNDQKNTHLTVSNIGPKIVEKEEEGLKITEDFDFSGLSVIKVPKRVTLVMDPHKKWKFGVDYTNVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGRPLFPGKNVVHQLDLMTDLLGTSPPETIARIRNEKARRYLNSMRRKQPVPFSQKFPKADPLALRLLERLLSFDPKDRPSAAEALADPYFQGLANVEREPSTQPISKLEFEFDRKKLAKDDVRELIYREILEYHPQMLQEYLRGGEQTSFMYPSGVDRFKRQFAHLEEHPGKGGKNTPLQRQHASLPRERVPAPKDEATTHESNDFEKRTADSVASTLHSPPGQSDSARSLLKSASISASKCIGVQPTKEAEEDALAEKLEEVEGVTKAVAAVVV >KZM98458 pep chromosome:ASM162521v1:4:24151762:24154715:-1 gene:DCAR_014180 transcript:KZM98458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEVQNQENPETLVENDEKQGEMMINEDSDEKQGEMMTNEDSDEKQGEMMINEDNNEKQGEMMINEDSHVEDPSPGVLETPNSGPECDNTLVDKLPDNDETFETALSSPLQSPSSGNVNNNIPINIHGVVQLKSMFDNIKKKSVKRLTSTSIANYYEQSRKSIRWKLGRSQASEDSLEWMIPKPSWRNFTLQELEAATKKFSPAKLLGKGGHAEVYKGLLPDGQIVAVKRITKKEKKDEDRAKEFLSELGIIAHINHPNAAKLIGFGTENGLYLVLQYAPNGSLGSLLHGNSKKTLDWNRRFKVALGIADGLKYLHSDCQRRIIHRDITASNILLSEDYEPEISDFGLAKWLPENWLHHVVSPIEGTFGYMAPEYFMHGIVHEKTDVFAFGVILLELITGRRAVDSRRQSLVKWAMPFLENNNVQGLVDPRLGDDYDIIEMERAVSAASLCVQQSTDTRPNMSTVCHLLRGESNEVDMEHQNSSRGKSVMVDALSTEDYNSTAYLKDLDRHKELMME >KZM99238 pep chromosome:ASM162521v1:4:30484956:30485296:1 gene:DCAR_013400 transcript:KZM99238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDASDSFPAADAEKELNPGTNTEVEVDSVSSEIAEKKAEGSAGKKEKGKNDPMQTFKTTMIVSGVVIVVLAVALAVSKKMKENKA >KZM99525 pep chromosome:ASM162521v1:4:32742140:32744629:-1 gene:DCAR_013113 transcript:KZM99525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLSLIMLIVPSIFMVMLMQCSSCPAYQKQSLLQFKSSLLTTLFNSSYSPSDILILHLESWNSTSDCCKWDRVVCGLRSRDITALHLDFLFLMPLQDPIEVNARILDPVFRIRSLTLLDISDNSIQGGISGKGLANLTKLVDLNMAGNSFNGSIPAELFSLKFLQLLDLSRNSLEGGLRIEVGKLEDLRTLNLDENFLEGNIPVQIGNLTKLQQLSIRQNKFSGGIPDSILNLKRLEVIDLRNNQLVLQIPSEIGTLSYISTLALSKNSFTGAIPRSIFNLSKLETLRLDDNKLSGEIPTWLFEMWRIKNLGLGGNNMNWNNNARIVPKFRLSQLFLRSCKIAGEIPEWISTQKNINLLELSDNQLTGRFPIWLAEMEVESILLSDNMLNGSIPSHLFQSLSLSFLDLSRNNFSGKLPENIGDANQILFLMLSGNHFSGPIPKSIAKMRRLFLLDLSRNRFSGNTFPVFDPDDILTYVDLSSNELSGEIPVSFCKETKILALQENKFSGNLPRNLTHMNQLEQLYLHDNNITGKFPKFLSQISTLQVLTLRNNSLHGSLPSNSFSNKSCLRILDLSNNNLVGSIPSELGNLNGMTEVHFTPSLLTVFSTSSIELNDLFVNWKTENHLIVNWKKVIQDLSGHSFDIYSLLDLSKNKLSGDIPASLGNLKELKQLNISNNRIAGNIPQSFGDLESIETLDLSNNIISGVIPESFGKLTQLSVLDVSNNKLCGIIPRGRQMDTMNVLSYFANNSGLCGMQIGVTCSRDEPTPETREQDDTSGLDTWFLWAGIWIGFPLGFILSVFVIFVSGYFYLPPTPKYYSIQYRHRV >KZM96835 pep chromosome:ASM162521v1:4:7414586:7416487:-1 gene:DCAR_015803 transcript:KZM96835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRELVELEYGDDSEKLAFPNRDSARGFEVVDTIKTAVENACSGIVSCADILTIATRDSVLLSGGPNWKVFLGRRDGLVANQTGANSNLAGPTETIQYILTKFTNVGLDLIDVVSLSGFVTSIKFNGS >KZM97778 pep chromosome:ASM162521v1:4:17721966:17722388:1 gene:DCAR_014860 transcript:KZM97778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSDQYNREQIALRQQKRAAPIKFLIPLIYAPVLPLIRLTLRHKPVLRDRLFTGVLIGAFAHGAYLVYPFSPFL >KZM97242 pep chromosome:ASM162521v1:4:12709321:12710870:-1 gene:DCAR_015396 transcript:KZM97242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAQSRMKKVGCVKRDIISELPQNVLEIILCFLPIQDAVRTSFLSRAWRYRWTTIPHLIFNLESTDRVMEKYSQNDDAELQAYRFVSVINKILLLHKGPILKFSLSIPRGLFPNNFWAPNLKRLIQVYHGISSEYSLAGLQKLEEYSLMLLEDCSEEMQTLNVVKIPGSLHKIEKFSLAYDSLKNSMDGREEELEEYQIEDSEECIMLHLEIVSFSDFKGVIAELELVKFLLACSPSLKSLFIHRDCSIKDCASALKITEEMLQYTRASPRAQIRHLESPVNIIYGTNCFDRKLWSDNYSLF >KZM99187 pep chromosome:ASM162521v1:4:30054605:30057122:-1 gene:DCAR_013451 transcript:KZM99187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKSAAVLSITRRHLLSTRTNTPPSRTLTLFSYFHTTPEQPRVYNTLTFQSPATARFSRRNISSDSRDILNCWNCNAVAASTTPFLFCQSCRAVQPVDHSIDYFQIFSLEKRFDIDDENLERKYKDWQKKLHPDLVHSKSKEEREYAAEQSARVIDAYRTLTDALARAMYIMRLAGVEVDEEQTVSESELLCEIMEIREAVEEAADPQALNEIKAQMQQKLRVWGESFANAFQNKNYVEAQKSIQRMTYYKRVNEEIVKKL >KZM99650 pep chromosome:ASM162521v1:4:33752225:33756587:1 gene:DCAR_012988 transcript:KZM99650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQESICKETNAEDGLVKCSSLVSFDGVFNDSVDFDVNGGNGISKVVDDVEKDVEGSSGRMEDGGGVVRSVGIVGVEGTEVRSGVMLSVECRGDASREIGGGEGDGIVGGSEVSGFGGNCRITGNALEIDSDGAKNGERVSDGENGDSSESESEYSSGSESEDSSDSSEYESETSSLSMSSSSSEEDDDVEEDSDEDNEGEVKEKAWEEVEVYAKEFVNHVLNNGKLYKKGYDASGENDEELSDEAEFSDDEKEAEYQRMQKVSKRGPKAQIIENMKDTKIKAKRRGEQHLSATQPNKRVGQVPSNQSQPSSLLDPRNCSNSFCPVQAFPGGYGSFPMFPQVTQVPGYVAPFNGVWMNKIPFQPPRSIGFPNGFSGFPNGFTSNNIPQFQLNYAQQPYLMLPFNGMPLQQHFSTGQILPSNFVLPVGQPSFGAGATFTPLNPMMMSQNNSGQLQAPIGQNNFCQFQVPINMQGQYSMNFSEAQAPVGWQGLHVPTLTSEGQGVLLTGCQSEQNSNSQSFSATSASSGDS >KZM96979 pep chromosome:ASM162521v1:4:9396215:9403157:-1 gene:DCAR_015659 transcript:KZM96979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWGSKSSSSSSPSSVSQSPGFTGDISSGGLSLTTSNTVSGGSNVSGNSAFSASSGGEEVCPSGQILPTPNLRIFSFAELKIATKNFRNDTVLGEGGFGKVHKGWLDDKGGSSRGGSGSVVAVKKLNSESMQGYEEWQSEVNFLGRLSHPNLVRLVGYCWEDKELLLVYEFMQKGSLENHLFGRGSAVQPLPWGIRLKILIGAARGLAFLHASEKQIIYRDFKASNILLDGSYHAKLSDFGLAKIGPSASKSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEMLTGMRALDTNRPSAQHNLVEWVKPHLSDKRKLKNIMDSRLEGKYPSRGAVLIAQLALTCLAPEQKTRPSMKEVVERLEGVEAVNEKPRPPRVHSSQHLSSRNAQSYRSPHHPRQDRNRLHKLPTSLVEATRKNISMGEQAGKKLIKALLKNSQNPALAWQIFTRIASSSHHPTSILPSIPHITRILVAAKMFPQIHFLTNLLLVNTQSSSSSYTYLLTLVRIIANAGHLDKAIPHFRAFRNRFPAEPPSISFYNMLIHASLDQNNPDFVSWLYQDLILAGVSPQTYTFNLFIAGLCDSGRLEDARNLFDRMPEKGCLPNEFTFGILIGGYCRNGHANKGVELLDYMESIGVAANVVIFNTLVSRFCKDGKTDEAEKLVERMRLAGINPNVVTFNSRISALCSSGKILEASRIFRDMQIDKELGLPQPNNVTYNLMLQGFYTQGMLQEASALVDSMKTNGIFTQLESYNIWLLGLVRNGKLLETQSVLNEMVDKCIGPNIVSYNIVIDGLCKNGMLSDARTVMGLMKSNGVTPDTVTYSSLLHGYCKKEKVGEATKILHEMIKGGCFPNTYTCNILLHSLWGQGKIAEAEKLLQKMNERGYRVDTVTCNIVIEGLCKSGKVDKAIDIVNGMWTHGSAALGELGNLYIGLVDDDNNTNAIKCKPDLVTYSTLINTLCKEGRLDEAKKKFIEMMKKKLYPDSLLYNIFVHSFCKIGKLSSAFRVLKDMEEKGCGKNLVTYNSLICGLGKKGQIFEMYGLMDEMKERGVSPTVATYNNLISCLCENERAEDAINLLDEMLLKGLSPNISSFQYLMSAFCKVGEFVPAREVFSISLSIVGHKEILYSLLFNGLLAKEEVLEAKDLFEAAVERSLDIGSFLYKDLIDGLCKNEFIDLASDILQKMINKGYSFDHASFVPVIDGLSKRGKKLEADELAERMLEMASEVKVTNKVCADARVYEKSKKYRESDWKTIVHRDDGSGTTLKTLRRVQKGWGQGSISSFQPPKTDYLDVWDG >KZM97135 pep chromosome:ASM162521v1:4:11317272:11323207:1 gene:DCAR_015503 transcript:KZM97135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSEFTTLWKGAMSLVNLKILDVSGSKHLKAAPNFGNAKKVEKLLFSDCTSLQKVHPTIGVLSNLKHLDLYGCEQLIELPDTIGQLTKLDYLNVSDCVNLTQLPEPITQLTNLSCLYMDGCRNVKRLPEQLGDIKVLKKLSACDTGIEKLPDSITQMKGLVQLDLSRCRNLKKLPEHFGDLEGLELFGACDTALEELPDSFVCLNNLVHMNLSSCKNLINLPDGIGKLKLLKEIDLGSCSKLKRLPEDIGKLPCLQELDAQYTAIEKLPDSIGQLGSLKRLMLSCCRRLTWLPDSIGNLTSLRSLHLEGSSIIKWPETAKRMRLRCLTAWSSLPELPLNIKHLRVEDASTLEQLPDLSSYKKLWRLVIRRCMSLQVLALLPPHLEDLVVSKCNSLQNPPDLSLLKKLRYLRIVECNSVKSVCFEERLLQASITADIPNKEIPGWFKYRCNGCTLSFNFPPILEDSHFHLTLWVVYKIINIDDPQRCIRAVISNETQGITKNYHMDLPPDQGGILQSKVGWLSRNFISLEGGDRITILFQSSIYHFWEEVGSGEVTVEMCGVHVRQTPSSTS >KZM98447 pep chromosome:ASM162521v1:4:24055253:24055594:-1 gene:DCAR_014191 transcript:KZM98447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLPKTALPPLPSTPTLPKIASPPLPAMPTLPKVASPPLPAMPTLPKTALPPLPSTPTLPKPTTQIPSLPKPVLPTMPTLPKATLPPLPATSLPNMPTIPSLFPPPPSTTSP >KZM97330 pep chromosome:ASM162521v1:4:13623064:13624492:1 gene:DCAR_015308 transcript:KZM97330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKSRYDEIVKEVSSYLKKVGYNPDKIAFIPISGFEGDNMIDRSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGIVKMIPTKPMVVETFAEYSPLGRFAVRDMRQTVAVGVIKSVEKKEPTGAKITKAAAKKK >KZM96594 pep chromosome:ASM162521v1:4:1830864:1834238:1 gene:DCAR_016044 transcript:KZM96594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFGAHTKIPEAVKLKGGLFVSSSVHLCSWVSLAIRISKYILGSISISELHQKSSSSILAIHERGSTLKRSRRVFRSNAENTKGDEAQNTNLQLGNISGIRGKSTVSEVRKTPPRGTIFMENTQASPNDQLPLSMLTNQSLRTTGSVFNVNTPSSAKIRPPLSNFTNHSLRYSGSVFNLNTQVSPNSQTPLSNLTNHILRSSGSVFNLNTQVSPNTQTPLSNLTNHILRSSGEGINSNAQTNTNNRPSLSQLTNNRSRSSGLKKTAQTTINKDTTPLSNMTNKRKRLNGEAKGTVNNKRQVQTAAMSAHRRVDLIDTPAEGMPITRLFPDDTEQTDEMVEEMEISPVDSDAEMEDDDIVYDGYLYGSDSDVDDVTCDDFSVGPFEGMLHNS >KZM99654 pep chromosome:ASM162521v1:4:33773477:33774370:1 gene:DCAR_012984 transcript:KZM99654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLDNRISSERNYSNDFCIIFGSCRGLVCLESSGSISLWNPSIRKSLIISAKPRNYVLGFGVCNVTNDHKVVKLVYKQNISSRCMVQPEVEIYSLSTRSWRSVSDPAPSNHLVRDFWGYQCFAFGSVHWIASDVNREGDKYNLVVSFDMSKEVFNKLMFPNSIANYTRKLSITVLGDSLAALEFMNWKRGQRSYCVSVMKEYGVSESWTKLFTVKIPYWLENVTFREDGRIILPLNNNKLAFCDPESYDIKDLDNAGDFATYGIFTYTESLVLLKGRSSIPEGMPNLFAASAAKCS >KZM98238 pep chromosome:ASM162521v1:4:22151502:22156814:1 gene:DCAR_014400 transcript:KZM98238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEQSSQPEFDYLFKLLMIGDSGVGKSSLLLSFTSNTFEDLSPTIGVDFKVKHVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRDTFTNLSDIWSKEIDLYSTNQDCIKMLVGNKVDKESERVVTKKEGIDFAREYGCLFIECSAKTRVNVEQCFEELVLKILETPSLLADGSTAGKRNIFKQAPAQDAAASGCC >KZM99873 pep chromosome:ASM162521v1:4:35488713:35492292:-1 gene:DCAR_012765 transcript:KZM99873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYTKNVFFSRPSSPPDSPLSSEKSLGSRRQLTRQRKFRHATEFDLGLQPIEVRSKSVPVSPNRGSLSPTSFRHRSVPAVPQPLPLPDLSSFLKADDKLRVQINGRPRVGEDSSSSPVTRGKRTDYVASKSSRVPTYHRRGFHFENAGNAHNFRLDVPARSAPTSGFSSPAVSPQRFGSVDVLHSSYAVPREFNISIDRNVVPNSYVLPERVLPSPDRSPHHSPALPSPHQNIRYRSGIARHSHYKSLPGSPATIPERYNSSVHQLPLPPGVPSPSHLPNTSHTTNESSTKGQWQKEKLIGRGTYGSVYVAISRHDGAMCAMKEVDIIPSDSKSAECIKQLEQEIKVLQQLDHPNIVQYLGSDIIEDRFCIYLEYVHPGSINNYVREHYRSITESVVRSFTRHILSGLAYLHSTNTIHRDIKGANLLVNSSGVVKLADFGLAKHVRSKSLQPLLTSYAVDLSLKGSPHWMAPEVLLSMMRKDPKPELAFAVDIWSVGCTVIEMLTGKPPWSEYSGVQAMFNVLNRSPAIPDSLSAEGKDFLGRKNPCLLLIEQTELEVGFTIYIGYKGKSS >KZM97816 pep chromosome:ASM162521v1:4:18081970:18083176:-1 gene:DCAR_014822 transcript:KZM97816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTPVYAFLICVLQFLHLCAAQNVKGGYWFPDSGIAASDIDSTLFTHLFCAFSDLNSNTNQVVISSANAAQFSQFTNTVQIKNPSVKTLLSIGGGASYDADFAKMASTSASRKSFIDSSLQLARSYNFHGLDLDYEYPLSANDMVNLGTLLDEWRVAAAAEAQASGKQRILLTAAVSVGPSVDGLRYPIQSISRSLDWINVMAYDFYGPPWAPSMTNAHAALYDPSGRVSASSGISSWIQAGVSANKLVLGLPFYGYSWQLANANNHGLMAPANGAPGGSGDGSKGYNQILDFIANNNAPKVYNSTIVADYCYSGTTWIGYDDKQSISTKVPYAKQKGLLGYFAWHVAADANWALSQQAKQAWGA >KZM97103 pep chromosome:ASM162521v1:4:10838377:10841915:1 gene:DCAR_015535 transcript:KZM97103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQVSSFSSPCSRPHSRHSWVLDMGGTIQIENALSVIIPSIGPDCHFKTQLRGEKTESGNGCSYYTIFHLRPLLTRGLSMQWRIGHYIRIDRIEIIERLNIMDARSLGLIDLMGSDRTENRNIEGFGHNAGRRGIVDFGPLIWVRNKEYCSEAAAETQTELAVNSRNPTSSA >KZM98250 pep chromosome:ASM162521v1:4:22247489:22251570:1 gene:DCAR_014388 transcript:KZM98250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIATAAALSLPISFSPYSKSISKRGFKAGFRVFAILGDENGVVDKKNPWGAIFEVEQPRFKVTHVRGKFVDVNEALEVARFDIQYCDWRARQDLLTIMLLHEKVVDVLNPLAREYKSIGTVKKELAELQQELAQAHRQVHISEARVGAAVDKLAYMEELVNDRLLQDRSVTETDGISPSPSTSAESLETVKKKMPRKSLNVAGPVQPYHSRLKNFWYPVAFTSDLKDDTMIPIDCFEQPWVLFRGKDGKPGCIKNTCAHRACPLDLGSINEGRIQCPYHGWEYATDGTCEKMPSTKFVNVKLKALPCFEQEGMIWIWPGSDPPAATIPSLQPPPGFKIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFKPPCIVLSTIGISKPGKLEGQSTSQCATHLHQLHVCLPSSKNKTRLLYRMSLDFAPILQYVPFIDHLWKHFAEKVLNEDLRLVLGQQDRMINGENVWNMPVSYDKLGVRYRLWRDAVERGVKQLPFSS >KZM96752 pep chromosome:ASM162521v1:4:5781543:5782976:1 gene:DCAR_015886 transcript:KZM96752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTDSSGSDSGQEEMEKPQKVSDYEKQRLKRMAENKARMEAMGLHKMASSLMGRAQKKSKKRDSKGKRKVGEDDDEDYEPVADSETEVDDDDEDYVGGNSAATKSNKAKKKNSASSKKVVANKNVSSNSNFVDEDEDAALLQAIALSLQDSSGFLNVLDRSPSKSSLTPKKDCNVDKIKDSPLVKEDRGGRKRKKTTSSRVQMTEDELILHFFEFDEVGQGSITLQDLRRVAAAHDFTWSDKEMAYMIQIFDSDGDGKVITCLYRVLDP >KZM98806 pep chromosome:ASM162521v1:4:27036084:27037936:1 gene:DCAR_013832 transcript:KZM98806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEGTRMVCVTGASGYIGSSLVMRLLQRGYHVRATVRDPGNEKKVKHLLELENASTNLSLWKADLKEEGSYDDAIQGCEGVFHVATPMELVLLKDNVGMDEIKSTTVNGMLSIMRSCSKAKTVKRFIYTASVYTVAMQPQPQPSLDEYTEDHWSDIDLCFNQRMFGWMYAIAKTSAEKAGWKYAEEHGIDMVTVHPSLVVGSFINPCTSFSIDTAVSLYAKDETTIAWLKGLHGITAVHVDDVCNAHIYLYEHPEAKGRYICSSHSFNIFDIAVSLSLKYPGKTIPTEFGVLDKTFALPCSSKKLMELGFKFAHQNKDAGELCAETIQSCIEKDLV >KZM99581 pep chromosome:ASM162521v1:4:33189916:33192195:1 gene:DCAR_013057 transcript:KZM99581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNNNKPLKQERCEMIDLGDSDSDVVTGDTTDDSSDDDVKPEIVLPLGFLDPLTPEERALMNKKVSDPVHSSLRVTNEVSNVGETVGESNDVAVNEFKQFWNAGGYEAKGDRAAVETEPCNMDRLRVNPQFLHSNATSHKWALGAFAELLDNALDEVCNGASYVHLDVIKSKRDHSKMLLVEDNGGGMSPDMLRQCMSLGYSSKSGQDNTIGLYGNGFKTSTMRLGADVIVFSRSRGRDGGSSTQSVGMLSSTFLKRTNKDEIVVPMIDYKKGGSSWDMIVRSSRDDWRQNLDTLLVWSPFSSEAELFKEFDLIKDQGTRIVIYNLWQDDQGDLELDFDTDKYDIQIKGANRDEKKIEMANTYPNSRHFLTYSHSLRSYAAILYLRVPPGFRIILRGRDVEHHNLVNDMMYKQEHVYRPVRVSDDSVKGQSQAFASVIIGFVKDAKAHIDVQGFCVYHKNRLIRPFWRVWNAAGSGGRGIIGVIEADFVKPAHDKQGFEHTSVLIRLESRIREIQKKYWGDNCHQIGYVKGPFSGKQRGRPASDSGNNQASDSGEENCHQIGHAKGSIYGKRRGPDLNTPASDSGEDDNSVQPTTKKMKSVSNELKDDRQNAPEPSLKRRDHKQAAVPVTTVIHSQHPTNAGSNEIEKLKKENVELREKLKKIEGVNVASLKRELQYEKDRNNAMETKLKQAEEKIEEFDKEQETLIDIFSEERSRRDEEEDKLRRRLKEASKTIEDLEKKLKQLENRPPVVSCKIER >KZM98731 pep chromosome:ASM162521v1:4:26463424:26466480:-1 gene:DCAR_013907 transcript:KZM98731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTNSILSSSKQFFGSQLVLLSPPTPKTTRSSSLPSLSKHKFLIAHSLLNHNKKPKSSNLVQNLTSNAALAALLFSSVAPQAIALDDTVPPPSAPEVIQAEAASKSSPFAQSLSLDAPKPQAVADIPDGTQWRYSEFLNAVKKGKVERVRFNKDGGVLQLTAVDGRRASVVVPNDPDLIDILAMNGVDISVSEGEASNGLLGFIGNLVFPFLAFAGLFFLFQRSQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGTPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKSLAKDVDFDKVARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEIADALERIIAGPEKKNAVVSEEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGKENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAVGGSGGDPFLGQQMSSQKDYSMATADVVDSEVRELVEKAYARATEIINTHIDILHKLAQLLIEKESVDGEEFMSLFIDGKAELYVA >KZM99526 pep chromosome:ASM162521v1:4:32754175:32759092:-1 gene:DCAR_013112 transcript:KZM99526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTKTPADKSHSSKRHRDHQSATDTSSSSFSNRLANEFEKRFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMIHDSTALEPRCRFQDDDSEEYGSPIVLASTIADTIKSRTEALLKKTKAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPERTPEEILSMVKTLASPPHRILLFLQQSSVEWCSSLWLDTIREIDPTFKRTIIVVSKFDNRLKEFTDRWEVDRYLSASGYLGENCRPYFVALPKEKSMISNEEFRRQISHVDAEVLHHLRDGVKGGFDEEKFKSHIGFGCLRDYLELELQKRYKEAAPATLALLEQRCGEVTDELARMDSKIQATSDVAHLRRSAMLHAAAICNHVGDLIEGAADPCPEQWGKTTEEEKSESGIGSWPGVTADIKPPNATLRLYGGAAFERVMHEFRCATYSIECPSVSREKVANILLAHAGRGGGRGITEAAAEIARAAARSWLAPLLDSACDRLAFVLGNLFDLAALRNQQRNSDNGSKLTDMDGYVGYHAALRRTYNCFIKDLAKQCKQLVRHHLDSVTSPYSLVCYENDLLGSFGPSTNSTYRYNHALAGSFGLELSERAVVVHNDSMKDQENIPPENNAQETTPGKVADGREARRESLMTVPETPSPDQPTDVNFAIKKELGHCHEIAGRKRHSRTIGTNRNVDQTRGNNGCGFLLGNGDNGSRSGSAYSEICSLAAQHFARIREVLVERSVTSTLNSGFLTPCQERLVVAVGLDLFAVNDEKFMDMFVAPGAIDILQEEKQSLQKRQKILHSCLNEFKSVARAL >KZM97408 pep chromosome:ASM162521v1:4:14330588:14346140:-1 gene:DCAR_015230 transcript:KZM97408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTYASSSIPNLTAMPHRSIKIIPLEHPSTSTPSSSPSQLSAAVSKWTARMKQKTRDEWIEMFVPCYRWMRIYNWSEYLQADLMAGVTVGVMLVPQSMSYAKLAGLHPIYGLYSGFVPIFVYAIFGSSRQLAIGPVALVSLLVSNVLGSIVDPSDDMYTELAILLALMVGIMECIMGLLRLGWLIRFISHSVISGFTTSSAIVIALSQAKYFLGYDVDGSSKIIPVVTSIINGFDKFLWQPFIMGSFILAILLIMKHLVGNIPQGLPEFSIPKGLGHAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANILGSMFSAYPTTGSFSRSAVNHESGAKTGLSGILMGIIMCCALLFMTPIFEYIPQNQLARGQRLRELLKQSQSAPLAVEEQIMTIYTGTNGYLDSLEIGQCALAAIVISAVVGLVDYDEAIFLWRVDKKDFLLWTITSLTTLFLGIEIGVLVGVGFSLAFVIHESANPHIDVIIRLREYELEIDEPTRRGPELERVYFVILEMAPVTYIDSSAIQALKDLYIEYKSRNIQLAISNPNRDVLLSLTRSSVVDLIGKEWYFVRVHDAVQTCLQHVQSLSASGKSPELESDYRPNLFQRVLKPRAEDFTTSELESGYKHVPISKETNPNLEPLLPPRKF >KZM97122 pep chromosome:ASM162521v1:4:11149194:11153199:1 gene:DCAR_015516 transcript:KZM97122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAAGCKNQNNNKPCTMVFCHKCLLNRYGENAEEVEGLEEWSCPKCRGICNCSFCRKKQGQLPTGILCHVAKATGYSSVSDLLHAKSPENSALSKNVSDTGASPKNQSASKKEISSPRKKEKENLFDGRLDINLESPTSSVGVSRITRSKKSGMMQGESLENDSLSECYSCPDKEPKKTKQKTSKMLYAGQKDSAALRGCVSSTPLKNSPSKLRVPDVSKHYEVKPDEMVDVFEVGDSCMTKVSADVPADSYKSKKRNADIDSEKPDNKKVKKELKPEIVKGSMNWLQFQSQDLGADLPVGTELTTVGDVKLPLKDVGNALQFLEFCAAFGQTLDMKKGQSISILQELMNAESRKHRKLEHHSAVVRFHIQLLSLLQEESESSFQVLLYLQDKSLKQKIMNELAQAIIAKDGASLSISEHDEIVRRIKSEAAQAHKEMRGSMSVGPKTKERAKAVRTEPMFLDVDGHAFWRLDGYSEESNILVQDGYIYLGSFGGLYVLV >KZM99140 pep chromosome:ASM162521v1:4:29742314:29746101:-1 gene:DCAR_013498 transcript:KZM99140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSFTISSSSFSTPIVSSRHSQCISFCNSTLPRNNRYNKHRSRAYLLATSRNCVSYKPTSAAAAPSDFDTVNIAEDVTQLIGRTPMVYLNKVTEGCVANIAAKLESMEPCRTVKDRIGYSMISEAEESGAISPGKSILVEPTSGNTGLAIAFVAAVKGYQVVVTMPSSINIERRILLRAFGAKVVLTDPTQGINGAVDKAKEIVRSTPNAHMFRQFDNENNTKIHFETTGPEIWEDTLGTVDILVAGMGTGGTVTGTGRYLKMMNEHIKVIGVEPAERSIISGENPGINAGYVPKILDVNLLDEVLKVTNKEAVEMARKLALEEGLLVGISSGAAAAAAIRIAKRPQNAGKLIAVSEYFA >KZM99360 pep chromosome:ASM162521v1:4:31441325:31443605:-1 gene:DCAR_013278 transcript:KZM99360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRKLANPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRKNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGN >KZM97068 pep chromosome:ASM162521v1:4:10373320:10373577:-1 gene:DCAR_015570 transcript:KZM97068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHSQEHPVDKEKNGYNNVPSSPKQRVPPRRGQIKKRIFGKLIKALMFLMAGRGRSGGNGGGGGGRDGRGCLKYSASKTSPRQV >KZM97320 pep chromosome:ASM162521v1:4:13493645:13494044:-1 gene:DCAR_015318 transcript:KZM97320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAAPLGCPRECQMDARRDVEQRLFTEVLRTTAVPRRHNRESWLSIDLWIDVTDALMPKLVDGLPSAI >KZM96931 pep chromosome:ASM162521v1:4:8855457:8855708:1 gene:DCAR_015707 transcript:KZM96931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYDKKGDFCFSAGSSDGLKKYKSESSAEAEKRGREKGKAKIMHEFCFNDDGLLSQEALNLLDGGNLHCFSGAPLDSLVGNGV >KZM96999 pep chromosome:ASM162521v1:4:9634945:9635846:1 gene:DCAR_015639 transcript:KZM96999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPEESGFDLLRDYNWSAKDTEFKIFEKKLMKEELDRCRKKTNAQIMFIAYTTGSFRVGFTMPGGYPQNREPDEEKVLLSKKTAFNAFVTTYGCIVFGIIDIFSFSLADCIFTRRYRPIVYTSTVLNIISVTAMMLTFITGTYNIVSVIAMMLTFITGTYNVVSVIAMMLTFIAGEHITLFLS >KZM97131 pep chromosome:ASM162521v1:4:11267419:11270279:1 gene:DCAR_015507 transcript:KZM97131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERMKKYRQVSPERAKVWTEKSPKYHQQQPRRKVSVVYYLSRNRQLEHPHFIEVSLSSPDGLYLRDVIDRLNVLRGRGMASMYSWSCKRSYRTGFVWHDLCEDDLIHPAHGNEYVLKGSELFDEPNSVHFSPAGNIRSHNLKQLPDPAFSRSQDDSPSSETLTERDTKQSLDDELSPPVQRSSSSVVSPDSKVGKASPSSGSLSLTEYKIYKSEGLANASTQTEDNMSRPRETCTRGVSTEDVSVGHEHNDAHQIPAQCVKETSEICRDPVSPPTCSSSASSSGGKTDTLESLIRADASKFNSFRTLREDEMGTPPHMKLKASNMLLQLISCGSISVKDHNFGLIPNYRPGLSATKFPSPLFSTSVMFGDLDCLSENPRLMSQRMEDKEYFSGSLVETSMPKEGLPSLKRSSSYNDRTCDLSKDSVEDKEKSTSSKCIPRAIKVSLGKQPKSESMRSPVSEGPRVSSSDRVHSARITSPCVSNAGSGRITDPGMKQSKKADSFRSEKDSVIKIEES >KZM96909 pep chromosome:ASM162521v1:4:8622274:8623260:1 gene:DCAR_015729 transcript:KZM96909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILVSVIAEPIVGKVIDLAASFIASQIDLLRHLKGDVGKLKSKLTMIHNVLKDAEEKQTGSHQLRDWLQKLQEASYDAEDLLEMFETEALLLQKKKEATKLPLIPREAFWKHSSAGKIKKLLSRLEDIAREKNDFGLENIHADVPSYDRTTTSFVNETDVVGREEDMKKISDMLLSEELNSQGGISVIPIIAMGGMGKTTLAQSIYNNETIQSHFKVVMWACVGYKFDIKSVLKEMIETHSKMKVAELDELPLHLLESRLLNVISTNCILVVLDDVWSVNYDDYEKLERLLKSAGKGSRVLITSRDSGVFSLNSSQPDFKMPSRRS >KZM97690 pep chromosome:ASM162521v1:4:16960413:16961732:-1 gene:DCAR_014948 transcript:KZM97690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTPLSIAAVLLLLLALLSPTTAEIKNLKIISDARPMILFEKFGFTHTGFVSVSVSSVSVASTLSQPDPSRLGFFLLSEESLIQVLLELQQNPNFCVVDSHYINLLFTFRDLSPPPHSSFNKSYPVTSPNEYSLFFANCAPESQVTMEVRTEVYNYDNGGTTKDYLPAGLTQLPAMYFMFSLVYIGFLVVWAFSCYNNKRSVHRIHLLMAGLLVMKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLVGTGWSFLKPFLQEKEKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQVFLLVDIVCCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEELASLAFYLVMFYMFRPVEKNEYFVLDNEEEEAAEMALRDEEFEL >KZM97056 pep chromosome:ASM162521v1:4:10192201:10192539:1 gene:DCAR_015582 transcript:KZM97056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEIYHCSQKLFKNKGIFVAGYLYWLTDGDQILMFSPKDELSLLIAVPVPRAEYRSIPQMCLGEYKGKLCYVVVSVDGLVLWVLEDMFNCVDTGTFSSSRPARGQTSVISR >KZM96997 pep chromosome:ASM162521v1:4:9611783:9616369:-1 gene:DCAR_015641 transcript:KZM96997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLMLGNDGILVSKIDGTECLKEPLPAQYGGGIFTETKRTNGGVFPQKVQLKDGLYYVFSAWVQASKGSENSITAAFNYSSGAIFEIGTVVASHGCWSMLKGGVVANVTAPAHIIFKAKNALAEIWVDNVSLQPFTKEQWRSHQEQRISEVRKRTIRLNISDANKNGEAVRGAKVIINQVKQHFPFGCSMTKNIVNNQAYQSWFSSRFRVTTFRNEMKWYDNEPSQGQENYANTDFMVNFAQSHGISIRGHNVFWEDPHYQPNWVYGLNPDQLWAATNTRINSVMSRYRGKVIAWDVVNENLHFRFFDDKLGNGFSNTEYAKAHQLDGATLFMNEYNTVEDGRDPASLPQNYINKLREIQGSVGGAPIGIGLQSHFSQYQPNIVYMRSALDTLGATGMPVWLTEVDVKPNPNQVQYLEQILREGFAHPAVQGIVMWSGPAVDGYDMTLADNNFRNTPVGDLVDRLLNEWRSEVEEFTADDNGLLEVSLFHGEYEVTVLHPTKNSSTIQSVEINDKLPANENFHIHIHV >KZM98789 pep chromosome:ASM162521v1:4:26914409:26918163:-1 gene:DCAR_013849 transcript:KZM98789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKFIDKKKSATYQLIARDTSDPNYNQGPSGDTVFVRIDENPCSFENFNEADSHDATPDSDSIFADAPDDCDDEDETGASRRQMQAPLMPNGTPALPDNIRKEIIELGFPDDGYNYLAHMREIKNTGAGSVYYENPKAKLDQMQNDVKAYDASRIEISKVNDEPYEKSIYSVASMTAGVRVQKVVDPEVAALLDDNDSRFGSDIEDLEEDFVISANLPEDETDAKLDKKQNFMDGPIESSEVKASDLQEKVTQSFVGKGKNIINDGSDKIDENPRVRRLLDEQFDMLELQEYGSDGSDEYGGFMDDEDEYHEPLAEKLNHSVKYPVKDELGLEDKYIAPSDLLHGDKTLNDAESHEGAAEVIQRCMEYAEKYENELTDEEVVVLEESSDESEIWDCETIVTTYSNLDNHPGKIEVPESRRKKKLAETFAGALNAPSNIISLKGKQNLPVEFLPGSRKSAKEKVKDDGNLKTEPDLYKRKQHGQESKEEKKERKAAVKEERREARRTKKELKGLFKGEAQHAQKVAAFTGPSSIHLM >KZM99236 pep chromosome:ASM162521v1:4:30477663:30480068:1 gene:DCAR_013402 transcript:KZM99236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKMNANRMVVGTLRGFDQFMNLVIDNTVEVNGDERNDIGMVVIRGNSVVTVEALEPVSRGQ >KZM98524 pep chromosome:ASM162521v1:4:24733155:24735655:1 gene:DCAR_014114 transcript:KZM98524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEKVETIVAGNYVEMDREEENSSTSKSKLSRFFWHGGSVYDAWFSCSSNQVAQVLLTLPYSFSQLGMLSGIIFQLFYGLLGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIASLHHGQVEGVKHSGPSTMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKTIYLFATLYVLTLTLPSASAVYWAFGDLLLNHSNAFALLPRTGFRDTAVILMLIHQFITFGFACTPLYFVWEKFIGMHNTKSLYRRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMITFSSPSARENAIERPPSFLGGWGGSFSINIFVVGWVLVVGFGFGGWASMVNFVHQIKTFGVFTKCYQCPPHKA >KZM98291 pep chromosome:ASM162521v1:4:22608727:22613276:1 gene:DCAR_014347 transcript:KZM98291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAIDYAIGSCNPLSAAGFCPKSARVRIFTVKCSAASVATSGASVGSPEKPWKVADARLALEDGSVWRAKSFGATGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESQQCFLGGLVIRSLSISTSNWRCTETLGAYLAKRNIMGIYDVDTRAITRRLRQDGSLVGVLSTEDSKTDEELLEMSRTWDIVGVDLISGVTCKAPYEWVDKTDKEWDFNSNQREKETFHVIAYDYGIKHNILRRLASYGCKITVVPSTWPASETLKMKPDGVLFSNGPGDPSAVPYAVESVKKIIGEVPVFGICMGHQLLGQALGGKTYKMKFGHHGGNHPVRNIRTGRVEISAQNHNYAVDPASLPNGVEVTHLNLNDGSCAGLAYPQRKLMSLQYHPEASPGPHDSDPELLTSGD >KZM99464 pep chromosome:ASM162521v1:4:32266928:32286475:-1 gene:DCAR_013174 transcript:KZM99464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTNDLLSCLIFIFSALYVAAANNVTYDSRSLIINGQRKLLISAAIHYPRSVPAMWPGLVQTAKEGGVDVIETYVFWNGHETAPGQFYFGGRYDLVKFVKIVQEAKMYLILRIGPFVAAEWNYGGVPVWLHYVNGTVFRTDNEPFKVENEYGFYEGAYGDAGKAYASWAANMAVSQNTGVPWIMCQQWDTPKYVINTCNSFYCDDFKPSYPDMPKIWTENWPGWFKTFGSRDPHRPAEDVAFSVARFFQKGGSLHNYYMYHGGTNFGRTSGGPFITTSYDYDAPVDEYGLPRLPKWGHLKELHKAIKLCENALLNNVPTTLSLGPLQEVDIYGNGSENCAAFIANMDDKDDKIVIFHNQSFHLPAWSVSILPDCKNVVFNSAKVGSQSSVVEMVTAELKSSGTSPDKDVKVSKWEVFVERAGIWGDADFTHKGFVDHINTTKDTTDYLWYTTSLNVDENEDILKNGSKARLLIESKGHALHAFVNEVLHGSAAGNGTVSPFKTQIPISLKAGKNEIALLCMTVGLSNAGAFYEWVGAGLTSVKIEGLKKGKLDLSNSTWTYKVGVQGEHLGLYKADGLNHVNWKSTLEAPKNQPLTWYKAILDSPPGNEPVGLDMVHMGKGLAWLNGEEIGRYWPRKSSKKEECVDKCDYRGKFSPNKCSTGCGEPTQRWYHVPRSWFKPSGNILVIFEEKGGDPSQIRFATRIFSSICAHLSENHPTFEIESAPKDKDANHKTKSTVQLKCPPSTRISSLKFASFGTPAGTCGSFTMGNCHDPNTTSLVEQVCLNKFRCSIELSDKNFNKDLCPGVTKSLAVEALYVLLLTNSQLTPSLYVLIITSPTTCPVVFDVREAHNVTYDRRSLIINGERKLVISAAIHYPRSVPEMWPGLVWLAKEGGANAIETYVFWDGHEIAPDKYCFEGRWDLVKFVKIVRDAGLYLILRIGPYVAAEWNFGGVPAWLHYVPGTIFRTENEPFKVENEYGTYEEEYGEEGRIYASWAAEMALRQNTGVPWIMCKQWDVPTNVINTCNGFYCDNFHPRHPNMPKLWTENWVGWFKTYGSIVPHRPVEDIAYSVARFIQKGGSLVNYYMYHGGTNFGRTSGGPFITTSYDYDAPVDEFGLPRLPKWGHLKELHKAVKLCENALLKNEQVTLGLGLLQEVDVYGYGSETCAAFIANMDDKDDKIVYFNGKSFHVPAWSVSILPDCTNVVFNTAKVVSQSSHVEMITSDLKPSGISPEKDLKWDVFTEKAGVWAEADGVQQGFVDHIDTTKDTTDYLWYTTRLHVNENEEFLRSGRKPMLLIESKGHAVHSFVNNVYHGSAAGTDIVPPFTLEIPISLKAGNNEIAILSMTVGLQTASAAFYEFAGAGLTSVKIDGFNNGTLDLSTSKWVYKIGVQGECLGLHKTNGLYNVNWESTTVAPKNQPLTWYKAVVDPPPGSDPVALDMVHMGKGLAWLNGELIGRYWTMKASALLKCDHECDYRGEYEPTKCSTGCGDSTQRWYHVPRSWFKPSGNILVVFEETGGDPSQVKFATRRLSGVCIHLPEEYPTIELYSTTKGTCGSFAIGDCHDPDTISLEEQACLNKNNCCVDITGETFNKNLCSGVVKSLAFEA >KZM98351 pep chromosome:ASM162521v1:4:23145321:23145742:-1 gene:DCAR_014287 transcript:KZM98351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSYKLSDVKMQAVYRIGRSMPPPVPDSLSRDARDFILKCLQVNPFSRPTAAQLLDHPFVKRSLHSSSSGSTYPRTQA >KZM97007 pep chromosome:ASM162521v1:4:9714541:9716082:-1 gene:DCAR_015631 transcript:KZM97007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWCDKCLASRSPNHLSTSANLRCPLCTNILSSNPNPNPSPPPRLRSHPQSHSILNRQDFVHRSHQQSLNFIDSFALKLSIFDAFAVQQARKFYQTAGEHDREREGEGDSGNGKVGACCLYIACLLNRVPVLLFRFSVELGVNVYELGAEYLRLCRVLGFKLSSFVQKAVDPSLFIHRYVGEMFKERNLRVCMVALRIVECAKGELMRDVGGVCGAAVYVAALACGLTCRKSDVERGVRFCDRLFSGRLIEFGKKESGGGGLTVDEFRRIAKEFEDDEDLELREFGGDLEVLCRHKDEMAYRYGLCNSCYKEFVQLCKRPSCKSNTQSFDRAKVEALIWKCNNDKFGFSKKFGSVSSSGSDESEDLSEVEVDEYLGDDKEAEGKKVLWEAVNKEYIREQVLKKSAAAPAIKKPKKKQMQKPGSKVDNATAPTSAETTHQASSKKRLNSLINFDALTEMFEDDVAPDGKKNRTESHHDTNALDEEAAGVEIVGGDSDNEDHQGVEYYDSDCDFF >KZM96927 pep chromosome:ASM162521v1:4:8781611:8806010:1 gene:DCAR_015711 transcript:KZM96927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNRRGSDQQQPRRPLLRTQTVGSLGETMMDSEVVPSSLVEIAPILRVANEVEPTNPRVAYLCRFYAFEKAHRLDSTSSGRGVRQFKTALLQRLEREDETTLAGRTKSDAREMQNFYQHYYRKYIQALQNAADKADRTRLTKAYQTAAVLFEVLKAVNLTEDVKVADEVLETHTKVAEKSEIYVPYNILPLDPDSANQAIMRFAEIQASVSALRNTRGLLWPEGHKKKVGEDILDWLQAMFGFQKDNVSNQREHLILLLANVHIRQFPKPDQHPKLDDRALTDVMKKLFKNYKMWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLGGSVNPLTNEHIKPAYGGENEAFLIKVEAEGSKGGNSKHSRWRNYDDLNEYFWSVDCFRLGWPLRLNADFFCQPKDKNQALENTDISDLENRWEAKVNFVEIRSFWHIFRSFDRLWSFFILSLQVMIIMAWSESGEPTSIFVGDGFKRVLSIFITAAILKFVQAILDVVMSWKARHSMSMHVKLRYIFKAVSAAAWVIILPVTYAYSWKNSSGVAETMQNWFGTSQSSPPLFILAVFIYLSPNLLSALLFLFPFIRRRLERSEYKIVRLIMWWSQPRLFVGRGMQESTVSVFKYTMFWFLLIAAKLAFSYYVEIKPLVSPTKAIMKVHINAYMWHEFFPQAKNNIGVVIALWAPIILIRTLGMLRSRFLSLPGAFSSCLIPVENKERLKKVRKSTEIPSDKEAARFAQLWNKIINSFREEDLINNREMNLLLMPYSANHELGLIQWPPFLLATKLPVALDMAKDYNGRDRELKKRLNADNYMRYAVRECYETCKSILNYLVLGHREKIVIEEIFSKVDQHIRQDDLTSVLNMSALPSLCDQFVQLIEYLKENKQEDKDQIVIVLLNMLEVVTRDIMDDPIPSLVDSNHGVYEGMRPLEHQFFGSLNFPVIEEPEAWKEKIRRVHLLLTEKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVRNMLSFSVLTPYLEEEVLFSIDGLEMQNEDGVSILFYLQKIYPDEWNNFLERVDRRSEDELKGSVEVEEELRLWASYRGQTLTKTVRGMMYYRQALELQAFLDMAKDDVLMKGYKAAEFNTEENSRNERSLMALCQAVADMKFTYVNYGIQKRSGDPRAHDILRLMTTYPSLRVAYIDEVEETSKDKSKKTNEKVYYSALVKAVPKSVDTTGPSQNLDQDNYMEEAFKMRNLLQEFLKTHGVRQPTILGFREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKIINLSEDIFAGITIFLPYRCFNSTLRGGNVTHHEYIQVGKGRDVGLNQISIFEAKIAYGNGEQTMSRDIYRLGHRFDFFRMLSCYFTTVGFYSSTLISVLLVYIFLYGRIYLVLSGLEQGLGKEQAIRDNKPLQVALASQSLVQIGVLMALPMMMEIGLERGFGKAFSDFVLMQLQLAPVFFTFSLGTRTHYFGRTLLHGGAGYKSTGRGFVVFHAKFAENYRLYSRSHFIKGIELMILLLVYHILGRAYRGVLAYLLITVSIWFMVGTWLFAPFLFNPSGFEWQKIIDDWTDWNKWIHNRGGIGVLPDKSWESWWEKEHRHLHYSGKRGILVEILLSLRFFIYQYGLVYHLTFTKRNKSFLVYGVSWLVIFLILLVMKGVSIGRRKYSAKLQIVFRLIKGLVFISFLTVLITLIALVGMTFRDIIVSLLAFMPTGWGLLLIAQALKPYVQRTGIWPSVLTLARGYEVIMGTLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKDKSSSNKE >KZM99227 pep chromosome:ASM162521v1:4:30390383:30391018:-1 gene:DCAR_013411 transcript:KZM99227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGVAKHRRSPSSDRFLDVFSPPKQSSDVISGVGGELNEDDVFWTGDFSQANHQRSVSGDLDRKVQRRQAFGEPERNGILAALSENRKPNRPVLYRKPSIASSSRVIPAIPKPPQERDYSQSMPARKFQQSAPVNVPMMQMKARNELADVDIDLDAEEEMLPPHELVARGSGNAPATTSSVLEGVGRTLKGRDLRLVRNAVWRQTGFLD >KZM98497 pep chromosome:ASM162521v1:4:24476910:24477842:-1 gene:DCAR_014141 transcript:KZM98497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPSKVFVNVKIYLKMPRRRRILATLPSLAIIIITTFFLFNIYVKSQSKFTSQTAATPHDSHQPKIQFSLLIGILTRADAYDRRHFLRLIYGIQSSAIAKIDVKFVFCNLTKPEQKLLISLEILRYNDIIILNCSENMNSGKTYTYFSSLPGILPHRYDYVMKADDDVYLRLEPLALSLSVKPRSDLYYGFVIPCPSMNPFVHYMSGMGFVLSWDLVEWIRESEIAAKDISGPEDRLVGKWLDMGNKARNRYSNKPAMYDYPGTNGRCSHELIPDTIAVHRLKRWDQWQNVLNYFNVTRQLKQSKLYTI >KZM98158 pep chromosome:ASM162521v1:4:21578211:21580458:-1 gene:DCAR_014480 transcript:KZM98158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKKKKNIPEVTIFVPELRVPVRSDDLQKILRGVLPTEVVDKISCLRNQIVLVAEDTGGSAIPDLQQALEEYLSLLVGVNRKEECEIQKLVEFKWKNLSDGRQEVCVQNWWFEVYSVIHMMATLTLTEANSILISKDQSTGSDRIVSSDSMRNAVELLLKAAGYLELLIRDVLIHLPPDIRSRLPNDLNESTLEAIIVQALAQGTEMQLGLAVESRNATLSVKRRLACEQLSYLGQAHCCLSTSNNNQGYGKKHMLFIKWKYLEAKAAAYYYNGLMLDKGTEPASHISAVCCFLAAENLLAESKKACLSFCLAVPVTRPPPIWGAMKNLNKKIPEIASRKSQMYGYLMEQEKGLQILPDLPEFQLSLKPEDYQLPEPHLAWDTENMEITWQTPNEQLVYSEDDIDIN >KZM97198 pep chromosome:ASM162521v1:4:12140857:12144809:1 gene:DCAR_015440 transcript:KZM97198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTPSLDVRITTSNDEEWIHLIDSKLSLVRRKPQDLGICRVPDELRAIKPEAYTPQMVSIGPYHRNKPELRAMEEFKWKYTLDFIDGVAETDSGNIQINESHGEANENSPQTLALKKCCKVISELEVEARAFYAEDINLDTYHLVQMFLLDACFILEYMRRTHSLMVTQSSEELESLELLDGQLPIVIALAKDLMLLENQIPYNILQLLFDLIPSSRRITDARELIKSGFRISLHPSRVSIVDIKFREGEIFLPSIVADRFTSPLFRNLIALEQSRNDRQVITSYVVFMRTLLRSREDFYILEQAGIIINFDKLKDMETAFERFHMGVTSADFVFRDLCEEINNYKVPWWSCHRVTGYTTVIWFRWKASVKDLKRDYFKTRWSFLTFIAASFVILLTVAQTFYTIRAYYPPYH >KZM96600 pep chromosome:ASM162521v1:4:1937834:1941113:-1 gene:DCAR_016038 transcript:KZM96600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLNNPSSCRSFVAPLVSMNSSRSFSVRSQLNTSDSHKLVLEVKEKLEKDHHSLPVGKCGRDDEEMILWFLKDRKFSVNETVSKLTKAIKWRREFGVAELSEDSVRSAAKTGKSYLHDFLDVHKRPVMMVDASKHIPGESVEDEKLCVYLIEKALSRLPEGRNDILTIIDLRGFGTKNADLKFVTFLFDVFYYYYPKRLGQVLFVEAPFVFKPIWQLVKPMLKSYASLRNTCGYLLPTTVTPNSSAPKAYAFFKYCVQFVI >KZM97744 pep chromosome:ASM162521v1:4:17456077:17458801:-1 gene:DCAR_014894 transcript:KZM97744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRVNVVAFFVVMVIGAAMQMESTDAQKTHDVNGPAGWIIPSSPNVYSTWAASQTFAVGDTLVFNFTTGAHTAAQVTKAAYDACTITNPIAVWQTGPSSVKLNSSGPHYYICTIPSHCSLGQKVAITGITVEEKIEEGDDKTGNCGLATPKQNDVTNKSEGEVAENGSFANAG >KZM98946 pep chromosome:ASM162521v1:4:28216125:28218255:1 gene:DCAR_013692 transcript:KZM98946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLFVGVNISVEGIGVNWGTMASHELPAKVVVKMLKDNGIKKVKLFDADDTTMNALIASDLDVMVAIPNDQLASMNTYKRAKEWVQRNVTRYNYNGGVKIKYVAVGNEPFLTAYNNSFLDITFPALRNIQNALNEAGLDYIKATVPLNADVYNSPDVNNPVPSAGRFRQDILGEMTQIVQFLAQNKAPFTVNIYPFLSLYGNDNFPIDYAFFDGVTSPIVDNGIAYQNVFDANFDTLVSALKAAGYGDMAIIVGEVGWPTDGDKNANVNNAYRFYNGLLPKLGANKGTPLRPGNIEVYLFGLIDEDVKSIAPGNFERHWGIFKYDGQPKFGMDFSGKGQNKLVVPAQNVKYLPKRWCVFNPNAKDLSKLAENIDYACTFSDCTTLGYGSSCNGLDAYGNASYAFNAYFQVQNQGNLSCNFQGLAAVTTQNLSQGQCDFPIQILLAESPERGPSLAILLFLLVITFFM >KZM97486 pep chromosome:ASM162521v1:4:15162639:15172670:1 gene:DCAR_015152 transcript:KZM97486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSQVLLLLLFYSSIFINPNAQNPCPSRTCNGVEIKYPFWQIDETTSAQFCGYPGFGLNCSNPSKDPVLSLPKDSYSVPQINYKNNTLTLIDTDVFQVQCPRVRHNFTSETLPIYQTPQDSNITFYFNCSSPPPIPSTEVDCLASKTSQNKSYVVEVTTTESRGFEGWYEICEEKVDVVVKKAEIERNEAGLVEGFGKALNEGFLLDWKILSECNECEESKGRCGYNNIAKEFLCFCEDNTTRTNNQSCRDCSNTTTIRCGDRTFINPLYPFWGKSFRPAHCGLDGFELHCENNDLVVDIGSRSKYHVVDFNSARGAVTLNRSDDPLGSICASGEATSTVLNATLYDYTENTEDLNLFYNCDDEIDSVWLDYTFTCKGDSKKRVYFFLGNSFELVDQDKIESCTNTTIQVDKRVFDALKNNRKEPETLFNRSFEVHYNRINEKACVDCKQTEGLCWRGTNTNDNTCLYSNGTALPPYKYGRPGLSSDEREEEPHPPVFIPRHNAKVDLDMYNNCSKPTTSPCGTPLGWGVDYPFWVDEIRPSYCGLEGYKLSCKDDGLVVDLASDIKYNVEAIDPFKHTIDLNFLENPLKSICDASSSSNQDTEHNHPLLSSRENSEVIYLFYNCSDPAQTTSFNANFRCGNKDPVYFFRDELFAQAQKNLSSCNYTWLPVNKWLFEKFIWDPNPAQQAAEKLFEGSFEVYYNVENNQVCKECYEHDDGVCWKDTYVGSKDPCLYRRQSGLPYQQQSGSKKSKGLIIGAAAIGGVGILLFLMAVVFILYRRKNPKQVSYAVSRNVSSYSFSMSDSEKGSGTYMGVRTFSYNELEKATNNFDASNELGDGGFGTVYKGKLQDGREVAVKRLYENSMKRVEQFMNEIVILTQLRHPNLVVLYGSTSQECRKLLLVYEYIPNGTIADHLYGEDAERGKLTWKTRMSIAIQTASALSYLHVSDVIHRDVKTTNILLDDNFHVKVADFGLSRLFPVHATHVSTAPQGTPGYVDPEYHQCYQLTSKSDVYSFGVVLIELISSKPAVDITRHRHEINLANLAINKIQGNALHELVDPSLGFESDYEVKRMITEVAELAFRCLQNDRELRPSMDEVHKSLKEIQSRNYSGKHETEHNLSADHVSLLKNYPQNHSPDSVTIKWGSNSTNTSSSN >KZM99689 pep chromosome:ASM162521v1:4:34033693:34037746:-1 gene:DCAR_012949 transcript:KZM99689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRLPASNSDMGMEAFNTQVHEVDKLVDKVAGLLQKLKDANEESKSVTKASSMKAIRKRMEKDVDEVGKIARNVKAKIEALNKENLANRKNPGCGKGTGVDRSRTNMTNALTKKFRDLMTEFQTLRQRIDDEYREVVERRVVTVTGTRPDEETISHLIETGNSEQIFQNAMQEMGRGQVLNTVQEIQERHDAVREIEKKLLDLHQIYLDMAVLVQAQGDLLDNIETQVSNAVDHVQSGTTALKKAKSLQKNSRKWMCIAIIILLIIITIIVVGVLKPWKKNGA >KZM96722 pep chromosome:ASM162521v1:4:5159679:5162752:-1 gene:DCAR_015916 transcript:KZM96722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLGWDVKVLDGLGFEDIEKLMGVQLEEEMAIPDRPVKGEFPEVEFEHPDCVLVVYPSGIGEFDVDYKGLSLVISGFSELEWKGRANRLSKEHVVWDIIYRTSEAVKKPFTGDDKFVNDPFVSAGVVSGSVYKDISLHELVRKRRSAVDMDGKTTMAKETFYQILLHCMPSGSETGDKERKQLALPFRALPWESEVHAALFVHRVVGLPSGLYFLVRNAEHFDDLKSATRSEFKWEKPEGCPDGLPLYELARIDCRELSKRLSCHQDIASDGCFSLGMIAHFEPTLQNKGVWMYPRLFWETGILGQVLYLEAHAVGISATGIGCFFDDPVHEVLGLRGSDYQSLYHFTIGGPVIDKRIMSLPAYPGPEDDQ >KZM99506 pep chromosome:ASM162521v1:4:32626233:32626754:-1 gene:DCAR_013132 transcript:KZM99506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVAENTPNISSVAVPCEASVDVYPIFMAVMYWKNLRIFDSPFRGIQMIRQLVDYCGGIVELGLHGEFSEREVSCIIEGFPGLKVLDLSKSTLSVNALGVLLDGRLRCIKDLSLLHCLFVDEDGKDVREDYVKFKAFRLEVLDKIRGIRSLKRFLHCLGKSCQQCADRSLEDK >KZM99790 pep chromosome:ASM162521v1:4:34899749:34901820:1 gene:DCAR_012848 transcript:KZM99790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTQFATARRMGIYDTLHQISMWEDVLGGGVSPETCTSPNMKEDARLINKTEYTSQESLGPSSDNQAAKILSEKLQRRLAQNREAARKSRLRKKAYVQQLETSRLKLAQLEQDLDRTRQQGVYTGGALNMGNAGFSSTMNSDAGLITFGMEYELWLEEQEKMNCELKNMLQAHISDAQLRMFVESGLNHYADLFRMKADAARCNVFYLMSGMWRTTVERIFLWIGGFRPSEILKIIRPQLEPLTEQQLASVSSLRHSCQQAEDALSQGMEKLQQTLTQCVTDSSSGLSNYNCQMVTAMERLDSLESFMIQADHLRQQTLQQMFHILTVRQAARGLVAFGEYFQRLRAISSLWDARSHQHT >KZM97781 pep chromosome:ASM162521v1:4:17766688:17771755:1 gene:DCAR_014857 transcript:KZM97781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFKVPVMCGDEVEREIEQQISRDGSHYSLTTTILPSLGARSNRRVHLRHFIISPYDRRYRSWETFLVVLVIYTAWVSPFEFGFLHKARPPLSILDNVVNGFFAIDIVLTFFVAYVDINTYLLVDDRKLIAWKYASTWLAFDVISTIPSEFVQQISPSPLRTYGLFNMLRLWRLRRVSSLFARLEKDRNFNYFWVRCAKLICVTLFAVHCSACFYYLIAARYHNAAKTWIGDSNPDFKTQSLWIRYVTSMYWSITTLTTVGYGDLHAQNTGEMIYAIFYMLFNLGLTAYLIGNMTNLVVHGTSKTRQFRDTIQAASSFAQRNQLPVRLQDQMLAHLCLKFRTDSEGLQQQETLETLPKAIRSSISHFLFYSLVDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVVVGEATNGDLCGEIGVLCYRPQLFTARTKRLSQLLRLNRTTFFNIIQANVGDGTIIMNNLLQHLKEEKDPIMEGILLETEHMLARGRMDLPLSLCFAALRGDDQLLHQLLKRGLDPNESDNNGRTALHIAASKGNENCVLLLLDYGADPNSRDSEGNVPLWEAMLSNHKQVIKLLADNGALLSYGDVGQFACTAVEQNNLELLREILQHGGDITCPRSNGLTALHGAVCEGNIDIVKFLLDQGCSVDKTDDHGWTPMALAEQQGHEDIKLLFQSYKPATTKSADSCLPKEKQGVRFLGRFKSEPTIRPITHEGDGEGGSLGRSSTRRRRGDNFHNSLFGIMSSANDEDNDLLLSVNQNRSALNVRYVARVTVSCPQAGDFTGKLVSLPQSFQQLGEICMKKYGFLPTQVLIKDGAEIEEIELVRDGDHLVFVGDLRKNEQEPQNGGSMR >KZM98088 pep chromosome:ASM162521v1:4:21000541:21000792:-1 gene:DCAR_014550 transcript:KZM98088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENSNSQASNEGNDLPNWVGMNVASAFFASLEHFSCVHVNTIDTDDEDDEEADNTLLLTILPSPSASSSSFVTAESPRSVSE >KZM96795 pep chromosome:ASM162521v1:4:6386492:6389219:1 gene:DCAR_015843 transcript:KZM96795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNNIRDKRPLAKVYRRPDNKVIKATAGRNIKSLVGNKLVDEVKEDEQNCNNLVDEQDGDQDNDKQSDEDMQEGEEDSAQEDSAEDMEQDDSAQEREEGDEDSAEEEDDEQDDVLNESEEENEDEEEEDEQEEDETENQAQVNNAQPKIKITKYKRKKEAAFETHIPRKRIAGTLYPLLKFMNKDVKKTEGAKHINKKKDEVKIRISPRHFSKMVGELTKEQRDWVTRAGFALLLDFELDILPTKIAYNVLQIFDHHSISLKLKDGDINITSEDVYDVLGLPNGGHPIILASPGKYSQRIKDWHAQFALSDQITTQMIVQVMKNQEVNDNFKLNFLLVMSNVLIGTKGASYVDKQLLQLDDNLDNLKKYNWADFLLGYLVIGMESWNRTTTTFFRGSLIFLTLLYVDRVRYKGMNLVDRQFPSYNGWTLEMLRQRQEIEVNDNEDGAWDTWQYWSEVDRIENDYLKRKESTSQQPHESTQCQSPQNTQYYTPPTEAADGNVEQTEEGRGTCGHKVQI >KZM97601 pep chromosome:ASM162521v1:4:16127813:16128085:-1 gene:DCAR_015037 transcript:KZM97601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCKQRKQDFLITVIIVAFLSFALLCSCMQARSLPLDGSIRYGKQNVDDNCNKYEEKIIALWGNIKHSGPSPGVGHSYVDGSMPLKTQP >KZM99092 pep chromosome:ASM162521v1:4:29355399:29355929:1 gene:DCAR_013546 transcript:KZM99092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAAAIMNKKQSCVAPHSKSSTTSSSSSSLGMHKSSQMMSKGRPPKIRIIHIFAPEIIKTDVANFRELVQRLTGKPSSQHTTVGAAKKKRQTRTINCPALAVPKKLEIRTGFRPAELRERIKGEEEIWGGANSGGGFLGGFADLDGFMQELNAFPILPLDASPMDAFADHQSQLA >KZM98698 pep chromosome:ASM162521v1:4:26215459:26216559:-1 gene:DCAR_013940 transcript:KZM98698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCLSSKHLLLLSFFIAIFSSSVLARDFSIVGYAPEDLTSVDKLINLFESWLAKHAKSYQSIEEKLHRFEIFRENLVHIDETNKKVSSYWLGLNEFADLSHEEFKKMYLGLRADLSTRRESSSCWAFSTVAAVEGINQIVTGNLTTLSEQELVDCDTTFNNGCNGGLMDYAFSFIVSNGGLHKEDDYPYTMEEGTCDEKKVYNKHSISLLTMFWENYQISLSPFP >KZM97927 pep chromosome:ASM162521v1:4:19513714:19516440:1 gene:DCAR_014711 transcript:KZM97927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPIVHTFPFSNPNFTFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVSIKKKSRKSLGRILLKGDNITLMMNTGK >KZM98387 pep chromosome:ASM162521v1:4:23532158:23538701:-1 gene:DCAR_014251 transcript:KZM98387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPTHYISARDMGVYEPINQLSMFAEFDDNGWPNTSPTLLVDVDTKLDNQSGYTSHETAGDSSKYDETNKRVDKVLRRLAQNREAARKSRLRKKKQKYNDSRNDVLQGTNISGVIDSGHPGCSGAMNSVEKFTKQQLSAGIALFVLEYRNWVEKQDRQICELRNAISSDLPDGELRILVNDGMAHYSDLFRMKANAAKADVFYLMSGMWKTSAERFLLWIGGFRPSELLKVLLPHLDLLEQQCSEVYNLIQSCQQAEDAISKGMDKLQQLLVDAVASGLLGGGSYIPQVANAMEKLEPLVSFIDQADHIRQETLQQMYRTLTTRQAARGLLALGEYFQRLRSLSSLWATRPRRSHSTGNSATDVSYSNYPPSSSGLACLGRILPTSSIPEFFMGYPPS >KZM98327 pep chromosome:ASM162521v1:4:22945711:22956142:-1 gene:DCAR_014311 transcript:KZM98327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRIPFSASHGNSSDVADDNAVMYIMPQPTAETKRVVIIYRDGFITLWDLHCSKAIFTSGGALLQPVNHETKKVSAACWACPIGSKLVTGYSNGDIFIWRVPSALNFDRDLELCSKQNSPIHKLNLGYKLDKIPIASIKWVIPDGKSSRLYVLGSSDFLSANSLQVVLLDEHIDSRTTKFGLHPPEPCVDMEIMSTITIQSKNNQDCLLLIGKSGRAYVYDDNVIEKYLFQCQSRSPPSLPKDIRVRLSFADPTITVAKFISDNQYMSGTTNEDYLMLSKHIPALFAYDTRQKDGANSKTANFSRFSDLKHMYITGHANGAICFWDVSCPLFSPIFTINQQNEDDSSLIGVPVTALYFSINSRLLISGDQSGMVRIFKFKPEPFLAESSFMSLQGSSRKGNNHIMRSVQLLKVNGGVLSFNMSQDLKQVAVGSAKGYVSVIDLEGPSLLYEKHIASELSTDVISLQFATCSLHGFEKNILAVATNDSSILALESDSGKALSSGNIRPKKPSRALFMQILDGQETSGNEGQNLSRGSYVDDGMQKQCLLMCSEKAVYIYSLVHLVQGIKKVYYKKKFQSSACCWASTMYTPNANLVLMFTDGKTQIRSLPELSLVKETFIRGLIPPASKPNSSSDSSICSSQSGEIIVVNGDQEAFLISVLLQKEIYSSVLKDMKGVKETHGATLEAEDAEGAEALSTIFTVDNFPLDVENNNNQITDDTDEVELLDIDDIDLEDPGHKPKGNSMMSALNKKKLASKFQLLKGKLKQMKGKNEKVVGKEEPQEEKTGATGAGTVDQIKKKYGYTLSAGINIKTTEMQDNARSFSSMANELLRSAEHDRRSS >KZM97157 pep chromosome:ASM162521v1:4:11608939:11611244:1 gene:DCAR_015481 transcript:KZM97157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSVSPTLLLSNMYQRPDMITPGVDAQGNPLDPKKMQDHFEDFYEDLFEELDKYGEIESLNVCDNLADHMVGNVYVQFREEEHASNALKNLTGRYYAGRPIIVDYSPVTDFREATCRQYEENICNRGGYCNFMHLKKIGRELRRQLFGRYRSRHSRSRSRSPYRHRSYDDRSHSSRHGRRYEDRDHHESRRKYRSISPERRSGRSRSPGARKHRSPVREGSEERRAKIEQWNRERDQAELAKHTMTGEHGMDYEGQKHNGDHYHHQHPREDSGYY >KZM98978 pep chromosome:ASM162521v1:4:28479248:28481948:1 gene:DCAR_013660 transcript:KZM98978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEDQKVLDLVKELVNRLLNSPSTHSSNPINNNCINNNTVQQSLKYAVRILSSRMTPSIAVDEAAMADSIKRQLATQGKSSQALTFADLYSKFAAKSGPGSVNNKWAVLYLLKTIADDRKGGRNRSDSRVSNGFLLPVLFEGDGGSRGVNGNFKNGGKLGAWDDSKGSRNGERGEKGWDGGVLLVSKDPSNMREMVFREFGNLLKEENEVSEEVLVRDVLYASQGIDGKYVKFDKSVDGYVLAESVRVPRATRIMVRKVCELGWLFRKVKGYVSETVQSLAAEDVGTVGQAFCAALQDELTEYYKLLAVLEAQSMNPIPSITESVSSGNYLSLRRLSVWFAEPMVKMRLMALLVDNCKVLKGGAMAGAIHMHAQHGDPIVHDFMKRLLRRVCSPLFEMVRSWVLEGELEDIFMEFFVLGQPVKAESLWREGYQLRSAMLPSFISQSLAHRILRTGKSINFLRVCCEDRSWADAATEAAAVTGTTTRRGGLGYGETDALESLVTEAARRIDKHLMDVVYRQYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSSAQYDDPDILDRLRVKMMPHNIGDRGWDVFSLEYDARVPLNTLFTESVMTKYFRIFNFLWKLRRVEHALIGVWKTMKPNSIAFTKLPEAVKLQLILASRRCQVLWDEMNHFITNLQYYIMFEVLEVSWSNFSSEMESAKDLDDLLAAHEKYLHSIVEKSLLGERSQSLYKTLFVLLDLVLRFRSHADRLYEGVNELQARTVESSLPSRDKTNRQSSNKTSAPGSWLGEGRKAITQRASEFLTHSGQEIDTISKEYSSLFQGFISQLPVQQHIDLKFLMFRLDFTEFYSRAS >KZM97241 pep chromosome:ASM162521v1:4:12701940:12703487:-1 gene:DCAR_015397 transcript:KZM97241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAQSSRRKVDFVKKDIISELPQNLLEIILCFLPIRDAVRTSALSRAWRYRWTRIPHLIFDLESIFHSTMKKYTENDDPGLKAYSFVSVINEVLLLHKGPILKFSLDIPQGYKFDTQIVHDYIDQWISLLSKNGTKQLTLDNYNNLLEESNAHNFSVLDPTHLRLLSVWFPYTPSCERFANLTHLELVDATYSFGQSIFFCPVLEKLTLIICRGLFPNNFCAPNLKCLIQVYHKLSSKYSLAGLENLEEYSLMLLEDCSEEMQTFNVVIIPGSLHKIEKFSLADDSLKVLAAGGFPHKLSKPLPYLKSLNIFDIDFGVLCEASCLVSLIRSAPNLRKLHISNSCNGGKDKLEEYQIEDSEDCTMLHLEIVLFSDFKGFVAELELVKFLLACSPSLKSLFIHRRCSIKDCASALKITEEMLQYTRASSRAQIRHLESPVKFNDNCFDWKLLSAYDLY >KZM97285 pep chromosome:ASM162521v1:4:13141136:13141606:1 gene:DCAR_015353 transcript:KZM97285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDAMSPVSDLERANRATVEGLYKALANGDTKKAARVVGKDLEWWFHGPQKCHHMMKVLTGESPYNEFIFDPRSISVIDDLVVVEGWEGPKVYWVHVWTVKNGTISQFREYFNTWLTITELRPLSWLSRHHGSTLWQSQPRDLFKRSLPALMLAM >KZM97214 pep chromosome:ASM162521v1:4:12318430:12320473:-1 gene:DCAR_015424 transcript:KZM97214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFAHLSLTPSSRPDNPTHNPKLHSNPTHLRKDPILTRQQNEFDLAGKNYSGAGASPEEERSSIPDGLRRELMPEHVAVIMDGNRRWARMRGLPSGSGYEAGIRAFRVLVELCCKWGIRVLTVFAFSSDNWVRPKMEVDFLMNLFEKGIKAELDNFMREDIKVSIIGDPSKLPTSLQRLIARVEKNTKHNTGLHLVVAVNYSGKYDIVQACQSIAQKVKDGLIEPEEINESSIEQELETNCAEYPYPDLLIRTSGELRVSNFFLWQLAYTELFFSKSHWPDFGEADFVEALVSFQQRQRRYGGYK >KZM98022 pep chromosome:ASM162521v1:4:20453724:20455573:-1 gene:DCAR_014616 transcript:KZM98022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYTYVSELWRKKQSDVMRFVQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVVYRVRVKRGGRKRPVSKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLAGLKVLNSYWVNEDSTYKYFEVILVDAAHTTIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHRNHKARPSRRATWKRNNTLSLRRYR >KZM99344 pep chromosome:ASM162521v1:4:31244459:31247374:-1 gene:DCAR_013294 transcript:KZM99344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-8 MSSSATRFMVKPAASLTLLQPPSTKAIHTTSTCCTSNDAALVSRGDACVDDKSIVRRSGNYPPPIWDDDFVQSLASDFKGIGWAEKLSFARASLIECFYWSLGSNFEPQFQYARSVITAVNAFVTTIDDIYDVYGTLEELELLTKLTKSWDAAELDQLPDFMKICFTDLYNKINEVANVFQREHVPVVLTHMYFLKPNSVKEEDLLCLMTCPNVLRHSATILRLADDMATSTHEMERGDNPKSIQCYMNDKGVLEDEAREHIKYLITETWKKLNEECAESPMSKPFIENCLNLEKIASCVYLYGDGHGVPGSRDKERLLFLFVHPIPLEL >KZM96882 pep chromosome:ASM162521v1:4:8236729:8243940:1 gene:DCAR_015756 transcript:KZM96882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEATTSTYPIKTIVLVVQENRSFDHMLGWMKSLNDNINGVTGGESNPLSASDPSSGRVFFGDGSGYSEPDPGHSLEATHQQLHGATLTSTTFSSNSTGSIRVPTMQGFAEQAESINKGMSDVVMNGFKPEMVPVYKELVQEFAVCDRWFASIPTLTQPNRLYIHSATSYGATENDTSMLIKGYPQKTIFESLEEGGCSFGIYHQYPPNTLFFRNMRKLKYIDNYHQFDLQFKDHCEKGKLPNYVVIENRYLDTKLLPGNDDHPAHDVSEGQKFVKQVYEALRSSPQWNEILFLIIYDEHGGFYDHVPPPITGVPSPDDIDGPEPYKFKFDRLGVRVPVIMVSPWIERGTAEATTSTYPIKTIVLVVQENRSFDHMLGWMKSLNDNINGVTGGESNPLSASDPSSGRVFFGDGSGYSEPDPGHSLEATHQQLHGATLTSTTFSSNSTGSIRVPTMQGFAEQAESINKGMSDVVMNGFKPEMVPVYKELVQEFAVCDRWFASIPTLTQPNRLYIHSATSYGATENDTSMLIKGYPQKTIFESLEEGGCSFGIYHQYPPNTLFFRNMRKLKYIDNYHQFDLQFKDHCEKGKLPNYVVIENRYLDTKLLPGNDDHPAHDVSEGQKFVKQVYEALRSSPQWNEILFLIIYDEHGGFYDHVPPPITGVPSPDDIDGPEPYKFKFDRLGVRVPVIMVSPWIERGTVLHGPSGPYPTSEFEHSSIAATIKKIFNLNDFLTKRDAWAGTFEGVVNRTSPRQDCPEKLSEPVKMRDFEPNEDEAKLTDYQGEMVQMAATLNGDHNKDIYPHKLVENMTVSQAVTYVGDAYKVYCEECTKAKESGADEHHATDQSAVGKKAETGDCRPSAGGQQGQRLVQAPQQPQPQPPAKKSNTSGGVLENAAASVTSTLESAKQAISE >KZM97358 pep chromosome:ASM162521v1:4:13866015:13867310:1 gene:DCAR_015280 transcript:KZM97358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENLFLLVSAYLIYKSGYTVSRTKRYINQATPFLAGVAIAAAALAGKYGIQAWHSFKTRPPRPRRFYDGGFQPKMTRREAALILGIREHATAEKVKEAHRKVMVANHPDAGGSHYLASKINEAKDVMLRKTKGSESAF >KZM98944 pep chromosome:ASM162521v1:4:28196734:28198559:1 gene:DCAR_013694 transcript:KZM98944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHQYYQGNNKQPTSRMSTTPERHPFLQGGNAAGDSIAMAGDTMSCSAGTCGSNLDIGPQTNNNLHIDDAIQMQIEVQRRLHEQLQVQRHLQLRIEAQGKYLQTVVEKAQDTLGRQNLGTVGLEAAKVQLSELATNVSTTKCLNSAFPYPQHKQASQPKDCLPQSCMASSEIFQQHQENQDRWSRDLEKNRNFMSPIVQSADRAYEIELRASDLSTRVGIQGGNCRHVEEKLNNRDANADLLYPRTDSVKQENERRQGYQISHSSPRLDLNIHDHSDATSNCKQFDLNGLSWS >KZM99831 pep chromosome:ASM162521v1:4:35220818:35223083:-1 gene:DCAR_012807 transcript:KZM99831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQGFLSTLKQKVVRGLSPARSRPKKNVSDGSSGSGSGTRTRVEQVALPEFLGERSRKSRPVETLGPLREGPDAEKAMDGRDSKWGQWMRAPLSRAPSDLRMLLGVLGAPLAPVHVSSNEPFPHLSTKDTPIETSSAQYILQQYIAASGGHKFQNCIHNAYATGKVQMLAFESETAGKVVKSRNSSRALESGGFVLWQMNPDMWYVELVLGGTKIHAGCNGKLVWRHTPWLGSHAAKGPVRPLRRALQGLDPRTTASMFTDARCIGEKKINGEDCFILKLRADPQTLRARSEGPAEIIRHVLFGYFSQKTGLLMHLEDSHLTRVQTNGGDAVYWETTMNSFLDNYRPVEGIMIAHSGRSVVTLFRFGEVAMSHTKTRMEEAWTIEEVAFNVPGLSLDCFIPPAEIRYSTSSEACEVPPKGRATKPAVTSAAHRAKVAASNRYARK >KZM96898 pep chromosome:ASM162521v1:4:8461815:8462540:-1 gene:DCAR_015740 transcript:KZM96898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLKIQRRHIAKHAKTRSLFKQRLPCGLLTLVHVSLLTAWALWWGFSPCFSLESLSKLHSSPPSTLNCTMDSANRRHDSPNKTFYDDAKLNYSIGSPLQNWDDKRRFWLKHHPFYAAEDRVLMLTGSQPFPCHNPIGDFFQLRFFKNKVDYCRIHGYDIFYNNAILHPKMDSYWAKTPIIRAAILAHPEAEWIWWVDSDAVITDMDFRLPLDKYKDYNLVVDGWPGMIYVKGMVDIVISV >KZM97613 pep chromosome:ASM162521v1:4:16222089:16223402:-1 gene:DCAR_015025 transcript:KZM97613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANGTGEGMFSGINSVMLGHQLAPQHHQLHENIVSFGQPQVDQQAKNQQSSLRQTLHLTISDDDDDDDEPGLESAGKSHVSPWQRMKWTDTMVKLLIMVVFYMVDEQAVSEGNDVAGKKQGVNGSGALQKKGKWKSVSKAMMERGFFVSPQQCEDKFNDLNKRFKRVNDILGKGTSCKVVEDQSLLQRMDHLSPEIKEEVKKLLNSKHLFFREMCAYHSGCGGSAQHSAEVVGESSQIHRKKKCLHSSENSPNVPHLGRVETEDSKIIKIGSDEEDDDDDDDEEDDEDDEVEDGAGGHDKESDPRTSHKRRRNEMYSSPSVQEFNDEVNYIVQNETKSSGEKRQWMRIRLMHLEEQRIRYQREAYELEKKKMKWMKFSSRKDRNMEAEKLGNEKMRLENEKMVLVIKQTEVEHLNFQQQSIACNRRDDSLQSLDSR >KZM97952 pep chromosome:ASM162521v1:4:19736796:19737089:-1 gene:DCAR_014686 transcript:KZM97952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLLFAVVMVHLILTTLECRALARSDIFKNHRHHEQRDSTSVAGGEGVTTSFLNSGNDLSCSNSSFNQQSICANSTGNDDKRLVPTGSNPLHNR >KZM99677 pep chromosome:ASM162521v1:4:33924848:33925342:1 gene:DCAR_012961 transcript:KZM99677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVGKATKIFIFIITVLVITGLVLGFSLLKHHDKNKNKSGNNKCENDESCSQAQLQPPIQIPGSGGSSPLITPPLNPVLSPPPIITNPGNAPPPPIVANPNNAPPPPTATPTPLPPSATGTPNAPPPTLSESPPPPSTVLVTAPPPVYTPPSPAVVAPGPVTS >KZM99907 pep chromosome:ASM162521v1:4:35761000:35763998:-1 gene:DCAR_012731 transcript:KZM99907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQTPQHLGPNQTGCGFVGSIFQRKAFVRPRSASTPPLPAIKDATAARHSTSSIKHSRDHSIKIKRRRASSGDSTYLDSTIIAAAAKPPPPPKHTKTPSQKPPPAVSVSPRHSRNKTSDSSRDQLPYTKWLKKEPTFTESELSMRIAVRQKSTPTGGILYRASSNNGMLPSHLGNLKQQGGGAKASSGKTSANSHVTSLSKGGRSIHTPMLMGNIVKKPSGKTKAQHRFDSETLKLMGNENYKEGRYEEAIALYQEAIAQDPSKASYYSNKSAALIGLGRLIEAVFDCRVAIRIYPMYQRAHQRLAKLYLRLGDADKALSHYKQLGAKADAIEVSLAEALKMHLTKTKEARTVRDWESVEEESHLAISSGADSSPQIYALKAEALLNLGKHQEAYTIIQKGPNYDTNLCIQFLGAAGCSDLLTTKAQVYMAAGRFEEAVAAAQCAAKLDPTEEAKATAERALALASARSEGNQLFKASKFTDALKVYSEGLQHQALNSVLLCNRAACLSKLGEYEKAVEDCTAALALRPSYAKARLRRADCFSKLERWEASIQDYEIMLKENPKDRDVEKALYVAQVHAQKQLSEDIRNMKNNASSNLVMISSKEHFRRFVIAPGMSVVLFCNKSSHVKLFQLMEQVCLRFPSINFLKVEIEDNPYIMQLEDVNSVPAFKIYKNGSTIKEISGENFESLESSVKMISCTPYN >KZM98131 pep chromosome:ASM162521v1:4:21305992:21309175:-1 gene:DCAR_014507 transcript:KZM98131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALILHAGNGNNNAWKALIAAEYSGVKVELAKDFQMGVSNKTPEFLKMNPMGKIPVLETPDGAVFESNAIARYVARLNPDTALFGSSPIDYARVEQWIDFASLELYTNLSRWGYPRMGYGVYLPPVEEAAIAGSKRALGALNTHLASNTYLVGHSVTLADIIITCTLAFAFSRLLTKSFTSEFPHVERYFWTMVNQPNFSKILGEIKQTDSVPPVPSAKKETAKPKAKDEPKKEVKKEAVKPKTEEVVEEDEAPKPKAKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAVKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGSEPPYKVQGLWLFRGKEIPKFVMEECYDMELYNWTEVDITDEAQKERASQMIEDHEPFYGEALLDAKCFK >KZM97632 pep chromosome:ASM162521v1:4:16387798:16390508:-1 gene:DCAR_015006 transcript:KZM97632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPYEDVVIIRESEKEGEPTVITVNCPDKTGLGCDLCRIILFFGLTIFRGDVSTDGKWCYIVFWVFGKPSTRWLLLKKRLSGACPSCSSASGISFYQTESQPPNPPDVFLLKLSCLDRKGLLHDVTEVLCELELTIKKVKVSTTPDGRVMDLFFITDTRGLLHTKKRQEDTHDNLETVLGVYMLSCDIEKVGPEITVCSRGSTFLPPEITEEMFTLKKHDQHLRESLPFDGVSVTMDNSLSPAHTLVLIVCQDHKGLLYDIMRTLKDYNIQISYGRFTTKTKTECEVDLFIMQDDGKRIVDPVKQGTLCSRLQMELYRPLRVALVSRGPDTELMVANPVELSGKGRPLVFYDITLALKMLNTGIFTAKIGRHIFEDREWEVYRVLLDEGDSLSVTRNMIEEAVRKMLMGWT >KZM98527 pep chromosome:ASM162521v1:4:24750805:24752115:-1 gene:DCAR_014111 transcript:KZM98527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSMEKTDAYKKSSGASKKWDGGGPGGPGGPYGGPGGPRRPPRGMDNVRGIDHSSESKPAMINLGSADEKVWPMRARTGNVSCGEPSFGRFHDFLECALFIRIKMRSFILAWLGRGSIVVGRHG >KZM96887 pep chromosome:ASM162521v1:4:8273412:8274626:1 gene:DCAR_015751 transcript:KZM96887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVKLFRTWSSPFALRIVWALKLKGIEFETIFEDLANKSSLLLQNNPVHKKVPVLVHNGKSVCESFVILEYIDETWTNGLPLLPKDPYERAEARFWAKFSDEKLWLSARGILFGVETEQAEARLQAIQNLQYLEEQLKGRKFFAGETIGFLDLAVGWMANLLSVLEEITGYVLIEEVKFPLLWKWMQDFSDVPVINESWPSRDKLVIKFQTMRDSYLAGKI >KZM97472 pep chromosome:ASM162521v1:4:15017568:15021329:-1 gene:DCAR_015166 transcript:KZM97472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGEQISSSSLIKPLINGNDAADEHLKGVITKQDIVVEVKKQLWLAGPLICFSLLQSCLQLISVMFVGHLGELALSGASVANSFTSVTGFSLLMGMSCALETFCGQSYGAKQYHMLGIHMQRAMFVLSLVSVPLAIVWANTGIILQAMGQDPAISEEAGRYAQYMIPSLFAYALLQCHVRFLQTQNIVFPMMVSSGITTLLHIFLCWILVFKSGLGSRGAALANSISYWINVVLLALYVGFSSSCAKTWTGFSKESLQNIPTFIRLAFPSAVMVCLERWSFDMLVLLSGLLPNPQLETSVLSVSLSTSANCWMIPFGLGASVSTRVSNELGAGHPQTARLAVYVVFVMAITEGILVGVLLLLIRNIWGYAYSNEVEVVRYVAIMIPILATSNFLDGIQCVLSGVVRGCGFQKIGAYINLGAYYLVGLPCAVLFAFVLHIGGQGLWWGILCALVVQVFFLLVVTARINWNEEAKKAEHRVHDSAIPVEFIS >KZM97608 pep chromosome:ASM162521v1:4:16187864:16188273:1 gene:DCAR_015030 transcript:KZM97608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHRAGYLVYTLYRSLSHCLSPLIHLHLRFRRFRGIEHPLRWRERLGLPSLPRPPGPLFWFHAVSLGEGLAALPVIKRCVQRRPDVTVLLTTTTLSAL >KZM99008 pep chromosome:ASM162521v1:4:28710443:28712040:1 gene:DCAR_013630 transcript:KZM99008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSMPSLLPLVLFLLLSQLSKATNEDFIQCLERRSQNSTSVSQVIYTPDNSSYASVLQFSIRNLRFASLPDSQKPLVIVTPIDESQIQTVILCSRRHDLRIRTRSGGHDFEGLSYRTTNNISFVLLDMINLRSINVDIASETAWVESGATVGELYYAISQKSSTHGFPAGLWGSVGVGGLISGGGYGTLRRKFGLAADNVIDARMVDVNGRVLDRTSMGEDLFWAIRGGGGSSFGVIYSWKIKLVQVPPIVTIFTVDKTLEQNATALLHKWQSVAPNVDKDLDIRIQVNCILSNTSAREDKKTIRVTFVSLFLGSSPSVLTNRTLVPKVPIKAKSSFVKEPISEEGLEGIWELMFSRDPETTIVVLTPYGGRMSEISESEIPFPHRAGNLYMVYMGVLWAGDTQQALNWIRNLYDYLNPYVLNSPRSSYVNYNDLDLGMNNLQGHTSYQQASIWGKKYFKDNFNRLVHVKLNVDPSNFFRHEQSIPPIPM >KZM98247 pep chromosome:ASM162521v1:4:22217763:22226647:1 gene:DCAR_014391 transcript:KZM98247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIMSNWMRIPKDELKEKITMPTYIRLGILQAIEYKDIDAGKSNFESALRSEEPPESPLIVFINSRSGGRHGPELKERLQNLMSEEQVFDLSIVKPHEFVKYGLGCLEKFASLGDQCAKEIREKLRVVAAGGDGTVGWVLGCLGELHKQGREPVPPTSIIPLGTGNDLSRSFGWGGSLPFKWKSAVKRSLLRSTNGPLCRLDSWNLRILMPAGEELETPHALKPTEEFCLNQELEIAGELSEKVSCYAGVFYNYCSIGMDAQVAYGFHNLRNEKPFLAQGPIANKLIYSGYSCKQGWFLTPCIADPSLRGLNNILRMHIKTLNCSDWKQVPLPSSIRALVALNLQNYASGRNPWGNLKPDYMEKKGFVEAQVDDGLLEVFGLKQGWHASFVMGELIQAKHIAQASEIRFEFRGGQWRECFMQMDGEPWKQPMNMDYSSFVEIRRVPFQSVMIYGE >KZM98849 pep chromosome:ASM162521v1:4:27358719:27359462:-1 gene:DCAR_013789 transcript:KZM98849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYACKLMFLSLLLSILVLDRVAAAPPPPCKRLECPTYTIIDSGPDDSYEIRNYTDIVLVTTSPNQNLDFVKATKTLFRQLLAYILGKNDKGERIAMAAPVVTQVSPSGSAQSYAVSFYIPKKNQAEPPAADGLTIQRIPSTYAAVKQFPGFVSDDNVVEATNDLIKSLTGTKYMDAINKAHGGDPAHSYFVGQYNSPFELIGRTNEIWLTFQM >KZM99379 pep chromosome:ASM162521v1:4:31693252:31694097:-1 gene:DCAR_013259 transcript:KZM99379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLFLPVIVLVPQKFALCFTLGCGFIIGAFFALKGPKNQFLHMSSKERLPFTLGFIGSMIGTIYVSMVLHSYILSVFFSVLQVLALAYYAISYFPGGSAGLKFLSSSLFSSVLKCFGR >KZM98245 pep chromosome:ASM162521v1:4:22202917:22206378:-1 gene:DCAR_014393 transcript:KZM98245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFSLQILVTLFVFFNLFTPYVTQSLESQALLAFKKQLNDPLHYLDSWKDSNPPCQYFGVTCDKKSGRIVEISLDNKSLSGQISPSISVLQSLSSLVLPSNLISGVLPPELANCSNLRVLNVSDNNMNGDLPDLSKLVKLEILDLSSNYFAGKFPTWVGKLTGLVSLGLGMNDYDEGEIPESIGNLRNLTWLYLAGSNLTGEIPESIFDLHALETLDISTNKLTGIFPKQISKLRNINKIELFSNNLTGQIPPEFATLNLLKEFDISTNQMYGTLPPEIGNMKNLTVFQLFKNNFSGEFPRGFGDMHHLVGLSIYKNGFSGEFPENLGKFSPLNSIDISENKFTGKFPKFLCANGNLWYLLALGNNFYGELSDDYAKCKSLVRLRINQNQLSGKIPDGLWALPYATIIDFSDNNFSGGISPSIGASIRLSELELMNNNFSGYLPNELGKLVQLQKLHLSYNDFSGDIPSSIGALNQLSYLHLEQNSLTGSIPAALSKCSRLVELNLASNSLSNSIPDSFTGMSSLNSLNLSRNKLIGSIPEGLQNLKLSSIDLSNNQLSGKLPSDLLTLGGDQAFIGNKELCINEQLKSHSNQGLNVCEKKYRSISKNKGVIFVIILLALICMLSGLLVLSYRNFKLKEAYIENNLDKKVSDSKWMIETFHQVEFDAEDVCDLDEDNLIGTGSTGKVYRLDTKKVGGSVAVKQLWEGKMKVLTAEMGILGKIRHKNILKLYAYLMKGGSNFLVFEFMANGNLFQALHRTIKGGIPELDWNQRYNIALGVARGLAYLHHDCSPPIIHRDIKSTNILLDEDYEPKIADFGVAKVVEDSITDSESNCFAGTHGYIAPELAYTLKITEKCDVYSFGVVLLELVTGRRAIEEGTYGEGRDIVFWVSTHLDNSEHVFEVLDHKLASDFLQNGMIKVLKIATLCTTKLPRLRPDMREVVNMLIDAKPVGKTNMNRKDSI >KZM97216 pep chromosome:ASM162521v1:4:12335375:12337212:-1 gene:DCAR_015422 transcript:KZM97216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSSFTWLTASLLAAIVTVMLKWMHASYFSTKQKPPGPLAWPLIGNIFDLGSMPHHNLYKLRPRYGPVIWLKLGLVNTMVIQSAKAAADLFKNHDAAFSDRKVPDAHTAWDYCQGSLAMGNYGPYWRTVRKLCSMELLVNRRVNDSTEIREKCVDKLVCWIEQSIASSQVEGKSGEVELSELLFLMAFNVVGNLMLSKDLLDLSSTEGREFFDAMNQVTKWAGTPNLADFLPFLKPFDPFRVRKNMAKGMGVAINIVSSFLKERGHESISTEKVMKNKDFLDVLLEYQGDGKEGPDKISEKNIIIIILEMFFAGSETTSSSIKWAMAELLRNPESMKKVKEELDSVIMPNKRVMESDMDKLPYLQAVVKESLRLHPTVPLLLPRNSMEDTNFMGYHIPKNTQVFVNVWAIGRDPDVWEDPLSFKPERFIGSNIHYKGQHYELLPFGSGRRICVGMALAHRVLHLTLATLLWTFDWELGDSLTPQTINMAERTGITLRMSEPLKAIPRKINRT >KZM96641 pep chromosome:ASM162521v1:4:2815807:2818870:1 gene:DCAR_015997 transcript:KZM96641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDVARAELALAVLYLNKAEARDKICRAIQYGSKFVSNGEPGTAQNVDKSTSLARKVFRLFKFINDLHGLISPPVKGTPLPLILLGKSKNALLSTFLFLDQIVWLGRSGIYKNAERTQLIAKISLYCWMGSSVCTTLVEIGEIGRLSASMKKLEKDKNEEYRAKLKNSNERSLSLIKAAIDIVVAAGLLQLSPKKITPRVTGAFGFTSSLISCYQFEEIGIGDALTSKISVVDNYG >KZM96981 pep chromosome:ASM162521v1:4:9431445:9432734:-1 gene:DCAR_015657 transcript:KZM96981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKSSSSSNANGNNDDNNNNNFPVSGARETTPLLPRKDSLSSQPKTFANVFIAVVGAGVLGLPYTFKKTGWITGSIMILAAAALTNHCMMLLVYTRRKLQCPFKFSNISSFGDLGYAVCGSHGRLAVDFLIILTQAGFCIGYTIFIGNTLAHLFVNSFSYTAISAKTLYVWATLPFQLGLNAIPTLTLLAPLSIFADIIQIGAMCVVLFEDVRLFMTYMPPVRPFGSLSWTLYGLGVAVYSFEGVGMALPLESETRNKSKYGRVLALTMFLIAVLYAVFGSFGYFAFGENTRDIITSNLGKGWLSTIVQLSLCVNLLITLPLMMQPVYEVFERRFWEGCYCLWIRWLLVLVLILIALMVPNFTDFLSLVGSSTCCVLGFVLPALFHYLTFKDEMGRKEAYKDIGIIVLGVVLGVTGTWSSISEIASSS >KZM99834 pep chromosome:ASM162521v1:4:35246051:35250443:1 gene:DCAR_012804 transcript:KZM99834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKTKIMISVAIVLAMIIAQVHAGLDCDIAPLQVCLPVIKDPSLNPTPQCCANLKAQPSECYCEYIKDPIYGKYFNSPGAKKLIDACGVPVPHRGCHGKVCEPTATRGRFLSKVTGKREDGADQNHQSRVSMNSQFEDLQQELVECKSQLEATDKRLSMLEGGASKHRATSQKTEHDKRIFKQIPKPPKLRKVNMYPENTDLDGLVAKDGCNYLEPFPQKDPGVQQEDALNFLDCSSTLLLPRGQPGDHCEGLDDLMSPSLTLSCGRNMQMEEHMESHRGTGEDMIINNHNLQAQASASTGYEQAVDVNQLSPWSNQFYETGDDILLGGATQDPFLPQLTP >KZM97426 pep chromosome:ASM162521v1:4:14576058:14576405:1 gene:DCAR_015212 transcript:KZM97426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVNAGSSNDNMAAVSILNAKALQQGWNNNLKNFESSGLTISDLKRHRLDRPNEVDQVDNQGLGDQHMMDSQKQIQNQKNLLMGALFHRPADHYDYFKLEQPGSWVSLEGSVPQ >KZM98218 pep chromosome:ASM162521v1:4:22039075:22041499:-1 gene:DCAR_014420 transcript:KZM98218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQEEFRCFIGNLSWSTSDRDLKDAFKKFGRLLDAKVVMDKSSGRSRGFGFVSFDEEKAMEDAIESMNGIDLGGRSISVDKAQPGSGRDRDGGRDRDGGRDSGRDRGRDYGGGGRGSGGDCYKCGKPGHFARECPSGDGSRGRYGGRDDRRDDRYGGGGGGGSRHGPERSGDRYGGRNRDGGSRGGSGYSRDRSGPYDRPGGGYR >KZM99514 pep chromosome:ASM162521v1:4:32676457:32677375:-1 gene:DCAR_013124 transcript:KZM99514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADCLVNILGRVELQTRVQAVPLVCKSWYRAVRNPLCWEKIFFPPMLRTPSSQTELIKFVVNRSQRRATVLALPYSCDREALLYVSEELPNLKMLVLSSRVSVEHRDIIPSLIMKFKNLEGLMLGNAYFVKDILELIPIHLPKFALLDLRNCFVGGEAAAAIVSHVPKLKVLIMDQATLDREDLLLIMQSCKQLERLHVRNCMGFAEDDEQILRLSSGIKDFQCSGSKGMDEHFQLVKDVNNACLEFTKKDVYRSIFKAADGVDGYEWDMDEDWA >KZM98561 pep chromosome:ASM162521v1:4:25036330:25039515:1 gene:DCAR_014077 transcript:KZM98561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLVVEVIDASDLMPKDGQGSASPFVEVEVEDERRRTQTKVKDLNPYWNEKMVFNMNDQQDLMRKTIEVTVYNEKSSDGHHKNFLGRVRISGLSVPLSESQAQVQRYPLDKRGIFSHIRGDIALKLYVIGGAGGGHDHFSTNFSASHDGGRDHFSTNHRAQDGAGHDQFSTYRAQETEEVQATRVKKSSHLEQSTHNPVLQEINTNYRVNDDEYKDIEKKLKKKHKEKEVRTFYSVGTGGGGPPPASIPMQRPVTMEPPRPMESSRADFARQGPGPGPATVMQMQFPGQRPEFGLVETRPPVAARMRYGDKMDKMSSTYDLVEKMHYMYVNVVKARDLPAMDITGSLDPYVEVKVGNYKGLTKHLDKNQNPVWNSIFAFSRERLQTNLIEVIVKDKDIGKDDFVGRVAFDIAEVPLRLAPDSPLAPQWYRLADKRGEKPTRGEIMLSVWIGTQADEAFPEAWHNDAHNISHQNLANTRSKVYFSPKLYYLRVHVIEAQDLVPIEKTRQLDTHVKVHLGNQIRVTKPSPVRHINPVWNDELMFVASEPFDEFLTLTVEERHGPGEPIGRVIIPVREIPPRMDWHKPLDPRWFNLHKPSHAGDEVDKTKEIKFSSKILLRLCLDAGYHVLDESTPFSSDFQPSSKFLRKPSIGILELGILSAKNLLPMKSSHGGSTDAYCIAKYGNKWVRTRTLLDTLSPRWNEQYTWEVYDPCTVITIGVFDNFHVNGNREDARDQRIGKVRIRLSTLETDRIYTHYYPLLVLDRSGLKKHGELQLAVRFTCVAWVNMVAQYGMPLLPKMHYAYPISVRHIDWLRHQAMQIVAARLSRAEPPLRRETVEYMLDVDYHMWSLRRSKANFYRIMSLLSGVSAVCRWLDGICKWRNPLTTCLVHVLFLILVCYPELILPTIFLYLFVIGLWNYRFRPRKPPHMDARISQAENTHPDELDEEFDTFPTSRPSDLVRMRYDRMRSVAGRVQTVVGDLATQAERALAILSWRDSRATAIFIIFALVLAVVLYVTPFQVIAVLVGLVMLRHPRFRNRMPSVPVNFFKRLPSKSDMLLL >KZM98440 pep chromosome:ASM162521v1:4:23996150:24003140:1 gene:DCAR_014198 transcript:KZM98440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVPSRRSSPSSTSSRRSTSSDSYGWDRASYQSRSSSGDVQPDPLLKPINFVIQLLCEEQELTRDDVDELIERIKCATENFTVMDRKNRNIVSVFCNEWIDATEAFVVLWKIRFEGTYLYVPKLICNDYVPRETMEELNDKLKAIFIERCNWLSESDMVKDCQMKNREVVSEILKINAMLAKPQHYTVCSEMTDRKKRLVKERDYYGKRAREFQLGMKSIIGYLQGKQGKDILGEEKVNVFDLKGEIDWCKIGKLIARECRRLEGCLPVYSARQDVLRHLTREQVLVFIGETGSGKSTQLVQFLADAGLNSSGSIVCTQPRKLAAMSLARRVEEECHGCYQDGSIVYCPAYSSFQKYGSHVIYTTDNCLLQHYLNDKNLSRISCIIVDEAHERSLNTDLLLGLLKKLLHRRPDLRLIITSATIDANRLAEYFFNCKIIHVAGRNFPVEIRYVPYLTEGTSAIGTFASYVFDVLRMVTEIHSSEGEGAILAFLTSQIEVEWACENFVSPSAIVFALHGKLTFEDQARVFHDYPGRRKIIFATNLAETSLTIPGVKYVVDSGMMKECRFEPSTGMNVLKVCKISQSSASQRAGRAGRTEPGRCYRLYSEDEFRLMSVHREPEICRVHLGIAVLRILSLGISNVRSFEFVDAPSATAIDMAVQNLVQLGAVTRKNDVYELTGDGWKFVRLGIEPCLGKLILSCFQYRLGREGLVLAAVMTNSSSIFCRIGTEENKLKSDRLKVQFCHCDGDLFTLLSVYREWESVAHEKRNSWCWDNSINGRSMRRCAEMVKELESCLLNELHIIVPTYWAWSPLKKTRHDSNLKKAILSSLSENVAMYSGYDKHGYEVALTGNHVQLHPSCSLLAFSERPSWVVFGEILSVSNQYLVCVTAIEIECLDTFYPPPPFDISEMSRRKLQVSVLRGFGSTLLKKLCGKFNSNLLSLVSRIKIACGNERIVVEVDKDLNEILLYASIQDIEKVYSLVTDAVQYERNCLQNECVEKCLYFGGRGVSSPVALFGAGAEIKHLELNKRHLSIDVFYSNAIDNKQLVTFLEGSTSGSICALHNLTGSGKDTQEEGQWSRATFLSPEAAEKAVQLDGADLGDNLLKVVPVQSTYGGDHKLFSFPAVKAKVYWPRRVSKGFAVVKCDIQDVAGITEDFSNLFIGENFVQCEPSLKSIDSVVVRRLGKELSESEIHEILSAATDRRILDFFLVRGDPVEDPPCITCEEALLREITPFMPKRNSHVSSVHIQVFPPEPKDIYTRALITFDGSMHLEAAKALEQLEGKVLPGCRPWQKIRCQQVFHSYVSCSPSVYFIIKEQLNDLLSNLRQRKGVQCHLDRNENGSYRVKLSANATKVVAELRRPLEQLMRGKKIDHPDLIPPVLQLLFSREGKSIMMSIERETGTYIFFDRQCPSIRVFGCSNKIELAEQKLIQSLSTLHKTKQLEVHLRGCGLPPDLMKNVVNKFGPDLRSLKEAVPGAEFTLNTRHHTISIQGSKQDKQMVEEIIHQIAQTSPQVKIEDEASCPICLCEVEDGFRLEACGHEFCRSCLVEQCESAIKSHDSFPMYCSYQGCSALLLIADLRILLSNEKLDELFRASLGAFVAASGGKYRYCPSPDCPSVYRAVLDPDCDGTPFFCGACSVETCTKCHLEYHPYLSCERYKIYKEDPDSSLKEWCKGKENVKPCPLCGFTIEKVEGCNHVACRCGRHICWVCLDSFSSSEDCYNHLTSIHEAII >KZM97201 pep chromosome:ASM162521v1:4:12157657:12167575:1 gene:DCAR_015437 transcript:KZM97201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METARNQGCILDYAFDFSKFKRNFFACCQRVRVWVCRVVFDGILAGYGFAFAAADGIRRRGSGNALDLFLQRRAESIKRSRTIGTSSEFDKNSRATSQVPLSTTDQSAPGESIRRARLSNFDQNSSPGSVLDSTVTNVMPSTNAATGWIQLSSGALIAAQNAPATARVVVFEVENVNLVDTASVVAKGMYMKYEPVDERYCPFSIVTVKVYNYILTGNTFQGGFFNGHGTDIHDIGNDLHENLSSGALIAAQNAPATARVVVFEVENVNLVDTASVVAKGMYMKYEPVDERYCPFSIVTVKVYNYILTGNTFQGGFFNGHGTDIHDIGNDLHENQIENLRILQQLYMARPYGLVIFFTDDPISSSPVSSWSLLCFLDSNLKTNARTGSGASGDNKFCSERIAKYAFEYAYLYKRRMVTAVHKANIMKLADGLFLESCREVASKYPAWPLSKLPPVAVYFMPPLMDLSFVDRMDAESDNKGSANPAETPDIVLPSSTNPEQSVSKRPAHEESETENAAKKSDPNESADSASGTPKLSVFGSFTKGLVDSSWSAVKAVQLRARRIGSQNKRRYQILSTPSQRMVNENNGSYAGETLGGVDIFDFTADIEKESCIILLLRDAGWINLVFQDK >KZM99572 pep chromosome:ASM162521v1:4:33117937:33120167:-1 gene:DCAR_013066 transcript:KZM99572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLIGIVGLLLYFRYVHKKFSQQYKATIGADFVTKELQIDDRIVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMRSFDTLENWHEEFLKQANPADPKTFPFILLGNKIDIDGGNSRVVSEKKAKDWCASKGNIPYFETSAKEDYNVDAAFLSIAKAALANEHDQDIYFQGIPDAVTETEQRGGCAC >KZM99556 pep chromosome:ASM162521v1:4:33018691:33020235:1 gene:DCAR_013082 transcript:KZM99556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSTASRKQQPQLPPGFRFHPTDEELVVHYLKNKVDAAPLPAAIIAEIDLYKFDPWELPAKACFGEQEWYFFTPRDRKYPNGARPNRAATSGYWKATGTDKPVLSGTGSQKVGVKKALVFYGGKPPKGIKTNWVMHEYRLIPDDRNKYKPAADHSDILANKKGSSRLDDWVLCRIYKKKMNPQRPILDHESCESLNELMLPSFGQLQSPNKLPSLGLKASTTSNTYGSFLENDQTMFEGMVPDHNTHIQNSPMNNSLSSFPSSAANLLSLKPSFLGSNLYWNSQEGMTNTDGGGTNCSSSSGKRFVTERGDHENVSFPSLLIQFPQNSQASMQQASTLGGNIGDQQGVVRQHEPQSMMNWYSQI >KZM97523 pep chromosome:ASM162521v1:4:15537827:15542912:1 gene:DCAR_015115 transcript:KZM97523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGSPVSNGFHMPAEWEPHSQTWLGWPERGDNWRDNAVHAQIVFAKVAAAISKFEPVTVCASAAQWDNARRQLPHNVRVIEMSMNDSWFRDSGPTFVVNKSKLGPGSTKDKVAGIDWNFNSWGGAEDGCYTDWSLDLLVAKKIISVERLPRFPQSIILEGGSIHVDGEGTCLTTEECLLNKNRNPHLTKVQIEDELKAYLGVKKIIWLPRGLFGDDDTNGHVDNMCCFVKPGVVLLSWTDDKSDPHYERGAEALSVLSNSTDANGRQFHIIKLHIPGPLYMTQEEADGVIQDGNGKPRLAGTRLAASYVNFYIANGAIIAPQFGDKKWDDEAVRVLSRAFPDNEVVRIEGAREIVLAGGNIHCITQQQPACP >KZM97685 pep chromosome:ASM162521v1:4:16857956:16861691:1 gene:DCAR_014953 transcript:KZM97685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTATATITPTLLYQKTQTPSIQLQSAPCSRFPHFSAQSQSISAARSSRSPIVPRAASFDTANIADALAEVSIFTASGQAVLFRDLLGQNEEIAVVSLLRHFGCPCCWELASALKEAKAKFDSANVKLIAIGVGGPEKAQILAERLPFPMDCLYADPERKAYDVLGLYYGFGRTFFNPASAKVLSRFESLKEAVKNYTIDATPDERSGVLQQGGMFVFKGNKLIYAHKDEGTGDHAPLDEIFDICCRVPVT >KZM97850 pep chromosome:ASM162521v1:4:18365033:18371369:1 gene:DCAR_014788 transcript:KZM97850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRQLLKVEPAIWRACAGTSVHIPAVNSRVYYFPQGHSEQSASTPTFSPLVFARPYTLCCVRGVGFFANPETDEVFAKMHLVPVPSDQSVLLRNEQGDVEDENDVVSFSKILTPSDANNGGGFSVPRFCADSIFPALNFEADPPVQNLGVRDVHGVLWEFRHIYRGTPRRHLLTTGWSKFVNNKKLVAGDSVVFMRNRRSGEISVGIRRAVKANADCNGRWNYYEDKREREGFSRDVRGRVAVEAVEEAAELAAKGLGFEIVYYPGAGSADFVVKAEKVERSFSVFWTVGMRVKMAVETEDSSRMTWFQGTVSSAVLPDIVFIVAILIFHCLFTIPSRVICANYTQFAQVTWDEPEALQNVKRVSPWQVENVVATPSLHSEFHPTKKCKLSQDPGLLSAGDGELLFPMPFLSHSLMGHLNPSWMNYNSFPAGIQGARQDQICVSGVPNNILENSQQICSKFFVGDMSPMAETTELNIGSAQVDNLSPDSRSSVHFFGNEQVGKQRVSKCSQKVGISSFQLFGKIIHINNPIEGGLDDVKCTGEDGSKIYREGRVDHPLKRSLTYPFTEFFGTVDVQCQRDSASEDVYSE >KZM96874 pep chromosome:ASM162521v1:4:8136756:8140499:-1 gene:DCAR_015764 transcript:KZM96874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRQRAVATLPTLMRALKTNINSPSSKLPNTPLPSLRRAFSLYDQINLIDNVPEDQLRFQGYTDTGFTVTGKNYEGSVLCIGNLILSWTPKTFADITADRTNVLASSKTRIIGMDFSTARMSWEETYMFLSCSLSIFQTVRPIPEILIIGCGRYIQPVNPELRQFIRSTGMKLEAIDSKNAASTYNILNEEGRIVAAALLPYGVTS >KZM96956 pep chromosome:ASM162521v1:4:9124660:9124890:-1 gene:DCAR_015682 transcript:KZM96956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFHGDFSQNIDYVFKIVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTRTLAIDHKTVKAQIWDTAGQER >KZM97405 pep chromosome:ASM162521v1:4:14293030:14294292:-1 gene:DCAR_015233 transcript:KZM97405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYDTLGRVDSSKMSWRLRVRVTRCWPMKSLWSDKIIAYNLILLDNDNLPVHAILTPAKWNSLDHPIVEGNVYEIADFVARIPVGKIRPVQTPKCILFTPVTSVNPVTEPDVSIPVNCFKFISLDNLNEHAPANSSSDMPIQCIGIHQIRLAFENLIGVVENPGQIIPIVTKSGPKIVHSFNITNGKRSFAVRVWEQHQSSSNVLFNDNLDTPVVVVIASARVMICPSSLSVSTMPFSKVHINLDIKESDEMRQIYAHNVNG >KZM96711 pep chromosome:ASM162521v1:4:4767530:4768063:-1 gene:DCAR_015927 transcript:KZM96711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRRVGEALTINSVNRLQRVPRNSLGSLLAMIRDQSPNIVTIAEQETSHNGPYFLGRFLKALHYYSAIFDSLDATFPLDSAHRVKVEQYISTLEIQNIVACEVPERVMRHEKLEKWRKIMESKGFEGVPLSANAVTQSNILLGLYSCDGYSLTEDHGCLLLGWQDRPILAAYAWRC >KZM97445 pep chromosome:ASM162521v1:4:14756275:14757024:1 gene:DCAR_015193 transcript:KZM97445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLEKRGSIYFLTLTGSDDHRLNPSLADSISAALHRIRCEFTATPSTSAALVTTGEGKYFSNGIDIAWAQSNKQRFFIMMSKIRHLLTQLMSLPMPTIAAVNGHASGIGYILALSHDYVFMRKDHGFLYMSEVDRGVVITATYVWATLKAKISSPSVLRDIVLRAEKVTAEAAVTKNIIDAAYDTVEETVTAALELGKDLASQKWDGQVYAENKKYLYSTVLHELAVVETDKMLQDSRLLTKQVMSRL >KZM99847 pep chromosome:ASM162521v1:4:35333886:35335876:1 gene:DCAR_012791 transcript:KZM99847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDLMNTIYRDNKFRSKMEENAVQEAAAAGLQSVENLIKAISQANNQNPYLSSSSSSETGDTDYRAVTDVAVNKFKKFISLLDRNRTGHARFRRGPVVEKTGAEVQNQNQNHGSDGFQVYRPTAVHPVQTVQPVQTVQPVQLVQPVQRLPPVPKKENVSTTINFAAPAVAAAAPATSFMSSLTGDTDGSGFQITNMSGFSSGSRPVSSLKRKCSSMNDVTAKCSGSSSGRCHCPKKKKLRVKKVVRMPAISMKTSDIPPDDFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERAVDDPTMLIVTYEGEHNHSQSPNENTNTSHILESDGPKQL >KZM97228 pep chromosome:ASM162521v1:4:12537044:12537253:1 gene:DCAR_015410 transcript:KZM97228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKLREKVAGFLAAAKSARSLNSKLQSLQHLKQIFSDDADTDLLSEFLPALLEFHSDSSSPVRKLVIE >KZM97922 pep chromosome:ASM162521v1:4:19456855:19457154:-1 gene:DCAR_014716 transcript:KZM97922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQITIGSLVLAKKMSECKNTIPLHISPSWKWKALTSTLCPNQYMQTIICFLKICFHINHYVRPYGGQTFMHSSVVFALGKGEHVSLLLWCSPLVYMN >KZM98852 pep chromosome:ASM162521v1:4:27370010:27370486:1 gene:DCAR_013786 transcript:KZM98852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYVSDNFESINAFDEHDTGALLMSQLLDESHFEDCDDERLSSVIRSLEAEIEPVMVIEDNDALMELEWDDNLADICKNNEDVINGQDCSTASSSDDDYDNYNWMEMEEMNEFRGVGDYYYYDHYPQFVNHEDVHANYSVEEQSYGSLWQDTNVLIM >KZM96796 pep chromosome:ASM162521v1:4:6398990:6402562:1 gene:DCAR_015842 transcript:KZM96796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHVSVLRNAPSKLCSKSWLMVVMLKLIKADEELQDDAIISLPTRNYRRDKLTIKIKDGVSAAFTGYVIPFFDFRVNCGASFEVWWWLSIEFYLCYCKSDQGDANGYDLVVVDAHKSREGENEASVCLCRSQDCYGSYLNLIRKEPFRRKRRLHLKGFILKKQCPMPRKEKDQLLRNCCITWLLMEDGMLNIQGLICSHNTSGSDDVYRMLRKSLLWLRDELRNL >KZM99690 pep chromosome:ASM162521v1:4:34050246:34051915:-1 gene:DCAR_012948 transcript:KZM99690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTKLIDAVLFLFFLVIAVAAPVLDFQTCLPRDLYPDALVNLKKWYADIYGDYLVAEKPHFFVGIVWVELLFQWPLSIAALYGILGGKSWVRTVSLMYGSSTLTAMVAILAEMRNSGKASEKLFMLYYPFLGFALLAILRGLLPHSGRTTTLGKRPLFNRKKRA >KZM97877 pep chromosome:ASM162521v1:4:18887734:18890814:1 gene:DCAR_014761 transcript:KZM97877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNLVMIGVLCILLAFTFLSIRRIRDKAAQKLPPGPYPLPIIGNIHKLGEHPHKSLTKLAQVYGPIMRLKLGHMTSIVISSSSTARQVLRKQDIAFSNRPHPDAIRALDHNKYSAVWLPVGTRWRSLRKIMGSNIFTATKLDANQHLRSQKMHDLIRYCEKCSQRGEAVDIGGAAFLTSLNLMSNTIFSKDMVDSYEDAEGKVFRDLVWNTMVEIGKPNLVDYFPVLRWMDPQGIKRRLGSHFANLIKFFDVMVDERLEQRRPGYRGENKSSVDVLDELLKLQESNEIDKSHIQHMFVFALYISAPLEVYSTSSQDTGVRTATVISSLSVHFSHCDSPGIYDSNIAMISTWFILLAFTLLWIRRNRNKASQKLPPGPYPLPIIGNIHKLGKRPHISLASLAQVYGPIMRLKLGCMTTIVISSSSTARQVLRKQDVAFSNRPLPDAIRALDHNKYSAVWLPVGNLWRSLRKIMSTNMFTPNKLDSNQHLRSQKVRDLIRYCEKCSQCGEAVDIGGAAFLTSLNLMSNTIFSKDMVDSYEDLEAKVFRDLVLDSNHEIGKA >KZM98843 pep chromosome:ASM162521v1:4:27317433:27318855:-1 gene:DCAR_013795 transcript:KZM98843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQLQQQEFDVVQSSITPTVFQGIPVIDLSNPDSKSCLVKACEDFGFFKVVNHDIPTQLISNLESQAIQFFSLPLCEKEKSGPPNPFGYGNKSIGRNGDISWLEYLLLTTGPEFDYHTLSSVSAQTPQTFRGAVNDYVSAVKTMACQILELLADGLRIEQKNVFSKLLMDKQSDSVFRLNHYPPSPDINMIGFGEHTDPQIISVLRSNNTSGLQISLREHGSWFSVPPDQDSFFINVGDSLQVMTNGRFKSVKHRVLANSEKSRVSMIYFGGPPLNEKIAPLPSLLMQGEDSSLYKEFTWFEYKKSAYESRLADNRLCLFEKFAAS >KZM98453 pep chromosome:ASM162521v1:4:24115651:24119980:1 gene:DCAR_014185 transcript:KZM98453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLHHNLGGALAAAAFVLLTLFTPSSHASSSFLPEFNAIKPRHMNLLKSAVLRQTSDEQKAALWRPLANQGWKTCVDSATGPTPPPSPKNSQGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWKDSSSFVDIYDVDHFIDVLKDDISIVKDLPEDFSWSTREYYAIAIRATRVKTAPVHASANWYLENVSPILESYGIAAIAPFSHRLDFDNMPEDIQRLRCKVNFQALSFVPHIRSLGDAIVSRLRYPSGQKEVSSEFLTEVTETQGRPEAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRNQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRELFPLMEDKKSLASSEERANIKGKASLMSAVDYYVSMHSDIFVSASPGNMHNAMVGQRTYQNLKTIRPNMGLLGQLFLNKSMDWTNFQEAVVEGHINRQGQLRTRNLKQSIYTYPAPDCMCQA >KZM96676 pep chromosome:ASM162521v1:4:3772806:3774462:-1 gene:DCAR_015962 transcript:KZM96676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRERNEERENSNFKFSNNQRSDDRNFADTDQWFKVTRHHVTGKRITVPISEPGKPVKGTGGERTYAQVLQQGVAESSERQQAKEDPIKNRIYRNGCISVMVNNIPDNASRRDLWLLFNGGRRIKDIILPRKKSKFNTRFGFLVVASLGDAHVLISKFNGMVCGQYRLRLLLAKDSRATGTYSDSNGNTRWMGATDNSQNKLQANRIPAPVALITSKRSKEVLVEQPSFRTVKGVISPDKQSMLDRSMIGFTKEVIQEDMLQEKILARGYNFIKVSGLTHNEFLISPVAEEDKEMDLSGLNDLFEKFKKVEDSDLVVPRVAWILCDGLPLSVWNKTTLEAVLGDWGTLVSEFSDSLDFSNVHNGAICISTWKVLPIEETLKVMVNGLGFWVKIREMRDSNRSFSNSKSQEDGLYNESIENAYSLAILEEPRGDDSVVLNGNLQSDDILAGGMLAMNLGRKVGRPRKRAPTRNVFEVKGFRKIKSRYNKMKNRQIGAAQKRSRLRSDKMKAIEAAPPSMESKH >KZM96821 pep chromosome:ASM162521v1:4:6969561:6970268:1 gene:DCAR_015817 transcript:KZM96821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRRIPAEELVDAIIVRWNGQQKEEKYDRKKVHIRTLDDLVNVNTLFTLAVFVGLSQASPGIHSLENREECNAGPRVAKMLVLYEVVAFACFLLSSLVAKVLKLFLSLDARRFKFVRQGFVLKDSLLILTASASVSGIILLLLSVVNVVQIRIGLYSCGSAEARKAIWALCTIVAIALVIYVVSISVAIYASITGDGLYDPIRSNREASKEESNHAYTNADQELGITGKPDVQV >KZM98874 pep chromosome:ASM162521v1:4:27558824:27562465:-1 gene:DCAR_013764 transcript:KZM98874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSIPFKSLHIREFQGHKKKVHSVAWNCIGTKLASGSVDQTARIWHIEPHGHGKVKDIELKGHTDSVDQLCWDPKHSDLIATASGDKTVRLWDARSGKCSQLAELSGENINITYKPDGTHVAVGNRDDELTILDVRKFKPLHKRKFNYEVNEIAWNMTGDMFFLTTGNGTVEVLDYPSLRAVDTLMAHTAGCYCIAIDPLGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNHTGEYIALASEDLFIDISVVSSGRSVHQIPCRAAMNSVEWNPKHNLLAYAGDDKNKYQADEGVFRIYGFEGA >KZM97185 pep chromosome:ASM162521v1:4:12011470:12012060:1 gene:DCAR_015453 transcript:KZM97185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGAYPLGGLVRPPLIAGPSAGHGRGEYRPAGSKNAPTMQKGHGMPVWANNTPGHRFSRGLNFTLPSYKTVFEVDTDSFEEKPWRLPGVDISDYFNFDLNEEAWKNYLACLV >KZM97237 pep chromosome:ASM162521v1:4:12655697:12683361:-1 gene:DCAR_015401 transcript:KZM97237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKLLSKYGNIILKYFLLKFLNGYQILVMHNEEKGAVTGISTLYADLDLKAGEFDSGEMLLFVLHNLSSVTAADLDFQVSVHYGIPSTASIMSFDPIQRLLAIGTLDGRIKVIGGDNIEGLLISRKQLPYKYLEFLVNQGYLVSISKDNDIQVWNLESRCIACTLQWEANITAFSVIKGSCFMYVGDENGLISVLKYEADDEELLVLPYQVSADSLAESAGSSFPDNPTIVGVLPQPCSSGNRQVLIAYDCGLIILWDVVEARVVMVRGDKVLDLKDGDVNSHTAAGTIQTDNNVQNMEDKEISASCWASSNGSILAVGYIDGDILFWKTSNSSSTKVDQGGKSSNNVVRLQLSSAEKRLPVVVLHWSSNNSAQNDVDGHLFVYGGDEIGSDEVLTILKHLRKCSPPNLVDGIKWPMTGGIVNQLNNDEDRVGRLYVAGYENGSVRIWDATYPVLSLLCVLEGEVKGVEVTGSSSSVLKLDFCSLTFCLAVGNKSGLVRLYCLDGSLNESSCCLVTETKSEAYSLTKGEGLNCRAIFGLLQSPVLALQFASKGAKLAVGYECGRVAVIDTSSLSVSFLADCLSQPSSPVLALTWETLRQTDDHIKSPRNSESKIVGKIVELSLYALTKDAKIYVINGGIDGNITLSEKLTQIQEDVMDAAGEDEPSQDTTSSEIDHLKPDNHSQNSSVVPLVLLCCKDALLLYHTKSVVKGKNKPIRKMKLANTCCWTTTFKKFGKLCGILLLYQTGEIEVRIPESLPCLHDKVVAAAAEAAFNFSLDHKKRKGTAPGILGGIVKGLKGGKVSNATDLVANSKSNLNQLEQIFKRNPFPEPVIFNEKDDQIIVDELDIDDIHIDEPASVPSTSAPNVQKKRGSEREQLFDGEAAIEKPRLRTREEILATYRKDASSVAGQARDKLLERQEKLECGSNTVSLAYLNSYHVRWPSLPKLKAMLQRISRNTEELRNGAEDFASLANELVKQMEGRKWWQI >KZM99758 pep chromosome:ASM162521v1:4:34560521:34562671:-1 gene:DCAR_012880 transcript:KZM99758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSKMLQSSSLSPISQFPPHKILFYTTNFISLPSSLSLNSLSYITPNAPLFKTQKAPPLFLAQAAVETPVAIEAEEEEEEEDEESRTRVCAQNVPWDCTADDIRPLFEKYGTVVGVELSMYNKTRNRGLAFVTMGSHEEAVAAITNLEAFEYEGRSLRLAWAKPRKKKVPPPRVLPKQVPIHNLFVANLPYQARGKDLMEFFNSQNANVVSAEVIFRENPRGSAGYGFISFNTKEEADAALSTFQGKLFMGRPIRIAPSKKFLRQGTKESMQQEESTSSSKLNSDEEQTETSAEV >KZM96899 pep chromosome:ASM162521v1:4:8473526:8473907:-1 gene:DCAR_015739 transcript:KZM96899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVNDEMTQILEENIRSMEREIPKLMAEVANTKKMEWAACSCCWIANDRLFIPLLMFESFLWGLYMLLVYASDVGYTANSAGRPLPHPKNPTQCNNVY >KZM96901 pep chromosome:ASM162521v1:4:8483420:8483717:-1 gene:DCAR_015737 transcript:KZM96901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRWKGQLQLLLGLYMFLVYASDDVGYTANSAGQPLPLRKKPTQCNDVY >KZM97661 pep chromosome:ASM162521v1:4:16635782:16638879:-1 gene:DCAR_014977 transcript:KZM97661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGGGRSMEESSTWAVALVCLVIISISLGLEQIIHIIGKWLKRKNKSALYEALEKIKSELMLLGFISLLLTVMKGTISEICIPKAIGNSWLPCDKEFEEEAQKDYDGDHGHRRLLSFTNLTETSHHRFLAAAAASGKVQFLSEDAIHQLHLFIFALAVFHVLYCIITLALSRAKMRMWKTWESETKTLEYQCSQDSERYRFARDTTFGRRHLNLWCRSPVLIWIFLVSVPKVDYLTLRHGFIIAHLAPQSQTNFDFQKYINRSLEEDFKVVVGISPPIWFFSVLFILFNTNSWKSYLWLPFVPLIIVLLVGTKLQVIITKMGLRIQDRGQLVQGSPVVQPDNDLFWFNRPGLLLYLIHFVLFQNAFQFAFFAWAWYEFGTRSCFHDKTEDVIIRISMGVIVQILCSYVTLPLYALVTQMGSTMKPTIFDERVAKALHRWQQDARKNIKKNRQAEGSAAPSPKTASDPPPCDEGEVDSVHSSPELNSSVHSPPPKLNSFHNVHFEASGSSSFSEGRALLKGKVDENPAQDSNSISVAKPLSSHHQIDIL >KZM99841 pep chromosome:ASM162521v1:4:35295292:35298015:1 gene:DCAR_012797 transcript:KZM99841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQSHALDSVNRTIVCFSETIYRTNGVWEGPNPLSPIVPIFVFQLPLCIFATRVVQLLLKGFHMPSFVGELIGGILIGPTFFGKIMPSYYRWYFPAYSFIVLEPMAHFALIYYAFVMGLRMDILTIRRTPTRAVGVAISGTLIPFLLGVGFFFMISTSQKISGCIFWGFALCVSGYSALAQIIEKQQLVHTEIGKLALATSQVSEIISWGLLTMGLAMANTRGGFFTATFFSALYVCFCFYAIRPALAWIIRHTATGQGYSEFYLCSVLSGVAFSGVMTDALGTHPMIGALLFGLIIPDEVLQSTLVERVEDFVLGILMPTFFAVCGIRTNLSSLATNNISFVVVMVVIVLLTVAKIISALFASLFTTLPAKEAAAIGLLTNTKSILALIILEIAQEHGALTTQEFSIIIVAIILMTMIVPPLTMVYHPVDNVMPYKRRTIEKSKADEELRVLACIYDMRNVPSIINLLEASYASQKSPISVFALHLVELIGRAMAMITVHTTRKAGTKHLTQAEAQTEQIISAFDNYELRCNGVMVQTITARAAFSSMDEDICSIAKSRRAAFIILPFHRQQAHDGEMEDINPSIRNVNENVLTSTPCSVGILIDRRMPDSFEFPRRVAVLFFGGPDDREALAYAWRMSEHENVSLKVVKLVPAKTEAPSDPMDFMGAGPAAVSVPIDAEKEKMLDDECINKFNIDTVNDNSVVFQELVLNDEEETIRTIKGMDGNHDLFIVGRGRGSSSPLTTGLADWCDCPELGPIGDLFATSEFSSSFSVLVMQQYIKTAETEESELSAESFARQDSEQLPWRASSAATEDVFGSFDSKDNKFSHES >KZM97937 pep chromosome:ASM162521v1:4:19594537:19594848:-1 gene:DCAR_014701 transcript:KZM97937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KZM98225 pep chromosome:ASM162521v1:4:22082127:22084578:-1 gene:DCAR_014413 transcript:KZM98225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSSVGSPQHTTTAASDDDYNQPLAFVHTTISGAGRLLPSSSHWNSIEIDFNILPQSQTPSGYESVLPSRFSKSYDYNLIVTDKTHLKRCVYVTVSFFLVIALIFFLLHFVPLHKHHHQDSVKNLTLAVNQALVFFDAQKSGILPKNNLVNFRGDSGLQDGNSSTTNVDLVGGFYDSGNNIKFSLSTAYTITLLSWTVIEYHEKYDDIAELEHIKDIIKWGSDYLLKAFVPRNSSASTPSKIYSQVGSASKDSKVDNDINCWQRPEDMSYGRDVLVCDSTASDLAGEIIAAFSAASLVFKNNEAYAGKLIKAAEELFEVASKNGTRHIQGMYTNSDCGKQASEFYNSSGFRDELIWGGTWLFFSTGNSTYLDYATTNFDAAVKEELSSENGIFYWNNKLSATMILLSRLRFFLDLGYPYEDAFGSSTNRTDLLMCSYLNKLQSDMTDGGLILLKPKYGAPLQYSATASFLSKLYSDYLDLLRRSGSSCAGSVVDYILGDNPMKMSYIVGFGDEYPTHVYHRGASIPWDHKTHSCAEGDKWLNAKEPNPNQIVGAMVGGPDHNDIFLDERDKPWFTEPTISSNAGLVAALIAHHGPPLMTRDHLNSSSSNGLNLGIDMMGIFQNIHLR >KZM99509 pep chromosome:ASM162521v1:4:32647779:32648605:1 gene:DCAR_013129 transcript:KZM99509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWRWKEEYLDLILVPIGLLIMFSYHLFLLYRYLRYPHTTVMGCEDHYKRVWVEKTMQLDAKDRGTAIAVINSNIIAATNMSSVSLVLSSLIGTWIGSSTGKSILSSAVIYGDTRMTITSYKYIALLTCFLLAFASFVQMLRNYTLAAFLISMPHCEIPVSYVQKPVIRGSNYWTIGLRALYFATSLIMWIFGPISMFVSSVVMVAVLYHLDTTSTEPYLFRSQFKRGNFLMVGEELVTNY >KZM99415 pep chromosome:ASM162521v1:4:31894434:31897183:1 gene:DCAR_013223 transcript:KZM99415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLSLSSATLLPFCNSPTISSKPTISLFSFPPSNALKFQSLTSSRPSFTRVFAAPEVLDSEVEGSEAPDTNSLSIDSDKMAPKQKIRIKLRSYWVPLIEDSCKQIMDAARTTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >KZM96646 pep chromosome:ASM162521v1:4:2853758:2857473:-1 gene:DCAR_015992 transcript:KZM96646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDEAGCMRGLIRMFDIRDGRSTRKFLTDRKRGSRNPAGTDTKLKMLTYKDDKDPASEDFEETKTDDINTSVKKLIEGGMFDAKGLKKNINIAGAQLHELNSENGGHLSDKPKHPKRNHTKSCDMHANDLDVAARSSHQLSNQEKPYNHDLEVMLEELFQLNQKGSSCEHDWHDSRSNQVYSLAQEDIGAAFKVLISQRFGDNGHLGGDCNILHFKEFIDALEILGVSKELFSEHLHDPNSMLAKYIQRIETGHLTTDPHTNPLFESSLLDQESNKSSLKKLVDQKHHSFFRRRKRKGKSQNDDSSKYVDEFQPSSKIVILKPESTALQNSDTKSLGTASPESQWTVADITKNERNYSQFSLREMKKKLKHVMSIEWHGVSRSSKSHREFQNLKNHEKGVVEGNSGWSSPNRNHFFTERFAKSLLGMKKDHKLNRPGESETTGVNEHDGNSKQAIPKIYNEAKKHLSEMLSNGDEKEDRVRKQLPKTLAKILSLPEYSSTPSSSPGNNGNHIFLTPQVKLFPPGKSDIVQENMPCILQENHVSQQLPPKQCLESGSCISVEETDKKVLSCIFDPYILGEQSNEKGVEGTMSSTHDEEICEELPEIVTTESYLWEDIELEEDSCKSSSVPLIRAIEILEAAEISDKEKSASILTLNPIRDEMLIHSPPVSPTSPITTVEIGSFDNCVDRADRPSPISILEPFDSDDDISPASSKLIPVKETIEPLHICFDEWDSSDVNHTVSIGTCMEDGESAFEYVEAVLLGSGLNWDEYLLRWLLSDKILDPSLFDEVELFSSRSNDQRLLFDCTDKALKETCERYFTRSFVRQGIYPVPNGMKLINEVWRGVEMEILQHPASQSLEQLVRKDMPKFEKWMDLYFETDHIVIELEKFIIDEMVEELILFSDDHATEDWSLVISHTLAKDEENPICQ >KZM97051 pep chromosome:ASM162521v1:4:10110515:10113651:-1 gene:DCAR_015587 transcript:KZM97051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVHILLLLSALSHVLVSADVSYYIDCGSTSGSTDGFGNIWQKDPIQYGVTQSVEASNADSDPVMDTLRVFTIGKKNCYGFDVTEGERVLVRASFNYGNYDKKSAPPAFDLQFDGNFWTQVETSNDEVVTKEVTYVVKSNVLSVCVAQTKADQVPFMSALVVRSMDSDIYSNADFDYALLLQSRVAYGADAAIRLSDVYDRTWTPAILEKGQTSFTNKSLDFIVPVESPPIEVLQNAITTSDTLDKLILASGFPTDLDSVYISMYFSEPTEVTEARSFRLFVDNQVVSGSDIEPPYEDVEHQRGNLEVSSNTTLSLIANTSSELPPLINAMELFYVSKDPLTNGTHADDVEALASLLETIGAPSDYSDPCLPAKYTWDWLECNDDATPRVTALHLDSFELTGSLPDLSSMIGLETIDLQNNSFTGNIPDYLGTLPNLKELNLADNQLSGSIPTLLSENKKIKLNVTGNPDLCKSGESCDDGTTTTSTTTNTNTNTLDFPTRKSSSSSSGKKKNKKLPVILGTTIPLALFGSAFVSLLAWLRHKKKKKLSQASTHHAGPAQNFGGGSIDEAVVDVNLDHEHVDEESDAKPLH >KZM99375 pep chromosome:ASM162521v1:4:31653820:31656797:1 gene:DCAR_013263 transcript:KZM99375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAMKKSDISLRSCSTRKRKKIETDDEKGKNKKVKTQTLSHETLEQGGDESAKAIRSDMSLKSCSISERKNNGSKDEKGKKKKKSKKKKKKKGSYMVNLSGLRFGRFLRRKKKTTQSCVNDSGPQWVVQKHPQAVRKGDRVNLQQLLFTRDRDFLITYNNRRVQAKHLENKVIVLHFVPLVPWSEYWMRFETTILLDVYNALLPKGDFEVVFIGVELDTANAASNCPTPRECFENKFSIMPWIGIPFSDVKSRTDWETVFPLSGFLTRDTPASFVIDHTGMVLQCNANDIFHMYGARAYPFTDKRMECLLKEDAEARNHPSITKLLASSERNHLINKDNQAVPIHNLEDKVVGIYFYEDYPNHALTSEIQKAYEQLAQKNNFEIVLVYVHDSFATCERTSEKSYWKTLKKMPWLALPFQDPVCKKLQRVFDYPLYTCEDEDGPDHSLVIIGPQGKFVERYGADILKNYGIAAYPFTQKGVAKLEAERIKELKLDMFWSRKTTLIQKNGSTVQLSQLEGKRIIVIVEDDQHIPDAKFWRMLRARYLQVKGTGNEFEVVHICKNKERHSYGKNIAPISWLRHPSRHPSHRYGLNVLEIFTRVFRRGSVVGLLAFDRDGRLVRRTPYPSILKENVDFPFGVMEEEFLRELVDRELDY >KZM98873 pep chromosome:ASM162521v1:4:27538584:27555026:-1 gene:DCAR_013765 transcript:KZM98873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPIAEETKKEPESFRRVVKSVQQSYRPDDPESVYSTIKWIPVIDLFVKAKSEVSLDDVVELVEFGLQLFHQSQDKLFAQVRWGALLVQLLNKFRKKLSLKIQWRPLYDSLLHTHFTRNTGPEGWRIRQRHFETVTSLVRSCRRFFPPGSANEIWSEFIHLLENPWHNSAFEGSGFVRLFLPTNSDNQDFYSHEWMQICLHHWDMIPNCQFWNSQWAAVTARVIKNYNFIDWQGFLPTLYSKYLNMFEVPVANGHGSYPFSVDVPRNTRFYHPSNGGRWTYSLERFLFHLVASFQKRLQKEQKKSNDGSQGQVVLGQSERASFVSTVLKLINRGQYSKNEHLAETVAAATSILSYVEPTLVLPFLASRFHMALETTTATHQLKTAVTSVAFAGRSLLLSPLSDSSMKKEDIGGSDVYSDILMISLSNALLGMDANDPPKTLATMQLLGSIFSNIALLEDQMDEPSFMQTVSFSEWLDEFFCRLFSLLQHLEPSSVLNEGAHSPATSGTFLVEDGPYYFAMLQILLGRLSKTLFTQALKKISKFLKTNILPGAIAEIGLLCCACVHSNPEEAVVYLVEPILSSVISSLNGTPVTGFGGRGNFDSAVSSKGKATLSPALETSIDYQMKVLSVAISYGGPALLQYSNQFKDAIVAAFESPSWKVNGAGDNVLRSLLGSLVLYYPVYQYQSGSRHPLSGGLEKWTSTKDFEMESSVGPTWHISNSAEVQFANELLNLHLDSALDDLLKICQTKVHSDTGTEKEHLKVTLLRIDSSLQGVTSCLPDFKPSCVNGMVEDQGNTSFLIAGAAGSKVGSIELREKAATIIHAACKHLLEDKSDDSILLLLVIRIMDALGNYGSSEYDEWANHRQTWKHESASIIEPPINFIVSSHSEGKKRPRWALIDKAYMHCTWRSSQSNYHLFRTSGNISASEHVVLLMNDLLNLCLHSYETVRLHAGKALLKMIKRWPNKISNCVLTLTVNLRNPKAPECAVLGSCAVLGTQTILKRLTTDPKAFSSFLLGILSSSHHESMKAQKAITELFVKYNIYFAGVSKRIYSNSGNSSDGKDFTTLVSEIGSMSFESSNLHWRYNLMANRVLLLLAMSSRNDPNSSSKFLNETAGHFLKNLKNELPQTRILAISALNTLLKDSPYKLSAVEQSSGNMQISTKSSLEGALSHIFQEEGFFTETLNSLSHVHIIDTDSSTSTSHSNSSMQSLADKSITRFYFDFSSSWPRTPSWISLLGSDTFYPNFARIFKRLSQECGIPILLELRGVLDVFVNSNERSKQCVAAEVLAGLLHSDVNGLSEAWEDWMMVQLQSIILAPSVESIPEWAACIRYAVSGKGKYGTKVPLLRERIMNCLINPLPQTASTTVVAKRYTFISAALIEISPPRMPAAERQLQFTLLGELLGNMNHTSAQVRESIGITLSVLCSNLRLHANFTNSNLQEDGNLNAGSWDKFLVKRASELVANIQHALQADNMEIADGNGHANGFPNGGAQDDMKWMETVFHFVIASLKSGRSSYLLDVIVGLLYPVISLQICQHWPRQRLNYLSGGFSVTLISKKLHTYVLSSVEKQQIWKIVEALLSDAQVEVREHAAAVLAGLMKSEDGELAEDFRTRAYTEAKVLLKRRKQRGSRSGPSIASTHGPVLALAASVLSVPYDMPSWLPDHVTLLAQFVGEPSPIKSTVTKAVAEFRRTHADTWTVQKDSFTEEQLEVLADTSSSSSYFA >KZM98215 pep chromosome:ASM162521v1:4:22016942:22026164:1 gene:DCAR_014423 transcript:KZM98215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLASSANADNIEQALVTLKKGSQLLKYGRKGKPKFCPFRLSYDGSSLIWFSSSGERVLKLASVSRIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKAEAELWIAGLKSLISSGQGGRSKIDGWSDGGLYLDVVLDSKDLTSNSASDSAARDSSSPDVSLSSNKNSPKDYRPKKSVHSEGSHVALDQTNMQVKGSGSDAFRVSVSSAPSTSSHGSVPDDYDALADVYIWGEVICDNLVKSDSDKISDSITTRTDILLPRPLESNVVLDVHHIACGVRHAALVTRQGEVFTWGEESGGRLGHGVRKDITQPQLVESLTACNVDFVACGEFHTCAVTMAGEIYTWGDGTHNAGLLGHGTEVSHWIPKKISGPLDGLQIAMVTCGPWHTALVTSTGQLFTFGDGTFGVLGHGDRESVPYPREIESLSGLRTIAVACGVWHTAAVVEVIVTQSSSSVSSGKLFTWGDGDKNRLGHGDKDARLKPTCVPALIDYDFQKVACGHSLTVGLTTSGHVFTMGSTVYGQLGNPQSDGKVPCSVDDKLSGEFVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDIEDRKTPNLVEALKDRHIKYISCGSNYTTAICLHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSKKALRAALAPNPSKPYRVCDSCFVKLNKMTEAGGSIRKNAIPRLSGENKDRLDKSELRLAKFGVPSNLDLIKQLDSKAAKQAKKSDTFSLNRSSQAPSLLQLKDVVLSTAIDIRRTIPKSQPTSSGVSSRSVSPFSRKPSPPRSATPVPTTSGLSFSKSVADSLKKTNDLLNQEVFKLRRQVESLRNQCELQEKELQKSTQKTQEAMALAAEESLKSKAAKDVIKSLTSQLKDMAERLPPGAYDAESLRLACLPNGLDRDSGHHLETNGERNLKSDSINSSDVVSHVGLETGSVNEAGDPSELPKDLAGCNGISSGNSQDLLTPNEREDFSDHKLPNSNGGVQGESNSVLSGPDKESGHFHGAENGMKQRNLTVPANPNQVEAEWIEQYEPGVYITLVALQDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYQKYNVRG >KZM98317 pep chromosome:ASM162521v1:4:22870421:22875867:1 gene:DCAR_014321 transcript:KZM98317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNRSAQQHELFWPKIVMRKWLNISAKDSDYSADTEDDEDDDTTDTEFDTEEFCEWPTESRYKDDQGNDVKLDHNGALPRSRRRKSETFRAQYINAKEIKIRAGTWNVGGKLPPDHLEIEDWLNISDPADMYVIGFQEIIPLNAGNIFGAEDNRPVPKWENIIRETLEKIQSGKPKYKCYSDPPSPSRFKPSADAPDIENEILLETDSDGDGDGEEEIYPLNEEPNIDEVSDGPVEGLTWFRSSDASFPASDTSSGISLEQKLQRQFSSSKKLDRLNCFRTEDSEFNAEASVPLLNRRLARTISGTERMGLSWPEPPLDLLAQCVPERPDSVRSFKSFKSSKSFKTSNSFKSSINVDHRVQPELASLAEIDLESLINRKRRSQYVRIVSKQMVGLFLTIWVRRSLRRHIQNVNVSTVGVGVMGYIGNKGSISVSMSIFQTLFCFICTHLTSGEKEAEAIKRNADVHEIHRRTHFNSFSNIRLPKTIHDHERIIWLGDLNYRISLSYDTTRQLISKRDWSKLLECDQLIRELRKGRAFDGWSEGTLNFPPTYKYEVNSEKYYGEDPKVGRRTPAWCDRILSYGKGIKLMSYKRTELMMSDHRPVSATYMVEVEVFSSKKLQRALTFTDAEIEDCQVVSNI >KZM98768 pep chromosome:ASM162521v1:4:26762937:26764107:-1 gene:DCAR_013870 transcript:KZM98768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKRMRNSNRRRRNVWAGEIEFQVAAILLDFPDLVSKPTPGIASLLSTTTWASKKRRSAIDVADADDFMLVDNHSHTAAPLPLTLSEHRSLFKPRRKSGSTKIKEELLKVKVAELTECRETLKTGIVEVLAYHEKLLNENAALKAMKEEELNYKKRIAELRKKMAEARKKLAVATNPKNGETNAATHVFPCQQPVPFHLN >KZM97883 pep chromosome:ASM162521v1:4:18926338:18930022:-1 gene:DCAR_014755 transcript:KZM97883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKRVKEISNLARGSKHCCHAKSSFSAASAPCDAPVVKKTGKRLPIGERRAMVKSFVDRYQGENSGKFPTVSHAKKEVGGSYYVVKKIMQELEYNSKLSSSNDGKEVSSKTEVRSSYLSCGSNSDADEYKPSPETSMLMTTEDVKEMTIEDVKEEEQHKLQLDIESIPDEKPNQYFQQPLPEVSSAKKSENSKEETTCESVLDANNLESRNEEYQPYHEYSVPKVPKNSKEVKPNQVVVDMDGSESIAKEHRKSTGSCYTHINGLWKISQSVLAEKWGSG >KZM98435 pep chromosome:ASM162521v1:4:23962684:23963136:-1 gene:DCAR_014203 transcript:KZM98435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSELDIRVCNHHPDHRQQPGVCSCCLREKLNHLSQFSDPSRTKIRTLVAVSSASASSGSESPVHHHRHRRHASTGGSLGFIITNTGGLKKSRSIAFIATNPLTEHEESRHHSKGGFWKKLIRSTGKGTKKVLMHSRTVRQKAQDDLQF >KZM98619 pep chromosome:ASM162521v1:4:25524881:25530361:-1 gene:DCAR_014019 transcript:KZM98619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNSVAPDSQTLEEDPQNSPNKTPKTQNSTSPNKGKSKIEDESASESSCCGICLSEQGRSIPGCIDSCDHYFCFVCIMEWAKVESRCPMCKRRFSSIRRPIKEGVFAAERIVDVPVRDQVHYHFGNVTTGPRDQYAEVQCTVCHSTSDECLLLLCDLCDSASHTYCVGLGGTVPEGDWFCQDCTVLRDEHARDDNDLDADSSGDADANWGNQTSLRNHQKPPSVEAPVSIHDIVREPGSHGVERLSTKRRCLSSGRVRDDGIRRERANVEHSSQAQYPAESAESGRSQLGARTLRQCRDVNGRIRALRENWDKIRQGSLSFSSITTSSHGWDEVRKRTSQPNTSSCSNQQAGPQKSSSFNNNCTQDIDKAWKMMTVAKSIENKRCTNNVICTSNALKRPVSNIRTPKESGGVRSMVLSSYKVGNKVHDGIKLGNNHRDSLKESSSVRSIVLSSLAVGNKAHDGNKLVSDHRDYLVAKHNNKPGFHKYENEKLCTVPNLDYPAKHSTESSKLSKIKMAQDSVQVGVDLGNIVNLPSESLPVTFSKNGSTCCSTGSVAENCSIAKQNFHASFPSSNIEEESKVNKSVADGKARIESDAKSEIQSLVKLNLKLISTDKKLDTNTFKEVARLATHSILAACGIGTSKPGARTFQSSICSHAERARRSTLMPSSCRECFFIFVKDVVSTIMLEKRTKFESSC >KZM99495 pep chromosome:ASM162521v1:4:32573990:32576635:-1 gene:DCAR_013143 transcript:KZM99495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAIGGANDLGEKQRWGHISKVYTRKFHNKRLKNTPNDAVLPEEVAEKVGVNGNGRFNGDGDKGKSGDENCGTEVVVVEENLETLGVEKGTSKELLQPEEEVGVGNGNSKELRLEEELGVENGNLIELQPEKELCVENGNSIGLQTELGAENGNLTGLQPYKELGVENGVLGGDDNRVLEVVVVEDEEKESLMTRVDDKVRINIGASNSKDEFRELRIKLVSELDQVRNLVKELEEKEIELSNAFDVPVPGPVVESAPLAEPTLSAGGGYGQSQQLGDDVIERRALTRVHSEVGPVSHMPFRPAPVSIPVMESNDFGDRRVLLRRNSDAGGMVGGHDRRALMRVNSEMGGGQDYRPFRQLSVSVMENHNHVYGNGEFVEKEKRTPKANQFYRNSEFLLGKDRLPPESNKKPKSNGGRKHGGSGLGFGMDRHRNQAFKNCGNLLQRLMKHKHGWVFNEPVNARLLGLHDYHDIIKHPMDLGTIKNKLAQNLYKSPAEYAEDVRLTFSNAMTYNPPGQDVHVMASQLSEIFEEKWQAIESEYNHEWRNEMMHYAGLHTPTSRLAPPLSMRALDRSQSMLTPGDSRPRPSIPPVTRTPVPKKPKAKDPNKRDMTYEEKQKLSTNLQSLPSEKLDSIVQIIKKRNSTLNQHDDEIEVDIDSVDVETLWELDRLVTNYKKSLSKNKRKAEIARERAEAARNNAALNQAPPVMVPPKDNGRAGEMDVANGVSVPVEKQHENTSRSSSSSSSSSSDSGSSSSDSDSDSSSADGSDAGHSPRS >KZM98922 pep chromosome:ASM162521v1:4:27989882:27994363:-1 gene:DCAR_013716 transcript:KZM98922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIKTITSKLGLPLVFKSSFDKANRTSSKSFRGPGLAEGLKILEKVKVAYDLPIVTDVHEAGQCDAVGRVADVIQIPAFLCRQTDLLVAAAQTGKIINIKKGQFCAPSVMTNSAEKVRLAGNQNVMVCERGTMFGYSDLIVDPRNLEWMREADCPIVADITHSLQQPAGKKLEGGGVASGGLRDLIPCIARTAVAVGVDGIFMEVHDDPLSAPVDGPTQWPLRHLEELLEELVAIAVSGYFTEMIQLLPAVVVMYWI >KZM98746 pep chromosome:ASM162521v1:4:26605863:26607117:1 gene:DCAR_013892 transcript:KZM98746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILKQGSHTSPSPLLLVHILIFIQIAPLIVKAQTLIPSKYDGFVYKNRPVTTDSIIIEAFLDPVCIDSKDSWPPLKQAIHHYPSGSISLIVHTFPLPYHDNAFVTSRALHIVNSINSSATYDLLDLFFDDQERFYNSQTLNDSRASVVNQVIQVAAKAVGKSNLPAIQSGFRDSETNYATRNSFEYGCSRGVSGAPFFFVNGFVLPDGGLAITYKKWRSIIDPLVGKAKRKGNSFKRLSLKHR >KZM99746 pep chromosome:ASM162521v1:4:34461130:34466279:1 gene:DCAR_012892 transcript:KZM99746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSATSCSESSSVARPNRSNRLNSQTPIDAQLDVEFENAEKLFDYSTSVDLNASASTSNVPTSTVSTYLRKMQRGSLVQSFGCMIAIDDQKLTVLAYSENAAEMLDLAPHAVPSMEQQEALTFGTDVRSLFESSSAAAIQKASNFAEVNLLNPVMVQSKHSGKQFYAILHRIDVGLVIDLEPVDPSDTPVTTAGSLVSYKLAAKAISRLQSLPSGNISLLCDVLVREVSDLTGYDRVMVYKFHDDEHGEVVAESRRPELEPYLGLHYPATDIPQASRFLFMKNKVRMICDCLSLPVKVVQDKRLKQPLSLSASTLRFPHGCHAQYMANMGSIASLVMSVTINEDCDDTGSDQQKGRKLWGLVVCHHTSPRFVAFPLRYACEFLLQVFGVQISKEVELAAQLREKDILQTQTVLCSMLLRDAPVGIMAQSPNVMDLVKCDGAALLYKNKIWSLGITPTEAQINDIAGWLLEYHKETTGLSTDSLLEAGYPGASSLGDAVCGMAATQITSKDFLFWFRSHTAKKIQWGGAKHDPGDSDDTRRMHPRSSFNAYLEVVKNRSLPWEDVEMDAIHSLQCILRESLQDETADDSKMIVDIPSADTSMQGVSELHILASEMVRLIETASAPIFAVDHSGAVNGWNTKVAELTGLDVQQAIGMQLTDIVAADSTEAVKNVLVSALQGSEERNLEIKLQRFGPQVDNDVIILVVNACCSKDMKGNIVGVCFLGQDVTGNKLIMDKYAKIQGDYVDIVRSPCGLIPPIFMMDDSGRCLEWSRTMQNLTGLKREEAVDRMLLGEVFTVNNYGCRVKDEDTLTKLKIFLSGVTADQVADKLLFRFYNQQGNEIEALLTANKRTDAEGRVTGVLCFLHVASPELQYAMQVQKISEQVAANSLKRLTYIRHEIRNPLNGVKCIQNLMGASNLTQDQSALLRMSILCQNQLSTIIDDTDIGSIEECYKELGSTEFNLKDVLDVVINQVMILSREREVRITCDAPAEVASMYLYGDNARLQQVLSEFLTKALIFTPAFEGSTVLLRLIPKKRRIGSKIQIVHLEFRIMQPAPGIPEALIQEMFHHSKNSSREGLGLYINHKLVKIMNGTVQYLREADRSSFIILLEFPLAKTAQGDMKAPVSKKAKKQMT >KZM96635 pep chromosome:ASM162521v1:4:2747053:2749053:-1 gene:DCAR_016003 transcript:KZM96635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRGSLIFFSMTLAEHHYLLCFNIKKPSFEVIDSSALQPDFDAEYQQIPQNIRDFLVRYMVLKNHSKAKDIASLVPVRFEMKWRTEHNHVDCGVFVMRHMEHYQGVSKNWDCALATEGRVQQQQLEVLRTRYAHQILLHECNKQKHHVEFQIFEEHVKKIELEKQKTKEKKQNDSKAPAKRQRHA >KZM97074 pep chromosome:ASM162521v1:4:10506000:10507302:1 gene:DCAR_015564 transcript:KZM97074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASKAASILMPPPKAVAATPSIAPSSPPVSLVVSPCAACKILRRRCAEKCVLAPYFPPTDPLKFTTAHRVFGASNIIKFLQELPEHQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVNELQAHLAKAQAEVVTMQCQYSNLMTLISMDFDHSPQSSPDQQSFDNLSTNDISFFDDNNLGSLELDSLWT >KZM98040 pep chromosome:ASM162521v1:4:20593579:20595858:1 gene:DCAR_014598 transcript:KZM98040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQETLNPDRNSSYFRYNSPFVQVILIGLVCFCCPGMFNALSGMGGGGQVDPTAANNANTALYTAFAIFGILGGGIYNILGPRLTLFAGCSTYILYAGSFLYYNHFRHQVFAVVAGGFLGVGAGLLWAGQGAIMTSYPPAGRKGTYISMFWSIFNMGGVIGGLIPFILNYNRSEASSVNDGTYIGFMVFMAIGTLLALAILHPSQVIREDGSVCTNIRYSDVRTESWAIVKLFLDWKMLLMFPAFWASNFFYSYQFNNVNGILFNLRTRGLNNVFYWGAQMMGSVMIGYIMDFSFKSRRMRGLVGISVVGVLGTAIWGGGLAKQLGYSREDKPEKLDYKDSGSDFAGPFVLYFSYGLLDAMFQSMVYWVIGALADDSAILSRYIGFYKGVQSAGAAVAWQIDTHKVSFMSQLAVNWGLCTISYPLLVILVVLAVKDEGKVEEGTDNEVALSEIIPDSTQNAKVLVTSGIAVAQQFVFSSGSLVTCRVVSGSAVFAASAAAEIFTQRLILLLLTSIALLHYK >KZM97478 pep chromosome:ASM162521v1:4:15090454:15098928:1 gene:DCAR_015160 transcript:KZM97478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRLINFDVTSNFQGADVTTRVKSRIVCHFTEPESDFCEMHGDIRILGNSSTIFIPDFRVADMFTKNNLSGIKPYARKTDEIALEHVRQFSFSQEMPRCTQNHRVPAILFSIGGYTGNYFHSFTDVVIPLYTTSRKFNGQVQFLLADKNGSWTTKFQVVLKKLSNYEAIDIDKEDGVHCFPSMIIGLKKYKELSIDPLTSPDYSVTNFREFLRSSYYLKREYAIRLGSKEKRRPRLLIISRKRTRTLMNEHELVTMGQNLGFDVVVAEARSNISEVSQLVNSCDVLMGVHGAGLTNIVFLPKNAVFIQVLPIPSGDFERIARTSFGDPSETMNLRYLEYKISEKESSLIQKYPLDHEVFKNTHTIAEKGWFYFKSMYLENQNVKLDVLRQACIGCVCLRGHFLEKAMYNSIVARSFSQHGQKKMRRGAFFGGLVLGFFICIYSTPYQGPLAFGADVTTPVKSRIVCNFTEPESDFCEMHGDIRILGSSSTIFIPHFSVADMFTKNNSSGIKPYARKGDEGALERVRQFRFSQEMHRCTQNHSVPTVLFSIGEYTGNYFHSFSDVVIPLYTTSRKFNGQVQFLLADKKVSWTKKFRVVLMKLSNYEAIDIDKEDGVHCFPGMIIGLKKHKELSIDPLESPDYSMTNFREFLRSSYYLKREYAIRLGSKGKKRPRLLIISRKRTRTFMNENEIGKMAQKLGFDVVLAEASSNISEFSKLVNSCDVLMGVHGAGLTNIVFLPKNAVLIQVVLFGGVEWLATTYFGDPSKNMNLRYLEYKISEKESSLIQKYPLDDEVFKNPQSIAEKGWPYYKSVYLDNQNVKLDVFRFKTTLLEALQMLRGLEWLGTTDFGDPSKNMNLRYFGGSSSDAEQACISCVCLSSHFLEKAMDNSIVARSFSQHWRKKMRRGAFFGGLVLGFFICIYFTPYQGPLPFGADVTTPVKSRIVCNFTEPESDFCEMHGDIRILGNSSTIFFPHFSVADMFTKNNWPRIKPYARKTDEGALERVRQFRFSQEMPRCTQNHRVPAILFSIGGYTGNYYHSFSDVVIPLYTTSRKFNGQVQFLLADMKVFWTAKFQVVLKKLSNYEAIDIDKEEGVHCFPSMIIGLKKYKELSIDPLTSPDYSVTNFREFLRSSYYLKREYAIRLGSKEKRRPRMLIISRTRTRKLMNEHELVTMAQKLGFDVVLAEASSNISEYSQSVNSCDVLMGVHGAGLTNIVFLPNNAVFIQVLPIPFGDIEWIARTTFGDPSKTMNLRYLEYKISEKESSLIQKYPLDHEVFKNPPLVAQKGWSYFKSIYLENQNLKLDVLRFKTTLLEALQMLSM >KZM98206 pep chromosome:ASM162521v1:4:21912958:21914985:1 gene:DCAR_014432 transcript:KZM98206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNNNSGGGGGGAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRATRRTVPFLFVRGDGVILVSPPLRTA >KZM99619 pep chromosome:ASM162521v1:4:33483029:33485440:1 gene:DCAR_013019 transcript:KZM99619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKTKSTYLYLPILFSFVLLFETRLSEGTNVIAIGQTLSGNKTIVSKEGTFELGFFTPGKSRNYYIGIWYKDFANKTVVWVANRNHPISNPFHSELKLFPNGNLALLNEARIQIWSSNSTAKKHNSTLAILLDNGNFVTRDSQESANIIWQSFDYPTDTWLPGGGKIGYNKLKKENIYLSSWRNAEDPAPGLFSFEVETNGTAHMLLYNRTKRYWYSGEWTGTSFVLAPEIQRNPYISNFGYFSSANESKFTYDTDNPKTLTRFMIDKTGQYRQFAWRESFPERRWVANWLRPEQCEVPNVCGAFATCNQLKAPSCTCLQGYEPRVSKNWDLGDYTDGCIKRAFKCGVGGEEDTFLSIKATRFSFQDTGDSLSLDVESDKECKSACLRNCSCTAYVFDGDKCVVWIGEVYNLQQLGPDDKRGGVFRVRIKKSGKGSKISVWIVVGAVGGFFAFLGMVTVAILQLRKWKVGKYNGSAGDLVLFKYKDIKKSTKDFSEKLGEGGFGAVFRGSLPDSRAIAVKRLKNSKQGEKQFRAEVSTIGQIQHINLVRLQGFCIEGEKRLLVFDYMKNGSLENHLFRQNSNVFLEWKARYNIMIGTARGLNYLHEKCRDCIIHCDIKPDNILLDDEYNAKVADFGLAKLLGREFSRVLTTIRGTRGYLAPEWISGEAITVKADVFSYGKLLFEIISGRRNMELLDDGDYFPALVAKKLSEGEEGVMQFLDQKLQGVADPSEVLRACRVACWGIQDDEKNRPSMGLVIQFLEGIIEIEIPPFPRFLHGFTKDTADHTIVFHQWTSETTSSSS >KZM98990 pep chromosome:ASM162521v1:4:28560065:28562787:-1 gene:DCAR_013648 transcript:KZM98990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHEYKEPWSDLSEKVVMVTGASSGLGRECCLDLAKAGCKIVAAARRIERLRSLCDEINGSGSDVRAVAIELDVSAKSSAIEASVGKAWDAFGRIDALINNAGVRGSVHGPLDLTEEEWDDICNTNVKGTWLVSKHVCIRMRDSKKGGSVINISSIAGLNRGQLPGSLAYASSKTAVNTVTKMFALELGIHEIRVNSINPGLFKSEITQKLMQKDWLNNVALRTVPLRKWNTSDPALTSLIRYLIHDLSKYVSGNNFIVDAGATLPGVPIFSSL >KZM98869 pep chromosome:ASM162521v1:4:27516956:27521166:1 gene:DCAR_013769 transcript:KZM98869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGAGISIEHDGNLKVLGKTILTNVNNDNVFLTPAVADHLPNAAFIGVRSDHVGSRRVFPLGKLEGLRFMCVFRFKMWWMTQRMGTCGKDVPFETQFLLVEAPGGELGDSKAVYTVFLPILEGDFRAVLQGNQDDYLDVCLESGDPAVDRFEGSHLVFVAAGSNPFDLITNAVKSVERHLQTFCHRERKTMPDMLNWFGWCTWDAFYTDVNAEEIKQGLESFKKGGISPKFVIIDDGWQSVDMDPTSTESKADNSANFANRLTNIKENHKFQEDGKEGYRVEDPAKGLRHIVSYIKEQHAVNYVFMWHAITGYWGGVKPGVAEMEHYESKLAFPISSPGVESNEPCGAFTCMAKNGLGLVNPDKVFSFYDELHSYLASAGINGVKVDVQNILETLGTGHGGRVKLTRKYHQALEASISKNFPGNGIISCMSHNTDSLYSVKWAAVIRASDDFWPRDPASHTIHIASVAYNTIFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCGIYVSDKPGHHDFELLKKLVLPDGSILRAKLPGRPTRDCLFSDPTRDGRSLLKIWNLNDYNGVVGVFNCQGAGWCKVEKINLIHDVQPGTVTGNIRSKDIEYLHRVANEDWCGDAVVYSHRGGDIVYLPQNTSVPVTLNAREYEVFTVVPVKKLSTGDTFAAIGLINMFNSGGAIKKLKYESDKDESVNIKVRGCGKFGAYASVRPKRITAGTHEIEFEHEEKSGFITFTLTEPVQDSYHWDVIVII >KZM98905 pep chromosome:ASM162521v1:4:27822523:27823906:-1 gene:DCAR_013733 transcript:KZM98905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDNATSMFNFVVRQGNGVKGLVDSGVSKVPERYIQSPSERILKPDRYQASQHLPIDLSKLDGPEHDKVVHDIAVAAETLGFFQVVNHGVPLELLQALKDAAHKFFEQPAEKKAVYLKGVSPSPYVKYGTSFVPEKEKALEWKDYVSMVYTNDEDAHRFWPHDCREAALEYLKTSTNMVKTILRILFENLGVTLQDSKIDGLTGLKMVNMNYYPACPDPDLTVGVGRHSDVGLLTVLLQDGIGGLYVRREGATNCDDAWLEIPPVSGALVINVGDALQILSNGKYLSAEHRVRTAGQQSRVSIPIFITPKLDENIGPLPELVEHHGVAYYKDVLFGDYMTNFFGKAHEGKKSLEFVQKSNPAV >KZM99319 pep chromosome:ASM162521v1:4:31067420:31068659:1 gene:DCAR_013319 transcript:KZM99319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFKGESVCLYLHFLQIIQFLLGKSIEDILTEAALEKKTRSELLKSTKDGKVDATKNTTSVGPVHEVPKPRSITQPTYKKIQPSSSLAIVDEFRYGFPSKGLLRTSNKWWGSSTTNNKDTRVKEDRNIEQTEQKSEKLLKSSPSKGEVGGQSETNETNTSAQFSGTGLLSAVRKRALEEGQEALKLGFHYCSGINKPRKREKLLLRGIFGSSLPKEWTDVSS >KZM96591 pep chromosome:ASM162521v1:4:1699274:1703684:-1 gene:DCAR_016047 transcript:KZM96591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVTHQMQGSCATFHTQFIQRNKICESRQIGRIVSVVRGRDNFISLQCKYIPFLRVGPPFFPGQAKELKITCFKGTGQSEDSSGSFGSKYQKNSVKLSYVQQGSEETLTELSQDVPVPSSSAKTTEGSQAIQSLFRNWLTLLRTPSPNQVVDEAIEKPASEEKKESEDKMQNNGRSEILKAVWYSFWGLDAMIKMPLLIFVPLFLGINVVHGAAVSKELTPLWVIGPIIVAVYVNMFRAICALYVFAFKKTLKIINDVPTYYAVARRFTSRGKPKEALSEMLGTQNLNFKDITEKLKKDFSNWLVEKYLDFIESIWPTYCRTIRFMKKANLL >KZM98369 pep chromosome:ASM162521v1:4:23324044:23330457:-1 gene:DCAR_014269 transcript:KZM98369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKFSATLRIGDLNDYIAPSQGCVVSLNSKPTDRLGNLKKNGPKVVNAPKEMQVEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSSIDKGKIVIVSLSPQSRASLAEYFGLSSLQVFKKLTTFFKSLGVKTLFDTCCSRDLVLMESCNEFITRYKQSHSSGDDKSKSLLPMISSACPGWICYAEKTLGSYILPYISSVKSPQQTIGTIVKQHLSQKMSIRPEDIYHVTVMPCYDKKLEASRDDFVFGVESQSDGTVLNITEVDSVLTSGEVLELIQSKGVDFKALEESPLDQLLTNVDEEGQLYGVSGSSGGYAETIFRNAAKTLYGQDIKEPLVFKTLRNSDFREVEGETVLKFALCYGFRNLQNIVRKIKIGKCDYQFLEVMACPSGCLNGGGQIKPKPGQSGKDLIQSLEAKYIESILISDPFDNPLAKRLYDEWLQQPGSEQAKKHLHTQYHPVVKSITSQLQNW >KZM99785 pep chromosome:ASM162521v1:4:34824781:34827680:-1 gene:DCAR_012853 transcript:KZM99785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKKVFITEGDISTLLGRYSGTTIFALLQEVAQVPGKKYDWNELVKNSNTGITNAREYQMVWRHLAYRAPLVEELDDQEVEPLDDDSDLDCELEALPTVTADASAEAAACVKVLIASGMPSNSTLPTGSTIEAPLTISIPNRQPARGPSANSQPSTFVHGTSITVPVSVQKQPLPAVTPATAATPATTAEGLESGNLPPRRKRKLWTDAEDLELLAAVKKCGEGNWANILKEQLMKERTASQLSQRWNIIKKRKRNSSLPGGEGNSTLGGKGNSSSGGGAQLSEAQLAARRAMSLALNMPLTDKLMASSSRGNSISYS >KZM98751 pep chromosome:ASM162521v1:4:26626320:26632033:1 gene:DCAR_013887 transcript:KZM98751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLTTTTPSSPTSPNFHRKILPSPWTQVVRGQPDPATTTLSPDKGTVSMNPPAVTEVVAAAAANDESSDCSSDNVAKKSVWKSVNGVVESGAVMGGAAWPTITESTRACPKVSPNFSKPSSDSSISVSQEQVISPVPQKEATANGHHSSNHSHKQPHHQRRRNNKKGLNAGVGSGHGSGQSSFSRPPGPPPPPPPPPFPIPFGNLPPPPGMELPIREPSPYPGSNLDTRPIVGGGVGYHSHDHSSQRHPSRRGNFGSRPRGDGGYNNGYGIRRDQDREWNASGRDIHHISPMVPPPPPLPPRGLTRPPMPGPMPYIPSRHVRPFGNPMPFDMVPQFLYGPPMPPDSYRGVPLVLPPPPPLYFPVIDPNLRILLLKQIEYYFSDANLVKDDFLRSNMDDQGWVSITLIASFRRRPGDMDWAGNVQHGYMSYMFFAYNKFPSVHTHVRLSSVSKLTIDVQLILDTLGASTVVEVQGDKIRRRENWSKWIPSVGQTTLDTGLQSQSQSSDVMLATSIQEVHLDEVTSDSVSNMDNTVEYSDAELGRDTSSEEPSTGSELAKGLKSAEASSEGCT >KZM98969 pep chromosome:ASM162521v1:4:28382652:28383407:1 gene:DCAR_013669 transcript:KZM98969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETHDFMNVDSFSELPFLRPSPPVKEKGIRLFGKQFSSGDAHKLSSADSESADGNGRGELSSAATNENENGESNRKFECHYCCRNFPTSQALGGHQNAHKRERQQAKRAHLQSAMVHGGYPEAHVYGIMNYNRFGSAPSPPVMPYHSSSSWSSTTIDNNSRFYGGHGLYNSHRPQPITGSPLALWRVPSAHSPSGYSYERAVNPPPLFANDEMKASSLNINAGSSSQNRYLYESKASHVKDHVSLDLHL >KZM96602 pep chromosome:ASM162521v1:4:1958841:1964826:-1 gene:DCAR_016036 transcript:KZM96602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLRISGQVEKVNGKEISYAEFAEKYMKKNQPVVLTGLMDDWRACKDWVSPDATPNLSFFLSTPFASSRVQVADCATKEFSDQKRIDMSVSEFINEWPGRTQKECQSELKPLLYLKDWHFVKEYPEYSAYRTPLFFCDDWLNMYLDKYPMQNGPDTHHQGTNEITCSDYRFVYIGTKGTWTPLHADVFRSYSWSANVCGRKQWYFLSPSQSHLIFDRNMKNSVYSIFEDVDAKRFPFLQQATWLECTQEQNEIIFVPSGWYHQVHNLVDTISINHNWFNGYNLPYVWDLLLRDYKEATEYIEDIKDICDDFEGLCQRNLAANTGMNFCDFFIFLVRISFANLVQLLNLSSSSESTNWKSSYRAQNIMFNLESVRDIALKMKFAGVEANYDFGIGFRTLLDDFIFLELCKSLGSTYEMIHEQGKVSYNLEQGGDVKFLKFFESASSQTCSPEDLASLIDDVCAKISVAGPALL >KZM95831 pep chromosome:ASM162521v1:5:35976645:35978175:-1 gene:DCAR_019073 transcript:KZM95831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAEIIFVPAPARGHLISMVELAKLFIKRNESVSVTIFIIKFPFDTGVNSYVESLSQHPIPRFTILEIPPSDSESYKSHNHHTLLTAFIEGHVTNVRDQVMAMRQPDYPTRLAGFVVDIFCVPVIDVARELSIPSYVYSPSGAGLLSIVLHLQDLTEFQNKDISEYENSDAQLSVPYFRSQVPAKVLPAICLDKAGSQLMLLCARKLRESKGVIVNTFVELETYAVESLMADNKIPPVYAVGPNLNISAGDEDYDEAADILKWLDEKPPSSAVFLCFGSFGSFPQDQVMKEGSDIRKKVTEIKGKSRTAMAESGSSYINLGRLIQDIIAS >KZM93712 pep chromosome:ASM162521v1:5:9535204:9538347:1 gene:DCAR_016957 transcript:KZM93712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIIEEYENNNSHFYDSDDITTDEEEEVQEQDDEESAAEEYSSCGGGNLGTKMLGSSSKKSWLQEWDHVFPLISALCLFLDPTFFYTLSLNEDGMCFFIDSWFALGLSLLRSVTDAFHIWNMWHHYRRSTPAAAVHGVRRRCGGIALQNFKGKMVFFLNAFVILPLPQVVILIVVPAMLKNGEQARAMTVMLIIFLFQYFPKIYTTVCLLRRMLFQYIFGAAWWGIGLNIIAILVASHVVGACFYLLGTQRSARCLMEQCMKTESCTFKALSCENPFSFGGAYKVTNNIRRSWGSNNDARSWCLQSSDNSRYDYGAFEWITLLVCNNSRIEKMLLPLFWGVMMLCTFGNLGSTDDWLEIVFMMIVTACGLVLVTILMSNIKVYLIATSSKKLARKVNVNNIEWWMERRNMPQGLRHRVRNYEQHHWIATRGIDEGDVIRSLPEDLRREIKYYLCLDLVREVPLFHHMDSLVLENICDRVKPLVFPKGEIVIKEGDPVHRMLFIVRGHLQCTQVVREGVNSCCTLGPGNFGGDELLSWCLRKPFVEILPPSSYTLISLEATEAFGLEADDVQYVTQHFPLNEKVKMIARYYSPSWRIWAAVTIQLAWLRYRHRSTLASLPYIMPKGPLFRSASVREERLRLFAALLTSSKPHQDNSVP >KZM93550 pep chromosome:ASM162521v1:5:7682533:7685333:-1 gene:DCAR_016795 transcript:KZM93550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIEDIVSELRGGGDVNEQGMPPGFRFHPTDEELITFYLASKVYNASSLSALHISEVDLTRCEPWELPDVAKMGEREWYLYSLRDRKYPSGLRTNRATGAGYWKATGKDKEVYSSSTGNSGNAAPALVGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGHFSCRHTCKVTRLDGHFSCRHTCKEEWVICRIYLKLGEKKNGVLPVEERSSYMQEASNSSLSHLVDQTIKTGPALQPYKTLLQSLQNQNQLNMSHITHESHLKSLINNQSSVGAVVPQFQTNSLVLNGLQTSFSSPTETKDKQDPKLLNTLLSSHQDYYCFNKQEAPPFAKICKTEPNFLHFHLPHSNDHPQTPKFQPLLPTNHTENPLVFTNLDGANCRFSDCDMSMALSSSCSEVVALNRASFKQMLLDPPTNIAAAAESWPFHF >KZM96191 pep chromosome:ASM162521v1:5:38997028:38999532:-1 gene:DCAR_019433 transcript:KZM96191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQEICDMAHLNRTFRGASYADFVCSIFQLASTADATVNAEVFLWHTLWNHLFSQVSRAVQSILNDFVAFFTACNPFLXLVAHFMESLFSQKEEIKFFAHTKSDSRPLPGYAPTTEYNLVFTDQYQFLMLSQGSLDELNSQLEEPLPINHFRPRSNFVSGLCIS >KZM93282 pep chromosome:ASM162521v1:5:4401270:4406194:-1 gene:DCAR_016527 transcript:KZM93282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPDTLKEQIEKLEAMSNHLGPPRRRTTAEEEEDRVKHPKPEDSVYYHPELNPTGAPPPGKPQMYKSSIGPRIPLSASSSSGAASSSLAELNDVDLSVPPPPPPPPMPHVGEVDLGDGSIIPESLPLPPPPPKPPRPAVADLGTTMPLPPVPPPPPGPPPKEQTADHQQLPPPPAVHKSLQPPPPGTGGLEREKSQSIKSDDMISQEPVQGSGMLPPPPPPGMPLKPVDNLSEGASSKSNTINNYSEMKEPSKILPPPPPPRQQATIPGPPIHPALQQDVLPPGISRIPPPPPDMRPQISAPGISGQQVPPGLMVPLMPRPPYGPPPGHPLMMRPPLPPGPPPMLVDDIGARPYVPQKPSYVKSAASTVVKRPLAQHTPQLTSMVPASVRVRRESAVPKLKPKPPLSSAAAATLPKAAPAVAKKESGTSSSALKPQSIDDSYMAFLEDMKALGALDS >KZM96544 pep chromosome:ASM162521v1:5:41732458:41733609:-1 gene:DCAR_019786 transcript:KZM96544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMPVDSNGKKSEEEVLQRVPVSKPPFTVGDIKKAIPPHCFQRSVLRSFSYVVHDLIIASILYYLATNYIQLLPHPLSYVAWAIYGFVQGCFLTGIWVIAHECGHHAFSDYQWLDDTVGLILHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKHKYELKSFAKYLNNPPGRVLTLLVTLTLGWPMYLLFNVSGRHYERFACHYDPQSPIYSDRERAQILVSDAGVLAFTYGLYHLAAAKGLAWVLCVYGGPLLVVNGFLVLITFLQHTHPALPHYSSSEWDWLRGALATVDRDYGILNKILHNITDTHVAHHLFSTMPHYHAMEATEVIKPILGDYYRLDESPVLKAMWREAKECIYVEPDESGKYKGVFWYNNKL >KZM96514 pep chromosome:ASM162521v1:5:41541924:41542928:-1 gene:DCAR_019756 transcript:KZM96514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRRGQTIGRGSSATVSMASCCGSGEVFAVKSVELSHSEWLQREEKFLCSMSSPYIVSYRGSDITSENNRLMYNLKLEYVAAGTLSDVIRRKGRGLDEAMIRCYTQQILRGLEYLHLSGVAHCDIKGTNILIGEAGAKIADLGCAMRVDQKSDLTATFGGTPMFMAPEVARGEEQGFAADIWALGCTIIEMATGNSPWPNHSDPMSILYQIAYSGKTPDVPEYLSCQAKDFVSKCLKRDPAERWTVKQLLRHPFLEHLSYVKNQNQFSDTNSPTSILDQGVWNSVEEEPETSADVFDLQTRYLSSGPDQRITQLSTSRVPNWTCEETWITIRG >KZM94211 pep chromosome:ASM162521v1:5:19203571:19209759:1 gene:DCAR_017454 transcript:KZM94211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSLGTSVNRQVKTCHQCRQHRSSATACKGQRKKKPCTLLYCQKCLLNRYGEKAEEAEASEVWSCPKTKRGHLPTGNLSHKAKAAGYSSVSQLLHAKGPENFGLVKNANDTGASLKKRRASEKCPQHRSSATACKGQPKKKPCTLLYCQKCLLNRYGEKAEEAEASEVWSCPKCRDICNCSICRAKRGHLPIGNLSHKAKAAGY >KZM94730 pep chromosome:ASM162521v1:5:25337127:25337750:1 gene:DCAR_017972 transcript:KZM94730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNEGDAGRILRVDEIKIHSSRILRRDSSTGESSRIYHRSAEGVPFNWETQPGTPKHPRREEAIPPPTPPPGARSFFPPIKPFADHSSKDDNSHAMHKSWFWRKHWKKQQTHRDYFGRKCQVVSSNVKSKNSDDLFGNYFDGESAGCVGDSSSSESKSSCEYSSNGSSRELKDDGEHCNNDHEVHKPFGCSPWNMTGIMVRVVKRV >KZM94567 pep chromosome:ASM162521v1:5:23694739:23695335:-1 gene:DCAR_017810 transcript:KZM94567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILNTNSSTSTVMLATAMAAVSGTVIVLALGLHKFHLPTSSSLISASDASKREKKKKRVQFAEDVVDPVGNSEEFRRRQRNRGSNNGNSSTSRKGRELQANRMALYNGILQDRVLHRTAYSSY >KZM96051 pep chromosome:ASM162521v1:5:37731867:37732133:1 gene:DCAR_019293 transcript:KZM96051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHVTQNSGSQDLENQLISSNVWYTNEGQSQQPFLQISLLFLQKQKTDEQISLVRRPLYGKLKQSRSTRLRILHHNLKCSLGTRAASI >KZM94372 pep chromosome:ASM162521v1:5:21607573:21608265:1 gene:DCAR_017615 transcript:KZM94372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNNHGEGSSLQQLPPNPRQRGRPPGSKNKPKPPTVVTRDSPDALRSHVLEVPAGADIMESLNNYATQRGRGVCVLYGRGMVSNVTIREPASSVATFHGAFEILSLTGVLLPLPAPPGIGGLSVLLRGREGRVLGGHVVGPLTATGTVVVMAASFGNAVYERLTLDGQRGAAQGDSGEGASQVQRIQPAASEASDGTGSVPLFNAGPPSFPNLFEWGASDAAAPRPPF >KZM94770 pep chromosome:ASM162521v1:5:25680839:25682416:-1 gene:DCAR_018012 transcript:KZM94770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTVASYGGPYLIDSFVQYLNGSKNLKEGYLLVSAFVISKLIECLTQRHWFFKVQQNGTRGKAALIALIYHKGLTLSCQSKQGHTSGEMTNIMTVDAERIGVLAGLASVASLVTTIIVMLLNVPLGKLQENYQTKLMESKDHRMKATSEILKNMRILKLQGWEMRFLSEILDLRKIEAGWLKKFVYTNAVVTFVFWGTPTFVAVVTFSTCMILRIPLESGKVWSALATFRILQEPIYNLPDTISVMIQTKVSLDRIAAYPKKNEICMEPAIRSCIVVGHRFKMEMSIWECCELLNNVVDDSDPDLDEP >KZM94194 pep chromosome:ASM162521v1:5:18420652:18429846:-1 gene:DCAR_017437 transcript:KZM94194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIMMNRKTRSLGEFNWTSRGFLKEVELKDVRLEPNSIQGRAQQTNLEYLLLLDVDRLVWSFRKTAGLSAPGVAYGGWEAPDCELRGHFVGHYLSASTLMWASTHNDTLKKKMFAVVSALYECQNKVGTGYLSAFTSEQFDRFEALKPVWAPYYTIHKILAGLLDQYTLANNTRSLEMVKWMVDYFYERVKNVISKYSVARHYQALNEETGGMNDVLYKLHSITANDISGFHANTHIPVVIGSQMRYEITGDLLYKEIGMFFMDIVNSSYTYATGGTSHGEFWKDPKRLASFLDAENEESCTTYNMLKVSRNLFRWTKEVGYADYYERALTNGVLSIQRGREPGIMIYMLPLGHGESKARSDNEGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEEGKVPGLYISQYISSSFNWTSQNILVNLKVEPVVSWDDHLHVAVEITLNKKKESTLNFRVPSWAKSEAATAFVNHQQLFVPSPGSLLSLTRKWNSSDKITLELPMNIRLETIQDDRPEYASDHAILYGPYLLVGLSTGDRNINTERAGSILDWIIPIPAAYNSHLITLAQESKNSSFVLTNSNKSMTNELLPEAGSNHSVYATFRLIPKNSTSSRLLEAEACIGRAVELEPFDLPGMVVVERGINQSLGVSDAVGSSNSTFVLVQGLDGKSGTVSLESARHRGCFVYSDLDLHSSVKLKCNVGSSDVRFIEAASFQLRRGISKYDPISFVAKGATRNFLLQPIFSLRDENYVVYFNIQSKKLSAEL >KZM95121 pep chromosome:ASM162521v1:5:28896839:28897462:1 gene:DCAR_018363 transcript:KZM95121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARNYYLQQDLEHVAGTLHWAVERSESCMIYSLDVETGMLRDTLLPLPWGSGDNKMDVSLTDFGEHSLAVCGAKPDANTWRCVIMLVYDHNLNNLYTIDLEKDTCHVLRPLVGFRNNGEALFPNLDLNNWGLVYNNMEIKDFKEFVWEIDYDVRFVTTRNGRKGQRELQRVRPFIETLVLLNDRHAMETPTPRFEETDMKEDVVI >KZM93646 pep chromosome:ASM162521v1:5:8860905:8862171:1 gene:DCAR_016891 transcript:KZM93646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNASSQVGLFDAGRILIQESWSPERNQRCSSSSSVGNYSTPSLNGTPSNASSEASSNSHKGLIMNRPKPVSIHLSDRKQGKGRRKSTASDSIKWLFRQRCLCSGKKCVQVKQEVLVLSHNKLKFPPLSADEMYPRNQIVDHKMTQRAKQRAQIIVQNSTRQRLISLPIFSNPATTDDDVASNASSDLFEIQSFSTHTTYFPPDESQTDMIYYEQMTPSIEYCYYEPSEADIGWSITTSEGFDLDRPSMSTFHISDHQVEERQCQSDYVSLRDK >KZM94953 pep chromosome:ASM162521v1:5:27334477:27334952:-1 gene:DCAR_018195 transcript:KZM94953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSGLCIGWTQQMPFDRAQSVSMCLLSAQQIFQENVAMI >KZM95316 pep chromosome:ASM162521v1:5:31110644:31113746:1 gene:DCAR_018558 transcript:KZM95316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSESSRTLMSADAPPHRRMFSHPQHVEGPKKPPTEVEHNKPASRNPVTNDKAAIEDVRNKIFGAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGKLGNTGQIVAIKKLDRNGLQGSREFLVEVLMLSLLHDPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLLDLSEGQQPLDWYTRMKIALHAAKGLEYLHEKAKPPVIYRDLKSSNILLEHDFNAKLSDFGLAKLGPMGDRSHVSSRAEPIFKEPHRFTELADPLLEGKFPIKALNQAVAVASMCLQDDATIRPSMSDVVTALTFLGEGSESTGLASPPPEIIMPDVAKSDSCKIDPSQRETEIAEAMEWATSRNRQ >KZM95927 pep chromosome:ASM162521v1:5:36844972:36845502:-1 gene:DCAR_019169 transcript:KZM95927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKENNTASSSQANLLLQKQLKDLCRNPVDGFSAGLIDETNIFEWSVTIMGPPGTLYQEGFFNAVMTFPEDYPCNPPTVRFTSEIWHPNIYEDGKVCISILHSPGDDPLGYESATERWNPVHTVESILLSIISLLSSPNDESPANVEAAIEWRERRADFKKRVARCVRRSQEMM >KZM95934 pep chromosome:ASM162521v1:5:36894943:36895489:-1 gene:DCAR_019176 transcript:KZM95934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSRTATHEFYPGCDLKFSDEPRKRGTWCNACGNEINGFVYYCKDKDLDLHPCCLELKGKMVTDGATFRLHDKSWKTGEFKDESTLTLSLKNPGLRLGRSSGGGEKYLKIVKALMTAVVAILLGDPTVVVTTTLLNLIT >KZM94170 pep chromosome:ASM162521v1:5:17629510:17633881:-1 gene:DCAR_017415 transcript:KZM94170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVSRKLYTDDVSLLVVLIDTNPYFWSTNNSGLPYSKFLSHLVAFLNSILLLNQLNQVVGIATGVNSCGYIYDSSLAPPNKRAETLLQKLEEFVIKDEELSAEDSVDGIKSSLLSGSLSMALCYIQRVLRSGSLHPQPRILCLHGSPDGPGQYVAVMNSIFSAQRSMLPIDSCVIGAQHSAFLQQASHITGGIYSKPQQLDGLFQYLSTLFATDLHSRSFIQLHKSVGVDFRASCFCHKSTIDMGYICSVCLSIFCKPHKKCSTCGSTFGQPQATVSPTNLKRKAPDS >KZM95309 pep chromosome:ASM162521v1:5:31063054:31064947:1 gene:DCAR_018551 transcript:KZM95309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVLGTTDIRVSMFAHAKGYSRQKLLLEEEISGNPDSTHNLPCAVHLSILCCHIFTVSIVIFLKHNYNMQIFKWLLKIVEEPQRERVSTTPTNKKKNCVAQSEVVPSNYFRSNQSQKTRIYTMKIKRENIARGLGISFNKGVDSTGSHVRNTKVLPISDSAIALSSAQYCTNYEKGAQKAKPDKTKAPSKVKEILRWVAAAKTEMRGKCTSCKVLHFRNKATLKAIPDDELNNESPKISFRWDAEISSNVSSSVNSAVSVSSSIRIPDQISKPGSWITTDSECK >KZM93546 pep chromosome:ASM162521v1:5:7642181:7650181:1 gene:DCAR_016791 transcript:KZM93546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGDAPGARQLDQTPTWAVGLVCAVIVIFSILLEKILHYIGHYFEKRHKTALVEALEKIKSELMILGFISLLLTFTQSYIARICIPEHIANTMLPCARHSESTHQPTQEGNHQEGGGHRRLLWNEHRMLSGGGGHQRKCHDGQQPLISVDGVHQLHIFIFFLALFHVIYSALTMILGRAKIRRWKNWEREADAMDPNSEQAKFRLTHETSFVKAHTSFWTKTPVLFYLVCFFRQFFRSVGKSDYLTMRHGFISVHLSPGTKFDFQKYIKRSLEDDFKVIVGISPVLWTSAVIYLTLNVEGWQAMFWLSVLPLVMGSTMKKSIFDEQTNKALMSWAKHAAKKKVDGKPGPIATHNPHSPSGSPQDSPSHPHSRKVHFDCAYRGITAISSRESKLLAGNRSIAQPYEFKVGATPGKERKRDFVDNPNGLFSAQASPKPPPAMYSVVEGMKVGGKRTVIVPPEAGYGKRGMNEIPPGATFDLNIELLQIMQPEGK >KZM94891 pep chromosome:ASM162521v1:5:26786911:26788425:1 gene:DCAR_018133 transcript:KZM94891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGGGVVFTLYFGNLETIPYTKRTHCVLLPPGLEKRLGDSQFEEIKEQFKGKILPAIHPDSVRVRLIAKEIIEALQRGLIRKEQVWTDVDYSTANVGVSESGGDQTLMVLTDGAEANWSKEDEVLDDKWVQQSRKKGEEKGVKPSISHLEGLNWEILVVDKPVVNAFCLPGGKIVVFTGLLEKFKTNEEIATIIGHEVGHVVARHLAEGITKNFWYSIIQLILYQFATPDIVNSMSHLLLRLPFSRRMEMEADYIGLLLLASARYDPSVAPKVYEKLGKITGSSAMLDYLSTHPSGKKRAKLLAQAKVMEEAVSIYRETRMGHGSIEGFL >KZM94132 pep chromosome:ASM162521v1:5:16323640:16326294:1 gene:DCAR_017377 transcript:KZM94132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTWFSDEDVEELRTGLLDLFQKESSGTRKRRSDMDMMRLIDACKSAGNNVLRSGRKNPKRQCVDRKRTEDVVSYMFLDSFIGRNTLSEREKPRFLPWNNYCLNKLEQILIQRSFLVDGELREPNIDYTTLQSCRIKGSVDDDAFGRSTQNPDVEKTQMGGDVWRTMDSSDADLDWDLIPKSFSKGQEWTCSRRDWTRNSRPSFYSDFPKLSEEHCDKLDQGKIPRPCFEQGNHSEWMDQVSVDSENFANEKHRLVDSLLASYQSVQQTFLLHMLYAKESDVPDEKMESIKKSFKEMNERANSALD >KZM95665 pep chromosome:ASM162521v1:5:34283031:34286038:-1 gene:DCAR_018907 transcript:KZM95665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVGKLTVCFTGGEIVRRRKDLAVVISDPLDDLGHSFCYVRPDPDRVSSSKVHSEETTTFRSISGASVSANTSTPLSTADLSSYNSIDRASAFESSTSFASVPLQPIPRNSVYSGPLSSSMGLSGPMERGFVSGPIERGFLSGPLELEKSSSGGQFNRSFSHGSFAFRRRSRKGSSFVRTVKKAISKTISRGQNSIVAPMKVMVSLKEHDWVNAGNEKNNELTISSVNFSSEGSLDDDDSFQSQNLQWAQGKAGEDRVQVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYPAVHKELKGLLWDDDKFDLTSDKNSKLETGDVSSVDDTSRVVENSLSTDKSKVGCSRGIEVESYPARSGDVNFELNPRRRKGRNSKIRYRGAARKWEENQRRWRCEWDRERLDLDRRLKEEVHRNDGGVSHNEVLKALSQALKKTEDAYLGIADLMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVIGQKAEPDVWRQDLERINEETLYDLEGSDADISSTMPSLTACQLTIDHSTNVEEEVQRIKNEHRDDASAVTNERVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGSSPYISCLPSLYHHRLGPRDRFLILSSDGLYQYFTNEEAVSEVELFLAWSPDGDPAQHLVEEVLFRAAKKAGIDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSSV >KZM94452 pep chromosome:ASM162521v1:5:22565043:22569463:-1 gene:DCAR_017695 transcript:KZM94452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKSLLSSSSQFPPRSLPKLFSSALKLHEQPTHVLNSVQTTSLAPTFPSSACTQVQPDDVKPLLQIDKTYQETLDGEQLKTGFTVHEENSTVDPDNFLHEFQQQLLQRQGLWYLLPSSQTGSMPSSTFSDQCGGAINKSTGVEPCDVLALAKEALQASKKAVLLAEDHKPSGANLEESRTISLGSMTSAGFSGEQARTVRSTRRLERQSKKRRYPEKREMFQDKRVNHPRSKSKASDSDDPLRLFTWVPETKKLLTAKEESELIVHIQELMRLEEVKGRLHAQFGREPTLVEWADAVGLTCRALKSQMHCSNKSREKLIYANFRLVVHIAKQFNGRGLNLQDLLQEGSMGLMWSIKKFKPQAGCRFATYAYWWIRQSIRKSIFQHSRTIRLPDSVYGLLYKVTEAKRQCIQEGHHRPTKEQIAARCGITVDKLQRLLCVVRTPLSMEQAVWAEQNTTYQQITADKAVETPKEAVEKQLMRQHVRNLLGVLNPKERKIMQLRYGILGGKSKTLSDIGDVFGLSKERVRQLESRSLYKLRQHLESEGLAAYEELLFRN >KZM96499 pep chromosome:ASM162521v1:5:41437555:41438853:-1 gene:DCAR_019741 transcript:KZM96499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPVINFEKLNGEERTSTMEMIKDACENWGFFEIVNHGISHDLLDTVEKLTKEHYKKCMEQRFKEMVASKALEGVQAEVTDMDWESTFFLRHLPNSNISEIPDLKDEYRKVMKEFAEKLEKLAEELLDLLCENLGLEKGYLKKAFYGTKGPNFGTKVSNYPPCPNPELIKGLRAHTDAGGIILLFQDDKVSGLQLLKEGKWVDVPPMRHSIVINLGDQLEVITNGKYKSVLHRVVAQSDGNRMSLASFYNPGNDAVIYPAPALVAKETDEKQVYPKFLFDDYMKLYTTVKFQAKEPRFEAMKAIEVDVNLGQIAA >KZM95127 pep chromosome:ASM162521v1:5:28924612:28928542:-1 gene:DCAR_018369 transcript:KZM95127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVVMITKGAGCGGKISRKACASEAQEQQNQLSLVALLLATLRKSVSSSCRLVGDEQGGEFGSASLVQQMEIGWPTNVQHLSHVTFDSVSVFGVSAESMQCSYDLRGNSVPTILLRMQERLYSQGGLKSEGIFRINPENSKEEHVRDQLNRGIVPQDIDVHCLAGLIKAWFRELPSGILDGLSPEEVLQCNTEEESVELVKQLKPTETALLSWAIDLMADVVEHEDSNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMKTLQEREEASTGGYSPMSNCSSNRLTDFENTSCELIPSPLHHIAQVDYNTYSETEDETESALDTVNYFPKQVDDNKENTSNSFTDKIGDIEASPESSRLSASDGEGSGLSSVDIIEFRVGKGKSEHDKIVSDGNKVDKLVDISYHMPLCV >KZM95214 pep chromosome:ASM162521v1:5:30262629:30266435:-1 gene:DCAR_018456 transcript:KZM95214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSTLTLKSPLSPNGFSSPFEFHQHSGLMIQAVLAPTLFYNHKILKPHHQILKNCCSRDARFCVPKYSLSVAHGERLTSVGVDKEFDVATLGNLCVDIVLNVPELPPNSREERKAYMDELSQSPPDKKYWEAGGNCNMAIAAARLGLHCTTIGHVGNEIYGDFLLDVLRKEGISMTGMSEQGQITSSSSAEYETLQCWVLVDPSQRHGFCSRADFSKEPAFSWMVKLSMEVKMALKQSKVLFCNGYGFDELPPSLIVSALEYAAEVGTSVFFDPGPRGKTLANGTPDEQKALDMLLRMSDVLLVTSEEAESLTSIGDPILAGQELLRKGVRTKWVIVKMGQKGSILITMSSISYAPSFKVNVVDTVGCGDSFVAAIAFGYIHNLPLVHTLTVANAVGAATAMGSGAGRNVAALGNVMELLKRSNLNEDDKYLKDVLINGLHGQEVTFLSRKAVNGSNKQLVHVPVQKVVSEVLPKLEFGLLKGNVPV >KZM95639 pep chromosome:ASM162521v1:5:34063789:34065831:-1 gene:DCAR_018881 transcript:KZM95639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSQLLNLYLFLYNSLQACGWAISLIRVLSSFISTTSVTSAYASSGELICLLQTVALLETIHGAIGIVPNGALFPLLQWFGRTHCVVAVAHGVKEVQENASIFIIFVAWCVTEVASSFLLLNLNLPFVLAHNKINAKVVRYPNYALNCFGTSPYILTYLRYTLFIVLYPTGFLSELWLMYKALPFIKKKKLYEGLFAGLPFGYSGFVLAVICLYPLIFPKMYLHMFKQRRSKLGKYHIKKAS >KZM95783 pep chromosome:ASM162521v1:5:35517166:35518802:-1 gene:DCAR_019025 transcript:KZM95783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDMYQNSEEGKNFRELVWNLMVLAGKPNLVDYFPILKWIDPQGIKRQTTDYCGKLLKLFDSLINERLELKRLGNSSENSTTDMLDELLSMLQTNEIDKTQIQHLFVDLFVAGTDTTSSTVEWAMTEILRNSETILVKAKAELNQVVGKGKVIEEADISRLPYLQCIVKETARLHPPAPFLIPRQVQEEVQLCGYTVPKNSQVLINAWSIGRDPLIWKNSLSFEPERFLNSEVDVNGHNYKLIPFGAGRRKCPGLPLAMRMVPVMLGSLINCFDWKLEGGIAAEEVDMEEKFGITLAKLHPLRAVPTLVVPAG >KZM95052 pep chromosome:ASM162521v1:5:28251290:28252019:-1 gene:DCAR_018294 transcript:KZM95052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKTVVTVKIEENENKKHKSEGPPSDAWTWRKYGQKPIKGSPYPRGYYRCSTSKGCLAKKQVERCRTDASLLIITYTSSHNHSSTKELKQQKEEQEEEPKVETTEDNNNLEKEDEDGASEITSNFHYIHSPVKGSDHQDIIANIELEEEIQFTENLENVLFDEEDKPLSYPHLMTFTTHKSEENDFYDELGELPTSSSFTSFMWGNFFEDRILIPLP >KZM95657 pep chromosome:ASM162521v1:5:34196001:34196750:1 gene:DCAR_018899 transcript:KZM95657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFYHSLLIFISLSTSLSAATQQPFLLTIVNNCPFTIWPAIQPNYGHPVLENGGFCLPALSHRSFPAPTSPWSGRIWARTHCYTSPSHHFSCATGDCGTGKLECMGHGGSPPATLAQFSLHHGPTDLSSYAVSLVDGFNVPMTVTPHEGHGVCPVVGCKGDLLATCPRALQVRASGGHVVGCKSACEAFGKDEFCCRGHFNSPQTCKPSSYSEFFKSACPSTFTYAHDTPSLTHECSAPRELKVIFCH >KZM94904 pep chromosome:ASM162521v1:5:26882021:26882717:-1 gene:DCAR_018146 transcript:KZM94904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHTAADLLEYADPAKIAVHLHATVQRILFKIKASVYYAFAIFEAYLTKDPRSEVILAAGAIGSPQLMMLSGVGPAEQLRSKGIDIVPDRHVKAQAVQDIWSKYVNHSRSNTRN >KZM96391 pep chromosome:ASM162521v1:5:40662729:40664483:-1 gene:DCAR_019633 transcript:KZM96391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQLPTCTSHFYANSTAAEKSSATRFLLNRPNRKHINFRKTLSCRAAKEQEDENKARSESKLDRRDVLVGLGGLYGSAAVRQDAFAAPVDATNCSTAGTLPSCTNVKDQKCCPPPNPNISDYVLPEYRSLRTRPAAHLANRKYVEKYNKALKIMRSLPNEHPHSFAQQAAIHCAYCDSAYEMVGFPNAKIDVHFSWLFFPFHRWYLYFYERILGKLIGDPDFAIPYWNWDAKAGVSVPDMFNNRDSPLYDKLRNDDSQLKMDLNYDYKDAANYVSPVGKQLIESNKSLMYTSMVSARTPTLFFGQVYRGGDAQINGPGTIERQPHTAVHVLMGDRNQINGEDMGRFYSAGRDPIFYSHHANVDRLWNIWKRLPGKNRKDFDQTDYLDSAFLFYDENEKLVRVKIRDCLDSSKLGYDYEKVPLEWLNSRAPRKAPRKKAGVANAAEVFPKADEVFPKVLDGLIKVTVPRPKKSRSKEEKEDAEEILVIDGIEYDGNEYVKFDVYINDEDEIESGPNNAEFAGTFSNVPSVSKLRTKASLTFGINELLEDLDADGDETLVVALVPRTGKGKVTIGGARIIISSE >KZM95547 pep chromosome:ASM162521v1:5:33192740:33197387:-1 gene:DCAR_018789 transcript:KZM95547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKVLYIVVASDEDDGRDAAATSFRYTRPVLQSTFQLMGCKARHAFKISKRVFELVQSDCSVEAFVSEGQVIAGQESSKVHPVKENSCDIKQLPDKPSSENYLVSGTESERKSKPFDLYKRRTTAIVKRKTFLDVSCKALAEYKYVSPNQRADLGSRLGITTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGEYLDRVAVGEARIKRKAKKLVSPSLSVGDVSDNSNSGMTSPKGGPSTAELISPKLMAIEGYKAQSEMVIDGLDRLITGWEERKESVVVEGVHLSLNFVRQGSSRHLMALLNTDGSVAKAWPLVSVDGNGKPILGQMTEDESGNPLDGLFPVGKAETVNLQFGNFGISAWVSDTGGTSHASSLDDFKGDGTDNGSKYYSSCCSSPRMSDGPSKELMEEQSVDGSDEEIDVPPEVDSDEDFSDDDFKQIQEEIEGSVDEESTKSDEEYLDLAMQDIQENGYWSDNDESYEDAPLPVLADQSTDGLITAKYKQNLDRFMRTKSEPVSDSIWKKVTTSGKVKLRRRSHSISAVGKVGSFFNGPDLPAAGQI >KZM94578 pep chromosome:ASM162521v1:5:23808291:23811186:-1 gene:DCAR_017821 transcript:KZM94578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKMSWTVPDAVDYKGYPADRSKTGGWVPAALILGIEICERLSTMGIAVNLVTYLGGAMHIPSAASANIVTDFMGTSFLLCLLGGFLADSFLGRYKTIAIFAIIQMMGTAALALSTKLQQFRPPPCHFADLNKCQQANGFQMGALYIALYLIALGTGGLKSSVSGFGTDQFDENNEKEKAQRAFFFNRFFFFISMGTLMAVTVLVYIQDEVDRSLGYGLCSVSMIVALVIFFSGRKRYRYKKSVGSPIVIIFQVIAAAISKRGMELPLSVESLYEDSPEASRIHHTEQFRFLDKAAVVAEGDFDKSCPNSAPNPWKLCSVTRVEEVKMMARLLPIWATTIIFWTTYAQMITFSVQQASTMDRYMGNFQIPAGSLTVFFVSAILISLAFNDRVIMPLWKKWKGKPGFSSLQSIAVGLVMSAIGMGAAALVEMKRLFVAKDVGRHTPVLPISVFWLIPQFFLVGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFLSSFLVSVVKKVTGTSPGHGWLADNINFGRLDLFYALLSILSAINFMIYIICAIWYKPQKAKPVVEMNSLGSNNHAEDKC >KZM94570 pep chromosome:ASM162521v1:5:23714070:23715269:1 gene:DCAR_017813 transcript:KZM94570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLQTAEGQGGLKLGFYSSSCPNAEAIVRSTVKYYYDNDPTIAAGVLRLFFHDCFVEGCDGSVLIEGSSAERNALANRGARGFEVIEYAKSQIEASCPRVVSCADILALAARDSVDLSNGPSWNVPLGRRDGRISLASHVNLPSPLDSVAVQRQKFADKGLDDQDLVTLVAGVSAGAHTIGQTHCRFSSYRLYNFTKTGNADPTISPSFLQRFQNICPVNGDGSRPVDLDIDSQLKFDVSFFKNVRDGNAVLESDQRLWGDSVTQKIVNNYAGNFRGLLGVRFAHEFKKAMIKMSGIEVKTGTEGEIRQICSRFNY >KZM93775 pep chromosome:ASM162521v1:5:10632171:10633354:1 gene:DCAR_017020 transcript:KZM93775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTEYEKDKMHACFFLNAYTTFTAEYVLVIKDEHLIQTDWTMEVPFLFAEQYSQKLKEDVNLFLPDGGKFHAYFSIEGNLLYGLKDLMRTYAVKEQYVMFFEFVGLSSFYVTIYNEEGEDLFNKLPDKLMLRTLLKGIEETEKLDGLNYGTAGHCNAGSIDIKTKPFFLL >KZM96063 pep chromosome:ASM162521v1:5:37823970:37828254:-1 gene:DCAR_019305 transcript:KZM96063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSREQYVYMAKLAEQAERYEEMVEFMEKLVTGATPAGELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEDHVVLVKDYRSKVESELSDVCGGILKLLETNLVPSASTSESKVFYLKMKGDYHRYMAEFKVGDERKEAAEDTMNSYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDSQDQLDEP >KZM93445 pep chromosome:ASM162521v1:5:6457869:6459231:-1 gene:DCAR_016690 transcript:KZM93445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVLVLLGLSIAFTLLISSEVAARDLAETTRKTTDAAVGYGEVSGPQTRRPYNGRGPYYGGGGGSGGGGPYNRGGGRGRGCYYSCYGRCCSAAEAKALQAITTNQVKPHN >KZM95970 pep chromosome:ASM162521v1:5:37125476:37126314:-1 gene:DCAR_019212 transcript:KZM95970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLVLVSCAHAQLKMGFYSKSCPKAEKIVQDYVNKHIPNAPSLAATLIRMHFHDCFVRGCDASILLNSTATTSGNQTERFATPNRTVRGFDFIDRIKSLLEAACPGVVSCADIITLAARDSIVATGGPTWKVPTGRRDGSISNVTEANNNIPGPSFNFTRLQTNFANQGLNLTDLVLLSGKSVSESCCFQSLNKTLTDL >KZM95979 pep chromosome:ASM162521v1:5:37201795:37207590:1 gene:DCAR_019221 transcript:KZM95979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLHRGVSGGGRLSGSNNDLWTDSQMKDSTDKDDIDKSRLRSDQSFLSLKFPFRLPFQDNSPSKISVGENGFISDQFSPASMRNRHKLILLFLKISLAAIVILALTGSFWWTISISIASRGQIFHGYRRLQEQLVSDLLEIGELSSGTARFKELDFCSDESENYVPCFNVTMNLDLGFPKGEENDRHCGSSSLQNCLVLPPANYKIPLRWPTGQDVIWVSNVQITAQEVLSSGSLTKRMMMLDEDQISFRSASPMFDGVEDYSHQIAEMIGLRNESNFLQAGVRTILDIGCGYGSFGAHLFSKQLLTMCLAEFEASGSQVQLTLERGLPGMLGSLTSKQFPYPSLSFDMIHCARCGIDWDKKDGILLIEVDRVLRPGGYFVWTSPITNTQGFLRNKENEKRWNIVQNFASGLCWDMLSQQDETAVWKKTSLKKCYASRKSGFGPPLCSKRHDIETPYYSLLQTCIGGTQSRRWVSIEDRAPWPARSNLQSNEIQIYGLGLHSEDFAADALNWDSAVKNFWSLLSPLIFSDHPKRPGDEDPSPPYNMVRNVLDMNARLGGFNSALLEAGKSVWVMNVVPTTGQNYLPLILDRGFVGVLHDWCEAFPSYPRTYDMVHAEGLLSLETAQKRRCSMLDLFSEIDRLLRPEGWVILRDTTLLIESARSLAPLLKWDARVVEIESNSDERLLVCQKPFFKRLAN >KZM93629 pep chromosome:ASM162521v1:5:8615770:8616054:-1 gene:DCAR_016874 transcript:KZM93629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSADDFSVFVLASDLGVDARPFLQDKWHDCPTQLDHDIAPDDLQYFSHLDALHFLSLQPGFDKSGNRIFRIVGKYFPGNSFFHDLILTAN >KZM95867 pep chromosome:ASM162521v1:5:36382234:36385480:-1 gene:DCAR_019109 transcript:KZM95867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSPAIGERKYDWEKKQLFALSPTEIGSLISLGPDEACEFFHDPSMKTSNAGQVRKTLQVKPHADGSGVVNNVQKISERVTVPVTKAEFAVMRTAFSV >KZM93821 pep chromosome:ASM162521v1:5:11169394:11172182:1 gene:DCAR_017066 transcript:KZM93821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKTKLDAQPELFIHIIPDKASNTLTLIDSGIGMTKAGESGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGEVLGRGTKIVLHLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTTEKEISDDEDEEEKKDEEGKVEEVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLNVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKQNNIKLYVRRVFIMDNCEDLIPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKTKIAELLRYHSTKSGEEMTSLKDYVTRMKENQSEIYYITGESKKAVENSPFLERLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLEESEDEKKKKEELKEKFEGLCKVVKDVLGDRVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLEEPNTFGNRIHRMLKLGLSIDDDDEVVEAEDMPSLEEADADAEGSKMEEVD >KZM93716 pep chromosome:ASM162521v1:5:9619448:9622971:-1 gene:DCAR_016961 transcript:KZM93716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSINMIATQTNTCCFLTNSLHGGVPRISAAPFSSSPPQLLQFYFFSLQRRSCVVRNAFGKNRNPESLFCYDKAIPEEIIEKPIGISLSQKDVGDNTRCPECEAKGAVLCATCSGSGLYVDSIMESQGIIVKIRCLGCGGTGNIMCSECGGRGHVGCPNL >KZM96383 pep chromosome:ASM162521v1:5:40629930:40630127:1 gene:DCAR_019625 transcript:KZM96383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGELVMVIWVGVVFASIICTAAYFGGLGGATRRCFSSKPPNVDPDVEKGEIKITSDTGASRRS >KZM94772 pep chromosome:ASM162521v1:5:25721720:25725374:-1 gene:DCAR_018014 transcript:KZM94772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKSQPKTKNLTQEQKTCLLHSVVKYLENNALSKTLKRILSEAQIEGEEWKSSSVDLEDLYCKYLDTCNHVETTDNIINNQELQTEGNTKDTMSGGAVLDEIVKKKKKKRSETEKGAVAEQPDIVEKEVDNLKKKSEELSDVNDLIESAKVKGKKKDKKSSDFSNESERVQSLADTAVDENNKLTDKIKDKKKKKKSNKVDQEDKELMEVVVEEQSKDLSTAACDVANEKKSSKKRKRLVSDDNEIESNSKEADEEIKPKKAKGLEKTTKKGKSMVGGENEESAKENSPVAHIDSTKISKKIPDEHEVGDLENTKEVQLDHSNGNLEKSGYKSSNQKTSKKQHNGSAEPKTVNAFQRVKIDEVEFADEKLQDNSYWAKSGAEIGYGAKAQEVLGQVRGRDFRHEKTKKKRGSYRGGMIDLQSHSVKFNYSDED >KZM95568 pep chromosome:ASM162521v1:5:33496335:33497669:1 gene:DCAR_018810 transcript:KZM95568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEVAEATHEYSGKDYQDPPPADFIGIDDLGKWSFYRALIAEFIATLLFLYITVLTVIGYKSQSATDECGGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVMYMVAQCLGAICGVGLVKAFQKSYYNRYGGGANELADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKDKAWDDQWIFWVGPFIGAAIAAFYHQYILRAAAIKALGSFRSNA >KZM96018 pep chromosome:ASM162521v1:5:37451319:37463325:-1 gene:DCAR_019260 transcript:KZM96018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKESSEDDDDDDLGWLPCFPHVLTASMSNFLFGFHIGVMNGPIVAIAHELGFEGNTILEGLVVSIFIAGAFLGGITSSSLVDKLGCRRTLQLATIPLIVGAILSAQAHGLDELLLGRFLVGLGIGVNTVLVSIYISEVSPTKYRGSLGSLCQIGTCTGIIVSLFLGIFSENDSSWWRTMLYISSLPGFALVTGMQFAVESPRWLCKAGRLDDAELVIRNLWGSSKVEKAMEEFQSVIKTDGGDLHSRWSELLEEPNSKVAFIGGALFLLQQFAGINGVLYFSSLTFHDVGITSSALASLCVGVTNFAGALGALYLIDRQGRQRLLIGSYMGMAISMFLVVYAISFPMDEVLSHNLSILGTLMYIFTFAIGAGPATGLIIPELSSSRTRGKIMSFSFSVHWVCNFLIGLFFLDLVKTFGVAPVYASFGSVSLLAAAFSSRYIVETKGRSLEEIEMSLNLNFPPKENMGSSGESLMMMSVIGAGDATAGDIESPPPPQQQRQRSSHSLELSATAARSLEEGDSIKRNENEDDTDDDHIVPLLMQPIPLFEIAFARCTVMAIISFVWLKKSGYPVFGPANVRKLLVSRAVVGYISLMSFIYCMQRLPLSQAIVLSFTTPIMASVAARFILHEKIKIAELGGLACSFFGVLFIFHSMFIAQGGMVKVGQASDSIVRETDQTYGLVIGLFSSVLGGISYCLVRAGAKASDQPV >KZM93194 pep chromosome:ASM162521v1:5:3403308:3404421:-1 gene:DCAR_016439 transcript:KZM93194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGGQHTWFNCDEFDLPTYKSISCNTEKCRKYNGYDCMNCALLIPVPPRCINDGCAVTWINLQSPEPFPFSCSDLLDNLASGTKGMIGLVNTTTSLPAHMSSQFNLPHKFALCLPSSPIHGHMFVGGGPYIFPPYYKNIAKKLITTKLVSYPVDTDKIYIITDPYDEYFVDIKSINIDQKLVPLNASLLSINKDGFGGFSSQEDERVDGPLDMCFNATDIPKSKTGPAVPHIDIGFAGGKNECRLYGANSMLSVNEEVLCLAFVDGGKFPRTSVVIGAHQLENYLIEFDLVSSKVGTSSSLLTRNATCSQSRVL >KZM94224 pep chromosome:ASM162521v1:5:19523103:19525173:1 gene:DCAR_017467 transcript:KZM94224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERLLLLVPGKVVWNALFRKDRSWIEGLEKMMIFYCIKPYYMLIFEYVGGPSFNLEIYNPYGVEINYWISPKSDEALAADRSFFEFSDLEIDKLCGTLSHNVYNNGSGLYDLLVNQNHLRKKEYYKILKRKACRKLGLDETMEKLKLCLKNLVCKLKLIWNHGKVYFDRKWYALAKAWKLKEGDTVVFHMTGKKQKFEICVYDNDILSKCNTSGRGKKTGVMNWFKFVNESFLRGGQMEIPRVFMQSGSASFSEKVELITRDGESSYAEFCPRRNFLTGMRDFLRRYAVDENDVIVFQYVKASTFAVSLFKFSGMEFKYNCESTIQSTTVNNVPQPDIILISDSSVDIADDGMEEIGNEDPENNLEADFEEVEDINIVSFQVTLKRSHVDKKCHGVYLPKLLYSAFKSWRSGTNIRLLSGDDVYNVSVLRSNRQYRLGSGWTDFTVGNEFEEGQTIQMDYVHEKTFRVTLLE >KZM94597 pep chromosome:ASM162521v1:5:23981970:23982944:1 gene:DCAR_017840 transcript:KZM94597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKERRDCTTSRSRTSPFPCGSSPSLLSLIKNPLENEEHVKEWEEARCPVCMEHPHNAILLLCSSHGKGCRPFMCDTSYRHSNCFDQFCKSFGKTPPTVPQQEETSPSSATDLPTHGMTLDEGSPASQGEMIESGLTHATDCEDKVKGKLVCPLCRGGINGWMVVEPARVFMNAKLRSCACETCEFSGTYSDLRKHARLAHPLVRPSEADPERQRDWRRLERQRDLGDLISTLQSSIGEERSEDSAFSLDERGLLTVFFLVRVFQPGNVSRSSSWSGTSRVPRAHVTVRRRSTRTLWGESIDADSLEQDNDTSDGASGPSRSQE >KZM95748 pep chromosome:ASM162521v1:5:35156213:35159009:-1 gene:DCAR_018990 transcript:KZM95748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRTLSPSKFKHLIPLINSSYYTYTKPASNILLQPQSIPFLLNPAKTLIPSFSTFLKPFSSQPNFRDGNYDESRSLSVHICPGCGVQMQDLDCKKPGFFVKPSVKGANYKTLIDRNPVAEELEISGSVKKGFLEIENDETPEKNEVKMAKKPVVCSRCHSLRFYGKVKDSSVENLLPDFDFDHTVGRRLSSASGPRSVVLMVVDAVDFDGSFPRTVAKLVSNTIEENYRAWKEGKAGNVPRVLLVVTKIDLLPTSVSPTGLEHWVRSRAREGGASKLAGVHLVSAKRNWGLKNLVDDVVGLAGSRGHVWAVGAQNAGKSTLINAIGKCVGGNVTHLTEAPVPGTTLGILRVEGVLPRQARLFDTPGLLHPHQITTRLTKEEQKLVHISKELKPRTYRIKAGYSIHIAGLMRLDLEETPLDSIYVTVWASPYLPLHMGKTENVLAMLEEHFGHQLQPPNGKSRVEELGNWVKKEFRVSGNSWDFSSVDIAAAGLGWFAISLKGEALLNVWTYEGVNVISRNSLILHRSHDFEVAGFTVSQIVSDADRALNKSSKTLKSKKAGNLTVATATVTAQ >KZM94227 pep chromosome:ASM162521v1:5:19626273:19627917:-1 gene:DCAR_017470 transcript:KZM94227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLKVAAGYCEGIRIRVAKKDTFTVKDHRGECDKGLYIPNHPDSSGFYHLITALILYGYLIPISLYVSLEDNRIKNCNWSKEPHAKILLLFVRTLVICHTAIAELIEETDNFNYQAESSDEGAFLVAARELGFQFRKRTQSSISVRDRHPFSIGYIERKFKLLNLLDFTSKRKRCILI >KZM94102 pep chromosome:ASM162521v1:5:15661333:15665409:1 gene:DCAR_017347 transcript:KZM94102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESSLLQRSGDEITVVSERSESIDPVLERLRSLQIANPILESLPAERSLTDILVRKPLLSSNSSTVDPQVLLELFSMYRDWQEKKTQNISKRQEEIENKIDVADALAVKLLQRFNYSVSAMRTSSNHLSEVHSLQVELGELKGRLTEVISNCDGLCKRIAANGPESLKSTVKPFVLASSDPSTSSADTARLKAETVRTEPQPMPKPT >KZM96379 pep chromosome:ASM162521v1:5:40604056:40607077:1 gene:DCAR_019621 transcript:KZM96379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPPSLRASEVPQKSPKLVTDRKVLSHQVKASSLSSDGSPKFRDRRSPRGSQSDPLNQKKLGTRIAGLQSQLEQAQEELKLLKDQLASAEAAKKEAQQQLENKTTKPKIEVRNEQEKKTAKVRKEAQPKLQKKSGKVISPESEKVLKEYSHPREIQVSKKVDHPVHEDVGDDQLETDVFEVQVEKATVEAKPEFNHTTEENELNSKSVCLTTESPVLEPENSQIDEVALRNGEIVSLKASLELKEKELEVFCQNNENLKVKLDLASTEVLSVQAKEEEMTLRLKQLTEELETSKGTVAKLTEKLGAAEGAKETLETEMKKLRVQTEQWRKAADAAAAVLAGDAEMNGRRISQRCGSMDTHYYEPAVGGYAGFVGSPGLGGNDSDDGFGNGKRKGSSIRMFGDLWKKKGQK >KZM93265 pep chromosome:ASM162521v1:5:4187833:4189302:-1 gene:DCAR_016510 transcript:KZM93265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQHHRFLRQTKLFIPLAPHAPPSHHFTTTTSPSQDITLANLILKTDPKALPQTLQAHTTDWTQDLVHKTLKRLWNHGPKALQFFTLLDRHHSYTHSAAAFDHAIDIAARLRDYKTLWTLMTRMKTRQLGPSPKTFAIVIERLVAAGKPDRAVKVFLSMHHHGVIQDLNCFNMFLDVLCKSRRAEMAYNLFKAFKGRFRVDTITYNVLVNGFCLIKRTPRGLEIMREMVERGLEPSLCTYNTMLKGFFRAGQVKEAWEFFLEMKRRKCEIDVVTYTTVVHGLGVAGEVKRARKVFDEMIDAGVLPSVATYNAFIQILCKKDCVENANVVFEEMVKKGYLPNSTTYGVLIRGWCHAGKMDRAMEYMDRMKNDECEPNVQTYNVVIRYYSDNGEIEKALEVFERMSAGECLPNLDTYNVLISAMFVRKTADDLLVAGKLLIEMVDRGFLPRKFTYNRVLNGLLLTGHQEFAKEILRLHSRSGCLPRHFRL >KZM94100 pep chromosome:ASM162521v1:5:15544466:15544624:1 gene:DCAR_017345 transcript:KZM94100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPISGRRTSGSSSQSFPDGGSPMEPNAEMLATNHKPPISGRHTTGFSSQS >KZM95647 pep chromosome:ASM162521v1:5:34146549:34147022:-1 gene:DCAR_018889 transcript:KZM95647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKRSREDEQTMANCLMLLSQFGKSQSDLISAGRVYECKTCNRQFPSFQALGGHRASHKKPKLMAGDLLQQVPEKPKTHECSICGAEFAFGQALGGHMRRHRAEMEANSTSTTISDDAQEVVKLVPIIKRSNSCKRVWGLDLNLMTDDRNFLKLGW >KZM95853 pep chromosome:ASM162521v1:5:36256676:36259566:-1 gene:DCAR_019095 transcript:KZM95853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQLQRRLVSLFLTKQPHLPLRNEPSNQIRPIASSFLGRNGFLKRGLQTLVESSKQVLEDADRNSTCNLKAGSDSAISNPINNEKSSVSYNATSNFKISPRHDLAMMFTCKVCETRNMKTMCRESYEKGVVVARCGGCDNLHLIADHLGWFGEPGSIEEFLAARGEEVKKGSVDTLNLTLEDLAGKIS >KZM93217 pep chromosome:ASM162521v1:5:3691982:3692551:-1 gene:DCAR_016462 transcript:KZM93217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRFSPLTNTTNDTASANASVNASDQSLFGRKAPRTTALRKGLPVESGSSGKTAKRKVDFQTASKDNIAEENTTEKGYAHVYKDHSNDDSDADVVAKGACPTDELEEVVTVPKIKENWKVRSWHEFISDENDNGYYQRLYRNSEIYEDKEFGKIILKPWMIFLDKNHYKNTLNDYCIQKRCWQTLQK >KZM96540 pep chromosome:ASM162521v1:5:41716591:41717923:-1 gene:DCAR_019782 transcript:KZM96540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHQYFSCFTILTILKALVHVSDAQLSTCYYSTSCPNVSKIVSSVLEEAFQSDPRGPSWEVPLGRRDGRKANRKKADDCIPRGSDNLSNITAKFSRVGLNLVDVVALSGAHTFGSAQCRVIRGRLYNFSGTGQPDPTINTSYLKTLQDKCPQTGNGLGLANLDPVTNYTFDNQYFTNLQNHMGLLSSDQELFSTTNASSIDIVNTYSKDQATFFENFVKSMIKMGNIKPLTGKKGEVRLNCRKVNNK >KZM92936 pep chromosome:ASM162521v1:5:991333:991944:-1 gene:DCAR_016181 transcript:KZM92936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEKMMNQIMELKFTAKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRSEQMNYLRLASRLDAVVARLDTQAKMQTISKSMSNIVKSLESTLATGNLQKMSETMDSFERQFVNMEVQAEFMESSMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVPVKSAEKVDEDDLTRRLAELKARG >KZM93707 pep chromosome:ASM162521v1:5:9457269:9458217:-1 gene:DCAR_016952 transcript:KZM93707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQSLLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVIKKLQNSGSSSDDQKLFSTPEKANHITDQASTTTSTLRLDHASNSSSSNSNTVYASSTENISRLLQGWMRSSPTTKKMDASTHDDSSRTSIFGFRPKADEHKEYCTQDLISNEEFESILSLDNLNTGASNMASWDDHHYSDKADDHDDDNHDHDEVKHDNGELAKKVTDYDHMSMNNPPPPPPPPLSFLEKWLLEEASTTAQTEDMMELPPIFST >KZM94300 pep chromosome:ASM162521v1:5:20731728:20736690:-1 gene:DCAR_017543 transcript:KZM94300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYVPSLNLLTPSFVRSAVDVRILSLWMLDNSEESSEQFGLNMKLLDQKENSIEAYINPRLVRKFQPLLHQGEMYSLSNFTVAVYTQDMTQRASTNPYYILFREESEIQKIDVDDRAIPRYAFDFVLFKHIRHIKQDNNILIDIVGLIGFVEPPCVVINEQGHEEKSVEFGITDGMCVYTFTNHPATRFAINSDISIVQHLANKYRILAHCFDISGELFICIGHTEIHNIVGKSVFEMLQIQNFHNDVPLFIRNIVNSEMIVEVSIGTWATQNGLLKFDASQILLLDQQLLLHRMASEKFDPLAAVDPGRYNWRVKVRISRKWESIQKNTGNIKGCNIILVDDQPPRHFVNKNNEEQSYVKFDITDGSHCVKVTLWDGFGHTFYDDYTQFKEDPIILILSSCKANVWEKILSLSNYPATRYFFNYSHHSVNMLRASYKQPGFRTTQVEMNRFEPDPKMTVAEVKNTIPDMDEMKVVCTVTINKITNQEIWFYHICCGCSNEIEKIDGKFKCDHCQKIEPYPDTRFRVCTLASDHTGTIGIIXKMSTKIQEGTSGKFPPLLLTQEKLSCIITLSLKRSQSQKKTNIFHAADLTISPKLECPSPTSGGDTYIKEQASVSEGTVTQTSKQRGKNTPDTLRSTNKPKGKKKLVKKVRMVNLANDEDEDNEDIPLGMWNTQTDPE >KZM93115 pep chromosome:ASM162521v1:5:2547698:2551301:1 gene:DCAR_016360 transcript:KZM93115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIARVQKELQECNKDKDSSGISVKLKGGNSLTHLVGSIPGPLATPYEGGTFLIDIVIPDGYPFEPPKMQFVTRVWHPNISSQSGAICLDILKKEWSPALTIKTALVSIQALLSAPEPDDPQDAVVAQQGNGDVSAPFAFINHHMFVLDLLLLVLLQYLKDYQTFANTARYWTEAYAKSSSVGIEEKVRRLVEMGFPEVMAKDALDAVGGDENAALEKLCS >KZM93476 pep chromosome:ASM162521v1:5:6961014:6961235:-1 gene:DCAR_016721 transcript:KZM93476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWKHQLLILCLLAFIVSAQASRLPKASWEQMMPKKLPTPSSAPSKGTNSVATATISSSESKQKLRSADKNV >KZM94982 pep chromosome:ASM162521v1:5:27655972:27657477:1 gene:DCAR_018224 transcript:KZM94982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSGDSPPSIQRRAVAIAYPGRGHINPMMNFCIQLASKQPDWLITFVVTEEWLGFLSSETGLPPNISFGTIPNVLPSELVRAADHSGFYKAILTKMEEPVECLIRQLDSRPLVIIYDYFLSWVPGLGNKRNIPVAALSPMSARMFSMFMHHHLLVQNGDLPVHNMSVCALSPHFDLNSIKEQGENEVDYIPGVPSTRVLDLPSSFHESGQAILPGILEAMSMVKETQCILFTSFYELEQQTIDALRAELSMPVYVIGPAIPDFKLKQNLSTNIDAPHYIKWLDNQPNNSVLYISQGSFLSVSSVQLDEIVAGVLDSGVRYFWVTKTEASRVSGEKGLVVPWCDQLRVLCHPSVGGFWSHCGWNSTKEGVFAGVPMLTFPIIFDQNMNSNAIVDDWKTGWRVKRSTAVEKLVTRNEIAGLVKRFMDLESEEGKMMRKRVKELEEIARQATAEGGSSENDIDAFIQDILESDRD >KZM93742 pep chromosome:ASM162521v1:5:10051109:10051836:-1 gene:DCAR_016987 transcript:KZM93742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCCIRNVGPTDDVANRPTADMANDPTSDVAMWTPQLTWQSGPSIWTCRLEFAWIGLERPLSGFVRFGLGALGQLQGQPLSWAVAKRRQGVGGRWCLDSGVQPELMDA >KZM95045 pep chromosome:ASM162521v1:5:28212420:28215717:1 gene:DCAR_018287 transcript:KZM95045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLQQSKKKKVPGRISATTVVLYGLIVLVMVFFLFATFKHWTRRSTTHLEDGLPNLTIFNTSKGSITVELYKEGSPGVVDEFIESCQMGHFKGMSFNRVIKNFVIQGGDLESGVTEDWTSKGKHYNLLDERLKHEAFMLGTSKVKHDKEGFELFITTAPIPDLNEKLNVFGRVIKGEDVVQEIEEVDTDEHYRPKSPIGIISVTLKHRI >KZM94753 pep chromosome:ASM162521v1:5:25522969:25524681:1 gene:DCAR_017995 transcript:KZM94753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSFTSATQTIHSNTTSTFNERGWVIQIRKTLEEQIEEDHNDIPVSIFSVPTTLICTSPDAYIPQQVALGPYHHWRQELYEMERYKVAAARRTMKQLDCFDSFQGIVDQLQMLEPRIRASYHKYLNLSGETLAWMMAIDVSFLLEFLEVYARKEGKLLSRRTSRLTSNLVDSAGRKSTHIAILRDILMLENQIPMFLLRKMLELELGSLVAADDMLLSMLRGLSIELYPFKTPEELPILLMEDSTHVLDFFYRLLMPKAFRRSQITEGEEIYEANKEEEEIIIDEESQSRVLKFFNFIWRTLSKLNFHPIRLFKALLNSKILKVLLKMPWTVITKLPVLNMLKEPIENMFMREDKEDLKPENDSIVRPPRIEELTIPSVTVLAKSGVRFVPTDGGICSTDFNVKTRTLSLPRVNLDVNTDIIMRNMVAYESCNASGPLIFARYTEFMNGIVDTPDDAKFLRENGIIFNRLKSDEEVANLWNGMSRSVKLTKVPFLDKVVEDVNKYYNGRWKVKAEKAIRGYIYGSWRILTVLACIMLLGLLGLQTVCSVYTCSSVIRKYATPDEAAEP >KZM94282 pep chromosome:ASM162521v1:5:20494020:20494775:1 gene:DCAR_017525 transcript:KZM94282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVLERNQQIAQPSVRGKGNAPKITEKKALSSSQDSCTLNGPVASGSSVLKTSENARLNDSISVSSKCDKKQVSCEVKDSEKETSLYSSPVTVKELSGSPRAGALSGSHNSGISVSMASGVGLHDPVLSKCNEKIACSNVPDPLKETKVHCQASDCGSADSVVEDCDDQPVREKSDVNLSCKIVSIESSSSKINLDRIKELRKRKRDMIASRKLLEDEGKEMMDSEAWIERELENGIQLESANTDKQRKL >KZM92979 pep chromosome:ASM162521v1:5:1361763:1362249:-1 gene:DCAR_016224 transcript:KZM92979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTAALLAVGRAGDTGSFKDCYIKCYVFCIIEPSQTLCSCTTQCFKNCILPGGDSNVASVQRRVDRVDDSNQNHAFCKLGCASSLCSNISTNKNPNADNMEKCVGSCSQKCDNTYSSNTSSP >KZM93876 pep chromosome:ASM162521v1:5:11756131:11759027:-1 gene:DCAR_017121 transcript:KZM93876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSASSSRMKTAAMALQSDNQTIIAEIRRTMNMFKDIAVDLEADHQSEMVKELEVGFIQLLETTGDCMHFSAAIESIGNGYEPKEQLTDFKKLFDEEIEKQKSRLSVAPEKDPLFRQFTAAVWNVNHAGQPMPGEEEEDIIVTSTQSNLLNVNCPLSGKPIVTLSDPVRSMDCKHIYGKKFIMHYIKSTKGLQAKCPITGCPKILREDRVVCDPLLRIEIEEMKAMSKESGPTNIVEDFTDLNEEESE >KZM95620 pep chromosome:ASM162521v1:5:33930551:33931564:1 gene:DCAR_018862 transcript:KZM95620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAWNILLFTICFLYVPSSHAANSNLFREYIGAESDSVKLSDLPINSDVEFHFILAFAIDYTTDSLAPTDGVFNVFWETKNLGPHDIASIKDKHSNVRVAVSLGGDTVGSGHKHAKFTPKSINSWVKNAVSSLTTIIKQYNLDGIDIDYEHYDSNSNTDMFAECIGQLITKLKDDKVISFASIAPFDDGGEVQSHYLALWRKYGHVIDYVNFQFYAYDKLSVPQFLQYYNQQLHNYEGGQLLASFIIDGNIGLKPDDGFFEACKELKGQGKLGGIYVWCADESKNNGFKYEKKSQALLASS >KZM93766 pep chromosome:ASM162521v1:5:10559829:10560980:-1 gene:DCAR_017011 transcript:KZM93766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSDPPGGKKTAAGALKRAPHEKPPFTIGDLKKAIPAHCFEKSLVTSFRYLIQDLLMAYALYYVATNYIDQYLPSPVNYLAWAAYIAVQGCVLTGAWVVGHECDHDAFSNYNWINDLVGLVVHSSLLVPYFSWKISHRRHHANTQSLENDEVYVPRFKSNIRNYYKLLNNPPGRVLVWLTTLLIGFPLYLMFNVSGHKYERWTSHYDPHSPLYSDRERKEIIISDIAILAVIYGLYKLVLAKGFAWVFFVYGGPLLVVNGWFVLITILNHTHPSLPYYDSTEWDWLRGALCTVDRDYGILNKVFHNVCNAHVCHHIFSMIPHYHGLEATEAMKPILGEYYQYDGTPILKAMYREMKECIYVEKDEGETKGVYWYRSQF >KZM94535 pep chromosome:ASM162521v1:5:23356788:23360976:1 gene:DCAR_017778 transcript:KZM94535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGGKQSSLRKAIGAIKDTTTVSLAKVHSGYKELDINIVKATNHVERPAKEKHIRGEKELMIFSLVIITAIFAYISATRPRADVAYCIYALAKRLSRTRNWAVALKTLIVIHRALREVDQTFQEELINFIKFKGHMLNMAHFKDDSGPHAWDYSAWVRNYALFIEERLECFRVLKYDVEAERPRTKDLDTPDMLDQLPALQQLLFRIVSCQPQGAAVHNFVIKTALSLVASESIRVYNAIKDGLINLVDKFFEMKRHDAQKAFDIYRRAGKQAERLAEFYELCTRLDVGRGERYATVEQVAILFVLPPGSFLQAMEEYVRDAPRASTLRKDLKALEAPKVILSIDYKKTHEKEEKRPPSPSPPPPEPVKVEAPVQTPDLLGLNDPAPAASGFDEKNASALAIVPVDNQSATSGPDSANGGTGWELALVPTPNSKDSAAASSKMAGGMNKFLLDTLYDDAIKRSNQNVSYNPWEQQSSLANPTAAQTYDPFYASNTLAAASTVQMAAMASQQQAFMLQQQQMMMMMNPQQQPFNPFGNPYGAAASAVLPYGSGMPVQASNAYTGLI >KZM95868 pep chromosome:ASM162521v1:5:36387846:36388857:1 gene:DCAR_019110 transcript:KZM95868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPKKDRWTPDEDIVLVSYVQEHGASNWSLVPRNAGLNRSGKSCRFRWMNHLRPGINRGKFTHHEEQIIIHYHALLGNRWADIAAHLTGRTDNGVKNYWHTHLKKKLDIVNGHVDEPIGNPVAAPALVYQVPPVPAPALVYRLPPVPAPSFAPGREGFDYPSIARAPSFGPGREGFAYLPIALAPSFAPGRVVFAYPPNIFISAGHES >KZM95861 pep chromosome:ASM162521v1:5:36330524:36333690:1 gene:DCAR_019103 transcript:KZM95861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSHPSVHPVNFNAPPSPSTIPLDENDLQHNPPTRIRMKELQGMPGTSTSLALRSLQFSFAVVSLAVMATTSDFPSVTAFRYLVAAVSLQTLWSLSLGVVDIYALLVKRCLRNSRVIALFTMGDGITCTLTFAAACASAGITILIGNDIDSCAQNHCTRFETATAMAFMSWFAVSPSFFLNFWSLASRGIE >KZM95729 pep chromosome:ASM162521v1:5:34915770:34917928:1 gene:DCAR_018971 transcript:KZM95729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KZM96425 pep chromosome:ASM162521v1:5:40878786:40881372:1 gene:DCAR_019667 transcript:KZM96425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRPRGFGFITYADPSVVDTVIVETHIINDKQVEIKRTIPKGSSDSKDFKTKKIFVGGIPTSVTEEELKDFFSKYGNVVEHEIIRDHTTKRSRGFGFVVFDSEQVVDNILVDTNKIDMGGTKVEIKKAEPKKSSNPAPGPAYGSEPRGRSYGDTFGGYGDSFSNYSADRFGPPSYRSYGGMSDRHGDFGGYGGGFSGRYGDFGGRYGDLGGRYGDYGGYRGEPSPGYSSRYGSLGGGVGGGVGGGYGESGLGSYGRGGVGGGYGGSGLISYGRGGVGGYGAYGGPGGDYEAGPGPSYGGAGGVYGSKGGYGGSSRYHPYGR >KZM95688 pep chromosome:ASM162521v1:5:34594461:34595029:-1 gene:DCAR_018930 transcript:KZM95688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVWIDGKTVKAQIWDTAGQERYRAITSAYYRGAVGAFIVYDITKRQTFDNVQRWLRELRDHADSNIVLMMAGNKSDLNHLRAVAEQDGQALAEKEGLSFLETSALEASNIERAFQTVLTEIYHIMNKKALAAEEAAATLPGQGTTININDASGNMKKGCCST >KZM93972 pep chromosome:ASM162521v1:5:13121362:13123568:-1 gene:DCAR_017217 transcript:KZM93972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSPLNSRRPSDIAESSQREWNLRARMISRENTRSRRFSASYIASLREDSRSFRSNFSISSTASSPGYNLKEEIDPSTYSFTSALRALQARSVNTWECLSPEGFALNSKWNDAEKYICNPLSGEVPMECLSAKTLSGRSFRNLTNRFTMSAPLIYPTHSRFNQTTKPCYDQEAKIHNIINEMKAGNMFTRDVGVQSTSPDFTSNTGTSPSSSSQMEKSCPKLSAAGETDSPSSSRKTNSETEEVKVKEAVDEKEETKTTVVCEKITEKKRSTGCLPMKSCLWRRKQEKEYKHIPRKQKKNIFPLPC >KZM96374 pep chromosome:ASM162521v1:5:40567704:40569962:-1 gene:DCAR_019616 transcript:KZM96374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRLLLLLKPFDVFLAPTNNKSALSAAIKNSPSSKVLSYLEDRRMVHKEAINFCQNILRKKYVDWKPVFRSDLSQPIRDVDLVITVGGDGTLLQASHFIDDSVPVLGVNSDPTQVKEVEECSNEFDATRSTGYLCAATVKNFEQVLDDILENRSGPSELSRMSIRINSEMLPTYALNDILVAHPCPAAVSRFSFKIIKDGSSCSSLVNCRSSGLRISTAAGSTAAIHSAGGFSMPILSKDLQYIVREPILPKAADSSLMHGTVKYDQSLHTTWFCKEGLIYVDGAHTVYTIEHQDTVELSSQAPTLKVFLPHHLVK >KZM96125 pep chromosome:ASM162521v1:5:38483458:38486441:1 gene:DCAR_019367 transcript:KZM96125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLTSNVAAKFAFFPPEPPTYSVRTDEETGKLTFTGLTPDKNADVHLLETKGGNTVVATFWKHPVGRFTLLYSHGNAADLGQMQELFIELRAHLRVNIMSYDYSGYGGSTGKPSEFNTYYDIEAVYNCLKDEYGIKQEDVILYGQSVGSGPTLHLASRLPRLRAVVLHSAILSGIRVLYNVKLTFWFDIFKNIDKIRHVTCPVLVIHGTNDETVDFYHGKRLWELAKDKYDPLWVKGGGHCNLETYPEYIKHLRKFINAMEKLSFTRRSKRQSSDPGLSESKHNRCLTFGR >KZM95248 pep chromosome:ASM162521v1:5:30528084:30531927:1 gene:DCAR_018490 transcript:KZM95248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMVSRLKWLRYLANRMEYSVALSWKGYNGGHITETEVGDAIWKNFFHGKLTYMHRNNGQEMAPTISEEGGTLLVRKLPISDPTYVFVGDVVVVRDPEKSENRLVRRVAAIEGHEMVSKDEKDEPFVLEEDQCWVLADNDNLKPKEARDSRMFGPVPMTDIVGRVIYSLRTAVDHGPVQNSRFGMRRDSSVLEVELDVNEMAKNQRVD >KZM95374 pep chromosome:ASM162521v1:5:31563155:31567952:-1 gene:DCAR_018616 transcript:KZM95374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRPPWLLQRRFITTNTHTKPTSNPTHNPKWCVKQVSKSNFSETLENIKDQILKSDYIAVSLKKTGSHSAPWHRTLPIDTAQTAYLKAKYAAERFQLFQFAVCPFSIRDSKLIAYPYNFHLFPRDGLKLGMPCYSFMCQSSYLTSMANEGFDFNACINDGISYLSRAQELIARNRRGNPVISNLEQSSSPHSVADSLFLGRIQSRVKSWLKACKESKTGSEDALIRSLRKLMVGSEEYGSRPCLRIDVCSERHVQLALEVLKEFADDVVPMVIRAKGGGIQAVQVVLTSSKEDKDIFEKEQQCKEEDQNKLVRGFREVIDLISASQKPLVAQNSLNDFTIIHSKFLGPLPPSMDEFRTSLHLAVPHVLDISHLMDEISPLKKLNSVSAATSYMKRRFFAPIDAEIPQKVEADESKSHGYDVLRISQLFAKICSVLKISSETDKHSSSALKGYANIFNPYSASSDNHMEEDVRLSTDHPQKISTENIVFVWGFTLGTSAKMLRNQLLTSSEVLSDEFDVRILDKSCAVIAFSKPDSSTKFLEAMESGGNNCDSLSNLISEGLRAADYETYKRVCSLGLWEVKLADSLDKALETDFDGHTEDSQKESTEVYWRNSDLINLDEL >KZM95280 pep chromosome:ASM162521v1:5:30780460:30783006:1 gene:DCAR_018522 transcript:KZM95280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQHHTLLKTLAKTPFRSFSTSSLTPKKCSPNSLLNAKQTHQQHIVRGLISTDPITIISMYTASESHCDAVRVLERIEPSSSAVYWWNEVIKMRLPFSPKESVEMFVRMVRCGWRPDGYTFPFVLKACGEIRGSAELVGFCVHGVVVKYGLVECNVFVCNALVKMYFMSGVLRDARRVFDEMCERGVEDVVSWNSIVAVYVQSGDVRSALGLFGRMGKRGEFGVRADAVSLVNILPACGVGKSWRRGKQVHGYAVRSGLFEDVFVGNAVVDMYAKCELMDEASKVFERMKIKDVVSWNIMVTGYSQIGRFEDALSLFERMKMAKIELNVVTWSAVIGAYAQRALGYEALDVFRQMQLAGSKPNVITLFSLLSGCASVGALLQGKEIHCYAVKEVLNVSVNDPRDNMMVISGIIDMYAKCKSADTARAIFDSIALEERDVVTWTVIIGGYAQHGEANDALQLFGEMLTNYKYVMPNEFTISCALMACARLAALRFGRQIHAYGIRNHFGSSTFVANCLIDMYVKSGDVDTARIIFDNMDNKNSVSWTSLMTGYGMHGRGEEAIKVFEGMRRAGMPIDGVTFVVVLYACSHSGMVDQGMEYFNGMNTEYGVVPEVEHYACMVDLLGRAGRLNEALKFIKEMPMDPSPIVWVALLSACRLHTNVELGEFAAGELLNLEAENDGSYTLLSNIYANARRWKDVARIRSLMKYSGIRKRPGCSWVQGKKGTTTFYVGDKTHPRSGEIYDLLADLIHRITALGYVPDTRFALHDVDDEEKGDLLFEHSEKLALAYGILTSAPGMPIRITKNLRVCGDCHTAITYISKIIDHEVIIRDSSRFHHFKNGCCSCKGYW >KZM94653 pep chromosome:ASM162521v1:5:24619501:24619992:-1 gene:DCAR_017895 transcript:KZM94653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDILHPQNCIRNETLISPPSRSRNSNPNPTRSRRRKRSQSNITENNTGRSHNRTVENRPGSKNLVMGEVKILKRGEKLDDLKLGFPDEEDVILSSTDRIGPDPATVKEQIRGFSDLYAGSQSMLASPPPSAVPFPAFFTKKEGKIDEVTSDLRRLLRLDMI >KZM93746 pep chromosome:ASM162521v1:5:10214174:10214494:1 gene:DCAR_016991 transcript:KZM93746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYLASEMGILPLVDSASKSDEKILIKCKTGEPEKASQKMYVLGYLYPLPNAMLGEKLLIYGSFIYRITLDLSSIKGGAPARTRGLNMYCAEDLIPNGSTDEKLS >KZM95376 pep chromosome:ASM162521v1:5:31587226:31589393:-1 gene:DCAR_018618 transcript:KZM95376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKADVETEAYRHINALTQLLIFYSVSLHAVSRDQEAYPSPCIYAQIDTRGADEESDSSEESDSSDSESNDVLDSMDILFGVFCECAELNPDPIESEEEEEHNWIFSAEQVVTDGAEVDDSEWNDVLAPTSSIGYSNGDNDLAHTVLQVVFLSLFLFNWLVKFLDYCWLLLL >KZM93463 pep chromosome:ASM162521v1:5:6767262:6767594:-1 gene:DCAR_016708 transcript:KZM93463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIQVQIPASFDPFAEAELTDATEEYVHIRIQQRNGRKSLTTVQGLKKELSYDKILKDFKKAFCCNGTVVNDKELGKVIQLQGDQRKNVSTFLVQAGIVKKDRIKVHGF >KZM95713 pep chromosome:ASM162521v1:5:34766111:34773074:-1 gene:DCAR_018955 transcript:KZM95713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLALSFCPLPPLHLRHSLPRDLSLSILNTQSSCLRTFKCSALSPPSLVDQSVKFKEAANNGNLIPLYKSIFSDHLTPVSAYRCLVKEDDRDAPSFLFECVDPGSSSVGRYSVIGAQPMMEIVAKENVVTIMDHRKGKRTEEIAEDPMAVPRRIMECWTPQLVDELPEAFCGGWVGFFSYDTVRYVEKKKLPFLNAPEDDRNLPDVHLGLYDDVIVFDHVEKKAFVIHWVHLGQFASVEEAYNDGMHRLDTLVSRLHSMVMPRLATGGSIKLSTSLFGPSLKNSTMSSDTYKEAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMTYLQARGCILVASSPEILTRVKKRRITNRPLAGTIRRGKTPEEDYMLENQLLHDEKQLAEHIMLVDLGRNDVGKVSKSGSVAIEKLMNVERYSHVMHISSTVTGELLDGLTNWDVLRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGDMDIALALRTMVFPTGMRRDTMYSYKDANQRREWVAHLQAGAGIVADSDPADEQRECENKAAGLARAIDLAESSFVEN >KZM93276 pep chromosome:ASM162521v1:5:4356132:4357699:1 gene:DCAR_016521 transcript:KZM93276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDSVSNSPSIAKDFAKKKKASRSAKLKQCKLDARRHQWLSQVKNKESKDEVNVVAGEIRVSPIHGCNERDRVTKLLEVNPRNDENDGSVNHYSDSDSSSHSPTSHSGSVVGSNCSGTSFTGSGNSTSSSRSSSSSGRSHSGNISEEENDDGCFSDWEAVADALAAADSKQQQEQLKPSQKSPLEHESITKVECPFESANSEALIVNSSKPKAEITRAWRPDDAFRPQSLPNLFKQHSFPTRLDRHGGSGGVAWACSNGISAPSSCPICCEDLDFTDTSFLPCSCGFRLCLFCHKRILEEDGRCPGCRKQYQHDGVRGEGNEDVKGSSSFRLIRSYSLMTRS >KZM95530 pep chromosome:ASM162521v1:5:33055657:33056877:-1 gene:DCAR_018772 transcript:KZM95530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRTIFRKQEVPHDGAMCELLWSDPEDTVDGWGLSPRGAGFLFGGSVVNAFNRTNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRERAFNRLVETVVMFKLMDFRIESRGAPARKPPPDYFL >KZM96104 pep chromosome:ASM162521v1:5:38300727:38308946:-1 gene:DCAR_019346 transcript:KZM96104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHDNGDAPSRFELLDIVKKHSVLLRKESVDDQDVSDVEKNHGFWHDVMELYFVTGKEARRRLDDDLIFFVRKTSMDGFEFIDSAEDDSPYFVRRWAPELNNLVEKNAVNVDWRCSFYLNLIAHSSFSVTVLICRTFAFSHQALQNYQSGETTSISPICKVVKTVYASPDREVETTPSYPDVCFAVDDFDSTFDAMVLTDVDHCFCVLLHAHGGAAFPSETISQDSSSSDNSSANSGNDTGKTKHPKVTLFSGFVSYQIVREASDAGKSGFSSLLSIGHTSGKTHKLHMKGPGGRGEVEVAISGVLDGSMQGIPSYSSAKSSKKKSRIRETVRKAAIVASATAKHVYAAGESQSSDKTHPLKCCLMSISLPWECIAYDLLFKEIEQRYTSEDGNKEM >KZM93542 pep chromosome:ASM162521v1:5:7601064:7603847:-1 gene:DCAR_016787 transcript:KZM93542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEHICINDLLEELGAGADASANFPSGGAFYGVFDGHGGIDAASFVRKNILKFILDDSHFPVCLDKAIRNAFLKADHAFADENHLDISSGTTALTALICGKLLVVANVGDCRAVLGRRGRAFELSKDHKPNCSSERTRIERLGGVIYDGYLNGQLSVSRAIGDWHMKGSKGSAVPLSAEPELQEIELAEEDEFLIIGCDGLWDVMSSQCAVTTARKELMLHNDPERCSRELVREAFKRNSCDNLTVIVVCFSTDPPPPIDVPHTEVHRSISADGLNLLKGVLGG >KZM94668 pep chromosome:ASM162521v1:5:24749510:24754000:1 gene:DCAR_017910 transcript:KZM94668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSIARATLGLTHPAQIDPLKISFAAKESDLAEWKGDILAVGVLEKDMAKDESSKFVNSILKKLDAQLGGLLAEASSEEDFTGKVGQSTILRLPGLGSKRVGLVGLGKGLPASYRSLGEAVASAAKASQANNVAIALASVEGLSAESKHSTASAIAAGMMTGTFEDTRFRSESKKPSIKSVDILGLGSGPELEKKLKYTEEVCTGVIFGKELVNAPANVLTPGVLAEEAKKIASLYSDVISAKILDVEQCKELKMGSYLGVAAAASENPAHFIHLCYKPPNGTVKSKLALVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVLGAAKAIGQIKPAGVEVHFIVAACENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGIFTPSDDLAKEVVEASEIAGEKLWRLPMEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVEWLHIDMAGPVWSDKKKAATGFGVSTLVEWVVKNSSS >KZM95631 pep chromosome:ASM162521v1:5:34004622:34012551:-1 gene:DCAR_018873 transcript:KZM95631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVIFSRPRQVKDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHELYGPELYKSRVLELNASDDRGINVVRTKIKNFAAVAVGTQRHGNYPCPPYKIIILDEADSMTEDAQAKCLAPNHGNILQSYKIFFHLQLHQQPLTDEIMNDRIAYICSAEGLDLDPEALSTLSSISQGDLRRAITYLQGAARLFGSSILSTDLVTVSGVIPEEVVQALYSTCKSGNFELAEKEVSNVIAEGYPVSQIISQLFDLIVGLDDVSDEQKARIFKKMGEADKCLIDGADEYLQLLDVASNAMRALCNMPLEFPSEF >KZM95845 pep chromosome:ASM162521v1:5:36190866:36198201:-1 gene:DCAR_019087 transcript:KZM95845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRHATVAAAVSVAAGGSYLALRSPSFSVNDRGGATAFEELRRKISDPSAVVPPRAAQVSSLAGATATKPLDVLVIGGGATGSGVALDAVSRGLRVGLVEREDFASGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALNERKQLIANAPHLCHALPCMTPCFSKFEVVYYWAGLKFYDLVAGKQLLHLSRYYSAKESSELFPTLAKKGKDRTLKGTIVYYDGQMNDSRVNVAIACSAALAGAAVLNHAEVVSFLKEDVSGRIIGARIRNNLSGDEFDTYAKVIVNAAGPFCDGVRNLADKDAKPIICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSQTPITMLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLALDPTAKNTESISRDHVVCEEFPGLVTITGGKWTTYRGMAQDAVDAAIKAGKLKPANDSLTHDLRLVGGEGWENSSFTELAQQYLRNKMSHGKVVPGEMDSVAARHLSHAYGTLAHRVAKIAQNENLGKRLAHGYPYLEAEVAYCAREEYCESAVDFLARRCRLAFLDTDAAFKALPRVIQILATEHKWDKSRQSKEVEKANEFLQTFKALKNAQFHDGKHN >KZM95023 pep chromosome:ASM162521v1:5:28047729:28048648:1 gene:DCAR_018265 transcript:KZM95023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDLHFLPLKSCKEIVRERQALARMVTYNNIVRKISNFNMDTIREEMMDELSLLEDLDAHGFKVQMLKDRLNKLLMFKSEKEKLKNMLEQRERVLSVHVEENRIFKGTRAKGEERVHELWKEVVFIQKKEKYIDAKKAYLQQDFDTHGFEVQMLKDRLNKLLMFKNEEEKVKNRGKGCSLYMSWRIACLKRQELRLMRGAGVRKEIELILKMEKNINAKKAFVQQAEGESSEKRGRINMQIEDLIASSL >KZM95444 pep chromosome:ASM162521v1:5:32180686:32183264:-1 gene:DCAR_018686 transcript:KZM95444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIKHMLVLLVCAWELEAATSEYNSTRIDTNTSNTIINGGVLAKTGCPSQCGDLKVPYPFGIISEDSKGPNCSINSWFDITCSTTTNPKAVIKLNDVDYEVFDISDSELRISNLGAETCYDLSGLLYTNIYTSLDLRNTSYTYSRANVLTVVGCDDWGDFYQADDEVSPTGCTTICRDKKEVKEGECSGAGCCQVSVNALKYYYVDLGTYRNHTDNISSFNPCGYAFLGEKKAFNFRGLSDLKDIGAFRTKIETTVPIVLDWVIDENRTCAQAAQHPDFACRYAHSYCIDGGKSSGGYRCSCNQGYDGNPYLSPGCKEPRLVQEGTLEQLEAAARLVKRCLSLSGKERPTMKEVTMEIERLRIFKRTPWANHHVNEEATRLLGRTDIQHSDLYKIQLSCYDNVGDDPDHYHSSTNSLLQPSTYSPR >KZM95884 pep chromosome:ASM162521v1:5:36492127:36492333:-1 gene:DCAR_019126 transcript:KZM95884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQLWTLGRIDDCIFDALLVLVHLRILNWIVVNSPRAMEQRIIPDLRRGIVLTVIFKSRRTGCDTMR >KZM95755 pep chromosome:ASM162521v1:5:35224008:35225295:-1 gene:DCAR_018997 transcript:KZM95755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSVEELVVKQRAKGPATVLAIGTAAPPNCYPQADYPDFYFRVTKSDHMTQLREKFKRMCNKSMIKTRYLHITEETLEDNPNMCNYSSPSLDARQEISRMAVPKLGKEAAEKAIKEWGHFKSDITHLIFCTSTGYDMPSADYQLPNLLGLNLSVKRHMIYLQGCFAGGTALRLAKDLAENNRGARVLIVCSENTTITFRGPHLDSLLPQALFSDGASSVIVGSDPDPLTERPLFQIVSAAQNILPDSEDTVRGKLGESGLMFFLKRNITTLFAGNMGKILKEVFEPLGITDWNSLFYITHPGGPAILNQVEHVLGLKEGKMWASRKVLSEYGNMAGACVFFVMDEMRKKSTKDGRATTGEGLDWGVVFGFGPGFTVETVVLHSMPVTIIH >KZM93306 pep chromosome:ASM162521v1:5:4638153:4639562:1 gene:DCAR_016551 transcript:KZM93306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCSEKKGIKKGPWTPEEDIILVSYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLLKSQGCVGDDHNETSGANSEASSSGSKGQWERRLQTDIHMAKQALCEALSLDKSPATSTTTTSTTATASPAASVQAPAPPNSSVAPPVQTSSASYASNTDNIARLLQGWMKRSPNSSTSSQDHQTNSSDMTTGHEYSNSINNNNNYHQIGSTSAAGYSSASEGQTPEHRIARGRGGMESLYNIYNNSSAKYSSPAEVSMDDAANFRMENNVNNGLFMLQDHDEDKQNVNNSASLYHHQQQLRQVPSLTLIEKWLLDDVTAATPRPGPDNLMMNIF >KZM94164 pep chromosome:ASM162521v1:5:17550000:17555191:-1 gene:DCAR_017409 transcript:KZM94164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFRAGGMSSGQRRSPRLSINHLKKRQASIDLGEKDNSPILDNMRRVPGAEPNTNVPVSQDVDDRVTPLKRLIENERAVMKKISERHGASHEKIECAGLTQHLNKKQNQMRGSERRPKQKRLVKTVCSKDAKKIRVLEYLYVSAVKNEGTTYAHLTFPIFKAWSDLLLRERQAHEAKTNSFGKGVIIDISGTIEEAEDVPSQAKTRQENEDEIIEDSVDYEYGVTTEIQETAQGGQDLGEHEKAELGLMHDNNRDSTMGVLNEEEIEATVMDKSMYTMTYENNKEGQEQSTQKRSALDVRMNKKVDKKGEDKGKTTKADRAELDNARPKRGKFPSAICRSPYVSRIVDISGYNITVEARNIWDWLFSNKRDKGDYLFQWKGRGCTKAHMRSLGENMMVETTVIDSWTCILNENEILRADTSPLRLFLTTETTYGPMQMEIGENDSNAKLNRQMAFDDNMEVVWQMVNEIHNKQYDITDFELHECFCHFLECYNIPKYSQLVRLQPEVVSMEWQSMDNNIDCDVFVMRHLETYMGNIFKWKSGLRRERENQKLLLNKLRVIYCHQLLSWVENEKRKNVVESVATYMRGKLMQK >KZM94468 pep chromosome:ASM162521v1:5:22772031:22778504:-1 gene:DCAR_017711 transcript:KZM94468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSTAAANNNGQTPAGGGLKTYFKTPEGRYKLHYEKTHPAALLHYAHGKTITQVTLAQLKDKPSATAAPTSSNLGVSSGVRSAAARFLGTGNGSRALSFVGGNGGSKSVSGSNRTGLYGASTSNNLPSNSNFDAKGTYLIFNVGDTVFISDLNSQDKDPIKSIHFSNSNPVCHAFDEDSKDGHDLLIGLASGDALKSYSMTHYLKLNLLTYQKLRCNSIAWVPSGDGTFIVAYADGNLYVYEKNKEGSGDPSFPIIKDQTQFSVAHARNSKNPIARWHICEGSVNSIAFSRDGAYIATVGRDGYLRIFDYKSEQLICGGKSYYGALLCCSWSLVKPAIWILFWQVLKVSGVAFDSYWSAPNTDGTGENVVYRFGSVGQDTQLLLWDLEMDEIVVPVRRPPGGSPTFSTGSQSSHWESGCPVGTLQPAPRMRDVPKLSPLVAHRVHTEPLSGLLFTPQSILTVCREGQIKIWMRPGFAESQTNSDTLLATSLKDKPLSTGKTSSYRQ >KZM95527 pep chromosome:ASM162521v1:5:33023675:33024644:-1 gene:DCAR_018769 transcript:KZM95527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQQSQFLTWPYFFQGKTMEELSQTLLVTTLELETTKLRAQEEMKMRDDQLMELRDMLEKTMRDRDEAQEICQKLLFDKLLLQQQQQQQQQMQLQQFHYQNQTAPHSGVSSIEDDPRTANFSSSDCEESIVSSPILDTIQEKDQDFFFPKDKPLPEKGKFLQAVMKAGPLLHTLLLAGPLPNWRHPPPPLDNYQIPPPPVTIPPPLPLPLPSPQQASQLPHQDPFMDITTFSNINNFQGLNKKRVFSEITDSCTDTKCQREKLSLIR >KZM93733 pep chromosome:ASM162521v1:5:9935319:9936689:-1 gene:DCAR_016978 transcript:KZM93733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDADVKVVMVPLLAQGHLGQLLHLSRLISSYNIPVHYVSTTTHIRQASSRHQGWDLLAYDNIYIHEFPIPAFQCPAPNPNAPNKFPTQLQPAFEASIYLREPVCNFLTSLSTTARKIIIIHDYFMAAVVQDSISLPNVDKYVFQSTSAFFNYSYIWEIAGRPSSDDDHILQLLHSLEVYVTPEISAFWEKQQIHLKNSSGELYNTTRAIEGHYFDMLQKYQADRKHWAIGPFNPVDICQKQDQLRHKCLQWLDNQALNSVIYVSFGTTTTLTDEQIHAIALGLENSGQKFVWVLRDADKGDIFSGDVRKYDLPTRYEDRISETRQGMIVRDWAPQLDILAHASTGGFMSHCGWNSCLESLTMGVPMVTWPMHSDQPRNALLITKVLKLGIDVKEWTQENDLVESFHIENAVKKLIASNEGDEMRKRAAELRNEIKKSVAEGLELDSFIDHITSS >KZM96114 pep chromosome:ASM162521v1:5:38395594:38396530:-1 gene:DCAR_019356 transcript:KZM96114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYFNKTKWPEKAPKSEEEQKDFIASLHKRKTELFMVLIEKKLLPLRPGVTNFQNNIWCSDSTQTSKPWSKEFNSSTRYVNARAEAATEAHKFTAQKKFCNVSVQIHPHQVTLQTWGYKENTPSCYIERMIQPSKK >KZM94646 pep chromosome:ASM162521v1:5:24560162:24564051:-1 gene:DCAR_017889 transcript:KZM94646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQLKQSSWPKQVVRKWLNIQSGADEFYSDHSVKGMPETRRDGRTSYSGENSCAIVPEEFSEEWVIGSKDESMDISRFSPEMPRVTDPLNLRMAVGTWNVGGLSPKEDMDLNHWLTTHALADIYVLGFQEIVPLNAGNVLGAEDTSPAAKWLSIIRQALNSQNVDPQSPDCYDCTSDAKFSQAEFLRHSSSSGEDLSSSPRFSMGLDCPLENGYTLAASKQMVGLFLCIWVRSDLYSHISNLKVSCVGRGIMGYLGNKLKREQRAGRIFEGWEEGKINFPPTYKYLTNSDEYVGQTSISKEKQRTPAWCDRILWKGEGLKQIWYERVESKFSDHRPVCSLFSVKMNVPDQFELHNTSNKPCGLLLPQDMLLLNGAQTCIKSTHQFAVIAS >KZM94308 pep chromosome:ASM162521v1:5:20807657:20808754:-1 gene:DCAR_017551 transcript:KZM94308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALTKIIRTYQETCRLAVLQHWHLQASAHYGCVPSSVTPKGITEEKSPTMQDKGLENTTVAEVLMTKGEEKVGSWIWCRADDTVHDAVKQMAQNNIGSLVVLKPGDSQLIAGIFTERDYLRKMIAQERPAKYTKVMEVMTGQNKLIAVTSDTNILQAMQLMTDNQIRHIPVIDGRIVGMISIVDVVRAVVGQQTGEMKRLNEFIKGDYY >KZM94816 pep chromosome:ASM162521v1:5:26198309:26198644:1 gene:DCAR_018058 transcript:KZM94816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTQMNLLQLTNENASMEVRIKMLQAENSILEHKIKLMEIQQKHDEAGVTVLKNHIEERRAFNRLLRDDSNFSPSEMERHEKIREEIINEHEAKKRAKISPNVDEQEKK >KZM93092 pep chromosome:ASM162521v1:5:2312754:2314457:-1 gene:DCAR_016337 transcript:KZM93092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFITPHDFGACFHYQEEKAIERGILTLLQRATLVQTFGQRNHVCLKDGSITVPPYAPPQKMQSHLIPPNTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKNNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVYVPEKDVPNLDTMLTSISPEVILKKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHDRSVFLKPGEKILNWTAGPVGDLKPW >KZM94733 pep chromosome:ASM162521v1:5:25352240:25355644:1 gene:DCAR_017975 transcript:KZM94733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSISSHENYHHIDSDEYEYQNDNWLGFSLFQPFHADPQSVEHDGESTDLSMLTLGSPKLEDFLGGCADESGAQAVCARSNKARKVEHNSSASPSCARPGSPEDSQKQLVVVKPQDQAPAKKAIESFGQRTSIYRGVTRILFHDRIDGRVDMKLICGTTVAEEKAKAEKEDKVSSYEKELEEMKNMTRQEFVASLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDMSRYDVNSIATSNLPVGGTTTKSKSKSSSNPSGSLKSASRNQSTQLDHCDLSMSSSSITFGMPVKQDSSDYWPVIAYNQQSYNSTGTEGLYNQSTAFCMDFNNDAAYFSTGGGGSYINNLQQQQSSVDGGSSSSISFSTPMDLNIINPQKQNSADGGASSSISFAMPMDLNSNINDNTPYYGGSEGNFYDWNIPFSSARASNLSVYQSPIYGME >KZM93249 pep chromosome:ASM162521v1:5:4043246:4046887:-1 gene:DCAR_016494 transcript:KZM93249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTIKNIRAASLSERDLVAKKLLNKIPPTDGSAPAELQSLLGKEYVFKLSRNKYNTVDGRRNYGVSAVYVPLQELESAYAEKLSTNKGEFQQLMTTWMLSPMNARESLNLIFKMLFRAGPCTTLKALLGLVFVACLLFGLASSEEQDVVTALVEFMEKLSPGNAQRGMNWGWNDTSDPCADKWEGITCDKNLQFVKKIILDEYNLTGVLDTESLCQTSTLLVLSLVRNNIGGDLGEDISGCKNLTHLYLSENRFSGSLPGSVSKLSNLKRLNVSYNSFTGELPDMSKVTGLLSYLAENNQFSGGIPQLEFSNLVDFNVSDNNLTGPIPDAAGRLSNNSFSGNPGLCGKPLLNVCPTVSPPPGKGSSQYLMYSGYAVLALIVVLLVAFVVVKRMKSKKKKVKVSPRVVRRDDSDTTSTNFRHGGTRSEFSLTSAETGDTTSSLVVVSTPMVNGMRFDDLLRAPAELLGRGRHGSLYKVKPDAGVTLVVKRIKDWSIPKDDFKKKMQRVGQVIHPNVLPVVAYYSSKEEKLLVYEYQQNGSLFRILHGSQNGQMFNWGSRLNLAASISAALAFMHEELGFDGIAHGNLKSSNIMLNEDMEACLSEYGLMEVPNQTRSKTSNAKADNPDAAPAYTLKDDINSFGEILLELLTGQQVQNKGPDVARWAHSVAKEEWMVQVFDESLTSEGASEERIVNLFQVALKCINALPDSRPSMKLIAEMINSIKEEEERSISC >KZM94843 pep chromosome:ASM162521v1:5:26400833:26402023:1 gene:DCAR_018085 transcript:KZM94843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKGAKQSRISKQFSPVSCDSHLQTLRESAVKVASSEDLLIQILLKVPIKALMGFKCVSKQWSSLITNPHFVHLRSPLPSASSLFFISSTRKRKNPDYQFIPLDVGDEWRTPFKILDFIHDPLGSGISVLQSCNGLLLCASFRAHELSRRYYVYNPTTKQFAILPQIGSQYAEHVCGMNLAFDPVRSPYYKVVCVRRVGNLFQIEIYSSETQLWRVSGKPFTAPEYTEFQNCIYWNGSVHWWSGSFHWSNGIIYGGHWRDVPYTLYFKVDEESLERLPSPKKPNYLVPKYVGESEGHLHLVEFKYTILLDVYEMARDYSGWFVKYQLDLYAISNVYPEIIRENKTYAYHIISLLRKGKEEEEDGSFLVLEIVGGKTVRYNLVDKSVEKLWEFAPG >KZM96529 pep chromosome:ASM162521v1:5:41670809:41671375:1 gene:DCAR_019771 transcript:KZM96529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDLFNQPKQIPDSSLAPSESSNRDKLDLSTTTSSSSRSFSCRYCSRKFSTSQALGGHQNAHKQVRNDEKRGHHSGYHDIKNYNVLPSLEHLNYYHPYSTYQPHHSPLYGHNVPSWPGVTPYEYKPRMNDSQHSTSLFDRESGLRDFLGGGSSLQPKHNVDSGKRKFTRVGRGQEEDASELDLNLKL >KZM94439 pep chromosome:ASM162521v1:5:22335372:22342633:-1 gene:DCAR_017682 transcript:KZM94439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHPSILILRPTRLFFLKPSLSLLNRTLSINPQRFSVRCNSSITTESLNSDTNETGRSGSRSAPPAAGTQQKIDVNPPRGTRDFPPDEMRLRTWLFNNFREVSRMYGFEEVDFPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPVKWFAVGQCWRYERMTRGRRREHYQWNMDIIGAEAELISSVVTFFKQIGITASDVGFKISSRKVLQEVLNCYSISEALFGKVCIIIDKMGKIPIEEIKKELALVQLPGEAIEELLQVLSMKCLTELEEKLGAAGEAVSDLKQLFSLADKYGFSEWIQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDQLLSTFGGDDIPACGFGFGDAVIVELLKEKGILPEISPHVENIVCSLDRDLQGVAAAIATRLRGRGQSVDLVLENKPLKWVFKRAKRVNAARLVFVGADEWQKGMVGVKNLSTGEQYEINVDELE >KZM95005 pep chromosome:ASM162521v1:5:27843442:27852661:-1 gene:DCAR_018247 transcript:KZM95005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDGKTPPAIAGAAKIQYRMAKTSVWWDIENCQVPRGFDVYGIAQNISSALAKMSYCGPISISAYGDILRIPKPVQEALNSTGISLNHVPAGVKDASDKKILVDMLLWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPPRASAALVAAAESVWLWATLLTGGYPLATGGFLQLGNNSNILHSAASQGLGRDASLSNKTAGSYSENIPSSNHRFIGPAVAGAENQPLITRALSVDTAQPENAPVRQIMSAPHEFFGVNKPVMPASISQPTQQLSFNMIDISSFPTSEVPSKISSISKSKLDNSMGKAPQCRKPSDYIEGLIGVVLLALHHLKLEKLIPSEANIKDCIRYGELKFRNIDVEKAINFAVEHQMIVKQSQGAVSLYVGRIERLWECVDTSGGNQYQYSKATWDGIQKFLCSSAGHSAMMASECRYQAGLILKTLCLKDLSLGEEFVTEVLQMIELEDIQDSIVGIPGVSGISFEQRKRLTIAVELVSNPSILFMDEPTSGLDARAAAIVMRVVKNIVRTKRTVVCTIHQPSIDIFEAFDELILMKRGGQIIYSGELGQHSSKLIEYFENIPGVPKIQENYNPATWMLEVSSLTAEARHEIDLSKLYKESSLCWSYSMGKRKRNVIVEIPYIFLQSCIFIIITYPAIGFYWSVAKGFWYLYTMFCTMLYYTYIGMMFVSMTRSFQVASVTSSFFNTMTALLSGFLIPEPQMPKWWVWCYWILPTSWSLRSFITTQYGDVDKEIGVLGEKKATNAFLESYYGYRSGDTCLVAIVLAAFPFAFASLFIYFSAKLNFQRR >KZM95044 pep chromosome:ASM162521v1:5:28205914:28209380:1 gene:DCAR_018286 transcript:KZM95044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHDTAETSTTDHLAWPFGSPIDGLDPDDLSMAAYEIFFTSCRSSPGFGGRTTLSFHSSSTDFDKPGSPVKGSGVGMAVTSRVKRALGLKMMRRSLTSRRTNSWGSYPDSPRASPRAHDGSGSPVFSHSLTQPRQRRPLTSAEIMRQQMRVTEHSDNRLRKTLMRTLVGQMGRRAETIILPLELLRHLKPAEFNNPVEYHVWQKRQLKLLEAGLLLYPSSPVEKTNEFAIRLRETIHSCESKPLDTGKNSDQMKTLCNDVVALAWRSPDGSATDVCHWADGYPFNIRLYTALLHSIFDLKDNTCVLDEVDELLELMKKTWSTLGIDRSIHNLCFTWVLFEQYIETGKVETDLLGASLAMLAEVANDAKRVDRQPIYVKMLGQALNSMKKWCDKRMLDYHANIDMEDTGLMESILPLVSSATRILEEDVPGYTATSQENDDGTSSEFSGNRVDMYIRSSLRHAFTKMLDDGNVNVDVVEVEEAGETLLQIAQATEELAILEKENYSHVLKKWHPIPAGVAAVTLHTCYGTLLKQYLSDSCSLTHETLKVLQRAGKLEKALVQMVVEDSVESEDGGKTIVREMEPYEVESIILKSVKHWVQERLKVGNELLQKSKETETWNPKSKNEPYAQSAADLVKHTKIALDEFFEIPIPVPEDLVNDIAEGLENLLQEYTKFVSSCGSKQSYIPTLPPLTRCNRDSKFFKLWKKAAPCTAPTDNPFGTGSTLPGEEGNPRPSTSRGTQRLYIRLNTLHYLLSQLHIFDKILTLSPKIVPSPNNRFTNRSHQLGNSYFDETRTAIQSATQHVSEVAAYRLIFLDSNSAFYGSVYVHDVENARIKPAVKILKQNLTLLGAIVTERIQPLAIKEVMKASFDVFLMILLAGGGSRAFNRQEHPMIEEDFDSLKKVFSTVGEGLLAEDVVDKEAETVEGVVALMGQSTEQLVEDFSIVSTETNGASPAGAGQKLPIPPTTGRWSRADPNTILRVLCHRNDQAANNYLKRTFQLSKRR >KZM93614 pep chromosome:ASM162521v1:5:8447429:8449485:1 gene:DCAR_016859 transcript:KZM93614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHQHYHANNMQPTSRMSITPERHLFLQGGHAAGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNLHGQANNGANKIVATVAPAGERMSDSPGPHISTSDQGPQTNKNLHIGDAIQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQTVLEKAQDTLGKQNLGTVGLEAAKVQLSELVSNVSTKSLDSAFSGMIEVPTFYPQQIQAKQPTDCSIQSCLTSYEVSQQGQEIQNRWSKDIKENRNFMSPIVQNTDKAYEMQLHASDLSMSVGVKEKMEERDIDANLFYERTNPVKQEDRKSRQGFQISNSAPQLDLNIHDDSDAASNCKHFDLNGFSWS >KZM94243 pep chromosome:ASM162521v1:5:19887725:19891885:-1 gene:DCAR_017486 transcript:KZM94243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFKFQITNGRFRLCTLCSDETGSIAIIFPDCEITRMIEKTVIDLHADCLEEADEDKFPEMLNWFLKQKYTMNLYINDDNIENGSTVYNAKQILQAQEKGDSFDPNKPVVVEAEDVSMANVSETQETAHPTPNTGNYTNTKSRARKITEPLAYNPTDTSAIRPEKNVKVEKVGNEDHKIKVRIMRLWRGSTKTGEEFKNFNLILLDHKGQRIHAFVPTKCADDIHNKITVGRIFSIKNFAVQLYNQTDKFRFLRLDKQLVFSKDTNIQELADDGVSIPQDAFDFYDHSQLEELSNQTRFLAEVVGIIKNYDKVRELTNRHGQKQKQAKFIISDGSSAVNVTFWDNFGVSFDEMMTAPVQKPVIIIISGCKGEVDISNTPATKIYLNHKHHSVTHLRRLLLNPEFAKRALSDHKVRRVAITKVVTVAELKSLGITAIEFKSIDKSMGWSYNACTSCEKETKNEVPCHICESCNRFVPYPQRMFKMHFVAEDSTGQIQVVLADREVRTIIGRRALDLAAEMKDGNAIPESFLSTLNKDYNVVVQIREYNIAHNFNVYWATNICNGFVDIPKDASAVVPNQEQQTSQATSSTYNAQGISDITLTST >KZM94720 pep chromosome:ASM162521v1:5:25283266:25283772:1 gene:DCAR_017962 transcript:KZM94720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVDSEYQMAGEYSDYDDDYSGEQHYALVDYGTTDPETNSKSEGYSGEQHHALGNYGTSYSKSLTQSGGKGVNVYQQTYRAKNEDKQTGSYKRFTAKDKAVAGEPFVDRCGNRGHKDEHTTSATYKVGDKRGYTEYYREERVKHVDFDKRSRSNNKAVGSYRKYQKY >KZM96201 pep chromosome:ASM162521v1:5:39060327:39063072:1 gene:DCAR_019443 transcript:KZM96201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPPHHFQAQQQQSNTFRDVYNINGQISPPVTFFNGQDHSHHPPYIPPWLAPGPVPTPDVSNDLQWNYGFEPEKKRPKEQDFLENNNSQISSIDFLQPRSVSTGLGLSLDNGRVNSSGDSPLLALIGDDVELQLQRYDAEMDKYIKLQGDRLRHSVLEKVHANQLQAISYIEEKFLQKFREKDAEVENINKKNAELESHIEQLAMEANAWQQRAKYNESMINTLSFNLQQVYAKSRDSKEGCGDSEVDDTASCCNGRPALNFHLLGKDTNEMKALMTCKVCRVNEVLDMFSGKCLSGWQE >KZM94040 pep chromosome:ASM162521v1:5:14189854:14190252:-1 gene:DCAR_017285 transcript:KZM94040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRRRGGDRWEADRNCYVERRLFERRVALPAQEKCMISRAWRREWLFGEECMNFRAQRKGEVARAYVEELPLQRVARGNEKEELPFHRVARGNEKEELPFQRAPQAIKCKAKDEEKKQIISLVSICPLISA >KZM96039 pep chromosome:ASM162521v1:5:37633391:37633870:-1 gene:DCAR_019281 transcript:KZM96039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNNVQTGRQGAGPSGVGSSQNQPGIVNQDAAAAAFFGAGFPSYCAAPGGAFVDYNAEYAGGVYAGYGAASAGGSFSNYGASAVSAGGSFSNYGAASAASAGGSFSNYGTAYDASAAGYFGGHDAVDALAAASPGSSSQSYKGSKRHTEQQVAAMNE >KZM94752 pep chromosome:ASM162521v1:5:25515418:25515957:1 gene:DCAR_017994 transcript:KZM94752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVDQAFSITDDDLMMDDHSFSYTATNRPPIKEIAFAVSLLVFGILVIVSGFFMSTYQVGGDHAHGVFFSILGSIMFIPGCYYTWVAYSAYKGYKRFSSSDNLPV >KZM94153 pep chromosome:ASM162521v1:5:17321146:17321436:1 gene:DCAR_017398 transcript:KZM94153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHRLAQGSQNTMDSEIGNASSAVFMPTPGSGAHFRTGGTPSADHPSTSKNKEKGPVKAFIAPRKN >KZM95742 pep chromosome:ASM162521v1:5:35109668:35112605:-1 gene:DCAR_018984 transcript:KZM95742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFPVSANWGHPSAHRHMYTRNDVNRRHLMNSVPNNPAMYHLQPPQPQPHPHFEEPSQPQPLQDQFWIPFQPEPFPEYGVPFQPEPYQDQFGIPFQPEPSQVLGIPAQWVPLYYGAPPQWHPYQPLQPQPHQAPQPQPLEHFEMPQQPQIEMPRDPGEDVLVLNPNVSLFTAKTYIRWTGELEERFSRAVQELGGPFRARPKAILKKMKVQGLEHDHIKSHLQKVRSKARPKLVDQEPAVVQSSSDNAQLPPGEAASDTGLNDCIIFLNATCANSNVDVQSFFEDIEYYGRLLGSSETPSFMQFLGAAQPDHPQHQEDGVAQLDPPQNQEDGVTQHQEEGVAQPDHQEDGIAEPDHPQHQEDGE >KZM93763 pep chromosome:ASM162521v1:5:10530938:10533125:-1 gene:DCAR_017008 transcript:KZM93763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVFLVGKDSNGLLATAIARENGTISEDNLRNAFSATEDGFLSLVRRTIEIKPLMASIGSCCLVGVIWKGILYIANLGDSRAVIGSVSRSNKIVAEQLTRDHNASTEEVRNELISAHPEDSHILVMKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLPEPIRSPVLRSDPSICTRELQPNDKFIIFASDGLWEHMSNQEAAEIVHNNPRAGIASRLLTTALHEAARKRQMRLMEMPTPLKEENMISLILFQNILNGILELFGGRGSMTLVVAHN >KZM95408 pep chromosome:ASM162521v1:5:31891592:31892468:1 gene:DCAR_018650 transcript:KZM95408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAYDFGHSSLLKHLTDISQRYNDAAVDNRVLKANIETITAKLPKRRRWLKRLLPSYSGGPSDTSADTIQDVLKQHFYQPAPMSHLHAQDQRAQNGLLLVPPVNNSQKHSASGIVEGNKIERTSSMQRVASLEHLQKGIRGVVSSSETLASGKQ >KZM94315 pep chromosome:ASM162521v1:5:20891994:20892848:1 gene:DCAR_017558 transcript:KZM94315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKSSNGGGDSAAGMGMEPSREEGSKNIGETLLRLLPIALCLSALVIMLKNSQSNDFGSLSYSDLGAFRYLVHANGVCAGYSLLSAIVSAVPRPLTMPRAWTFFVLDQVFTYIILGAGAVSTEVMYLAYEGNAAITWSSACGSFGLFCHKATSALALTFGVVICYAVLSLISSYKLFSKYDAPVNTSSKGIEITPAFYA >KZM95371 pep chromosome:ASM162521v1:5:31544969:31545172:-1 gene:DCAR_018613 transcript:KZM95371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMSYGLAEVYVMRKLQKDKMSKTGARVKKELTREDAVDYKKKNVDSGCFSMVLKKIHPNINSSSE >KZM94658 pep chromosome:ASM162521v1:5:24646923:24650193:1 gene:DCAR_017900 transcript:KZM94658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAGEPVRVQTLSQITKASRIPSQYIQPPETRPDPHTSQITLPSISLSSHRDSAALRREIGEACRDWGAFHVIDHGVPVNLLSDMRNNGRSFFEDKELEEKMKYSCDPNLPASEGYGSRMLVESNDTVLDWRDYFDHHTMPVSRRNPSKWPDSPSNYREVVAEYSDHMHALAQKLLCLISESLGLPSSCIEEAAGEFYQNITVSYYPPCPQPELALGLQSHSDMGAITLLIQDDVGGLQVLKDGEWVTVHPVSDAIVVILADQTEVITNGQYRSAIHRAITNANRARLSVATFHDPAKTKTISPVIHPPRYKQVLYGDYVSLWYTKGPDGKRNIDALQI >KZM96469 pep chromosome:ASM162521v1:5:41194952:41196342:-1 gene:DCAR_019711 transcript:KZM96469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGRETHAADGLYHDWRTDKNALVSPVLSDEICIWIKSTAHSPQEIMIHMPGLLCDENFQLLCPPNLTPVNKKLAFGHQMPDRIVEYVVGYEDVDTNDDEYWQHYENLNARYDKNFAKGVCAVEKMPGISVFTHGQCCKSGYQSTWS >KZM94944 pep chromosome:ASM162521v1:5:27267671:27268714:-1 gene:DCAR_018186 transcript:KZM94944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPERSGGESTVAEAARSLPTPFLNKTYQLVDDGAIDDIISWNEDGSTFGFRKVVPDRWEFSNEYFRRGEKHLLSDIQRRKLANLTVSASPPAAPAPVVTIAAPPILPIWTTAADSGDEQAISSNSSPAIIPRDSNCSSSELIGENEKLKRENLQLNNELSQMKKLCNNIYVMMSGYSNNNQAESSCKPLDLMPLKRLCDDRTAENGRNEVEEERLRLFGVPIGNKRVKENEEMVKCEPLDEDNSGEGVDNQDKRWVGPCQNRRISFDD >KZM95235 pep chromosome:ASM162521v1:5:30435495:30435893:1 gene:DCAR_018477 transcript:KZM95235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSRGGSKELKEGKDEVRYRGVRRRPWGKFAAEIRDSTKQGQRLWLGTFVTAEEAARAYDKAAYSMRGALAVLNFPDEYPPTLCSSSAAATVSSSAAASSSGGRGKEVFEFECMDDQLLEDLLQFDEYKKH >KZM92932 pep chromosome:ASM162521v1:5:960718:961713:-1 gene:DCAR_016177 transcript:KZM92932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNLGSRQGFQDFACSQQLWLSGEIKERDQGFVIMEGEIYDANSSRTSPSSSSSVGEDSSALDGSLTSLDTEDDASSASGNSSGSLFDLTDLIPQLPLKKGLSKFYQGKSQSFTNLSRVKSIEDLPKIRSPYNNRKKLMKSSMSYGGGLNNFKLHTLPKPVISKNISRGYGSSSFTDIRKSYQHNSNQGPVGFSKEQMI >KZM94622 pep chromosome:ASM162521v1:5:24382882:24383535:1 gene:DCAR_017865 transcript:KZM94622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLVTSVLVPQPTLPINVENLDVFGFGCMGFDFKIIRIVQSGETGVEVYRSLEGRWSQINVAWPVFSNNIVALMDVPLVPLKISTGVSTIRIGKKLYWLVKEHALDLVRGVISFDLDNESFEVVKTFSSQDVNEGSGLKLGLFKGHLVVAKDNERGGFDLCSLGSGKWKRCSGSYPLQPAYVLGPDHQIVGPFPHMETLILNSKRMPSVIAAILS >KZM95323 pep chromosome:ASM162521v1:5:31164006:31166916:-1 gene:DCAR_018565 transcript:KZM95323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSYNGSESMISVGDVPSSSDRVLRLLHEIRDDISEQNAVRNELWVTFPRQEQAISYQKEHSNVFIFSYQDHVNGQRRFVVSSYKEFWRRYKNMNPKYRHHYEVIQEGLPCHLYFDLEFNKIENSNNNGEEMVDILLSLVFDFMNEKYSIEGDKECVVELDSSTEEKFSRHIIICFPNTAFKNNRHAGAFVGEICSRIQNERGRDGRFEKLFILKDRNSSHVNKEVFIDRAVYSRNRCFRLPLSSKARKTSILVPTGRFKCRLMSEEDMFMASLICKVDVDVQKILICEMDIGCSNTLQFATQVHVNFHKDYGVPRNLLSNSCIIDSSRIFQTGRSLFPLLDMFVESVASLGNISGKIHSWHWFSEYGIMVYNMSKNRYCERIGRQHKSNHVDLYVVVIYVVDLRRASYYQKCHDPDCRGYRSPLRPVPEEIVPDTTVFFEGVKRHKIYENNVDNKTIDSVDSCLKDGWWLEAVKFAEKVEKKTLDFDVVS >KZM94638 pep chromosome:ASM162521v1:5:24519821:24520132:-1 gene:DCAR_017881 transcript:KZM94638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KZM96001 pep chromosome:ASM162521v1:5:37344698:37345487:-1 gene:DCAR_019243 transcript:KZM96001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEGVECNQYTFPSVFTACAALSACGFGAQVHGCVVRGGFETNVFVESSLVDMYAKCGDFRSAKKALELRETDDVISWNSMIVGCVRHGLKEEALLMFKNMHSKDMEIDDYTFPSVLNSLASMNYERYAKSVHSMIVKTGFHAYKLVGNALMDMYAKRNDLGSAFKVFNSMTDKDVVSWTSLVTGHAHNGAPEEALKLFCGMRIAGIEPDQVVISSVLSSCAELTTLKFGQQLCMQNVGI >KZM94233 pep chromosome:ASM162521v1:5:19707025:19709253:-1 gene:DCAR_017476 transcript:KZM94233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKQPYRQLAPIKSRFQRICVFCGSSPGKNPSYQLAAIQLGNQLQRVNSHICQKAITSHMYRKVFASFVWIGTGIGPIHLRIIPKTLMPKEITGETVGEVRPVAGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHNKPVGLLNVEGYYNSLLSFIDKAVDEGFIAPSARRIIISAPTALDLMSKLEDYVPEHNEAASKLSWEMEQQLGYTTKPDIAR >KZM94623 pep chromosome:ASM162521v1:5:24384163:24384387:-1 gene:DCAR_017866 transcript:KZM94623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEGMRVALGAAVVLNYTLQGLFHPARKVRQVYWKFYNSLYIGAQDALVAAYPRLDDEERNIYSRTELSMFV >KZM92893 pep chromosome:ASM162521v1:5:604197:604484:-1 gene:DCAR_016138 transcript:KZM92893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHTKAIRFGIMGCAKAATKVSRAIKMSPNSTLYAIASRSLEKAQQFATENGYSDQQVVIYGSYSELLDDPLVDAVYMPLPTSLHLEWAVLAAV >KZM96532 pep chromosome:ASM162521v1:5:41686847:41688751:1 gene:DCAR_019774 transcript:KZM96532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLCDYCGVLRAMVYCESDSAKLCLRCDTSVHSANLLSCRHQRSLVCNRCNCQPATVRCMDDKISFCQGCDWSGCSGSGHRLQKLNSYTGSSIYQLSNSCTSLLELPYPSACDSSFTPMAGSLMVNESSINSCLVHSPNECSSVLVDDNTNRINELSSSIKFEDIVDPSTGVPLTSSYVPECNNDQPSFLPAEEGSNLPKDMILGEGDDPCRGVDLDVALNFECGYEMPGCSQDQPKYQRENEGLDCFGLEKLLQVAESNNQIGSTSETASSIQPEGITFQSPQVNGSASLMQAMSGSASSMLMNPSCIRNISFPFPTGPLSLPSITEESKVTDYQDCGLSPAFLAGESSWESTLETSSPQARDKAKMRYNEKKKTRMYNFLPLTVMHKFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLGKRIL >KZM96354 pep chromosome:ASM162521v1:5:40359866:40364859:-1 gene:DCAR_019596 transcript:KZM96354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKKLEKLASIDAQLRLLVPGKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKRDPKKLEELGQVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSATTESDIEETLKRLVGELNKSPEEVFDALKNQTIDLVFTAHPTQSVRRSLLQKHGRIRDCLAQLYTKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIKERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANVYFSQIEDLMFEMSMWRCSDELRVRAEELHRSSKRDAKHYIEFWKQVPASEPYRVILGDVRDKLYHTRERSRHLLSHETSDIAEEATYTNVEQFLEPLELCYRSLCACGDQPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITNHLEIGSYREWSEERRQEWLLAELTGKRPLFGADLPKTEEIADVLDTLHVIAELPSDCFGAYIISMATSPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELINVAKKYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTVHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPISPKPEWRALMDEMAVVATEEYRKVVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKYIIQKDSKNLPMLQDMYKKWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSEDLWSFGEQLRSNYEETKSLLLKIAGHREILEGDPTLKQRLRLRDSYITTLNVCQAYTLKRIRDPSYNVKFRPHISKEYMESKTSSDLVDLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >KZM93178 pep chromosome:ASM162521v1:5:3174404:3174739:-1 gene:DCAR_016423 transcript:KZM93178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSKQELMQHKRNICPVKGCGKKFFSHKYLVQHRRVHVDDRPLQCPWKGCKMTFKWAWARTEHIRVHTGVRPYICNEDGCGQTFRFVSDFSRHKRKTGHSTKTTKKANK >KZM94295 pep chromosome:ASM162521v1:5:20715833:20719253:-1 gene:DCAR_017538 transcript:KZM94295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLANLRAIPKHDWKVRVRVSRCWKRNIANAEITGMGFVVVDQNGSRKMGLIRTGLMNGLENQFVEGRIVDIVNFVVRPYTESSVNRCFLDDNFIFLTSITNVLPVDEIVPNFPIHVFGCTPINMIADFDVHETCLIDVLGFVHNVQGINRFINRKNVEHSFLNLVLSSTEEKRIPTTIAASSMKRAKAQEKYPEGNMWSRQSSSQTSSETEWLEPQKQKTNARSFTLKVDIAEDKFDKLGEKIEHLSVAIERLIEVIQLSQYSWKDNAED >KZM95640 pep chromosome:ASM162521v1:5:34067212:34068530:-1 gene:DCAR_018882 transcript:KZM95640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMRKGPWTEQEDVHLVLYVNLYGDRRWDFIAKVSGLKRTGKSCRLRWVNYLHPGLKHGKMSCHEERLVLELHNKWGNRWSRIARKLPGRTDNEIKNYWRTYMRKKGQEKKRANLSSPSTSVSYNSSYSSSCTVNSMKIKDTNERNFFDTGGVAAKGLVSDAEEIKKGDYSMDEIWNDIVSSDDDAITPVFSALASPIWDYCPDSLWITDQEKDTNMTVPTSEPFHSLFGQEDIAFFAG >KZM94572 pep chromosome:ASM162521v1:5:23718910:23720367:-1 gene:DCAR_017815 transcript:KZM94572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGERYWEWIRSVDARLSVLRSRGSTCRVPSKLLAVKPEAYTPQIVAIGPFHRNKPELQAMEELKWRYMLGFIDRVVKSNKELIDITKLDGVSGENYHQISALNKCSKVVAELEKEARAWFAEDINLDKPQLVEVLLLDGCFILELCFRCIRILVKQGMSDSDTTSSVISPVFLEDNFLLGPLDDDNFAMVNILRNDLMLIENQIPYVILQQLFDIIPSSDKPSSEMSSKKLQDYIFLLFSSVSMFNYEIIKFNVKNMDGEYYHLLDMLYQVSGYPKKLPTSYSNRVWGFTRCAKELFTSGFQIVCGNRRSIADIKFKEGEISIPQVTLDKSCDIVLRNLIALEHTGTGRHTITSYVKLMSTLIRSKEDAYLLEWIGIIKKSNEIEDVSEFFKSLCRGVDYVEFYFADVCQKVEDYQVPMWSWRRAKGYSIIKYVEWKESIEYLNRDYFHDRWSFIAFLAASLVILLTFLQTFYTIRAYYPPYH >KZM95828 pep chromosome:ASM162521v1:5:35941165:35959399:-1 gene:DCAR_019070 transcript:KZM95828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVCEGKDFSFPAQEESILSFWADTNAFETQLAKTAHLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMTGHHVTRRFGWDCHGLPVEHEIDLKLGIKTREDVLKMGIGEYNEECRKIVTRYVGEWEKVITRTGRWIDFKNDYKTMDLNFMESVWWVFSQLHQKGLVYRGFKCENRKCGMYRVFGSLVGNVITGGTVMPYSTGCKTPLSNFEANSNYKASFLNFCGICIPFAVQEVPDPEIMVTFPIVDDSDGAAFVAWTTTPWTLPSNLALCVNANFVYLKVRNKVTGKIYVVAETRLAELPVEKAKKSAPNGAVNDSKTVDSKSKGSSGGKSKSTTDTYEVLDKFSGASLVGTKYVPLFDYFKDFADVAFKVVADDYVTSDSGSGIVHCAPAFGEDDYRVCLSNQIITKGESLVVAVDDDGCFTDRITDFKGRYVKEADKDIILAVKEKGRLVKSGSFTHSYPFCWRSDTPLIYRAVPSWFVAVEKLKDQLLENNKGTYWVPDFVKEKRFHNWLENARDWAVSRSRFWGTPLPVWASEDGQEIVVIGSVEELERLSGTEVKDLHRHKIDHITIPSKKNGVLRRVEDVFDCWFESGSMPYAYLHYPFENVELFENNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKRLKNYPSPMEVINDYGADALRLYIINSPVVRAEPLRFKKEGVHGVVKDVFLPWYNAYRFLVQNTKRLEVEGLPQFTPSDKAILQKSSNVLDQWINSATQSLVQFVRQEMDAYRLYTVVPYLLKYLDNLTNIYVRFNRKRLKGQRPTTEEDCRTALSTLYHVLLTSCKAMAPFTPFFTEVLYRNLRKVSSGAEESIHYCTFPEVEGMRGERLEQSVNRMMTIIDLARNIRERNSKPLKTPLREMIVVHPDAEFLDDIAGKLKEYVLEELNVQSVIPCNDPLKYASLRAEPDFSVLGKRLGKSMGMVSKEVKAMSQEDILAFEKSGELTVGTHCLKLTDIKIVRAFKRPADRTVDEMDAAGDGDVLVILDLRQDESLFEAGFAREVVNRVQKLRKKAALEPTDMVEVFFRSLDEDASKSQQILNLQESYIRDVLGSSLLPSNMIPQHAVVITEEIFDISSLKFAITLARPTFMFNTDAIITLYGGNTKFSENLQTYLLSRDYHSLRSEFQTNCGKIKVSCLVDQPQVELCLGEHVYLTVGEYSSKSNAP >KZM94298 pep chromosome:ASM162521v1:5:20727191:20728478:-1 gene:DCAR_017541 transcript:KZM94298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLTLEPNARQDWKVRVRVSRKWRHIRLNGHTAGVNMIFVDEYDKRIHAWMNSSIMLRLEPTMVEGDVFDIENFIVRRYRAHERNQCFHDDKRIFLTNSTVVTRCNGPYQFIPRHVFDCVPLSTVGHHATQDTYLIDVCGIVMEVEPIQHFSNTIGEEQFFVRFVLADNNNNTIKAIMWNELALSVHMTMALTSQHPLIAIISSCKALIWQGGIPIVANMQATRIFMNSSHPEAVTLGVDRSLNECSELLSKYAVITVLSKVRFAAWLYC >KZM93283 pep chromosome:ASM162521v1:5:4409267:4413028:1 gene:DCAR_016528 transcript:KZM93283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRHTRGSTPLSSSSHSGAAGVCVMSNTWRDEQHPSFIDFISSFLSSNSFRLNSAPIAPDFIFNCGGLSVAFIFVTDWRNNNITTVISRVQKLKAQFANIYVVVVLPTQEQNDSFICSYFKYNMELGRPTFIPVQDLEMGFEKIVKLAHARGVCKRQNVISKLKADRERSVQGMEIFLKVVTSIPTVQNHDANSVQ >KZM94823 pep chromosome:ASM162521v1:5:26231257:26242489:-1 gene:DCAR_018065 transcript:KZM94823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYHVLQMSVNEYQKSLKEVVGDLADRDRGLCGKNKESALEFKRSGNDCFARADYANALHFYSKAVLNVTAVWSWSLCLEALRDAPSDADVLGRNLVSTLYLNRATVSHRLGLLEECLRDCRRALVISPHYTKAWYRRGKANASLGNCEDAVRDLSVAVNLELTMVEKRRIESELKLYMDRKEERDGLLHSASKNNINSAAEMFHTQLECVSTPTKGRGMVSVGDIPPSSLLHIEEPYSAVILKHCRDTHCHFCFIELPADIVPCSSCTIALYCSEQCHVQATGQKAGSVSKSRHNHISLSGDLEKYIADITLSDFCTEQTEHNSEHRHECRGVNWPLVLPSEIVLAGRILMKLVKQARHIGGSSSVVENLDLCHNYGDLPSDVKLYLHIHSIILLYCLQKYCGSQVQVDGANLSQCIILICQIKVNSIAIVRLNSLDAKELVVPSTVSSFAGNALTSTIEQVKVGQAIYSAGSLFNHSCQPNIHAYFLSRTLYVRSTEYVAAGYPLELSYGPQVGQWDCKDRQQLLKNQYSFSCQCSSCSKVSFMTNKWSVNAQISTQLAVPTLICRKLKSEEIKRVAQCVFEQTDFVHDIKPGVCLTCGSRVNLEKLAETLNEATQNSKRLKDAIVFNQVSADTISYSLRSLDLLRSKLHAYNKKIAELEDNLAQAFCLLGELQHAKSHCKASMQILEKLYDLNHIVIGNELVKLASIQLSLGDADTIDTIKQVDTIFLLYYGSHADIIFPQLENLKREAIKLVVQ >KZM95643 pep chromosome:ASM162521v1:5:34099424:34100088:1 gene:DCAR_018885 transcript:KZM95643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMFNAEKRGKRQVMIRPSSKVVIKFLMVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KZM93320 pep chromosome:ASM162521v1:5:4756234:4757463:-1 gene:DCAR_016565 transcript:KZM93320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSATTFSAGSTLSYGSKASPLSQSKVFSARCNNLKSFSGLKAVAPIGCDLDSSFSGKNSSAALRASFVSKSQSPSQRASVNPQIQASFKVAVLGAAGGIGQPLSLLIKMSPLVSSLHLYDIANVKGVAADLSHCNTPSQVLDFTGQSELGNALKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKNLVEAVADNCPNAFIHIISNPVNSTVPIAAEVLQQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLRLIDVDVPVIGGHAGITILPILSKTKPSVSFSDEEVQELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDSDVYECAFVQSELTELPYFASRIKLGKNGVEAVIQSDLQGLTEYEQKALEALKPELKASIEKGIAFAQKQPVAA >KZM95144 pep chromosome:ASM162521v1:5:29119058:29122265:1 gene:DCAR_018386 transcript:KZM95144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGAILRRKLFLKYTSSAAGFTGLVSKNSRNTSHYASSANTTTTAQQQTSSSSNPFVAIPRAFNTVIHMTSNDWAIKWSQCKIVFYTIMVRYELGEKLLRADAAITYRLIVKITQGRCLSRRERQLLTRATADIFRLVPGSMSVAVPSIQFLLPLILRFYPNILSPSFQDKITGQERVMRHLKARTEYAKFLRETATEMDKVAQNSSNGHVRPLAEDFIRFWVDIGVCRPVSNEEILRFAKLFTDEHTLDHISRPVMENMCKLMGITPFGTDEYMRFILSEQLKSIMRPSQFHECKLPIARVVGRIL >KZM95664 pep chromosome:ASM162521v1:5:34268389:34273189:1 gene:DCAR_018906 transcript:KZM95664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVMSCRTTYEHGLFSAVQFGDLKTVETLMETDPTMIHQSTLYNRNSALHIAAANGQIEIVTLLLERSVNPDLVNRNKQTPLMMAAMHGNISCVEKLIQAGANILKFDSLNGRTCLHYAAYYGHSDCLEAILTEARTSHVALSWGFARFVNIRDGKGATPLHLAARQRRPDCVHILLDSGALVCASTGGYSFPGSTPLHLAARGGSLDCISELLAWGADRLQRDSSGRIPYLVALKHKHRQCAALLNPTSAEPMVWPSPLKFISELNQEAKNILEKALMEINREREKSILKGVAYSVPSPSQSDAGTDDDISEVSDASLCCICFDQVCTIEVQDCGHQMCAHCTLALCCHNKPNPTTACLTAPVCPFCRSTIAQLVVIKIEVENSTDSKELASSNPKRSKRFRNCSEGSSSFKNLSAVGSFGRMGTRGSGRIAAENDCVDKA >KZM93279 pep chromosome:ASM162521v1:5:4377826:4379079:-1 gene:DCAR_016524 transcript:KZM93279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTHKKHPKSPPFITTTTTTKPHPKPQEPTTVYSPNVQNISNHFSRLYSNHKALSSTNPPTAGHPHLDTHFQAKPMSQSTILDGPVSKNARKHRRNALDLDGESIKKPSEDNLDTKREIKRDEMKKPSSTKKEIVVIETKNQEIQVVKRASVLVGAGGRRRSFCSSKTELADFLSCNGVKVVSADMPPFMQIHAVDFARKTYDSLEKFTARTLASTLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSMDHKLYILLFKTTVQKAE >KZM93645 pep chromosome:ASM162521v1:5:8857752:8858782:1 gene:DCAR_016890 transcript:KZM93645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGLTIYHVKSHLQMWIYFLMSKYRQANYLTESAADGSKEDNKISGDSMDSPPGLQINEALRMQMEVQKRLHEQLEVQRQLQMRIEAQARYLQKIIEEQEKLGSSLIAPEGPPGAEDKQFHPHSHQAADASTPSQSPQKKQKVNNEGSDRSAPSSAASEIVTHWSRNLYNYHSHFSGPSS >KZM93458 pep chromosome:ASM162521v1:5:6628201:6630067:-1 gene:DCAR_016703 transcript:KZM93458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELSKCGANYVALTPTTFLKRASMVYANRASVIYANVSVLAPNTPALYEMHFAVPMAGAVLNAINTRLDANNIATILRHSEAKMLFVDYEYVPVARDALRLLISQNYSCLPLVIVIDDIDSPTGIRLGELEYEQLVRHGDPSYEEDLLKHCHQNLPKYMVPKKIQFLNEIPKTATGKPRKTELREIAKTLVISEKPAAKKPTNKGNSRPNYNGHETHDQVLAMSRL >KZM93608 pep chromosome:ASM162521v1:5:8365282:8383919:1 gene:DCAR_016853 transcript:KZM93608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQESSTTAYSPPPPPPGGCQVRCAGCHNILSVGQGVTEFVCPNCRLPQMLPPELLPLPSAPAHGIDPTKIQLPCAHCKAILNVPHGLTRFNCPQCNFVLSVDLSKISRPPPWQHQQFTPPPPLPPPPPEEVNEVAMEVEREEDSGGVVGETFTDYRPPKLSIGPPHPDPVVETASLSAVQPPEPTYDLRIKDDLESSKVLSCLQIETIVYASQRHLQHLPDGTRAGFFVGDGAGVGKGRTIAGLILENWQHERRKTLWISVGSDLKFDARRDLDDVGAMFIEVHALNKLSYSKLDSKSVGVREGVVFLTYSSLIASSEKGRSRMQQLVQWCGPEYDGLIVFDECHKAKNLVPEAGSQPTRTGEAVLEIQAKLPDARVIYCSATGASEPRNMGYMIRLGLWGTGTSFLDFRDFLGSLERGGVGALELVAMDMKARGMYLCRTLSYKGAEFDVIEVPLEDNMMDMYKRAAQFWTKLRMELLSASAILTSEKPNSSQLWRLYWANHQRFFRHMCMSAKVPAVVRICSEALAKDKCIVIGLQSTGEARTEEAVTKYGADLDDFISGPRELLLKFVDENYPLPEKPESLSGDDGVKELQRKRHSATPGVSYRGRVRKAARLQDESDVESDLESESDSDPDCVESDDEFQICDICNSETERKKLLQCSCCKQLMHPACLVPPVVGAVPADWSCHSCKEKTEEYLQNRRVYLAQLLEWYEKAEERKSQILDAVRSLDLPNNPLDDIIDQLGGPDKVAEITGRRRMLVRSSDGKGVTYQARNTLLFSNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKRALVKLYKGLLEQESLPVVPPGCSTEKPDTIQDFIEKGKAALVSVGIIRDGIVKESVVGDKEPVKLSGRIVDSDMHDVGRFLNRLLGVPPDVQNLLFELFVSILDLLVQNARLEGHFDSGIADMRANTIELKGTPKNVHMDHLSGASTVLFTFTLDRGFTWEAASVLLDEKRKDLSASSSNGFYESKREWLGRRHYLLAYEGANSSIDVQCMHGPNCKLGDYCTTGRRLQEVNVLGGLILPVWGIIEKAITKQARESHRRLRIVRVETTTDNKRIVGLLIPNAVVNSILKDNIKYKLLNNINLSSDLAWVQDIDD >KZM93741 pep chromosome:ASM162521v1:5:10047791:10048340:-1 gene:DCAR_016986 transcript:KZM93741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGMYLKARQSQHQCKSRLLTKHSEKSSALSSRTNLSKSEGLMEKPKRKTQKTLGPAKVEGGIHSFSSSGTGDVGHITKHNLGASETEPSLANALVLSPVSVKTTEEQYMIDYMSLALLTTGPKPHARESSVQNMQQARVSPSGQGNPLPGTCSLQ >KZM93747 pep chromosome:ASM162521v1:5:10221491:10221802:1 gene:DCAR_016992 transcript:KZM93747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVELQCIAINNGFSISNYSNGYRHFSFSIVLDVGSNTSNRRAVSAHNLNSERMQDAGDITRYKRSAGTGHGII >KZM95809 pep chromosome:ASM162521v1:5:35783537:35784675:1 gene:DCAR_019051 transcript:KZM95809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATNGSAPVTNVEGAKVEEEKSDEYSNNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPKDVDKLRAIGVRTIFCLQKDSDLEYPL >KZM93755 pep chromosome:ASM162521v1:5:10484012:10486151:1 gene:DCAR_017000 transcript:KZM93755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPYSNLYSHWFRFNPPQPPHQHHYHHHYTYFSNSPPLPPPPTSTINIPNYFVSPPPRPNSPPLREALPLLTSSPIRKTENHFLDKNTTGFDLNTPSYMDSDYKTIYSTPEPKDENEEDEEITVSLQIGLPNPSAADMAAYNMQNILSASCSSSNSNSTMSTPCYSSNNNDSNSTITGMHQQHISKYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRRGPESLKGTQPTGMLKLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKVFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGHGHASYSVGGMEEEEDDDDPASEVEQDDDDQSSLQ >KZM95751 pep chromosome:ASM162521v1:5:35191314:35193869:1 gene:DCAR_018993 transcript:KZM95751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIKTGRSEDEEACLLAMQLATATVLPMILKSAIELDILNTMAKAGPGNYLTPSELASKLPRSNPDAPAMVQRILRVLATYKVLGCKPNDRSNGEAEWLYCWTPVCKFLSNNEDGGSMAPLLLVNTDKVVIDSWYHVTDAVLDGGIAFNKAYGMSIFDYNSREPRFSKVFNQCMTGHSNITLKKILETYNGFEGLSSIVDVGGGSGATLNMIVSKYPTIKGINFDLPHVLRDSPSIPGVEHVGGDMFTSLPKGDAIFLKWVCHNWNDEDCLRILKNCHQALADNKKLIIAEFILPEVPGGSDDATKGVVHMDSIMMAHVPGGKERSEKEFEAMATQAGFKSFRKWVCHNWNDEDCLRILKNCHQALADNKKLIIAEFILPEVPGGSDDATKGVVHMDSIMMAHVPGGKERSEKEFEAMATQAGFKSFRKVCCVFNTWIMELTK >KZM96474 pep chromosome:ASM162521v1:5:41223115:41226011:-1 gene:DCAR_019716 transcript:KZM96474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKTELSPPDEENEEDFTNHLPGIMTTIDLGLCDDEYVKFSLTSAEPYWKMQKGLIIYGVTFTYIYGTYIELLESTDFKVSGECQLKAGEDPYVGHFMVGLAKDHNMGKVKAAKSPPHEESEEDFTCHKPGTMKPIALGLCDGNVKFCLTSCDEPYWKKQKGLIIYGVTFTPMKKP >KZM94635 pep chromosome:ASM162521v1:5:24505675:24510439:1 gene:DCAR_017878 transcript:KZM94635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPYNPINSIDSTTYDWSCRVRVQSFWKGLNRESQEFWGLNMLLIDDSVKNIQDLIKTKKNDEDKTIFKFELSNGSSSLNVTLFDYFGEQLENEFAKLESQNLYVIICCARVGRYEGVPHLSNYPATRVFINPKHYSIDALKRSMSEKKPESAIVDVPTANGEVDLPRKIMTVKEIIGMKAEFGQANVFCEVTVKRIIDKSAWYFRKCTGCDLELEHVNGKFKCSRTNGCGRIIPYPDKRFRLCTLCSDDTGSIAIIFPDQAITTNLDKTVIDLHAECADETEEDKFPEILNSLLKRKYTVNLAISEDNIKKGSTVYQALDVLQAQENGDSFDPNKTPLPQCQDVSMVTVSETDVTAHLTPNTGDSSTIKSRARKINEALDFNPTDPSPIQPLKSVKVENYHTLDKLKNGVDDYKIKVRVIRLWRGATRTGEEFKSFNLILLDHKGQRIHAFVPTKAAAELQHQIIIGRVFSFKNFTVQSYTQSDKFRVLRNESQLVFSKDTVLQELADDGVTIPQDAFDFYDHSQLLELSNQTTYLADVVGIIKKYENIFELTNRHGIKQKQAKFSITDGSSNLNVTFWDKFGESFDKQMKTATEKPVIIIISAGRVGKFNGKNVQGEVDISNNNATKVLLNYKHHSVSQLRKMLSNPDFASRALGEKKKRKMQHITIENIKKLGKEAVEGFYMAHVKLLRIDQLRPWFYYACTSCDKEPTMLKPCPVCESCNRYVPYPETKFRLHVEVGDTSGTLQVILPDREVRTMIGKRASDLVNEFTDVKTLPPSLAAIIDKDYSLVVQISEVNIHNGFQIYWATNICKGFVNISPEAGDVVSITAAQTSQATTSTGGDQGISEVNLKSL >KZM95523 pep chromosome:ASM162521v1:5:32970128:32970853:-1 gene:DCAR_018765 transcript:KZM95523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSDITICLISLFAFTFFLQASAEVASDHLGYSCINQQNFTVGTHYELNLNKLTGLLDYLTQPSGYAQASVGQAYGLGLCRGDVSSFDCLTCITKAGIEVRKLCSGNKGAVIWYDYCMFKYLDQNFFGQIDNGVAVFLLNVNNATNNQTLFKQRNSELLDKLSEEASISSKHYARGEIVVDVNTTIYGLAQCTRDLSSYDCKKCLDGQIYSDLPLERIGGRVLEGSCNVRFEVYPFLKL >KZM94256 pep chromosome:ASM162521v1:5:20082869:20085271:-1 gene:DCAR_017499 transcript:KZM94256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYIKREIGSVKYIVCCFEEECDFLHSEVHVLHHEHGLLEYEFMLKELDKAYYGLERLEKKHKLELGKGSVRRENDEGLGRLKALSSLQATVTNFAINFLTSGLAGFFLFEEALSFKWFAGASLIVVGVLLLSKSSIEKKSHAD >KZM93129 pep chromosome:ASM162521v1:5:2646007:2646510:1 gene:DCAR_016374 transcript:KZM93129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIESSKKKKLSALVVDDSSVCRTVHVACLRRHDFETYVVENGREAVDLIRSGEQFDVIFMAVEMPVLNGIQATRELRGMGVKTMIVGIDCDLGYLGEHPIIAGMDRMYEKPMTQEIVISVRQALLNNYNI >KZM95400 pep chromosome:ASM162521v1:5:31792388:31802675:-1 gene:DCAR_018642 transcript:KZM95400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSQVASADRTESGGESYQLKTVDPERQVSDSVLQVHHVRLASMNFQGSHDKDSSGSGKAESLEMPNDLRLSVLDLLGDDGPNSNLEGDSLHETHVAFSVEGLGKVGMETPVHSPHRPIRDFPYGCSLSSRVTENTHSAKNFMSRQDDFGAEAMQDYMGEDDDISYHGSKLPSSLMMDSFSYFEHEKVTGKGRLQIIDKGSYSNNIVGTEYIFNNEYGNDKMWDDPITPYAKHQLPENHPDFTNPKGTWFPNLQRTTSVRSVINQPAWSSFESWYKSSGIPQFDLLGKKTRAHGSEYRSPVRRNRYCHELDCKKQESENCPIPTANFQKRKGPHEKWLFEDEDVTGSKISGLGSFCQTSGFEDSQPSSFQQWNEDLYNVDSVPEIQVDATSFSESPCGDFQAKRSPFCLEKLECCKPKNCKHSSETSFLTKTSSRSVKSTSSPVFGVGGNTRYPVRGIVCRDEIPQCERNCLNRQNELELSEPSTGKPEHHEDACDGSNCLSSENKNAGDDLDAGDSCSHFNVGKDKSQEMECLRETCFPEQAEYVSSIKILEAPDSTESDLEGQMKEDDTVSSYHKNVQTHLSQNKCEDIEESVPKERNMESMKKKNITDSSSQGMVLESFAIQLLSVHVLKEASNWGIGNKEIAGSQLKESLDLLTQGPGDDDLSFTRTLDAWIRLAIIEHNI >KZM95945 pep chromosome:ASM162521v1:5:36957198:36958196:-1 gene:DCAR_019187 transcript:KZM95945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFDDTVDVSNEEGEHAASPIKEDQHELNGNDSIQSPPVGSNDNAVTEGIFSSSNDGPLLPEPIHMQEESSAFREWRRQNAIYLEEKEKKEKEMRNEIIKEAEEYKKAFTEKRRLNCETNKTHNREREKISLANQEKFHKEADQQYWKAIAEIIPREVASVKRRGKKEEEKKTSVAVIQGPKPGKPTDLSRMRQLIVKLKQNPPAHMLPPAKDEKDTKDGKDAKNSKDGKDASSEPAKDESKPAAAAEAT >KZM96530 pep chromosome:ASM162521v1:5:41671969:41672334:1 gene:DCAR_019772 transcript:KZM96530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVIEILTGKLFYIQVEEDATVADLKREIGAQENLPYDRLLLMLGINLMHYNEVSLVEYGVRDGSHLYLFFNSLVVDNSGSSNPSSSASSTDHHLLPTSQDSCFSQTSSPLIRPSIRQSE >KZM93997 pep chromosome:ASM162521v1:5:13559075:13563454:-1 gene:DCAR_017242 transcript:KZM93997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSATASGDLMVDSLVSSCGNVANFVKPIGVCYSDRIGCRSFQRSSMRLKSREPYNHRPMQHGYFIFDVTQRSFSFNPAFDTSPKNCHASSSPCYSDEFVPDMTVNGLSCDEQLATTTVSADQYDIAERKLKLLSGSCYLPHPDKEETGGEDAHFICVNEQVIGVADGVGGWADVGVNAGLYARELMSNSVRAILDDPKDSIDPARVLTRAHSATKAKGSSTACIIYLKDEGIHAINLGDSGFIIVRDGCTVFESPVQQHGFNFTYQLECSTRGDQPSSGQVFMIPVVPGDVIVAGTDGLFDNLFNNEVTSIVLQGTQEGLNPEALSEKLAASARERALDRHRQTPFSTAAQEAGYRYYGGKLDDITVVVSYINSSTN >KZM95086 pep chromosome:ASM162521v1:5:28533655:28533990:-1 gene:DCAR_018328 transcript:KZM95086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSGFLLIILCALLVSTTVSSTASGYDWMDIKQGCKGSIAECMGVGEEFEMDSESNRRILATSNYISYAALQKNNVPCSKRGASYYNCKQGAEANPYNRGCSSITRCRS >KZM93788 pep chromosome:ASM162521v1:5:10733094:10736165:-1 gene:DCAR_017033 transcript:KZM93788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWILGVRCRVPATASCISLLAENVKPSYLDMSIIRKSRCLPVASLQMLQKRCFRPSFTKQKMNMSMATGKIKITSTCPSKTHLNRLLCCEYTSLRITPKSTCDVRYSSWMQGFLPASLIVGILVCSTYKPVNAEAQNVDKDCGASSVSHSHGKQVYTDYSIIGIPGDGRCMFRSVAHGAYVRSGKPAPNERIQKELADELRASVADEFIRRKEETEWFIEGDFDTYVSHIRKPHVWGGEPELLMASHVLQMPITVFMHDKESGGLISIAEYGQEYGKENPINILYHGFGHYDALQFPGKSGARSKL >KZM95070 pep chromosome:ASM162521v1:5:28363508:28368117:-1 gene:DCAR_018312 transcript:KZM95070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPQLSNEASVKLQEGINLILSRWSSLQVAVENEFGGRYSRERSQQLPVNIFTWFTQSKGPIYIEELEDMLDDFMLSLNIEIDDGSIEEISEKLMIMHEECLEGDFSSVEKLKDAPSVSVQHIRQDGSDDEYSTGSSVEEHTETGVCSPKSQPNCIQSDHMIVDEPLAGAEDGWTVVPSRWNKGKRN >KZM94649 pep chromosome:ASM162521v1:5:24599251:24604039:1 gene:DCAR_017892 transcript:KZM94649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQRMLDFAHNMDNRPRKRQRLTWDMPPPLPYPKVFPALHNGQEYANGCIPNYAFSSIYYKDLPPRGSPPWRPDDKDGHYVFAVGDSLTPRYRILSKMGEGTFGKVLECLDNEKKEIVAIKIVRSIHKYREAALIEIDVLQKLCRHDIGGQRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRSFPIDLVREFGRQLLDSVAFMHDLRLIHTDLKPENILLVSSEYIKVPDHKFLSRCPREGSYFKNLPKTSSIKLIDFGSTTFEHQDHNYIVSTRHYRAPEVILGLGWNYPCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMIIRSDRRADKYFRRGVRLDWPEGATSRESMRSVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPTERLTAREALKHPFFTRDLRRCGFPM >KZM96446 pep chromosome:ASM162521v1:5:41033215:41039498:1 gene:DCAR_019688 transcript:KZM96446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSFLRPLRSVSLNTANHQSLTPTKDHISYLCSKGRLTEAFKTFSHYVYSNPNLFATLLQGCLKHHLLPLTKQLHSIIITSGCSADKFVCNHLLNVYFKFGQVGIAGDLFDVMPRRNVMTYNILLGGFVQIGDLGSARKVFDEMPERNVATWNAMVAGLTQSGVNEEGVRLFCGMFGGGFFPDEFTVGSVLRGCAGMKDLRMGRQVHGYVVKSGFLVSVVVGSSLAHMYMKCGEFGEGERLIGGMAVHSVASCNTLIGGRAQRGLSEGALDQYNSMKAAGFRPDKITFVSVLSSCAELATIGQGQQIHADALKSGACSSLSVVNMAKRIAGEVLNLDPNDAASYVLLSSIQASEKRWQDVSDLRKAMRDRRVKKEPGISWFELKNHVHQFCTGDKSHPQLMEIDTYLEELTAELKLRGYIPDMSSVLHDMDLEEKEYNLAHHSEKLAIAFALMNTPPGVTIRVMKNLRSLPASPSSSAYSKTASWKASGNSSRDCCSWDGVECDDATGYVIGLDLSSSLMQATLHSNSTLFSLVHLQNLNLAENNFMKSSIPPEISRLSRLSFINLSDSSFSGQIPHELSGMSKLASLDLSYNYLYGDFPIAIFNLPGLLVLNVSGNQNLSGYLPEFNKTSSFRELDIAFTEFSGTIPSSIGNLKSLNWLRLRNCYFSGSIPASIGNMTQLTALSLANNMFIKSDDLSWLQKLTKLSMLNLQDTNLYGDLPPSFANLTQLTFLSLRNNSFVGEIPLSLMNMTQLIHLDLSLNELTGQIPRSFSQLNKLEYLSLSDNNFTGTVEADSFLSSRNLSFLNLSGCKITSNSLHHSNFSLPKLEALDLSLCNLTEFPYFLQFASNLMALVLRGNNIHGHIPHWIWNASNNLELIDLSANFLTTFEWNPVSIQSKSLKYINIANNMLQGNLPIPPSNTYFYLMDGNRITGEISPMICGVMSLTVLGLSNNNLGGLIPQCLADSLETLFLQQNNFSGKIPQTYPKECNLKVMDLSQNQFTGEVPESLSNCKMLQVLDLSNNQMKQTFPAWLGTLPRLQVLLLHFNMFHGEIGSPRSPSEFPSLCIINLSHNAFTGAFPVNYIQIWDVMKVVRTGIELYVEAKFDISFTQGKYTYHYLYYSPMILTYKGAKREYNKIPNIFTAIDLSSNKFTGKVPESLGSLKALQLLDLSNNDFTGPIPPSLGNLTQLESLDLSHNKLSGVIPQQLAAQLNFLSFFNVSHNHLTGPIPKGPQFSTFDYNSYIGNSGLCGFPVSKNCGALQSPPDDSEDGSEEDKFPSGFDWLFILLGLGSGLVVGFVIGDISMDRHPWLIRGIVQKFGLTQKKPRMRGRQIIRA >KZM93385 pep chromosome:ASM162521v1:5:5590327:5592475:-1 gene:DCAR_016630 transcript:KZM93385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTMKAISAAMKLIDVKKQNLKKAFDDLQSHSSWLPSSTLTWSQIDTHFTCLHSSLEHQFQFLQSLESQPQSLESKPQFLESQPQSLESKPQSLESNSIPPKSLTRTARPQLKSLCHTMDALALRNYVIHHHRQRDSIRLELADAFAASPDPASLVLDTMDGFSSTNDLELRRTCVMFLEELMQLKPEIKGECREKAMILAIDCKEMLSTVTSINNNTFGLLGFLLLVSVYGLRHAFSLHQLTDYVVYVAKNKMAVPLCRLLDFGDNITGIIEKLISKGNHIIAVKFIYEFEMTKQFPPVPLLEEYAMESKSVVHKIRMSGDVNSHKMRDVTLNELDRLKSVVKCVEDYKLASEFPKLNALMQRIRKLEREKPNKKRAGAASASVHEKQAKLQNSSITNHSQTEQDGAVPATKRNTVQEKQSNLQKETAFDRMQPADPASVTTRDSSNLRIPETAVTSAIPSYQQPNPYAAAPIGPYGMVGSNPDFDTYASAHMGSYALTTSSHENPANFYPSGSQIPGETYGMTGLSSGMYEQSEPPMSFNSNIPAPSSSLCPPGSQMPAGYYDGNTAYGGYSWAPEYHPSYYPQ >KZM94397 pep chromosome:ASM162521v1:5:21966611:21967129:1 gene:DCAR_017640 transcript:KZM94397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKRPMSPGYEKCLEQQQQRRQQPELLLGGLRSNQNNQRPVVAPKSKPTQTLKIKWDHAYYLQRKFSTRRARNGKDWVPTEQEVEDEGRRYPEVDKLFNDRLRRLGIPFSNARYNRYMVGIKQECDALQAKLDARDEADYWAIWGDVDAEDNEDDDEEYGSDYIVDITKD >KZM93873 pep chromosome:ASM162521v1:5:11741514:11743428:-1 gene:DCAR_017118 transcript:KZM93873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECPSPANNPFVPETFNYDYDFDFDEDVPEKERNRQRNVNKTVTCAGLSSQISSDEIMWMIEYYNLQGKWYRPRRFMRMHRFNFDGLPCPRMVVTNKLAELGFGWPMHPWLLKLANHYDVAPIQVGPNGWRLAIGIYIVYRRLGFPEPSMLEMDHFLSLRKTGDDYGFFNLTLHPCHHKKGFSVGNPSNMKFWKPDYFYLYDIPRQRVSFNLDPYKPQQTDLEGELLTRAQAIDNLDAAQKKLDEIVTPESLREYGFYHKGFGQVPLIKFDKRNRSKKALECLKRSLASLTGKGKMAEXGERIESEEQAKTGKRRGMFRIPSMNSLSPQLRLPTSPTLPSQVSSLSLRARKPPKPKS >KZM96399 pep chromosome:ASM162521v1:5:40730353:40733678:1 gene:DCAR_019641 transcript:KZM96399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRIKKEELKTYAYILLYIALSAGQIFFNKWVLSSKEINFPYPLGLTLLHMIFSSVLCFVLTKFSKILKVEEGMTTDIYVRSVIPIGATFAVTLWLGNSAYLYISVSFAQMLKAVMPVAVFILGVAAGLEVMSYRMLLVMSVISFGVLVASYGEIDINWIGVIYQMGGVVAEALRLIFMEILVKRKGRKLNPISIIALCLFIPWLFLEKPKMDAQKSWSFQPFMLTLNSLCTFALNVSVFVVISHTSALTIRVAGVVKDWVVVLLSALLFADTKLTLINICGYAIAIAGVASYNNFKLKKEATRSSYDGNHRDEEYIPVVSGSTSDK >KZM93071 pep chromosome:ASM162521v1:5:2125821:2126645:1 gene:DCAR_016316 transcript:KZM93071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPAVKAFLLLSLFTFLGTHARNLPEDILKISKTDHGLTSSITSITRPARRPPPPPQVRPPSHPILSVSDQVTENKPEEVIRMPSFIGNHHKPPPPAPYLAPPQVQANAYQEWKSIFANTEKALDPSELRSFSYVREITEDGEIIKRPYLLSPPPPHLAPPHVQMKICEDDEIIKRPYLHSPPPPHLAPPHVQMEIWATE >KZM94917 pep chromosome:ASM162521v1:5:27005103:27005582:-1 gene:DCAR_018159 transcript:KZM94917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRDTQMQKPPRKRKLRILDVDSDRDESTPPSVNISNTETIDPANSGKKAETNVYKRKRLVKCSNYVPTLQFNELVEEKVNPLPELDEMMIQNMNKTTEIADTEERIMTQEVSTQLQEAAGNLDMVIYQPLISVNPIHEVPVEKNLQSKNLNIKHDFH >KZM95799 pep chromosome:ASM162521v1:5:35694181:35697225:-1 gene:DCAR_019041 transcript:KZM95799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIELNTLLIFQSITLNGILDQIVMLWNIIKLPFVVPTLQVMVNVCLVMSVMLFMERVCMGIFKLFIILLRRKPETNYKWEAMQDDVEFGTSNYPMVLVQIPMYNEKEVYQLSIEAACELSWPSDKIIVQVLDDSSDQAIKELVEVECMKWKGKGINIKYEIRDNRNGYKAGALKEGLKHSYVSQCDFVAIFDADFQPESDFLKRTIPFFVHNHDIGLVQTRWKFVNANECLMTRMQEMSLNYHFSVEQEVGSQTCAFFGFNGTAGVWRIEALNEAGGWKDRTTVEDMDLAVRASLKGWKFVFVNDIKVKSELPSTFKAFRYQQHRWSCGPSNLFKKMAFEIATNKVVAHIVTFAFYCIVLPLTVLVPEVQVPIWGIAYIPTVITILNAIETPRSLHLIIFWVVFENVMSMHRTKAAIVGLLEIGNVNEWVVTDKLGDASKIKASSNVPLLCTAPKKKSGPTIWGRLNKLEMVAAMFLFLCGWYDFVHGKNYLFIYIFLQCIAFLVVGFGYVGTFIPK >KZM95834 pep chromosome:ASM162521v1:5:36001603:36006482:1 gene:DCAR_019076 transcript:KZM95834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELSIAPAVHTPTVVPASQPKCLAPGFRFHPTDEELVRYYLTRKACGKPFRFQAVSEIDVYKSEPWELAGYSSLSSRDLEWYFFSPVDRKYGNGSRLNRATGKGYWKATGKDRSVRHKGQTIGMKKTLVFHSGRAPDGKRTNWVMHEYRLSDIELQKSGVAQEKDAFVLCRIFEKSGLGPPNGDRYAPFIEEEWEDDAALLVPGGEAEEELANGDDVRIGASDFEKFIQDANKASHSPSEIPMESLSIPFGCKRERTDDCPINGEAELEPVQMFEIKRSKHSNPSSEDSTTTSHDLPAGPSTNGTLLEFPLLDSIGNRESHQSSITLTFDASNLEKSVPPGYLKFISNLENEILNVSMERETLKIEVMRAQAMINVLQSRIEYLNKDNEEYRRMIQGK >KZM95219 pep chromosome:ASM162521v1:5:30284319:30288082:1 gene:DCAR_018461 transcript:KZM95219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEWRVRPSEENDLFGANECPEYLAPLNTRPPSPPEAADKDKGCEKPVKTRTKKPVKPVNTTLAVVGEEVQGRPKQQNKATCKERPSKNKATATTNLGAERPYSNPAMGTTNEGGSVPEGSQGGIFAQQGKNKATNKGVTSTQRVLDQARRERRKKFEQNAAWKI >KZM95922 pep chromosome:ASM162521v1:5:36800017:36801375:1 gene:DCAR_019164 transcript:KZM95922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGIAVHDDCKLKFLELKAKRTYRSIIFKIEEIQKQVTVEKVGDPAESYEDFAASLPADECRYAVYDYDFVTQENCQKSRIFFIAWSPDTAKVRSKMIYASSKERFKRELDGIQVELQATDPTEMDMDVIKSRAA >KZM94363 pep chromosome:ASM162521v1:5:21458428:21460068:1 gene:DCAR_017606 transcript:KZM94363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANYVSCSSNFKILVLSLSLLVNLSVSTFISDDIFGSQASVGRNLLQAKQACPVNFEFQNYTVITSQCKGPRYLPKPCCDSFKEFACPYTEELNDLSNNCASTMFSYINVYGKYPPGLFSSFCREGKDGLDCTEYFKEDSANDASGRHNIGNRFQLIMLTAACLVALFQML >KZM95318 pep chromosome:ASM162521v1:5:31120560:31121710:1 gene:DCAR_018560 transcript:KZM95318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIEPVGAREFWEHAWIFAANASSSDECE >KZM94430 pep chromosome:ASM162521v1:5:22226323:22229683:1 gene:DCAR_017673 transcript:KZM94430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYYIWLECTFSIKRLRRCGKSCRLRWLNYLRPNIKHGEFTDDEDRIICTLFSNIGSRWSIIAGQLPGRTDNDIKNYWNTKLKKKLLMGSLMLPNPFIRPPNHINYHQNPILSSPTCSYSSSLPPSLRYYTNNTCYNGTSNFTPNLVNPQAQDQFLGPMQNYQGKESGLIMFGGHDQQAASCSSSDGSNITYNNKDQVDYEQLMMFSNGGINEIPDQKPMNLGMFGNHQSIGNTLDYNMSSLEEIKQLISTNNLTCNTNLNYFVDENKTMYY >KZM96334 pep chromosome:ASM162521v1:5:40175564:40180456:-1 gene:DCAR_019576 transcript:KZM96334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRDDVSVPNLNPNEVLVRARAVSVNPLDTRMRAGYGRSIFQPLLPIILGRDVSGEVVDVGGSVKSFRVGQEVFGALHPTAARGTYADYVILSEDQLVPKPPSMSHVEASAIPFAALTAWRALKSTARITKGQRVLVIGGGGAVGFAAVQLAVAAGCHVSSTCADESIDRLLAAGAEQAIDYTSEDVEVSLQGHFDAALDTIGVAETERVAISLLKRGGHYMTLQGETASLSDRYGVAIGLPMATTILLKKQLEYQFSHGIAYWWTYMRADPEGLHEIHRLTEAGKLKIPVEKTLPITQVKEAHDAKDQRKIIGKVVLEVD >KZM96147 pep chromosome:ASM162521v1:5:38671941:38674070:-1 gene:DCAR_019389 transcript:KZM96147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKQERESFKFSNFKKTEKFASDGNQWFRVTRDAINGKRKIIAVDKDVPETKSYARVVSEDSRNEKEAKRPTIKERVDNRKWFSVMVVNLPPEASVRDIWLFFNKQRLIKDIILPRKRDIRNNRIGFIIVDNLGLAETLVRNFHQKQMGRWKLMVKISDRKSGKDKMDSSEDKKDTNHSDKKEAAAERANKCFVQSSEEDICTPMRENGNIGIMEDDQQNIIGTENDALMGNNIVLEESGTNSQINHPEHLEEVAKEISVNPVRRDLEDRDNLSMNVGVIVSQEVDKVLETPMDVIPGFYVEECVSDRDMDIITGLESSLPEILNNAWCVRDRTSSQSSEQTSVKLLDNSEEELGNDLGVFDSEAVVPPKIIDQVTQLSIGRKRGRPRKYARVYNFANKRKGTNVRRNPPSAKEDLPVNKISVSKKEKRKNIRQSKLKELESLDKENSTAMGTRKDLALQVLETGELLGLIPLEDRELTLSKIRNHIAESDH >KZM94420 pep chromosome:ASM162521v1:5:22155008:22157085:1 gene:DCAR_017663 transcript:KZM94420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNQIHYGSLKSGTSGKWKRHKASTRSPGAPARQLLLSQSQIARDFISGFQHLIEPSVFIDAMANDEKALSLALGQIHHKTLPETAAPNKSFRDTLLSNPVRQPPSPPKGAKPRLQIGENQPKGPISIFFTGFDESVRAASLWQMFKQAGATQDIILPKRRDKFGNRIGFIVAKNGGEAFKIISKLNGHCMGKSTLYLALAKNTNKSSPQVTHHRVSQPIAPHTRPKECASMNASICENNHRTDSQDKNPESPLIRPNKRITEDVYPSKANFANTTSGSTILPHDAALQEELECCVLLVTAKKETVSNVEMIVAGLGFREVIIRGLSSFKFMAYFTDVACLEELDLDFLHVGFMEVRKIREEDLIVPRQAWFGRTLDEDDFYVTPKLLIETAQLGNIEATKQVVLLGKRWQLQITETFGVGSELQQLTDKVPTQENDINDPLITSSQGKADFPPPSPSVVENFVVEESHNSDRASPNPSAEQVSPILDIHTAHWKPRDRDSSPSFPYSKSNDGEPVVDDDSDTGVSDTLSVSSPVLKELRNLKVQVRRGRPRKYKQPQVNKHFKVPRRKKMRGEGLQQVSHFFLNADYDEGEAIYETGIMMGLLPINSKEKSLDLIKENLR >KZM96496 pep chromosome:ASM162521v1:5:41401552:41403362:1 gene:DCAR_019738 transcript:KZM96496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMQLQCLALSALIFLVTAGASKVPAVIVFGDSSVDAGNNNQILTLLKSNFPPYGRDFVGGEATGRFSNGRIPPDFISEAFGLKPFVPAYLDPNYNISDFAIGVCFASAGTGYDTATSDVLSVIPMWRELEYFKQYQDRLKGYLGGNKSKKIVNEALYLISLGTNDFLENYYTLPKRRLEYNVDKYEDFLVGIAENFLRQVYGLGGRKMSVTGLPPMGCLPLERTTNLLKGLGDTCIESYNNVSRSFNAKLGDLVKMLNQELPGAKIVSANSYSIFYHIIKRPSLYGFEYSNVACCSTGLYEMGYLCNQFNPCTCADANKYVFWDSFHPSEKTNQIIADYILKRYLHVFM >KZM95943 pep chromosome:ASM162521v1:5:36948878:36949141:-1 gene:DCAR_019185 transcript:KZM95943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMNYHHHHPPILSLLHLSPPHPPLLPQFASVFKNRPISPTILKSSFLVSSTRRLSSCLKCFCVSNQNDNSNKPSQVQYLVGFALR >KZM96307 pep chromosome:ASM162521v1:5:40002274:40009804:-1 gene:DCAR_019549 transcript:KZM96307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPAIGRSYIAAKAKKRVFPGGSSMDPDVIEIPPPTPINRSSKSKTLKLKEVVDQEIIDVDMDENSCNVMLTEGIGTNYKGKGAITNNSLGIDGDGGQSSKKNSAPGSHSVVNLEGFACDLSFLDDDYVDMYPDGAHVFDDNQMYEDEYEILQSHFDNIDIPPGVEAPVSWFPGPAQNKINVGATKNPALSNPKPQPSPGVILPEMEASHSLWSLDPWGRMPTTNTLGMETPVQGVSHPHKAGLSSSWSTIENAQSKKNIASSKYFQHKAQSSGGWGFVNKSTPFGLASSHGPHKPPGSLNQQYIEAKAAFRGDNILRKKTKHIARNPYIPNASEASPVTSSWYKHDNSMYVMVPPNYPTHYNPFLSEHMSFDGVAYDPFLQDSFTIQTNATDLGVPLGSSSGSQKDATFLDCPSNSSQQNVTDKHVNRDEILMKLHQFKKFDVVQDPSDHHYVHNGSSSKQPSKSWAKKIQEEWKILEKDLPVTTKQTPPRALEMTTPMAISKCLRFSKNVPLRSLIHLHLNPIATADSIETASESPSKLRVFETKTPNSPLRNDVAAVIRNQVNLAALLTRGRAVKIDDEFNEDDFEDESDEFEEEDEEEFDEMDGEDCVDDDDDEDEDPVYKKRK >KZM93937 pep chromosome:ASM162521v1:5:12527334:12530880:1 gene:DCAR_017182 transcript:KZM93937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDPPPPSNKMLSQKCELAVDHIENKVAFGVAFKDGTGFGNKIHGVDMMSGCVRMSVDGCINPKALLPVPVPEEMESVIQVIGSYVAWPEEFILYQDSEPSQPSECNTYADINAVYKCLKEKYEVRDEQLILYGQSVGSGPTLDLASHTPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTADEVVDCSHGKQLWELCKEKYEPLWVNGGGHCNLELYPEFIKHLKKFVMNLNKTKGPANGSKKTAADSDKPSKASESGTADTVELKPNPPEVSRNSLDSRLEKSKKSYKPEKSRMSTDLVDMFRRKKAVLW >KZM96448 pep chromosome:ASM162521v1:5:41044123:41048543:1 gene:DCAR_019690 transcript:KZM96448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESSRPGSWYQDQGPDSDLSDNNLGGPIPHCLADSLETLFLQKNNFSGTIPQTYPKECDLRMMDMSQNQLTGKVPKSLSNCKMLQVLDLSNNQMKQTFPTWLGTLPRLQVLLLHFNMFHGLGSGLVVGFVMGDISMDRHPWLIRGIVQKFGLTEETENA >KZM96484 pep chromosome:ASM162521v1:5:41312056:41312553:-1 gene:DCAR_019726 transcript:KZM96484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNTFLLLGLLLSLVLLVSSNALETPKDENKEAVMVALVAIEAVMAALEAAEAVMAALEAVTAAPVTEAAVMEAGEFPEVVKPTLDQLCRYKCTPCM >KZM93127 pep chromosome:ASM162521v1:5:2632474:2633878:-1 gene:DCAR_016372 transcript:KZM93127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSGADYLELVVKQGEPMLVPPAEETEKGLYFLSNLDQNIAVIVRTIYCFKAEDKGNENAVEVIKNALAKVLVHYYPLAGRLTISQEGKLIIDCTGEGAVFVEAEANGVLEEIGDITKPDPVTLGKLVYNVPGAKNVLEIPPLVAQVTKFKCGGFVLGLCMNHCMFDGLGAMDFVNSWGEIARNLPMKVVPFLDRSILKARNIPKIEFPHQEFAQIDDISDTAELYKEEMHYRSFCFSPEKLDYLKKKALEDGTLQKCTTFEALSAFVWRARSQALKLNPDQQTKLLFAVDGRSRFNPPLPKGYFGNGIVLTNSLCTSGDLVENPLSFTVKLVHEAVNLVTDNYMKSAIDYFEVTRARPSLDATLLITTWSRLAFHTTDFGWGEPILSGPVALPEKEVILFLSHGDTRKSINVLLGLPASAMKRFEESMNI >KZM94310 pep chromosome:ASM162521v1:5:20820054:20823234:-1 gene:DCAR_017553 transcript:KZM94310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSTIEVEAIKYNKSVSMMTCGFWLVMLLGVLMSLIGVGLITNHFLPLLLSKLNGYVLPKGTFSWPLLGETLSFLNPHPSNSIGTFLQXHFLPLLLSKLNGYVLPKGTFSWPLLGETLSFLNPHPSNSIGTFLQLHCSKYGKVFKSHLFLTPTIVSCDEELNYLILQNEDKLFQCSYPKPIHGVLGSLSMLVAVGDTHKRLRSVALSLVTTTKSNPQFLSDIENTAIQILDSWKHKKQILFCEEARKFTFTVIVKQVLGLSPDDPQTSGILKDFLTFMKGLISLPLYIPGTPYARAVKARRRIASTVKAIIEERRKNSTTAPSSSQDSSTRGFDFLEILLCVDALSEDEKVSFVLDSLLGGYETTSLLMAMVVHFLDQSTTALEHLKLEHQNIRNMKNINDVSLNWEDYKKMEFTQHVINEALRYGNVVKFVHRKALKDVKFKDFVIPSGWQVLPVLSAVHLDKTLHADASQFHPWRWENQEQTCKKFTPFGGGTRCCPGSELAKVEVAFFLHHLVQKFRWRTECGDLPFAYPYVEFPRGLPLYVEEIESNGAVDKKEN >KZM94524 pep chromosome:ASM162521v1:5:23197078:23199339:-1 gene:DCAR_017767 transcript:KZM94524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMKAMYTLSLCSFCSLKQVKTPSLSPVCSYKLNSNASSAFWVQMFSQDRGVAASVAFNPPGNFDISMEDDEDIDNSQKAPPAMPPKEGRYEVTIDNHDIRSLDLTPFQTTVGFTSPSSVEPQEFLERTIGFTINYTKEDPYDPRELSEYPDIRLWFLRLDATYPWLPVLLDWRAGELARYAAMLVPHQMSMRMGVVFNPEALELFVMEKVFVVYSWLKQHDIPKPRLKAKDMARMLGFGIGDELFDLIDKHHVDS >KZM95801 pep chromosome:ASM162521v1:5:35712604:35715805:-1 gene:DCAR_019043 transcript:KZM95801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGELETVVLQSITLNGILDQVLMLWDLIKEPLIVPLLKLMVNICLVMSVLLFIERVYMAIVKVFIKLLRRKPEKNYKWEAMQGEDLELGSSAYPMVLVQIPMYNEREVYQFSIGAACVLSWPSDRIIVQVLDDSTDLAIKDMVEMECMKWASKGINIKYEIRDNRNGYKAGALKQGLKHSYVSQCDFVAIFDADFQPEPDFLMRTIPFFVHNHDIGLVQARWKFDNADECLMTRMQEMSLNYHFIVEQEVGSQTYAFFGFNGTAGVWRIKALNEAGGWKDRTTVEDMDLAVRATLQGWKFVFVDDIRVRSELPSTFKAFRYQQHRWSCGPSNLFKKMAAEIATNKKVTLWKKLYVLYSFFFVRKVVAHIVTFAFYCVVLPLSCLVSGVDVPKWGVIYIPTTITLLNAVGTPRSLHLVIFWVVFENVMAMHRTKATIIGLLEIGRVNEWVVTEKLGDASKTKPSSSVPLLTKAPAKKSSSRILGRFNGLELIFGVFMLFCAWYDFNYGKYHYYIYLFLQSIAFFVVGVGYVGTIVPSK >KZM92864 pep chromosome:ASM162521v1:5:363952:369591:-1 gene:DCAR_016109 transcript:KZM92864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLSSSVAFGLKLSKRIYYGRQVSAPKASVMEKSSSDTWLPRGPMVYAEIKEPGVVDNPDIPTYQPYVYGLCEPPALIPLHMHGVEMEVDCYLDTAFVSVSGSWRVHCVLANKTCDCRIAIPMGEQGSVLGVEVSTTRSSFYTQLIATRDTCDTENKDNAKDGCLLKRQIYTFKVSQVEGGSNLHVKVRWSQKMVYQDGQFCLSVPFSFPTYVIPVASNINKTEKIQLNLKAGIGTQVLCKTTSHPFKEIRRGAGELLLLYEAEVVSFSSTDFFFSYSVCSSDISAGLLLRAPSPHDHDQREMFCFTLFPGSDLCRKVFRKVIIFVVDISGSMQGGAIESAKTALLEAISNLSQTDSFNIIAFNEISAAFSPSIELASNETIEKAAEWISTNLIAKGGTNIVSPLNQAIEMVAETGDSVPLIFLITDGAVENERDICNNIKKLFLDWGPDSPRICTFGIGSYCNHFFLQMLAQIGRGYYDAAYDIDSITFRMQRLFDSSSSLILTNIKIDALEHIDKLEMYPSRIPDLSAGCPVIVSGRYSGKFPDTLKASGTLSDLSRFVVDVKAQNAKDIPLDRSQFRICFDFSNKYVALLHGFASNPHSSKQVLARRHIDTLTCHAWLSGSKPLEEKVAKMSIQTGIPSEYTSLILVQTDKGKQSSGPVLVQEMMGMNGRKVIFLRNTGIGFGDLMATSENLPLGSGEPKLHVSKDFMMKAATNFCGSLMDRCCCMCFIQACSQVNDKCAVAFTQLCTALACFQCLSCCCEICDSCDQCCG >KZM95335 pep chromosome:ASM162521v1:5:31239031:31240308:-1 gene:DCAR_018577 transcript:KZM95335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNIIIDLIVPLQQTNLHSEFIQGHLCVKASNSETVNELNDMQKYPPFPLHHAALTNNDDPLVPEITREYQLTMPNIKPQLNRDRSMLYGGQVXPATPSRHVGYRAPEVIETRKHSHKSDVYSFGILLLEMLTGKQPIQSPTRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVARVPDMRPNINEVIRMIEEIRQSDSENRPSSEENNKSKDSNVQTP >KZM94946 pep chromosome:ASM162521v1:5:27285345:27285947:-1 gene:DCAR_018188 transcript:KZM94946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAKEAPMSQLGLQICSQIGSVFTQPTSTPPPLDTLVHELSNAAKRGAKVFVYGVGREGLMMKGLCMRLAHLGLSAHCIFDMTTPPISSADLLLVSAGPGFFSTVEALCKIAKDSGARVVYVTAQPEARAAVECGDVVVHVAAQTMANDGADSKKREVLPMGSLYEGALFVLFEMVVFKLGECLGQSPDVIRSRHTNLE >KZM95066 pep chromosome:ASM162521v1:5:28331289:28333889:-1 gene:DCAR_018308 transcript:KZM95066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYTQEKIQRFEEFVDLRLKPDLVHAIAERDKVFDQQKTFSDLKRNIENLEKNSVTSLRTLVNLGSEVYAQADVPDTRHIFVDVGLGFHVQFTWSEALSYISVREEKLARQMEEYTRLIASIKAQIKMVCEGIRELLQIPAE >KZM94628 pep chromosome:ASM162521v1:5:24432902:24434046:-1 gene:DCAR_017871 transcript:KZM94628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEISCIRQGAREHALFRGVQNGELEIVQAMVDHDPSVLSRTTVHGNLFALHVAAVHDQIEILSMLLERSVNPDILNRHKQTPLMLAAMSGNISCVERLIQAGANILKFDSLHGRTCLHYAAYYGHLDCLQAILSAAHSSHIAISWSLLSLSSV >KZM95842 pep chromosome:ASM162521v1:5:36145918:36146721:1 gene:DCAR_019084 transcript:KZM95842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDISTVDGFVEITECVAEMLKYLANEPSVGLFYVQQHTQNSVPNLVSLRNSVEDKSREMTLHTEDSGESMIMLSSMKECGSPIVDEMIRDIKNSLTIMSTKQPKRGLINNPRSLFQIGRTSSWGPAAWGRNEVPLEQEAESSSSSYFSTVFKSAKERAASLKWVQSDSEGSVNGKGENLLPAHDTTLQYAVPSTASAMLEEPMLSSKISSGSQEMSETGDGLSTNLLSLSKSFDEFKAEREAKLEQWLQQTSDQDDQLGETNAEKL >KZM96061 pep chromosome:ASM162521v1:5:37804430:37805497:1 gene:DCAR_019303 transcript:KZM96061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKFHLRLLLGSFKDKASIIKASLSSKRRTASIRLSVIRATKRTSTSPPQHRIDAVLAFGHISRPTASACIHAIMDRAHKSRNAYVVFKSLIIIHNIITRGSFILKDQLSIYPSTGGRNYLNLSMFSDKSNVKTWEFSTWIRWYARFLELNLMTSRVLGSYLSSSSSSFTNSSNNNVKDFENLTGLLSSDLIKEIKALVDIIEEICRAPNDSSTQQNNLVYEAMKLVSEDYRSTQQKIYSRLTELEDRVKGLNSGELDELINCLKKVEESKERLVDLFVNRKRNDAFWELISQTRINFLRVKKERESVLAISESTRLDERVVAPSRMVMRLLPYGGGWMRGESTRVLALTTSTM >KZM95595 pep chromosome:ASM162521v1:5:33715653:33717114:-1 gene:DCAR_018837 transcript:KZM95595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHRLKLLKKKQELIYGARELPPADFLLKIESFSGLAEMINNQKKNKYYESCSFEAGGFNWRFRLLVLRANRVHEKKIISVYLVLDESNNILATDSDVIANFKFFVYDQIRDNYLTVEDADNRYKRFNKRETCQGFSNVISLTRLKCASNGYLVNDSCVFGVEVQVIKNTRTAECLSVIEKDNFSCSWKISNFSKRNAHLCDPHGFTVAGRSWRIEIHTNYIHGLLCMKLKLDDTSYRALAGLMRWLRGENNQRLFAMCRLGVKNQLHADQDQWSYKGWWFNPEPPVVPWALIIDMKDLQDESKGFLVDDVLIVEAEIEWMFASTDIIVDGKKLNDQSGLRI >KZM93384 pep chromosome:ASM162521v1:5:5588110:5589055:1 gene:DCAR_016629 transcript:KZM93384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKIVIKVRSHCKKCRSKALAMAATESGVISVALEGEKKDQVVVIGDGVDAAGLTSSMRKKLGYASLELVEEIVRDDSVKEA >KZM95194 pep chromosome:ASM162521v1:5:29934224:29937252:-1 gene:DCAR_018436 transcript:KZM95194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDENSKKHKYSWPKTLVKKWFNIKSKAAEDFHADEDIVYQGGGEECRNNFSNKEACTMKKSRTERLSKRNSDFVRRSKIDLDAAQVTDVQNYRIFVATWNVAGKSPTSNLNLEDWLQTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNNLPGTSGAYLTPSPIPNPIVESDADFEGSTRQKASSFFHRRSFQSLSRSMRMMDNDMSVPQPYPDRRFSVCDRDMLGQGTCDYDSNVRWGGSSDEENVDSPCITQCSPMMYNGSTSMDRNRQQASTRYSLVASKQMVGIFLTVWVRSDLRDDVHNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLSSGQKEGDELRRNSDVLEILKKTRFPQVHALETDKSPQTVLEHDRIIWLGDLNYRIALSYRSAKALVEMRNWRALLENDQLQIEQRRGHVFEGWNEGRIYFPPTYKYSNNSDRYAGDNRDPREKRRTPAWCDRILWYGRGLHQMSYVRGESRFSDHRPVYSIFLAQVESINPSRIKKSASCSNSRIEVEELLPYAHEYGQLNFF >KZM94888 pep chromosome:ASM162521v1:5:26750729:26756808:-1 gene:DCAR_018130 transcript:KZM94888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIVPMIFVLLAAPASTTLTDQGKALLSIKSSFKNVANILMDWDDDVNNADYCSWKGVFCADNLSTSVVSLNLSNLNLGGEISTAIGDLRDLQSIDLQGNKLTGQIPDEVGNCVSLVYLDLSDNLLYGDIPFSISKLRQLELLNLKNNQLTGPIPSTLTQIPNLKTLDLAQNQLSGEIPSLIYWNEVLQYLGLRGNSLTGSLSPDMCQLTGLWYFDVRHNYLTGPIPNSIGNCTSFEILDISYNEITGEIPYNIGFLQVATLSLQGNKLTGNIPEVIGLMQALAVLDLSDNELVGSIPPILGNLSYTGKLYLHGNKLTGPIPPELGNMSRLSYLQLNDNQLVGGIPAELGKLEQLFELNLAKNNLEGPIPQNISSCTSLNQLNVHGNRLNGSIPLSFRNLESLTYLNLSSNEFRGGIPVELGRIINLDTLDLSNNNFSGHAPASIGDLEHLLTLNLSFNHLDGPLPAEFGNLRSIVVIDMSFNNISGGIPGELGQLQNVASLILNNNKMDGKVPDQLTKCFSLATMNISYNNFSGVLPIERNFSRFSPDSYIGNPFLCGNWLGSICDPYARKSKVLFSRTAVVCIALGSVTLLSMVIVALYKSNQPKQFMKQSHKSVRGPPKLVPLHKDMAIHTYEDIMRITENLSEKYIIGYGASSNVYKCVLKNTRPMAIKRVYTHYTHNLQEFETELGTIGSIKHRNLVSLHGYSLSPHGNLLFYDYMENGSLWDLLHGPLKKVKLDWETRLKIAVGAAQGLAYLHHDCDPRVVHRDVKSSNILLDENFEAHLSDFGTARCIPTAKAHASTYVLGTIGYIDPEYARTSRLTEKSDVYSFGIVLLELLTGKKAVDNEANLHQLILSKADNDTVMDAVDPEVTLTCTDISHVKKTFQLALLCTKQHPSERPTMHDVTRILLSLLPSPVPKICPPYKAFDYAQFVMGQEQSQLPTEQQHVQPDHNSSDAQWFLKFQELASKHTS >KZM94925 pep chromosome:ASM162521v1:5:27092602:27092913:1 gene:DCAR_018167 transcript:KZM94925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKPKQGECESSGENVVLPTPYADSFARFAEDSNYSLKSHGILMDEEEKKMSQLFKEYEEVFSENMKVFGERAKAIAGMRRNLAAKLTKFNTSSHIPVRSD >KZM94279 pep chromosome:ASM162521v1:5:20464343:20465833:-1 gene:DCAR_017522 transcript:KZM94279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEFYDKDRKKGDVGGSLGRDAASGRGVLVATEDSLSKNHHAKHLDIEEMWADDASEDDKEDNGEDDDNSEEDDIAQKGDDDGDDNN >KZM94985 pep chromosome:ASM162521v1:5:27664726:27667202:1 gene:DCAR_018227 transcript:KZM94985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNAPKFQKPELLPAPNPPNYHPETTVSPPSHDGLHFYQFMIAGSIAGMVEHMSMFPIDTIKTQMQTLNSCPIKSVSIRHALTSIFRSQGLGGFYRGIAAMGLGAGPAHAVYFSVYENCKTRFLGSGYNDSLVHALSGVCATVASDAVFTPMDVVKQRLQMRNSPYSGVLDCVGRVFREEGFRGFYASYRTTVLMNAPFTAVHFATYEAVKKGLIEVKEESGEDESFVVHATAGAAAGAVAAAITTPLDVVKTQLQCQGVCGCDRFISGSITDVIRTIVKKDGYHGLMRGWVPRMLFHAPAAAICWSTYEAGKSFFQEFNSSRSNKNTS >KZM93456 pep chromosome:ASM162521v1:5:6612459:6614253:-1 gene:DCAR_016701 transcript:KZM93456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALVCNTILKSKALQQYIYDVSTKGREHKQLKEIREATCKKYDWEAMMGVPPDEGLFLSILLKLMNAKKTLEIGVFTGYSLLTTALALPHDGKITAVDPSREAYEVGLPFIREAGVDHKIDFIESEALPVLDELLKNGEQFDFVFVDADKPNYKNYHEKLVKLVKLGGAIAYDNTLWLGAVVDKEEDIKARSHITNMEGFLGCRRAMIDLNISLASDPRIEISQISIGDGVTLCRRIV >KZM96305 pep chromosome:ASM162521v1:5:39966518:39974928:-1 gene:DCAR_019547 transcript:KZM96305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNNGIIKHNRRCRDVVFLVFFIAFWVAMIVNSSFAFNQGNPLRLNFGLDYEGNVCGDRHAHRNLRELELHYWLNPNQVYQSGLKNSQFKLGNARSVCLLDCPNPSEDSLGWVCDYPDGEIHLSIDDWIDRNYDYFADLTPQLRNSSLQLQGPCYPIVFPSVNVYWSCQLIARASNASLRHWREMGGVSIVEDILIDKSIHRSINSHSSVLKRYVADVGKAWPVLIVCGGIVPLFLSVIWLLLIRHFVAGMPWITVILFNVLIISVTMFYYLKDILCDPLFACIPIPAGWIGNSAISPIIGERDPYYTVSARVAAKVIGEVRALIIFPLIPYAILAVFYMIWLSAALHLFSSGQVVQNDCNANCCAYDLKTKRVSCNSCCGYSIHYTSHIGAAILFHLFGCYWATQFFVACSSTVIAGSVASYYWARGARGETAPKVPFLPVFSSMKRLARYSIGSVAIGSLIVKFVESTRFILEAIRRRLKVADIMPESWIGKVAYHSSNSCLRCIGWTIKSVNRNAYIMIAITGEGFFRASEMSTELIISNILRIGKVNIIGDVILFLGKLCVSLSSVLFAFLMLESHRYRSAHNKISSPLFPVLACWVLGYVVATLFFAVVEMSIDTIILSFCQDSEEHQGTAQFASPLLMETLNDQNEEPKSLKWMLKANSPSSGGTRVSHRAKVVGMDAEGK >KZM96365 pep chromosome:ASM162521v1:5:40499609:40502415:-1 gene:DCAR_019607 transcript:KZM96365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNKLVHITLLYFLLIDGFRSEFLVLEEEGSKPLLPKEEVKILETISSKLRNPYWNLSPSSCNNVEDFNVTFGFNIFSEVNCDCSYNNNSVCHVTRIRLKGLNLTGELPDEFAQLTHLGDINLSHNYISGTIPKAFGQLSVYFLSLLGNRLHGLIPAELANVTTLEELLLEDNLLEGPLPQNFGNLIGLRRFRIDGNEFSGKIPDFIGNWTNITRLDLQGTGLEGPIPSSISSLKNITELRISDLSGANSTFPDLKDMQLHVLILKNCLIKGAIPEYLGGFSELKILDLSFNSLSGQIPDSMASLNLYLKFMYAST >KZM93143 pep chromosome:ASM162521v1:5:2768265:2768780:-1 gene:DCAR_016388 transcript:KZM93143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDHKELQLLPTPRTIIPPSPSRIPRWPLESKARSSKDHHMHNLFEGPSLDLQLSISLRPFRPPETNNSAVLRPVLDRSSHDIRAETLKWQASEQIRLAALEKAYAERVRELTRREMELAQSEFSRARLMWERAREEVEKAEKLKERAVHRIDPMCMEVTCQACRQKFRS >KZM93231 pep chromosome:ASM162521v1:5:3908769:3910151:1 gene:DCAR_016476 transcript:KZM93231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVADCFLDGNADVVEFCPRESFQHLLAAATYTLKEGDHPSRSGSISLFDVNADAGGGGRGLDLLYRVETSGIFDMKWNPVEDNVCPLLAQADADGHVRLHRIENAGDKTVANGNLMTEVNDKEISSSMCLCLDWNPLATSISVGLSDGSISIISFLESQLEIVHEWKAHEFEVWATCFDHHQPNLVYTGSDDCKFRGWDLRSSSNLAFQNSRAHQMGVCCISKSLTDPHTLLTGSYDEYLRVWDVRSISKPVNETSISLGGGVWRIKQHPFVPGIVLAACMHNGFAVVKLKGDGAEVIETYSKHGSLAYGADWQKRSTSHEGGKKSTAVATCSFYDRCLRIWVPESTIAT >KZM94232 pep chromosome:ASM162521v1:5:19696663:19697659:-1 gene:DCAR_017475 transcript:KZM94232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEVVEHQPKQERLRTRWTASLDKIFADLVVEQIHLGNRKNNVFDKKTWNHIRDEFNRQTDLSFNNNQLRKHLDVLRTRYYNLKSSFDQNDFALDDSCYTAIDLWDMGVQLKPDSTKIKDCPILEQLCTIFADLGADGKYAQSSHYAELDKSNPSGLVTCLENENLAFKPPTLTTPTQGNTTAVNNLSKKNAERKRKRAADEGANLGDNNKNNMLHNAMAEGMLEMVSALKLHMAVAPHRDERFSISACIKCLDEIEGVDDWLYYAALDLFEDPNLREMFISLKGNNVKWTWLQGKCGNFI >KZM94199 pep chromosome:ASM162521v1:5:18469168:18471187:1 gene:DCAR_017442 transcript:KZM94199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETVKTEASEVLVAVSDVPQAEELTAPPASVEETKNIVESASFEEETNVAHELPDPQKVALDELKQLVKEALEKHQFTTPEAPVSEPEPEEVVQCEPEAAVVDKAETVHEDGVKTVEAIEETVVVAPEEPVVEAPPCEPAVAGPEEVSLWGIPLLADERSDVILLKFLRARDFKVKEAFAMLKSVVAWRKAFGIEELLHQDLGSELEKVAFMHGVDKEGHPVCYNVFGEFSNKELYQNTFADDEKRTKFLRWRIQFLEKSIRRLDFSPDGISTIVQVNDLKNSPGFFAKELRQATNQALQLLQDNYPEFVAKQVVVNVPWWYLAFYRMISPFFTQRTKSKLVFVGPSKSTETLFKYISPEQLPVQFGGLGREGEQEFTITEAATEEIMKPTCKHTIEIPVTETCVSIWEAKVVGWDVSYGAEFVPVEGYTIIIQKSRKMGLSDELVICGSYKSSEPGTLILTFDNQTTKKKKLLYRSKNKPAE >KZM94811 pep chromosome:ASM162521v1:5:26159900:26163168:1 gene:DCAR_018053 transcript:KZM94811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERSKVVEILSARGVVFARVESGVCVAFSRGTNQRLCFLNVSGEIIQDIFYNKCDDSIITVSISESDNFSSFKCRSTGIEYIRRAQPNAGFTLFESLSLKRPGFVDFDDLDSNAVTFSVEERGELVTTFEEHHFLNPADSSFNNTIYTTSDEDLIISYGKAETNDQQRNGSINISSILTGKSIAKIDAGNSRMLKECTCSGRRCNSSSHQATEITSTVAQALDDVTALCYDEELNELYTGNQAGLLHVWSS >KZM95383 pep chromosome:ASM162521v1:5:31638131:31638427:-1 gene:DCAR_018625 transcript:KZM95383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLIKVVWLVMVVAMVVKMEAIDLCNMSEDGLMACKPAVTAPEPADPTAECCQALSGADLSCLCSYRNSFVLPSLGIDPDLALALPSKCNLTPPANC >KZM94703 pep chromosome:ASM162521v1:5:25117612:25119071:-1 gene:DCAR_017945 transcript:KZM94703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSVETHAGNHLSPSQQPFTPPPGSTLSCLLSDLGTYGYNNCSPVVLVLESGSEGSREIVSEREGDLDGFSVNSHLGVEEVGAAHVDVSRKSCEIQVKEEGNQEKKEEKVVIVKLGKFKNVDGRYREESSGGANSTNVDDGRRCFSMGSYEYVMDEDTSLKVPIKTPIKKLSSKKPSLPLIPGKKLAMSECDCESRRYFEELEGFGSFSKYAATSTKISSNPNNVIGKSKRESFSLSKIWTRGKKKNLNSIRDESSSQASSFRFPLQRNVLVADETKAKNHGNDSGRTASEIGIGRWENPGSELGCDEENQSCNSLDSQANPQSFTSRTLLWLLGKQNKVVHSSYPPGL >KZM95533 pep chromosome:ASM162521v1:5:33069560:33074847:1 gene:DCAR_018775 transcript:KZM95533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVRNILKPKVEPQKQLREWQSLAKELIRSRRTVNRLHENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKIVNNLMKAPQLAVTMQEFSKEMTMAGVIEEMVNDSVDSAIDSEDIEEETEEEVEKVLTAIAGETAAQLPQAVRRERLKQPAQSVEDAEEEGAADEELEEIRARLAKVRS >KZM95619 pep chromosome:ASM162521v1:5:33923399:33929172:1 gene:DCAR_018861 transcript:KZM95619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEKRKVNGLGFDSEMSSKSRESMSTDEDEFLDDVFSDEEDDDDDEFNDCDSGAGSLLELGETGAEYVQVGDQTCSIPLELYDLSRFGDILSMDVWNDVLTEEERFDLTKYLPDMDQEMFMRTLKELFTGCNMHFGNPVDKLFKLFKGGLCEPRVALYRQGSIFFQNCNHYHHLQKYQNSMVTNFHQIKDAWANCKGYSIEEKIRVLNIMESQKSLMHEDMEDLDTDSEREESVDGMWNRRLMNQKVGQKISHYSEEKLSSAFGYSSREKQVALDTAHYERQNPKGTLKVTGSKKSASKESAGCYSAGRQGMKMKSSPYGLASISSRYDMASGNNSHAAVRMRNRVPDDNTDGEALYKVAVQSNRSFPNTSRKDDPANSKVLEKYENYRGEKYSDIFTGLPLSSKKDFSAYNRNRTVNQLSDIELLTANPSKSVFSHEYVNNLESPDNMQHLNVGQRVKFEKGHSSNLSLKGNRAELSDRGYPFRHGTAEDEHFSTDLSPTYGDLNVKSKSKFEKNSPDIKVNDRSGSGYRENSKHENRGAIANQDGRRVVPNVKRRKTFTSDSDSSEQYEDDNPLMRSKWAYPGGASGMKSVSFPKQAKFVKGDEKIDGCGDEISNIQKKMGFHGTHSYISEDNFSMKAKQKNKMDDIGRNFPGPGRMTGYNYDDDWGEWSKFGRNGHVQNEQSERILMASVPPYNVGRMEKIETMHGYSMADNEDDSLKSILAKHNNTSGKMQNKGKDIGARDRHFERSDMQLLECNSSMKKRKVKDDMMINVTEPNEIGTPEKGVSDLSSTVVLAGEDVESKSVKKQFTLITPTVDAEFSFSIIHLLSAVRMAMTTILPDDPVDMGKHNGNNNGVLKDDDEESKQEGIGGELSHLNRDIMNTERTTELNLPSLTVQDIVNRVKSNPGDPCILETQEPLQDLVIGVLKLLSSKSPPLGAKGWKAVLKYEKSTKSWSWIGPRSPSDQETTEESKFEKNSPDIKVNDRSGSGYRENSKHENRGAIANQDGRRVVPNVKRRKTFTSDSDSSEQYEDDNPLMRSKWAYPGGASGMKSVSFPKQAKFVKGDEKIDGCGDEISNIQKKMGFHGTHSYISEDNFSMKAKQKNKMDDIGRNFPGPGRMTGYNYDDDWGEWSKFGRNGHVQNEQSERILMASVPPYNVGRMEKIETMHGYSMADNEDDSLKSILAKHNNTSGKMQNKGKDIGARDRHFERSDMQLLECNSSMKKRKVKDDMMINVTEPNEIGTPEKGVSDLSSTVVLAGEDVESKSVKKQFTLITPTVDAEFSFSIIHLLSAVRMAMTTILPDDPVDMGKHNGNNNGVLKDDDEESKQEGIGGELSHLNRDIMNTERTTELNLPSLTVQDIVNRVKSNPGDPCILETQEPLQDLVIGVLKLLSSKSPPLGAKGWKAVLKYEKSTKSWSWIGPRSPSDQETTEEVTSPEAWGLPRKMLVKLVDSFANWLESSQETLRLIGSLPAPPLTLMQLNIDEKERFKDLRAQKSLNTISQSCDEVRSYFRKEEVLRYAIPDRAFCYTAADGKKSFVAPLRRCGGKLTSKAREHFLLKQDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYVVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWMYLHRDREEEDFEDDGTSSTKKWKRPKKEAPDSSERGLVTVANNASIEGGQTQIEPSSNLIVDSTCMDEDKRVDHLYYNDGQNEVNLEGLDQPGIHYSSDLIVDPSGMVDHKMVDHLHYDDRHMEVNIGGLGLIGIRSGSGLNVDTSCMDDGRRVDHLQYSDKHMEVSIECLDQTGIHLSSEVRVDPLCIADNKRMDHLNYNDREMEVNIDGLESKQGIMDQGPPMVWQAHGLNSIQENSLLCIENSRPGDFDNRNLGR >KZM93744 pep chromosome:ASM162521v1:5:10084882:10085052:1 gene:DCAR_016989 transcript:KZM93744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTSTVDEENEQLKNKLAEMNERMKAMEYQMAKFIQANSVQDPQDLDLDSENDDN >KZM93226 pep chromosome:ASM162521v1:5:3874781:3878531:-1 gene:DCAR_016471 transcript:KZM93226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSKMEELQLFRGDTVLVKGKKRRDTICIVLADEQCEVPKVRLNKVVRANLRVRLGDVVSVHQCPDVKYGKRVYILPLDDTIEGLTGNLFDAYLKPYFLDSYRPVRKGDFFLVRGGMRSVEFKVVETDPGEYCVVAPDTEIFCEGDPIKREDEERLNEVGYDDVGGVRKQMSQIRELVELPLRHPQLFKSIGLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTQGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEKVSKNTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVSNEHFQAALGTSNPSALRETVVEVPDVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSRGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSQGDAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDASRLQIFKACLRKSPVSRDVDLLALARYTVGFSGADITEICQRSCKYAIRENIEKDMEKERRKAENPEAMEEDEVDEVPEIKPAHFEESMKYARRSVSDADIRKYQMFAQTLQQSRGFGSEFRFTDRPSSSTAARAADPLASTTAGRYNDDDDDDLYG >KZM94139 pep chromosome:ASM162521v1:5:17021318:17021760:-1 gene:DCAR_017384 transcript:KZM94139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDRQQSITLTSKLTCNVNTTDNNNPSFHSRRKVRRRGSFAAELLHGRKNLLGYLLFFLLLYLTGLITFVDPISAIFYKPSSPGSVYKSHEIFDKLWPQIQSDNSSAIQLAL >KZM96011 pep chromosome:ASM162521v1:5:37406015:37406314:1 gene:DCAR_019253 transcript:KZM96011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRGENKTTGVEKMLIKCCRKTKKMIMKITSHSDGNKVASIDQPSLSAPACSTDPSIVHCDELFVSGKKGTKAMDADSFMAYQIQAQTHFSMRPNIYL >KZM93290 pep chromosome:ASM162521v1:5:4485351:4488273:-1 gene:DCAR_016535 transcript:KZM93290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSVMKGGAGKKCSTTAMLEELVPPSGFKKEEVRLQVNNRGEIITSGERQTVGDRLIIRFSQTFKIPENSITEKITGKFEGEVLYVTVPKLKKDKDIAKINNTVKLRKKPKTEDNIAQPCNVSQPKNDNDDITQTSNIPKPKNEDNITKSSHIEGNVSNKNHCKIAEKVTFDGKGIITEDWNLTEESGMVLQSVVKNLKENKGILITAVLAFSLGVLVSLLAMTNTRDTKSCTGKKSSSTVMLEEFVPPSNWSEDSDSHRLVLHLPGFKKEEVKLQVNNRGEIITSGERQAGGAKLVTRFLKIFKIPGDSVSEKITGKFQGEILYVTVPKRKSIVQNLKENQGIVITAVVAFSLGALACHKLSK >KZM95024 pep chromosome:ASM162521v1:5:28082717:28084422:-1 gene:DCAR_018266 transcript:KZM95024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDRMESVQGLNLRYMVCQFNTHQMEAPEQDVLVDFTARSHGDGPIVPIDTEKLLIEKQQCQADLPAQVASTSSMPPINRDVNHANGVVNVAGATGELLARKRKNQVEVPSQDTYDHANGVAYVSGAFVPADTENILFEKQRRQAALPPQDATIGFTAPITRGVNHAYGVADVAGVTVPASTENLLYRNCRHRVEEPAQDACTGFTAPISRDVNHATGVAEVAGASADIEKLPFEKHRQYWEFAESFEACKKMPQNPHFRPLKFCKEIVREGQALARMVTYNNIVRKISNFNMDTKREEMMDELSLLEDLDAHGFNVQMLKDRLNKLLMFKSEEEKVKNMLEQKEKVLSIHVEESRIFNGTRAKREERVQELWKEVASIQKEEEYIDAKKANLQQVEEANNEKCGRINMQIEEHIASPLW >KZM95701 pep chromosome:ASM162521v1:5:34674994:34677365:-1 gene:DCAR_018943 transcript:KZM95701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARKTLQISREESELVSQLRVHPHRVNIEHSFYEDYAIRGIRVDRVEPGFVSCTFKVPSRLTDRSGNLCNGAIANLVDEVGAVLVQVAGLPMNVSVDMSICFLSTAKDELEVTSRLLGQKGRYSGTIVLLKNKATGELIAEGRHSLFGQHRSKI >KZM96146 pep chromosome:ASM162521v1:5:38661103:38662449:-1 gene:DCAR_019388 transcript:KZM96146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQRDIVRFNVGGRIFETTATTLANAGRNSYFGAMFDENWDLIVEDQSKEHFIDRNPDCFSILLDLLRTGEIYLTSNVPEKLLYREALFYGLLDHVRTAKWGSFDGNRLRLSRSVNGRAPGDGTAIRAGPDGGCCVAHGSMVHVYDWMLEEHSPINLDYQRVNDAGWINPDRLVVSACERLGRGDGGMGLFSTSAGELRYKFHVSHENQVKSYTAGALSFSSDYKIYSSCKGRSNEYGIGVWDQNTGQQVDFFYEPPGWSLGDADKLQWLNGTSCLLVSTLFPRKDNCYISLLDFRAKKMVWAWSDIGTPIIDERISDERRVRDAIAMEDTSSICVVNEFEDLGFMDLRSRVGSVRWSSRSRLMKGKMPDEPCYPKLALHEGQLFSSMNDSISVFCGADWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWEAPPPPIMSS >KZM94936 pep chromosome:ASM162521v1:5:27170407:27172052:-1 gene:DCAR_018178 transcript:KZM94936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLVITTLTWFLLFATLMNVAVANDVGINWSRNSRLKLLPSNIVDMILSNGIKKVRLHSFVGSVARAFTGSGLEMTTTVANIDMILVNSSRKAQAYVAENMTKAYTRLDLNVKTIVVGNEPFTIVDNITQMYQDDVVNAIGYISEAIPKQPSPINQIKATMAHAPDILLSTIQKPSDADFNDNVNDRMVRILRVLNASGAPLAINMYPHLHLLEKNFPLDFGFFDNDSPFTVKDGANTYTNIFDFVYDSFVWALKKNGFGNMKIIVTQIGWPTDGHIYANASTAQRFYKGFLKKMANDEGTPLLPKTRIEAYIYNLNDENMRDIAFRGPSIRHYGIYDFKGTPKFQVDLTMKNTTGGKLAPAQGVVPMPNRWCVYNPDAKIKEANRTGVLLEQFQIACDHSDCTKLMYGGSLNNLTFEGNISYAFNDFFQANNQMETACDFKGLGKITVDDPSQTYECQFPLQIISMIYSWGQGEMGNILAARGANAVVSKTSAASLIKVSGFSTIVVPLLLSALVL >KZM93889 pep chromosome:ASM162521v1:5:11865404:11867083:-1 gene:DCAR_017134 transcript:KZM93889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVTKSNNNHHQMKKKTQKPETKFRMDLDLCSRNKDLSAAISLYNFALSNPTTIHLNQHHFNSLLYICSNSVSDPTALDYGSRIFDHMLSSNVVPNEATITSAARIAAAKGDADSAFELVKGMGKYGGGVVPKLRTYGPALFAFCGSLEAEKAYLVEEDMVANGLVAEEAELMGLLRVSVECGRSDRVYGYLHKMRRVVRCVSEEVVGVLEKWFRGGDVGGLEEWDAGLVKDAVLRNGGGWHGLGWLGKGRWDVRRTKVGSSGVCCCCDRGLVCVDIGEEEADKFGESVAALAMKREVEANFRDFQNWLDGHCEYEAIVDAANIGLFQQNFAEGGFSMAQLVAVVKELYKRNNKWPLIVLHKKRVKSLYEDASNRELLDEWMQKGVLFGTPYGSNDDWYWLYAAVKLKCFLVTNDEMRDHIFELIGSDFFVKWKERHQIRYSFVKGAVDLHMPPPYSVCIQESESGAWHVPLASECNNESSRTWLCISRTIEHKTSDEDTKCLESSEITRSHESFNSCQPLNDATLSNGLAGRSDSCSNAAVTGKRKERSPSPSRTGL >KZM96328 pep chromosome:ASM162521v1:5:40137965:40140113:-1 gene:DCAR_019570 transcript:KZM96328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAQCLSSSCWTISSKIQSLSLNTTKTHPNSCASFRPLGFSSNTSFNAFSTGFVSIRPVKRQTGQLIVCEAAGPTKKADSAAKRARQSEKRRIYHKAHKSEVRTRMKKVLESLEVLRKKTDAQAEEVITIEKLIAEAYSVIDKAVKVGTLHRNTGARRKSRLARRKKAVEIHHGWYTPSPELTA >KZM93189 pep chromosome:ASM162521v1:5:3328448:3330957:1 gene:DCAR_016434 transcript:KZM93189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGRILSEHRRRELQKRVIGEEILSNWLHRKKLEEEVLTEMFGEEMLQSVKNSIASENPEVTSDTCNKSPTDLQKIIHIQDKGEDSGLVPHLDGPMSLNGQERIDQSPVNEKEGGLTGSIPIQRPPRAPNTTKPGMFIGLGRTATTQSELTLDNFIEMQKGEGSPLVLYAQDPAFLNGQSPVNDTDSGLNCSLPFQRFPRAPNNTNPEKLVDLGKEATTPLVNSDTKRTSEGVLNVDTPPIGGDNETAGKTTLKRKRWDCDLCCVITSAESVLQAHLKGKKHLAKLKASSETAKPPTSSEDHGKSIQEDNLPK >KZM92861 pep chromosome:ASM162521v1:5:348520:351302:1 gene:DCAR_016106 transcript:KZM92861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLRCSSLLPRPRRYIPGKTPVSAPGEAGSLLFKLKKQLLLPCATNSSRPYSSKEAGGKHFNVLQDDVETPYLIGCVHKYDDTLLQRVSPHQTRSSPLPLIITNALMLCTPLQVFAETCETDRPVFEMPLLLFVALVGATVGGLLARQRKGELRRVNEQLRQINAALRRQAKIESYAPALSYAPVGAKIPENEVIIDPRKHELISHLKNGKNFLRNQDPEKAFVEFKTALELAQNLKDPIEEKKAARGLGASLQRQGKYREAIIYHSMVLTISDREKEDSGNTEAYGAIADCYTELGDLERAGVYYDQYIARLETD >KZM95976 pep chromosome:ASM162521v1:5:37184891:37185987:-1 gene:DCAR_019218 transcript:KZM95976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSADRRGWDGLIPDVLGSIFSKLSLEDVLTVVPSVCKSWHKVVMGPYCWQSIDIEQWSDQQSHLDRIERMLRMLITRSNGSMTKLSVSRLQYDHLFSFIADNSRSLQILRLTRSKISDSIVEQLADRLSGLTFLDLSYCDKIGARALEAIGKQCKFLGGLCLNMHPIDVLGKPSQKDEARAIATTMTKLKHLELTYIHLDTTNVVEIISNCPNLEFIDLRGCWDVDLDNSWLKDEFSKLKVLGPHVADHLEIHCCWECEDSSYYSDSVYDYESWDEFDDDDGTLEIILYEGQGENSDNAWPQSP >KZM96259 pep chromosome:ASM162521v1:5:39582272:39584272:-1 gene:DCAR_019501 transcript:KZM96259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITMSSLTSSFASLSFTSQISQKPNSISLAFAKPNCSTLVKRHSPLVVAANAAAVAGETEDLKKFVKATLPGGFAAQTLWGTGRRKSAIARVVLKEGTGKVIINYRDAKEYLQGNPLWIQYVKVPLATLGYESSYDVFVKAHGGGLSGQAQAISLGIARALLKVSEDHRVPLRKEGLLTRDARVVERKKVGLKKARKAPQFSKR >KZM95100 pep chromosome:ASM162521v1:5:28674888:28681440:1 gene:DCAR_018342 transcript:KZM95100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKALKRSSCNSSSKEQTQKKRKKLDTNNVSIIQQLGQISPFNPQQKSPILNLANPSRFQQLLESESDVVAPQSDCKPSSSVEFNFDVGNANERVFVLSQDLFCTPDYITPNEAPIPKTLDCNEEDACPKSPEKIKTVKAKKQMQNAALASITGASFPYDQLVSELPDDTSVSHDCDSVKEIDSEFQKKPNYISQSAVALRCRVMPPPCMKNPYLMDDSGVDIDPFGSSRSKCEGLFPSAIGGGDLPRYRTDFHEIEEIGYGNFSRVFKALKRIDGCMYAVKHSTRQLHLDTERRKALMEVQAMSALGSHENIVRYYNSWIENEKIYIQMELCERSLSINGSSKLFTEGEVLLAMHQIAKALQYIHERGVAHLDVKPDNIYVKNDGYKLGDFGCATLLDTSLPIEEGDARYMPQEILNEKYDHLDKVDVFSLGATIYELAKGSALPESGPHFLHLREGKLPLLPGHSIQFQNLLKAMMDPDPARRPSAKEVVENSLFDRIRITSKTR >KZM94404 pep chromosome:ASM162521v1:5:22059656:22065149:-1 gene:DCAR_017647 transcript:KZM94404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVGVLVLLIFVFAPVVHGGGAPARLLTLERAFPANETVELEVLRSRDRARHARILQGVVDLDVFGTSDVYVAGLYYTKIRLGSPPKEYNVQIDTGSDILWISCSSCTDCPQTSGLGVELNLYDVGSSSTASLVSCSNPICNSMVQTNAAGCITQGNQCGYSFEYGDGSGTSGHYITDLLYFDTILGPSVTANSSAPIVFGCSSYQSGDLTKTDRALDGIIGFGQQDLSVMSQLSALGITPKVFSHCLKGEGIGGGILVLGEILDPTIVYSPLVPSQPHYNLNLLSIAVGGQLLSVDPAAFATSSNRGTIVDSGTTLTYLVAEAYDPFLDTVTAAVSQYATPVVSKGNKCYVVSSSINEIFPLVAFNFEGGASIVLKPTNYLMHTGLLDGAPLWCIGFQKAQDQAVTILGDLVLKDKIFIYDLSRQRIGWADYDCSSSVNVSITSSKDEFVNAGQLHSSNSPRNVILHLVMSFPEASPGSFQCNDFAFIRALELYCRLIVGKILSYVI >KZM93679 pep chromosome:ASM162521v1:5:9159966:9160859:-1 gene:DCAR_016924 transcript:KZM93679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLIPFLHKPTEPAPSSSWPWPSCGIPKTLSFRDEPMHNSVHVATESCFFNSSARDLSADTIEQQEDEDLDKTIENVIRQARLSSERLFFDNHIAEATSPQLKLSKTDKNSTDFNKNNPVTSTSSYISSSDAKLVVMGMDSTNPFEDFKKSMQEVVEASGLNMKGDRDSLDQLLSWYLKFNCKSNHGYIVGAFIDLLVSLEISSASNTTFSSPTTLLSSGTSSCDNEGKSSSDLSSATVATEPPVSVLSFSSNWSNDPCLLTLFEEEDDEEVAAGLDHHLIRDQEANKISETS >KZM94596 pep chromosome:ASM162521v1:5:23972238:23977830:1 gene:DCAR_017839 transcript:KZM94596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSSALTRRKKPKIKLVCSFDGAFTLRRPPAYLRYTGGETRIISVDRAITFSRLSAKISELMKCPKQAFYLNYQVSDGENEEKHSPLALIASDDDVRCMIDVFEKLETRGRYYRLWIYVCDYDEYVNLQKLMKLRNVVCNKVDAFDDVKYSNDCLRKIVLRQQLVVKQSDFIGRRFHELGLGGEEGEVERSRINDENFVNPRFVRSSKDYEEYEFSKDYSKESRSYEDNCHRLNVKDSEDQECNGDSTVGRRSDVDLVDSFGSRSFADSVSLAVLHSQPMDRKDMVGYKYDDDNFMENRSFVNSVQLAVSHSRPMDRKNMVGYKYDDDNFTESRSYVDSIPLSASHSQPLISKDRNSGVGINESDSVLVNAGRIVSFNSSDSIGTLHCPRPYAMMSYHDGNCESRSAEPATLSNLNRENLVPCSADSMTLRPGLAPLFCNNQLLGGLSTTTFSCISSDSGFNNKHFCQGNATKQGIYQSHSRSDPGNFTEIVNHRKGRFNGRPWMGRFYPIQSSSGISKQGKVVKTSHLTLSKSLSDSSSQQVECNARASLCILNNLTSSFAFPSASEGNIGEHVSGVSQDGNTNPEFLFCFHDPLSGIADQSFSSLVNAEEFPQCTEGLDTQIDFLSDCVPKQHSAAKHQDASNISRSPKKADVGCNAKLLEIGAVCESYSKNQTSALIKQESVNLSSHNLSLLSLDDLKSPTNAASSFIPDTTVKPQILGSHLMGPDHFGTDAQVPVLNKKEGVLQQDVESTLSVRDKEEIKKTCDESKVNGEIPPEITSVYNHLAIGELQAIKNSDLEYIRELGSGTYGTVYYGKWKGSDVAIKKLKPGCFDGGAVEENRLIADFWKEAHILGQLRHPNIVALYGVVADGPATNLATVTEFMINGSLKQVFRRKDRTIDRRKRLILAMDTAFGMEYLHEKNIVHFDLKSHNLLVNMRDPRRPVCKIGDLGLSKIKQKTLVSGGVRGTVPWMAPELLSCKSLVTEKVDVYSFGIVMWELLTGEEPYSKMRSHEIIAGIIKGTLRPETPAWCDPAWRSLMEKCWSTDPANRPAFSEIAKELRTMATAMNIK >KZM93222 pep chromosome:ASM162521v1:5:3814959:3815315:1 gene:DCAR_016467 transcript:KZM93222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFNVVRYDYSPCAACKLLRRKCLPDCIFAPYFPPEELQKFISVPKIFGASNVAKLLNEVLPHQREDTVSSLSYEAEARLRDPVYGCVGTISILQLQVERLQKELDEANAELVSYA >KZM96526 pep chromosome:ASM162521v1:5:41638766:41640489:1 gene:DCAR_019768 transcript:KZM96526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLKHSLTVSNHLEQRLLAFKPHFSPLPSKLALDKPARCNYLTQKPLYISSQGSLGRFDDSEDSRARLIKCDAYEAHRSQPMEINIDIDEQTRQAAAQKLKIGVYFATWWSLNVIFNIYNKKVLNAFPFPWLTSTLSLAAGSLIMLVSWASRIAEAPKTDMDFWKALFPVALAHTIGHVAATVSMSKVAVSFTHIIKSSEPAFSVLVSTFLLGESFPMPVYLSLLPIIGGCALAAVTELNFNLVGLMGAMISNLAFVFRNIFSKRGMSKGKSVGGMNYYACLSIMSLLILTPFAIAVEGPQVWAVGWKNAVAQIGPHFVWWVVAQSVFYHLYNQVSYMSLNEISPLTFSVGNTMKRVSVIVSSIIIFHTQVQPVNAVGAAIAILGTFLYSQAKM >KZM95031 pep chromosome:ASM162521v1:5:28125268:28125531:-1 gene:DCAR_018273 transcript:KZM95031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLGTDEGWKPGLKVLARSVAHLNIERPVRVMSSTDWSAKELCLEQIEFACAHVYACFRVAFELLKHGNEMPRMMKSPPPVDDDDE >KZM93251 pep chromosome:ASM162521v1:5:4056973:4058480:-1 gene:DCAR_016496 transcript:KZM93251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRIFGRALCTAAKSESSAAAAAAYTSRMGHNPLEEFFETDRSPDEDKPVVYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLNSQNLRFPNPERIPKVRKSMCRIKHVLTERAIEDPDPRRSSEMKRLINAL >KZM96249 pep chromosome:ASM162521v1:5:39506444:39506755:1 gene:DCAR_019491 transcript:KZM96249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLISKKPEENVVVTSHLQLKCDPNNKTGTLDKEVVLRRIRQRKRVNKLRSFVGSFFPSAKSPGAGGEQEHEKDETESGVGSPVQVLSQSTAKFADNPFTDP >KZM94763 pep chromosome:ASM162521v1:5:25564790:25566269:1 gene:DCAR_018005 transcript:KZM94763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPTFVPDFFKYITPDDCATNELLLPRKFCEMQQHILRENCILTIRNGYKMHVAYDRKTRKLRELGDLFNDFELGGGEVLIFELVDGNNFNVYIVGEDGNEINYPAILHTSQTSSSSAVTNNSSGWKFVKFISNAHPTDDEILLPKAFMRMFGSKLPEWFSFVLKNHFRFGGHLDFLEGKITGLRKVCGGLKLANFQKLELLVFTYEMGRFLNLSLFDGHNVETIPVEFKFLTDQWKKRDKITVCKGRLQWKLEIRKARKGNRITINGGFIALRTDMQLDVGDCCFFRWINESYHHFRLEIVKGGLYDNA >KZM94874 pep chromosome:ASM162521v1:5:26653996:26657752:-1 gene:DCAR_018116 transcript:KZM94874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFHFFFFLFFLGGISAATTLPLQLLSLLSLKSSLIDPLHHLSDWDSTSNPVWCNWSGIKCDRHSRIVSIDLSNRNLSGTFPSNIRQLGHLRFLNLSSNAFQGPLPRDIFELPSLISLDISRNAFSSEFPSAISKLKHLSLLDAYSNDFNGSLPQDITRLQFLEHLNLGGSYFSGEIPPSYGSFPALKFLHLAGNSLTGAIPSELSLLKQLERIEIGYNRFTGDLPAQFSLLSNLNYLDISFSNLSGVIPPAFGNLTKLESLLLFNNRITGNIPSSLSQLASLKFLDLSFNQLTGIIPSELSLLKQVNTLNLMTNKLTGEIPEGIADLPNLEFLSLWNNSLTGVLPWKLGSNGMLQKVDVSTNLLSGPIPPHVCDGNKLTKLLLFSNKFSGQLPLSIVNCTSLARFRVQDNNLNGSLPLGFGRSPNITFMDVSKNNFSGSIPKDLIDAPQLEYLNMSNNFFHDELPDNIWNSHSLQIFSASHSNLIGKIPDFIGCEKLYRIELEGNALTGSIPWDISHCQKLIVLNLRRNSLTGIIPWEISTLPSITDVDLSHNLLAGTIPSNFDNCSTLESFNVSYNQLTGPVPSSGNILSIFHPSSFIGNEGLCGGILKNPCHTTDPSPRDVEVQHKQPRRTAGAIVWIMATAFGIALFMLIAGIRYYHARYHRGFASGDREIGPWKLTAFQRLNFTADDVLDSLSMTDKILGMGSTGTVYKAEMPGGEIIAVKKLWGKHKETIRRRRGVLAEVEVLGNVRHRNIVRLLGCCSNSECTMLLYEYMPNGNLDDLLHSNNKGDNLVADWLTRYKIAMGVAQGICYLHHDCDPVIVHRDLKPSNILLDGQMEARVADFGVAKLIQCDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEILSGKRSVNAEFGDGNSIVDWIRTKIKTKEGLRDVLDKNAGASCAPVREEMMLVLKVALLCTSRNPADRPPMRDVVSMLLEAKPKRKLPGNGDFGGVNKLLADFDGQKSVTEC >KZM96219 pep chromosome:ASM162521v1:5:39265059:39267698:1 gene:DCAR_019461 transcript:KZM96219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGASIPTIDLSPFITPPELGGQSNGHVSTKSRSMSRKVVKEEISKACSEYGFFQIVNHGIPVELMNTSLELAKTFFEYPEEEKIKCVAGPGVPKPAGFSKQPEHSPDKNEYLSIFEAGSPHNVLPQNPPQFKGVLEDIFARYSNLAFLVQNIINDCLELPPDFLKDYNNIRTSDFLVSLYYLPASDIGNSGKSEHEDGNVVTFVLQDDIGGLEVLHNGEWIPIPPAPGTLVVNVGDVIQVLSNNKFKSATHRVVRPKETTRNSYAFFYNLDGDKWVEPLPQFTTQIGEPPQYRGFYYKDYVKARVMDRINPPARLEDRFCIKHYAITNSGGA >KZM96533 pep chromosome:ASM162521v1:5:41690362:41690802:-1 gene:DCAR_019775 transcript:KZM96533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGREEEERKHDDSSEDESCLTDEECACKDNHHHGSQFSPSPSVSCELCDSEASVYCQADDAFLCEKCDTRVHAANFLAQRHIRCFLCTNCRSLTHRYLIGLSAQVLLPTILCKKENIENQSSDNESDAVEPNHSNQLIRPFLFL >KZM93644 pep chromosome:ASM162521v1:5:8818837:8825422:-1 gene:DCAR_016889 transcript:KZM93644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQDDIFDEIGVPATKVEVISLKPYAGSNVTKVIFAVDPDVKGAKLNTAAKSLIKASFASLVINQSSLRLTTSLFGDPSSFDVLKFVGGITVIPPQRAFLLQTVQILFNFTLNFSIDQIQDNFSELTSQLKSGLHLAPYENLYISLTNQKGSTVVSPTTVQSLVLLAVGNTPSLGRLKQLAQTITGSPTKNLGLNNTVFGKVKQISPTYGWLRYKISRLATVNITFTIFMWSEISSNTLAVDIIHLLSANAINQQHHELKSFVRPPKCRLQSYSNFDQLMLDFAQSNLSYSYKHAFEINNLHRSSSTPCLSRAQEDSDANPRVEIVGGHGSPKVRALVVEVAIAMASGFNIEPVSSGLGGAYFVHARNGVTIAVVKPIDEEPLAFNNPKGFAGRMLGQPGMKPSIRVGETGIRELAAYLLDHDGFAGVPPTALVKIAHVKFHVNNSESAVAAPPCKIASLQRFVAHDSDAGDLGPSGFSVSSVHRIGILDVRILNLDRHSGNLLVKHGQEGYAVGAAELIPIDHGLCLPEALDDPYFEWLHWPQASIPFSESEIEYISNLDPLKDAEILRTRIPSIRESSLRVLVICTIFMKRATAAGFCLAEVGEMMTRELRGGKDSMSVLENLCLTAKACLGTAKGSDDFDNGKKDVDSECDDDPNQVSYIPQLLETTTRIGKPPRIPRRASERLISKFHNLMLPIQDKECQGKRAEVHNYSLSGNADRNNGRDIDQNAGGILRSMSFSAESQNHDIEGISFGEMKKKEWESFLEKFEELVPETFEHRKNLGMSKQRLGSSCEF >KZM93099 pep chromosome:ASM162521v1:5:2413971:2415194:-1 gene:DCAR_016344 transcript:KZM93099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKSILSEEDPDPQPDSPPNSTQDPPNPNPDPSSSSSDSWSFGGFMKTLSTRSESVIETYRRDLEEFRTGLQRETELLKEVATRAVKELPGSIESTAEMIKSTAEMIASQGNNESESESDGSSSNVGVKSGGVYSRFEAQLRGIQCDVGSYVEDPEDAGEYEKWKEGFELEERREEVERIRGEGGEVEGMFGRLVVSGEVDERDFWCRYFFRVWELRRRENVRERLVKRAIEVGDEEELSWDVDDDEEDGEENEGEGEETNVGLVGAESSGGSGKEVAEGDEGNVVEGKEVVVKDEGNNEKLVKDEGNNEKLVKESDQGVVNEVESNKESNVSAVPARTLSREEEDLEWDEIDDVEGNDEKKVDHGESASKTDTGKRLSVAEEDDEDLSWDIEDDDEPEKANAKQ >KZM94251 pep chromosome:ASM162521v1:5:20008243:20008641:-1 gene:DCAR_017494 transcript:KZM94251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCSKICSIIKLRLLLRRWRKNTSRSSRRIPCDVPPGHIAVYVGLNHRRFVVHLAHLNHPVFKKLLAQAEEEYGFTTSGPLHIPCDELIFQDFLRCLCGRSDYKASGVCLRTKLISHDAWAESQPLLNSLR >KZM94576 pep chromosome:ASM162521v1:5:23792064:23793942:1 gene:DCAR_017819 transcript:KZM94576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDMSDDCNMKFVKFFVVQAKIETSKNALLADVCVATSAAPTFLPAQYFETKHEDGKTRSFNLIDWGGGVAARNITDDNHTGNTTSVDVATTTSMEALADMGSKLLEKFVGEY >KZM94966 pep chromosome:ASM162521v1:5:27449154:27451491:1 gene:DCAR_018208 transcript:KZM94966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAAKRVNDTKYYDVLGVSKNATQDELKKAYRKAAIKNHPDKGGDSEKFKEISQAYEVLSDPEKREIYDQYGEDGLKEGMGGPGEMHDPFEMFHSFFDGAIPSGRGNGRGQRQKRGEDVVHSLNVSLEDLYRGTSKKLSLSRNVICSKCKGKGSKSGASMKCSGCKGSGMKVSVSQFGPGMFQQMHHPCDGCNGTGERINDRDRCAQCKGNKVVQQKKVKNVIVDKGMHNGQKITYAGEADEAPDTVTGDIVCVLKQKEHPKFKRKGDDLFVEHTLSLTEALCGYQFVLTHLDGRHLLFKSNPGEVVKPDQYKAISDEGMPVYQNPVMKGQLYIHFKVEFPDSLSPDHLTALEAVLPPRSKPQMTAVELDECEETTLHDVDMEEMKRKEAHAQQEAYDEDEEMPGGAHRVQCAQQ >KZM95212 pep chromosome:ASM162521v1:5:30249113:30251577:1 gene:DCAR_018454 transcript:KZM95212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNKGIMLDTQESLKKKTTVPRSWILIDRNGESKILEMDKYDIMQRVRIYARDLRILEPVLSYPSAILCRERAIILNLEHIKAIITAEEVLLQDPLDDNVVPVVQELERRLPVVKKGQGNYEENLGSPNDIETSDDNEFPFELRALEVALEAICSFLDARTIELAVAAYPALDELTSKISSRNLDRVRKLKSAMTRLTSRVQKVMDEIEQLLDDEGDMSGLYLSRKLDGVSSPSSRNGVHKWLSVSPTLGSKKSREGAATIHGENHLEELEMLLEAYCTQVEGTLNRLKTLREYIDHTEDYLNIQLDHHRNQLIQLELVLSSMNVSLSIYSLVTAIFGMNIPYTWTDNHGYVFKWVVIVSGIVSAFTFMAIIIYARQKRLIGSWFC >KZM96020 pep chromosome:ASM162521v1:5:37468665:37469085:-1 gene:DCAR_019262 transcript:KZM96020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNAFKAYKACVPIAWSPNLYITLVRGIPGTRKLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQKIADHQAIRPPLVVNHFPKPANGSSQQSM >KZM93348 pep chromosome:ASM162521v1:5:5136129:5137652:-1 gene:DCAR_016593 transcript:KZM93348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDEELKHMCKLCYKSFPCGRSLGGHMRSHVIYTSDLGDHQEKLLHKKKLSLIDYDNDSVINDAAGYGLRENPKKTCKFEDLSEAGLLRDKICKECGKGFPSWKALFGHMKCHSDKLIRTRMEEQGSETNGDQSDHETPPSRKKRTTRNIRRRDNCNIASAATTASSSLSFNANASSSLSGVEQEQEEVAMCLIMLSNDVSHWNGLNSVGESSGNNSELLETKKSASLCGELVNFKKPSKGKPEFELEPQMKISEMKVLNGELETSPEAEISDFQDSISGTGKILLKENEYNHKPDLASKKKHNSRKRRSRELFNSDMGTNYSEMYSENIEKMNKFECASCHKAFHSYQALGGHRASHKKHNGSCYDSKTDGNENNTGTGKSLSQNQNADKNGPSEQKVVADTSNGRKKLVTTGVSHECSICFRVFSSGQALGGHKRSHLIADAKNNQSTSTSTVIDHKPVVETRNFLDLNLPAPNEETDTSELEFNPWWIVSNHRHDSFLNFLSK >KZM95452 pep chromosome:ASM162521v1:5:32256992:32257189:-1 gene:DCAR_018694 transcript:KZM95452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSEYLKNLQIIEENEKLRQQAQQLMKENQALRMEFMQKICACCSTSKKPVADDKSKDKSSNK >KZM95449 pep chromosome:ASM162521v1:5:32238566:32238898:1 gene:DCAR_018691 transcript:KZM95449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KZM95347 pep chromosome:ASM162521v1:5:31340797:31342069:1 gene:DCAR_018589 transcript:KZM95347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENQPDDVDVGEKSLGSVPKDEMALVHDRESEDLVEKNQENDNASNSSTTTMDAPGHNREESGTGHDRHVSFDTSVSHSRSLKKNRLFGRQKPVHTVLGGGRSADVILWRNKQLSASMLAASTVIWLLFEHVGYHVLSFVCNSLILTLAALFLWSNLSSFLNKSPPVFPELSLPEDVTMRVALRLRSYINTVLQLFWDVASKRDVKKFLYAILGLWVVSVVGSWFHFLTLVYIISVVVLTVPWLYEKNEDTVDDYAKKATGKLKRQYDALDDKVLRKLPKIPSFRKDKKQD >KZM95805 pep chromosome:ASM162521v1:5:35762609:35766663:-1 gene:DCAR_019047 transcript:KZM95805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWGWWQLCKYYMVKSSSFRFLVAWGIVLVTVSSVYAWLSLSPDVHKGLSSNGCQVDSEGSWSIGVFYGDSPFHLKPIESMNVWNNESSAWPVANPVVTCASVSNAGFPSNFVADPFLYVQENTLFLFFETKNSITMQGDIAVARSTDKGATWQQLGIALDEDWHLSYPYVFNYNGQIYMMPESSKNGELCLYRAEHFPLEWTLEKVMLRRPLVDSVLFVHDGKYWILGSAIGGIGSKHNGRLEIWYSSSPLGPWKQHRKNPSYDTKFLMGARNGGRPFIYNGSLYRVGQDSGGTYGKRLRLFRIDKLTPTDFIEVEVPLGFEEQSKGRNAWNGARHHHLDVQQLSSGEWVGIMDGDRVPSGDSTYRFLLGLTSVLAVIALVTLIGTLIGALKCKIPISWCPQNLEKRNDAFPRVKSTLHSFKLRQLYCRFNRLSLYLHGKMKPNTLLRKVVLALISVLAITLMCTGVQYIFGGNGAQEPYPVNGHYSQFTLLTMTYEARLWNLKLYIWHYSRCSSVREIVVVWNKGTPPDLSDFNSAVPVRIRIEANNSLNNRFKMDPLIKTRAVLELDDDILMKCNDLERGFQVWREHPDRIVGFYPRLVDGKDFKYQGEKHARSHKGYNMILTGAAFIDTELAFNMYWSEKAKAGREIVDKYLNCEDVLLNYLYANASAFDTVEYVRPAMTLDTSKLSSVAISKDTQAHYKVRSNCLEKFEAMYGSLRNRKSHFNRRIDGWDK >KZM94366 pep chromosome:ASM162521v1:5:21481551:21486126:1 gene:DCAR_017609 transcript:KZM94366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYQAEGAEYMADEYEMEDVDDDMDDELRGRDNGGSDSDVDEYDAMNNKMADTTASQAKKGKDIQGIPWERLSITREKYRQTRLEQYKNYENIPQSGEGAKKECKITKKGGLYYEFRRNSRSVRSTIHHFQLRNLVWATSKHDVYLMSHFSVIHWSSLACNKSEVLNVSGHVAPCEKHPGSLLEGFTQTQGGGCLGVVEKDNSTWKNLDRPGVSFCSRTTYDDNAITNAVDIYKTASGAVHFTASNNDCGVRDFDMEKFQLSNHFHFPWPVNHTSLSPDGKLLIIVGDNPDCMLVDSRNGKTVAPLRGHMDFSFASAWHPDGLTFATGNQDKTCRIWDMRNLTKSVSALKGNLGAIRSIRYSSDGRFMAMAEPADFVHVFDVKSGYDKEQEIDFFGEISGMSYSPDTESLFIGVWDRTYGSLLEFGRRHDYSYLDALI >KZM96363 pep chromosome:ASM162521v1:5:40434235:40436942:1 gene:DCAR_019605 transcript:KZM96363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGGGGASRLPTWRERENNKRRERRRRAIAAKIFSGLRMYGNYNLPKHCDNNEVLRALCNQAGWIVEPDGTTYRKGCKPVEHVDAMGGSAPVSPCSSYNLSPCASYNPSPMSSSFPSPASSSYAANANVDGNSLIPWLQNLSSSSSSASSSKFQHQYMQTGSISAPVTSPLNSPARTPRFRTESGWNAPHYSSVPFSTPPSPGYQIFPNPDWFKGLRIPQSGPCSPTFSLVSPKPFGFTDEAQAGNGSRMWTTGQSGNCSPALAASMDQDANVPMDVSLSDEFAFGNNTKKQVKPWKGERIHEGFVSDDLELTLGTSQTRYSSTMKFKLHSQT >KZM96198 pep chromosome:ASM162521v1:5:39040381:39042651:1 gene:DCAR_019440 transcript:KZM96198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVKVSFLLCAWLFFMVFHGSLTLADDERSSYIVHMDKSFMPKAFASHEHWYMSAIESVKSTSHAYGPTLIYTYDNAFHGFSALLSKNELQTLEKSSGFVSAYSDRTVTLDTTHTFEFLSLNPATGLWPASNYGKDVIIGVIDTGVWPESASYKDDGMTAVPSRWKGTCEEGQEFNASLCNLKLIGARYFNKGTLSLGNGLVITGWTVFPARAKIRNLPLLYNKTMSLCDSDEVLSGAPSAVIVCSDTGSFSSQLYYISRSNVAAAIFITDSPDVFKSQLFSYPGVVVNPKDGAVTSGRTLQQTFYRTVTNVGDAAATYKVKVTAPKGSIITVLPDTLMFQKKNEKKSYTMTINFKAVTPAVTHGSLVWIEKNSKNTVRSPIVISPAVDMW >KZM95041 pep chromosome:ASM162521v1:5:28170607:28170849:-1 gene:DCAR_018283 transcript:KZM95041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLSRPLQTSFGVEKLLPILDFPQYLSLSGTSTNSTPGLTQYHDQEATKPFQILKNITTLVKIIGCTDSVYVIEYRESD >KZM92859 pep chromosome:ASM162521v1:5:339560:343088:1 gene:DCAR_016104 transcript:KZM92859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLVEGLDDGRDVQNVDYYKQQVKALFKNLSRGHNEASRMSVETGPFIFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLANEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKAKDLNRQALIRKWAPVAIVLGIVFLLFWVRKKIW >KZM94812 pep chromosome:ASM162521v1:5:26165278:26174791:1 gene:DCAR_018054 transcript:KZM94812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRITATRRPCCGRRVVAKKRPRSGGDGFVNSVKKLQRREICSKRDRSFSMSNAQERFRNIHLQEEYDTHDPKGHSSMVLPFLKKRSKVIEIVSAQDIVFALVQSGVCAAFSRETNERICFMNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRTTRIEYIRRGKPDAGFPLFESESLKWPGFVEFDDVNGKVRNSELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSEDQLLEGNAGSINISNILTGKCLAKIKATNSLPPEECNCSSKCSGKNCSSSKRFQASRMRSTVADALEDITALFYDEERNEIYTGNRIGMVHVWSN >KZM93100 pep chromosome:ASM162521v1:5:2421525:2422697:1 gene:DCAR_016345 transcript:KZM93100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRCPNQVASVKLLQKKFKTLDLQGKALNWLKKYPCCFDVYLENDELYCQLTKRMMFLVEEEESVKDMQEGVYVERLAKLLMLSVNQRLSVLKLNVLRRNFGLPDDYIIRIIPKFPELFRVVNYSGRRSSMEIELLSWNPKLAISAIETSSERQGMVPSYSCSLPSSWVKSWERFHEFNSTPYISPYSDTGGLVEGSKEMDKRTVGLVHEILSLTLWKKISIIKLGHFKREFCLPEKLNGLLLKHPGIFYVSNRYQIYTVLLRNEYKGSELIDKDPLVVVKDKFGELMQEGLHEYNRRHYFQNLEKNRKKGIIPVKPEKRIEKISEMTEQNDQGGDLGGILDPEERKRFYRVLFDDTCP >KZM93751 pep chromosome:ASM162521v1:5:10451354:10451554:1 gene:DCAR_016996 transcript:KZM93751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLFLTVAFSAVPLTLYLPPIRNLCLFLVSVDDLFRQTGVYSLHRLRFGHRLRIAFSRFLSRFPR >KZM96231 pep chromosome:ASM162521v1:5:39385324:39391110:-1 gene:DCAR_019473 transcript:KZM96231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKANHHPHEHEHQHQHPHPPANHQPPPVIIPPLGAARGPSFPPNEQLIQLNYCIHSNPSWPQTVLLGFQHYIVMLGSAVMIATILVPRMGGSPGDKARVIQSLLFMSGINTLLQTLIGTRLPTVMGPSFAYVISALGIINDFSDSNFSSEHQRFIHCMRSIQGALIVSSFINIILGYGQVWAKLTRLFSPVVIVPVVCVVGLGLFGRGFPQLANCVEIGLPMLILLVVCQQYLKGIHPKAHSVLERFALLLCIAFVWVFAVILTVSGAYNTVGQQTKLSCRTDRSGLLSSAPWIRIPHPFQWGVPIFRAGHVFGMMGAALVASAESTGTIYAAARLAGATAPPTYVLTRSIGLQGIGQLLEGLFGSVVGTTASVENVGLLGLTHVGSRRVVQISTVFMIFFSIFAAIGVSFIQFANNNSMRNIYILGLSLFLGISIPQYFMSNTDPAGHGPIKTDGGWFNNIFNAIFSSPPTVAMIVATLLDNTLEAWNTHEDRGIPWWVPFQHKRGDSRNEEFYSYPMAIRFKDYIPSRFR >KZM96337 pep chromosome:ASM162521v1:5:40200191:40200928:1 gene:DCAR_019579 transcript:KZM96337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQTDGMRFYNDPNYAAARAAHGVMGIIVILIFVVPCLWLGPSLQNQSSIVLSLEDFMINDVQSSNFSAPNQTFIYFKLHLENSDDHITIHYGNLSLSFSYYRGSDNIVQLANYTIQRFHQDSHDKAHPQASVVLKQGLSWQGISRNATSVAFRVDLAGGVRFTANRIRLKKLKIMAGAKMEVDPVTGKRISKKAVRLKHMIKSHLSVGVIIYLVYLGTVGTLIPFVCYFFFKSLRPGSLSAD >KZM94723 pep chromosome:ASM162521v1:5:25294036:25295367:-1 gene:DCAR_017965 transcript:KZM94723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKENVKRGPWSPEEDAKLKDYIEAFGTGNNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRKNFNMNSPSASSSQDTKLADPADDNSLSNSAMERLQLHMQLQNLQNPFSVFSNPAVWPKLNPLQEKMMQTLQSFNDNSNPLFQPMTIPQPGQGQYDQLYEPKLDQIISTGFEVQDSYVVQKSDGQQSNLGVQSASTFQPELDEFMNNNNAVGMGEFDCFKEIEGSRDSMMWWPNDQFDTNSASSNSWDSASTPHQSDQVYQDFALRYNVY >KZM94150 pep chromosome:ASM162521v1:5:17134588:17136895:-1 gene:DCAR_017395 transcript:KZM94150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFALPENCYIVCPVMDFPQQLYMVIDLSGYHGNWKSETQAVVLLLAFLHWLETGTLLGYAEAEQKLGLSFSTKHLHRAHHMSCKLARSDMTKEQRLVDLTFRTKVLFWSGVVPSSLAVGVLSYVAVSNEPDAQVVADEETGDIPDGELCGQHSFHVDTGR >KZM94814 pep chromosome:ASM162521v1:5:26185925:26190033:-1 gene:DCAR_018056 transcript:KZM94814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDDKENVHRTPVFRGTPYPATREVLSTIVNNVNRLIPVYSNQKELFKDGLVGEQFQEYVMKEKTYVEVGKIVEYLYVDRVVNGKRQVRRKKPTFVGWSDSLILDRQISELATGSLKTFFNGRVALPLRSKHSDDESSYEDNTWNIVSAASSDDDEVQCDRNDEHGSFNSVPNVSQAGKDLNQFEILTDGKLSEAHYEKDAGVTLTGNFVQSIGEQKILGKKVPEYVLSELEDSIVGYENIQRKCIMYLLSSKELFSNNNLVDGLQKRFVDLIVKANEFVEKELNIQNYKTLFNNSVLNYVSMRRENTGSSNEKVDAGDGLINFSTKKSVCPDNLVTTNDMVEENKSELFRSMESQSKFLSIQEKCLSNDLKTPGDGRFFKVNLDATIPTFDLGEEFKNQEPNPDSSRVPGLAMQKPERDRKIANIFRSPYIDRITNINGKNFSKEETELWEWLHKNEQYPNRVLFKWQNIMCYKIDFQSMKEGEIKMTSALDVWCCYLNYYEELRSPTSPRRLFCYTETTYTQKVQIRRLRILYTYNMISSRNNCCFGMIMDEMKDPSLVPDEDVLRASMQKPKKI >KZM94907 pep chromosome:ASM162521v1:5:26923899:26925585:-1 gene:DCAR_018149 transcript:KZM94907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVHGDAKIKDGQAEAEDTNNMAAWLLGVKKIQIQPYLLPPLGAQDVRVRIKAVGICGSDVHHYTHMRCANFVVKKPMIIGHECAGFVEEVGSEVKSLKVGDRVALEPGISCQSCDLCKNGRYNLCSQMKFFGSPPTNGSLANQVVHPARLCFKLPETVSLEEGAMCEPLSVGVHACRRANVGPDTNVLIIGAGPIGLVTMLAARAFGAPRIIMVDVDELRLSFAKDLGADEIVKGSTSIQDIPEELLCIHKAMNTCVDVTFDCVGFTKTMTTALNATRAGGKVCLVGLGQSEMAIPLMAAATTREIDVIGIFRYRNTWPLCIELLRSGKIDVKKLITHRFQFDNEGVQKAFETSAKGGNAIKVMFNL >KZM94600 pep chromosome:ASM162521v1:5:24017475:24022548:-1 gene:DCAR_017843 transcript:KZM94600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRLSNTWRMTINEKKFIKTSLLADLRVDGRRPFDYRRLIIKFGSEDGSSEVQLGQTHVMGVVTSQLVQPYRDRPNEGTLSIYTEFSPMADPSFEAGRPGESAVELGRIIDRGLRESMAVDTESLCVVTGKLVWSIRIDIHILDNGGNLVDAANIAALAALSTFRRPECTLGGEDGQELIVHPPEVREPVPLIIHHLPIAVTFGFIGEENIVVIDPTYFEEAVMGGSMTATLNTNGDVCAIQKAGGVGVLPSVIMQCLRLASVKAGDITNIIKNAVKSFNTERSLRKIKRHNSSVIDVSETAQKVDVKFSTNHVNRLKLSEDSRVAQGNGMEVDGQISQKEGTSKRDSSAKSFIGGPSSWDPHSKGIDPDALRASFASRVVLAPTEKLEDSKVDEPTDIEPNQPIVDDNSYISPKEATNTKSKTNEEKTLIDAIKPKHRRRRKASSQH >KZM95366 pep chromosome:ASM162521v1:5:31518845:31521908:1 gene:DCAR_018608 transcript:KZM95366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTQSVATLLEATTSKIQQLQKAFAELESHRAVTLNMKWKQLEEHFHGLEKSLKNRFNELKDQEKEYEEKTIKAQEILVKREADVMAKEKALLERLQKKRDAAVFAVANVVTKRKKARVEPFVFTSDDQGMGQFDDGRPPDIVAPPKKLDDLKKFCQNKNVEVKSFPQLVHLCKENDSAGLHKFISDNRKNLAAIKEEIPIAFQAAVDPASLVLDSLQGFYNIKLANLDGKKDSNLLGIRRTCIMLMECLSLLIVNLSLESVSYVISDNVKNRAKAIAEEWKPKLNDLDVDASNGNSLEAHAFLQLLATFGINSDFDQEILSKLIPMVSRRHQTAELCRSLGLSDKMPGVIDVLVSNGRQIDAVNLAFAFELTETYSPVSLLKSYLANAARVSSPVKSPNSTLTVQIEVNERELTALKAVIKCIEEHKLQEQYPLDPLQKQVAQLEKAKADKKRVSEVSKPQPKRPRASGIGCVPRVANVAAEKIFYPRMTDRYTQYIYNRSYAYPAPTENHVPSHMGTATYHLSPAHGNYFGNAYQYPAPYLH >KZM94470 pep chromosome:ASM162521v1:5:22839674:22839946:1 gene:DCAR_017713 transcript:KZM94470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEQHGYVEGGYYSTHGGPAHYPVVPPHNPHAGGGYYEAHHQSSHGGHGYPVHHQGYSHGATHHGVVYATHGGCPFTSRRSGCYVRKGC >KZM94472 pep chromosome:ASM162521v1:5:22846152:22846355:1 gene:DCAR_017715 transcript:KZM94472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEAQGFNPGLIVLLVVGGLVSAFLVGNYALYVYAQKNLPPRKKKPVSKKKLKKEKLKQGVAPPGE >KZM93988 pep chromosome:ASM162521v1:5:13298793:13303420:1 gene:DCAR_017233 transcript:KZM93988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYSYTLLECTIKLYWDYQQKIEISKFLEVPKVKAPGPDRLSSVQYEYEELQHMVHALNNENHFLKEELQKVSEECNKGSVFAALLEEDSLAYSDSFICGSGLLDNTQNVKPSTDDIPKEVVPLSVSCWAEDPADGPEFIEIRYFLENFIHCLCTPDMSPPRMIKMGHANEVSATVEYPCTSHLKQKAKGVRAKPRSAPCRFFRCFRSCF >KZM93705 pep chromosome:ASM162521v1:5:9426764:9440423:1 gene:DCAR_016950 transcript:KZM93705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPRIYSLSRLKFCFHGIMSSICSQYFTTLSRWCITHRKKARQIVESWDKQFKSAQRDQRVSFLYLANDILQNNRRKGNEFVNEFLKVLPAALKNVYGTGDENGKKAASRLVDIWEERKVFGSRVQNLKDAVLGKNLPSDPAVASNVDSSDPIKIVKRDAHFLRIKLAVGAMPEKILTSFQTVNDQNVNEQDAFNKFKSAILRAGEIERNAEGMSSQANMQGSHLVNDIQEQETILQQCINQLESAEGTRSALVSQLKDALGEQEPILERIRAQLQVARGQLDQMITLRGKLMSPPTVAPNATRTTEATFSLPLVTNVPPAAQTAMSAIPKTIEDDKKATAAAVVAKLAASTSSAQMLSSVFSSLVAEEAASMSTGSNLSGFSSGSSMFPPEKRPRLEKPMSTPNTNNSEVNNPSYFTSQQPSSALPVTSMQPISQSGHMQSPFPPTAAPPLPPGLPSNAPTNQFVQPGSLMPYGYGSPNLPPPPPLTSHVTMNTARPTPPQLQQAPQTQMQQENNNGGYYRPPGIGFYGQSHQPTPPSAQRQ >KZM94297 pep chromosome:ASM162521v1:5:20724363:20725454:-1 gene:DCAR_017540 transcript:KZM94297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPYHRLKDLEVDMNNSGKIRVRVSRIWNHCLPNGTVAGINLILVDEFNGRMHAWLNSAFFKRLCEILVEGKTIELQNFVVRKYRHGVTNQCFENDKHISLTNSSIIIPADVPSFTIPQHIFDFVRLNNIYRYGYEHSHLIDVIGIIELVHPLHEVRDAYDQTQWFTNFTIADDSAFNVRVCFTAEMAKSFSRNVSLRKEERTVIIISSCKVCVYNGLCTMISTAATRYFISSDYPGASSMLSGACD >KZM95973 pep chromosome:ASM162521v1:5:37147159:37147605:-1 gene:DCAR_019215 transcript:KZM95973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKNLKNSSSSRSPARKETRADQGPKRYACRYCPLTFSTAMALGGHQNGHKSVRASTKKPETPVPPPQPCGFCDQWRNLALDQMTNNFRPREPHQLAVPSPPMRLRNFLGGSSAEETTPDASAGRVNVINVEDDEDDVPELDLDLKL >KZM96174 pep chromosome:ASM162521v1:5:38857036:38858888:1 gene:DCAR_019416 transcript:KZM96174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKVAALKEALAAQQVLLKKLYNDLDVEREASSTAASEALSMILRLQDEKAAVKMEAEQYKRLAEEKMSYAEETMEIFKDLVYQKEMEISALDFQVQAYRNKLLDIGFDDSGDGEIDFPENNLRRSEASSCSSVGDLASLVPTPRLPFIKTVHEIKGSESPEDDLILQGVEKQLDHNSELEKQNIFGSGNINSYWEQIRKLDDRVREITGEPNTRLQSPSPSPQLSRSESCATIKRTIAKECDHLKHPLGKEVCMNSHSSTIIYDVFEVPQPTENFCRGEDLLIKEGKAPLQDNGKGAKQHFISSKAYRQFLKGQDDCSDKGLISEHRELNLSPPPERESIDTPLKIVQSTGACRDKIQQANNLAVISEIQSQTLRHECTDVARVELHLLNEIQKQLNVIHSEIKSLKPRKSSSLENLRVHCLEELLLLQYATGYVQPVIVQCFGQKYDPCSPKSWVGPEES >KZM94033 pep chromosome:ASM162521v1:5:14119769:14120908:1 gene:DCAR_017278 transcript:KZM94033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIPYQMISNLRPNAATDWRLQVRLTRMWRNINRNAETVPVNFIFVDALGGRIHAWIPPQHFQQLENNFIEGETYVVHRFVVRRYLPMQNERCFENDIYIQLNHMTEVFVIGGVDYIPPHVFQFTDFDAIVDAARQNQYLIDVVGILQAVGPLTPFRNKYNVQENSIQFTISDMFTSTKVVFYNEMAQSFDQAVRDAVRHPIIVIISSCKAKFMQDEPKLTDLTPTRFFINENNEAVEDLRNALRLAN >KZM92889 pep chromosome:ASM162521v1:5:558657:559967:-1 gene:DCAR_016134 transcript:KZM92889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHDSNSNSLLENQTPNPDGNSASENESDASIDSLARQVQESLSLAKRHKFWETQPVGQFKDLGDSSLPEGPIEPPTPLSEVKQEPYNLPNLYEWITCDMETTEMCTEVYNLLSNNYVEDDDNMFRFNYSKEFLHWALRPPGHYKSWHIGVRVKSSKKLVAFITGVPARLRVRDSIVQMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPISTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPESTVTPGFRKMELHDVPAVTRLLRNYLLQFLVAPDLDENDVEHWLLPKEDVVDSFLVESPETHEITDFCSFYTLPSSILGHPSHSILKAAYSYYNVSTKTPLLQLMNDALIMAKHKDYDVFNALDVMQNDSFLKELKFGPGDGKLHYYLYNYRIKHVLRPSELGLVLL >KZM92990 pep chromosome:ASM162521v1:5:1455949:1458089:-1 gene:DCAR_016235 transcript:KZM92990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPLTKQPNLPCIDFSQENTKQGSTSWLATSKNVVSALEEYGCFLATYNDNFSPDLHNAIFESTKQLFDLPLETKLRNTSKTPSHGYVGQEPVVPLYEGLGIENATTLQGAHAFTNLMWPSGNATFCETARLFTEVVAELDQIVMRMVSQSYGIEKHYERLLESTSYLFRLIKYRQRHEKETSLGIVPHTDKSFMSILHQHQVPGLEIKSTNDQWILVDPSPSSFIVMAGDACMAWTNGRIEAPQHRVIMKGTEERYSLGVFTFIRDEIIHTPEELADEEHEIQFQPFDHYKYIDFYYTDEGKKSKCPIKSYCGV >KZM93958 pep chromosome:ASM162521v1:5:12833944:12840414:1 gene:DCAR_017203 transcript:KZM93958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTNFEIQVQPDNTISEVKNIIETKQGANVYPALQQILIHQGKVLKDTTTLEENNVAENSFIVVMLSKAKNPSGEASTTSAAPASTAQAVNPSPSPVQPVTSSQAPASTVQIPQPGSESTPISAPDTSVADVYGHAASNLVAGGNLESTIQQILDMGGGSWDRDTVVRALRAAFNNPERAVEYLYSGIPEQVLAPVAAQEPTGGQAINPPSQAPQATVPPGGPNADPLNLFPQGLPNMGANANAGNLDFLRTNPQPMLQELGKQNPNLMRLIQEHQADFLRLINEGDEGGEGNVLGDLGGAAPQAIAVTPEEREAIERLEAMGFDRAVVLQVFFACNRDEELAANYLLDHGHEFDDDVAN >KZM93753 pep chromosome:ASM162521v1:5:10457494:10460954:-1 gene:DCAR_016998 transcript:KZM93753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISYSLRAESSVLAGGGWRLNGSHRNRGVVLCSSTAKPPVAQINKEKVNRIGALSQVSGVLGCQWGDEGKGKLVDILANHFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEDTMCVVGNGVVVHLPGIFKEIDGLEANGVSCKGRILISDRAHLLFDFHQVVDGLREVELAKSFIGTTKRGIGPCYSSKVIRNGIRVGDLRHMDTFPQKLDLLFADAAARFEGFKYTPDMLKEEVERYKRYAERLEPYITDTVHFINKSILQNKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGVAPRVLGDIVGVVKAYTTRVGSGPFPTEISGKGGDLLRFAGQEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSDLSEIELGVAYNYVDGTPIESFPGDLRVLEQSKAMKSLLRMQSAYLSYLVRQSSLLKLQVQYEKMPGWQTDISSVRNYSDLPKAARNYVERIEELVGVPIHYIGIGPGRDALIYK >KZM94779 pep chromosome:ASM162521v1:5:25772291:25772518:1 gene:DCAR_018021 transcript:KZM94779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTTSPSKQSNKKNKLSPTAIKITNTSLPYIGENHLTVINLQTQPFGSTNKKLPTEINTPRSRSLPLYQWSSAI >KZM95673 pep chromosome:ASM162521v1:5:34436708:34443299:-1 gene:DCAR_018915 transcript:KZM95673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKDKKSKQTNSSNQQQHQNGGHFSPFKFAKLVDPDASWDKDQLGDVLHWIRQIVALLCGLIWGAIPLIGGIWIVIFLVISSALIYGYYAMLLKVDEEDFGGHGALLQEGLFASITLFLVA >KZM94655 pep chromosome:ASM162521v1:5:24628152:24633921:1 gene:DCAR_017897 transcript:KZM94655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRASTKRKSNASNSKPPAKSARTEPPATPASKKAASKEVERIDQLFDSYANKSLRMIDPEGIEALCSDLGVAHTDVRMLLLAWKLNAEKQGYFTEDEWQRGLKEIRADTIKKLKTRLSDLVKEIREPGKFEDFYLYAFQYCLTEDKQKCVDIDTACALLDIVIGSQFRAQVDSFTEYLKIQSEYKVINLDQWKSFHRFCNEISFPDLKGYDSSEAWPLIFDNFVEWLEEKSKLSSA >KZM94125 pep chromosome:ASM162521v1:5:16285514:16289600:-1 gene:DCAR_017370 transcript:KZM94125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDDKENVHRTPVFRGTPYPATREVLSTIVDNVNRVPAFTPSKGNKLSLLGSILKRTRNNTENNANNETSIPVNVNISVTPSKKRVRIVTPLEEVAQLKSTSLEKQLIPVYSNQKELFKDGLVGEQFQEYVMKEKTYVEVGKIVEVPKHQIKKSAMITVRTRKRNKKDFEHWLLVRYQPKVLVDAVRSLSPIQKKWVKNTGFASLLSFRMNQYPQALCYDLASCFDVNLTSLVFGNITIPITQEDVNEVLGLPLGGVNVQIIRSTHIEDRWRDQFKELVKDGWKVTANMVCDAIKNSNEADRLFKLNFLVLMYNVLIEGPTNPYVKQNILGFSGNLDNCSSYNWCDYLIENLRNAILAWSEKPDSKYFTGSIPMLVYLYVDRVVNGKRQVRRKKPTFVGWSDSLILDRQISELATGSLKTFFNGRVALPLRSKHSDDESSYEDNTWNIVSAASSDDDEVQCDRNDEHGSFNSVPNVSQAGKDLNQFEILTDGKLSEAHYEKDVGDILTGNFIQSIGEQKILGKKVPEYVLSELEDSIVGYENIQRKCIMYLLSSKELFSNNNLVDGLQKRFVDLIVKANEFVEKELNIQNYKTLFNNSVLNYVSMRRENTGSLNEKVNGGDGLINFATKKSVCPDNLVTTNDMVEENKSELFRSMESQSKFLSIQEKCLSNDLKTPGDGRFFKVNLDATIPTFDLGEEFKNQEPNPDSSRVPGLAMQKPERDRKIANIFRSPYIDRITNINGKNFSKEETELWEWLHKNEQYPNRVLFKWQNIMCYKIDFQSMKEGEMITTSALDVWCCYLNYYEELRSPTSPRRLFCYTETTVGTLNGFTDESIQKKFTTFAENMDHIFATYKTKIHDYDLVFFPVHHVNHYYVVCYNMKNSSIDILDNRASERPIKNLYGQPLIVLQKHFVKYLQTQNHYKFGDIPKLVPQRLKMRWQTTENGIDCGVFAMRHMETYFGGGSRNWDAKFAPESYTQKVQIRRFRILYTYNMISSRNNYCFGMIMDEMKDPSLVPDEDVLRASMQKPKKI >KZM92923 pep chromosome:ASM162521v1:5:826848:830859:-1 gene:DCAR_016168 transcript:KZM92923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLELKRGRQEKGSNAASKVIVAVKALKEVPRTALIWALTHVVQPGDCITLLVIVPSQSSGRKWSFPRFTGDCASGHRRSHLGTSSEQKIDITDSCSQMILQLHDVYDPNKINVKIKLVPGSPCGSVAAEAKKIQASWVVLDKHLKHEKKRCMEELQCNILVMKKSQPKVLRLNLVGAPKKEPEDGCQLPHELDQSSEKQLEKNDSLDSIQGPQVTPTSSPEVFTATEAGTSSVSSSDPSTSPFFISELNKGLKKEKLLAEKYNGGLDESSSDSDSEIMSASSSMRFQPWMLDIGNSQRLSSPHREESSQGSKYRIQTSTMKALHEKFSNLDRETGYAAGSLRPDMEFSGNVREAISLSRNAPLGPPPLCSICQHKTPAFGKPPRWFTFAELELATGGFSQANFLAEGGYGSVHRGVLPDGQAVAVKQHKLASSQGDREFCSEVEVLSCAQHRNVVMLIGFCIEDGRRLLVYEYICNGSLDSHLYGRHRDTLQWSARHKIALGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSLGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELVDPRLMDNYSEQEVFCMLQAASLCISRDPQLRPRMSQVIRILEGDTILDSSHMSTPGHDVHSRSGRIYPIQQQQQQQH >KZM94190 pep chromosome:ASM162521v1:5:18225464:18235628:-1 gene:DCAR_017435 transcript:KZM94190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETTSTLMEIDEEENASVIVKEPPKIHRLDESVVNRIAAGEVIQRPVSAIKELVENSLDAGSTSINIVVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSTFEDLHSIKSMGFRGEALASMTYVSHVTVTTITPGQLHGYRASYRDGMMEYEPKACAAVKGTQIMIENLFYNMTARKKTLQNSGDDYPKIVDLLSRFAIHHINVSFSCRKHGAPRADVHSVASSSKIDAIRSVYGVSVAQNLMAVEASDDDASSSVFEMHGLISDSNYIAKKITMVLFINDRLVECAALKRAVEIVYSATLPKASKPFIYMSLVLPSEHVDVNVHPTKRETVDPMPSSPMTASQASPSAPSTSASGFKMPKVPHKIVRTDSQDPAGRLHAYMHIKSPVHVERNSALASVR >KZM93814 pep chromosome:ASM162521v1:5:11076662:11077162:-1 gene:DCAR_017059 transcript:KZM93814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERGKEVGEDSTPSRYESQKRRDWNTFGQYLGNQKPPVSISQCTSSHVHGFLGYLDQFGKTKVHVQGCVFFGQPEPPAPCACPLRQAWGSLDALIGRLRAAYEENGGSPDTNPFASDSIRLHLRQVKEVQAKARGIPYKKKKKNKTTGSQSSHKEDQDESSSAI >KZM95051 pep chromosome:ASM162521v1:5:28240691:28243877:-1 gene:DCAR_018293 transcript:KZM95051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTSAPLEGISIPGRRKRSQRYRRLIPESQPVPEGRDQSSLLSEQVSDTTSKVSSDENAGYDNSSMRKVFNLNQCASRDSVGTKVSSERPHKRLKGDEAFSPIYSNGGLKVENDSVGGLNQKMHNGGVVRDGAAAESKFKKVKLKVGGVTRTIEAKPTSHATSGGGSSSKSAQILNAPQPRPKLILQEDSDGDSSPHLDKNCGLKGIPWKDFSKEEFNRKEELPIKMYGKNVTRKQAEKSDRVRKNKKVPKKRDINGEFDDDEDDDEIRYLQKLRTSKVAAGYKDAAADSGIKKRSLSLVLKVRNNENAKDFGPSRPNRDSKKAITERVREDTDYEPDEELMSEGEPEGNKEKLGKDIADFPTEHKKEIALTTRQRALLSSRDFSSAAGANEIEFPNGLPPPPPRIILNSFRGNFGSSLRFHVFAIKWMWIAEAIRKILGQDSSRKKREDKIKKRQEELAQERAVNARTLPPNTIRCVIGPTGTTVTFSDDVGLPHIFDPKPCSYPPPREKCAGPSCSNSYRYRDSKTKVPLCSLKCYKAIHAKIHGGDTSC >KZM95049 pep chromosome:ASM162521v1:5:28237439:28238122:1 gene:DCAR_018291 transcript:KZM95049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVVPFDPPCIAPTALHTVTQRQTNPLNVCLMVLTTMAFAYGIAFLVVHAQQIYGACYTISTPHLVLDSISISNFSLSSRQVSAEWDVRMNLESWVRRGYINLHDITISIFYEALQVGLTSLVPFDVSPRNSTVYFEKKFRGSSGLVDDSMIKAMNENIVAGTVKLHVQFKGSVRKSFKGRWVDHLELLVDCNNVELFFGSSDKSRAQMSNPSRKCRPVLLGNYDN >KZM95532 pep chromosome:ASM162521v1:5:33063505:33065160:-1 gene:DCAR_018774 transcript:KZM95532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLYSSSSYAQGPPSPGYYPSSRVRSMGFSQGFRSRWGSQHQTLDQNSLRSGFKSINSYKSGYFGVAMKLQAGYTAGVITCFYLSNNEAYPGDHDEIDLEFLGTTPGKPYTLQTNVWMKGSGDGTTVTGREMKFHLWFDPTKDFHNYAILWNPSEIIFLVDDVPIRRYARKSDETFPLKPMWAYGSIWDASSWATEGGKYKADYRYQPFVGKYNSFKIAGCGTSAPASCRPASASPARTGGLSRQQYAAMAWVQRNYKVYDYCQDSRRDHKHTPEC >KZM93117 pep chromosome:ASM162521v1:5:2565545:2566851:1 gene:DCAR_016362 transcript:KZM93117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSALSSPDMQHFINQEKEKAMLNEMVAKLTNVCWDKCVTGTPGSKFSSSESSCLSNCGQRYMDMSILIMKRFQSMQ >KZM93344 pep chromosome:ASM162521v1:5:4964181:4964426:-1 gene:DCAR_016589 transcript:KZM93344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPEVPMTMRMSSHLLFGFVPIYSEQVESFFRDSNTLLAEIGKAFTSTDLMINLSRATFRSVLPNKFKLDSLDIDTDLSER >KZM96274 pep chromosome:ASM162521v1:5:39687954:39693710:-1 gene:DCAR_019516 transcript:KZM96274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFVIIFLTVACFKVLYSVIWVPWRIQQLFRKQGVNGPNYRPFYGNTAEMTHMTKEALSKSISSLNHDILHRVSPDYYQWSAKYGKTFLCWFGMRPRLVLVDPDMIKDVMLKTTDTNDRDDYNPLSRLLFAQGLPGLMGHKWAAHRKIEAPAFNVDRIKAWVPGIVATVTIMLNNWEQKIGEKIEFEVDVHQEIHNLSAEVISEIAIGSDFEEGRRIFEILQQQEILAHQAMHNVYIPGFRFLPTKMNKLRWRLEKESRDIMRMVVERHGKTSENSTNVLSRLMPGSNMNKHGPGLEIEEIIDECKTIFYGGKEAVANALAWALLLLAQHQEWQNKAREEVLRVCKGNEHLAAENLQELKIVGLIIKETLRLYPVDNSISRRTLKNVKVGSLNIPAGTEVSLLTYSQNILIRHHYTINSSLCPPSSQNTMSILILMITLIFLGVFLKFLHLVLWVPWRIQQHFKKQGIEGPGYCPIFGNSREIMKMTIEAESKSIPFNQDILHRIAPHYYQWSAKYGKTFLFWFGMKPRLAVADPEMVKMILPNSSSDTFDKIGFNPLAGVLIGQGLNGLVGEKWTLHRKIASPAFNMERVKAWVPEMVASVVKMMEKWEEQSRGKDHCELEMQNEFHDLIAEILSKTAFSSSFEEGKHIFQLQEQQVGLALLALRSVYFPGFRFLPTKTNRLRWSLEKQTRDSIRKIIRTNEKTRDNSKNLLNQLMSANMNELGQVGWILDETLRLYPPIANMTRKTTKKVVVGGLNIPAGTEFYLAVAAIHHDEEIWGADAKEFNPSRFSGTCSRHLASYMPFGLGSRICIGQNLAVVEAKIILATILKKFAFVVSPSYVHAPVMSFTVQPQYGAHVLVQKILNED >KZM93719 pep chromosome:ASM162521v1:5:9690448:9690759:1 gene:DCAR_016964 transcript:KZM93719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIIHNIQEKLGMGGDHKEEEHKKTEHKEGGSIIDKVKDKISGGSSEDKKKDGEHYDEKKSHDKKDSKDKKDGKDKKDKKKKKKDGDEKKHGSDSSSDSDSD >KZM93772 pep chromosome:ASM162521v1:5:10616127:10616714:-1 gene:DCAR_017017 transcript:KZM93772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSHLKSPYSFYVFSLKFPSSSSSTSSIALRSIKLKSLVHSFISSHLRRINRAATKAKSILIELMKEIQLTVLFKESSKSQNNKNKLSFSSFRRQNSWCTSSHVLPVPSITSQLYHDATWNSIISPGCKEIEASQLSGYLQWLEHKVEDESKVEDMNEIDKLADKFIANCHEKFLLEKQESYRMFQEMMARSL >KZM94023 pep chromosome:ASM162521v1:5:13981484:13983189:-1 gene:DCAR_017268 transcript:KZM94023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHAVHLFRAQHLARLVRCFKKMEHLQKKPQFIKLLDAQDCNVNSLMLPTSFMTMVARKIPNIVTFVLNNGMEFLGYCSNGHGKFYALQAILEGVGLDDFRTLGYMVFTYDMSSTVKCSFFDGRNVEVILHDSPLEKDTLLASITLPKFFVIEVKPSHMLPYCYEVDIHVEYKNFTNMWKDECVRRFTIEVVKAVYEVDSD >KZM93033 pep chromosome:ASM162521v1:5:1868801:1869217:-1 gene:DCAR_016278 transcript:KZM93033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKNNMITFLIFLSFICMVLGITQATDAIHFTSATNLSSPEEPMSPPITADPPRGCQNLTGRDIRDPLLNVPWNWRPDYAKNDPCWTPEDDKNCSVADHVFNPGRGFESYTFTCLDCTFHMQSGPSGSSSYYYCNNK >KZM96478 pep chromosome:ASM162521v1:5:41257828:41262028:1 gene:DCAR_019720 transcript:KZM96478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPKAIALSDSSLESQFTDLELRNLQSKFLSSRNSSGRIRLRDLPPVMLQLKNFSEIFRERDIKAILSESGSNMNHEVDFESFLRAYLNINARAQTKAGKTKLKNAPSFLKAPTTTLRHTISQSEKESYVNHINRYLGEDSFLRKYLPIDPNTSALFDLVKNGVLLCKLINVAVPGTIDERAINTKAVLNPWEKNENHTLCLNSAKAIGCTVVNISAQDLAEAEFKPHLLLGLMSQIIKIQLLSSLDLKKTPQLLELVEEEKDVEELMNLAPEKVLLKWMNFHLKKAGYEKEVTNFSTDLKDGAAYAHLLTALAPELGSKTVLATDDPAERANLIVEQAEKMDCKSYVTAKDIVEGSTNLNLAFVAEIFQHRNGLHAPPEETQNTYAEMITDDAETSREERCFRMWINSLGIETYVNNLFEDMRPGWVILEVLDNIFPGSVNWKKANKPPVKITLRKVENCNQAIKIGKELNFSLVNVDGNDFVQGNKKLIVAFLWQLMRFSMLKLLKNLRSSTQGKEITDNDILNWANDKVKSSGKETRIESFKDKTLSNGIFFLELLTAVDPRVVNWDLVTNGENDEEKKSNATYIISVARKLGCSIFLLPEDIMEVNSKMILTLTASIMYWSLNQKGGGNTLTSARNSDASLAESGDEAEDAAAETASVASEGVPAELEEIA >KZM95582 pep chromosome:ASM162521v1:5:33584467:33586779:1 gene:DCAR_018824 transcript:KZM95582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCVCICKKEANLYDIGKDLESLKERVVLIKNRRDEYGIGNILAIPNVQRRKRSLLRATSFENYVEVVGFKDDVQTLMAQLRKKGTLGMISIHGMGGLGKSTLASKLYHSRELRNFTRRAWVCVSEDYSIENVLRKIIKCFNEHEKDSLHNMEEEDLLRHLREVLQASGCYLVVIDDIWDLKVWEKIKNAFPHNEGCRVIITTRDKKVAEGVDDQCFVHKLRFLSEDESWQLFCKRAKPTQNLEEIGKDMVGKCRGLPLAIVVLSGLLLHQKSYTDWSRVKDHIWRRLKDDSLEIQEILSLSYEDLPFKMRQCFLYLARFPEDHIFEVDRLMQLWIAEDFISEADEGDGVFMEDVAEYYLSELINRNMIETARFRFDGVVFSCRIHDLVRDLAMQKAREQGLLDIFDTSKQLLNPSSLREKRRHVVYNGIGEYLLLEPSSDDSKLRSVALINKIDSYVKIEVIKLTYVRFKYLKVLDLTHVESDQMPEEVGDLVLLKFLGLMASPDYREPLVIPPSIGKLTKLQTLRGSDGSSYEAPREICELKELRHLSSLRCMPEPEGGGLNIGSNQTKLQTLDIWWHVNWIHIETTKLTNLQALSIQDESPDEHPLDSISDLTGLQTFYINFACANVVPTIKPLSSCKRLNSVFLRCTINDPSELKFLPDSVTILSLTHSEFREDPMPVLGSLPNLATLFLVEAHKEKEMVCSPNSFPNLQFLVLSYLSVLEKWRVEEGALTSLQGLDVHRCKNLTMIPPQVERIPRVPATFNYME >KZM95124 pep chromosome:ASM162521v1:5:28908452:28912931:1 gene:DCAR_018366 transcript:KZM95124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEERRDSEESVKLFVGQVPKQMTETQLVELFEQVALVDQVNIIKDKITRASRGCCFLICPSREEADKAVNALHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVTEVEVSDLFSQYGDIKDLQILRGSQQTNKGCAFLKYETKEQALAAIAALNGNHRMEGSTVPLVVKWADTEKERLARRAQKAQSQPLNMVNSDSPQHQSLFGAMPMGYMSPYNGYGYQAPGTYGPMPHRLPPLQNRNSFNNMLSSVNQGSAVRGSRPEFSPRMAPRNYTMPRAGYLGSAYPAVTGVQYPLAYSGGIMSNRPLSGPQGPIPPAANNYLAASSSVPIVTTSSGGQLEGPPGANLFIYHIPQEFGDQELASAFQAFGRVLSAKVFVDKATGVSKCFGFVSYDSPAAAQSAISTMNGFQLGAPQLLWVGFVTVSGHMQLALPNCSGLPAFKPMIL >KZM94833 pep chromosome:ASM162521v1:5:26342636:26342938:-1 gene:DCAR_018075 transcript:KZM94833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRRNPQGQHQMEPADEPKAHKVVKAATAVTAGGSLLLLSGLTLAGTVIGLAVATPLVVIFSPVLVPAAIFVFLIISGFLASGGFGVAAVSVLTWIYK >KZM95217 pep chromosome:ASM162521v1:5:30275044:30278058:1 gene:DCAR_018459 transcript:KZM95217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADLNLSTSLLYCLATFLTLYAITNFNSIRRGLFPSKQSKLPRSFPLIGSYLSLIKHIHHFSDWSATIVNSQPSSTFILHRALGQRMVITANPANVEHILKTNFHVYQKGTSVTALAYDFLGRGIFNVDGDWWKFQRQVASHEFKTKSLRNFVETVVDTELSNRLLPLMKNAAVEEIVLDFQDILFRFAFDNICNIAFGYDPEYLLPSLPEAKFAVAFENTTMLITKRFRQISPLVWKILKFFNTGFEKELKNSVEEVREFARKVLREKKKELDEKSELQTDDLLSRFLSSGHSDEVFVIDIVISFILAGRDTTSAALTWFFYLIANHPDAESKILAEINDKNFEKNSESSAYNEVKDMMYTHAALCESMRLYPPVPTDGKQVMEDDVMPDGTRVYKNDRVIYHPYAMGRSEKLWGSDWREFRPERWLERDGVTGKWCFIGRDQYSYPVFQAGPRVCLGKEMAFLQMKRVVARVLPAFRVIPVIEEGTEPVYTAYLTAKMHGGVGKSSWPELLGAKGEAAAAIISANNPTLRVQIIEEGMVVTQEFRCNRVRVWVNKFGIVTLVPRLG >KZM95514 pep chromosome:ASM162521v1:5:32877952:32881953:-1 gene:DCAR_018756 transcript:KZM95514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGLNNFSAISSSPLSKWCANHEKPCNQASLRQMWRELEAEHITGNSRAQVGVRSMQGSVIELNTEFTRTFLSTGGNHHGVNSLIDSNEKENEHIISPRRLQNENDDSTSSSSESVDFGKVERERVREVFREWQNNGLRNNTPRDSHPNNYSKAKWLHEQQCERVRIVREWVENTTQQRDRFHGGREGQDTETGAQIDRVREGLRRRKIRRLCGKQTLLDLVMRAENEREKELQDLLKHRHVSDFPYRNRIQSLLKGRFLRKKTYKQDEKPSSLAASELGILRQKQTVSDLREGFLSSKLKSFASGAGRSVLSDTSYYNDINCYRHEHAEPSCLQHFVPDELCSRPTSEETDTDICYTDDSECGVSEDLHQQESEALAGEGHVVLEEVDRQQLSSTAECKFWRTFASENSLRNSADGRSSDTLGSQEIRLSVTQGSDPQNNETDAPCVSGDVVQLEEAIIEDMNWQNIPPELEDWQDSVIEDGDVNWVQLRNGNYTGWEQTSEDGTETYSHASSQRQYQLHNDREHYNLQVPHEEWHDNALHESRNDWSNEHFSEEVAIVHTSHFSDDDNGQDLELRQLLSRRRVSNLLRSDFRACLDQVIQSYVERRDHAPVDWEVEGVSSSSAAVVEEEQEQPIGHLHMGHPEALLGTTLPTLIPPSQQISYSHIVQDHNAYQQQVNSLQQSRNDWEIINDLKVDMGRLHQRMNNIQMMLEACISMQLELQCSVKQELSAALNWQSRSADCENLVLDETNLEHVRIGVCCLCCDAKIDSLLYRCGHMCACSKCAQKLVEAKNKCPMCRAPVIEVISAYSIQ >KZM96203 pep chromosome:ASM162521v1:5:39096923:39097084:1 gene:DCAR_019445 transcript:KZM96203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEIKEQTFCINCMVKIEEEAWRFMSPSFTGRESPSRCPFVLPSAIALVSLC >KZM93401 pep chromosome:ASM162521v1:5:5703611:5714203:1 gene:DCAR_016646 transcript:KZM93401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSELDKQIEQLKKCEALKESQVKSLCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDLKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPTISTLDQYLHVDSTRLGDGDGGYISLQISEKGLEFVKDLVIKEALSSLTPLQLPQVERAVNIPLFGNVEIVLSNITINHIDLPYSIIKTGVSGVTIAASSATAHLTMAWRYSYSSWLLPIAVSDQGDASIQVDGMEVGLTLSLENQQGNLDLSLLQCGCYVKDISIELNGGASWLYQGVADAFNEDIISSVEVAVTNKITDGIIYVDSLLKSVPKEIPIDNVAAINITLVNGPVFSDSSIELEIDGLLTANDKTLISSLHREVKEDSNPCEGLDKMIWISLHEKVLHSAVSVYFQADMMQWIVDQLPDQSLLNTAGWKYVIPQLYKKFPNDDMKLNISVSSSPTIKIEPQNIDITVDLEVTVYVVDLGEVIPVACISTMISATGFPKISMHKLGGSVKLIAFTMDLKWSDIGSLHMTLIKVCNFILEFSMMDPLLAQVLG >KZM95904 pep chromosome:ASM162521v1:5:36635922:36640315:-1 gene:DCAR_019146 transcript:KZM95904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYKQGQTHINPILHNAQSLFPLASRFFTASAAHLANAYSSENEEQESNLDSSCVIRDKDLLHKTQNGGLRILELIDSGSIQLEASLYNKLLNESAKLGRIREGKDVHQHLLRSRFRDYQVVLNSVVNMYAKCGCLEDARKVFDEMPVRDVVSWTALITGFSQHESGGVLQPRLTFEQQLKLGSATAEPGVLPRGHWQPNMSLLKQLDLLNHLCYELITYPSESLARK >KZM93752 pep chromosome:ASM162521v1:5:10455709:10456605:1 gene:DCAR_016997 transcript:KZM93752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRKVTVKSWKKGPARGKGGPLNSTCEYRGVRQRTWGKWVAEIREPMKRNRIWLGSFSTAEEAAMAYDEAARRLYGPDTHLNLPHLRSHFNPSNKSQKFKCFPSNKFISLLPSSGLLNLSAQPSVHVIHQRLEELKKNAAFPQSASSSSSSNDPRYEASMVSGQFSSNYTGAKCKEVNEVNFHADVLGNHREKPQLDLNEFLQQLGIIREDDQPDTSEVSSSLTELESSLPDYDGLTPNADTCFNWDMSSEVHQMQDHRDTSSEEHHMQDHQRGDLINFQPYIPEELSCPSSIWNI >KZM95464 pep chromosome:ASM162521v1:5:32344313:32346037:-1 gene:DCAR_018706 transcript:KZM95464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAKGKGAATRETLKPVDDNEEFRKTFKKENPTVKAVSAEKAPYEAKAAKRKGEYQKLITAYNKKQESTTDDGDEESEIHDDGEESGQDDAEEEDDEDEDDD >KZM94134 pep chromosome:ASM162521v1:5:16735053:16735253:1 gene:DCAR_017379 transcript:KZM94134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADYLARYDMCHMESFEETTNNFDDLQTHLESDMGRAIPNFLLANGSAFGQGEVIDAGPPALFQN >KZM94826 pep chromosome:ASM162521v1:5:26252968:26256096:-1 gene:DCAR_018068 transcript:KZM94826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLVDCSNCRAQLQLPPGAKSIRCALCLAVTRIAQPRDVVAPPPPPAYSSSTSNNNYYHHYQPPQQQQAPISYVPPGQPASVNGQKRAVICGISYTGSRNQLKGCVNDANCMKYMLINNFKFPESSIIMLTEEEKDPLRRPTKHNIRMAMYWLVQGCQPGDSLVFHFSGHGSQQRNYNGDEIDGYDETICPLDFETQGMIIDDEINATIVNPLPRGVKLHSIIDACHSGTVLDLPYLCRMDRTGKYVWEDHRPKSGVWKGTNGGEVISFSGCDDDQTSADTSALSKVTSTGAMTYSFIQAIEHGHAKTYGDMLTAMRSTIRKTDGGLGGGGTVTSLLSMLLTGGSLGSGLRQEPQLTANESFDVYKKLNLTLKDIYISPSM >KZM95430 pep chromosome:ASM162521v1:5:32044312:32045360:1 gene:DCAR_018672 transcript:KZM95430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIFGKVGGYWFNQKATKELDSVSNNINTVSNNIDNGAKWLVGKVTGKVQKPLPDLLKEFDLPLGIFPLVVTSYEFDEGTKRLEVHVSSVCEVAYKDSSALRFSTNVSGYLEKGKFTDIEGLKTKVMLWVKVTCLATEGSKLHVTAGLKKTRSRDAYEVQHEGVAVDKF >KZM96314 pep chromosome:ASM162521v1:5:40059270:40060769:-1 gene:DCAR_019556 transcript:KZM96314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVSSLHRVNAFLSIFPSRVIANQTKASFAEEKNAPPPVPPSPPIPRFAYLISGSKGDLEKLWRTLHALYHPLNHYVLHMDLESPPEERLELATRVEKEPIFAKVGNVMMITKANMVTYRGPTMVANTLHACAILLKRTKNWDWFINLSASDYPLVTQDDLLSTFLDLERDLNFIETTSNLGWKEGKRAMPLMIDPGLYQNTKSDIFWVTQHRALPTAFKLFTVSGMLKSGVFSCNKNSSRS >KZM93863 pep chromosome:ASM162521v1:5:11642284:11643710:1 gene:DCAR_017108 transcript:KZM93863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVIPFICDVLRLHEHSSELNTPSLGECIIRTQAKVASIIVFGDSTVDSGNNNHISTILRSDFGPYGRDFINGQPTGRFSNGRIVTDFISEGFQLKPVIPAYLDSSYGIADFATGVCFASAGTGYDDATSNVLSVMPLQRELQYYKEYQTRLRESLGNEKAEKIIGESLYLISMGTNDFLENYYILPYRSSQYSVEGYQQLLTGIARKLIQDLYNLGARKISLGGVPPMGCLPLERARRILYGSDCIEEYNNVARNFNSKLQDLVNNLSKELPGIQVVFSNPYDMLLKIIKDPHSYGFESTAKACCASGMFEMGYLCNSYSTLTCQDADKYVFWDSFHPTQKTNSILAQNAINNSLAVFL >KZM94972 pep chromosome:ASM162521v1:5:27521963:27529973:1 gene:DCAR_018214 transcript:KZM94972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-13 MNRLLADHTLPVLRRSGNYKPCIHRHNNLVQSLTTEYKIERFKGRVDKLREDVVEMFNDVSEPLDQLELIDDLQRLGVAYYFDGEIKSTLEKIFEDQNNNHWEIKDLHATALKFRLLRQHGYAVSEDVLESFMENGSIKECLWDDVKGSLSLYESYYFSSEGDSLMEAAWCFTTKTLREHLDDIIDPILSIKVRHALKSPLDWRMPKLEARWYIDVYSRSNNMNPALLELAKLDFNIVQGVYQEDLKSFSRFWNELGLANRLSFARDRLVESFIWAVGMNVNPELRYSRIQLAKQVQFITYIDDIYDVYGTLDEVELFTDAIERWDINSIEQLPDYMKICFLALYNFVNEMVYKIFKEQSFDVLPHLKRTWLDLAKAYCEEARWYHSGYKPTLEEYLRNGMKSIAIQICIVQNYICSENPVRREALTFLMDMPEILSSACLLGRIIDDNGTSSHELARGDVLKAVQCHMSDTGCTEEEAHAHMKDLMRELWRRMNKYRLQNMALPLQVVDYIFDLLRATHYTYRDGDGFSVQHDGKSKILLSALIVEPIPGTTSTSTSPYPKSKLVNLIKHHVYHTSNTMMIGLKLKDRCKTTQVHALNNPSESNTTVKSNPLLTINSILSNTCEEQVKIPSLADTSEILGHGFPRTDLLEPPIEFCLKSVDFVPTIAELYRRIESSCESSKCLMFVEQYALLCSLGDSKLLRRCLQSARQHAVDPISKVVLSAWLRYERREDELVGVSGLDCVGRVLECPKAALVDGYDPNLAFDHCKCNEMCDEMLKFHCTSNGECSTSEEVENVCFCIENVDIYCVREKIANLSTPLKVMLYGLFAESRKDKIDFSYIGISADGMSAVELFSRTRRFGCSSPKVVLEVLSFANRFCCEEMKSACDVYLASFVSSLEDALVLIDYGIEEEANLLLASCLQVLLRELPTSLNNTKVMGIFCSTEARERLAVVGNASFLLYYFLSQVAIEEKTTSNVKVLLLENLRACAVERWQKALALHQLGCVLLERNEYEDAEWYFDAAAENGHVYSLAGVARIKYKQGQRFSAFEILNMLISDYGAIGWMYQERSLYSVGRKKILDLNEASKLDPTLSFPYKYRAVAMAEENEFEDAILEINKIIRFKLSPDCLELRAWFFMALKDYDSALRDTRALLTLEPDYKLFHGKMRGDHLVDLLNQLVQQWSPADCWLQLYDRWSSIDDIGSLAVIHQMLLNDPGKSLLLFRQSLLLLRLNCQKAAMRSLRLARNHSTSDYERLVYEGWILYDTGHREEALSKAEKSISIQRSFEAFFLKAYTLADTTLDPDASSYVIQLLKDALGCPSDGLRKGQALNNLGSIYVDCGKLDLAADCYINALDIKHTRAHQGLARVYYLKNEKKAAFEEMTKLIDKAPNNASAYEKRSEYGERDMANNDLSTATQLDPLRTYPYRYRAAVLMDDQREFEAVDELNKAISFKPDLQMLHLRSAFHESMGDVVTALIDCEAALCLDPNHKDTLDLYSRTRGEVNHLQK >KZM96149 pep chromosome:ASM162521v1:5:38692687:38695143:1 gene:DCAR_019391 transcript:KZM96149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKTSKVHNFSASVCSWQGVVCDDNQENVYKLTASGLGLSGSIPETTIGKLKNLESLDLSNNNITALPSDFWSFGSLKILNLSFNQISGVLPNNVGNFAGLERLDLSFNYFSGNIPEAVDSLVNLQVLDISDNGFESSIPQGITKCHSLISMDFSRNRLNGTLPAGFSAAFPVLKVLNLAGNQIHGEGSDLAGMASITFVNISNNLFQGSAVDVFQGPLELIDLSNNQLQGHVSQVNFSSSFNWSNLVYLDLSMNQLSGVVFDNLKHAHNLKHLNLAHNRFSKHMFLQTDVLPNIEYMNLSETNLIGHIPSHILQSSGLRTLDLSQNHLSGKIPNLSTQSLQMLDVSYNNLSGEIPLSLLKKLSWMEGYNFSYNNFTLCASQFSSETLQSAFIGSVNSCPIAANPSLFKRKVPSHKGLKLALVLALSMICLLAGLLFMAFGCRRKTRMWTVKQSSYKEDQNLSGPFSFQTDSTTWVADVKHATSVPVVIFEKPLLNYTFADLLSATSNFDRGTLLADGRFGPVYRGFLQGGIHVAVKVLVHGSTMTDHEAARELEYLGRIKHPNLVPLTGYCLAGEQRIAIYDYMENGNLQNFLYDLPLGVQTTDDWSTDTWEEDDNNGIQNIGPSGMFTTWRFRHKIAVGTARALAFLHHGCSPPIIHRDVKASSVYLDLNLEPRLSDFGLAKIFGNGLEDEITLGSPGYVPPEFLRPETGSPKTATPKSDVYSFGIVLFELMTGKKPVEDEYPDKEKNLVSWVRGLVKKNQGSRAIDPKIRETGLEYQMEEALKIGYLCTADIPTKRPSMQQVVGLLKDIEPLTN >KZM96342 pep chromosome:ASM162521v1:5:40231704:40238577:1 gene:DCAR_019584 transcript:KZM96342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASEASNDIEKLYQYGERLNEATDKSQNVKDYLGIIEAAGGSVKAKQLAAQLIPKFFKHFPTLSVQAIDQHFNLCEDEELGVRVQAIRGLPLFCKDTPEYLSKIVDVLGQLLPAVENVERDAVQKSLMLLLRQDVKTSITVLFKHVGSAEEPGSDETIREKVLCFIRDKVFPLKEELLKPREEMERHITNLVKKSLQDVTADEFKMFINFLGSMSLFGPGAPAERLQELVEIIEAQADLDAQFDVSDGDHIDRLITCLKMALPLFKRGASSSKFLDYLNKHILPVFDKFPEEKKLDLLKNLAECSHHVSPQECRQLLPSVVQLLKKTMVRKKLEEMNFTFVECLLYTFHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFTELYKDFNERLSTIEVLTRAMLKKLTQGMTEHNKDMAAAKTDEERNVVKTQKQNATAGLRSCNNIISLTQPLHSKIPAFIGDEKINLSWKEAAKPSARSTAVTGDKRAAAAAGNGSGTYPNKKGRGPGFSQNQLVNRALDGVRGGGVGGRTGGRGRGWRGHGRGRGYRY >KZM95301 pep chromosome:ASM162521v1:5:31010753:31013376:1 gene:DCAR_018543 transcript:KZM95301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIYSQQLSYNSILSSTLGEINSSGRSRVKFAANDGSATIEDSSAAMIPPTGDKNLQTADNNLRNWIAQTPGNNASQNILGNNLDATQTTGSEVAANEGYALIPQAGDGNLQTEDDGLWNWDDDQSSLENFYLKDLDIPTPHKQPDQLVNNASENILSNNLDATQTTGGVTTVDSSAVMIPPAGDENLETVGDDFWNWVDQTPLESFDLEDLDIPTDPHKQPDQLGNNASQLPQEEIGHGTSSKLPVAQFIRPNALDTLSPELRSLITWQSENVASKGKAPVMPDNDIMNINSPVGGGMSNRKRKGGEADADNNSENNAWFPRRVAGSRASQPVAYHNYHYQKSTASINDNLMALHELVPGSQKKDIATTLGETADHLLQVRIQDQTLREEIKQLRQAMVMMHQSIQNFRQSPLGTPSNMVGHTQLGGMGFRPPVQPSMYLFDSSISARMNMPLLMQWNSPAAASRLLVPPVVPGPSQQHRIGGDQIDDTQPAI >KZM94787 pep chromosome:ASM162521v1:5:25911344:25914286:-1 gene:DCAR_018029 transcript:KZM94787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPPKERTPTMSSTAVPYTGGDIKKSGELGKMFDISMDGSRSRKSGPITSGPSRTGSFGGAAPHSNPMTTSSGGRMGSGSIAGVPGSISMKKTSSGPLNKHGEPIKKASGPQGGANAASRHNSGPLPPVLPATGLITSGPITSGPLNSSGAPRKASGPLDSTGSLKSGSASIVQNQAVTHLSLESENSFFKSFPKLIFWSIVLLFVMGFIAGIFILAAVGNPILLVVVVVLFVAVTSVFVWNSCWGTKAITSFVARYPDCELRTAKNGQFVKVSGVVTCGNLPLESSFNRVPRCVYTSTSLYEYRGWDSKAANSSHRRFTWGLRSVERHVVDFYISDFQSGLRALVKAGSGAKVTPFVDESIAVDINQSNRDMSPEFVRWLGKRNLSGDDRVMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPDPVPTGCLWSKCILPAHLEGIVIQCEDSSKVDAIPV >KZM93291 pep chromosome:ASM162521v1:5:4504494:4512516:1 gene:DCAR_016536 transcript:KZM93291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLRRSGDGEEGDHIEAKRSLQQSNDTDEENSKNEVMLLKDDDQLESVKAEMCKVRQENERLKACLDSMTVDYQTLKTKFQNIAHEQVLDQAPKNKFTDPENEPEFVFLSLGRSSPGQAKGDDPYKEKNTSPKKMETTASPVINLQDKEEGSVKLGLNCKFDQEPACLKPDRSMEYNSPREVVVKETWSPETSLKTMRSSGDDDEVSVPQNPAKKARVSVRVRCEAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPSCPVRKQVQRCSQDMSILISTYEGTHSHPLPLSATAMASTTSAAAVMLLSGSSSSTSEPGPSPSATAFAAQQLHGFNFYLSKPNSSFYSLNSSNISSPSCPPITLDLANNPSPSLFNRLSPANYTPKYHNSSTSNLNFSTTTFESNTAPISWSYGNSSHQSPYNQAMSSFNFGSRQPQEKMYHSVMQNKNGTNVAPTQQNFQPHSIEAATKAITSDPSFQSALAVAITSIMNTNNNASPKVKLEQPSTLDKNSGFGMQYANSQQSNLMLSSTPLPMSSSRSNSSTSPGDNVNIGKQVHCLVIRCGLDSAVCVQTALIDFYAKMGDIDSAKQVFNGVVVKDSVCYNCLISGYSKAGDVEKARLIFDEMEHKTVVSWNSMLSCYAHNGDYSEVFRIFERMQIERCRANEYTVVMLLSVRAKLGDLDMGCRVKNFIDENKLCTNIRVSTALLEMFAKCGDVDEARRVFNQMVGRDVVAWSAMNAGYAQNGRSSEALELFELMKGQQVKPNDATIVSVLSACAQLGSVEAGESIGSFVESRCLDLDLRVWTFIELGIGNAQTSLIDWTGIDCLNQKPSHPFGNAFKQLVKGMLAGEAVILP >KZM96271 pep chromosome:ASM162521v1:5:39670263:39671144:-1 gene:DCAR_019513 transcript:KZM96271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMKGWKVVVPMCLKGKVKLTSHCSRSTPVYLNVYDLTPMNGYAYWAGFGIFHSGVEVHGVEYAFGAHDYPTSGVFEVQPRECPGFSFRKTIFIGTTCLSPDQVREFTEHHSASYNGDTYHLIAKNCNHFCEDICYKLTGKHIPKWVNRLAKIGSIFNCVLPEALRISPVQHDPDYQVYDSDKKRLRSNFSNCLTSVSTRQKQLSSSSLFILSPSKGCLPPSDLSRSVENQSI >KZM95238 pep chromosome:ASM162521v1:5:30478132:30478293:1 gene:DCAR_018480 transcript:KZM95238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRSGRPYRTPPFFLLGTQNLQSKAGISKLDELRPLQNIVSIHFTYKIIIIR >KZM95026 pep chromosome:ASM162521v1:5:28116425:28117863:1 gene:DCAR_018268 transcript:KZM95026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTKFSVHGTEMAGIINLPNTHQMEEPTEDTLNGFINPSGSNSSNTGRDVVMALPCNQSFLSRGKKAVKADILSIVCLLQMIVDENAIVDSTGAIVPVRQESVPFEKNELLWKEAEALEAFTLTPHKPHFRPLESCREVEREGKAVGLMVIYDNITKKTLNLRCETAREDIEDYLSHLKELEPYGFDVSKQRNALNRFLFLKEQLEDIDNLSKENKRQITEFDRELCILKEKRIQMQDEIQKLMEEARLADLGVKKSEHDIASDQLAIRNHNEELTRIRMELRELLASPLF >KZM94821 pep chromosome:ASM162521v1:5:26210437:26210934:1 gene:DCAR_018063 transcript:KZM94821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWNKPPAGFWKINVSGHSDEGIRSSAIGCLMRSRSGHFSCGYYGIVEYSEPIYTDLLAIYYGFKMADEENARYIEVESDSASAVYLVNNPNQNSEYSDILLNIRRLKDLAHQSCVLRYVERSSNLMAIRMSAYSYEKRVPITRLRCCPSDIFPELAADWYFSS >KZM94543 pep chromosome:ASM162521v1:5:23468136:23469055:-1 gene:DCAR_017786 transcript:KZM94543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTKSKEARTVRDWESVEEEIHLAISSGVDSSPQFLGATGCSDLLTTKAQVYMAAGRFEEAVVAAQCAAKLDPTEEAKATAERALALPSARSEGNQLFKASRFSDALKVYAEGLQHQALNSVLLCNRHQHTCQQIV >KZM95429 pep chromosome:ASM162521v1:5:32040678:32041301:-1 gene:DCAR_018671 transcript:KZM95429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNQQRSSSIVLQRINPNPNPTTATKEYQRRNPLPCTHQVPNSVAVYHNHQVGPDQCCSAVIQQISAPISSIWSLVRRFDNPQAYKHFVKSCHLLVGDGKVGSLRQVHVISGLPAASSTERLEILDEEQHVISFSVVGGDHRLANYKSVTTLHEAPSGNGTVVVESYVVDIPPGNTKEETCVFVDTIVKCNFQSLTQIANKLSKRN >KZM96210 pep chromosome:ASM162521v1:5:39193395:39196828:1 gene:DCAR_019452 transcript:KZM96210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFMSASRAARTLLQRKNGLKPSVLCNRNKWGLANLVQVEGLSSIACVNQFLLGNGSSLAAKKRHFFVSECDYGGIRKRGLLGCGDGAEANVLAKVYEEKRVLGYSQEQLYSVVAAVDLYSDFVPWCQKSDIVRSFPDGSFDAELEIGFKFLVERYMSHVELSKPKYVKTTVSESGLFDHLINVWEFNEGPVPGTCSLYFMVDFKFQSPFYRQMATMFFKEVVSRLVGSFNDRCCTIYGPGVPVHEYHMTSKGG >KZM93367 pep chromosome:ASM162521v1:5:5279126:5281660:1 gene:DCAR_016612 transcript:KZM93367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKDSKEPRAELRWCWWLGVSVFAVLVVTAAFLTLWKDFHFFHFRKSHDHGIVVDKYVDALNIAMQFFEIQKSGKLVENGIQWRGDSGLYDGKEDNLDLSKGMYDAGDTMKFGFPMAFTATVLSWGILEYEDHMKKAQQLDYARDSLKWITDYLINAHPSDNILYIQVGDPGVDHACWERPEVMTERRPLTQVNESFPGTDIAAETAAAMASASLVFKKVNSTYSKLLLKHAQQLFTFADQYRGSYSISVPKVQNFYNSSKFEDELLWAASWLYHATEDPMYLNYVTVRNGNSYANWGNPTWFSWDNKLAATQVLLSRISFFGPKEIPDEEKLGLQMYSKTAEYVMCSILPDSPTKTTKMTDGGMLWVDEWNSLQYSIATAFLVVVYSDYMLTSKTPTLYCSVSPNATTDSMSNNAQAEYLLGNNPMSMSYLIGYGDNNPQYIHHRGSSIPVNATTGCKDGFKWLNSTDPNPNVAFGAVVGGPFFNETYVDSRNNSMQGEPTTYNTALLVSLLSGLLTTSSVVKSLK >KZM94509 pep chromosome:ASM162521v1:5:23113225:23122122:-1 gene:DCAR_017752 transcript:KZM94509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQEEVKPCPLAAGLVAGFVEVQCVNLAPEDVSALREIADQLGRKNHKFNYIELNLTNWDTTRKPEYANYVFYNCSFPGDICRVQAMSLKGQDFDGILPPSLAKLPFIKQIDFTRNYLGGTIPREWTSTKLEHMAVTVNNLSGPIPDYLGNISTLRNLSLDSNMFTGSVPPELGKLVNLQTLHIDANYLTGQLPLELNHLIHLTDIRLGSNNFTGKLPDFQSWKQLSKLDIQASGFEGPIPSSISILKNLTELLISNLNGGATEFPQLENMTQLNNLMLRSCNISGEIPKDLSFNKLKGEIPSNFSALTGLQQMFLTNNSLTGHIPEWMKKISSNGHIDLSYNEFSNDSGTCWNSFNCRSSSFSCPKDRYSLHINCGGKQVTIGDRTFEDDEESADPENYHIITGYWGSSITGVFNPRRFSRYNYTAHNTSILRMNDSELYTTARLSPLSLTYYARCLANGNYTVTLYFAEIMFRDNRSYQSLGRRIFDVYVQDELKLKDYDIEHEALGVDKAVKPELKAFVKDKTLQIRFVYTGKGTTGVPVRGIYGPLISAISMESDNPPQDSSPNWKGKIIIAVVVVALGLWIFLTFFGISWWKGNLGYRTSREEVDPQLCSNYNKEEAMRMIRVALLCTNSSPALRPTMSSVVSMLKGDISIHELNLNSDMYGDDFCKFRGLRDKYSQTKSRSSGNYSETFGDVSDVINNGSSTSAYDLNTVSLQSE >KZM94621 pep chromosome:ASM162521v1:5:24373914:24375274:-1 gene:DCAR_017864 transcript:KZM94621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGTLDGEVVAMTHESEKIVSYIPSLGAMNSVLGLSWLKKYPSKITIFRDEIPSLADANSLYSQYVPAPDSLSRKSVLLSSNG >KZM94915 pep chromosome:ASM162521v1:5:26974675:26975217:-1 gene:DCAR_018157 transcript:KZM94915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWPRLPVYRGIRSRSGKWVAEIREPRKTTRIWLGTYPTPEMAAAAYDAASLVLKGPETALNFPHHKYNNNLLLVPVQPTTAAGVREAAANAAASLAVASESTVALPASQLARHGDCDVNDNTSDNLVEMREEYQDDEELFYMPNLLMAMAEGMLISPPRITISPPPSDNSDCLWSYSL >KZM94266 pep chromosome:ASM162521v1:5:20258328:20259465:1 gene:DCAR_017509 transcript:KZM94266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFFTLAGAGRDQEQEDQNNHNSSNNNNSLFLFRNDEIYDKNFELWQQSYQLNHQPKQPHQLQQHVDLSLLGSSNRLDEQSLVYNSSDRANNGNNNNNNRASGFGMMRPYGGGSSSSGSGTISCQDCGNQAKKDCIYMRCRTCCKSQGFQCQTHVKSTWVPAAKRRERQQVNILGSSPNSLQLQDQQHQNQQLSLMRGEAPKRPRENPLASHPSGLQVNAFPAEVNSPAVFRCVRVSSVDETDEQFAYHTAVNIGGHVFKGLLYDQGPQSSTHYGIASESFPGAQQPLNLIASTGAATASNNPPAWTNDSSVYPTPLNAFMAGTQFFPPPR >KZM95715 pep chromosome:ASM162521v1:5:34779228:34785183:-1 gene:DCAR_018957 transcript:KZM95715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLALSPRPLTPSHLRRGAHSLSFLHSPPSRLRTLNCSAISPPSLVDQSVKFKEAANKGNLVPLYRSIFSDHLTPVLAYRCLVKEDDRDAPSFLFESVDPGLKASSVGRYSVIGAQPTMEIVAKENIVTIMDHSKGQRTEEIVEDPMVVPRRIMEGWTPQRVDELPEAFCGGWVGYFSYDTVRYVEKKKLPFLKAPEDDRNLPDVHLGLYDDVIVFDHVEKVVLKAFVIHWVHLGRFASVEEAYNDGMDRLDTLMSRVHDIVPPRLPAGSIKLSTSLFGPSLKNSSMSNDAYKEAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKRKITNRPLAGTIRRGKTPKEDYMLENQLLHDEKQCAEHIMLVDLGRNDVGKVSKSGSVAVEKLMNIERYSHVMHISSTVTGELLDGLTSWDALRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGDMDIALALRTIVFPTGIRHDTMYSYKDANNRRDWVAHLQAGAGIVADSDPADEQRECENKAAGLARAIDLAESSFVEK >KZM95529 pep chromosome:ASM162521v1:5:33037520:33039208:-1 gene:DCAR_018771 transcript:KZM95529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIELTMTENLSSAAKGSRLEFAFHVFRGRWLSLFASFTIMAGVGGTYIFGIYSKEIKASLGYDQSTLNLIGFFKDLGSNVGIFSGLIAEVTPTWFLLVIGSSLNFVGYFVIWLAVTGKIAKPKVWQMCTYMCIAANSLNFANTGVLVTCVKNFPENRGVLLGLLKGFAGLSGAIMTQIYLALYGNDPQSLIFLIAWLPAAISMAFVYTIRNISTGRQPNEVRVLYQFLYVSIVLALFLMAMTITQKLVTFPPVAYDASATIVCGLLFFPLFIVFREELFLWNQKKIPTADVRIEIPEQSTARSEEIPRTSCFSEICNKPPRGEDYTILQALLSVDMLILLISTACGIGSNLTAIDNLGQIGESLGYPMKNVNSFVSLVSIWNYFGRIFAGLMSEKLLIKYKFPRPLMTTIFLFLSCVGHLLIAFPVPGSVYVASVIIGFSFGAQFPLLNAIISELFGLKHYATLFNVGQLASPVGSYLLNVRITGVLYDHEALKDLSRKNLHRSSSGELTCIGAHCYRQSFIILAAAACFGAFASLILVLRTREFYKGDIYKKFRQETEAN >KZM95813 pep chromosome:ASM162521v1:5:35807694:35818208:1 gene:DCAR_019055 transcript:KZM95813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSTVSPEIVSSSDDNAAAGVLGFRSIRDRFRFKRNSTDRANTVTDRKTTPSSSLPDRRRSHQRSVLRKFLLFPFRCRSLLYCSVLFAVFVFALASLMLQTSIMTVFGQGNERGRAVRRSLKFGSSLEFVPWRIQKRIEIAGGVERLRYQPRVVVRPPTLGIILGHMKSDSSTLMLFTVLKNLQGLGYMLKIYATEDGEARPMWDKIGIQVLVLGPQNYGHIDWTRFEGIIVDSLEANISSLMQEPFCSVPLVWIVQDATLANRLSVYEEKGWDHLISYWKNAFSRADVVLFPDFSLPMLYSVLDTGNFFVIPGAPVDVWAAESYSRTHSKSQLRQGKGYSEEDMLVLIVGSSFFYKELSWDYAVSMHTIGPLLSKYARVQDNGVSFKFIFLCGNSSDGYNDALQDIASHMGLRPGSVRHYGLDDDVNGLLLMADIVLYGSSQDEQGFPPLLTRAMSFGIPVVAPDYPVIKKYVNDGVHAMIFAKHKPDAIVTSFSLLISNGKLSKYAHAVASSGRLVARNMLASECIIRFAKLLENVLAFPSDTRLPDQVSQLKQGAWEWNMFQKGIEESSGEKIDLDSKSGYTTNSGVLFNLEEEMTGHFSGKNFSVDEADIVGDDVLSQLDWDILTEIENSEEVQRLESEDMEERLEKQYGVWDELYRNVRRAEKVKVNERDEGELERTGQPVCIYEIYDGVGAWPFLHHGSLYRGLSLSTRTRRSRSDDVDAVSRLPLLNDTFYRDILCEIGGMFAIANSVDNIHRRPWIGYQSWRASAMKASLSSDAEKALEETIKMNPKGDIIYFWARMDINDGLTGSNAMLTFWSMCDALNGGNCRQVLLLNFVYRTAFDDAFRRMYALPSHVEALPPMPEDGGYWSALHSWVMPTPSFVEFVMFSRMFADSLDISNNISSKTSRCFLGVSVVEKKHCYCRVLEILVNVWAYHSGRIMAYINPETGLLAEQHPVEQRKPFMWAKYFNVTLLKSMDEDLAEAADDGDHPSKMWLWPLTGEVYWQGVYEREREERYRLKMDKKRKTKEKLIERMKYGYKQKSLGG >KZM93520 pep chromosome:ASM162521v1:5:7442800:7443657:-1 gene:DCAR_016765 transcript:KZM93520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIATTKVSAHSRSISLPSRSHPLTATVEEHLCRLRTPEGTSSSTVSKCDKLSALQDLYECVEDLIQSQAAQQDRLSCGEDILCGSIRLLDLCSTSKDALSHMRDSVQDLESSLRRRQTDVSSRIASYLVCKKKANRMLSKCFAGSKKSKINKSIETPAIVSLLREVEEVSISVFESIFSSICPAKEASTKSTWSKVFKSTQSKRVHCEEDTEEIINQVHNMDMALEAISKKSSKKSDITETQDVQKCLTALDMNMQECEEQLDCLVRSLIKTRVLILNVLNH >KZM95042 pep chromosome:ASM162521v1:5:28190404:28198409:1 gene:DCAR_018284 transcript:KZM95042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGPNTIKQHEDHIKLLRTRKNILADSILDMQVATGKYYTATESVTEKEDTQIDEGTSEHLNGLLCQLKAHIEYAVSHNPLVKDVLGIVATLGNVHDENLSREAQVKFQRISGKSSLPESFYKIENSLESKIRDQESLLANICQTQSLLDEAKSNYEMKKGDFLHFLAQRSPAQPQDSPTKTYEDDLQKLGLRVKQHEDHLKFLRTQINIIKGSIQDLQVTIRKHTSTESVSENEDARSEEGTYEQLIQEKSAAGLICQLNALHETPVSQNPVVKDVLGIVATLGNVDDDNFSREAQVKFQGISGKSSLPENYKIKSILKGKFWEKKRLQNDIRREQSFLDQAMDNLEIKKREFVRFLAQDFQ >KZM96135 pep chromosome:ASM162521v1:5:38550435:38550668:1 gene:DCAR_019377 transcript:KZM96135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELQTICRPLDVINLIKSNDISRIRLFNADPEALAPFVGTGIELMVGVPNENLTALAAGDVNYALEWLQTNILAYV >KZM96112 pep chromosome:ASM162521v1:5:38378119:38380884:1 gene:DCAR_019354 transcript:KZM96112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILHFSCLRLFLVCSMFITTFSVLDPISESLLKLKSELIDGSDSLSDWFEASGENPPGKIHACGWTGVMCNNNSTVITGLDLSMKNLGGEISRMEFDLLNDLVDLNISYNLFSGELPEGIFNLTNLKVLDFSRNNFSGEFPSGISKLKSLVTLDAFSNSFSGELPVDISEITTLKVLNFAGSYFSGPIPSEYGSFQSLEFIHLAGNYLSGSLPSELGRLKTLTHMEIGYNSYQGSIPWQFGNMSELQYLDIAGANLSGSLPNYLSNLTKLHTLFLFRNKLSGQIPYDFSKIQALTSLDLSDNLLSGPIPQSFSELQNLRLLSLMYNGMTGSVPEGIAKLKNLDTLLIWNNYFSGSVPQDLGRFSKLKWVDVSTNNLVGLIPPDICASGQLTKLILFSNNFSGELSPITNCSSLVRLRIEDNSFSGAISLNFNKLSDITYIDLSRNRFTGGIPAGISRALKLQYFNVSSNFELGGIMPEKIWSMPSIQNFSASSCNITGNIPPFHSCKSLSVIELNTNRLSSTLPTSIVRCGSLKLLNVSFNDISGSIPSEKVFKSMDSSSFVGNPNLCGAPLRQCLVARRSKRTQKIAWILITCAAVVLLLTLTLFGILYRRRGSQGQWKMISYRGLPQFTANDVLKSFNSTETMEIMPALSGSICKAVLPTGITVLVKKFEWETKRSQFVLEFINTIGNARHKNLTRLLGCCYNNNLAYLLYEYLPNGNLTEKLGIKRDWAAKSKIVTGIAKGLCFLHHDCSPALSHGDLKANYVILDENMEPRLAEYGFKHLAQLNTGLNAATMHWSKKDEFSGTIKEDIFSFGEIVLEIITDGRLRNAGEIIPKKQKEIILKEIYAENEAEPSKSLQEEINLVTEIAWRCTTARSSDRPSMQDVLKLLSGLK >KZM94323 pep chromosome:ASM162521v1:5:20975820:20976128:1 gene:DCAR_017566 transcript:KZM94323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAGGEGNRGGAVRVVIITTRYIHTDPDYFKSVVQRLTGKHSRGFDCDYQPPPQQRPRLMIPPPPSVSGTDGSSHMISRRVSSLSDLDRLPSWEEISQLCI >KZM94569 pep chromosome:ASM162521v1:5:23709551:23711651:1 gene:DCAR_017812 transcript:KZM94569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSNQIEGTLPEWRDKFLSYKELKKRLKLINPPVVADETTRPEKRRRFSDGERMSDEEIDFLNLLEDELEKFNSFFVEKEEEYIIRLKDLRDRVASAEDWSEEMIDIRKEIVDFHGEMVLLENYSSLNYTGLVKILKKYDKRTGALLRLPFIQKVLQQPFFTTDLLYKLVKECEAMLDRLFPMAERAPSSEVPDKDNLSTSGPAKNDDLVRSAKGVSDIEYVKSLYMKSTISALRALKEIRSGSSTVSAFSLPPLQISGMEDAWIQTPILQQEAK >KZM96311 pep chromosome:ASM162521v1:5:40037187:40044184:-1 gene:DCAR_019553 transcript:KZM96311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGSREKERLFSYILRQLVRRNVVMVILLITAFAFCMTGFFKVNKDALVSNSTEYFAFNLTADFENKGSSLTENLSKMNILTVCDETTGFPPPNPLTLQSSIATPVLGSVAVQNNPCEHFTFPPPPPPGKRRRIGPRRNYDIIQQPKDISKTAKENIPFYMFIDEETEAFLKNSSVLGSRKRVGIWRIIVVRNIPYTDARRNGKIRRTREYIKCVCAIILFLRIIYVPKLLLHRLFPNVQYSLWIDGKLQLVADPYQILERFLWRQNASFAISRHYSRFDVFEEAEANKAAGKYDNASIDYQIDFYKQEGLTPYSDAKLPLTSDVPEGCVIIREHIPVTNLFSCLWFNEVDRFTSRDQLSFSTVRDKIFAKVNWGMSMFLDCERRNFVIQAYHRDLLELMPPPASIVRYPPPVVVREKRNARTSIVHYPSPVEVHGNRTVRNPVKKNPIRRGRDKRSGLRRHRKVVSGIKDKTSI >KZM93345 pep chromosome:ASM162521v1:5:4998524:5000580:-1 gene:DCAR_016590 transcript:KZM93345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELIKKKKFRKYRCRNQILQSSNTEQRQEEQAEGVERKQKISHVHIYQKLSIPPVHMSKFEFSELTWENGYLATHELGGIFPAAPTKSNWGRRDDTLESIVHHATSHGQGITPDQAAQQHISVAHREKPVIVASSDGKWGENLSQVEMALGFPRKRDWTEYSNQCERNFNSSNQEGGEPGACASASATFCRNKDNTMMTWASSESPQTLKSKSAEDSAFLDDRTDKASMLDEVIAYLKQLQAQVHMMSMRNNIPQMMMPLGMQQQQQLQLQQQHLQMSLLARMGMGFGLGMNTGMLDMSTLAQCFSPLIHQNSATPATPSLVTQPFVSPPTMKRHISPEATPTQGASNTSVPFNDPYCAFLAQSMNMELYNKMTAYHQQVNQAAQALHGDKKSNQLQGE >KZM93473 pep chromosome:ASM162521v1:5:6904677:6915074:1 gene:DCAR_016718 transcript:KZM93473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPTLETRHFINDFGQTTFLRNYKPSNKKHSPPPFVGFTRKKYYWRCAGSKSPRQPRPKKSEPDDSKGVDPVGFLAKFNISDKPFAQFLRERHKLLKDLKDEILKRHMNLSEMVTGYQILGMHRNVHHRVDFMEWAPGARYCAIVGDFNEWSPTENSAREGHFGHDDYGYWFIILEDKLREGEEPDEVYFQQYNYIDDYDKGDSGVTVEELFKKANDEYWEPGEDRFVNSRYEVASKLYEQIFGPNGPQTEEEMKELEDIPDAQTRYNTWKEEHKDDLPSDLPSYDVIDSGKEYDIFNIVDDPVSREKFRNKKPPLPYWLESRKGRKAWLKKYIPGIPHGSKYRVYFNTPSGPIERVPAWATYVLPDADGNQAYAVHWEPSPECAYSWKHEHPKAPKALRIYECHVGISGQEPKVASFNDFIEDVLPHIKEAGYNAIQLFGVVEHKDYFTLGYRVTNLYAVSSRYGTPDDFKHLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGSNDCYFHTGKRGYHKYWGTRMFKYGDLEVLHFLLSNLNWWVEEYHIDGFHFHSLPSMMYSHNGFASFTGDMEEYYNQYVDKDALLYLILANEILHVLHPNIITIAEDATNYPGLCEPTSQGGLGFDYFVNISASEMWLWFLENVPDHEWSMSKIVNTLMVNKQTASKMLQYAENHNQSISGGQSFAEILFGQSMQQPSVSKDLLIRGCSLHKMIRLITCTCAGRAYLNFMGNEFGHPGRVEFPVASNTFSFSFANRHWDLLTNGVHHQLFLFDKDMMKLDENERVLVRGVSNIHHVDDNTKVISYLRGPLLFIFNFHPSNSYEKYSVGVEEAGEYQVILNTDEKLYGGEGLIGHDKYLQKTTSRRLDGLRNCLEVSLPRRTAQKVCIETKAMVIVARAHLSQLKIVHLYGFFTFGMSIAVIRQVDSWGIVALTSVYVIEDVFDLVFNFKNF >KZM95601 pep chromosome:ASM162521v1:5:33764312:33766064:-1 gene:DCAR_018843 transcript:KZM95601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSYPEVSEEYKVAVDKCTRKLRGYIASKGCFGIMLRVAWHSAGTYDVKTKTGGPFGTMRFKAEQSHNANNGLENAVQLLEPIKEQFPIISYGDLYQLAGVVAVQLTGGPDIPFHPGRKDQNEPVKEGRLPDAELGADHLRDVFVKAMGLSDKDIVVLSGGHTLGSCHKERSGYEGPWTRNPCIFDNSYFKELLSGEKEGLVQLPTDKSLLKDPVFRPLVEKYAADEDAFFADYAVSHMKLSELGFAEA >KZM94767 pep chromosome:ASM162521v1:5:25600121:25603087:-1 gene:DCAR_018009 transcript:KZM94767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKQQQQKLAGMEVKKKRTSSFQKEEKVAGVDGQLLDLSGMSLETLPVVIDPSLNMTLVTTLDLSSNFLQSIPESLTARLLNVVVLDVHSNELKSLPNSIGCLSKLKVLNVSGNHLQSLPRTIENCRSLEELNANFNMLTRLPDTIGFELINLKKLMVNSNKLVFLPSSTSHLTNLRILDARLNCLRSLPDDLENLINLEILNVSQNFQYLTTIPYSVGLLLSLVELDVSYNKITTLPNSIGCLGKLQKLCVEGNPLVSPPTEVVEQGLEKVQVYLTQMINGQHKNSVRKSWGAKFKRYSTFSRANVHGNINYQKQEGYIIPSYNRIEVLASPNYTPKGSQKFMGMFSPMRLFSPKSYFTR >KZM94149 pep chromosome:ASM162521v1:5:17122737:17124227:-1 gene:DCAR_017394 transcript:KZM94149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFSWIGPVTCTEVPRAQCRITRYELDNRTEANFWWRPYAHLDDEHHPDYGLYLRWTAPTPLMYMAYVEWCYTVRVTRQFGFVQDIPTSSPRANHSDLHDIVNESINWEGARESHTRLWDRSLDRALTSPPLMFGEGCTTAYMPWFLAMTRRYMVNPAFWITAEGFQGTQGATQALEDQLLDMGSAIDLATLDVGRAQRILQGLLGHFRGSRNPPRRRGRPLITPVEPLPGTYYTHVGSSSSDRGGWSHLVGTSSSPVRDVERTFRAAGWDSWPKSTVPPSTYAGDDYEGGPGGFTVRLEDDEDMSAKSQPQESYQFQDADAYCPDMSFLRDQYTTPPPQALVPSFASQSYIFGAPAFPFAPPPVRSTPTPIHMSTFASYTGESSPWAPPTTGVAGQSEAEEQPEDEHRQQPPRAAKGKGRRCHTGSHIFGHKKK >KZM94883 pep chromosome:ASM162521v1:5:26708755:26712743:-1 gene:DCAR_018125 transcript:KZM94883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEDSQMAPRTENIIHFGAVSPPVAAPAVAPEGVAVAGETPVSTDGKKKRGRPRKYGPDGALALSPMPISASIPLTGGEYPTWKAKKEKPIDLYMKKHRMEYGSPQGEREAYTAGANFTPHIITVNAGEGRFEILSLSGSFRPTDSGGAKSISGGMSVSLAGPDGRVMGGGLAGLLVAAGPVQAICLAVLGRSSDLPLKTLKVLGSTPFSPHIKQASFGPRQI >KZM93322 pep chromosome:ASM162521v1:5:4766203:4769574:1 gene:DCAR_016567 transcript:KZM93322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTGARSNIEDVIARFAQLTPQERGKRKLESLEVLRKTFKKLDHDVNIDEFLCSSHQSIEEMSNHVGMLRAQVADVHKRLSYWSDPDKIDNIEHLRHMEESLSESLSQIRIHKENFRSHQLLQLDCTNQYQNGMHSSLMIGAMQESQPLSWLPSNENHQVILPAEPSYMQGRDPVCSRDISLPSYSGLFETGKETDIDNTGQIDNTRQESSLNEPSSTDCLKLQLSEHYPFHAYSNLNLANAGKLDAGTEANLQANPVSYHINNSYELPRPIYNHMHQNWIPESGSHIVPMFIESSYSKFILLYEQIDII >KZM95220 pep chromosome:ASM162521v1:5:30288554:30290019:-1 gene:DCAR_018462 transcript:KZM95220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDSTNQNNPTTNRGDIPDLDICFCDKLMVGRTCWFDENTGRRMLACPDRKREKLVMMAKEHAAAIEAAATESDIEEDP >KZM94565 pep chromosome:ASM162521v1:5:23664613:23666859:-1 gene:DCAR_017808 transcript:KZM94565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALINYRRGDDEFYSAGSACCADSALFFSTNSNVHNVYGPSRKRARITSPFAFDGHMFETEKPSIEILPDECLFEIFRHLPGGQERSAAACVSKHWLMLLSSIRNSEIYQKQEVCEPTQDIDMVSADETKEDSCDGYLTRCVEGKKATDIRLAAIAVGTATRGGLGKLSIRGSNSTRGVTDLGLSAIAHGCPSLRVLSLWNVPLMGDEGLLKIAKECHLLEKLDLSECPSVSNKGIIAVAENCPNLTAITIESCPRIGNESLKAIGLCCPNLQSITVKNCPLVGDQGVASLLSSASAVLSKVKFQALSITDFSLAVIGHYGKAITNLVLGGLERVSQKGFWALGSAGGLLSLESLLITSCGGTTDVSLEAIGKGCPNLKHMSLKKCCFVSDSGLVAFVKVAGSLKSLHLEECNRITQSGILGALSNCVSKLKSLALLKCMGIKDLGLENPVSSPCESLRSLTIQNCLGFGSASLAVLGKLCPQLHHLDLSGLCAITDTGLLPLLEFCEDGLVKVNLSDCLNLTDKVVVDLARLHGETLEVLNLTGCRKITDESLAAIADNCLLLNDLDISKCSVTDAGVAALSRGVQHNLQILSLSGCSNISNKSMLSLAELGKTLVGLNIQQCNLISSSAIELLLESLWRCDILYGDHTPLLI >KZM94729 pep chromosome:ASM162521v1:5:25331086:25335201:-1 gene:DCAR_017971 transcript:KZM94729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQSFANVGNLDHCTKYLNQTLVNFGFPASLDLFATDPVSIARTCNCMYSLLQQRQRDIEFRESANEQRQRLMSDISRFEAKIERLEAQLSAKDREIATITRTEAKAAAAFKAQIDKLQQERDEFQRMVIGNQQARTQQIHEMKKKEKEYVKLQERLNQVVMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYESKNQELVAENADLRALLRSMQGDMRDFLNAPNGSSKQSLPVNERLESDSSHSPLGGKTDVFDLPFHMGRDQIEESLRAKIAFIKERMVELQDAQKEADVTSEATERELELEAQLVEARSIIQEQASIMSKHVAKSERPRRLSGLLESERDPIISSPTEV >KZM94935 pep chromosome:ASM162521v1:5:27169561:27169884:1 gene:DCAR_018177 transcript:KZM94935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTKSVVSPNTGIKTVLAVAMIALLLQISDHLVQAQGSVCSGTLGSLNTCAPYVVPGANNSPSPECCAALHSVDHDCYCNTLRIAAQIPTQCNLPPLSCTGKRLN >KZM95275 pep chromosome:ASM162521v1:5:30715062:30715304:1 gene:DCAR_018517 transcript:KZM95275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASEESGVGRSLEGISSAQQRCQSSEALAEWRSFEHVENGSPSTSPPYWDTDDEDDCAMLAK >KZM95886 pep chromosome:ASM162521v1:5:36503770:36510279:1 gene:DCAR_019128 transcript:KZM95886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIGEPWPEFNDGIFYSDRVRPSDSGVTLVDYYSTKYKSSAPLQGWLQRIGNGQITVDGKIVTDPTKVLSAASDLQYHRLPWREPDAPYLLGVLFEDDHLIALNKPSGLQVLPGGLFQQRTVLTQLHWYASKQRSLLSCQDTNAVPVHRLGRGTSGILLCAKTKLAKARISAYFADGTSVVGSSRIEDADISKARKISKTYRALASGILHENEVIINEPIGMLRYPGVAKGLYVASPSGKLALSKVRVLERDIQNNRTVVEVEIQSGRPHQIRIHLSFIGHPLIGDPLYVTGGQPHCFDPESVEESFAQDGGYQRPLNPVPGDCGYHLHAHRLIFCHPLTNKNRAAHCRVSWNVDSKFFQCVDV >KZM96511 pep chromosome:ASM162521v1:5:41524428:41531151:1 gene:DCAR_019753 transcript:KZM96511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQLSPNPKQRAQLFSPSSPHFLGSNDDKLERAQARAAARAAATRRKAVAAAAAAAPESTPCLDKEHILDLFHNCIKLASENKINQKNTWELSLIDHLCDIIKVDEEDDVETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGINRVGQENEEDGTVEDSNLGNGQEEDNSKIEKNRKLSPLSTLESSFDALNVKKFDAAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYGGCRVLFDSLEVPAKCSSCSNQHDKTETIDIYFAKECKEEMVANMLNKFEISPSLREIVNHFDDDNKRPPNTFSFETKQAEEIDEPYDNGAEFNADTFGNGGTWDDDLEDQTNVADDGTFGGDPVISSQHEVNEPTHFNDHDTDDRFAEIDDYLFLNLGFTSKLNAWAGPDHWKFRKVKGQETVSEDKESPIKTKKTKNKKQTTVDIDFLKCLDKDVSDVFAPPKNPKTLLLPTSREPCNTLLPEDCHYQPESLVKLFLLPNVLCLGKSRRKSAAEINHETDNYDNMPSWDDDTGFADHFDDGNDCGDVDESNTLVSQPRQVNKIEVQYDRKSKQVDVQALKETLWGSLQESQLQSVQGGTISFKDALAAFPDDCRAAESLKDISPHLCFICLLHLANEHGLSIQGQESMDDLTIQLPQQ >KZM93778 pep chromosome:ASM162521v1:5:10653522:10655166:1 gene:DCAR_017023 transcript:KZM93778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHNIGCQERTQRDLDLDVYDYESEQFFEKKAYHRGVLEAGTIPQDLISPANSSSTMMSYTSLPTSAFYATEVYMGLPQVNYQVGSSTSSPELSKNYDAQMVSYQPYENEFGTESLERTNHWQGDSCNYQYSFDYQKSYSERDQLLQLKRKLLGNFNTPDNRRQVSIPFGGNTDISKYRNAKCMADSAEEKSQNMTTRNDQDQQIDIQTGLQLKEALKLQIDVQKSLHEQLEIQRNLQLRIEEQGKQLKLMFEEQQKANK >KZM96246 pep chromosome:ASM162521v1:5:39489140:39489480:1 gene:DCAR_019488 transcript:KZM96246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLYFYLIVALLYFSGTMSEVDAQKRCIKTLDPNNCVLSSCKQTCFTQYKGNGVCIAKSGGQSYRCDCVYNCGEELSPL >KZM93206 pep chromosome:ASM162521v1:5:3520881:3526184:-1 gene:DCAR_016451 transcript:KZM93206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVVTMSYSKGMKSGNTSSKXEWNSEEDALLRKCIHKYGEGKWHLVPQRAGLNRCRKSCRLRWLNYLRPTIKRGEFSEDEVDLMIRLHRLLGNKWSLIGGRLPGRTANDVKNFWNTNIHKKLATRSNQKEVAIRGEIIQGKQDSNIAATSIGATLPLNDEGIETLTPDEDGTEWWKNLFAEIDIDDPEQDSSRGLLMASSSGSENVDAHRDLMWKTDESSAAAMEFSNDFSDIWDLLDSPDHVRLSRTEAAILRVERKADSRKYTRLWPKAVLEALDDAIKAKRWQSALKIFDLLRKQHWYEPKGQTYTKLLVMLGKCRQPNQASLLFEIMLSEGLQPTIDVYTALLSAYGFSGLIDKAFQIIEDIKSFSECKLDVHTYSVLINCCFKYRRFDRVEHILQEMSYLGIQCSTVTYNTIIDGYGKAQLFEQMESSLTIMIESCTCLPDVFTFNSVVGTYGNCGQIEKMEKWFDEFQHMGVNPDIITFNILIQSYGKAGMYEKMSLVMEFMEKRFVSPTIVTFNIVINVFRRVKNIDQMEEFFLKMKHQGLKPNSITYCTLISAYSEAGLMHKVDSIMRQVENTDVILDTPFFNSAITAYGRAGNVDKMRELFSEMRKRRCLPDNITFSTMIEVYNALNMVEAAQELESKMISATPRSGIS >KZM95241 pep chromosome:ASM162521v1:5:30497274:30498889:-1 gene:DCAR_018483 transcript:KZM95241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKLCLISLISLIFLHGCIATRLQDQQECNFDRLTAMQPATSIEAEGGKTEFWSPDTKPFRCAGVAFLKHTIRRQGLLVPSYVNSVLMVFVEEGRGFYGVVLPGCPETFQSPQDQKGERMRDRHQKIENFKKGDVLIFKAGITHWMYNNGDQDIKLVVMFDTTNRANQLDSIPQRFYVLGNPQGQMQGQQQQQPLMQQFHGDSILKGFDAESLSAAFKVNQEMGRRVRGENVKQGHIITVQKELQVERPQKQSQPEDRQDNGIEETSCSENLRINIDKMDIADIFNPQAGQITSLNSHHLPILSQVKLSAERGLLRKNAMMAPHWVLNAHTIIYSTDGEARIQIANNQGKQVFDDRVQQGQLVLVPQNFAVMIQAGNQGFRWISFKTNDNAMITPIAGRNSVFRGLPVSILANILQISEEQASKLKYSNAETILFVPPQQRSQGERFTSA >KZM94707 pep chromosome:ASM162521v1:5:25157127:25166211:-1 gene:DCAR_017949 transcript:KZM94707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDFYSINPHYTTRLALHPKNSHDVLTAASSALELVNEDQVDAIIGPQFYKEARLVAEIGGKAHVPVISFLVTSSSLWSSQTPYFVQTTVPDSSQLECITSLVQQFGWQDIVVLYQNDTEEESGNNFMPSLTESFQQASIRISYVFAISSSASISHIEKQLRHLRSMQTRVFLVHVTSPDLASRLFYLANKVELMNKGTAWIITDALSSSLSSLDATAIESMEGVLGVRPFIPKSKNLKNFKIRWNSFLLTQQDQPNYTEKYASSFNTFCLRVHDTVWALATAVEKIQLPEVKKSPEILNASSSAITNLRILEAGPRLVKEILKTNFVGLSGEFKLNGGQLETPVFQIINIAGDGENGDRTVGYWTQGRGFSRRIASAAGKGQMVVYSKRVDQVLRPIIWPGDSTEKPKAWDVPGNVVKLRVLVPKKSSFTEFVKAQEDNRTKNTYNVTGFSIDVFKAVLHALPFEVEAEFYPFVNDKGESNGTYNELVKKLNGSKAPDAVVGDVTIRANREEYVDFSLPYLESGVVMVVRAEPDRLKNIWIFMKPLSWDLWLSIVAAAIFIGVVLRMLERRLKPQNQLGMLFLFPLAALAFPERNMVGNNWARFVLVVWLFLSYILMQSYTANLSSILTVGQLRVSTDIPPCAGYQENTFVIEILKKLRINGTSYSSMEDYDKALSLGCENGGVDAIFDEIPYMKLFLHKYGSKYKMVGSTYSTGGFGFAFPTGSPLAKPVSEATLRVMEKGSIQQLEKTYFGQGYTTQYAGEDISRDSPSLTSYNFVGLFAVTAFLTLLAWGCFECSFIISRYRDRNAAISRVQSIEMTQDASAENDQQDFKEELPALQVLMNINIIGNSDRVPSTEACFKGNFRKNGELNGSKCQEALLALSPSQHSLPYLQRVCFGSSFAISRYCNLIGVSTLRDHSIEATHNVSPEAESDKIPRLLYFKELAVMGFSKAYLYLLLINTIFLLFVSANKETGTTSESKNQIVFNVGVILDFNSSVGVVANSCITMAISDFYSQSLHYTRRLALHHKNSNDVLTAASAALELVNEDQVDAIIGPQSSEEAKFVAEIGNKSQVPIISFSVTSSSLWPTRTPYFIQTTLPYFSQLKAITSLVKQLGWQAIVVLYQDDTDEVSGNGFISTLTDILQKAGIQLSYAIAISSSANTSHITKELNHLKTIQTRVYLVHVTSPDLASRLFPLANEVGMMSNETAWIITDALSNSLSSLDASTVESMLGVLGVKPYVPESEIMNNFKLRWNKYMLMQLQPNYDKTIRSCFNRFCLRAYDTIWALATAVEKIQFPEVKRSYEKSNASCAAITNLRISEAGPRLVKEILETTFLGLSGKFKLKHRQLETTAFEIINIVGNGDRTVGYWTPGRGFSRKIASAAEDDHGVVYSGRVDSVLKPIIWPGESTKKPKGWDVPGMGQKLRVGVPKKTDFTEFVDVEEIDHTKKLYNVRGFSIDVFQAALLLLPFKLEPEFIPFVNDSGGSNGTITDLVNKLHGTETPDYEAVVGDITIRADREANVDFSLPYTESGVVMVVKAEADKLKDMWIFLKPLSWDLWLTIVLAAIFTGLVLRVLERRLNLQRPFGMLVLFPIAALAFPERNMVGNKWARFVLVVWLFMAYILLQSYTANLSSILTVSQLRPSADKPACAGYQEDSFVKEMLKKMNIKCIGYTSMEKYDEALSLGCKNGGVDAIFDEIPYIKLFLRKYGSKYKMAGATYSTGGFGFAFRTGSPLLKPISEAILEVMERGKIQEIEKRYFGKGYVTQYQDEDKDDSKLTSYSFAGLFAITAVLSLLALVCSECSFAISRYRNRSVDSISRVHSIEVTGDLFRESHQQESKEEVEILGQEADSNRQQVLQESKNMNIHHGEGDKVPEYTGKY >KZM96049 pep chromosome:ASM162521v1:5:37719813:37720169:1 gene:DCAR_019291 transcript:KZM96049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKIILTIMLATIFASAIAQSPAPSPSSTPSTPPVSSPPPIVAPTSSPTASPPSDSPLSSPPAPPAATPSATPPSAISTTPSNAPAGTPDNAAGLNRVTVAGSGAVALFAAVALLI >KZM95154 pep chromosome:ASM162521v1:5:29265493:29266166:-1 gene:DCAR_018396 transcript:KZM95154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVYTYKQELERGQLLTVPPYLVKRRKQHYHYLEQLGIDLILGCNGFIWVGEHIDTKDDMVEDQVAKSEQQNENPGDNFSKETYTSVEIRQNICRAANAVRVLCALGFNITADVIIETVKLSNSVDIHEMLGPEFYVLVAESEVERRRSSKRI >KZM95668 pep chromosome:ASM162521v1:5:34310206:34313289:-1 gene:DCAR_018910 transcript:KZM95668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSAIFLFLGLLFFGSIFVSEARVRHATFVVQETSYTRLCSTKKILTVNGDFPGPTLYAETGDTLIVDVQNKGNLNITIHWHGVKQPRNPWTDGPEFITQCPIKPGGRFSQKVILSDEEGTLWWHAHSDWSRATVYGAIVIRPKKGTTYPFPKPDGEVPIILGDWWKSDIEEVLQEFLRTGGDPNSSDALTINGQPGDLYPCSKQADTFKLVVDYGKTYLLRMINAGMNNIFFFGITNHTFTIVGHDAAYAKPFESDYITIPPGQTLDVLLKANQPPNQYYMAAKVFVGGGAAIFDNTTTTAILEYRGNYTAPSPLPLPILPNITNSTASQSFSGSLRSLASAAHPIDVPLEISQKFLFTVSMNSVPCNNTVDCTSRNNVRFKASINNITFQAPKIDILEAYYRGINGVFGDDFPRNPPLEFNYTGNISAALRTPRNGTEVRVLPFNSTVELVYQGTNLLRGIEHPMHLHGYSFYVVGWGLGNFNKDEDPKNYNLVDPPLMNTISVPRNVKILSNTE >KZM95484 pep chromosome:ASM162521v1:5:32561239:32563314:-1 gene:DCAR_018726 transcript:KZM95484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPKKLTPKLFLTLLKSEKDTTAALSLFHSATSHPNYTHTPTIYQHILRRLADPKLIPQISGIIETIKTQQCQCSEDVALTVLKAYAKNSLVGNALNVFDKMSEIFGCEPGVRSCNCVLNGFVVTGEWRKAEMFFRSFEVMGVKANVESFNILIKMLCNKGVFEKAMEMVEWMWREGVRPNVVSYGTVINGLAKSGNLRDAVKLFDEMFEREVVPDVMCYNVLIDGFFKNGDFLGVNGVWERLIKGADVYPNVVTYNLMISGLCKCGKFDKGMEIWNRMKANEREVDLFTVSSLIHGLCQSGSVDAASRIYKEMIERGVCPDAVVCNTLLDGYCRTGKLKEGYELWHYMGTACLRNIVSFNIFVKGLFENAKVGEAMQLWQDWRDNNCFSADSTTYGILIHGFCKNGYLNRALMVLKDAEDSGDDLDVYSYSSMINGLCNEGRLNEAMTMFDHMTLHNCKPNEAVNKGIKPDVTMHNIVIHGLCSSGKVKDALQLFLTMTRWTCAPNLVTHNTLMDGFSKSGDSENALVVWARILRSGLQPDIVSCNIMLKGLCSCCRIPDAVCFLEYAVGKGIRPTIVTWNILVRSVIGGVPI >KZM93762 pep chromosome:ASM162521v1:5:10529838:10530380:1 gene:DCAR_017007 transcript:KZM93762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKHFSHDHNLIFHQVQQGQNIRCSGCESDCSSGFVYACFHCSFFLHEHCGNANRHIMHPADVTHPLILFPSPTYESGSFLCDACGKAGSAFSYCCAVCQVDLHVQCAFMPLKITHRCHRHELKLSTGNPDRAGREICNICTTQLDSKHWSYYCAECDFGVHTFCGTNEVKPALFVDAS >KZM92993 pep chromosome:ASM162521v1:5:1511499:1518818:1 gene:DCAR_016238 transcript:KZM92993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKTKSQRKREKNKAIAEAYQKLKADYGILLREITSLKQQNDPTYNGGQSDYSICNPTYNGDQSGYSICDPTDNGGQSGYSICDPTDNGGQSGYSICDPTDNGGQSGYSICDPTDNGGQSRYSICDPIDNGGQSGYFIHDPTYNGGRSGYCIWNHVDNGSQSGQSSSSICDPTYGTRYKPKPPQLCPRFPEHREILSLDAGFAQTPVLRGTFNTAFDNEDLEVIASKIAPSVVAVSSFYGLIIHWSSSEKEAIILTSAKLLYYPKGSEVEFHLIVRMADGTLLLAKEDHVDYYYNLLTLKVKPVVEPEVVDLRSRQAGIVDGMNVISFGRSLLTFTLYGDRGKLSEYPPSFGCYELSATNCGIPEIGEGGPLVNDEGYVVGINFFGHYRCAQALPTPTILSCLEMWKSFSTVLRPWFGIRVIDVKEYRKLVSNPGKELDASNRDLSVSVKEVHEGSVAYKNNVKSGDKVATLNGTKIETVKQYSQLLSEASRAATACESGHRLMAVINPFDRPTDDIIIEANNISVDDKRFSSW >KZM95624 pep chromosome:ASM162521v1:5:33939103:33944833:-1 gene:DCAR_018866 transcript:KZM95624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGQNEGRGEDSGTDSTSNQVFFAAWAKDAHECEEELKVRRDCGLPVEEVEKRRQIYGWNELEKHQGQSIFRLVLDQFNDTLVRILLVAAVVSFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHATVIRDGQKNSNLPAKELVPGDIVELRVGDKVPADMRVLSLISSTLRLEQGSLTGESEAVSKTVKPVPEDCEIQGKKCMVFAGTTVVNGNCICLVTQTGMNTEIGKVHSQIHEASQHEEDTPLKKKLNEFGEVLTLLIGLICALVWLINVKYFLSWEYIDGWPTNFQFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTKLVAVGLQGHALRSFSVDGTTYNPFDGKIQSWPIGQLDTNLQTIAKIAAVCNDAGIEHNGSHYVASGMPTEAALKVLVEKMGLPDGLDTSLSTTGSDAQRCCRRWCDVERRIGTLEFDRDRKSMGVIVSSSSGKNSIFVKGAVENLLERSSFIQLEDGSVVELDQKSRKAILESLHEMSSSALRVLGFAYKDDLAEFATYTGDEDHPAHELLLNPSNYSSIESKLVFAGLAGLRDPPRKEVRQAIEDCRIAGIQVMVITGDNKNTAEAICREIGVFEPNEDISSKSLTGREFMDHRNKKNHLRQSGGLLFSRAEPRHKQEIVRLLKENGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKYIMKNPPRRSDDSLISAWILFRYLVIGLYVGIATVGVFIIWFTQESFLGIDLSKDGHSLVSYSQLANWDQCTSWGNFSASPFTAGSQVFNFDANPCDYFQTGKIKAMTLSLSVLVAIEMFNSLNALSEDVSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQIFGIVPLSLNEWLLVVAVAFPVILIDEVLKFVGRCTSGARSRSKSSLKHKAE >KZM93356 pep chromosome:ASM162521v1:5:5208711:5211685:-1 gene:DCAR_016601 transcript:KZM93356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVKQILAKPIQLADQVIKSADEASSHFKQDCGELKSKTEKLAGLLRQAARASSELYERPTRRIIDDTDQVLEKALALVQKCKAHGIVKRVFTIIPTAAFRKMSAQLENSIGDVSWLLRVSASGESRDDEYLGLPPIAANEPILCLIWEQIAILSSGSLDDRCDAAASLVSLARDNDRYGKLIIEECGVGPLLKLVKEGKLEGQENAAKAIGLLGKTLKEGPMKVQAVVAWAVSELAEHYPKCQDLFAQHNIIRLLVVHLAFETVQEHSKYAVTSNKTNLHAVVLASNSSNSTVSSVVKPVDDEKPSKVLHPMGNRNPSQMHNVVATTMAMNGNPKPKSNDVANQTNIAKGDNNGNDKHNHVSHHHQPSLSLSGASIKGRELEDPVTKAYLKKMTARALCKLAKGNSAICRSITESRALLCFAVLLEKGPEEVKFDSAMALMEITAVAEQDSELRRAAFKPNAPACKAVVDQLFMIIEKAESELLIPCVKAIGNLARTFRATETRMICPLVRLLDEREGEISREACIALTKFASPQNYLHLDHSKAIISAGGAKHLIQLVYFGEQIVQISALTLLCYIALNVPDSEELAQAEALTVLEWASKQPLLNQDEMVESLLQEAKGKLELYQSRGSRGFH >KZM94410 pep chromosome:ASM162521v1:5:22082853:22084677:-1 gene:DCAR_017653 transcript:KZM94410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHYFVTDLSVHLLCTSFSVDELRKLLSNKDAYQQLLHSLDMVKTQNSVWDDLRNETLQLARENLEKESYIMELRNQCRIIWTTELASAREKLNELERQKSEIMKSYSPPAMLQHIQETMIKTDEESETVHKQLLENECDLPVFIQKYKRLRGTYHKCALTHLAAKTSLMG >KZM94374 pep chromosome:ASM162521v1:5:21642087:21643343:-1 gene:DCAR_017617 transcript:KZM94374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIESEDGGEDSFLKQFDPGKWPDDNDENSAWLYSCNASSPSSCLSYGVEDCEGSLQSISENPELLPNKAAFLFDGSRVSPVEETLIALNGNLSKNETIVQEDLEGGETLTWMITPIRNDFSDSSTCSNLSDSFLSMSLEDSSTSDDSSSQFSIDLDSPCLSRDDRTGFSLSLINLNGQDSDWFSDTQSEIDLIHSDFPSPSYKKSWGFNFHSSGSSVSTAINMKKPKSKSTKSCFDENLDAFDLADFSPDTPLFWPTNEKSDWSSDVTLDFLMMSPPKYEHKLLHSTGSSHGSFRFRVHQGRKMEMGKDSRRRLVFGSGSKSSNSFEFNCRNGQREVRRRSTMPLTFIKSRKCPAKTPLQRKADSLLKKSAGLSKEDFILSLEVTVETMVGLKEFDGHEGIESEFNKDDFSLDEDL >KZM94580 pep chromosome:ASM162521v1:5:23824663:23827998:1 gene:DCAR_017823 transcript:KZM94580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQSSSSFEVDLGNLMAFNPHFQFSSPPSREELVRESIQQGTQLVQAIADSLFSLTSTEDRDGPIVKLPPPTTRLPREKPSFLARCEEEQCRPKQCNWLLNDDVPLPKPRPPTKWEEFAKKKGIKNYKKDKQVFDEQTGTWKRRYGYDRVNDDNDVPIIEAKMTDEPGQDPFAKRRSEKKQRVEKQEKNRLHNLKDAAKVGALPSHVQLAATALPITGSQAAPKKVSKDELGNVAGMAATATASGGKFDKKLPGEKPPKHDKKYRKFLPVVEGSGMGSLERQQTDKILNKLLSANSHNILDVGKAVNFYNVKKDKKRKSQGKDHGKTSSTSSKLNTKKSPYKKMAKKGGSSSKGKSK >KZM94098 pep chromosome:ASM162521v1:5:15488432:15495248:1 gene:DCAR_017343 transcript:KZM94098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASDVGEEVGMAGGHDEKIFVTVRLRPLNRKEIARNDVADWDCINGDTVIYKNANLSMPDRSMYPSAYTFDKVFGCDCSTRQVYMEGAKEVALSVLTGINSSVFAYGQTSSGKTFTMTGITEYTISDIYDYIQKHTEREFHLKFSAMEIYNESVRDLLSTDNTPLRLLDDPERGTIVEKLTEEILRDWDHVMELLSLCEAQRQIGETSLNETSSRSHQIIRMTVESSTRELLSKDNSSTLVASVNFVDLAGSERASQSLSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARVHVEQSRNTLLFASCAKEVSTNAQVNVIISDKALVKHLQRELTRLESELSTGSTYVASKYSALLREKELQIEKLEREVKDLTLQRDSAKSQIQEMLRCIGNDGHPLTRVVHNHYPHLRVQDSPDAGYRVENNYLTAVPHSMDVASKTLCTSPFSDRQDVNALNYNHSFFPGSSEDLHPDPCRRPLTSTSQISESDLSQDLDDYDGKSNCTSEDICKEVRCIETEDFSKNNHPESSQLSNAHDIGISATIVLKNGETANEEWVSPMSKSDEVLVSLLSKEETESIAPSLKTNREALTFPCSEDRQVALPYIEDSKINCIYDTPSPEKRSPSYDLVKEFSRSRSPSSTTSRSYESGISATLSSPRLQEAVHLDDTPPNGCEEYHTQKPHASQSENSRLNYGSDVVISSSNGSQSPNKNGVDIELDTQEQGKLSAEIISDGNTCIAKLETMSEPHDEHQGFDTLANETKTMSNMCLKSVKDVGLDPMEYDLSSPSNWPSEFKRLQKDIIELWHTCNVSLVHRTHFFLLFNGDPTDSIYMEVELRRLFFLKETFSQGNQTVEDGRTLTIASSLKAIRHERHMLSIQMHKQLPQIERKSLYLKWNIPLNSKHRRVQLANLLWTNSGDLDHIADSAFVVAKLIGLTEPGKASKEMFGLKFAPWSSRRSYSVKRSLISIL >KZM93554 pep chromosome:ASM162521v1:5:7713319:7717148:-1 gene:DCAR_016799 transcript:KZM93554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQAGPSSGSSSSSGFQYLNSPFGDTTLTKVFVGGLAWETKSETMRNYFEQFGEILEAVVINDKNTGRSKGYGFVTFRDPESAKRACVDPSPVIDSRRANCNLASLGRPRPALPIVVGRYRSPSPYSGGMPASRGAYVGNFGYQQPYSYSLQQGYTYPQYGYATYGSEYVYPQGVYNPYAGQQYLQMYGVPGTVNAAMYPYLVGQNVTGGHGYSTMQGYALPGNQIVQLGGPTVNSITTSSIQTFQGVVSPVPARPQIIIPVHSPQYIQSGSSDQNAG >KZM96298 pep chromosome:ASM162521v1:5:39939836:39941850:-1 gene:DCAR_019540 transcript:KZM96298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTLAQLASALLQGSRWKNAAQRKSLINQAKCSLIANMSRRKANIRIWRSDVAQLLRIGLHEEAWSKVERICKEQFMVSAYAQLYQFCDCVYLNYDPMSSCSSELSDDVHEAVSSLIYAASRCGDVPELHSMRNMFKKHLGQGFERNCVELGPGNNVYPQIKQYLSRKLVVPEDVKHQLMNDVVNEENIACLRISFKSGQDIVPESQRARYSKTNRRQQGATFRNELESEDISVTKNRGWQSSSEIVAETQKKASDRKPSFSHVHPKLPDYDDLVVKFTDMKKKSAQKYSNRNMIERLIARHY >KZM93810 pep chromosome:ASM162521v1:5:11009702:11014747:-1 gene:DCAR_017055 transcript:KZM93810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAKKGPKFAVVKKMITSKAIKTYKENVLNPNKKDLQSEKLPRNVPSTSSALFFKYNTALGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCLVERVTQHKCYMVATCDRDLKRRIRKIPGVPIMYITKHKYSIERLPEATMGGAPRF >KZM95977 pep chromosome:ASM162521v1:5:37190194:37190382:1 gene:DCAR_019219 transcript:KZM95977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIKKIFVKPTATERAAGAGDSAMKTAQTGIDNAGGALSSAGNQVNTMAKGATDAVKKTFA >KZM94938 pep chromosome:ASM162521v1:5:27210969:27211319:1 gene:DCAR_018180 transcript:KZM94938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQKLVAASFILMMITMRVAQATIAIDCTTVTSLISACSSFVTYGAPDPFPGTPCCQAMASLNNLSDTVENRQSVCRCIMGLITSYNPNATAIATLPGFCGVSLGFTIDPNTDCN >KZM95416 pep chromosome:ASM162521v1:5:31935895:31941984:-1 gene:DCAR_018658 transcript:KZM95416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMYPSAASSSSANSASVRVEKATSEFLNGPDWTLNIDICDAINLNHWLAKDIVKALKKRLQHKNSHVHLLALTLLETMVKNCGDPVHSQIVERNILQDMIKIVKKKTNMSVREKILVLLDSWQQAFGGAGGRYPQYYWAYEELRRGGVEFPQRASDSALIFTPPVTHSTVGHAHAGYGMPSNSSTRLDEAMASEIETLSLSSINSMQEVLDLLSAMLQAVDPNDRMAIKDEVIVDLVERCRSNHKKLMQMLTTTSDEELLAKGLELNDCIQNELAKHDAIAAGLPLPAQVMSPKPQLTEVPSSSLKVDDGKKFSSSPPAAPSAPSTVVINAAAEEEEEEDEFAQLARRHSKTQSATSQSTFAGASETEPSLSNALVVSDPPVPVKTTKEQDMIDFLSLALSTTDTPPPAPDSSTTQNMQQAPVSPNGQGSPYAPQTYTGNQGKVAFSSYVAPWAQPQPQIQQQAQLHQQPLPQQQPPSQAQGQPRQAQYQPQVQSPQFSSGYPTGQTQQPQFQSSQFSPGYPLGQPQYQAQAQSPQFSPGYPPGQGQPQQAQYQTHSQPQQPQYQTHSQPQQPQYQTHGQPQQPQFQTQRQPQQTQFQTQGKPQQPQAQSSQYSSAYPPPPWAATPGYYTNPRQQVNTTAYRPMQGAGSLQHVNSANGSNIARDGQSSLGPTGNASAGGQKTFVPTYRLFEDLNVLGNGDGRSKTNNTSPSLSGTTQSMGGGWK >KZM95758 pep chromosome:ASM162521v1:5:35308924:35309718:1 gene:DCAR_019000 transcript:KZM95758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASEGGGGGFRKKMKKEFGELCEIKPSDFRSLEDFDVIVSFSNITQVSMSTIFFDSKAITIFVVTNGAGQLEMACPHLAQEQGQGQQGRGGFQESQGQQGHGGSQEHEKEKNHQPERIIKVME >KZM96130 pep chromosome:ASM162521v1:5:38517131:38517775:-1 gene:DCAR_019372 transcript:KZM96130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKEMEVVKGLDLERYMGRWYEIASFPSFFQPRTGENTRANYTLNGDGTVHVLNETWSSGKRDFIEGTAYKTDPSSDEAKLKVKFYVPPFLPIIPVVGDYWVLYIDEDYHHVLVGVPNRKSLWILCRDTHIDEEVYNRLVQRAEAEGYDVSKLHKTPQADPPPASEEKPTDTGVWWIKSLFGK >KZM95730 pep chromosome:ASM162521v1:5:34921184:34923833:-1 gene:DCAR_018972 transcript:KZM95730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASAKEDDSFARSNDDSARDPHAPRLASAASTDSMNNSPSNSPPRSRSPLIFAPQVPVAPLQRNDGPSSDQMWQTEVDDAMDVPQRGIPTLITWNYGGNDVSVEGSWDNWKSRRALQRAGKDHTILLVLTSGIYHYKFLVDGEHRYIPDLPSVADDVGGVCNLLDVNDYVPENLDSVAEFEVPSSPDSSYCQAFPGDEDYAKDPVVVPSQLHETVLGGDSDDESSSPPKPQHVVLNHLFIEKGWASQSVVALGMTNRFQSKYVTVVLYKPLNR >KZM94897 pep chromosome:ASM162521v1:5:26843552:26845175:-1 gene:DCAR_018139 transcript:KZM94897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTSTKDSKEALVFDASVLQNETNIPKEFIWPDHEKPNSNPPQLNVPLIDLQDFFSGDPTATNRVSELVGQACREHGFFLVVNHGVDNNLISDAHKCMDLFFDLPLSEKHKAQRKLGEHCGYASSFTGRFSSKLPWKETLTFQYSAKEDSSTIVQDYITKTMGEDFAKLGMIYQNYSNAMSKLSLGIMELLGISLGVGRSHFKEFFEENESIMRLNYYPPCQKPELTLGTGPHCDPTSLTILHQDSVGGLEVFVDNQWQSIPPNLNAFVVNLGDTFMALSNGRYKSCLHRAVVNKMAPRKSLAFFLCPEKDKLVRPPTDLVDTKYPRIYPDFTWPTLLEFTQKHYRADMNTLQAFSAWLPTN >KZM95760 pep chromosome:ASM162521v1:5:35339442:35340705:-1 gene:DCAR_019002 transcript:KZM95760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISVEQMMEKQRAKGPATILAIGTATPPNSYLQADYPDFYFRVTNSEHKTQLKEKFKRICDKSMIKTRYLHITEEILDENPNMRNYSAPSFNARQEMLRMEVPKLGREAALKAIKEWGHSKSEITHLIFCTTSGYDMPSADYQLTKLLGLSPSVKRHMIYLQGCFAGGTVLRLAKDLAENNKGARVLVVCAEITTITFRGPHLESLLPQALFGDGASSVIVGSDPDPLTERPLFQIVSSAQHILPDSEDTIRGKLGESGLMFFLKKNITTLIASDIEKLLKEAFEPIGISDWNSLFWITHPGGPAILNQIELVLGLKEEKMWASRKVLSQYGNMASACVLFVLDEMRKKSMKDGMATTGDGLDWGVAFGFGPGLTVETVVLHSMPVTSFT >KZM96386 pep chromosome:ASM162521v1:5:40640797:40644300:1 gene:DCAR_019628 transcript:KZM96386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLFFNPVSSFSSVSQLGFSRFLIFNEITARKSRMGTSCYTTSSGQTARLLFRQLFEKESSTYTYLLADASHPQKPAVLIDPVDKTVERDLALIKDLGLNLIYAMNTHVHADHVTGTGLIKTKVPDVKSVISRASNGKADCLVEPGDKIIFGDLFLEVRSTPGHTLGCVTYVTGDGPNQPQPRMAFTGDALLIRGCGRTDFQIFTLPKDTLVCPAHDYKGFTVSTVGEEMQYNARLTKDEETFKKIMENLNLPYPKMIDIAVPANMVCGLQDPSVSASI >KZM95375 pep chromosome:ASM162521v1:5:31569733:31579766:-1 gene:DCAR_018617 transcript:KZM95375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFGGLPDSWFLLYLNLVAGLVISAFGGDPYVFYDWDVSYSTASPLGVKQKVIAIDGNFPGPILEVTTNWNVVVNVKNNLDEPLLLTWNGIQHRRNSWQDGVPGTNCPIPSGWNWTYVFQVKDQIGSFFYFPSLNFQRAVGGYGGIIINNRDVIPVPFAPPDGDITLLISDWYIKSHKDRRKDIENGIGLGAPDGILFNGRGPYRYDTSLVPDSIDYLTLNVEPETEGSYTVQQNYTNMDIHVGQSYSFLITMDQNASSDYYIVASSRFVNSSAWARAKGVAILHYSNSQGPASGPLPDPPNEYDTFFSMNQAKSIRWNVSAGAARPNPQGSFRYGDITVTDFYVILNRPPELIDGRYRTTLNGISHLAPQTPLKLAQQFKIPGVFKLDFPNRLMNRPAKIDTSLINGTYRGFMEIILQNNDTTVQSYHLDGYAFFVVGMDYGVWTDNSRGIYNKWDGVARSTTQVFPGAWTAILVSLDNVGIWNLRAENLDSWYLGQEVYISVVNPEITEKTELPLPDNVIYCGLLSSLQKDQAQRVKFSDAPPTLKGMRIIWAASVILFSVFKSLALTISAPEGALIEQLPGLNATLPSKHYSGYVSVSGTNGKKLFYYFVVSERNPSEDPVVLFLEGGQGCSGMAAFIYQHGPVNFKKVKPRGSMPKLHLNKYSWSKVSNIIYLDSPAGAGYSYSGNESDYSTGDRQTALDTYIFLFEWFKLYPEFLKNPFYIAGESYGGVYVPTLASEVVKGIGSNVKPMINFKGYMVGNGITNTKFDHSSVMSFAHGMGLISDDLYQEIVAECNGTYFNTFKSTCKSKVEKANLVLEDLNRFNILEPCNPGPEDSIKISTALVPESLRKSEKTERALPVRDSRLGRAWPLRSRGRNRIVHTWPQLLQSGLIKCIDDEVATTWLNNEAVRKALHAENESVAGEWVLCSALHYVSDSGSMIRYHKQLTYLGIRALIYSGDHDMVVPFTGTQAWTRAIGYKIVDEWRPWYADEHQVAGYNQGYDNNLTFLTIKGAGHTVAADKPKEALEFYSRWLEGKRI >KZM96288 pep chromosome:ASM162521v1:5:39840354:39842937:1 gene:DCAR_019530 transcript:KZM96288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLHYSLYLMVFFIHLTAHLAKSEPFIGINYGQVADNLPPPAAAAKLLQSTAIEKVRLYGADPAIISAFANTGIGLTIGSANGDIPAMATDPNYAKSWLDNNVLPYYPASNIILINVGNEVMISGDKNLMTLLLPAMQNVQNALNSASLGGKIKVSTVHSMNVLKQSEPPSSGRFDPGLEDFLKGLLKFNSENGSPFIVNPYPFFAYQSDPRPETLAFCLFQPNSGRFDSGTNIKYTNMFDAQVDAVRSALNAMSFKDVEIVIAETGWPYKGDVNEVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALFDEDLKPGPSSERSFGIYKPDLSMVYDIELSKSNQAPSVPKNPATPSPTRKPTTPTPTPTPTLTPTPIPSGGTKHGPWCVPKTGVSLAQLQSNLDYACAFAIDCSPIQPGGSCFEPNIVSCHAAYAMNLLYQNSGRNPWNCDFSQTAMLTSTNPSYGGCTYPGGST >KZM94265 pep chromosome:ASM162521v1:5:20247983:20250149:-1 gene:DCAR_017508 transcript:KZM94265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWMATFHRPFKKDTQEDGTENGHDMEDDEGNENGQNMDDEAGNMDDDGGNMDGHYSDEDGSFNNTQLSP >KZM95695 pep chromosome:ASM162521v1:5:34619598:34623498:-1 gene:DCAR_018937 transcript:KZM95695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNPQGVTMRMVNTRVCLWFQILAVALVLLKVDSFDVGITYLQSAVAKGAVCLDGSPPAYHMSKGFGAGINNWLVHFEGGGWCNNATTCLDRINTRLGSSKQMTKVLSFSGMFSNKAKFNPDFYDWNTIKVRYCDGASFTGDVEAVDPATNLHYRGQRIFLAVIEDLLAKGMKDAKNAIISGCSAGGLASILHCDKFKSLLPASAKVKCLADAGYFINVKNILAPGVADPHGTWSNCKQDITKCSAAQLKTLQEFRTQFLSAAPAVGSSKSRGLYLNSCYAHCQTGTQETWLRADSPLLDNKTIAKAIGDWYYDRSPFQKIDCPYPCDKTCHNRIFE >KZM92925 pep chromosome:ASM162521v1:5:903331:904444:1 gene:DCAR_016170 transcript:KZM92925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGNGQRVATGSYSNLFRVFGTAEDSTEATTLEASKNPMRTTDGEGRF >KZM93816 pep chromosome:ASM162521v1:5:11094112:11101824:-1 gene:DCAR_017061 transcript:KZM93816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGWIMLDSWGSEKSVLDVDKHAIMQRFHIHARDLRVLDPLLSYPSTILARDNAILLNLEHIKAIITAQEVLLRDPLDDNIIPVVEELRRRLPSLNITPQDHGEEKESSVQNDGEAGGDTTEPPFEFRALEVALQAICSFLAARTNELEISAYPALDELTSKISIHNLDKVRKLKSGMTSLTARVQKVRDELEQLLDEENDLADLYLSRKLSRASLLSGSVGASLYISSPHISSKISRASRASMESIQGDETNVEELEMLLEAYFMQIDGTLNKLTMVREYIDDTEDYINIQLELFLSLGTLCFAVYSLVAGIFGMNIKYTWNDDHGYIFKWVVILSGIGSAVLFLLVISYARFKGVLSDLELYQPAEDIKMNKIQ >KZM94645 pep chromosome:ASM162521v1:5:24551890:24553762:1 gene:DCAR_017888 transcript:KZM94645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKVFSRVKPFLAVLFLQFGLAGMDVISKVALDQMMSTYVFVVYYHAIATILTAPLAIILEKKVRPKMTKAMFGKFILLALLEPVIDQNLYMMGMKNTSATFAAALCNILPAITFVMAWIFGLEKVKLKSIRSQAKIGGTLTTIGGAMLMTFVKGPVIHLMCTEGRTNPVQRSNGVGYQHSLRGSLMITASCFSWACFMVLQANTLETYPAELSLTAWICLMGTVEGAVLALVMERGNAAVWSLNFDAKLLAAVYSGIICSGLAYYVQGIAMKDRGPVFVTAFNPLGMILVAVLGSFILAEQIHLGGVIGAFVIAVGVYLVVWGKSKDYKPRDLSIDNENALKSEQIIDVSRMNDEENHKVSEQMIEASRIYDKDHHEVLAISESMEEEKESDKTLVN >KZM94834 pep chromosome:ASM162521v1:5:26344853:26345629:-1 gene:DCAR_018076 transcript:KZM94834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEICLPVYNRSSSFSSLMTCLSSDTWGDLPLKEDDSEDMVIYNFLRDAVNVGWTPFAFTANDVIKPESSSQIKRTQYIPLIEQTVKCELVDEIKPVVVISTAPAAASIPTTSAPAVVKSAEPASPPTKGRHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEEAALAYDRAAYRMRGSKALLNFPHRAGSNEPDPVRITAKRRASPEPTASISSASGSESSKRRKKGLVAEKAEPEVESQIKQMPGGETLLVS >KZM95469 pep chromosome:ASM162521v1:5:32383414:32384691:1 gene:DCAR_018711 transcript:KZM95469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKHDHMFDFRLLRRLDRAKLRIFSALSCLAFCFLVVFGDVYVDQVKLHGSKGSRKVLESVSMSDSFTMSVPKRVSPKEDVDEGEKRSHDFISLVPPVNVSAEERIAWFKGKLPVFEIFQSNAKSLRFEKRANEFFHGANGHCGTRFFMTWISSARSFGEREVLAIEALFKSNPNGCLMILSSTLDSVQGLRILRPILEHGFKVVAVTPNLPFLFKNTPAKTWFDKIKSGKKDPGEIPLAQNLSNLIRLALVYKYGGVYLDTDFIVLKDFSGLRNSIGAQSCNSYGKWTRLNNAVLVFDKKHPLVYKFIEEFALTFNGNIWGHNGPYLVSRVASRFATRKGYNFTVLPPMAFYPVYWSRIKGFFVKPHNRARSKWVEAKLVQLKGETYGVHLWNRQSSGLRIEQGSIMERLISEHCILCQHIYSS >KZM95471 pep chromosome:ASM162521v1:5:32389108:32392345:-1 gene:DCAR_018713 transcript:KZM95471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQKQAEEAIVSNFTETETEGRGDEHREPEDQSLFSVKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGILLQIFYGLVGSWTAYLISVLYIEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMTIAALAHGQVDNVQHSAPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLIATVYVFTLTLPSASTVYWAFGDELLNHSNAFSLLPKTRWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPKFLPGWTAMFVINTFIVIWVLIVGFGFGGWASVTNFVRQVDTFGLFAKCYQCKPPPPPQKH >KZM93987 pep chromosome:ASM162521v1:5:13287821:13288761:1 gene:DCAR_017232 transcript:KZM93987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQNVADGYESKVIQKIVNVVNDKVTCNTLTMT >KZM94073 pep chromosome:ASM162521v1:5:14927107:14927708:-1 gene:DCAR_017318 transcript:KZM94073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQASGVVLRDDRGTIIKMYSRTIRNLTRRANDLWEMLVGLKAAFLEDQNRVELESDNKDAVEECEDWKWTRMVVITCLFGEVKELCMLDMGLGTCQRDFETMSDDEYEDWLGEDEEMVQDMEVLEVLDDDSEEANILIMGVGQPGMGNR >KZM93245 pep chromosome:ASM162521v1:5:4032551:4033988:-1 gene:DCAR_016490 transcript:KZM93245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTGIARTIIGIIGNVISACLFLSPIPTIRKVVKAKSVQEYKPDPYVATVLNCAMWSFYGMPFVSPDDILVLTINGAGLVLELAYVGIFILYSNWGLRKKILIALLIETIFMTVVVLITILAIPDTDGRSMLVGIVSIIFNIIMYTAPLTVMQRVIKTKSVKYMPLALSVASFSNAVIWTIYALLKFDPYLLVPNALGGISGAVQLILYAWFYRTTNWEEEYERSSVQMSESTP >KZM93791 pep chromosome:ASM162521v1:5:10765092:10766990:-1 gene:DCAR_017036 transcript:KZM93791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYGEGDKRWIVEDRPDGANVHNWHWSETDCLEWSRNLLSKLLANLTVLDGEGGLFIRIAKVEKVEGEAYINVRKGKIIPGYEISLSLSWVGEAKDSDGKSLLVVDGVVEVPYISDENADEDPEIRVVVKDEGPIGKRLKDAFLAKGKEVVWEKVRVYVGAMAKGGPAKDELEVKKVSGSAGGNGEVKKAVAEAVSAPVKKEVVKEKKKEGFKSIKMTEKFSCRAKDMFEILMDENRWKGFTQSNAKISKEVGGEFMIFDGAVTGTNVELQEGKLIVQKWRFGNWPDGLQSTVRLTLEEPEPGTTVVSLTQTDVPEEDRYGNSTVVENTERGWKDLIFNKIRAVFGFGI >KZM95992 pep chromosome:ASM162521v1:5:37286289:37287542:1 gene:DCAR_019234 transcript:KZM95992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQDDKNTHQNDEQHRTSRATKSFLLFVNCILVTVGSIAGPLLMRLYYLHGGKKRWLSSWLLTAGFPLLLFPISVSFFRNRKNYSPNTALFVTPWLVMASAFLGFILGFSVYLYSIGISYLPVSVYSLLCTSQLAFTAIFAFLIVRHKFTHYSINAIVLMILGSAILGLRMDGDRPNGVSDDKYMFGFFMTIVGAAIHGFMLPAVEYTHLKAGVPVTTNIVMQIQFLIMMFATSFCTVPMIINKDFQGIAQESEEFELGARKYFMIIVFAGLALQMNVLGSLGVIFCSSSLFGGILTASLVPIQQLCAVIFIGENFTAENGIALAMCLWAFVSYFYGQYKINQKRKKVSINQEEEQEVV >KZM96102 pep chromosome:ASM162521v1:5:38281645:38284304:1 gene:DCAR_019344 transcript:KZM96102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFEWWAKETHRGTPVVVKMENPNNWAMLELESPSDDDFLAPETAGNGNSGSTKSKSRRNKNAKQLTWVLLLKAHKAAGCLTSIASALFSLSSAVRRRIAAGKTDSDESERPVVKSRFYKCIKVFLWLSVLLLGFEIVAYYKGWHLGKPDLQLEYLYTLTRPSGLKDAFDLLYSSWVSIRVDYLAPPLQFLANSCIILFLIQSLDRLALCLGCFWIKFKKIKPVLKQGLEDLEAGDGTGGYFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPKSKLLIQVLDDSDDPTTQLLIQDEVSKWHKEGANILYRHRVNREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPNPDFLKKTVPHFKDNEELGLVQTRWSFVNKEENLLTRLQNINLAFHFEVEQQVNGILLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSKISLWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEATLPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVDKDPEKKPLRGNSLPDLDEMRGEIEKEIKKEQMASKKVKHNRLYTKELALAFLLLTASARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQVA >KZM95455 pep chromosome:ASM162521v1:5:32271915:32274190:1 gene:DCAR_018697 transcript:KZM95455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWVLSECGFKPLAPFFPQPRTGFISNPPKIGSLHVNAKPIGLNLCSSNGFSRTRLLVPKVSAPFKIVAPLEEEGEKDEIFNGGVDEVEEFDPGAVPPFKLSDIRAAIPKHCWVKDPWKSMSYVVRDVAVVLGLAVAAAYVNNWLVWPLYWVAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKLYKSLDIVTRLLRFTVPFPMLAYPFYLWGRSPGKTGSHFDPNSSLFVPNERKDVITSTICWTTMAALLVGMSFVLGPIQMLKLYGVPYWGFVMWLDFVTYLHHHGHEEKLPWYRGKTMAAKPVLGKYYREPKKSSPLPVHLIEDLTKSLKQDHYVSDTGDVVYYQRDPRLGNSTKNS >KZM94575 pep chromosome:ASM162521v1:5:23732261:23755726:-1 gene:DCAR_017818 transcript:KZM94575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKELLSEIESSLLGPNPPSPTQKIQLIHALRRSRSSLQSLLKFPAPKVSDRVQVESREVRLPDSGPISLDEQDVQIALKLSDDLHLNEIDCVQLLVSANQEWGFLGREPLDILRLTAGIWYTGRRDLLTALYSLLRAVVLDPGLDDDLISDVQKYLEDLLNSGLRQRLLSLIKELNREEPAGLGGPNSEPYVIDSRGALVERRAVVCRERLLLGHCLILSVLVVRASSKDIKELLAVLKDTAAELNGSNDVLKYQITYSILFSLIIAFISDALSGSPDKSSLLSSDASFRHECQENLFIVGNDPSVEGFIGSVRLAWTVHLMTTQDVNDSRDTISSASSDMKDICSCLETIFTSNVFQFLLDKVLRTAAYQNDDEDMVYMYNAYLHKLISCFLSHPLARDKVKEIKDKAMAELSPYRVSGSTAHVHDVSMQGQQAAEAAPQPYISLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHNNFQTLVAFLKLLSTLASTEEGASKVFDLLQSKTFRSVGWSTLFDCISIYEDKFKQSLQSAGAILPEFQEGDAKALVXPPLSSLLDFEFCDQNILKCWRRRFELCIFYAKVIENGNPIERKNWFPDIEPLFKLLSYENVPPYLKGAFRNAISTFIQVSPNIKGTIWNFLEQYDLPVVVGPNVGNNSQPFTTQVYDMRFELNEIEARREQFIGIFRFVYDHVFGPFPQRAYADASEKWQLAISCLQHFRMILKMYDTTDEDIDSGADQSSGSQSTPLQMQIPIIELLKDFMSGKTIFRNIMGILLPGVNSIITQRSTKTYGLLLEKAVLLSLEILILVLEKDLIVSDYWRPLYQPLDVILAQDHNQILAILEYVRYDFRPEIQQCSIKIMSLVPLLLKNNAANSLVEDYAACLELRSEECQVVENSSDDPGVLIMQLLIENVSRPAPNVTHLLLKFDLDTSIERTILQPKFHYSCLKVILDILEKLSKPDVNSLLHEFGFQLLYELCSDTLTCGPTMDLLSKKKYQFFVKHLDTVGVEPLPKRNSSQAFRISSLHLRAWLLKLLAIGLHAGDMTNTNYRETCQSILAHLFGQQSTEYNLDHSISPSVTRNHPEGVGNRVVARSKVLELLEIVQFRSPDTTVKYSQALSNMKYGYIAEDVLGSPAASEKGGVYYYSERGDRLIDLAALRDQLWQKCKFDTPQMSSYGSEIEIIEVRDTVQQLLRWAWKYNKNLEEQAAQLHMLNGWSQLVEVSASRRISFLEARSEIMFQLLDASLTASGSRDCSLKMAFILSQVALTCMAKLRDERFQSPGPLSTDTVSYLDIVTLKQLSNGACHSILFKLIIAILRNESSEALRRRQYALLLSYFQYCQHMLDPDVPTTVLQGLLVNEEDSEDVDLQKIDKDQAELARANFSILKKEAQGILDMVRVELDLLLTPIGSLDEAFVGPGWIEWVPGVMLYGGVTKDATQASESGKTMALYVLDALVCIDHEKFFLSQLQSRGFLRSCLMSISNSSYQDGGHSIDPLQKLYTLEAVLALLLRISHHYGKSGAQVLFTMGAMEHISSCKAINLQLKGSLKRVNNKFGGDQSVVGHKRMAITPLLRLVFCLTSVVNTSEFFEVKNKVVREVIDFISHQLLFDRILREDVSHADELTMEQMNLVVGILSKVWPYEESDEHGIVQGLFGMMRSLFARNTEFLTLGKSSLSVENGWKSELNMFRLCFSLNSYLYFLVTRKSIRLQVSEGSVNYSAHAEQQQPTLSLLSSFLDSVTSALERAAEEKYLLLSKIQDINELSRQEVDEIINMYSCGDSDPPSDNIQKRRYTAMVQMCQIVGYRDQLVTLLLLLAENVLNIILVHFQDGSSTYDSNRATNVLTYGTESDSDEPLSALCTKLMPTLERLELLTERKTGHSLKVFQRLVNSLKEMACQKLSL >KZM95199 pep chromosome:ASM162521v1:5:30077856:30079030:1 gene:DCAR_018441 transcript:KZM95199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPSKIQPVGSSSHGASAFARPAVRSRLRRLFELPFANALRAPEKAGADGGNDVSDPSSVCLGNMVRNFIEGGEKQMKCSRSKCYCFNSSDSDDEFDSHHAHACEILKELVLCSTVSESNLLADIAKIVDENKLINCANDYTRKSVTDGLIALGYDASICKSSWGKNVSFPPGEHQYVDVITKDERLIVDIDFRSEFAIARSTNKYNAILEILPEIFVGKPDRIEHIVHLVSEAAKQSLKKKGMPFPPWRRADYVKSKWLAPHTRTFPPQQPLLAPPALTLMDSTGFTTHGKGGLFGTKKATTSVLMAAVKSPASSGHSDTLFSMSEE >KZM96360 pep chromosome:ASM162521v1:5:40408848:40415380:1 gene:DCAR_019602 transcript:KZM96360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKLLILYASQTGNAIDAAEILQREATRRCCRVTLLSIDQFDANHLPNEENIVFVVSTTGQGEPPDSMKTFWTILSRKDLGPDWLKGVHYAVFGLGDSGYKTYNYVAKKLDKKLSCCGAVAIVQTGLGDDQKPLGYEGALDPWMSSLWKSLYQYDPNLLPKGPDFTGNASLIDQLKAQVTYHELDDLHSEFPAITDMEYLKNQIERAHLMSTNSFSKKKARPHCILQVINNNPLCKDVHQLECEAVSSLSPQSGMYDVGDVLAVLPEQSPAAVDAFIKRCNLNPESYITIHLKNQGHDAVKPPIRLKSFIKFTMDVASASPRRYFFEVMSFFASTQSEKEKLQYFISAEGRDALHNYQKEQKSVLEVLEDFPSVQVPFEWLIQLVPPLKTRAFSISSSCLAHPNQVHITVKVVSWRTPSSKKRVGLCSSWLAGLDPQHKVAIPVWFKKGSLPSPDRLVPLILIGPGTGCAPFRGFLEERKYLSSFGATAPVLFFFGCRNEDDDFLYKNFWLSLSESSGILSEDMGGGFYVAFSRDQREKVYVQHKMREQSARVWKLLHDDEATIYVAGSSIKMPSDVFSAFVDIMCKEGNMAKEVATRLLEQMQEAGA >KZM95564 pep chromosome:ASM162521v1:5:33463972:33467262:-1 gene:DCAR_018806 transcript:KZM95564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSTPVPTALQRDRCQVETSILPQNVVFINKSNLTVIIDDPSAPEAGSGCMVTCATETIIGHTEFPGRMNLRHLLITISGYGPVGEKISTKNNLSLHANSYDPQTTASYSGENSDPITIQFSSGLPLNSSYLQTFIRIY >KZM95798 pep chromosome:ASM162521v1:5:35682878:35686377:-1 gene:DCAR_019040 transcript:KZM95798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVELNKLLIFQSITLNGILDQIVMLWNIIKLPFVVPTLQVMVNICLVMSVMLFMERVCMGIFKVFIKLSRRKPETNYEWEAMQEDVEFGTSNYPMVLVQIPMYNEKEVYQLSIGAACALSWPYDRIIVQVLDDSTDPAIKELVEVECMKWRGKGINIKYEIRDNRNGYKAGALKEGLKHSYVSQCDFVAIFDADFQPEPDFLTRTIPFFVHNHDIGLVQARWKFDNADECLMTRMQEMSLNYHFSVEQEVGSQTCAFFGFNGTAGVWRFKALNEAGGWKDRTTVEDMDLAVRASLKGWKFVFVGDIEVKSELPSTFKAFRYQQHRWSCGPSNLFKKMAFEIATNKVNYQVMSLHLVIFWVVFENVMAMHRTKATIIGLLEIGNVNEWIVTEKLGDASKIKDSHSVPLLCNAPVKKSGPTIWERINGLEMVAAMFLFLCGWYDFVYGKNYFFIYIFLQCLAFLVVGFGYVGTNLEALKTRDGSVSSF >KZM95890 pep chromosome:ASM162521v1:5:36536398:36537859:-1 gene:DCAR_019132 transcript:KZM95890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRFDEIVKEVASYLKKVGYNPDKIAFIPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTIKPGLVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVSVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKSVEKKEPTGAKVTKAAAKKK >KZM94096 pep chromosome:ASM162521v1:5:15405023:15413675:-1 gene:DCAR_017341 transcript:KZM94096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQNGLTSTNSTGLDDSKLVGVPMTVAGDSAVADQMAVFPVEVKPEFKRKRGRPPRSQAKPPPVKKMKDDEDVCFICFDGGSLVLCDRRACPKAYHPACIKRDEEFFRSNAKWNCGWHICTVCQKAAHYMCYTCPFSLCKGCTRDSSFLCVRGNKGFCTTCMKVIMMIENKEQSDKETAQVDFDDSRNWEHLFKLYWISLKDELSLTSKELAEAKSPWKEFSLASARKQSFNVHGSTNNFTSAVVDISSGHVKVNDSTGQNSSESIKLLNKASLSTGQLYNDNGKSSEGRTNWASKQLLDFVAYMKNGDTSVLSPFDVQVLVLEYIKRNNLHDPCERSHVLCDQRLETLFGKSRVGHIELPKLLDFHFLMKQQPENDDFVRGRVDDVDAVQVPSDRSNSNLMSNDKKHNTRKKAAECTLQASLNAYAAITVSNINLIYMRRSLMENLIQVKEKFHEMVVGSLVRIRISGDEHKQDMYRLVQVVGTTKVSVPYKIGNTTSDIMLEILNLDKKEVTSIDAISNQDLSEEDCRRLRQSIKCGLVKRFTVGEIQKKAKQLQVAKLNDSLEAEMLQLNQLRDRAKKIQLLKAPEERQRRLSQIPEVCSDPNMDPDYESEEDAGEHGHHVKPCNPEYDREGSETVSLRKRDVSGDSSDRRCNSSSPPLEGSQNVCATSYPDKEESAAKALQRLSEGKFACRSNSLERGGCNRQAVATSNITSEASSAPLSDGNTLFAPNAEMKLWHYRDPRGSIQGPFSIVELQRWSTTGYFPLDMRIWANDKLGDSVLLTDALKDHFHKALPGLNDMSSQLREAGGTPNNKLCNSSSVLSNNTNAADDRRQSGGNWHGNIGAVDSIGKTDVVGSDRLATQSSTWTAPIVSYDKDITAGTASQNQDSFKGSNNLCYKPLEVHSQLSSSTFASRDYATHPHELNSKVRSCNSDPDPKISLSQGTTVCNNTGEVLGNHCSSQGFVGQSSEKGLGHSPVSFLPNNLDLNSVFCPTKSTDSPDQSGEIIENKESVSSSVNVHDPYIRDQPNFTLMINNNDQKNLAVEKKQPVTLNISVQDTAPSWSSTSSIMLSRSQAPEITDKWAGYSSAPMKHSVEWDSNLNPVSSSLPDHVGTTTPRSCEPTHFTLLNPASNFSSWQTPGSEPIEFSTLAEESVSDLLAEVDAMESQCGMASPTSMMNYGDDLINLNLEIA >KZM94587 pep chromosome:ASM162521v1:5:23884105:23885188:1 gene:DCAR_017830 transcript:KZM94587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFKSERRPAFSLITFIEIIIVFLVMFLIHRSFCRGDSRAISVFIANACVKFSRPMHEITFLTDDRPKVLSQLTLLLAEVELNILKHMLFPQ >KZM94873 pep chromosome:ASM162521v1:5:26652005:26653707:1 gene:DCAR_018115 transcript:KZM94873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYTKSLRRYEPPSDAGTARRSPGLTRSKSGNTLALSLPEKPVVPAVHRSPSTTKSRTKAYPDHLMIHAEPASTTNSSKNKKKLKEDKDKNKDGTFGRFLQRNTHSDKSVPKTSSARLKPTSPSAWALSPARSSQFSPVTKTYSSSATTSPSAWALSSARASPAFPVTHKVSASSITTSGVNGVLKYFKKKKESSLHKMECQHFLVLNNNLLQWRYVNARAQAAIDARKLIAQKKFYNVSVQILKMRNSILEKRVEMQKMKQVSKVYQIIHSQDHLLKLWAKMEGKNSEAVGRVIRKLSAISIRVPLADDVKGDVFLVSDAMVKATDVMENVEETLLKKFDYQVERICYLLTELLIIVKQQKEYLVELENSVSLIASLKAEEKSLRIQLIQLKEQ >KZM95645 pep chromosome:ASM162521v1:5:34135457:34135891:-1 gene:DCAR_018887 transcript:KZM95645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKRYRADEPKSLMLLSRVGATKTDRIYECKTCSRKFPSFQALGGHRTSHKKPMLAAGEKEPVKPKTHECSICGMEFPLGQALGGHMRRHRGAYENFSDVTERTDDDDSTKTVPVLMRSNSGKRILCLDLSLRPYEIDLTLKL >KZM93964 pep chromosome:ASM162521v1:5:12906135:12909219:-1 gene:DCAR_017209 transcript:KZM93964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHTAVVPICYRLRCQDQVPSMLKGVNKNEGLPRRFIANRVALDCDGLAPRNSCRWMDILKELKHRGNSTPLHTRSIPSTHHNMQKASCISSSSSDGSLDTKQKTELCSTEKQLGSLDSYFRKLSNNVNELKLFNSTTELPDRTWKSSAEKDLAILNDFLGKLNDGRAELLGSSKAEEGLESLDGYLGKVNKDANLIVYIASTSDAEITETTSHTLKQDSVNETKKLKKYLWLVNRGGPESSHNDTSSLYLIGILSSINIAVFLFEIATPVRTSDLGLFSLPSLYGAKINDLILIGEWWRLVTPMFLHWGIHHIALSCWMLFTFGPQVCRTYGSFTFILLYVLGGLSGNFTSFYHMADPTVGGTGPVFAILGAWFICQLLNQDALSKDNSQSMIQKAIIATALSCILSNFGPIDDWTHMGAAFTGIAYGYLTCPILQMKNTSSENGEDGIAVVRRNVGPCRSLLFFSLFILVLCSLLLVVEPPPSSVAFL >KZM95097 pep chromosome:ASM162521v1:5:28657166:28658059:-1 gene:DCAR_018339 transcript:KZM95097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTDVFSKLPDDVVLKIIFNLDDDPKDWAHLACVCTKFCSLLHTFCWKSKCTQTIPALVSDLLSGGAANSPPGGWAALYKLYFCCPGLAKAGVSVGNLEVGPSSLAQLGSFDNPISVFDSVVALADVVIGKGGEVSDVVMAGVVDDDSDGDDDGCNVCKRRKVCRLVGSHLACGARELSREQGNKLLASRFRGDCLYICGWPGCVHNDGKRNYMLFRGIFKNFKESRVWRTVSDGDRNKVNISCAFCSCTNTWNLHSAFCLRRAFGFHDDGELVVRAYVCENGHVSGAWTDWPLYT >KZM95190 pep chromosome:ASM162521v1:5:29777601:29778215:-1 gene:DCAR_018432 transcript:KZM95190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFASLWNPELLDMNDPKNRRPYRLVVYYGGGFMTSAEYNYCGKFKKVWNNVDFLAMTVKEIRAKASEVVGDEDTIYYMKDGVTPDKGYESLISDEHIERVVRVSRYTGVVKLHAYHAYQGPSLGFTIAGSEEGGLFVMREVAMLVIE >KZM95254 pep chromosome:ASM162521v1:5:30565541:30568782:1 gene:DCAR_018496 transcript:KZM95254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREGDESNGIRVKGMQFGYDIQIPLFVDFTLDISPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVQVLNCSAFHDTHLVCSGDLSYLGGSWTKTVGSAGEIPLQGDFSAEHMIFGVEGIDPVRREKLIDLLDIDLRWRMHKVSDGQRRRVQICMGLLHPFQVLLLDEVTVDLDVVARMDLLDFFKEECEQRGATIVYATHIFDGLETWATDLAYIQDGELRRTDKLSELAELKHAANLLSVVESWLRSETKIEKKKPVSNSSQVRKSSPFDSSPFRSTRHMAYYR >KZM92948 pep chromosome:ASM162521v1:5:1140849:1141436:1 gene:DCAR_016193 transcript:KZM92948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGESLVSSYTRSSPALKPPRAVSLSESTGLKRSVSDASFELSKADKNADMGTLAPVSEVEDARCECCDMIEECTLEYIERIREKFLGKWICGLCAEAVKEEMDKNGGKREEALSSHMSACVRFNKFGRAYPVLYQAQAMREILKKARAEGRGVRAKSMNYRNNGGPKKGSITRSSSCIPAITREMNDLQVAK >KZM93578 pep chromosome:ASM162521v1:5:7987835:7988986:1 gene:DCAR_016823 transcript:KZM93578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIETRRLSLLPQQLIGIKQGMNGYDGNANVYGTPTGYGGVTTESLVPVYGSGIMDSFPAKNYCPVKAESGVTYNYNLPVSRKRTRDGAINPPLLSLPNNQNMNQLSPCTFFGEDLSLQIQYQQSEIDQLIAQHTEKVRLELEERRKGNSRRIIQAVEAAIVKRLRSKDEEIERMAKLNCALEDKVKSLYMENQIWRDLAQSNEATANALRSNLEQILSTVQDDQVQRGGDNEALDAESCCGSNYEEEERVDYGGGAAEMSDRLCRNCGRMESSVLLLPCRHLCLCTVCGSSLHTCPVCKCTKNASVHVNMSSS >KZM94313 pep chromosome:ASM162521v1:5:20875526:20882268:1 gene:DCAR_017556 transcript:KZM94313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLINLVIKNGLTRYKVLRVIGKWGPAFTLPLYEAAVLSPQDNKTPVSLVAFKIISKLQEGYYNMALQDIETSQFYSRNPDILPYMSSFEARVKGVKLLCIVLPYDNFVTSLRSLQIWDARFSRGLQESMIALILRRTLTGLDAIHQSQRRHTRITADTVFYDIDDPTFYLSYAASLYQRTGPVNRGDWNSLPVDRMLAWALAPEVKHDESLEFVDFYAAEESDIWLIGILALELAYGRILVENRRELLDIVNYICSDPEVLTDTWEELRIKSGEFARGADPLELAIVLPVEKTRFSKRFGKFLARCLAAEPQNRLSAEELVMDHEFLRVNVGKMKTFSGMFGEPNHRQDRFLHQDVGKKQKTSSKQRNHLTFRHNGITYTILEPIGKWGFENNLIVYEAVAASGIKDSCPQHVALKMTRDIEVYFNLAADSLRRSTSVNDFHILPLNTYFLKHIERYETLCMVLPFDAQVVSLRSIIQSRPKFAGGIPQICIAVSLLHALRGLQVIHSKSQDHCEITAGNIFYHIEDKSIKLAYAASCYERTYAAEESGEFSMNKLLSWGVPPEIVRELDLIYEYDVFAADIWFIGIAALELAYGKIQVANSNELFRIASYISSVRELPNTWEELRTEAAEADILREILKLPTASDNPQRFDKSFGNFVAMCLEEVDERASVNELLMDGFLRHVHEGESIEIFKAVMIKGYKHLDKKNVGEFLDGDNQMKQATSSEQSDHLSFCHNGLTYTILEPIGTWGLGAFGPSTTLPVYEAVTTSGSNDPSPQHVAFIMACSLRERLFDLASYSIKKSIPCSHINILPFNTHFFIQLKGHKTLCIVLPFDAQVLSLRSIIQNRPKFANGIPQTCIAVALLHTVRGLHVLHTKDEPHYEVNAGSIFYHIEDKSIKLAFAASSYERTCAAEESNECPINELFVWAQPPELINLVVKDDSTRYKLLRVIGKWGPAFTLPLYEAAILSPQDNKTHLSLVAFKLISTGQVDYFNLAFQDVKASQVFQYNSIILPFMRYFQANVKGGTLLCIVLPYDTIVTSLRSLLLSNPRFSEGLEEKMIALVLERALIALDAIHKAGKCHARITADTVFYDLGEPTFYLAYAASLYERTSLKNVDVWNCLPVNKMLAWGLAPEVKHDESLEFVDVYETDKSDIWLIGILALELAYGRILVEDRRELLDIANYISSHPKVLTDTWEELRIKSGEFASGGDPGKLPIVLTVKQTRFSDRFGGFVARCLDADPENRASAAELLNVHDFLRENVENMTTFRDMVTAGAKPHDALSSEQSDHLSFCHNGLTYTILEPIGTWGLGAFGRSTTLPVYKAVTTSGSNDPSPQHVAFIMASSLRAPLFDLASYSIKKNIFCSHINILPSNTHFFIQLKGHETLCIVLPFDAQVLSLRSILQNRPKFANGIPQTCIAVALLHTVRGLHMLHTKDYDHLEITAGTIFYHIEDKSIKLAFGASCYERTSAAEESGECPIQEVFYWATPPE >KZM95099 pep chromosome:ASM162521v1:5:28666031:28667695:-1 gene:DCAR_018341 transcript:KZM95099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKVHGTKVSMCTMRVLAALYEKGIDFELVNVDMATGEHKKPPYLSLNPFGQLPAFEDGDLKLFESRAIAQYIAYAYADKGNPLVVQDVKKMAIVTVWMQVEALQFEAAAARLAWELAYKPMFGMETDVAVVEEHEAKLAKVLDIFESRLTESKYLGGDTFTLADLNHLPIIKLIYNTRAGKLFEARSHVKAWCDDIQARPAWQKVIS >KZM93870 pep chromosome:ASM162521v1:5:11699970:11702267:-1 gene:DCAR_017115 transcript:KZM93870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFYTLMFIAENTPCISRVTLPANSSVDVYPVFMAVMYWKNLRIFHAPFRGIQFVSQLMDYCKKIVELGLHGEFSERDVCCIVEGFPGLRALDLSNSTLSVNALAVILDGRLKCIRDLNVLHCVFLDEEGKDARENYAKMKLLRLKILEMVSGSKSLKKVMHCLGKSCEQYNMSRAGMDTNVALHMALKDIRSQTNQRRTCLSSPISVGLYVVQASTADQYAQMNSVAAIVQTWNWKRFTIIYVEGIDSTFATVISLLLESLGQVGAEISQLVPSPYFTPSLSEELMRLRNDQSRVFVVHTSLKLATRLFQKAEQMQMMGKDYAWIATNPISDLIHSVNLTTIFSMQGVLGVKKHFNENSPEFVKFKKRFRRDFSIEYPEEENNEPGISAVEAYDAMWLVATYDIMM >KZM94208 pep chromosome:ASM162521v1:5:19009367:19011447:1 gene:DCAR_017451 transcript:KZM94208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSKLFLETKRIRDWINNQESKFAQKAKQAKKKVLAAKDKVKSLKQKLADELAKAITAKDGSSLSISEHDEIVSQIKCEAAQAHKEMLESMNMAPKGNSYPTHHSPAVGSLIQRLGFKHTLFTIGCIMDCWIVT >KZM93211 pep chromosome:ASM162521v1:5:3544465:3550108:-1 gene:DCAR_016456 transcript:KZM93211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFVYVLEGRDWIVEESYVKLQVGKFKSKTRVLKNTKNPVWNEEFAFRVHDLEEELVVSVYHPRDDPGFFNGCGELVGRVKIPVWSVADEDNMNFPPTWFTIQRPKSSKSGNRDAGKILVTLSLHGRDPENNSSEPPLYAQPNIINGNGEDWEGMYASSQDNTNSKSPSRHKILGGKHLMKPIAGRLKKLFNKNEDSSTVDDSSELSTTVSDYEDCVDEHPAEEPISGLSFDELMEMVRSATIDREMPENLQGGVLVDQTYVVPPKELNEVLFAPNSQFKRDLSDLQGTTDVQEGPWNWKSEEKSSLTRVVAYTNPPSKLVKAVKATEEQTYIKANGSEFSVSVNVSTPDAPYGSTFKIEILYKITPGPTLSSGEETSHLIISWSINFHHNTMMRGMIEGGVRQGLKDSFDQFAGLLSQNYRTSDPSAMSNKDQMLENLQTEHQSDWELAIEYFWNFTVISTIFTVMYVFVHILLSGTSKLQGLEFHGLDLPDSFGELITSGILVLQLERVYVMASHFIEARFRKGSDHGVKAQGDGWVLTVALIEGTNLASLDSEELPDPYVVLTCNGKTRTSSVKLQTLDPQWNEILEFDATEEPPSVVDVEVFDFDGPFDQAVSLGHAEINLLKHNSTELADMWVPLEGKLAQASQSMVHLRIFLDNNNGVDTIKEYLNKMEKEVGKKLNLRSPHRNSTFQKLFSLPPEEFLISDFSCSLKRKMPLQGRLFLSSRIVGFYANLFGHKTKFFFLWEDIDDVQVLPPSLASFGSPLLVIVLRNGRGLDARHGAKSQDDQGRLRFYFHSFVSFSAASRTIMALWKTRTLSPEQKAEIAEEQQEQDGKSTVPDEDFASIVEDVKMSKIYSAEIPVNVKSLMEIFDGGYMEHVVMERSGCLNYVTTSWEEVNSNVFERRMYFKFNRQVSIFGGDVTCTQQKSPISNGWIVNESMVLHDVPFGDYFRVQLRYEIENSKLSISSCKCDVYIGVMWLKSTKFQDRVTSNIVTKFKHRLKEIFEQVEREILLASQ >KZM93574 pep chromosome:ASM162521v1:5:7954402:7955556:-1 gene:DCAR_016819 transcript:KZM93574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACINNLALSPDRFLDRPTSFSSFGWFSPRMSRGRDQEEASRSSSVKPAPVSPSKTVATTEIIELDYEVFEKDFEFQVEDPVKILPADELFSDGKLIPLQLSAFQPPENSSESRLLDTAKAHESCSIVELDPYLFSPRAPRCATRWKELLGLKRLSQNNAKQDNQKPMASGIINNKSLKHLLHRSSKLPPIDSSLSFPLLRDRDEVISVPSRLSLSSSSSGYDRAEMPRLSLDAERRINSNKNSSRIRLVKHREGKISRSPVPRQVDNNSVTVLGRGVSLDSPRMNSSGKIVFHSLERSSSSPGSFNGGPRCQNRGVERSYSANVRVTPVLNVPVCSKSGNVFGFPLFSAPSHKRDCGAACGNSSRSHHHQQISSKNKTDRS >KZM95022 pep chromosome:ASM162521v1:5:28045520:28045864:-1 gene:DCAR_018264 transcript:KZM95022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYNNIVRKISNFNMDTIREEMMDDLSLLEDLDAHGYKVQILKDRLNKLLMFKSEEEKLKNMLEQRDRVLSVHVEENRIFKGTRAKREERVHELWKEVVFIQKKEKYIDAKKA >KZM95305 pep chromosome:ASM162521v1:5:31034998:31036619:-1 gene:DCAR_018547 transcript:KZM95305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLRPLCIFLLFFIAKAATEGDYQHPESRAIRNSTGRRELGFFPCGTGNPIDDCWSCDRNWERNRKRLANCAIGFGRGAIGGRDGNYYVVTNPNDDDPVSPRPGTLRHAVIQESPLWIVFGQSMVITLKQELIMNSFKTIDARGYSVHIANGACITVEYVTNIIIHGLHIHDCRRTGNATVRSSPSYYGWRRMADGDAIKPQRLLLERLPYASDSGLQSFRRGSYPENAKVTKRIDLRADRWMHWNWRSEGDLMLNGAYFTSSGAGAAASYARASSFGAKSSSLVGSLTAHSGAQPVLT >KZM93800 pep chromosome:ASM162521v1:5:10923609:10923863:1 gene:DCAR_017045 transcript:KZM93800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRSLFIFMLVAMTALVLLPAVRSADDSAFCPNSPAVLTPEDNCNIQCFVEDPVCGSNGVTYGCGCEEAHCNGFRVVKLGAC >KZM95077 pep chromosome:ASM162521v1:5:28419387:28421877:-1 gene:DCAR_018319 transcript:KZM95077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHQKLRNGAKTVMVVLDGFKVSKGKSGGYAPLLWALHDAGLVEKDEVLVLVVFNLGEPASSPDTGGNCCLLRDHKSGKPPAVDHLKLQTEINTKTQTLIDIFKPFHEECKTNGVKFMLKIAVDHQPKSIIINEADCARAKWIVMDRCFADENGFQLNEINCITLMSLVLVSDTEEPVLHNCLPPKPELTGEPHIYHTLPQLIFDQPFKLSSDQASTSTQGENLTRAAAESCPRRGLDGATSREIIEANDALGYSLELSSWELIADVTSDFKDITNKDQNENFQMFRGHIPIHRSEVLVKTFSSKLSSILEAEKLVAVSMHHKNIFGLIGYHQTANAISLAFPFTTKGTLERFLYGSGGKPWQLTFHDKLNIAIGISQGLNYMHEECPQGPVAHGDLKMSNIYLRYDLQPQITGFGHARWLPQEHSSSPFYHRHQLDPEMLKIIKTDILSFGILILRLFCKKSIPRDNNVLIKWAQPLLSSHAYYEMLDEEEYPDMFGMLRVMYVATQCIKARHTSRPSASKVVSYLKGETSFTAEASPMLSDGSSSSKDFRSMSPNTQ >KZM95565 pep chromosome:ASM162521v1:5:33470788:33481068:-1 gene:DCAR_018807 transcript:KZM95565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSLPNKSELSSIETICLNVLTYCNSKSLKQGICAHSPIIKLGLEHNVLLNNNLLSIYSKCYGPEHARHLFDEMPHRDVVSWSGMLSAYSKCKDHEEALRLFDFMRGDGHYPNEFTLSSVLRSCSSLGRFSLGSQLQSYMIKYGFDTNGILASSLIDLYSKCGFVKEAYELFKNVDNADTLLWTTIISAYTQAQNWKRGLQLYSDMIQVGVVPNEYTFVKLLGASSFLGLYYGKLVHAQVIVWGVKTALVLKTALVDMYCKCEEMDNALKVSKQTRERDVFLCTAIISGFIRKMDFTKAFAAFTEMLCSDIVPTNYTYSGILNACSSIPGIELGEQIHARVLMAGLQNDVSVGNALVDMYMKCSYTVENALGVFKEISSPNVISWTSLVAGLAEHGFQEESMKAFEEMISAGVQPNSFTLSSILWICSSVYSPSRTRELHGYIMKKNAIQDLVVGNALVDAYAGLGMVDDAHRVIKIMAKRDVITYTGIATRINQMGCHGTALSIISHMLADNIKMDGYSLATFLSASASLGAMEPGKQLHCSSLKSGLDSWISVSNGIVDLYGKCGCKDDATYSSRSNYRFLPTDLHSPIQSDSLYELDEADIWNAGRPKSPEFRRSAIRKNSAPKKSEFIAAGAGATARSLPVNVPDWSMILKEEYNRRTDEVDDDFEDEDEEDRVPPHEYLARQFARTRVASFSVHEGIGRTLKGRDLSRVRNAIWEKTGFQD >KZM94093 pep chromosome:ASM162521v1:5:15383664:15384125:1 gene:DCAR_017338 transcript:KZM94093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPPTPITHYGSNSFSGQSVKKDEHRTCFCGRRARICTSWTLKNPERRFYKCASAKGMEGCHFFEWFEEDFSPKTSEVITHLNQRRIFLEEKLELVEANLSQMTEKKGAQRREEAPVCGDIEGAS >KZM96508 pep chromosome:ASM162521v1:5:41497295:41502886:1 gene:DCAR_019750 transcript:KZM96508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNHQLQRCSFITNGGFQYKSLATSPQCHKRPTIFAVQAKAIPSRTQRIMESIPVNGEVGGAGGAYSYNALKRLDKLWSGICSAQEVVDEPKQVVSRIPGLFSQSDLADKEVDTFDVVVCGGTLGIFIATALSSKGLRVGIVEKNVLKGREQDWNISRKEMLELVEVGILEEEDIEHATSATFNPNRCGFEGKGEIWVENILNLGVSPSKLIEKMKTRFNSFDGVILEGLGVSSICVYDDAAILQLDSGKRLSSRLVIDAMGNFSPVVKQIRGGRKPDGFCLVVGSCCRGFKDNKTSDVIYSSAEVMQVGESQVQYFWEAFPAGSGLMDRTTYMFTYVDPQPGSPKLEELLEDYWNLMPDYQGVSLDDLEILRVIYGIFPTYRDSPLPSAFDRILQFGDASGIQSPVSFGGFGSLTRHLGRLTNGIYEAISGNLLDSDNLSLLNPYMPNLSASWLFQRAMSARKESSVSPDFINQLLCVNFQSMQRLGDPVLKPFLQVGIPVLLDWFGHFTMLGYYTFLSVFIDPIISPSIGTLPDKTRFKWKRQLEAWKYGAGLDYTMSASEERNH >KZM93394 pep chromosome:ASM162521v1:5:5667717:5670040:-1 gene:DCAR_016639 transcript:KZM93394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHCCRSVRKIVNLDDHIALACAGLKADARVLINRARIECQSHKLTVEDPVTVEYITRYIACLQQKYTQSGGTVRPVGLSTLIVGFDPHTGVPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYTETSGQETLKLAIRALLEVIAAIEAGKDIALSNKDTLIDGGPFVLPLAHKHKVKILPADSEHFAIFQCIQGLPEGAFRRIILTASGGAFRYNYEFVLICSYPYFIYF >KZM93087 pep chromosome:ASM162521v1:5:2275656:2277153:1 gene:DCAR_016332 transcript:KZM93087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKFENGTQLEILDLWYDWPNGISMSIVQKQLGKLLYGPEWNNGTSFSYSLDSSEECRVTQFDVGIVRPNWIEGAKYVGQEYMDGFLCNVWNKVDFIIYYEDVVSKRPVAWFFVQGGTNEHIMTFEVGKVLDRNYWQAPVYCFDDAAGQKKNANMDILNSGAAVETGAYYESFLRSPGMKFKAGL >KZM95345 pep chromosome:ASM162521v1:5:31323657:31324184:-1 gene:DCAR_018587 transcript:KZM95345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQSCTLCSPSKDNVIVMTEDGEAIEFKQGTKVYEVLASHPFHKIIRCCSDRTVLSENSFLHYKSLYFLLPEGLSITDATYRSLVNSAISKQLIVQKGVRPGYESDDAGTNVRASNAMEQENFSKILRGDSEINEEYVSQKFAAWKPGLKPIPELISPSPPQPPPTVNSEQAR >KZM93163 pep chromosome:ASM162521v1:5:2967267:2970200:1 gene:DCAR_016408 transcript:KZM93163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYLLYEFASGYALFNCHGIDEIGQNSEAARNSVADLTRFGKVVQLVAFNPFESALDALNQISAVSEGQMTEELQNFLSLNFPSVGKKPKFSLGVSEPKLGSHIFEVTKIPCQSNEFVLELLRGVRLHIDRFIENLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYSWHFPELVKIVNDNYLYAKVAKYVDNKSELSEDKLPGLIDLVGDEDKAKEIVEAAKASMGQDLSPVDLINVKLFAQRVMDLAEYRKKLYDYLVAKMSDIAPNLAALIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFLDKNTTAFGDKLREQVEERLDFYDKGVAPRKNIDVMKVAIGNVDKEAGAEEDVNGATAKSSAKKTKKKKSTDMDVDEDEAAEDVNGTPVKPSAKKSKKKKSSEMDVEETPVQPSTKKSKKKKSTEMDVDEAPTEPSTKKSKKTKSKDSISNGDVATNGDSTAKKKKSRKSD >KZM93583 pep chromosome:ASM162521v1:5:8072460:8073444:1 gene:DCAR_016828 transcript:KZM93583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTRSGVEVRITQKQNDEDEIVIEDDNAGEDDGVDASDGEDENPNNGEETLVLNFFTFNKKNLYNVELYYGGHFVHVPYESYTSSVKRVYKHVDLEKLSIDELKSCFKGPVGEKEGHKRDKCPDKHLYPEHSKGKKGGAQEKQPAPDPMEEESAEVHLQEQEILTGEDDLMNETMAEMETSVAQEAGPSKGMKFMPTPSLIQLTSTGCTPPASTPPTPASQPAKKKAPRKSVKSFAPPRPKKQ >KZM95955 pep chromosome:ASM162521v1:5:37020340:37021110:1 gene:DCAR_019197 transcript:KZM95955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRPKYPHMFVLGFGNCPVTNDHKVVRVVYLKDSSLNFTVPPEVQVYSLSTGSWRDVNAGAPLSYMVEYTWSQVFVSGCVHWLAYRKQGESGSRNLLVSFHMGDEVFGEVMLPDSLANDIVYDMSVSICGDSLAALKYNKEIGQESCVVWVMREYGVSESWTKLYTIRVPETLTRTIGFRKDGEVVLSLCNNELVSYDPVTGQIKGLGITGNIRSFFVGNYVESLVLLKGQSSLREGIPNLFAAGATENAVIAEQ >KZM93589 pep chromosome:ASM162521v1:5:8164773:8167466:1 gene:DCAR_016834 transcript:KZM93589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERKSKEEDDKTKKKRTRHSSPQDAGRSIKRKTSPEKSSTKKKHKSHKEVKSETKHKDKHHKRDRQSKVDIEEISSSDYFKKNNEFSTWLKEEKGVFFSDLSADSAREKFLKFVEKWNKGKLPSQYYEGISTGPRTSHNWKIKM >KZM95123 pep chromosome:ASM162521v1:5:28902555:28905598:-1 gene:DCAR_018365 transcript:KZM95123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEITIVPFNIPLSPSSSSFCQLDGSAAAPFLSPPLPPTFVQLNPVLSTASNLLSKNSVKKSHSSGWYLKLKEGLQKLLSSRTQINSSRKMLKSRSRCIFLSGTLNSRGFDRRFSAQSKLEGKVALITGAASGIGKETATRFISQGAKVVIADIQHQCGMDLATELGSNASFFPCNVTKEYDISNAVDYVVSKYRHLDIMYNNAGVACHTPPSIIDLDLAMFDQVMAINVRGILAGIKHASRVMIPRQSGSILCTTSVTGLMGGLAPHTYSVSKSSVIGMVKSVASELCKHGIRINCISPFAIPTAFSIEDMSRFFPGVETQRLIEMIHNAGALKGAKCEPVDVANAALYLASDDAKYVTGHNLVVDGGFTSFKALEFPAPGQLQ >KZM94686 pep chromosome:ASM162521v1:5:24936793:24942162:1 gene:DCAR_017928 transcript:KZM94686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNIVRPVDTDENYDKDGSEVVPAGHEECKNNEARVSQKCSGKRRKMKALAELESDDSEWITIFPFNGMQKLVKCRICQTYVYSGTELPCSVNHCEAAYHLKCAQKSLPLQSIRKFKCPQHACFLCKDKNQLLRCIRCELAWHEKCAAFPEYVTHLRNQPGKAICWRIHENKEEVFNRLPIPDVDEEFDIDNTWKNTTENEMEPPPYIHVTRNIYLVKKKRDNWDANTGCEDCISSVCSEDCICRKQYISCSRDCSCSDMCTNRPFQRQKRIKVVKTELCGWGVEAGEAINKGDFIIEYVGEVISDDSCKQRMSDMTYMGGKNFYMCQVERNFTIDSTYKGNSARFLNHSCDPNCNLEKWQLDGETRIGIFAARAIQEGEPLTYDYQYIPFGPEVQCLCGTSNCRGYLGAKKKASKEKELRDCSSKGRRRAKIRR >KZM93483 pep chromosome:ASM162521v1:5:7023175:7026969:-1 gene:DCAR_016728 transcript:KZM93483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNMTLEDFFTLTEMKNGLSAPARMKELINVMQKDKHNIVKNVGDASRQWYTVARTIATTDSKDCLALFIQLDGLCYFDTWLKDGQKYYKETGDSFVEELLATLLVATGKLHIDEQKSVTSGIMNTVKDLLTNKSSIVHDKAKALLDSWKQNDESNVVPDDAEIDEKVCDDHHDLEDVNENPDSKREQPECSSLNISNSGGNNSEEKNAEPSVDEVKPEICPEAVHPDGIVESTVQPLDKSSNHASPDPGHSTDTSKLISGISSVLENPATHISDSTGIESSGSAVPEQRTLERHLDVANPNEDNDAKQLPQIKSCDKLGATDSSFGADSSNTVESKVGANMEKDFDAKKEDPSPKLFSYGDARKQVSEGKGEMGDSRSSYPCSKLSVSNSTAPVDVLQDSSFSKHDLGKNEDLTTNLSGKEDTEAIEESNDQSDTDEDEVDLGNDYGFSMSGVNVKDSVDKKSDFELEYSMFDPLEVARQVAMEVEREVDCREPSCSSSERTSGGRMRLAESPDSIHGKNSRVHNSYKDVSVGTHLSAVVRDEAFVKAKNQASEQENCTVDAEPSGATEVDQTTEPVKDKRVCGFDLNEEMLSDDNEISPVSAPISVVSASRAAAASGLPLSPLQFEGTLGWKGSAETSAFRPAPTRKVSGGENFILASGSSSNLFQRQQFLDFDLNVAEDEDDKISELTPNKDIQNSTGCPSEKCSLETSPKKSDLSHLDLNRVGDGSDVPISNWKKETRLLPLWHGQFSQSPSSSSSSMQPSLKNFDLNDQPSLFTPYLDPASVGRSSSDFCTSGSGGVKPDKSVISLMGARVEVNQNEIVPQTGPLSNSRFVKHALDGSMPSNDSYLGLGSSTQYAHSSTYGYNGRSSGSGVPFSSPMYGLGSQFPYMMDSRGSPVVPQVLGSVPALHPSMSQPSFFMSLASAPSEYNGFVPSRNGPDLNSGLMMDRGNRDASTRQLFNPGHGILIDEQMRANSQSTSSSGVGGKRKETDGGWDLYPFNYKHHQPPWK >KZM96126 pep chromosome:ASM162521v1:5:38497081:38501864:1 gene:DCAR_019368 transcript:KZM96126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEETRASSGNDFDDFPKGMRVLAVDDDPTCLKLLEGLLRKCQYHVTLANQARIALNMLRENRNRFDLIISDVHMPDMDGFKLLELVGLEMDLPVIMLSANSDPKLVMKGITHGACDYLVKPVRVEELRNIWQHVVRKKVDPKSRDKSNSSYDRDQQGSEGGQGPAVTGNVDQDGKSSRKRKDDEEEDEENDQDDVDPSAQKKPRVVWSIELHRKFVAAVNQLGLEKAVPKRILDLMNVDGLTRENVASHLQKYRLYLKRISTAASQQANMVAALGGKDASYLRMGSLDGYGDYRTLTGSGRLPGTGLSSYAPSGMLGRLNSPAGVSLRGLASPTIIQPNLAQSLSNPAFGKFQTIGSQAHQNPNFFQGIPSSLELDQFQQTKSTTQVGDFSAIDSHRSFSAATNSFADTRAAVNSSNLLGTGPSNPLLLQGNTQQIQNGLGFVNQSSVNVPSLTSDSFNVGTSGSSNIMDDGRGQEWPFQVSKFSSNPLPLNEPFNTAQMPPNSMIYNSSSSSIHIQNSPMQFPSNSTISAPIEDSRGEHQGGLVGNFVQNVNQLPNQRFRQHKQDYTNNSNNAFSALSTLSNSNGGTTPFSQTVDQDNGGYNRRVNGSVSSIMQHGETEKSPMNMKMRSTDGYILDQTKSHGGLVPNNYDSLDDIMNAVMKRHSSSHLCPTLLCVLQQSFTPLKTNAKNFLPCETQEQDGAMLMEGEFGLDAYTFGSCI >KZM94561 pep chromosome:ASM162521v1:5:23627139:23629105:-1 gene:DCAR_017804 transcript:KZM94561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIAMIVFLVIQKPVAGFFNERTHIVLWTSLPGISVPYRIWSSIQRFSVNDNVVFVFPQGLHTAAEVTKEGYDNCNLTEIISIEAASPATFNLESVGTHYYICTVAGHCRMGQKVAITVPDTAPKQLATPAPVSSSGKDMSDDAVTTHIVGDEFGWSPSSGGASDYKAWASNRIFLVGDVLVFKFVNKLQDVALVPKSAYENCDTKKSAIAVYKTSPATVILNSAGYHYFTTTNPLMCDFGQQLVVNVRGR >KZM95187 pep chromosome:ASM162521v1:5:29738160:29738867:1 gene:DCAR_018429 transcript:KZM95187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVVDQHRGRYQGKNRGNGPSPSRVFREINCRTFQSGVGILPTPVKAWKPVGKQAGGSPSVGNFVKTPSRDCVRSVVGGKHKSAGSFMQTPSPNCGKSVVEGKNSSRKSRSGLKKSSSVPTPFNVKINSDEERFLYCKKLSYSELWAGPAYSNSPPPSSLPIPKFSVPPKRTGSLELPSPEPDVHLHQVSRSAPPSPKRDHANSGRNVFHSADYASATKDLRRILNLDMDDE >KZM96450 pep chromosome:ASM162521v1:5:41079899:41082706:1 gene:DCAR_019692 transcript:KZM96450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCHVHERSALLHFKQSLPASSSSSAYSKTASWKASGNSSRDCCSWDGVECDEATGYVIGLDLSSSLIHATLHSNSTLFSLVHLQNLNLAENNFMNSSIPLEISRLSSLSFINLSNSSFSGQIPHELSGMSKLASLDLSFNYLYGDFPIAIFNLSGLLVLNVSRNQNLSGYLPEFNTTSPFRELDIAHTKFSGTIPSSIGNLKSLNKLRLRNCYFSGSIPTSIGNMTQLTYLSLAYNMFNKSDDLSWLQKLTKLTALNLADTNLYGDLPPSLANLNQLTFLSLAHNMFNKSDDLSWLQKLTKLSKLNLDDTNLYGYLPPSFANLTQLTSLSLAQNGFVGEIPLWLVNMTQLKILDLGFNELTGQIPRSFSQLKNLEYLSLSKNNFTGTVEVDIFFSSRNLAILSLSGCKITLNSPHHSNFSLPKLEILSLARCNLTEFPYFLQFASKLMALVLRGNNIHGNIPHWIWNASNLELIDLGANFLTGFERNPIAIQSKSLIYIDINNNMLHGNLPIPPPNAYMYVVNNNRITGEISPMICGVKSLKVLDLSSNNMSGPIPQCLANSLKALFLQKNNFSGTIPQTYPKECDLRVMDMSQNRFTGEVPKSLSNCKMLQILDLSKNQMKQTFSTWLGSLPQLQVLLLHFNMFHGEIGSPRSLSEFPLLCIINLSHNALTGALPVNYIQIWNVMKVVRRGIEPYIETYVYIPFSHGKYPYRDIFLCYSPMILTNKGAEREYNKIPNIFTAIDLSSNKFTGKVPESLGSLKALQLLDLSNNDLTGPIPPSLGNLTQLESLDLSRNKLSGVIPQQLAAQLNFLSFFNVSHNNLTGRIPQGTQFSTFDNNSYIGNSGLFGFPVSKNCGAPQSPPDDNEDGSEEDKFPSGFDWLFILVGLGSGLVVGFVMGDISMDRHPWLIRRIVRKFGRTEKKPRTRRRQIIRA >KZM93563 pep chromosome:ASM162521v1:5:7877076:7881072:-1 gene:DCAR_016808 transcript:KZM93563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYNDGPLAGEWMPPSPSPRSFFSSMLGDDVSFRSITDLSSDNRGGTLFSPPADNNVGANSDEKNDGRRSADEVQAINQNSPSEQKLSLRKGLSERMAARAGFSAPRLDTESIKPADLSQSSGMQSPYLTIPPGLSPTTLLDSPVFLSNSLVQPSPTTGKFPFASGGNGRSSIFMMEGTDKRKDDFFDNIYTSSFAFKPAAESSPSLFLGGGASSNVPHQSLPGMEISGQPDNSYLPQSVEPEKVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSGIGSSNALSEMQLDMAEQNGSAVDVDPALTVMKKSGASYWRHDNFEVTSSAAMGPEYGNNPSTLQAAGGAQLESGDQVDRSTTFSNDEDDERGTHGSVSIDYDGEEDESESKRRKVEAYATEVSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHANSGVTNPVPSSAGASQSHAHRSEVAQLQNNMARFERPQSLGSFGLPGRQQMGPSHGFGFGMNQPSLANLGMAGLVPNESKMPAMPNHPFFGQPRPMNDMDFMLPKGEPKQEPMSEPSLNNSNGTSVYQQYMSRYSLGPQM >KZM95200 pep chromosome:ASM162521v1:5:30087588:30103454:1 gene:DCAR_018442 transcript:KZM95200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSSIQFIDGALSCTSPFALSYTDPYKKLLIRKHLILLLQNFPSFRPSVEAFTHNNGNIVNLLFAAGELHVSNSTVPINLTIWLHEDYPNTPPIVFVSSNLGSQIQQDHPFVDPSGSTLTPYLQTWLSPPCNLLDLVRNFVKLFSLVHPFNNSSTFASIEPSLVSRRQAMDRLSCGLHYDMMALRTESENDIEYLSTIQAEMVKRVDVTKYMIVKLEAEKRNLKKKVKEMTEEADVVMNWIKAHDHDNISANNIEDVFETADDESQVVLECAAADLAIEDLMYALDKAVEEEVMSFDLYIKQVRSLAREQFFHRAKLELVAICLYSLVTIRGDPGHTKLQLARAGLEAIERITTPIAAVAVIGPYRSGKSFLLNQLLSLSCNEGFGVGHMRDTKTKGIWVWGTPVEVDIDGVKTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVKEMVDEAIKRVPNPDGDKYIDQINHIRDSLAIMGDNSTAFSLPQPHLQRTKLCDLKDEDLDQNYVKKREQLKQLVVSVIRPKVVQGKHLNGKKFVSFLKQVPSSTQFILPKTGKETPSQKGERDSGVISEILEALNKGEIPSTVSLVEVFNKGILERCLKLYNDRMVKVGLPVSMESLQAAHEASRELSMKAFDVQHFGRHHAKKSVEQLDEEIEKVHKNFIMANEFNSAKLCERLYTSCEDKMDRLQVLRLPSMAKFNAGFLQCNRSFEIDCVGPSKTSYENRMMKVCQALELYRSIHKSSFPFMHCWNELRYSPKFASNLLKRKNKKPQHSSSLVSSPSTPDSVSFNVEDEATLELPMGRKTTEELHKITKRKVQEAEEAEDEYFKAIFVEMKESQLVARSERIKRVDELLRLERERQEFEKRKEEQEQEEKERERKKEERERKKEEREEILYEQRIMSTNTTNMDEIEAEYYSLLKSSIIKKRRSTGFQL >KZM95947 pep chromosome:ASM162521v1:5:36962363:36963953:-1 gene:DCAR_019189 transcript:KZM95947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLVKNTTLRFSRRRADLQASYEPLDQSFAEDDYRQNLTTSRRKVTSVDNETKGLSYKRDRAKKRLIYLQSYNLGSGEMSSRKMLKSRKLNRAAVRVKSVAVSVVSFIRTSSVTVCKSRLANPYGRPRKSGNSCLRCICCCYCFLFILIIILAGLALYFYTILKPQVPSYKVKSVHVKSFEPLPDMRLKSEFVVNVEANNPNAHISLIYGKESNVTLWYRDQELSQGKLPSFRQGTNNISIMHVDMNGKSKLDSGIQETLKQDQKNRMVPMVVQVQAPITIVVGEYKLREFVVYVNCSLTLDSLSADKNPEIITSTYTIHATL >KZM96060 pep chromosome:ASM162521v1:5:37802284:37803027:1 gene:DCAR_019302 transcript:KZM96060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKFHLRLLLGSFKDKASIIKASLSSKRRTASIRLSVIRATKRTSTSPPQHRIDAVLAFGHISRPTASACIHAIMDRAHKSRNAYVGRKELQILGRYRRTSLQTCSWSA >KZM94478 pep chromosome:ASM162521v1:5:22881331:22888268:-1 gene:DCAR_017721 transcript:KZM94478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTVLTVGCCSIIIPPNSPHKPSISAKLPQSKPSSSKPLLSTVRWDLPLHRNQKSLRYYAQLDSKLAEDAKLDDFLLIAETVLNSGVKVSDFANLINVDLVSKGLIRMLRSGDVERVVQVLSGAQKLGLSVAELFDRNVVEALRKECSLVAERGNVEAVVDLLEVLSGFQLSVKEIVDPARIIKICVAQRKPNVAIRCARNFPTAHILFCSIILEFGKKGDIMCAMDVFEASKQDMDRPNMYIYRTIIDVCGLCGDYLRSRSIYEELLTQKITPNLYVFNSLMNVNACDLNYTMHVYKHMQSVGVAADVTSYNILLKSCCRAARVDLAQDIYREVQSLESTGVLKLDVFTYSTIIKAFADSKMWQMALEIKEDMLTAGVTPNTITWSSLINACSSAGLVEQSILLFEEMLLAGCTPNTQCCNTVLHACIEAHQYDRAFRLFNNWKISATDKFYGKDYQRKIDRGRHNARKSYTMTGQDYGSDSDHVHFTKMVPFKPTTATYNILMKACGTDYKRAEALMNEMKTCGLSPNQISWSILIDIFGGSRNVKGAMQILDSMRRAGILPDVIAYTAVMKVCIQNQNQKFAFSLFEEMKRYHIQPNLVTYNTLLRARTRYGSLEEVQQCLAIYQDMRRAGFNSNDYYLKQLIEEWCEGILQGNSQNLPHTSSSRTDLEGSQSLLLEKVAANLQKTGPKSLAVDLRGLSKVEARIVVLAVLRMIKENYTPGTLLKDDMSIILGVQEVGSSDANHDNVKDAIIKLLRDDLGLEVISGATGSINSNSDTDNINTITVGYPTGLESPRRPAVLQRLKVTKKSLNKWLQKRLGASRK >KZM92895 pep chromosome:ASM162521v1:5:617696:622511:-1 gene:DCAR_016140 transcript:KZM92895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEVHKVEVPSLQLDFINHRIEYIYGKFGTLRQSTINTGSDRIQIRLSFRVLVIIVEKVWNLMAMCYDNCYNLLPKDLLINIVNQLSFQDDYYVFSAVCKSWNSAAAAAGKPWWLPTRIPMLLLAKEVAPGSIIYDTYYDLNQDYGDSDDDAEQELLDYIRVHHTTPPYTRPYDYLKDSVGTSRGIYSLSSMKTYNLELPEAAGKDIAYHNGNFYAVNFNGDVYVCSIDDDNKEGHRGMKVASIETYDCDQKYLVEPLSGSGLLVLVRYRQTRHFIEPCGIVAKYRTTHFAVWRLDLTNYDSLENPSYTLTEENSLGNEAIIMTTGSATSTGEEAMIWGSSTWSVAPSNLIFKEIPSIAYLLRFGRLLKSKGWEKPTVETKSSNFLAKLKIKLKACGVVVAECCCRSNVEQRRALQNLTIASFMSHRELLSSFRLFEPGRRVSRSPLSGKVMCYKSSSATCLSCFQHYILLLKLHHFI >KZM96182 pep chromosome:ASM162521v1:5:38903854:38914678:-1 gene:DCAR_019424 transcript:KZM96182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAEDCCVKVAVHIRPLIGDERLQGCKDCVSVVPGKPQVQLGPHSFTFDHVYGSTGSPSSGMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGSKDGNQIGLVPQVMSTLFSKIETLKHQIEFQLQVSFIEILKEEVQDLLDPDCSNKSEMTNGHSGKVTSPGKPPIQIRETSNGVITLAGSTERSVKTLKEMSDCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKINSSSPGDTSPNGCIGEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGLHVPYRDSKLTRLLQDSLGGNSRTVMIGNPADINAEETLNTLKYANRARNIQNKPVVNRDPISNEMLKMRQQLECLQAELCARGGGASSNELQVLKDRIAWLEATNENLCRELHGYRSRSSDMEPCRTSAKVGEIVSTKTDGLKRGLQSVDSPDCQMSESGDSSDIDEEAAKEWEHTLLQDSMDKELHELNKRLEQKESEMKLFGGFDTMTLKQHFGKKIVELEDEKRTVQHERDRLLAEVENLSYNSDGQKLQDVHSQKLKALEAQIQDLKKKQESQLKKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSTRDTSAISHANGTNGQTNEKSLQRWLDHELEVMVKVHEVRYEYEKQSQVRAALAEELAVLRQVEEFALKGLSLPRGKNGLSRVSSMSPNARMSRISSLENMLSISSNSLVAMASQLSEAEERERAFASRGHWNQLRSMGDAKNMLQYMFNSLGDARCQLWEREIDNKEMEDQLKELVGLLRQSETRRKEVERDLKIREQDLAIALASSASRSDDHGNSHNSLKHVADDMSGQLSPISTPAQKQLKYSAGIANASVRESAAFIDQARKMVPVGHLTMKKLSAAGHAGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETIMRSRPRPQALPDVIRRR >KZM95885 pep chromosome:ASM162521v1:5:36493268:36495337:1 gene:DCAR_019127 transcript:KZM95885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAREIQANLPSRFPSFVKYMLRSHVTGGFWLGLPKNFTCNHLPEKNDIVVLVDETGVEHETNYLWEKNGLSGGWRKFSLDHQLREGDVLIFHLIDECKFKVYIVRVKSEALIETDIDLSLVNLGARATEMVGDYQERDPKITVLAREEHLEQYPEKDMVALLNDEDAAENYFEMDVDDYDSGIRFSQPVIDFKDVKTFSHFNIIVYGFSIDHRISQHLRVKYYELCCSQKSYLHDQLKDGLNLQLVVGVISETISIADAIRSSMPTSSENLISWDKILEGFEILGMKVGFLRARINKLVTISSDAKDALERKILEKVNAEEELRALILEKVKAEKEVKALQIKLIDVKKVIANSDHKIEYMKKRAERLEAVFLQESKAPW >KZM93091 pep chromosome:ASM162521v1:5:2291716:2293455:1 gene:DCAR_016336 transcript:KZM93091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEKAAEMVDENTICVAAILGSTLNEEFEDLIQLNNRVMPDDDNKWIFWFSAAVVCGLAMSESEMLSKVTLKPVKYRIANMLKMPNKFPAKPLKTD >KZM94523 pep chromosome:ASM162521v1:5:23193960:23194681:-1 gene:DCAR_017766 transcript:KZM94523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTTLLHEAQGLKSRKLLTETANSSSTSASKNHQEIEGNLNGMKVNGEMNTSATTKQIEGHGKQENFSVKSSPVQVEAAPKHYPDVLDIAGMDYSPARRKSPIHN >KZM95810 pep chromosome:ASM162521v1:5:35785484:35786173:-1 gene:DCAR_019052 transcript:KZM95810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQYFSLEGHGSVSRHDLINSGSFLRLGAPHQPVDDYEMTANSFDDNGYVSLSPNGSVQNSGFLSSTMTSLSDDRNSGDSMKKKSHPLVGLLNSPNQLITKEALRPTACPDTATSRKGLEILYANIPSSKDNVQESSNAKFVTQALSAPIGNINLEAEQSAMQQQIVDMYMRSMQQISESLEKMKLPMDLNKPQTDNQGNVIQNLNSSVEVEKKKDAARVFYGSRAFL >KZM93307 pep chromosome:ASM162521v1:5:4643464:4645375:1 gene:DCAR_016552 transcript:KZM93307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSKDVNEVRAKGADKLQNSTSGFKRWGRKTPFVRYGLPMLSFMVFGTVGLGHLLQGSKDIAKVKDDQEWEIIETKKALSRTGPIAAYQPKKISLEEELKATQKKVDINDYEFKKIPRPNESKSH >KZM93371 pep chromosome:ASM162521v1:5:5316020:5316677:1 gene:DCAR_016616 transcript:KZM93371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSHADKIRAYHILIKHQGSRRKESWKDPQGKVIRNTTRDAAVSQLKSIRDDVVSGKAKFQDVATTLSDCSSAKRGGDLERRASDLERPEARLRRGD >KZM92914 pep chromosome:ASM162521v1:5:735951:741750:-1 gene:DCAR_016159 transcript:KZM92914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLLVSFLLLLTSCQGRRNLNTWEDKYPFIRYASSFSSSSSTAVDLSPTSASQYFISSEGVFNSRARVLGGGTCINAGFYTRPSHRQVPLFGIFLIFHQLDIERLGLDAKLVNESYPWIENQIVHMPKLTSWQRAMRYGLLEVGISPDNGFTYDHLYGTKVGGTTFDRYGRRHSAAELLKSATRENLDVLIHATVQKIPSFKSRITYIIICHTGKKPKAIGVTFKDEKGKQHLALLSNKQGSEIILSSGAIGTPQLLLLSGVGPKADLERMNISMVLDNEFVGKGMSDNPMNSLFVPFKTRMEQSLIQTVGIAKTGVYIEASSGFSQSPDSIHCNHGMLSAEIGQLSTIPPKQRTKEAIEAFKRNKHNLPHEVFKGGFILGKVAHTKSRGKLSLCNTNIDDNPSVSFNYFSHPHDLQQCVHAFHIIEKLVKSTHLTNYMPPDEDTFEKLLNLSVLANVNLLPRHANDTRSLKQFCKDTVTTIWHFHGGCQVGKVVNSDYKVLGVQGLRVIDGSTFSQSPGTNPQATLLMMGRYMGLKILKRRLGHTAAGQNTWREDYPFIKNASSVSKTKAYDYIIIGGGTAGCPLAATLSQKFNVLLLERGDVPFDNKNATLLRNYHIGTADSSATSAAQYFVSEGVYNIRPRVLGGGTSINAGFYTRPSLRSLAELGLDPKLAYESYPWVEKQIVYKPVLNPWQTAVKNALVEVGVTPDNGYTYEHLFGTKVSGIIFDKDGNRHTAAELLRSANSKNLDVLIHATVQKIVFDQTSGKPRAVGVIFNDENGNQHEVNLSKNDKSEVILSSGAIGSPQLLLLSGIGPKAELEQMNITVVLDNQFVGKQMADNPLNTIVVPFNRPMTQSLIQLVGITRQGVYIETSSGFGQNPDTIIYTRDNSSSPEEELPRENFNAAFILSKVANPLSTGELTLNNTNADDNPNISFNYFSNPQDLQQCVSGIRILEKLVRTKRLIDFMQPGNDTFEKLLNLSVTANYNLIPKTAEVTKSFEEYCRQTKITIWHYHGGCHKDKVINSEYEVLGIDALRVIDGSTFVQSPGTNPQATVMMMGRYMGVKILRSRLGKAAGI >KZM93431 pep chromosome:ASM162521v1:5:6308074:6312232:-1 gene:DCAR_016676 transcript:KZM93431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSVKKQIVGQPVGETLLGKTVFIMGYGDIGFQLAKRLRPFGVKVLATKRNWIPTLQSNGGTHDDLVDEKGGLEDFHRFAQAADIVVCCLAMNSETAGIVNKSFMSSMKKGAHLVNIARGGLLNYKDVLYHLKSGHLGGLGIDVAWTEPLDPDDPVLKFPNVILTPHVAGVTEFSYRSMAKVVGNVAIQLQEGAPLTGIEFVN >KZM94180 pep chromosome:ASM162521v1:5:17956776:17957939:-1 gene:DCAR_017425 transcript:KZM94180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFVFDGSSHFNVYVIVTDLSEIEYPRVAHQLQQTRPRDVNVQKGGLKFVNFVKDEEPLFDEFDLGITMVSVFDDHFVEYLFTGTPVSRGLNSHNPVVRFRIEITVQPHHLYRYIYGVVRSRGGKSRRTTIHDGWIQFRDDLNLRLGVAVVLECADNFCTHFAVQVTRNDSA >KZM95286 pep chromosome:ASM162521v1:5:30828146:30828815:1 gene:DCAR_018528 transcript:KZM95286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNAVVFDLSSDDDVGWGKNIGADDDDCDRVEDCKWIQDFFNDDSDDVVFVSEVVVKPKDRKLKIVTNNYVKDFNHGDDDDDCVILDGDPDKEVEAVEGLKTQDDATQSDSDELIVVGETGQNNAGLRKWNVLGYGGFGSDKMGNGT >KZM96510 pep chromosome:ASM162521v1:5:41519815:41522036:1 gene:DCAR_019752 transcript:KZM96510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDPKFIMPAAFVSFKTRWAAAVCAQTQQSRNPTVWLTEWAPEPRDVYWDNLAIPFVSLTIRRLVVAVAFFFLTFFFMIPIALVQSLANLDGIEKAAPFLKPVIEVKAVKAFIQGFLPGIALKFFLIFLPSILMLMSKFEGFSSISKLERRSASRFYVFQFVNVFLGSIIAGTAFQQLNNFIHQSANEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIMYHLKNFFLVKTDKDRKEAMDPGSIEFYTGEPQIQLYFLLGLVYAVVSPILLPFIVVFFALAFLVYRHQIINVYNQEYESAAAFWPDVHRRIIVALIVSQLLLLGLLSTKEAAQSTPLLIALPVLTICFHIFCKGRYEPAFLRYPLQEAKMKDILERTREPNLDLKEYLQRAYVHPVFKAGDDNDSDAQAQEWELKTTLVPTKRTSRKNTPVPSIKSSGSTGPLLSDVQGIPNP >KZM96422 pep chromosome:ASM162521v1:5:40874074:40874538:1 gene:DCAR_019664 transcript:KZM96422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSHFPDPADAGLLCIILVNAVKYISTMKEIVRFILQTIGIRIVSWEEYNMTESALNSIECHQSSSEVSIAEFRTRIPAMHYDSLCPKQLDHDCSVCLTNFRPKAVINHLSCGHVFHKVCVEKWLKYQKVTCPNCRTNMMPQVDEAEGDTCPM >KZM96152 pep chromosome:ASM162521v1:5:38713294:38713968:-1 gene:DCAR_019394 transcript:KZM96152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLLDAICERLKPCLYTENTYIVREGDPVDEMLFIIRGRLKSVTTDGGRSGFFNESLLKEGDFCGEELLTWALDPKSGVNLPSSTRTVRALTEVEAFALTAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKILELRRKEEEAEALAGASRNVGGGSYSLGATFLASRFAANALRGVHRNRNLKSARELVKLQKPPEPDFSADAD >KZM93758 pep chromosome:ASM162521v1:5:10516687:10518503:1 gene:DCAR_017003 transcript:KZM93758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTAPPVSDPQLNRSTLVQQTSSPLHPIQNMGGGADSDPAAHRLSSDQKPATMNNSLHSDKFEIKHKEEGEEENGSNNNSRKEYNFLAVGTVGETRVLPSLSSHPVEGRWDDGDEKIPSKKRKGGVEEEETVLKMKPKANKKFSTSGMQQNQVKAGSDESNSTLNNNTVKKMNKRGGAIMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSISNVKGRKTPAAAAAATIAPQNVTTDSSINDSTSKANTTNDNESSKKLPVSLPVVQSPRPRDRKNTMLLDGSCYDDDEEEDKYEDDDDDDDYNEEETKKPVVAKKKRMKVGMVKARSLSSLLNRTN >KZM93803 pep chromosome:ASM162521v1:5:10958392:10964991:-1 gene:DCAR_017048 transcript:KZM93803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVKAVAIISGDNNVGGSLQFVQDSSGATHVEGKIRGLSPGLHGFHIHALGDTTNGCISTGPHFNPLKKNHGAPTDDERHAGDLGNVVANQDGIAEVSITDTRIQLSGQYSILGRAVVVHADPDDLGRGGHELSKTTGNAGARVGCGN >KZM93974 pep chromosome:ASM162521v1:5:13145889:13146926:-1 gene:DCAR_017219 transcript:KZM93974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSSSTSSSLLKPAFISSSPKLSAQSILPFRVSNPLPRRGLNMITTSATTTTVTTSFSCKSQSSPPDSQTRDQVQQLCVYEINERDRGSPAYLRLSQKSVNSLGDLVPFSNKIYTGDLQKRVGITAGLCVLIQNVPEKKGDRYEATFSFYFGDYGHICVQGQYLTYEDTYLAVTGGSGIFEGVYGQVKLQQLIFPFKLFYTFYLKGIPDLPEELLGAAVAPSPAVEPTAAAKACEPGATILNYTQ >KZM94157 pep chromosome:ASM162521v1:5:17409000:17409308:1 gene:DCAR_017402 transcript:KZM94157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYRQLFRLHNGAYIPVRIVLNGRIYYDPFDLRIHMNNREYGPDEFPDELSDTLSWDEYLELQFFKLTARVERSRRDKGIEKKLLESFELKVTIQMRNPTYQ >KZM95137 pep chromosome:ASM162521v1:5:29039780:29052955:-1 gene:DCAR_018379 transcript:KZM95137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEVELPMRVDRMVQKICFHQCLPPLDTESRKILACIGEDNSMHMLKIISQARIKTFSGFINHLAQNYKPQSLSQSINSPAATDDFVVRSPFPEHLLRSPSSDSEARTPLAMSGSSSSSNVQRTCTPGAEHLLTSPCSSSGNSYTPPRKKLEFSSPIDTNQGSTSTISKQWRLLFQLEFRRIFLLLNYIGRKKLEDLVSVEDAYQILEMKDEPMEKFESYVWNKYGCLLVGNELPDRRRGPYLNTTETLLHRTLGDENVLIVRFEEQRGVSTYSPGNHKALYHKIARKGILIGQRRYRFFVFKVGERKGKKSYPITSDVNCYFVRMELIAPCGEKKPYILLNKTMHQARCLFMHLHTTPNLAKYASRCSLVLSQTTRLDVDLDSVHIEEIEDIPCRNENGFSVCNEDGDLLIHTDGTGFISEDLAMLCPNANYISKKKSKMEDGTFEGLIDAYVNEPPLLIQCRLFHKGRAVKGTLLLNKKLPPQTIQIRPSMIKVEVDPTWKGVPSVNSLEVVNISRKPGTSRLSKLLIPLLNIGGVPDQFFLHTLAVALEDTQRLFYNKRSAVKASMNLGTLDDDATTAKIILSGVPLNEACVQSRLSKLAMEERKGLRKGKLPISESFLLMGTADPTGLLNSDQVCIILENGQISGKVLVYRHPGLHFGDIHVMNAVYLEEMENVVGDAKYGILFSTKGPRSVASEIANGDFDGDMYWVSKNKQLLQHYKQSAPWKREHPSSLPKNPKEPPRRPCDFTSDELEHELFELFLNSKNQNITMGIAANSWQAWTDKFLTASDDDEKKYLKEKMLKLIDLYYDALDAPKSGEEVTIFAMFLL >KZM93949 pep chromosome:ASM162521v1:5:12690757:12694350:1 gene:DCAR_017194 transcript:KZM93949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGRKDCCEEGRESGGNGSHMQQGRKSTKVLQNPDNIGRGNEEHNVIGMPKGHEKNERKRKAPDVPRSSDDTSDENMDDNDISNEGRDSKHTLIGYEEKQTRRDNMFGEGEILPWTDDDAENENDEAIHANSGKTIIENRDVDGKEVTIRSSADERLCINKAREEQTSKHMESNGDEPSDENNMMAEPEDKELDEMVCMATLDRKIDQLGRRYRGIVAGITAAMEKYPDNPNIAELKVKLWKAMTTI >KZM93547 pep chromosome:ASM162521v1:5:7657931:7660738:1 gene:DCAR_016792 transcript:KZM93547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSLRYGGDSKILRIRAKEKIPFDSETHLELHGELDTKIGAPSFLSGTIRHFYPDLLASLGLGVQYDKRQKLRYVVRGKKEFPVTADGMIKFHVKGRCDIGKDFIQRNTKGHAELTWDIFNFRKDQDVRLKIGYEVSDKIPYLQIRENNWTFNADVNGKWNVRYDL >KZM92999 pep chromosome:ASM162521v1:5:1591174:1591489:1 gene:DCAR_016244 transcript:KZM92999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQAVINPSDCPADDINIEADFISVDDKKFSNCWPQVEVDEWFIHKTGPRNPGFHWPPLPGEDDIECATDFVV >KZM93479 pep chromosome:ASM162521v1:5:7007226:7014247:1 gene:DCAR_016724 transcript:KZM93479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCTGGTIKRTSSSSDFQEKTDNVNVSGFSGKLKSIKSGLGKQNNRRKESQDDGDGDGDLYLPDVEKRRRTHHIFDSGELHFSISRELKSSSAPVRNPAAKGPQAGSFLGKAGIVGFERAVDVLDTLGSSMTNLNVGSGFVSNMASRGNKISILAFEVANTIAKGSNLLQSISEENIQFLKKEILHSGGVQLLVSTDMTELLRIAAADKREEFGVFSREVIRFGDLCKDPQWHSLGRYFARLDTDPVTEKQLREDTEKTMQELTNLAQHTSELYHELHALDRFEQDYRRKLEEVESLHLPRKGEGLVILQSELKHQRKLVRSLKKKSLWSRSLEEVVEKLVDIVTFIHQDILEAFGENVAAVTSTGTNTCNKPERLGVAGLALHYAHIITQMDNIACRPTSLPPNMRDGLYNGLPVSVKMALRSRLQEFDAKEELTVPQIKAEMEKTLQWLVPVAADTTKAHQGFGWVGEWANTGMDFGGKKTGANSTVIRLQTLYHADKQKMDQYILELVVFLHRLISSVRYKDNGFKALPVQSPIRQRLITQIRTQELPSLNRNCDVQEKKLSSEDRNLLEDVANHRKIVIGRSKSQGFAIGRKGSIKVWALSRSTGSSPRRFLQPGHQKSSILDILDGMDQHELFSI >KZM95350 pep chromosome:ASM162521v1:5:31354863:31357967:-1 gene:DCAR_018592 transcript:KZM95350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSLATTTATTWNMSCLKAALPPIYNTSARLPVVSSPCVKISLKPNPISLRSFHGLAPLHPLLSREGNSFDQCFTIIDNGGRISMMRHGRRVPKLNRPPDQRKALLRGLTTQLLKYGRIKTTRARASAMRKHVDKMITMAKDGSLHKRRQALGYIYEKQIVHALFAEVPERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >KZM95173 pep chromosome:ASM162521v1:5:29561974:29562815:-1 gene:DCAR_018415 transcript:KZM95173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKGASKAAMASAARKQWKVGDLVLAKVKGFPAWPAKVSEPDKWGHQVDWKKVFVYFFGTQQM >KZM94065 pep chromosome:ASM162521v1:5:14565007:14565983:1 gene:DCAR_017310 transcript:KZM94065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGEGGYEGQNHHGSDDAYGNPVGQTDDYQNPTQHTTGGSAHGVGLSTGTGAATGAHQLQRSGSSSSSSSEDDGEGGRRKKGIKEKIKDTFPGGHKQEHQTQAVVTGESYGTPGEYGTTGTGEQPHEKKGIMEKIKDKLPGGHNDQEQYQTHTTSTPVSGGSYGATGEYGTTAPGEQPYEKKGIMEKIKDKLPGGHN >KZM95034 pep chromosome:ASM162521v1:5:28128871:28129134:-1 gene:DCAR_018276 transcript:KZM95034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLGTDEGWKPGLKVLARSVAHLNIERPVRVMSSTDWSAKELCLEQIEFACAHVYACFRVAFELLKHGNEMPRMMKSPPPVDDDDE >KZM93128 pep chromosome:ASM162521v1:5:2636062:2640708:-1 gene:DCAR_016373 transcript:KZM93128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENGIVGEEKRVVEKVENGAERGEKDVKLVEEEEVLEEKDVEKAEGDEGEEGEGEDGEQDGEKEEENCDEEEEKSGDEKVVAKKRRRRGSVKEAPATPIERPSRERKTVERYMEMSDSRSSAGKAVLIEKGKGMPLKDIPNVAFKLSKIKPDENLKILHSILFGKRIKVHVLKRNIGLFSGFVWDADEQEKQRIKVKERIDRCVKDKLLFFCDILNIPVNKASSKKEDLSKGKKLKRKAKSSKSPSSVRKASKTKSKKQKLESESEKKRKRPLKEEEDDEAEPSENGDVSQDDGENDADTKEESDQDSKSEEEKNAEDSKESDQDSKSEEEKNDEDSKEETDQDIKSEEEKNDEDLEESDQDSKSEDEEENKKGKPRRELTSKKNSSKAVSKNDSGVKTAGKSTSVERTPPMKSSKITPKPTKKSLMSMGDAEKNDTSVASGSSRKPKVPSTKKQKLDKEHKDESLSAKAKTSGKKEPNKSSTKSLAKDQVGNKKGGKKAKPEPSKEEMHAVVADILKKVDFNTATLSDILRQLCTHFDVDLSHRKAEVRLIITDVISNMTDEDDDGEDDDGEDGEGDGEDDNNA >KZM94750 pep chromosome:ASM162521v1:5:25498011:25500088:-1 gene:DCAR_017992 transcript:KZM94750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQINSMKRKRRKKGAPSSLSVHVAGKNLFGVMSDEIVISSLEIIGAACLYSLELNEKFAIDDEVIKGLINVVGNSKRKKVVALAGCNATLDLSSTSIARRRLLQFSALECFTSQFNQVPKCSGVQLRLYKEEKGSSSCVRIGFKEDKYLILLLHTIVALLNTCTAEQLERIPKNISKILVVYLKSLWVEIQKQMLLGSILKSRLTREFCLSKITPNNLAESIFRLSVNDQHWVTAANHEKVIGGIFDLGETSFECFMLNNWEASPLHMRGHLKSAPHVLYFNDILRCEAAFKEGYSIAMRGMEFRFKSIAAVADDLASFFGQPSVGVNMYLTPPDSQGLACHYDDHCVFVCQLIGTKNWKIFHRPSLQLPRLYEPINKLENEEMTTDRFNQVLLKEGDVLYIPRGVPHEACTVNEDESDGNAGFSLHLTLAIEVEPPFE >KZM94453 pep chromosome:ASM162521v1:5:22583872:22586965:-1 gene:DCAR_017696 transcript:KZM94453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFNFYIGEKKDETKPSKSIEGQSSGSGFTDRSARRSGSEFNSRNMSDISMESIGKPMIPSLSQKSNNLREFTLADLKLVTKNFSHSTKIGEGGFGCVYKGVIKTSEDKKLNVAVKQLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYLPNGSVEDHLLSRAEMLLPWTQRLKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDDQWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYIQSGRLTSKNDVWSYGVFLYELITGRRPLDKNRPKVEQSLLEWIKPYLSDAKKFRMIIDPRLEGKYSLKAAHKLSIVANRCLSRHPKSRPMMSEVLEMVNRIIDPAAETGTSQPAVSPVTRSVPVTPKEVTHQEQISDETERKGKRSTMDSKNGDSSWLARMLTPKLLKTC >KZM94460 pep chromosome:ASM162521v1:5:22729911:22732682:1 gene:DCAR_017703 transcript:KZM94460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFMDSNENLREIEKCLDSQFWHACAGSMVQMPPVNSKVYYFPQGHFEHSCQNVDFVNRVPPYVVCRVLSNRFMADPETDEVYANMRLVPVSGNEVNCDDDESLSDAQDKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGNPESTSGWNPAGGNCAVPYGGFSAFLRDDENKLTRYGDGGSPCGSGSGMNKGKVRAESVIEAATLAANGHPFEVVYYPRASTPEFCVKASQVKSAMQIRWCSGMRFKMPFETEDSSRISWFMGTISSVQVADPMRWPDSPWRLLQVTWDEPDLLHNVKSVSPWLVELVSNMPSIHISPLSPPRKKLRLPQHPDFPLDWQLPVPTFSGNLLGHTSPFDHFSDITPAGMQGARHTQYGLPLPEFHFNKLQSGLFPPGFSPFGHGCIPTRPSINPSFNKSRSSENISCLLSIGNSAHAAKKADDQKPQQFLLFGQPILTKQQISVSSSGVTVSPVCAGNSSSEGDVDKVSNLSDGDGSASGSGFALRQHAIRERPSCEGHLQYKGNPQEADANLEIGHCKVFMESEDVGRTLDLSLLSSYEELCRKLATMFGIESSDMLNHVLYRDIRGAVKHIGDESFSEFTKTARRLTILTDASSNSIGI >KZM93017 pep chromosome:ASM162521v1:5:1766759:1768547:-1 gene:DCAR_016262 transcript:KZM93017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQTAGEAAWTEALVTCSRLLYLRFKLSKLRIVGVSQDGFAIVDAWAGVLSVGCRYESSTRFALPPGQSTGNGPGLSLIPGSAPNLGVLDEQFLQLQQLQDESSPNFVSEVVNIYFNESEKLLRNLRTLLMDREQWEYKRVGVHLNQLIGSSSSIGANRIRNVCVALRAASEQNNRLGCLRALDVLEHEYCYLKNKLHEFFHSSNEYWQQESDIRTQCNSSNSKTLRTDSASNNNVRAVKLTIINSRRSSIPMFSPLISQIVAWS >KZM96028 pep chromosome:ASM162521v1:5:37525048:37526932:1 gene:DCAR_019270 transcript:KZM96028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQGGRCGISNSEGGVGHHVVLKKGPWTAAEDAVLLEYVKRQGEGNWNAVQKNSGLQRCGKSCRLRWANHLRPFLKKGPFTPDEEKTIIDLHSKIGNKWARMAAQLPGRTDNEIKNYWNTRLKRRQRAGLPVYPMDLLQQQYNQQHQQPPNISVSPSSSFASIISAAAAASPSASHPTFHRRLNQNSINLMKNCTPLDLLNHPTYCNNMTGTKSIPFLSRGLINNHPFSFQNSVLLNQSFSGKSLLFDANLGHNSSPIPLPVTATNTTNSFDLMRLSGMGTDNNELSSIQNTIQTSNNSGYMESDDVMGGASSGGDEQCDKIARGNSGLLGDLLQESQAIKRCEDSEDKDINGEEDGLSKDAFQDFKNCNIDLMSNVPKHISHSGLSISDRSNIGMNHEKAEVAEEMNIMDDDLMRLLDCPSPVCIPDWYDVGSSTNTSNYSSREPSNVTPTYFSNVKKDEDDDWDENFCCWNNMPRVLNS >KZM94110 pep chromosome:ASM162521v1:5:15822415:15823878:-1 gene:DCAR_017355 transcript:KZM94110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSERETAELSEKIENAIDFRASRRFFKHFRQLKVEQLEGLCVPEIFMPQHLTTFFSVGYDSNSALSEGSQSSNNSSREEWSQVFDRDGCFRFYHILSLFPPSDDLSGMKMLYRQTLCWAHFAVTSYNENHGADYELVAPLVSFPFYHVEWHGYHAYVNFFAKPKNSDSSPDLFFAELVACGDSANEVLKCCILKPRPSPVAQAFDHVEFWLPAGEEYHSPSIMF >KZM96371 pep chromosome:ASM162521v1:5:40532378:40536416:1 gene:DCAR_019613 transcript:KZM96371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQDQEVNLGLVDQAIQLFRTSPPTWRSALFSNLIIFLVGSPLLVAGLSLPGIAAAFLLGSLTWRAFGPSALFLVATFFVLGTAATKVKMVQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAFLSIYGGGGRAFFQLCKLGFVASFCTKLSDTVSTEIGKAYGNTTYLVTTFKVVPKGTEGAVSAEGTFAGFLASIFLAWTGVLIGEINMPEAVICVIASQVANLGESFIGAALQEKEGFQWLNNDIVNVINISMGSSLAVLMQQVMLQSSKHSG >KZM95685 pep chromosome:ASM162521v1:5:34558020:34575513:1 gene:DCAR_018927 transcript:KZM95685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSNGLVSWMLRLILIFGLYLCILICLFFFSYEPKSLSTDTNLSPYDPDTNVSHLVIGIASSFKSWRYRKPYIESWWRPNVTRGFIFLDRAPDYKHLPWPSSMPPYRISEDTLRYNEFNKHKVRHVIRITRVIEETYNAENGDDVRWYVIADDDTILFVNNVAEVLRKYDHNKYFYVGMPSECIDSNAAFSFKMAFGGAGYALSYPLARAVAKNLDLCLKRYPTFYGSDQIMHSCIADLGVSLTLEKGFHQIDLHRDISGLLSAHPQSLALSLHHLDAVDPIFPTMNRIESVNHLMKAAKVDESRVLQQSICYEIHKNWTLSISWGYSIHFYEAIIPSSILQVPLETFSPISRMAWPIYMFKTRRLLNHSCEAPHVFFFHSIETTEGSHLEMIYIRSSPRGLPACLSTGNHSADHISEIRVLAPIRRSNEDRSRRECCDYVGMSMNSATLKLRYTELSPYHDTNISHLVIGIASSSKSWRRRKPYIESWWKPNVTRGFIFLDRAPDEHLPWPSSMPPYRISEDTARYNAFNMHKMRYVIRVARVVEETFNAENGDDVRWYVFADDDTVLFVDNVVEVLRKYDHSKYFYVGMPSECVGPNIKLSFNMAFGGAGYALSHPLAKAVAKNLDLCLKRYPTLYGSDEIIYSCIADLGVSLTPEKGFHQIDLYQDISGLLSAHPQSLALSLHHLEGLRSIFPSMNRTESVNHLMQAAKADGSRLLQQTICYQMHKNWTFSVSWGYSIHIYEAILPTSILQVPLETFSPISRIRWPYMFKTRRVLNNSCEAPHVFFLHSIVTHVGNLLETVYIRSSEHRLSTCSSSGNHTANHISEIRVLAPVRKDYEIVSRRWSMILTLVSVAFLNVDDSNAIILPGKKHGTKKNKVCEIQKKRRNTEHSKSQKRKLQKLQEDKEKELLILKSIETLEKYKIGDLAQSLMWTSKKLGQVETFQAKRRREVQLSKAGLRLPTNNQPVKRKNNDYTSKYESQSKRLHQNENCDVSSFKDPLIGDREFRQVDSSIRGSSFVDVGRCETSVQFTETSFENCEMPVPLNQENVLSNSVFTVPKVDKAVDRIHEYQAVHLSGISNLANGHHGGARSAPIAIHVSRPPEIEDLRKDLPIVMMEQEIMEAINENKSVLICGETGCGKTTQVPQFLYEAGFGSSRSSVKSGMIGVTQPRRVAVLATAKRVAFELGLRLGKEVGFQVRHDKRIGDKCSIKFMTDGILLRELQNDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIHERQRIYEEQKNQEILLRNRTSPENKVYPLKLVLMSATLRIEDFTAGGRIFSEPPPVIEVSVRQYPVIIHFSKRTDIVDYVGQAYKRVLSIHKNLPAGGILVFVTGQREVEYLCQKLRKASRKMVTNSSRRIGKASALIKGGCAEDHNINELSEAFEIEGDLSMQQTDRFSSYDDMSEDESDASYDSDEESDLEVSYEDVFGQNNVASDKFFGEDGNLTSMKAAFAGKNTLKLTDGKQSVLGTAKGSDHRILSSGKNTMESDIPPVSPMHVLPLYAMLPASAQLQVFNKVKDGERLVVIATNVAETSLTIPGTKYVVDTGREKVKMYDSTNGMETYNVQWISKASAAQRAGRAGRTGPGHCYCLYSSTMFNKFHKFSNAEISKIPVDGVVLLMKSMGIDKVANFPFPTLPMLSAIEEAEGCLKVLEALDTNGRLTPLGKAMVHYPMSPRHSRMLLTAIQILQKDKGCSRPNLVLGYAVAAAAAISLSNPFITQYEDNYDEKSDTEASERVLEKEEKLRKKKLNEASKASHENFCNPTSDILSTAYALRCFELSNSPMKFCMEYALHLKTMEEMSKLRKQLLKLVFQQIGDSQQNFTWTFGKMVDVEHSWRDSSKGKQLFMYEEELLGQAICAGWVDRVAKKIGAYSDKDRNLNADRYQTRTNEKVVLHRRSSLYRSSPEFLVYSELVHGEQHPYIYVATTVKPEWLVSKPNSLSSYTRLSPYPDTNVSNLVIGIASSSNFWRYRKPYIESWWKPNVTRGFIFLDRAPNEPSSLAPYRISEDTSRYNAFNRRKNPHVIRLVRVVEEIFKADNGDDVRWYVIADDDTILFLDNVAEVLRKYDHSKYFYVGMHSECTDSNALFSFNMAFGGAGYALSYPLARLVAKNLDWCLKRYPTFVGSDQIMHSCISDLGVSLTLEKGFHQIDFHQDISGLLSAHSQSLVLSLHHLDAVDPIFPSMNRLDSVKHLMKAARIDEARLLQQTICYQKHKNWSFSISWGYSIHIYEKIIPLSVLQAPLETFSRWRRPAYWPYYMFNTRPVLNNSCEAPHVFFFQSIERTRENHHETVYIRSSARGLPACSSSGNHSADHISEIRVLAPVRVHEDGSRRECCDSEETYMNSTSFKIRSCMEDEILS >KZM96418 pep chromosome:ASM162521v1:5:40835043:40835872:-1 gene:DCAR_019660 transcript:KZM96418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKVVIKVTMCCPQKSRVKAMKIAATTDGVESVALKGDDKDQIELIGEGIDTVELAKCLRKHVGRADLVSVGPFKPEEKKDDKKDEKKDDKKDDKNDFVPIYYYYPPYNYGPAPCYSYEPYCRDPSCSIM >KZM93649 pep chromosome:ASM162521v1:5:8891629:8891817:-1 gene:DCAR_016894 transcript:KZM93649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPKINAWYVKISALISSLDISSKQSLIHHRPLLRGKKGDHDNEKTEIDDEMNEIGENVSP >KZM93358 pep chromosome:ASM162521v1:5:5228847:5240078:-1 gene:DCAR_016603 transcript:KZM93358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSTKKITGSSSNTTNTNTHVKKPASSATSTSATTKLTYRNNNNKNKNKNDNDHRNQQLIRHRDKASSRKQNGVIPCGKRTDFGYDKDFDLRYSIGKLLGHGQFGYTYVAVDRSNGDRVAVKKIDKNKMILPIAVEDVKREVRILKALSGHENVVQFYNAFEDDSYVYIAMELCEGGELLDRILAKKSSRYTEKDAARVVRQMLKVAAECHLHGLVHRDMKPENFLFKSPKDDSALKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISNGAKDFVKKLLVKEPRARYTAAQALSHPWVREGGNALEIPLDISVLYNMRQFVKYSRLKQFALRALASTLDEEELADLRDQFHAIDVDKNGAISLEEMRQALAKDIPWKVKESRVLEILQAMDSNTDGLVDFHEFVAATLHVHQLEEHNSEKWQQISHAAFEKFDVDKDGYITAEELKMHTGLRGSIDPLLEEADIDKDGKISLSEFRKLLRTASMGTRNVNSPAAHRGSRRQ >KZM94503 pep chromosome:ASM162521v1:5:23072464:23072688:1 gene:DCAR_017746 transcript:KZM94503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQRVSRKDRKINEWNTTPEEDSGKLKRARTETESWGQQQLPEFFHHRVSEDGSSFGEVLNSPKTSSFKLGWP >KZM94601 pep chromosome:ASM162521v1:5:24029710:24031216:1 gene:DCAR_017844 transcript:KZM94601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFSWTGPFTRTEVPHGQRRITRYELDNMIEANFRWRPYADLDDEHQPEYDLYLRWTATTPLMYMAYVEWCYTDRVTRQFGFVQDIPTSSPRANHSNLHTIVNEAINWEGARESHTRLWDRSLERALTSPPLMFGEGCTAAYMPWFLAVTRRYIVNPVYWRTAEAFQGTQGATQALEDQLLDMESAIDPATLDLDRAQRIVQGLLGRFRGSRNPSRHIGRPPVTPVEPEAGTYYTHVASSSSDRGGWSHLDVTSSSPVGDVAGTSRADRWGSWSESTESYQFQDADAYRPDMSFLRDQYTTPPPKVPVPSFASQSYIFGAPAFPFAPPPVRFTPTPIQMSTFASYTGESSPWAPPSTAVPGHSEAEEQPEDEHRQQPPRAAKGKGRRCHTGSHIFGHKKK >KZM95104 pep chromosome:ASM162521v1:5:28711530:28712165:1 gene:DCAR_018346 transcript:KZM95104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKDGEDFYVDIGDSESGKNVAREDMSSKDMLDTGNAMEALTRVDLDLAYSSEKSVNLDTLMMHVWAWEKEFEALATDDISVDCIEKALAYDFFSGILESEWRLNCTFWRVSKAITGECTGNEDAVNQVANDIPGVQSNLLEI >KZM96053 pep chromosome:ASM162521v1:5:37750957:37751250:-1 gene:DCAR_019295 transcript:KZM96053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVKPQGKVLLESFVDPVVIRHPQGHTIPRLDGKGLELMLGFLKKIQKELSNQEDQGKYLRSAL >KZM96309 pep chromosome:ASM162521v1:5:40025638:40026281:1 gene:DCAR_019551 transcript:KZM96309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTCGNCDCADKTQCVSAETIMMEGSENDGKCKCGTSCTCTNCTCGGH >KZM94798 pep chromosome:ASM162521v1:5:26034081:26042031:1 gene:DCAR_018040 transcript:KZM94798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSGSFSSSADSNGSGQEPHVLAVDDSLVDRKIVERLLKAFSCRVTTAESGMRALEYLGLRDDQQNTLNSNGSKVNLIITDYCMPGMTGYELLKKVKESSLLKEVPVVIMSSENIPTRISKPSLAPDSTLVQTQCSKESIALEQQVEEDEEESRRGNKEQRRVVKIAWEKLVRWSRSLRSKAKTDVLERTKKVVVLGGGSFGTAMAAHVAGRKVQLEVNMLVRDPKICQSINEKHFNCKYFPEYKLPENVIATTDAKTALLGADFCLHAVPVQFSSLFLEGVADYVDPGLPFISLSKGLELNTFRVMSQIIPQALKNPRQPYIVLSGPSFASELMNKLPTAMVVASKDKKLANEVQQLLASKNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVAQGCSEIRWLATKMGAKSTTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGERLDDILSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPQKAVLELMNLPQVEEV >KZM96093 pep chromosome:ASM162521v1:5:38204333:38207350:-1 gene:DCAR_019335 transcript:KZM96093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAAFSTLRLNAILILSLLCCLLFVANCDDLTQLLEVKSSLQSSKTDVFSSWQDNNLITSFSGIKCNEEGLVTEIELSHQHLTGNVPFDSICQLKFLEKLSLGANSLSGPLTKDLNACTNLRYLDLGNNFFSGSVPDISSLTNLLVLHLNFSGISGTFPWASLQSMTNLTVLSLGDNSLDRSVFPEEVTGLKELTWLYLSNCSIEGKISSGIGGLTKLENLELADNYLSGEIPSEIANLVNLWQLELYNNELNGTFPPGFGNLTKLRNFDAMGNFLEGDLSELRFLDQLVSLQVHENLFSGQVPEEFGEFKHFVNLSLYGNQLTGPLPQMLGSWSDFEFIDVSENFFTGPIPPDMCKNGKMAELLILQNNFSGEIPENYARCQTLTRFRVSINSLSGRVPSGIWGLPDLNIIDVAMNSLEGPISPEIRNARSLTELYLGNNQFSGDLPLELLEASSLVSVDLSNNHFSGQIPRKFGRLNQLMSLHLEGNDFTGTIPDSMQSCESLSELNMAHNSISGKIPGYLGSMRMLNSLNLSHNQIAGKIPQTLSFSRISLLDLSHNRLTGRIPKSLSVAAYNGSFTGNDGLCSEDIKSFQRCSTSMSPETQTLIAFFTVVLVALVISLAYHLYLNKRRANKDQDRLSEKRSWNVKSFNVLSFTEDQIINSIKKENLIGKGGSGNVYRVDLPNGTQLAVKHIWNSDINEAKKTYSSSTMLQKGSKTSSEYDSEVKMLSAIRHVNVVKLYCSITRTFGYIAPEYGYTFNINEKSDVYSFGVVLMELVTGKRPLEPDFGTSKDIVSWVCSKLPEKEGVLSIIDAKIRQDCREEAVKVLKIAILCTSRLPALRPTMRNVVQMLEDAEPCRLIGVLEFKNSASKKENL >KZM95821 pep chromosome:ASM162521v1:5:35884478:35886729:-1 gene:DCAR_019063 transcript:KZM95821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPPLTCALFVVLLTYAVPAISTASISNNIIRQVTDVLTGDNHALVGTATERQFISFINKYGKEYSTREEYMHRLGIFAKNMMRAAEHQALDPTAVHGVTQFSDLSEEEFEARFLGVQGGKSGTLLGGGGEAPVLDVKDLPESFDWREKGAVTPVKMQGSCGSCWAFSTTGSIEGANFIATGKLINLSEQQLVDCDHTCDATDKRACNDGCSGGLMTNAYEYLIKAGGIQEEEAYPYTGKPGECKFSPEKIAVRVTNFTNIPGDEQQIAARLVHHGPLAVGLNAVFMQTYIGGVSCPLICGKKFLNHGVLLVGYGAKGFSILRLGNRPYWIIKNSWGEKWGEDGYYRLCRGHNMCGMSSMVSAVMTKTS >KZM94045 pep chromosome:ASM162521v1:5:14282757:14293924:1 gene:DCAR_017290 transcript:KZM94045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSLSTTALTDKKWDALVIGGGHNGLVAAAYLARSGLSVAVLERRHIIGGAAVTEEIIPGFKFSRCSYLQSLLRPSIISELELGRHGLKLLKRNPSSFTPCLDGRYLLLGSDKKLNHSEISKFSLRDAEAYPRYENQVESFCKFIDPLLDSSTPETLQGDSSFSSRMKNKLHKSDFWAHFMRRSLSLGQKEMVDFMDFLVAPASKVLNNWFETDVLKATLATDAVIGTVSSVHASGSGYVLLHHMMGETDGDKGIWSYVEGGMGSISQAIGRAASEAGATIVTNAEVSELIIEDSGTVNGVLLSDGTQVRSSVVLSNATPYKTFMELVPKSILPDEFASAISNTDYSSATTKINVAVDKLPKFKCCKLGDPSSGPQHVGTIHIGSESMEDIDSACQDAVNGLPSRRPVIEMTIPSVLDKTISPPGKHVINLFVQYTPYKPFDGSWEDPAYRESFAQRCFTLIDEYAPGFSSSVIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYKTPLRGLYLCGSGSHPGGGVMGAPGRNSARVVIQDIKTF >KZM94975 pep chromosome:ASM162521v1:5:27592139:27596708:1 gene:DCAR_018217 transcript:KZM94975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDESSGENDNKDMLNKIKITVKFSGRSIPINLSLDSTIKDLKNLLQPLTNVLPRGQKLIFSGKVLEDEKTLRLSEVKNGSKIMLVASQGLHQGDGPIKKESAAVSMLGRRPESSKDRKPMSIQKNQFQRWMATGVLGLSESGLKIIPQEVWNCGASARILDLSHNSILEVPCTIIHLTSLQKLMLNDNNISDDQLSWEALAQLKSLTALSLSHNQLKSLPSTLGLLTGLTHLHVANNELTCLPSEIGCLTKLQVLKANNNRIVTLPSSIGSCISLFEVDLSSNLLVDLPETISKLQNLKALYLNHNGLKGFPATLLKMCTRLSTLELHGTEITMDVLRQFEGWESFDERRRLKVQKQLDSRAGNSAAFDEGADKS >KZM93932 pep chromosome:ASM162521v1:5:12411678:12413297:-1 gene:DCAR_017177 transcript:KZM93932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNFKIKNINGSKLFEILGMEDAAAAQGAKSKSAGSISLKERVANIKWSSVMVINLPSEASVRDIWLFFNKQRKIKDIILSRKRDRNNNRIGFLIVDSKQVGDLIVSSFNGRKMGRQESKVKSKTGETHGKTRNHPTQEHADNLVPQPSCRTVVGTVDEEFKSILGRSLIGITAEVEWADVIQENIIGLGCSFIRVRGISHKSFLLIVDEDVFTANVNIEFLYEIFLGVYKATHSDLVVPRLAWLDCDGLPISAWNNSTWSKIIDDWGYLVTENKKPLLNSMYTKLKLCIATSKVEQIKETIKVVIDEREDGSQEKSWRGNKSKVKDFEEVQKQKEKGDVCPSVPPSEGNDGGAVDSVEKLVNEKEGLDAVEIETNGHLMDEVNEDLNSLSRMGSFHSREVGNEPDESMPVEEIQRYVWQVRDKNNSSSALSKEDDSIQILESVEADNVGYVESSMVSEKF >KZM93329 pep chromosome:ASM162521v1:5:4823151:4824110:-1 gene:DCAR_016574 transcript:KZM93329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSWGNVGDAHQVFDEIPTKNVVCWTALISAYVDNKRAKEGFELFREMVVGNVEPDQVTFTVALSACAHVGALDMGEWVLDCIRRRRGMVVDLCLENALLNMYAKCGDIGSARRMFDGMIGRDVMTWTSMIVGYALHGQAEEALRLYAEMTADCGDEAYEFIMEMPKQTNAVVWRTLLGACSSSGNVELAAIAHDHLRRLKKGLAGDDVAMSNVYAVTEMWDEKMMIRDQTKERRAPGCSSVVWHQSAFHC >KZM95854 pep chromosome:ASM162521v1:5:36260831:36271290:1 gene:DCAR_019096 transcript:KZM95854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSWPSESELDGIRKKVAEMSGRGVEEVRVVVSPYRICPLGAHIDHQATQGGTVTAMTINKGILLGFVPSNDSQVIIRSGQFKGEVMFRVDDIQFPKDNPNSLDKDHANNSAKKEECNWGTYARGALYALQRKGNHLRQGITGYLCGSDGLDSSGLSSSAAVGVAYLLALETANNLTVLPEENIEYDRLIENEYLGLKNGILDQSAILLSRYGCLTCMNCKTKEHKLINPPKVQSNLKTETKKAYKILLASSGLKQALTTNPGYNRRVTECQEAAKVLLVSSGKEGLDPLLCNVEPEVYTAHKSKLEAHLARRAEHYFSENMRVLKGLEAWASGHLEDFGQLISASGLSSIQNYESGCEPMKQLYEILLKAPGVFGARFSGAGFRGCCLAFVDPDYTTEAASYVKTEYSKLQPVLASQTNPATAVVICDAGDCARVI >KZM95610 pep chromosome:ASM162521v1:5:33847844:33848056:1 gene:DCAR_018852 transcript:KZM95610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSATPSNNKKKQFPPKRGQIKEDILKSFATSLSNLASKAGEVVSKIKQGSTSSAAASPPSSSYNSDD >KZM93441 pep chromosome:ASM162521v1:5:6413051:6414085:-1 gene:DCAR_016686 transcript:KZM93441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSSQKQENSDEQQRRSEIYTYEAPWHVYAMNWSVRADKSYRLAIGSLLEHYHNRVEIVQLDDVNGEIKADPTLSFEHPYPPTKTIFIPDKECQKPDLFATSSDFLRIWQINSDSVELKSVLNNNRNSDFCGPLTSFDWNEAEPRRVGSSSIDTTCTIWDVERECVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYETSQPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASANAIAWAPHSSCHICTAGDDSQALIWDLSTMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSNKLQILRV >KZM93780 pep chromosome:ASM162521v1:5:10668340:10668531:1 gene:DCAR_017025 transcript:KZM93780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIETIAILDIDLEPLWRKINPKICRILIQGIIFALRSLALHMLAPALAISKSDITSDQVSIV >KZM94247 pep chromosome:ASM162521v1:5:19957713:19959462:-1 gene:DCAR_017490 transcript:KZM94247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTQFLCFCYRGDNNSAETIANVLTSISFAVLGYKTPRTSNRYFTIKGCKRYLKTGVLGKSSYKPKDKDHVDMEVESDNISSLAIDQGKEVQDGGNDKLGTENKEEILTPTITEDHICLQYPNSDQSKLIYVVS >KZM93429 pep chromosome:ASM162521v1:5:6245461:6266486:1 gene:DCAR_016674 transcript:KZM93429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLEKLVDVNLAEEGILRLLFVAAYLMFDKISNDNEVSAASRLLALATCFATKMIRKYGLLQHRSDNFMTWSVNRIEDCHLPLLLENDYNEKEYNKMGNSSRLHEMAHFLEIIRNLQSRLNSKIKRPAQSSVDAPDASKIYTDLSKDETELPTNESSYEKLNQHELPFPGADFESRGVKKLASMSADPLETRTHMNSDNTSRSTGSDSAIVVSGRKVLPLENTKDMIARWELGNLDQRNVVQDALLSGRLPLAVLKLHLHRAHNLIGDKEPHDTFSEVRDIGRAIAYDLFLKGETGLAVTTLQKLGEDIELCLRQLTFGTVRRPLRLQLAAEMTKYGYIGPYEGDILERMSLIERVYPCSNFCRTYCGRKEVFFPDINISSPEEISLHLLHPTVDNTVLFCGEIDGAVLGSWNDVSEHPIVPVLDDDSAHSCYWAGAAVWSDAWDQRTVDRIVLDQPFLMGVNVSWESQIEYHICHNDWVEVYELLDVIPSYLFSNGTIRISLDGLHPTSAVGYSTEFSKYNSYISSIEELDAVCLEIPDMKVIRFSSYNMCSTWVRLLINQRLARRLIFPKEYWEGTAEIVRLLARSGYMTNTYETSSLDDSVEGSSDSNILSLSGTVHPGAAQLLHKVVVHNCAQYKLPNLLDLYLDYHKLALDNASLSVFQDAVGDDQRDKWLLFLRVEGHEYDASFANARFIASKSMVPGNRLGVCEIDDILCTVDDIAEGGGEIAALATLMYAPMPFEDCLCSGSIIRHSSSSAQCTLENLRPALQRFPTLWRMLVTACFGQDPTCSYLNPTRKEFVSSQLSDYLNWRDSIFFSSSHDTSLLQMLPSWFSKSVRRLIKLYVQGPLGCRSLSGLPRGETLPVKDIEYLVNANENVEISAMTWETAIHKHVEEEFYASSTKESGLGLEHHLHRGRALAAFNHLLSIRVQKLKPENVQRVQSGSSVHGQTNVQSDVHTLLAPITQSEESILASVMPLAVMHFQDSLLVASCAFLLELCGLSAYMLRIDIAALRRISSFYKSNAQSDYIQLSPSGLAFDPISPEDDIAYSLGRVLADDYVDRDSAGIKKKPEVIGSITTKRPSRALMLVQQHLEKASLPLLTDGMTCGSWLSSGNGDGTELRSQQKDASLYWSLVTTFCRVHQIPLSTNYLALLARDNDWVGFLSEAQVGGYPFDTVIEVALKEFNDNRLKLHILTVLKGMQSRKKVSTLSNSDITEERSTSVGDFCMPAEVFGIIAECEKRENPGEALLLKAKDLCWSILAMIASCFPDVSPLSCLTVWLEITAARETSSIKVNDVASQIASNVGAAVEATNATLVASGEVACHYNRRNAKRRRIMEAVEPLMSKVSDVSISRSEGIIAAQGSVFEDDKNQTGDVFSVSNNTAEPSVSLSKMVALLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSDASAHIGSFSARIKEEQIHVQMNNGTDGIGSAWISSIAVKAADAMLSKCPSPYETRCLLQLLSSADFGDGGFASANYKRQHWKINLAEPSLREDDGLHLGDDILDDVSLLRALEENGHWEEARNWAMQLEATGGKWKCIGHHVTETQAESMVAEWKEFLWDVPEERVALWAHCQTLFIRYAFPPLQAGCFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITHSDPAYPLHLLREIETRVWLLAVESEAHIKSDGDFSLTSCISEPGTGKSSNIIECTACLIAKMDNHISAMRIKSTDSIDTREISHTHQKIPQLTNDNNLHGSNGGMKIKKKAKAFLSSKRQIVDVVEKNINSEESSTSLDSQKDLHLPDENLRMDVSSSRWEERVGPAELERAVLSLLEFGQITAAKQLQQKLSPGKIPSEFVLVDTALKLAVLSTPNSEVSMSMLDDELSSVIQSYNLVNDRYMVYPLQVLENLAISFTEGRGCGLCKRIISIVRAANVLGVPFSEAYDKQPVELLQLLSLKAQDSFDEANLLVQTHTMPAASVAHILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWANLCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVLEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADSNSSTAEAVRGFRMAVLTSLKQFNPNDLDAFAMVYNHFDMKHETASLLESRAQQSSQQWFYRYDKDQNEDLLESMRYYIEAAEVHSSIDAGNKTHASCAQASLVSLQIRMPDFKWLKLSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPELTEQFVAEFVAVLPLHPMMLAELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLERSFRCLLKRTRDLKLRLQLATIATGFIDIIDVLTKALDRVPENAGPLVLRKGHGGAYLPLM >KZM93538 pep chromosome:ASM162521v1:5:7579449:7580429:1 gene:DCAR_016783 transcript:KZM93538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRSKAGSKNDGKLSVKKKEKKVKDPNKPKRPPSAFFVFMEGFRKQFKEKNPAVKGVAAMGKAGGDAWRSMSDEDKAEFVTIAEQRKKEYEKKIKAYDSKQAGGEMEEDESDKSKSEVADEDEEGSEEEEDDD >KZM93187 pep chromosome:ASM162521v1:5:3289177:3290774:-1 gene:DCAR_016432 transcript:KZM93187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVLVFVAVGLVLGLAQSFDFHEKELETEEGLWGLYERWTRHHSVSRSLDEKQRRFNVFKANARHVHEANKLDKPYKLALNKFADMSNYEFRSFYAGSKVSHHRMFRGERVGNSDFMYENVKDLPPSVDWRARGAVTSVKDQGQCGYIRLCY >KZM96318 pep chromosome:ASM162521v1:5:40085275:40089791:1 gene:DCAR_019560 transcript:KZM96318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTAVRSFLNSTARSVKQHNLSHLGTRPFPRLVFKSPLTSSGMCHVAQATRGNTDVLVRVVGEKGAVDEVKHVVEMAKRASLRREVLHTDFLTPPVLKESMQVLEKLADVKAVAQGGYPQAERCRLSVGHPEALTSDPDIVEALSISGNFGFEPCSHGDFLGSILGTGISRDKLGDILLQGEKGAQILLVPDLVDFLTSALNKVGNVTVTCKRIPLLALEYEPPRTKSFKTIEGSLRLDAIASAGYKISRSKLVDLISNGDVRVNWASVSKNNTTIKTGDMISVSGKGRLKIGEINSTKKGKFAVELIRYL >KZM95580 pep chromosome:ASM162521v1:5:33576193:33577769:-1 gene:DCAR_018822 transcript:KZM95580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPDGLSSSVEFVREPKPNSNPNGPTSKPSGKRKRNLAGTPEDPDAEVIALSPKSLTATNRFMCEICNKGFQRDQNLQLHRRGHNLPWKLKQRANKELKKKVYLCPEESCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCQCGTLFCRKDSFITHRAFCDALTDESSKFNTPAPPPTLNFSNELINSSLQLNAPQTPGDMTPLFPAMLGQDDLATFDQLNGSTALSEIIQSSNTKARPLKAEELESSNIKSLTNSMYYNKETSKSHLSATALLQKATTMGSTRSHSAGMFANGLVFMNSNTLDELLDSDNQMMDITESNHNIESDLHGKLLSCDKGNMTRDFLGVGGSNNNGSYNKYSRVLLQQEVGKLGSDMDTRQYSVTGTNGEE >KZM93817 pep chromosome:ASM162521v1:5:11104994:11108030:-1 gene:DCAR_017062 transcript:KZM93817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRNPSNCSIRPWLVVDSSGQTHVMEAGKQAIMRRTCLPARDLRLLDPLLSYPSTLLGRERAIVVNLEHIKAIITAHQLFLLNSNDPSLRLFLQQLERRLYQAREGGVNAENTNRTSLRDLLEVSRQRPVGDEERKTDGKQTPETQDGLKLLPFEFIALEACLEAACSCLDNEASTLEQEARPVLDKLTLKISTLNLERVRQIKSRLVTISGRVQKVRDELEQLLEDDKDLAEMCLTDKLVHCLKNSIVSSIDEEVSVEDEVAGSDTVDRNPDDVYAEGDLHNIENQQEHLFRPSVTLGRDNHGNRNSTPHTATSKHLGVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVLISTATLVVNAFIVVAGVFGMNIAIELFDGLKQKVFLLTIFGSTAGTIFLYVAAISWYKHKRLL >KZM95417 pep chromosome:ASM162521v1:5:31946346:31946816:-1 gene:DCAR_018659 transcript:KZM95417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMSPVSDSEKINVATVDGLYKALASGDTKKVTEVVGNDLEWWFHGPPNCHHMMRVLTGESTYNEFEFEPRSISGIGDRVIVEGYEGASVYWVHVWSLNNGAITQFREYFNTWLTVRELRPLSRMVRRRGSSSTLWRSQPRDLFKRSLPALVLAM >KZM96550 pep chromosome:ASM162521v1:5:41753645:41753884:1 gene:DCAR_019792 transcript:KZM96550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLTLKAKGKSTSSSKRGGGGGGGLSDMEIPKPLKEWPTWFLKKAKVVAHYGFIPLIIVIGMNTDPKPSIAQLLSPV >KZM95964 pep chromosome:ASM162521v1:5:37073076:37073807:1 gene:DCAR_019206 transcript:KZM95964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPSLKPHLGNNRILTLLQTSKTFSQILQIHAQLITTNFLNDPLITGKLLSTLTSKYLNITYAESVFTQVLEPNTYMYNTLIKGLMFNSNPKRVFHYYINMRRYGLFADNYTYPFILKACVDPTILYTTRKHDMDRSGLSEGRQVHGEIIKGGFEGDVFVRNGLIGMYCKVGKMGFSRVLFEGFSGKDLVSWNLMLNGYVRCRDMGNAEKLFDGMPERDVVSWSVMIDGYKVCTSVACRLVK >KZM96202 pep chromosome:ASM162521v1:5:39077686:39078910:1 gene:DCAR_019444 transcript:KZM96202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLDECESILLSLDSHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDSTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYIHRRKTSQPQQMSLNHHHQSPMGIHHPGFFSYPNRLSISPTDSDEQANNWSCESPQPLSSPNGAAAPNIYNTSVMALSEDNERLRRNNNMLMSELAHMRKLYNDIIYFVQNHVKPVAPSNSYPPSLILPNGLAPSGVAMSGNGSGVQQKSMNQHFNIGYHNQQYNHQTNNMTKQGGNEGAKLFGVPLQCSKKRSLHPEFLGSMGMSTNKPRLVLEKDDLGLNLMPPSPC >KZM96313 pep chromosome:ASM162521v1:5:40058488:40058739:-1 gene:DCAR_019555 transcript:KZM96313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSGAAFARKFQQDDPVLDKIDEELLGRTNGSFTPGGWCSGNPRCSKVGKAKKLKPGPGAQRLRGLVDRLILSAKSEENKCH >KZM93405 pep chromosome:ASM162521v1:5:5731558:5733666:-1 gene:DCAR_016650 transcript:KZM93405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLPGTTTRLLNKDKAFSRSVSHAYDELHSFRTWLKWMFVDQSTGWTTFVSWFMYILFTIVVPCLSYFGLACSDCDSRHSRPFDKVVQLSLSAIAPLSFLCLSRFVSRYGLRRFLFFDRLCDESETVRKGYTDQLNRSLKILFIFVIPCFAAESAYKIWWYGSGGTQIPFLGNVIVSDVVACLLELMSWLYRTVVFFLVCVLFRLICYLQILRLHDFAQIFHIDSDVETVLREHLRIRRHLRIISHRYRAFILFALFGITVSQFASLLMTTRSSADLEIFKSGELALCSMSLLAGLMIILRSATRITHKAQAVTSLAAKWHVCATIDSFDSVEADATPLAQVGQYASFPGVTSNDTLSDMEDVGDEEDELDNTKLLPAYAYSTISFQKRQALVTYFENNRAGITVFGWMLDRTTLHTIFGFELSLVLWLLGKTVGIS >KZM95329 pep chromosome:ASM162521v1:5:31195457:31195871:-1 gene:DCAR_018571 transcript:KZM95329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVGDLKFKVQDMPAFENMRKEKGPRLFPSKIQFYSRSIGLNPESIIRCFNIGVAIGTMDANLVEKGFMALREEPRRNSHGIEWGKEGCEDIDPKLFCFVAGTNGVELKN >KZM96132 pep chromosome:ASM162521v1:5:38520949:38524369:1 gene:DCAR_019374 transcript:KZM96132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPGIMSRQLCFVSLLLLAGLAAARNNPNSGHHKHGPQDGHVHAPPSVNKKFLTLSGGPPVVVARGGYSGLFPDSSDQAYSFAPMTSLPDTIMYCNVQLTKDNLGVCTTDILIDLSTTAALAYPKGDKTYNINGRDLHGWFAMDYMLDDLKNTTFYVQNVFSRSSAFDGMAQILTPDDLAEIAATGKNRMWLNFDNDMFYAQHKLDAAKYIQESGKLWTPSHISSSELDFLKSLSTKVDKTKTKLVFKFLGKEDVEPTSRQKYGQIDLATLKPFVAGIVVPKDYIFPITKDNYTDAPTTLVADAHRLGLEVYASGFSNDMVASYNYSYDPVNEYLQFIDNSQFSIDGVLTDFPSTASNSIACFSQSKNITTKTTKGLVISHNGASGDFPGCTDLAYQKAIDAGADIIDCNVQMSKDGIAFCSSNIDLTGTTTAVTSFMDRSSNIPEIQPTNGIFSFDLTWSEVESLKPTIEVPIKDGSLLRNPRNKNKGKFLTLDAFLELAKTRKTGGVMIKIRNAAYLASKKGLGVIDAVNTALQKAGLDKETTQKVMIQSEDSSVLAAFKSIPTYERVLSIKEAVSDAPPAVVEEIKKNANAVVIDRESIVQTNSGFFTTTFTKVVEEMHAGNLSVYVSRIRNEYLFLSLDYLADPYLELATFASLKVDGLVTDYPATATAYLRNPCSDVNNPATAIAISAISPGNLIKQVDQTALPPALPPSPVLDNEDVVDPPLPPVTKIKNNAAPAQAPKASGATKRVGNFGIVSTVITLFFGMVCFF >KZM93985 pep chromosome:ASM162521v1:5:13271587:13275504:1 gene:DCAR_017230 transcript:KZM93985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGLVSVPVISLLSLLETIADGCQVLKDCRGILDRHQLMLEVCELNSVSKDDCINVGKAEPGNCFLGGLSNWLIAYSTYLVRFINSKRVKLPDENLRHSVVEKKKYFAEFTMEVEKTDAEVQAEGVCNQQLQNLTLMPDKVYAIYFAAATRNNGA >KZM94293 pep chromosome:ASM162521v1:5:20668670:20671917:-1 gene:DCAR_017536 transcript:KZM94293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-12 MALHGLFSPFLVTAPSRMAIPSSNAPKLCASKPVQCITTPVTTTDHDQGSASRRNANYVPSFWDYNVVKSLSSNYDEKKYEMQVEELKEDVKRLIHAETDVPLAKLELLDSVHRLGLNYQFQKDIKQAIDRICEADSQLGNDLHSTALLFRILREHGYTVSQDVFQKFTDETGKFKANLGEDVKGLCSLYEASFYGFQGEDIMDQAKAFASEHLKNLLQGNLSPIMARKVHHALDMPLHWKLPRVEAVWYIHTYEQEQNKIPNLLKLAKLDYNIVQSVHQKEVSKLASWWVDIGLGNLPFARDRLVEHYFWANGIVSGPEYSAFRDMATKVICLITTVDDVYDVYGSLEELKLFTDYVDRWDITEIDKLPMHIKTVLLAMFNTVNEIGYWTMRERDFNIIPYLRKQWANLCKAYLREATWYHSGYKPTVEEYMENASVSIGGLLMLFCAYFLTADKITVEALDFIDKVPSIMWCPSVLIRLTNDLGTSSHELARGDILKAVQCYMNDTGSSEEVSRKHVDDLVHETWKILNKDLLGSYPFSEPFLSANPNIARTSQTFYQYGDGHGIPQHWTKDHLKSLLVEPFTLNE >KZM96172 pep chromosome:ASM162521v1:5:38842120:38850241:-1 gene:DCAR_019414 transcript:KZM96172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIRIKRSKTTYFMQCDPSETIMDIKQKVSALIDQPVNDQRLTLMSTGEVLDDSKTLADQKVENDAVVALTLRKDDNEFEEVNIVRPVDFFKSGDAEGAGNCFLEHKSDNFSLNDTAAAVYIQQKLSAKLSGAVFLHGGTPTPDMSIVSKLITPLHFTLNPPNCSPKYPFSSFKPLTVQCGKRTKRTGKQRYPSEKRKLKLKTSDPLSVETKLDGFWRLSKLQVSVSDDPGKDFLGLCDALLQEIAKVIKFPVASMLPPEAFTVIRKSFDARKMQKEPTFVYTVEMDVSKLLRLEPRTWDFICELEPRTGLIDHMPYERVSGDLIGIINDSRNASEATDSVGSGNHSFPGGLHKVPTAEKPKVAVVGSGPSGLFAALVLAEFGADVTMMERGQAVEQRGRDIGALIVRRILQLDSNFCFGEGGAGTWSDGKLVTRIGRNSRSVQAVMKTLVRFGAPDSILVDGKPHLGTDRLIPLLRNFRQHLQELGVSIRFGTRVDDLLVENERVVGVNVSDSRESLLLDNQNLKYDAVVLAVGHSARDIYQMLLTHEVDIVPKDFSVGLRVEHPQELINSIQYSELSDEVLKGRGKVPVADYKIVDYVDGNNSSVTTKRSCYSFCMCPGGQVVLTSTKPSELCVNGMSFSRRSSKWANSALVVTVSAEDFSSLNFQGPLAGVDFQRELEQRAALLGGGNFVVPVQTVPDFLEKKLSASTLPSSSYRLGVKAANLHELFPSHITEALQHSILKFDQELPGFISNNALLHGVETRTSSPVQIPRNVETYECTSLKGLYPVGEGAGYAGGIVSAAVDGMFAGFALAKSLGLYQGSMESVLGKSQNAGVANY >KZM96258 pep chromosome:ASM162521v1:5:39577125:39580752:-1 gene:DCAR_019500 transcript:KZM96258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNSSSIAINVSDEDSDDVSGKLKLRLRKNRKKSWPRGKSESARRIFRLLRRWWPVLLFLPAAGLLFFEASRIGRKPSQLSNSEVATQKTHELAVAKNENHHIMKHLKEWSIGGGIAAPYLRIDISLTSKNLDLVVQISCNIVDAKSKGQRVQAFLRVLKYSTGGVLEPAKIYKLKHLTKVEVVGNDPSGCTFTLGFDNLRSQSVAPPHWTMRNIDDRNRLVMCIFNICKDVLGRLPKVVGIDVVEMALWAKDNTPAVSNQQNAQNGSQVEKVVGVEESDRKVTVERELVSQAEEDDMEALLGTYVMGIGEAEAFSERLKRELQALEAANVHAILESEPLIGEVGNITGPLNLLYAVLWVRD >KZM93416 pep chromosome:ASM162521v1:5:5835870:5836867:-1 gene:DCAR_016661 transcript:KZM93416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVDLQLPPGFRFHPTDDELVMHYLCRKCASQQIDVPIIKELDLYKHDPWDLPGLALYGDKEWYFFSPRERKYPNGSRPNRAAGSGYWKATGADKAIGSPKTVGIKKALVFYAGKPPRGQKTNWIMHEYRLAQVDRSAKNKNQRGSKLDDWVLCRIYNKKGSLEKHNANRAAANDMAYLDTSDSVPKLHTDSSCSEQVVSTELREVESQPKLESTTLEYPHNYMPAPADFMLSAPRQPPQPQSATSTYEMAQWQDVFMYLQRSL >KZM93786 pep chromosome:ASM162521v1:5:10718093:10720072:-1 gene:DCAR_017031 transcript:KZM93786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVAKMGITSMDKYFIYFLITYLVTALLFQLFTKNRRPRIQLQPPPSPPALPILGHIPLLYSSTRPFHKSLTTLAFRDGAPFMRIFIGPFSQFMVVSEASLAKQLVEEHEMSFVTIRLKNHAYGMSNLVLLRSILDSDSQVKRFEHAREREVLRLLEVLVDKAEQGEACDLGAELEKVVNNVLFSMIMKIQSDSYESVKIREFVNGLGAEIGGRSFVLSELFGQFLCGRNKLEGLLLKFDEFLEEIILRHENRGSVTDRDVVDSLKDEEQAADLPRNNIKGVVLETLMAGSGTMSVALKWTLAEIINHPSVLNKLREEIVGVVGLHKLIQDSDIPKLPYLQAVVKEGLRLHPPTPLIMRKCTHGCQIGGYDVIPDSRIIVNVYAIMQDTGTWKFPTEFIPERFLETPSTYNELLVDAKGQNLCYLPFGGGSSACPGARLAINMIQMVIGTLVHCFDFEAKGGINMEEGCLGSSAGMAKPLVCHPIDKHVIKPSAKALSSDFHF >KZM94931 pep chromosome:ASM162521v1:5:27131913:27133184:-1 gene:DCAR_018173 transcript:KZM94931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGISGLDGENGSGSGSDHGGSSKTGLEGVPEMCLAVILGYLEPPEICKSALLNKTFHRASSADFVWESKLPENYQILVDKLRTRTSASTGFNNLIKKDIYAFLSAPNRFGPGFTKEVWLEKRKGGVCISISWRGLKITGIDDRRYWNHLSSDESRFKTIAYLQQTWWLEVAGDLEFEFPAGVYSLFFRLQLSGAPKGHERRGSASKTVHGWDIRPVHFKLSTSDDQHAATSCYLSKPNTWVHHHVGDFMVQNSNALTKIKFSMSQIDCTHTKGGLCLDSVFICPYELGQRIKKY >KZM96187 pep chromosome:ASM162521v1:5:38966995:38975453:-1 gene:DCAR_019429 transcript:KZM96187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEDPNRAYIPSRPAPSPFAATQGIRPNILNRPIVGPDASAFRPTPPPPQQNTTPFSSSGPAESGSAIKPNMHPASHPITPFSSSGPVSGTETPEFRPSYSARSITPSTNAVPPQSGPPASGPFQRFPSPQFPSTIQAPPPQASPAGQQFFPPQTRPQISTAPTGPPPTINSMPSGANIPNSSVDSSIFAPRPNLQPSFSQMGPSNFVRGTMQSAYQAYPGKQPPVVTQPPPVKSAAFVPHQENYRASPPAGPTPYLSSQGGFGAPPVATSTGPFSREQMRPTGSGPPMGPVQGLIEDFSSLTLGSVPGSFDSGLDPKTLPRPLDGDVKPKSFAEMYPMNSDSRYIRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPVGEEVPVVNFASMGIIRCRRCRTYVNPYVTFTDSGRKWKCNICALLNDVPAEYYAPLDATGRRSDLDQRPELSKGSVEFVAPPEYMVRPPMPTLYFFLIDVSVSAVRSGMIQVVKAKQGSGRNYQVLFGQVAGKLQDTDWSQLGGKLLIFQNTLPSLGVGRLRLRGDDIRVYGTDKEHTIRIPEDPFYKQMAADFTKYQISANVYAFSDKYNDVASLGILAKYTGGQLYYYPSFQSAIHKEKLRHELARDLTRETAWEAVMRIRCGKGVRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEDTLLTTQTVYFQVALFSLTFKRYTSSNGERRIRVHTMAAPVVAELGEMYRQADTGAIVSLLSRLAIEKSLSYKLEEARNSILLRLVKALREYRNLYAVQHRVGNRMIYPESLRFLPLYALTLCKSTPLRGGYGDVQLDERCAAGYTIMALPVNKLLKLLYPTLVRIDEHLVKALPKTDELKNICKALPLTAESLDAGGLYIFDDGFRFVIWFGKMLSPTIAMNLLGDDFTTDYSRVSLSERDNEMSRKLMRLLQKFRECDPSYYQSCHLVRQGEQPREGFFMLANLVEDQVGGMNGYVDWIQQIHRQIQQNA >KZM94717 pep chromosome:ASM162521v1:5:25270675:25273280:-1 gene:DCAR_017959 transcript:KZM94717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTTHIAVLAFPFGSHAAALLNLTQRLAASAPLGVIFSFLSTPASIAKIFSKPAHSLIKPYDVWDGVFPEEASSKSPQQLVEIFLEATPENFYKIVKQAEADSGVKVSCILADAFLWFANDMAEVMGVPWLAFWAAGATSFSAHVYTDLIRAHFSIENEEANVKILPGLSAVRVSDLPEGVVCGDLESPLSKMLQDMGTKLAGATAVAINSFEELEPAGTSDLKPILRNVLNVGPSTITVPLLSNSDDTSGCLEWLDTRESGSVVYIGFGNVLVPPPSEIVALAEALASSKRPFIWSLQDTMRSLLPDGFSEKTSGHGKIVAWAPQLQVLGHPSVGVYVTHCGFNSLVESILCCVPLVARPLLGDNMINCRLVEEVWKIGMKVKDGKFTEGGTMEALDSIMVGDKGKEMRENVGILRSRLLETTSSDAKNLNSVISQFLAPQNAARSALRMRPLVWDAKLARYAQWYANQRRWDCALKHSNGDYGENIFWGSGNKWSPVQAAAAWVGEKKWYNYWSNSCGGGEECGHYTQIVWRQTRRVGCARVNCFGGRGVFMTCNYDPPGNYIGEKPY >KZM96122 pep chromosome:ASM162521v1:5:38467351:38470216:1 gene:DCAR_019364 transcript:KZM96122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPHISTSSPSAALVVAGGCIRRGMHIYKISASSHSQSPKPLRYAVLGAGFAGLSVTWHLLQHTSKESHVCIDIYDDAGIGGGASGVSGGLLHPYSPKVKPLWRSMECWEESLKLLSIAEAAVEPNKLNGGSQELGHDSDDFIVRRRGIVRPAASLKNLDLMNENAQNCLAGCRIESVDKEAAERLVPNLSVPCNLAFYMPEAVNALYSACQDLVKDTSVSDLHRKELNLHKKTVNNLLELSGEYDSVIVCMGARAASLPELSERLPLRTCRGVIAHLQLPDDIRESYPQHGPSILSDAWLAIHGPRDIRLGSTWEWKSKNYSQNVTVEEALKALEELLPKASAIYPGIKNWDYIAAKAGLRAMPPLTSHGSLPLLGCIDEYLKGKQTCKFWLFTGLGSRGLLYHAWLGKLIAQAVVSSNEDVIPSELIAWKSRMK >KZM94591 pep chromosome:ASM162521v1:5:23904335:23906545:1 gene:DCAR_017834 transcript:KZM94591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSLSLVTNPSTLRLLPASDPPYKLLQSHPSLKLLSECNNMESFKQVHSLIIKNGLHNTQYVLSKLVEFCAIKPFADFSYALLIFDTIEEPNLIIWNTIIRGYSLTASPVLAVELYVIMLLSGVEPNHYTFPFLLKSCTKMLAIPEGKQVHGHVLKFGFDSDVYVHTSLINFYAQIGEMGCARLVFDMSSHRDAVSFTALITGYTSRGCMEDACKLFDEIPVRDIVCWNSIIAGYAKRGQYEEALAFFQRMVEAKVAPDESTMVTALSVCAQLGSLESGNWIRSWIEERGFGLNVRLLNALVDMYSKCGDLKTARCLFESVQKKDSVSWNVMIGGYTHMSCYKEALSTFRQMQQTSHEPNDVTFLNVLPACAHLGALHIGKWIHSYIDRNAHKFANDSLWTSIIDMYAKCGDIEAAQQVFDGMKPKTLTSWNAIISGKAMHGNAHEALELFTEMVREGFKPDDITFVGVLSACNHAGLIDLGRQIFSSMIQDYGISPKLQHFGCIIDLLGRAELFDEANTVIKSMEMKPDGVIWASLLSSCRLHNNVELGEYVTEQLLKLEPGNHGAHVLLSNIYAGVGKWDDVARIRTKLNDMGITKVPGCTSIEVDGAVHEFVVSDRAHPRSQEINKMLDETERLLALEGHVPDTTEVLYDINEELKEDVLCQHSERLAIAFGLISTQPGTTIRIVKNLRVCGNCHSAIKIISKIYKREIIARDRNRFHHFRDGSCSCMDYW >KZM95393 pep chromosome:ASM162521v1:5:31734726:31738687:1 gene:DCAR_018635 transcript:KZM95393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAEEAVPAPELVPAYMIGNAFVTQYYQIQHKTPALVHRFYQDISKFGRPEEDGTMSVTTTMRAINEKVMSLKYEEYKAEIKSVDSQHSHDSGVQVFVTGYMTGKDKVERNFAQTFFLAPQKSGGYFVLNDMFRYVGKPNIPEGENQVLSREVEPQYNAEQVLPNDEPVHDDYVIEQNNMVSEEDNNEEEVPILSMNGEMEVVEEEEPVAEVVNEVPDVSQLVVESEAKIEEMPKKSYASIVKDLKGNSLPFSSPAPATRRSPIKSQEPQVNISSPTASLTEVSGSTVGAPEIVKSQDDEDGYSVYIKGLPYDATPALLENVFKKFGTIKNGGIQVRSKQGFCFGFVEFEEASAVQNAIEASPILLGGRSAVVEEKRSTNSRGNNRGRFPAGRGVGFRNEGGFRNEGMRGRGNYGGGRGYNRGEFSGRGDFNNRSNNRGGSSNRGGDGFQRTENQSANGGRVNRGNGVSGNGNAKNLAPRVPASA >KZM93179 pep chromosome:ASM162521v1:5:3178981:3181076:-1 gene:DCAR_016424 transcript:KZM93179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSARVKKAEGKSGINKNSIEVFSWLKTLPLAPEYHPTLAEFQDPIAFIHKIEKEASKYGICKIIPPVSQPSKKDTISNLNKSLLARSGNFSPTFTTRQQQVGFCPRKQRPVKKPVWQSGETYTVPEFEAKAKLFEKGYLKRVAKKALSPLEVETLYWKATLDKPFSVEYANDMPGSAFVPLSGKKRVAGEGLTVGETPWNMRGVSRSDGSLLKFMKEEIPGVTSPMVYLAMMFSWFAWHVEDHDLHSLNYMHMGAGKTWYGVPREAAVAFEDVIKVHGYGGEINPIVTFATLGEKTTVMSPEVLVKAGVPCCRLVQNAGEFVVTFPRAYHSGFSHGFNCGEASNIATPEWVRLARDAAIRRASVNSPPMVSHHQLLYDLALSLSTRW >KZM93375 pep chromosome:ASM162521v1:5:5396575:5403402:1 gene:DCAR_016620 transcript:KZM93375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIEIWFHDTIASGESAVDSNVGGGGGLFLEQSVVCFTWDRWTANSSTRFMSVSLSRAKGTTTTPQPPAFLHDSSKPNFGGPQHSASSEDEATSFPVVDQFKLNNKKKKKLSGGASAFNTSKHLWAGAVSAMVSRTFVAPLERLKLEYIVRGEQKNLIELIKSIAASQGLRGFWKGNFVNILRTAPFKAINFYSYDTYRNELLKITGNEETTNFERFVAGAAAGITATVLCIPMDTIRTKMVAPGGEALGGVIGAFRHMIQTEGFFSLYKGLGPSIISMAPSGAVFYGVYDILKSAYLHSPEGRQRIQHMQQPDQELNAFEQLELGTIRTLMYGAIAGACAEAATYPFEVLRRQLQMQVRETKMSAVATCVKILEQGGIPALYAGLTPSLLQMLYLCKKE >KZM95559 pep chromosome:ASM162521v1:5:33325204:33333157:-1 gene:DCAR_018801 transcript:KZM95559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPEKLTTPPATHLRQILDSFSSTSTLIEPQKSSQLAISTSELADFLATLSESVAAEPENSQFENTALEVLSEIHTYISSTSSDQDVMDALSFELPKAVARFSSGSKRCIDVCESIFDKFITTCSPRDMLSILCEALGCDVTMCKSCRYYAFIFSGIAKVFPFIKRRHFEHVKTAVPGVLNILSSISMETDHEDADVEDLFNKTVEIASSIHTICVKLEEEDNEKIRALFGLTILQITALTSVCLGNAISRCFPLVLKLFHYLQYCQLSYLNLIAGADVDKLTSIVLEGVDDEEDFMVCFSHVNLGAALAVVWGQMSDEVPLAVEMDLAKVKDELRSHQTERWQAVGLLRHIFLCANLSWIIKKYAIRFVLDIMKGAVPNNSHVEHEDNSICMLSLCASLQAVQMVIMYASDRVTGKMAFDAFKMVLADVPARHRFDILVSLIKHSDSSSMIAILLDCFREEMHKESCQRVANGNGISDVKYLEYQNNVFWSVETLELVELILKPPQGGPPILPEDSDAVLSALNLYRYMLITESRGKTNYTGALSKENLQKSYKEWLLPLRVLVRSVAAESERDHDHVDSEALCALNPVELVLHRCIELIEDYMR >KZM96143 pep chromosome:ASM162521v1:5:38632486:38632659:-1 gene:DCAR_019385 transcript:KZM96143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGRKGKLGIAAEIFAMNPSFLMVEVRKSSGDTLEYNQFCSKELRPALKDIVWTSA >KZM94274 pep chromosome:ASM162521v1:5:20327864:20329103:1 gene:DCAR_017517 transcript:KZM94274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSTLCMAFPGFGFGWGGHHGGGTFSGLFPEYYGFTCPQANDIVMSVLKKAIADDPRMAASLLRLHFHDCFVQGCDASVLLDDSSAISGGPSWELPLGRKDSRTASLSGANTNIPAPNSTIQSLITSFKRQGLSEVDLVALSGGHTIGKARCATFKQRLYNQNGNNQPDATLERTYYNGLKTVCPKSGGDNNISPLDLASPVRFDNTYFKLILWGKGLLTSDQVLLTGNIRKTMELVKSYAMDEVLFFHQFAKSMVKMGNVSPLTGVNGEVRKNCRRIN >KZM93480 pep chromosome:ASM162521v1:5:7017114:7017443:1 gene:DCAR_016725 transcript:KZM93480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDMVAGSLKTLYLVVIAVIKICGLLSGRNFGGVFVLVISTLVVGGILVLALTWDVSKKATYVLTQDDRDKEAHDDHVCRGGICWHGVAVKSPASQLRFRLGQRQHH >KZM93909 pep chromosome:ASM162521v1:5:12078825:12079142:1 gene:DCAR_017154 transcript:KZM93909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGGDILTILDPNLNRFADEKEVTNICRIACWCIQDDEHVRPTMSKVVQILEGVLDVDMPPDPRGLQVFIDNQDDDVFFTDKHSSQNLHIQSHPSWASTLVEEK >KZM94571 pep chromosome:ASM162521v1:5:23716245:23718413:1 gene:DCAR_017814 transcript:KZM94571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYQSHLISNKPHIFSPKNIKTTFLSPSIKNHSYDSWSSCKKSLTLKKTSVVSAAAAEQKPNKRYPGEAKGFVEEMRFVAMKLHSRDQAKEGEKEPQGKPVAQWEPTVEGYLKFLVDSKLVYDTLEGIIAKADYPEYAVFRNTGLERSESLAKDLEWFKEQGHVIPQPSPPGLTYAQKLEELSKNDPHAFICHFYNTYFAHSAGGRMIGRKVAEMILDGKELEFYKWEGDLSQLLQNVRDNLNKVAKSWTREEKNHCLEETEKSFKYSGDILSLILS >KZM94373 pep chromosome:ASM162521v1:5:21613698:21621601:-1 gene:DCAR_017616 transcript:KZM94373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTDVEIGKDDKMEVDSLTSKEIQDKQQEDTHLEAQNCGKAEKDQKSIDIVTNSGAHSNILGEKIDSKYTAESRNIFGEKLIEKEKNLGKSPQKCAILPCGGGADQMGLGENKVVDAFKNATPETGNKRSTSHPAANQVEPMTPVASETFKVQVGDTTDIKMIEDAETGTPEEQAAFMKELETFFREKGTVFRPPKFYGKLLNCLKLYRAVIRLGGYDRVTAAKSVWRQIGESFNPPKTCTTAAYAFRIFYEKALLEYERHKMKIGELQLALPSVPVPLAATNVTTGSGRARRDAAARAMQGWHEQRHYGYGEIAEPIIKDKNINNMAKREKFVKNNDQRSDHFCFEVKNFIDKAVVYDLGSVKNKRQKEVEHPVKAARMETSKQLVSTVIDVGPPADWVKISVREHKDCFEVYALVPGLLREEVRVQSDPEGRLVITGQPEQRDNPWGITAFKKVISLPARIDPLQTSAVVGLHGRLFVRIPFDRSM >KZM94381 pep chromosome:ASM162521v1:5:21748515:21749961:1 gene:DCAR_017624 transcript:KZM94381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPIVGMATDEIRPPWLKPLLKANYFVPCPSHGVSGKSECNLYCLDCMGNALCSYCLVHHKDHHVIQIRRSSYHNVVRVSEIQKYIDIACVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICGRSLLDTFRFCSLGCKLGAIMRGEPELTFTPKLKHSRDGLYGSDSDEPTTPRKIRKNNGFSRFIDSPVFPTVFQHFHNGGFDKFHGSTSGDDEQTSHSISPGTPPIFNHHSSSRRKGIPHRAPF >KZM95332 pep chromosome:ASM162521v1:5:31212693:31213457:-1 gene:DCAR_018574 transcript:KZM95332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVHPGVDSPPHLPPPQSKHAAAPGSTYVIQLPKDQIYRRPPPENAERMKKLAKTNRRRSNRLCRCLGYTLAAAILLLILLGIAAAVFYFVYKPESPKYTVDSIAITGFNLSSSRPMSPEFDVSISANNPNDKIGIYYEKKSSVQVYYSDDRLSAGVLPVFYQPVNNVTVFKTALRGNNIVLSRADHSELLTAQTEKKVPLKLNLKAPIKIKVGGVKTWTINVKVKCDLTVDSLTAKSKIVSKHCDYSVKLW >KZM96090 pep chromosome:ASM162521v1:5:38155686:38157100:1 gene:DCAR_019332 transcript:KZM96090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSGHDLLEELLSLRTDPWDFATNFPLEMNDFYNNIAACSNFDHFLENPFLDTTQPFCFEEFSSFPFDQQSLNVSSTFNVSFGNEIATSVPQLTYDSSSFNTPSYVPLEEEYSSRAVANSCKVELTQSPELPVFNQGVCSEKKTKGKRLNGEQSKNLMAERRRRKRLNDRLSMLRSVVPKITKMDRTSILGDTIDYMNELLQRIKNLQEEMNPNQHELTSASILKNVKPNEVLVRNSPKFDVERRNVDTRIEICCAAKPGLLLSTVTTLEALGLDIQHCVISCFNDFGMQASCSEEMDQRGDISPEDMKQALFRNAGYGGRCL >KZM94014 pep chromosome:ASM162521v1:5:13774946:13777970:1 gene:DCAR_017259 transcript:KZM94014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPDSAPSGNNSTKSSPPPPSSGKNSSPPPSPPSSPPPPSSPPPSSPPPKSQSPPPSDSKSSPPPEGKSPPPSGRSPSPPAAVMSPPAPTTPEVPGVFFPPPPIGNPALTPTDGPARRSPSSGSSSPSSGSSRQKSSSNDNAGSITGGVLGGLVILSVLMIACAVCCRKKKRKSYPPKGSHVQYYQGRQEWNGPQDHVVKLQQQANAGGWGAPQSGEHSSNYSGTVPTPRGQHPANMSLGYNQSQFSYEDLASATGGFSEDNMIGQGGFGYVFKGVLPNGREVAVKCLKTGSGQGEREFQAEVEIISRVHHRHLVSLVGYSIADTERMLVYEYVPNKTLEHHLYKSGTVMDWPSRLRIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDNNYEAMVADFGLAKLSSDNFTHVSTRVMGTFGYLAPEYAQSGKLTDKSDVFSFGVMLLELITGRKPFDPASKYSDDGLVDWARPLMAKALEDGNYSELVDPRLENNYNPQEMARMVASAAASVRHSGKRRPKMSQIVRALEGDSSLDDLSDAGSKSSQSSTLTTSQIYDTGAYNADMLKFRKMVLDSEEFTSD >KZM93461 pep chromosome:ASM162521v1:5:6728915:6730350:-1 gene:DCAR_016706 transcript:KZM93461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKAAVFFTVIKKAIWALTTCLFALGGAVVGTITGAIKGQTTETGLARGAGIGAVVGAVTAIQLMDSITNGESFSKAALLCSLLNGKVFVEWASPALLKAYQWQVSSIDASSSIEISDLFEVTLSKGLSQNYINKLPVHRFSAASITSSCYETSCAICLQDAKNGDLARTLPDCRHCFHLHCIDEWLTRQGSCPICRKDV >KZM93311 pep chromosome:ASM162521v1:5:4674240:4674503:-1 gene:DCAR_016556 transcript:KZM93311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIPACRLMIFLVSVGLLFAQPRQVSGLRSVDLALRFLRSSRVLKGADVQELHENLNMAPSPAMMFDPNQSNKRRVRRGSDPIHNRC >KZM93896 pep chromosome:ASM162521v1:5:11917906:11918426:1 gene:DCAR_017141 transcript:KZM93896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKEFLAGTHQTVLLLSALVPRRISLQISVGVYKIEAELSFVEYSLHHPLIESAHGRLTPSHTRRTIRSYEMFHPSTRLVGEGSSDEKTNDYSLLLAGFQVRVLQDLSMLRIVHFD >KZM96276 pep chromosome:ASM162521v1:5:39715221:39717770:-1 gene:DCAR_019518 transcript:KZM96276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPWRIQQHFRKQGVDGPNYHPFYGNTAEMIRITKEAQSLPIPFNHDIVHRVLPDYCQWSVKYGKTFLCWFGTRPRLAVADPDMIKDVMLKTSDTIERDDFNPLSRLLFAQGLPGLRGHTWAVHRKIAAPAFNKDKIKVWVPGMVASVTKMLDNWEAKIGERDEIEMELHKEFHELSAEFFSKTALGNNYESGKRIFKLQQQQEISTNLAMQNVYIPGFRFLPTKMNRLRWRLEKETRDTMRMIIEASRNTSENSLNFLSMLMSANMNKRGPRLEIEEVIDECKTFFYAGKEATANALTWALLLLAQHQEWQNKAREEVLLVCKDNEHPTVENLQELKIVSMIIKEALRLYTPDNSICRRTLKNVKIGSLDIPAGTELCVPQAAVHHDTKIWGADANEFNPARFEKPPKHLGAYFPFGLGSRICIGRNMAMVETKMVLAMIIKQFLFEVSPSYVHAPMMFFTVQPQYGAQILVKRINS >KZM95341 pep chromosome:ASM162521v1:5:31282338:31282642:-1 gene:DCAR_018583 transcript:KZM95341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGGSSAKVKSVVYALSPYQQQTMPGLWKDFTSKIYKRTLGDWVSISLVAVPVIGTYFLVCLFDSVIELAVSSE >KZM94486 pep chromosome:ASM162521v1:5:22956911:22961103:1 gene:DCAR_017729 transcript:KZM94486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSDFNLIDVSSENDSLIAAVGDHDPSSALPSHSPGENGGDGGELGNGSAEKFSQPFEITEPESIKKSGKYNLRKSLAWDSAFFESPGFLDAEDISTMIDGAQKAKQHLLPGIDEDISRSTESISTLLSENLTLESLESELFEDIRASIQKSSKASGISKSSLKAAAEETDKPIGSSVKKVDTTPRNRIAANKALTMQSGGMQGSGRILKKDSNFPPPTQLLLTESSFLGLIKPDLRKGKSASSLSQPSKVITKPGTVVAITAKRASIGVNRTRDEINNSKAGVKGIQVPKAAGLTGPRRNVPKPASFLEASNSVSSGASNMDVGRSSSSSNNGGKSTFTKKVHKIASTSLSTKTEVKPVIKASSSIPKSSSKVPLRKKPSGKSGLPSSLMSSKITSSMSPSSSVSEWSSASSSTSTANQRFMSRTSIDANTLCSTDNQKFSARMSFDTNSSLRSMDSDTLSILSSDVQSNGDMSDGNLNHFKEYVSQDAKKSFGQSSVLSRSALTKPSGLRMPSPKIGFFDGAKSVVRTPSGCRQSQPLLPTGLPKVGVAIRSPVGSLKKAEETIPLKKKAAAGAITVLETLKSASPKLSQEPLMAPMEVHSANTNNLSSPDLSSEMKSSNKTSGKQQPERTSTNLELEGPNFASSKPSQERVPSVSPDVHYEIDGSNCLKTEYVKSGKPDSAKQAIADAPEPENDENLLINI >KZM93631 pep chromosome:ASM162521v1:5:8629050:8637634:1 gene:DCAR_016876 transcript:KZM93631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPFIFSPNLETESESDRLSVQTLTDISSFRPSSLEEFVKAVSFDLSDKELFCVEEQDLFDRVYSLVKNFSSLSPSCKFNLVESLRSNFSVLLPNVDSLSRASQSQHDDQIPLVDRIASHRNAFKIYTYFLIQVVLAEESNISTNHSTKVAASSRKKQLINSWNWESQRSRILNLIANSLEINLSLLFGSSDPDENYISFIMKNSFFMFENATMLKDADTKDALCRIIGTCATKYHYTAHSCASILHLIHRHDFVVSHLAHAVAIAEKKYADGSMATYLIREIGRTNPKEYVRDAVGAENIGRFLVELSDRLPKLISTNIGLLVPHFGGESYKIRNALVGVLGKLVSKAFSDFEGEVSSKSIRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHAVSIGLWNEVAAVAAGRLEDKSAIVRKSALNLLIIMLQHNPFGPQLRIASFEATLEQYRKKLKELVPDSQPENVLDELPLDSDACNGDGEVDDPRAEARPKEQQDSLTDSCLLEVDNEIIQENVVPDVGNMEQTRTLIASLEAGLCFSKCVSDTMSTLVQLMASSSASDVENTILLLMRCKQFQIDGAESCLRKMLPLVFSQEKSIYEAVENAFITIYLKKSPVETAKNLMNLAIESNIGDLAALEFIVAALVSKGDITSSMLSSLWDFFCFNISGTTAEQSRGALFVLCMAAKSSPAVLGLHLQDIVDIGFGRWAKMDPLLARTACIALQRLSGEDQKKLLSKSGSRLFGILESLITGSGLPENIWYAAADKAITTIYTIHPSPETFAADLVKKVVRSVFAFNGGDDLETVTPNEYTDVLTKLEVTKLSRFLYIVSHIAMSQLVYIESCVRKIQKEKAKKERLVAEGKSVDCNGMTPHDADKENGINAELGLACTDDTILDTLSASAEKEIVSAGSVEKNLIGSCGSFLSKLCRNITLMQKYPELQASGMLALCRLMIIDTDFCDANLQLLFTVVESAPSETVRSNCTIALGDLAVRFPNLLEPWTEHMYARLSDTSVAVRKNAVLVLSHLILNDMMKVKGHIYEMAIRLEDEDERISSLAKLFFHELSKKGSNPIYNLLPDILGKLSNKNLERETFCNIMQFLIGSIKKDKQMEALVEKLCNRFSGVTDTKQWEYISYCLSQLSFTDKGMKKLIELFKTYEHVLCEDLVMDHFKNIINKGKKFAKPELKTCIEEFEEKINKFHIEKKEQEVTARNAEAHQQKLRSLENIKVAVEDGLESTESKTSKAGTDSEVTDEVSTASVPTERIPESEDNSPASSELIEPESSDSEVQSAQVISKEVMKSRAKKATVKDEKCDSEVPPRITRSRRR >KZM95494 pep chromosome:ASM162521v1:5:32634666:32638316:-1 gene:DCAR_018736 transcript:KZM95494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNWARVSMVHIKHSYAAIVWLKIVPLQTVRSRLQFLKISALSLVFCTSVVSGNVSLRYLPVSFTQAIGSTTPFFTAVFAYLMTKKREAWLTYAALVPVVTGVVIASGGEPSFHLFGFIMCVGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPVAVIFLLPATLLMEHNVVGITVALARNDVKIIWYLLFNSALAYCVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYFLTVIGVVLYSEAKKRSR >KZM93118 pep chromosome:ASM162521v1:5:2567895:2571603:-1 gene:DCAR_016363 transcript:KZM93118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFLIATPVAIFCGFIGRKLMDKYSDSFGIWVIVVAVVFTIYVLVLLLLTSGRDPGIIPRNAHPPEPESYDESIDVGTGQTPPLRLPRIKDVVVNGITVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVSSATLLCIYVFGFCWVFILRVKDKEDTSIWKAMTNYPASIVLIIYSFIAVWFVGGLTVFHLYLISTNQSTYENFRYRYDRRDNPYNRGVVENFKEIFFTSIPVSKNKFRGIVQKEPAMPRATGVGFVSPNLEKTMGDVEAGRKPVWDDSATGLSEAEGEVSNDDGVNRAGAEESPDLSRIIDVEGTEGRSILHPRRSSWGRRSGTLNIPPDVVAMASGVGDSNRITGNSNDGSMTRRDWQ >KZM94336 pep chromosome:ASM162521v1:5:21198600:21199202:1 gene:DCAR_017579 transcript:KZM94336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSPILSGIAVVAVTVVAIISMKVVRWLWLRPKMYEKFLKDQGYHANSYRILRGDMLDFAAMAQENRPKQIKLADNVSFHALPYIHSIIKKYGIVSTQTLCVQGKSSNCHSLLNDRCQSAGKRAFIWFGPTPSIQVIDPEQIREIMSKPSIFHKMHPNPLGDMILGGLISSEDAKWSRDRKIMNPAFHLEKLKVYSAS >KZM95322 pep chromosome:ASM162521v1:5:31151974:31163652:1 gene:DCAR_018564 transcript:KZM95322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLTACPYQFDISKDYPGFMTEDWFDKDEFQKFYEDLTSEKEKECSLSDTTTDKKKDGEGSSIQKIENVNNDMLQTTPSYDLFRSNDALPPLVSYTPESSQEEQKITQCNKPSPLPAIEESKIEGDVVKKKKSIKFKIKSGEQRKSPRLIEAKKNPNDYTKDETKVAAEEDERNSTEEEAEPVVISVVDHNEDEENLDSNNEDKADEDDFIEDGKSKKTTKPKKKKRKASSPVGPLHISNTGERQSTRNVLAEEHRQQDVNHENEEAAILKLRKNKGKLPAEEFVDIFQEGENFKTPKETLRGVEMIPQVFKEDETYSGIMSVARDIKYTYEDNEIITEEEMMTQVDKDIKELEFVYEKCKNNLKLANDLFPNNHNLKLYEDKFAKMYQPQSQEEEEQQRIEPESDRDPEWPYYTNKDWKTIDILALPKYDRAYNKMIDIDDFLGDLTLGGERIDFDRFEREGDTEYNPGRLRREVKVGDSKKSPFLDRTIDFNKQKITKAEEEVWNWITADTSDATQIIFFWEDVICMSYQIKTFQFNEKISTAVIDVYATILNEDEKYRSPDSPHRFFCNTSITQHEAREGDLVAMSTPSNILKGKRTKRQSPQSPLPFSVAHANFSSKYAGGDYVTSSTASDEDSAGPGTTEEEEDMAKCLLLLAQVDPKNDSQFGLVPYKFTSKKYLETSISTNGKTGIYVYQCKTCNRTFPSFQALGGDRASHRKPKNVNAALENKSRLIISVEDEDQPPPFKRSSHPDRP >KZM95635 pep chromosome:ASM162521v1:5:34044047:34045489:-1 gene:DCAR_018877 transcript:KZM95635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNVCCLKKSCFRALASARFYSSNKTAIGPSDPTKKICKLMMSCPKLGLAAALDQSGVRISQDVVEKVLTKFENAGMLAHQFFEWAGRQRDYEHSVRAYHTIIESMAKIRQYQIMWDLVNSMGSKGMLNIETFCIIMRRYARCQKVEEAVYTFNVMEKYKIHPNLAAFNGLLSALCKSKNARKAQEIFDNMKERFSPDSKTYSILLDGWGRDPNLPKAREIYREMVKEGCEPDIVTYGIMVDILCKGGRVDEAIKIVKEMDSNGCRPTSFIYSVLIHTYGVENRIEDAIDAFFQMEKNGISPDVAVYNAFIGAFCKVNKLQNVYRILDEMEYKGVIPNSRTFNIILSSLIDREETDEAYKVFRRMIKICDPDSDTNTLMIKMFCDKNELEMAQNVWRYMKKKGFLPSMHTFCALIDGYCDKANAERACVLMEEMIEKGIRPSRMTFKKLRQLLIKEQREDVLKFLQQKLDLLVKEPLCD >KZM93050 pep chromosome:ASM162521v1:5:1971733:1972610:1 gene:DCAR_016295 transcript:KZM93050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELEVKKKAEEEAKAKELLLKASEEQEAGSDPELQEVKARLGKLEEAVKEIAVRGSQKQSGSSTDKKQDGERTKQAAAAAPEASNSALEDQTKKETQKGLGQQEGRGSVAIADASLRNQKATPDKDPKK >KZM95654 pep chromosome:ASM162521v1:5:34186012:34186323:1 gene:DCAR_018896 transcript:KZM95654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KZM95930 pep chromosome:ASM162521v1:5:36871187:36874554:1 gene:DCAR_019172 transcript:KZM95930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQSSQGYGHPYIPEDLSLPGFVPGFLSMSTIVGVYGGSSLLVVSLVWIISGRAAKLAKLDRLLMCWWVFTGLTHMILEGYFVFSPQFYQKTTPHYLAEVWKEYSKGDSRYVGRDSGVIAVEGITAVLEGPACLLVTYAIAKRKSYSHILQIAISLGQLYGTALFGAGARYVQHLQPKSRKIQRPRNVEALIVTLRRCFTTITLRDQQAEALPHKSVILEHTDIRSIPTHRYIRGNRQSAECAVCLSEFEDNQVLRTLPKCNHSFHVDCIDMWLYSHPNCPTCRTSIMPSPSSLPEEHIHIQVEPVR >KZM93333 pep chromosome:ASM162521v1:5:4878358:4882032:-1 gene:DCAR_016578 transcript:KZM93333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRLEGSSSWGRCKYQQRALASPPLGLAAPWPRRLLALVPMASQSLGFGAHGLAASLPRYFLASYILGSSLLKIASLGPSPWGSCNSRHKQLDRRGPALLLLGRGAVRYSFVKTKGIKPQDQLHHPSLSVPCSSDILPTITLLDNPDKNGSIPDLNTMVFAAELEQPVNIRIQYNVALADVKELVTAFKRTKDLSLLMLPNYGIPAEVNAYLYTIKYSSAVVNAGDAQSRDKFKQFPNHSSILLGDPNNLHFVMAASILRAEMFGITILDWAVQPKALAEAIDRVMVLKLQP >KZM93530 pep chromosome:ASM162521v1:5:7520999:7523861:1 gene:DCAR_016775 transcript:KZM93530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLELKNNEQGNNGADTCNFHKQPPIATKKMVLTDVQNDNRVRNYRESSFPIDVGPTAEKVKISGTKRLIPEIPTSHPWPPLPNHTATKEHLVYTTKKSEFVPMNEKTENRDRNISSPPLKRFCSMQQEMPQKQTRVVEGNSHQVPMSLSNFMVPKNMVSYSNPSVDSLAASLANPGTGAGPAKTICHNVTSNVALPSDSKRVEDQNIGKKWEERYINLQNYLKICDNESTLRSHIQNLCHLSPAELSMYAVELEKRAIQLTIEEGKEFHRMKALKILEKSAASTINASQKSEPSPSKK >KZM93035 pep chromosome:ASM162521v1:5:1873228:1877452:1 gene:DCAR_016280 transcript:KZM93035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKPVSHHGKLKVADIDKSEALPCIRLSKEGVLLAVAAVDNTLNILGNVKGCRLVEIAQTRTLDPYGVIAGNVAKESICRVDVCYDHNMNGGFDSPPKKGSSSGRMSGDPGFRKSKNSSDRDFEFDMLAHASHGKTEGSGLYGAVFNLTTTVIGAGIMALPATMKIMGIITGTIMIVVMGILAEVSIELFVRYSVESKADSYGEAVQNALGKNAKILSEICIIINNAGILVVYLIIMGDVMSGSWQHAGVFDQWWGHGFWDHRKLLILLVLIIFLAPLCALDRIDSLSLTSAASVVLAVVFIVVAFVVAFVKFLQGTLDSPRWVPDLSSTQAIMDLLVVIPIMTNAYVSHFNVPPIYNELEGKSPEKMNRVGRITTVLCIFLYASTAISAYLIFANDTESDVLTNFDKDLGVPYSTGLNYFVRISYIIHLILVFPVIHFSLRQTVDTLLFEGAPPLTESRQRCLALTGGLLLILYVGSTTIPNIWTAFKFTGATTAVSLGFTFPSLIALKLSKQGTRLSPGERFMSWFMLIFAIMISIVGLIGNAYNTSKD >KZM94594 pep chromosome:ASM162521v1:5:23920881:23921141:-1 gene:DCAR_017837 transcript:KZM94594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRKPGYSSQVFNSTETALDTMVGTGVLANDVLQSIILGVIADLLPKLGCLKAPVSGSGAADGMLGMEQAAEIMKHGKTAKKAGP >KZM95723 pep chromosome:ASM162521v1:5:34853430:34853744:1 gene:DCAR_018965 transcript:KZM95723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNTLMFSPAVIPGRKRLPTTKTFATAAKNSGGGSEEKSLWDFVLGAIAKEEQLYEVDPLLQKVEGKPSGTTGSRKSAVVVPPPKKESGGFGGFGGLFAKKE >KZM94949 pep chromosome:ASM162521v1:5:27299121:27300500:1 gene:DCAR_018191 transcript:KZM94949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDAAGDFRTKVWSMPGGPNCRPVHWKRNTAIAMVGIIITCIPIAMISVKLEERPHLPVRPIPSQLWCKNFGKKEY >KZM93469 pep chromosome:ASM162521v1:5:6837819:6840684:-1 gene:DCAR_016714 transcript:KZM93469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNIAYFLFIVLVSFTSITYLSEAQDTSPRKVTAMYVFGDSLVDVGNNNHLELSLAKANFPHNGVDYPTGKATGRFCNGKNAADFIAEKLGLPTATPYLSIRKSKSDKTNALISGVSFASGGAGVFNGTDELFKQSIPLTKQVEYYTLVYQALVQVMGSAAAQQHLSNSVFPIVIGSNDLFGYFKSGSDVSKKNSPQQYVQSMLSALNVVLKRLRDLGARKFIIVGIGAVGCTPSQRVQNKSEVCNEEVNFWATKYNDGLTSLLKAFKSEYMDINYSYFDAYSAFVDFIQNPSTYGFTEVKAACCGLGQLKAKVACIPISTYCTNRNDHLFWDLYHPTQKAAGLFVDMIFHGSKYVTPMNVNQLIAV >KZM95926 pep chromosome:ASM162521v1:5:36836695:36839150:-1 gene:DCAR_019168 transcript:KZM95926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQGDHGFGNYSTCNGEIQEIWDKVMQYSADQVSVLEQLFVECQKPSHDMRIAMIRENAVLSNLDSQQIHVWFETRRIVEKKKKIACEFNLLNEQMAAIGRLLLLENHSLHGMVQELLREKKYLNKLLEYASLESFPALEVQRSDSKSVKISAEKWLLLLKHDYLRCEVQQLHKEKEYILKLLENVSNSESIVNSYLSWPAAARTSNGNSSVPSTQDIGTINKKCNGWLLQDIKLVLQGSEESPTAVVPAFLTQGFHVLVGRYSALEAWSYF >KZM94145 pep chromosome:ASM162521v1:5:17071034:17072611:1 gene:DCAR_017390 transcript:KZM94145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDYYYQRHKLLEKIVKQCSSAKHIYQTHALMVTTGLDRHNFFFTEFVKVCSTLGLFNYACSVLKSHKQPHIYLYNSIIKSLLVSYPEQAILVYDQARYMGLNPDSYTFSFVLKAVVGENTGKKIHCRAVCNGLDSDDHVAAALIQMYLKCGRVLDARKVFDGMLFRGAASWNAMIAGYAKLGHPDSALSLFEQMPQGWYGKSVITWTSVISGYAQANRPSEAITIFRRMQLQGVQPDEVAMLAALSAAAQLGALQLGNWIHNYINNNKLCLTIPLANALIDMYAKSGNVHKAIEIFDNMDNRSVVTWTTIIAALAMHGLAAEALEIFSRMETSKVRPNSVTLLAVISACSHAGLVKLGRFYFEAMYPRYRIKPRVQHYGCIIDLLGRAGRLAEARQLLKDMPFEPNSAIWGSLLAACRIHGDIELGEEAMQHLLKMEPHNSGNYSHMSNIYSSFGKWKKAGITRNMMRDTGVKKVAGGSCIEVNNRLNEFIAGDRSHPQADIIYNLLHVVYGQFNIEATDVFD >KZM94161 pep chromosome:ASM162521v1:5:17470308:17470649:1 gene:DCAR_017406 transcript:KZM94161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKCLCGNVVIQQTSWTQANPGRRFAACVDRRCNKSFRWLEEPVCSRAQVIIPGLLRRINKLEEAKMELEAKLAKNALKKHGSCHCSWSFSLLILAAIISYMICNKVDVEGK >KZM92843 pep chromosome:ASM162521v1:5:231994:232890:1 gene:DCAR_016088 transcript:KZM92843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSKHTSGKLEESAAVELTGRIMVVAIIVLFAVIAFVICLHLYAKWYWRHVARGGHSMSRRAAGRHQLTAATALRGHGLDPSFLKTLPIILFSPQDFKDGLECSVCLSEVTQGEKTRLLPKCNHGFHVQCIDTWFQSHSTCPICRNPVLNSNPDQLSQQGITHNTPQPADYSHDSALPTEAVTFPTNVLYWGNEVQVSTWTSCLQDADADAVTSSQQVSSSSSSSSCTTSTSHGGNSGGGHDLVIEIPSQTNEEDHKSPVLSRLKSLKRLLSRDRKINPFTPSTSVVDVEQSARSQN >KZM94525 pep chromosome:ASM162521v1:5:23205424:23206910:1 gene:DCAR_017768 transcript:KZM94525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKKAAWLQAVCTEKFFRGCSIHEMAKKNEKNICCLDCCTSICPHCVHSHRFHRLLQVRRYVYNDVVRLEDLEKLFDCSNIQAYTINAAKVVFIKKRPQNRQFKGSANYCTSCDRCLQEPFIHCSLGCKVEFVLKHYTDLSPFLRKCKTLQLGPDFFIPQDMGDDINLHQEITNESLHSTIVDSNEQPMSCYNYSSGSENISSSNNMFCTEIVRKKRSGLVYVSCTGINSRRPSSSSHNNKQQLHSEEDISTGMSRRKGVPQRSPLC >KZM95877 pep chromosome:ASM162521v1:5:36435759:36436040:-1 gene:DCAR_019119 transcript:KZM95877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVDEVILETSNQYEVFRVVQIGLLCVQEDPIERPVMSQVVLMLSSKMKLPHPKQPGFFMERKFGVGLGKHLCSSSTLSSSNHLSITTDLPR >KZM94700 pep chromosome:ASM162521v1:5:25100730:25101314:1 gene:DCAR_017942 transcript:KZM94700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPARQVKVEFLKRWARGLQIYRNRKKNMSLIETKNAIKLSADVAMASTKLHTSQWSRALISSASSSNDTNNTVVHHILGTDKADHVKHYSENLKKSSSRICTVNSKNMVRSKKVLRKSCALVRARKAGVPHRVLAATIARRIVKRTQVLKSLVPGGKSMDDVSILKEALDYILSLQVQVNIMRRVVEMSELA >KZM95302 pep chromosome:ASM162521v1:5:31019242:31020790:1 gene:DCAR_018544 transcript:KZM95302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIRFKSRFCPAPPPPSPLIASRSTSGVVEDSDDSFTNNIPENPFSDENFLKLPEDIQCLFMPGIDFNLLLSRDKYSIGCLLRSASDFGMFRISNHGILAEDLESTLEDSLRVFESSSVFLHRVDDHRQEFVWRPSMQALGLTRKYRRLSEKMEIVASKLELIAEELGQIFSVNAKKQQYSHKFEASESTLGLCRHTRPLPGVKSSAHIHEIQQPQCKHALILHVPVDEAEFCYQTEQSPSSSFYTGPDSIVVTIGEQIAEWSNREFKFATGEVNFKLLEEKPSFSFEFKCSPSSLRRTIISLHEQIGILIIVAGLCKFLLFGLDLS >KZM93575 pep chromosome:ASM162521v1:5:7960473:7962176:-1 gene:DCAR_016820 transcript:KZM93575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALMNLVAPIMSPSAEQTRMCSGFSLQIPHVHSFSPAKGFSRVLASTHMAVPSKDSVFTIPNWRHGKNDARTKDYRMNDAFLYLEYMVGKGHMPDVGQATQLLYDLVKSNKFRKATRVMEMMVASGAVPDAASYAFLVNQLCKRGNVGHAMQLVERMEEYGYPTNTMTYNSLVRGLCMRGDLEQSLQFVDRLMQKGLVPNAFTYAILLEAAYKEKGVNEAIRLLDDMIDKGGNPNLVSYNVLLTGLCKEGRIDDAITFFRNMPSHGFNPNVVSYNILLRSLCHEGRWDEANELLSEMVGEERSPSIVTYNILIGSLAYHGRVDHALDVLDEMYMGPFRPTAASYNPVISRLCKEKKVDDVIKCLDQMIYHHCVPNEGTFNAISVLCKEGMVQEAFAIFKYLSSKQNSSTYDYYKNVISALCRKGNTYPALQLMYEMTKYGFTPGCYTYSSLIRGLCMEGMINEAVQIFFIMEESGYRPDVDNFNALILGLCKAQRTDLSLEIFEIMIQKNFKPSETTYTILVEGIVHEGEKELAVMVLKELNIRQVISRSTVERLVMQYDLVKLLV >KZM93132 pep chromosome:ASM162521v1:5:2661034:2661446:1 gene:DCAR_016377 transcript:KZM93132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIESSKKKKLSALVVDFSSVCRMVHVACLRRHDFETYAVENGREAMDLIRSGEHFDVIFMVVEMPVLNGIQATRELRGMGVKTMIVGIECDLGYLG >KZM94656 pep chromosome:ASM162521v1:5:24635859:24636074:-1 gene:DCAR_017898 transcript:KZM94656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLSTERDSNILNQNPNPKPKNIQHMNPSQRMPSGGSAPPRYGNINTAPTCSRTLNHIITAFVLGLGFYN >KZM95714 pep chromosome:ASM162521v1:5:34775268:34776641:-1 gene:DCAR_018956 transcript:KZM95714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLSTKLLNICIDSLCKAQQLQKAEIVLISGIRIGVLPDVVSYNTLVAAYCRFVGLDAGYLVLYRMKEAGIHPNVVTFNSLLAGAARQSLLMRFFNLFDEMLQTGVHPDVWSYNTLMHCFFRLGKPDEANRVFQDIILNNLPPHPATFNIMINGLCKNGYINNALMLFRNLQRGGFVPQLVTYNILINGLCKSRRLGTARKILKELEESGHMPNAVIHTTVMKSCFRSRKFVEGFEIFLEMKRNGYTFDAFAYCTVIGALLKAGRVNEANECFGQMITSGISLDIVSYNTLINLYCKEGKLDEVYELLDKIEEEGMECDKYTHTIIIDGLCKAGNIEGAQRHLNNMNIMGFDSNLVAYNCLIDRLCKAGHIDRALKLFETMEFKDSYTYTSLVHNLCMAGRFRCASKLMLSCLRGNMRVLKSAQRAVIDGLRGSGFMGEARKLQSKVKLAKIINY >KZM96463 pep chromosome:ASM162521v1:5:41134437:41147337:-1 gene:DCAR_019705 transcript:KZM96463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSKVGSNSKLPANFKLKKANELQNGLGYGYLRRALLSSVFLVVLVGLVWFLFCTEKGELQTKLETPVFCDSNSGVLHEHFNVSKEEFDVLTSSFYELDQMACLKCTKQSNTIHHPSSGINCDFVMATLDHQRFKKEELEMTNVGLQEQCPVPAENTDSLLKEGKSVSEILHSIFSSIKSKFWNDQEYMHLREPENLVTEHCKGISFCLTNILLCALVGSAICCPIFGFIFKFWRRRKVMPLHQHSLHQNQQLQVVQQKQQQQSPISGKWRMKLLLAFVIAGVTGSIWLFWHLNEDIVLRRQETLSNMCDERARMLQDQFNVSMNHVHALAILISTFHHGKQPSAIDQKTFGEYTERTAFERPLTSGVAYALRVPHSQREQFETQHGWKIKKMETEDQTLVQDCIPENLDPAPVQDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTHLPPHATAEQFINATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNKAEPINMYGTNVTDTGLLHISTLDFGDPTRKHEMHCRFKQRAPPPWTAITASVGVLVITLLLGHIFRAAITRIAEAELGYQNMRVLMHRAEAADKAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDANQLDYAQTAHASGRDLISLINEVLDQAKIESGRLELEAVPFDLRAVLDNVLSLFSTKSHEKGIELAVYVSNQVPEIVIGDPGRLRQIITNLVGNSIKFTQDRGHIFVSVHLADEVGYPLEEQDEVLRPNITLVENCINNSRNTLSGFPVVNRWKSWKGFGNLNVGELSKETEMVKVLVTVEDTGVGIPQEAQGHIFMPFMQADSSTSRTYGGTGIGLSISKRLVDLMGGEIGFDSEPGTGSTFSFSVAFKKGEQNSPDLKSPQYRPTVSEFQGLRALVIDGKSIRAEVTRYHLQRLGISVEKASTLDSAYASLTCNSKTSISGQLAMILVDQDVLDEMTDTSYNKILKELRPNRSSSILEIGPKILLMAPSSSEKCNKLKSAGLVDAVLTKPLRLSVLILSFQETLSFDKKRPPSRSKPSTLGNLLRDKRILVVDDNMVNRRVAEGALKKYGAVVTCVDSGRSSLKMLNPPHNFDACFMDLQMPEMDGFEATRQIRCLESEVNKKINSGEASIEMYGNVAHWHTPILAMTADVIQATNEECTKCGMDGYVSKPFEEEELYSAAARFFDSEFSGADDDAQGIVKKISIPFSASMPEFTVVGSCNGLLCLCDSLYNDAIYIYNPFTNYYKELPKNRQYEDEIVLCGFGYHPETKQYKVVKIVYYWIGNIEDHRIRRIRTPLRSKSEVLVLGSGSSRWRNIGEVPYYVERRPQGALFTNGRLHWLTRGVKNNGRGLIIISFDLLDERFHEVPRPDFSNAADGRNYLLATLQGCLSAVVYKYGHGDLEIWVMKEYNVKETWIKEFKIGANKPESPSTRLQQPKLCILGN >KZM94302 pep chromosome:ASM162521v1:5:20768798:20770192:1 gene:DCAR_017545 transcript:KZM94302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETCVIQAFPVKREEESMTAMMEGLISSPLTFICGINYYSLHTGKIAIPFAFSVKTGVSVPRDCICVVSDGYSVPVKHSENQRVLLGLRSLFSDNKIEHSDIVVFSYMGNGVFKLRAFKKRGMEILLKTNTQRSEIMTKRKERGNDSQVSANNPRSDEPKKAKIMTEEGISQVGGVEGVQPDLQQSSSTNRLKWEIIIKPSHLGRIVHGVSVSTVYKNITKSWKNRDIIAVNCTTGPINMEVRRNNGRITIHAGWNKFVEMMKPRKGDRCIFTCNEIEKKYEVQILPADDK >KZM93489 pep chromosome:ASM162521v1:5:7209056:7210449:1 gene:DCAR_016734 transcript:KZM93489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEATIKLPENVTVPGLIVFGDSIADQGNNNNLSSVMKCNFPPYGIDFTGGLATGRFTNGRTPSDMIAAELGIKELVPAYLDPSLQMKDLSTGVSFASGGTGYDPQTSKLVSVVPLSDQLEMFKEYIGKLKASIGEENTTHVLNNNIFLLVAGSNDLANTYFTLKLRSFQYDILSYADLLVASASDFVQKIYKLGARRIGIFGVPPVGCLPFQRTLAGGNSRICVDTYNQAAQVYNSKLSSQLNFLGNSLPQAKLVYVDIYNPLIDIIQNPQDHGFDVVDKGCCGTGDLEVVVLCNKWSRVCQDKSKHLFWDSYHPTEQGYKVLVDLILKDYINQFF >KZM95995 pep chromosome:ASM162521v1:5:37308109:37309434:1 gene:DCAR_019237 transcript:KZM95995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSTDEKSISKPNEHNVSRKGIENLPVEVSHDILARLPLSSLVQCRYVSRILRQLSHEVSLLNTHLLRIGKKNPSLIFHCLDSERNQLRFLELSSPVCNTEISCEINIPFRPSMPNKIHILGSCNGLLCISDEFRNDPYHIYNPVTRKYKELLISRQFFQQRVETGFGLDPIANEYKLVKMVYYKDPYTGPLPQQVYRCGFPNYPHSEVLVCDISNDTWRSIGSIPYEFKRQTTEHHGSPKEMLYLNGRLHWVKGSGRHQGFNSSNPRIISFDLSDEQFYEVLKPACGSLDKPNYLLSVLGGCLSAAVYTTDGNVAVWIMKEYGVKESWIKEFEIEAQPRNIDVGLQRSSNVRIFYVHGIWAYRVLHGKSVRVLCFLESGDVLLEYKDGKLASYDPGSGETTDLQFQGLPRKFNTIVHIGSLDWIKEPQATSSCIEDLET >KZM93576 pep chromosome:ASM162521v1:5:7964955:7970532:1 gene:DCAR_016821 transcript:KZM93576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGRARSPSGSASSSRSRSFSGSDSRSSSRSRSRSRSRSFSSSSSRSRSLSSRSPTPRKSPVEGAKRGRSPPPQSKNETALPPPPPRKASPVPESLKLCIRQLTRNVNENHLREIFGNFGEVVSVRLEIDHRANLSKGLGYVEFKTRADAEKAQAYMDGAQIDGNYVQAKFTIPARTTPPKAPVAARRDADAEKDGPKRQREPLSPPRRRSPVARRRSPRRDMDSSPRRRGSPIRRRMNSPYRRGDSPPPRRRPASPFRGCSPSPPRRPSPSRIRGPVRRRSPPPRRRSPRRARSPPRRSPIPRRRTRSPIRRPLRSRSRSISPRRGRAPPGRRGRSSSSSGSPGPRKVVRKISRSRSPRRRGRSSSNSSSSSSPPPPRKPQP >KZM95092 pep chromosome:ASM162521v1:5:28568836:28571116:-1 gene:DCAR_018334 transcript:KZM95092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQREDKIFITVLLADTKDSKVNVEPEGVFKFSATAGADNHYELLLELFDKINVEESKINIGPRSIFCILEKAESKWWNKLLKGDAKTPHYVKVDWDKWVDEDDDPAPADAGMDGMDFSSMGGMGGMGGMGGMPGMEALAGMGGMGGMGGMGGMPGMEALAGMGGMGGMGGMPGMGGMGGMPGMGDFSMGDDFDESDDEVQEATKPEGEDGQKVGEETKTGISEEKAEAPSST >KZM95354 pep chromosome:ASM162521v1:5:31376645:31378310:-1 gene:DCAR_018596 transcript:KZM95354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMRGGFRSILSNGSILKNAVLQRVRLGNPVLRPLLFSRHESVSSARIEEHGFESTTISDILKNKGKSNDGSWLWCTTDDSVYDAVKSMTQHNVGALVVVRPGEQNAVAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPSTKVLKAMQLMTDNRIRHIPVVDDKGMIGMVSIGDVVRAVVSEHRDELNRLNAFIHGGY >KZM93014 pep chromosome:ASM162521v1:5:1700801:1701142:1 gene:DCAR_016259 transcript:KZM93014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSQLLKGFKEKKECYKLKYEMPGLAKDEVKITVEDGGVLRIRGEHKEEHEEAEDEEQPSWSSRYGYYDTRLALPDDAKIEEIKAEMKDGVLKITIPRSEKQQKDVKEVQVQ >KZM93022 pep chromosome:ASM162521v1:5:1796739:1796960:1 gene:DCAR_016267 transcript:KZM93022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRENRSGRAKRRHSGGNIVSDGVMKSRHRSRDDERSNMRRKSSPHDLRMRSRVLNWELRDCRTTLCVSGGR >KZM96164 pep chromosome:ASM162521v1:5:38804820:38811162:-1 gene:DCAR_019406 transcript:KZM96164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQADPTVLSLRPGGGNRGGKTIGSRFDSSSSVFASADLPVFRPHGGSFPIKTGDSRFEGRERIRFTRDQLLQLREYLGYLSKNLIQIEQSDPNIYSNPDAVNITDEILKVKQVVEAEFFIEAQNWGHGESNPPVPQSQPQSRYSEPDSRDWRGRSAQVPSSVEERSWIGDRESGGRLDSRQQDSNQYNRQDQLNSQFGRGQYSSNQGGAPPTLVKAEVPWSVQRGNLSEKDRVLKTVKGILNKLTPEKFDILKGQLIDSGITSADILKGVISLIFDKAVLEPTFCPMYAELCSDLNEKLPPFPSDEPGGREITFKRVLLNNCQEAFEGADKLRAELREMTAPEQELERRDKERMIKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGADNKICPEEENVEAICHFFNTIGKQLDESPKSRRINDVYFLRLKDLSTNPQLAPRMRFMVRDIIDLRSNNWVPRREEVKAKTITEIHNEAEKTMKLRPGSTASIRNSRGMPSAAQGGMTAGGFPIHRPGTGGMMPGMPGARKMPGMPGIDNDNWEVTRSRTMPRNDSSQPVGRVQPPFIGKSAVSNPRLLPQGSGGLISGRSSALLQGSSSTQVRPNYGIGFESPRQDPSPVRQIPTVSMPPVAAKPTTSSVRLNPEELRRKTISLLEEYFSVRILDEALQCVEELKSPGYHAELVKEAISLALEKIPPCVEPVAKLLEYLSVKKVLTAIDIQGGCLLYGSNLDDIGIDLPKAPNNFGEIMGKLILAGQLDFKVVEEVLKKMEDNMFQKHVLSAALGTVTSSPSGQAFLDSQAASIEACKSLF >KZM93935 pep chromosome:ASM162521v1:5:12476948:12478831:1 gene:DCAR_017180 transcript:KZM93935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDFCIDGGDDVRGSESAALGVGGNSVDIVSPLDVVDESISAPGAESAVTLREQVQLVSDLESKLQAEITTVKDMKKAMQKVQEQRDQLQVELNAMPSKEVIVSEFRSGAVYKKEILEAQAVGGSSEFAAKIDEIRGVFMCCIRVVGITNVIQVCLSCLHFHLRSLPPFMHACPFDVGALICVLSLVVGNLRMRIVIDMRHLLSAVLYLSDEFGVVLIC >KZM93906 pep chromosome:ASM162521v1:5:12054498:12054842:-1 gene:DCAR_017151 transcript:KZM93906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKWPISVPENEQGGGKKDEWAAELQPISGAASPQRFGLGIGMEDWESWLSDSASQDQLRWISGDVEETPLSLKQLLQAGNNNGNEDSSGMEPWGNGVTSFNSNSYFTYCEGS >KZM93723 pep chromosome:ASM162521v1:5:9800298:9800834:-1 gene:DCAR_016968 transcript:KZM93723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAFHWLFYNEMKNFTSLYAFNIEDERDYLIPFPLNIGNGKVNMSVGILNNCLCLFDNSHHAHFDIWSMKEYGVGESWVLKCILTASIPAGICKSTLHPLVALKDDGIIIKSGSGNFYIYDQKNMNFTRFEIDNVELLAESNYLAVHSSNFCSADLMSNGCVLVTELGARCSARISK >KZM92953 pep chromosome:ASM162521v1:5:1172967:1177102:1 gene:DCAR_016198 transcript:KZM92953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLSVAALASPCTRMMDTKKATSFKSLSSFASVSSSSLQTRRNLVLHKKCSLKVRAMAKELHFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAASKTNDMAGDGTTTSVVLAQGLINEGVKVVAAGANPIQITRGIERTIKSLVTELKLMSKEVEDSELADVAAVSAGNNPEVGKMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMVVEYENCKLLLVDKKITNARDLINVLEGAIKGGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLTLDQADMEVLGHAAKVVLTKDATTIVGDGSTQDAVNRRVAQIRNLAEAAEQDYEKEKLSERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAVKVDAIKDTLDNAEQKVGADIVQRALRYPMKLIAKNAGVNGSVVIEKVLTNDDQKYGYNAATGKYEDLMAAAALKLGKVVRCCLEHAASVARTFLTSDAVVVDIKEPENVAAGNPMDNSGS >KZM95130 pep chromosome:ASM162521v1:5:28957405:28957821:1 gene:DCAR_018372 transcript:KZM95130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKDIAPAAQNNINTRFILLDKGRIMLESQQKTCIALVADETASVHFQFWGEECEAFEPGDIIRLTNGIFSYNRSNSFVLRAGKRGKAEKVGEFTMVFVETPNMSEIRWVPDPNNSKNYVKEAVLSSHSRLFPPMV >KZM95689 pep chromosome:ASM162521v1:5:34596380:34596565:-1 gene:DCAR_018931 transcript:KZM95689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVDHHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVFSFS >KZM96389 pep chromosome:ASM162521v1:5:40656423:40657414:-1 gene:DCAR_019631 transcript:KZM96389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPQFSLCLLLLFIISVGTFTFTSADGQRTWCVAKPSSDEATLQANINYACEHVDCSLIKKGCPCFSPESLINHASVVMNLYYQCKGRNQWNCHFGGSGLVTITDPSYSSCVYE >KZM94835 pep chromosome:ASM162521v1:5:26357244:26373127:-1 gene:DCAR_018077 transcript:KZM94835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGVCSSDSINGEDVFSGKPSDVSSADHLVVMIHGILGNSANWKFAAEQFVRKLPDKVFVHRSEKNMDKLTLDGVDVMGERLAEEVLELIKQKPNLRKISFVAHSVGGLVARYAIGKLYNPCKTENEKDLSAVSGDEFKGTIGGLEPVNFITVATPHLGSRGNKQVPFLFGLTALEKVAAGVIHWIFKRTGRHLFLTDNDDGKPPLLKRMVEDHEHYYFMYAVQSFFYIVGWRTSSIRRAVELPKWEDSVNEKYPHVVYEELCKACDAEQDEPMSAEADSLDNIEEELVTGLSRVSWEKVDVSFHSGSIQRFAAHSVIQSYPNPLKKSPAYAIVKKTFVSPEDVVAQKIVLQKGSSRGVHFPRAGPREKVYFKSEEVRACIVTCGGLCPGINTVIREIVCGLNFMYGVDDILGIEVYIIGGDGTQRGANAIYKEVEKRGLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGRYSGFIALYATLASRDVDCCLIPESPFYLEGQGGLFEFVEQRLKENSHVVIVVAEGAGQEFVAQTMQSIDEKDASGNRLLLDVGQWLTQKFKDHFTKVRKMTINLKYIDPTYMIRAVPSNASDNIYCTLLAQSAVHGAMAGYTGFTVGPVNSRHAYIPISLTKPKCTFARFTFYGNIWGVYGVYGNIWGVYLYAGDM >KZM93381 pep chromosome:ASM162521v1:5:5538398:5544720:-1 gene:DCAR_016626 transcript:KZM93381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNTEENENDKEVIRLERESVIPVLKPRLVMTLANLIKLGADRAEFLKLCKRIEYTVRAWYLLQFEDLMQLYSLFDPDSGAQTLEQQSLSPEEIQILEQNFLSYLFQVMEKSNFKVTTDEEVDVAHAGQYLLNLPIAVDETKLDTKLFARYFAEHPQEHLPDFVDKYIIFRRGNGIDKTNDFFYMEKVDMLIARIWAWFMRLTRLDRLIKSPKRPKKPRHKNPPKEEDDMQTEEERADYVERIRLENMEISLSNLVNKITIQEPTFDKIIVVYRLASTQTKPDRGIYVKHFKHIPMADMEIVLPEKKNPSLTPMDWVSFLGSAIVGLVAVVGSLEMPKADLWVIFAILSTVIGYCAKIYLTFQANMAAYQNLITKSMYDKQLDSGKGTLLHLCDDVVQQEVKEVIISYFILMEQGKATLEDLDMRCEQLIKEEFGVSCNFDVDDAVGKLEKLGIVSRDTIGRFYCVSLKRANDIIGMTTEELVIKAKLGTDAS >KZM94106 pep chromosome:ASM162521v1:5:15758464:15765255:-1 gene:DCAR_017351 transcript:KZM94106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNGNKRGSKRKAGRPKNKMKRIDDLGKKSEEIVRDSVQPVDQRTVLDLDAIVRDYISRTSEFCKDGQEICIVSSSDDDEENQNRANKIKGKEIIAGSSGKDDANDDRNHKSKNKDNEIVISDDNDDGGAEDDDQKIEDEHDVDTEATAPQCEEHERYFPKEAPSSPKRRKNSAMGKDDEKIQKIGSRGPNNKEKGKDGLTSRVCKSAGSKRKGAVMTDENECVYRDRDLLGDAIIHVSIQEYPKMTEDDFARLCPVCRVNCNCKSCLRLPKKDKSMADLKFTNEEKIRYSKYIIPMLLPSLKQLNEEQIREKQVEAKIQGVSISKLEVKKAKCGLDERMYCDNCRTSVADFHRSCSSCKYDLCLICCEEFRDGCLQESPEEVITQFKDPGSPYMHGFSEPKHRSCKLDETVGEGCQQKSTLGDDTSEKDHLKSKTEWKPCIDGRISCPPKTLGGCGKGILELKCIFDKDFVSNLLVAAEELSGKHKLFLETPGQQCSCFDSESEIGIDKMNLLKAASREGSSDHHLYCPTAVQLQANDLSHFQYHWLKGEPVIVKNVLELTCGLSWEPMVMWRALRQPKNLTRPQLLDVAAIDCLKWCEVYVLTHVQEVTPTSDQRANIEKLKQLQYAQDEMEFHGTVVKSEGLGSKQQDDNEEKIKESEENPRNMVSSSLENNIEGIDHPEGGALWDIFRRQDSLKLEEYLRKYYKEFRHIYCLPLDKVVHPIHDQTCYLSMEHKRRLKKEYGIEPWTFVQKLGDAVLIPAGCPYQVRNLKSCIKVSVEFVSPENVSECIRFAKEIRLLPRNHSAKDDKLEIKKMIIFAIRQAVMDLQTLHL >KZM96332 pep chromosome:ASM162521v1:5:40167168:40168099:-1 gene:DCAR_019574 transcript:KZM96332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWEFKEEHLDRILVPTGYLKFPLTTAQGSEDYYKKIWVEKMLQLEAKDKGTTVAVINSNISAASSLSSVSLVLSSLIGALIGSSNEKDIFTSSFIYGDSSPTIVSIKYIALLCCFLVGFASFIQTTRNYALAAFLISIPNCDIPANYVQKPILRASHFHMVGMRALHIAATLIMWIFGPIPMLVSSIVLVAVWYLLDRNLTPLYQFQQRLQSQQTNFHKIGEEIATMTRGLRHQERGNVDRSSNSDAA >KZM94584 pep chromosome:ASM162521v1:5:23866100:23866907:-1 gene:DCAR_017827 transcript:KZM94584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLRRLARAAAAPIANPHASRGQSSSTSATSPLPFAAIASISAALSSFYYFNSPNLVHLEEISEEPAQKLGSFFIFLSSWIEA >KZM94054 pep chromosome:ASM162521v1:5:14446417:14446629:-1 gene:DCAR_017299 transcript:KZM94054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNGAVIAGVKSSSKRPSLVSRSPRGIGNLSLGTEQTDDDDDFVTPAEHFGKTKSIENDAAVVTAGRFYL >KZM94216 pep chromosome:ASM162521v1:5:19395811:19400361:1 gene:DCAR_017459 transcript:KZM94216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKKKKKVGFGNNISGVNETQSEIEMVSTVSGEERASIYSMSNGILPALGAESTGKLKLNNLIISPFNPLYRSWDRFLILLVFYTAWVSPFEFGGFLASPNYILSITDNVVNAFFFFDVILTFFVAYIDKANYVLVDDSRMIAWRYLRTWFIFDLISTIPSEFARSALPDPFAQYGYFNILRLWRLRRVSAMFSRLEKDRSLSYFWIRCLKMICVTLFAIHSAACIMYLIAIHHKPKSNTWLGLLYGDKINDKSTMQYYVTAMYWSITTLSTTGYGDLHATNAWEMAFTTVYMVFNLGLSSYIIGNMTNLVVHGTSRTRKFRDTIHAASSFAQRNKIPLRLQDQMIAHLCLKHRTDSEGLQQQEILEVLPKAIRSSISHFLFYKLVDKVYIFNGVSNDLLFQLVAEMKAEYFPPREDVILQNEAPTDMYILVTGSVDLITQRNGVETIVRELKSGDVFGETGVLSYRPQLFTARTTRLSQLLRLSRTVFLNLIQANVGDGTIIMNNCLQHLSERNDPVMNSILVEVQQMLTEGKSDLPLSLFFGAMREDDVLLRKLPKQGKDPNEVDSTGRTPLHIAASKGSMECVVVLLDYGANPNSKDSEGTVPLWESILGGHEAVTKVLVHNGATISSGDVGQFASFAVKQNNLDLIRQIIQYGGDVTLLSSTGTTALHTAISDENTEAVKFLLEQGADIDKADQHGWTARALAEYQGHEEIKGLFQTEGQGWNKSVNAYSGKEDVPNVAMYQGLPTLPPIEDGASPVTGDRWRRRNNKFDNSLFGIISAAQNVGKVGKQQMLSSVEFERLQALSNENARVTIHCPEKYQTTAVLVFLPESLEELLEIGSQKFGFSPTKILTKDGALIDNIKLIRDGDHLMLSGD >KZM96171 pep chromosome:ASM162521v1:5:38840092:38841447:-1 gene:DCAR_019413 transcript:KZM96171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAQSYLLHSLPFKHTHTKPRTRFITHASYSISGRKLRAAVIGGGPAGSSAAESLASGGVETFLFERSPSGAKPCGGAIPLCMLDEFDIPIELVDRKVTHMKIISPSNLTVDFGKTLKPHEFISMLRREVLDSYLRTRAESKGATVVKALVTNLEVPKASNEPYVVNYIAENERKSLAVDVVIGADGANSKVAKCIDAGDYACAIAFQERIRLPDHKMKYYENLAEMYVGSDVSPDFYGWVFPKCDHVAVGTGTVCSKQNIKLFQHGIRSRVTPKIQGGEVIKVEAHPIPEHPRPIRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEGIVRASEGGSRMIGEADLKREYLKEWDAKYIMTFRFLDLLQKVFYGSNAAREALVELCGDEYVQRMTFESYLYKTLANGNRWEDVKMLSNTIGSLIRCKIVGTQMQGLDLAKLASTF >KZM95008 pep chromosome:ASM162521v1:5:27878118:27896295:-1 gene:DCAR_018250 transcript:KZM95008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKKKECQLKRGEYLGEISALCLLHPPSHLSSLPYLLSGTGSQILFYDLHTGNMIKSFQVFEGIRVHGISCTVMSCTEGTCISKLDFKIAVFGERRVKLFSLHIDIASNMQNQAQVSVDLILHQSLPKFNHWVLDVCFLEVHNSHAHSIPDATSSSSKGKHYLAIGCSDNTVCIWDMTRSSSSFEVKSPERCLLYSMKMWGEQIEALHIASGTIFNEIIVWKVVFPNVSNPGKELVNLTSLDHAAPQHHFHQLEAIAVCRLGGHEGSIFNIAWSSNGSKLVSVSDDRSARIWTIHVEKEGLDICHSIGPVLFGHTARVWDCCIVDSFIITAGEDCTCRVWGVDGIQLKVIKEHVGRGVWRCLYDPCTSLLVTAGFDSAVKVHNLHTFEASNSDRSIQLIDNSIHQKDLFSFCIPNSSGHAGLTDRRPFGRAGAVRAGSGGFRTVAGETVTGTAIQAGRAVPCWVEKVWNRNRIIRVSKSEYVRCLQFTREDTLYIATNNGFLYHAKLFDTGEVKWTKLLQSSGEAPIVCMNLLTKERLDLSSGIEDWVSVGDGKGYVTIVKVLGDVSSPKLGLTYTWSAESERQLLGTFWCKSLGYRFIFTADPRGKLKLWRFSEPFPANSHCSVGSSDVCLVAEFVSSFSRRIMCLDASLEEEVLVCGDLHGNLVLFPLGEELVLGTSTGSESKISPSVYFKGAHGISGVCRVIIALSGFGEVNICSTGGDGCICYMEYDRDKKKLQFTGMKQVKELSMVQSVSYKSMLDDDSGSGNYAVGFASADFIIWNLITETKVVQIPCGGWRRPNSYFLGDLPELRNCFAYVKDEIIYVHRHWVPDSERKIYPQNLHLQFHGREMHSLCFISGNTIFPSTKQQGFCPNACWLATGCEDGTVRLTRYDPGLENWTASKLLGEHVGGSAVRSICCVSKMNLYVADSTTLPSGMILEDVDSPLLLISVGAKRVLTAWKQNLRKALPYGEDNKTKSDFSYKSELSTSMPFKWLSTDMPIRSSNSRGNKNNTENMDSVDLLGDKYENDWRYLAVTAFLVKSPDSRISVCFVVVACSDATVALRALILPYRLWFDVALLVPLASPVLSLQHVIIPNSVALNENIQIGSQYIALGGSTDGSITFWDLTRSVQACMQRVSALKMEDFNDCQKRPRTGRGSQGGRWWRSLGSIEKPGDSSGSLGSSDRSENGQSHSLHESSSACPQRSKELFSQVVDPAFSGSETSNEDSSLETCEIRPLHTVENVHQSGVNCLHVSGIKDNTGPSSGFLFYVISGGDDQALHSLRFDVSLLQSVHYTENANPDRHGCTESETSDGVWTDGIWVFSTGLDQRVRCWHLEEDAKLTEHCHLVISVPEPETLDARACSRNQHYQIAIAGRGMQMVEFFAPGGMSAKVL >KZM94268 pep chromosome:ASM162521v1:5:20271066:20272528:-1 gene:DCAR_017511 transcript:KZM94268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHATKAIYKLLLTDYVKVSKVYVEDMLFDEQDILRSMEIIERPGVHPGDGSGGKARQGDGKGKPGASNGIRVSAVYGGMSKLEQFKELKAGCEIVVATPGRLIDLLKMKALTMSEHLTWFLMRLIECLTLDMSRRLGKVGMANEDITQVVHVVSADGEKIPWLLKKLPGLIANGDVLVFASKKVTVDMLETQLAEKGFKVAALHGDKDQASHTVPLQKFKSGVYHVLIATDVASCGLDIKSIKSVKEARFAGDLANSLVAAGQDLPVELMDLAMKDGKFSSKRDARKAESKSAPSHVVHGRSAAVNSLKTGMMAPLMQG >KZM95911 pep chromosome:ASM162521v1:5:36686255:36690979:-1 gene:DCAR_019153 transcript:KZM95911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLSSFSQEPDTSRWLSIDCGADGLPFDDDLIIWDTDDGYIQSGLNELVRTKTSRNELNTLRAFPDKAVEHCYNVSAVTQTIRYIIRLGFYYGNYDGLSKPPRFDLFINHVKWTTVDTSINNGLPFYEEIIYQNEKSGSFKICLVQIKDGGVPLINSIETMVVFDELYPEMDTSATYSLVTRTNLGGPEVRYHIDTDEMYNRIWSKDATPYTRVTGFPDFNTYENNPPITILEDAIVANGSDPITLIVNLPQSTQQSAYIVLYLTNLGNPFDSNQTTTLKFEINSQDQGTVNSTGNGKTTVVAKYPVTVSGPTINITLSLADEFSLPPMISAMEVFTKWDTGPSKSTAAAEFFSFAYSLILLCMLVLVSAGPDTSRWQSIDCGSERSWEDRLLTWRSDYDYSQTGWNKLVGTNTTRDEFNTLRAFPNGSKDDCYNVPIDADMVRYIIRVGFYYGNYDGLSKPPTFDLFINNKKWTTINTSLNEGEPFYEEIIYPNKGSEFFKICLVQIQDGGIPFINSIETVGIFHTLYYEMDTNATYNLVTRINFGGPEVRYHPLTDERFNRIWSKGYTTYANVSGLPTIYAATSSNYPPESVMADAIESNASDPITLTIDLPQFTPQSAFIVLYIPQLVYTNKPNQTRSLKIEIDGKDQGTADTIGYNENTVVTKYPVTVSGPTINITLSRVNESSLPPMIAAMEVFTKLDMNVSAAAPEYFSFACSLILLFMLLSVA >KZM93722 pep chromosome:ASM162521v1:5:9762775:9763389:-1 gene:DCAR_016967 transcript:KZM93722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSNINPKKLFKKKTRSVSRSEQSSFGSSATSSSDDSTHHSKPAGSGTPTSVLPDPTSSDFCDLVQAFKIIDADGDGKITRAELGSLLGRVGSDPLSEEELTMMLRELDSDGDGCISLEEFGAISSAFGPPSGDVELREAFDFFDTDHDGKISAEELLCVFTSIGDGVCSLEDCRRMIKGVDKNMDGFVCFEDFSKMMLHQYR >KZM93123 pep chromosome:ASM162521v1:5:2616493:2617404:1 gene:DCAR_016368 transcript:KZM93123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQILSVPDSYLNLTLPAPDQETGSRNDFSYDVSDDVTRISPHLMDYDLGDILALAKIQAQRSAELPSNNIISRRTDSALQDGALSWAAKFYAAELEAAGEMENVRCAILWDTGYYLKAADREKLFVQVLAEMEMNSSWQQERLREFCQANKIMMAAYSPLGRSFWGTKGVLESELVIVSGAS >KZM94894 pep chromosome:ASM162521v1:5:26813528:26817063:1 gene:DCAR_018136 transcript:KZM94894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTKGGDNTKKQHLTTTTTSSSPPTHPQQASAAAAQDSSTDSIPHHHHLVGVSGSPFLSPPPPLYTNIPAHGSATVPSSFDQNQIVVNTNPKRPRYTSAGQWKLLPSPSPSQKQTNLPYQQVNIPLVISNESTPSPSNQPPSTIPPPPPPPAAAAASSSDTAASSPSHPSLSGSQECANLSEAGGDEQLLQQQEQRLQMRKGKIVSPPWKPNESLWLARAWRIQYEGGSTSAPPQEGQGGSGQPATGRSATKTRAEKDKQVSEYLKSHGVNRDAKQAGTKWDNMLGDYRRVYKWERFGGRDQTGRSFFRLSSVERKTYNLPTSFDEQVYDELCQFMGSRMRTLISNSRPGAFDDPCPSHLLLRSLPPPPIFRDDNDIPLTARAKQLGLTSGGEAFGQGGRGSLLGYDTGCSSDVMLTPSRELKRIGKVRMIWEESVNLWGEEGEHHRGRVKVQGLSFLNADELTYLDDSMVACTLETFEDATPLKGFSVDRFLSGQQIKVFGRRNSSTSAPPNPASFSGFHDRMQLPSTEPSIRSITAWEFQDPTEYYLGCLKIPPSTLPSLVELSWYLQEPPAEELRFPLRKDVYRDLPQGKELFFTTSSELLDCRAIMYEILSPIIRSNPSLTASTRDSFIGLWDDCINRLISKFCSSEMVFIRKPSSHSAEDPFQDQWPNLTAFMRNFCLWRGEETDQVREGQVDPSNSIVEKLSWTYTDLPYVLGYYAVGYIVTFCAFSPSQDGNIIRTDIHTLDLSTPAERLKALIPCWRIAGLLSLLAERCLFHINKGGKNPKLSPYSDFERIELGSGNVVEMTPNTVTKYFSNKRKWCAVKEIYDFLDQRIPHSEIIFRDSEKDLALTFKPRGCKFKPKNLDQLVDALKCVTEALVALHDLSFMHRDLDWDKVVMRRSSENIEWFLTGFEEAVGAPQIYPHAAASGKHAPEMGRGMHGVKVDVWGVGMLVKSSGVASIPKLLRELQNRCLDPNPEQRPTAADCYHHLLQLHSSMPPSTTFD >KZM94898 pep chromosome:ASM162521v1:5:26853017:26855933:1 gene:DCAR_018140 transcript:KZM94898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINPTLGHYNETIVNSKGEEHERSLVICFGEMLIDFVPTVGGVSLAEAPAFKKAAGGAPANVAVGISRLGGSSAFIGKVGEDEFGYMLAEILKENKVDNSGMRFDPAARTALAFVTLRSDGEREFMFFRNPSADMLLRKSELDINLIKKATIFHYGSISLIEEPCRSTQHYAMKVARKAGCILSYDPNLRLALWPSANAARDGIMSIWDQADVIKVSEDEISFLTGGEDPYDDNVVLGKLYHSNLKLLLVTEGSEGCRYYTKEFKGRVSGLKVTPVDTTGAGDAFVGGILSILASDVNMYKDEKKLKAAILFANACGALTVTEKGAIHHILTKVAG >KZM93875 pep chromosome:ASM162521v1:5:11750193:11754927:-1 gene:DCAR_017120 transcript:KZM93875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRVCLHRFSLSISSIPPYISHLRPRIRHFAAVSASSHSTPNSTSTDITTTPPPSPRPRWKPMCLYFTQSLCTKMDDLAHLNAFSHTFSEELNINAAADMKHVRPQQFDHFLVLDLEGKVEILEFPVLMIDAKTLAVVDFFHRFVRPSEMGEQRINQYIEGKYGKIGVQSVWHDTAIPFTEVIKQFEDWMAKHQLWAREYGGHLNKAAFITCGNWDIKTKIPEQCKVSRMKTPSYFMEWINLKDIYLNFYKRRASGMMTMMRELHMPLVGSHHLGIDDTKNITRVLRRLINDGAVLQITAQRNSTGSVEFLFENRIR >KZM94046 pep chromosome:ASM162521v1:5:14301857:14311352:-1 gene:DCAR_017291 transcript:KZM94046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTAYDSYQLITNCPTKIDAIGSYNLNLYVSCSDGCLRIYAPQSSGADRSPPSDRLLQTLELKKEAYVLERTVNGFSKRPMVAMEVIASRELLVSLSESIAFHRLPNLETVAVIPKAKGANAYAWDDRRGFLCFARQRRVCIYRHDGGRGFVEVKEFGVPDTVKSMSWCGENICLGIKREYTILNSTNGALTEVFPSGRIAPPLVVSLPSGELLLGKDQIGMFVDQNGKLLQEGRISWSEAPAVVVIQKPYAIGLLPRHIEIRFLRAPYPLIQTIVLRNVHCLVHSSNTIIVALENSIHGFFPVPLGAQHLEILRKLCPCVSYSHQKIQXEEALSLCKLLPPEDSTLRAAKEQSIQIRYAHHLFENGSYEEAMEHFLESQVEMTYVLSLYPSITIPKTSILSVTEGFMDITGDASDLSRGSSGISDDLDSVPQLSESDESAALESKKMSHNTLMALIKFLQKKRFSVVDKATAEGTEEVVSDAVGRHYKSYETSRHNKSNKGRLNIPINSGAREMAAILDTALLQALILTGQPSSALELLKGLNYCDLKISEEFLHKRNQYVCLLEIYRCNAMHHEALKLLHRLIEDSKTDKHQIEITQKFKPEMIIEYLKPLCGTDPMIVLEYSMLVLESCPSQTIELFLSGNIPADLVNSYLKQHAPNMQATYLELMLAMNEHGISGNLQNEMVQIYLSEVLDWYTDLSSKENWDEKASCPSRKKLLSSLEGISGYNPEVLLKRLPQNALYEERALLLGKMNQHELALSIYVHKLHVPELALSYCDRVYESGLHQQSVNTYNNIYLTLLQIYLNPKKTIKNFEKRITNLVSSQSNSTPKLSTWTAVKTKGGRLSKKIAEIEGAEVMRISPSSTDSGRSDGDADDHGEEEGSSIMLEEVLHLLGQRWDRIHGAQALKLLPRETKLQNLLPFLGPLLRKSSEAYRNLSVIKRLRESENLQVKDELYDQRKTVVKITGYEGCGKERNTSSETIVEMRMPAV >KZM96123 pep chromosome:ASM162521v1:5:38470631:38473753:-1 gene:DCAR_019365 transcript:KZM96123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKLTDYERKRLDNIKRNAEVLASLKIHSTLNDLSSSAKSQRAKTKSYKVSPKKKVKGESPIVIRRSLRSRGIKPDELSAGGLKDDYTETPNKKAKTIGSSPNKKVKTVDSSRELGPISMRDVYVGKESEDRKFVETMLNVSKGSRDSGGDGLEGGCEGKEIKGLDTMSLEEGNVARVVPGRILSVRVFPSVDRRMVVVGNKFGNVGFWNVDCVDESDGIYVYQPHSSPVSGIVVQPFSTFKMFTCCYDGFIRLMDVEKEMFDLAYSGADSIYSIAQRADDVNSLYFSEGRGELNIWDGRTGKPSSSWGLHETRINSIHFNACNTNMMATSSSDGTACIWDLRKVVADKAEALNTVNHERAVHAAYFSPSGSILATTSLDDSIKLLTGANYENVSKIHHNNQTGRWISSFKGIWGWDDSHVFIGNMKRGVDVISTAEKRCIDTLVSPEITAIPCRFDVHPHKFGMLAGATSGGQVYLWTSSC >KZM93528 pep chromosome:ASM162521v1:5:7509983:7510357:-1 gene:DCAR_016773 transcript:KZM93528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVSILLTKLNWLNSRSTSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMNWSPMVETSSSEIYLKNLTSFLRSAATWWEEIGWKH >KZM93811 pep chromosome:ASM162521v1:5:11022840:11024473:1 gene:DCAR_017056 transcript:KZM93811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKDIRDEAGPSEQRASNTNGWPTDFIEKFGSASLHSKEETLSGKEFMSNNAYDDYTSQTASQILWSTGMLSEPIPDGFYSVIHEKKLKDRFDYVPTLDELSALELDGLRADIILVDSEKDKKLSMLKQLIVTLAKGLSSNPAAMIKKIAGIVSILL >KZM96200 pep chromosome:ASM162521v1:5:39052240:39054596:1 gene:DCAR_019442 transcript:KZM96200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKTDSEATSLSPSSPTHSPRRPVYYVQSPSRDSHDGEKTATSFHSTPTASPPHSHSSVGHHSRDSSSTRFSGSLKPGSAKIPPSAKPGHKQIAVIDEENYYEHEKTSKGVPRRCYFVIFLLGFLVLFGLFSLILWGVSKPQKPEITVKSIKMETFVIQAGSDGTGVATDMVTMNSTVKLVFRNTASFFGVHVASTPVDLSYSQITLASGAIKKFYQSRKSQRTLAISVNGNRIPLYGSGANLSSNTTGSPTLPVALKLNMIVKSKGSVLGKLVKPKFKKKIECSVVYDPKKHSAPISLKNSCTYK >KZM94219 pep chromosome:ASM162521v1:5:19443428:19450154:1 gene:DCAR_017462 transcript:KZM94219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTPLSQTLFTLIPKFSHLSLTSTSRPSKLIQMGGGPRTFSGGVNKWKWKRMQAKKAEQLLKARLAWERQIYETRKRAELKASADEQVQVLADRFQKPGGLDLWSEKDGPELFKTVDRFPSPRFFLKGVVHSIRPYGRVNEEIDEFGGLGNEKRVQIGSLDRVSDGIDEFGGSGGEKGGVVNVVMGIAPEIGDALLESTQVRKVTFTGSTAVGKNLMAAAAGTVKKVSLELGGNARCIIFDDAELGVAVKGTLETKFRNTGQTCICANTILVQEGHSCVKVDGVAPHKPLDSAILIGLT >KZM94258 pep chromosome:ASM162521v1:5:20127830:20128876:-1 gene:DCAR_017501 transcript:KZM94258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVQPLTDAAKQFLAVRYRDISKFTDEVMRLPLASIEAIFSCDDLQVASEDFVYHLILKWSRPHYPELEERQEILVSRLSRFIRFPYMSCGKLKKVLTCTDFDHEECLNLFPSGRVYSQVFHLGGQAESTPSNTRATTLLLGARQWATGTFLVFHGLSSFLKTVNTSFINGVLHLRAELTIR >KZM94608 pep chromosome:ASM162521v1:5:24131131:24133020:-1 gene:DCAR_017851 transcript:KZM94608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRWTVTMRFILLPWKPELIFTGTSVVGINPETHKFCSHEDSWDSINDNEYFSLEGVWDVIKQLWIYKSPDFDTPKFQILKRTATYEVRRYSPFTVVETDGEKLAGSTGVNAVASFPDPNQDISLRRVEGGTAAVLKFSGKPTEDIVSEQEKTLKSSLIRDGLIPKTGCLLVRYNDPGRTWSFRMRNEVLVWLEEFSLD >KZM94801 pep chromosome:ASM162521v1:5:26057126:26059265:1 gene:DCAR_018043 transcript:KZM94801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METERELIQLFEAVKRAADDAVADKDLEFSPAEKRCLDGLKQLQKFPVNYHLLVSTQVGKRLRHLTKHPRKKIRELASMLIGLWKDIIVEEAASDKKNGSSDKKDSEKSVETSESVKVQKGNSVKVEETCKGGTVKLERNNSSTTRKLEKVVDSETSSSAKRTKGADGVKMKNNSVVESIRVEEKVNKEVSGTGDLGKAASNGVSPPKMSSVLLCKDPLRDKIRELLSEALLKVSGEISEDQREAADAVDPYRLAVSLESVLHENWGKSNGRQKIKYRSVVFNLKDQHNPDFRRKVFLGHIKPEQVVDMTPEEMASDDRQRQNQEIKEKALFDCERGAKRQASTNQFRCSRCGKNECTYYQMQTRSADEPMTTYVTCVNCDKHWKFC >KZM96434 pep chromosome:ASM162521v1:5:40925397:40932066:-1 gene:DCAR_019676 transcript:KZM96434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVNNVDLSSRCVLRIEKLALMIEKSKHLVAFTGAGISTSCGIPDFRGPKGVWTLQHEGKGVPEASLPFDRAMPSVTHMALVALERAGILKFVISQASYLNVDSLHLRSGIPREKLSELHGNSFREVCPSCGAEYLRDFEVETIGLKETPRRCSSMNCRSRLRDSVLDWEDELPRKEMNLAEKHCLVADLVLCLGTSLQITPACNLPLKSVRGGGEIVIVNLQQTPKDKKAKLVIHGLVDKVIMGVMQILSMRIPPYVRIDLFQVIYGCNSGSKYARWTIKVCSVHGESAPLPFIKSVEVSFPERPELKTALLQQQPFSLKRELIRSRPVKVVLKLNLSDGCACRFTTVELLVDFEATTDYFTQDKNVVFENLRDTAIQSECCGQLSVVEKKMLPAPKKESMTYAVVTNITEYTGVSTSSPLFKVNCKGKRGSRKKLSGDGKVVVKKHEDLISAETPFKKVRAC >KZM94459 pep chromosome:ASM162521v1:5:22721966:22724112:1 gene:DCAR_017702 transcript:KZM94459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCFRWSQALLFLFITCTATFIMADAALKTYQFDVQVKNVSRLCHAKPIVTVNGMLPGPTVYAREGDQILINVTNHAQYNLSIHWHGLKQFRNGWADGPAYITQCPIQTGNTYTYNMTVKGQRGTLWWHAHILWLRATVYGAIVIMPKEGTPFPFPQPYREANLVLGEWWNGDVETIVKQGNKLGLPPQMSDAHTINGKPGPLFPCSEKHTFAMEVESGRTYLLRIINAALNDELFFAIAGHTLTVVEIDAVYTKPFTTSAILIAPGQTTNVLVKADQSPGRYFMAARPFMDAPVPVDNKTATAILQYKGVSNTLLPTLPTLPAPNNTNFALNYTSKLKSLNTPQFPANVPLQVDRRLFYTVGLGQNACPSCQNGTQLTASLNNITFVMPKTGLLQAHYFNMPGVFRTDFPDRPLAPFNYTGAPLTANLGTTLGTRLSKLKFNSTVELVLQDTNLLTVESHPFHLHGFNFFVVGSGVGNFNSKKDPAKFNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTMWGLKMAFVVENGKSAEESVLPPPKDLPPC >KZM96237 pep chromosome:ASM162521v1:5:39439690:39441407:-1 gene:DCAR_019479 transcript:KZM96237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEQVDVSLNLSKHILLNYGKDSNLVFSPISIQVILGLVAAGSSGQTLDQLLSFLKARTIDELNYLYSSIVDRVFAKDSSSSGPCLSLANGVWLQKSLTLKPSFKEVVETVYKAACRQVDFESKEEDARNVVNSWAEKETKGLIKEILPSGSVDSSTKLVFANALYFKGAWSCEFDASRTKDNEFHLLDGSSIQVPFMTSNKKKQLIRVFNGFKVLGLPYKQGDKRQFSMYIFLPDAKNGLPRLVEKFGSVPGFLERHIPYKEVEVGAFRVPKFKFSFEFEASDVLKELGLVLPFTRNDDLTEMADSPVGPKLCVSRIFHKSFIEVNEQGTEAAAVASVSIVLLCRKFYIDFVADHPFLFVIRENNTGSVQFIGQVLNPSST >KZM96347 pep chromosome:ASM162521v1:5:40277163:40277384:1 gene:DCAR_019589 transcript:KZM96347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRFWFCIILVLVSLTCHEARALDSFQSKRTQAFAETAREIIRVSMRKQEINGGFYRTHRLSPGGPDPKHH >KZM95386 pep chromosome:ASM162521v1:5:31662117:31663591:-1 gene:DCAR_018628 transcript:KZM95386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMANRLHKSLFQTARHFRTAAGSSPSPPSRRRPKHAVATMKKTEDKSEWWVIDGEMHEIGENVPPRERFVIPRDNIPNKRRKQLREQFMRRTRLVLKESEHEPWCRRYMELYQELRENWERLYWDEGYSKKLGQDHAKYDSAEDDDQDFSPYRFLSFENNPVLFFF >KZM92997 pep chromosome:ASM162521v1:5:1573033:1573445:-1 gene:DCAR_016242 transcript:KZM92997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKGNNTLARGIQLNSEIEEHNKARESEVPLVQEEIPNLASDNFFDLQ >KZM93922 pep chromosome:ASM162521v1:5:12244115:12244942:-1 gene:DCAR_017167 transcript:KZM93922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKGGHTQPQVPNIVSATHLPFGINQYDSNQIAGTPGRGSVVTSVGSVGSTGPAPSGAQLAQQQLAYQHMHQQQQQQLHLQLQSFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPIVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTEIFDFLVDIVPREDIKEEALTSVPRGPVPVGGPSDSFPYYYMPQQQSPQPGTSGMIMSKPVMDPALFSQPPHPFMAPQMWPQAPQQAPQQGPHQAHQQPQSPSDS >KZM94688 pep chromosome:ASM162521v1:5:24963485:24965140:1 gene:DCAR_017930 transcript:KZM94688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGDRKRFALLQAARDSEYVKKIYGGYFNLFVEAFGDEGETWDVFMVVDGEFPLLTDLNNYDGFVVSGSPYDAHGDDCWIRELCVILQAIDSLQKKVLGICFGHQVLCRALGGKVGKSNSGWDIGLRNVKFNVKNIVNTSSCSFLNDFDANDFPQLLYIIECHQDEVWEVPLGAKVIAYSEKTGVEMFCYGDHILGIQGHPEYPLDIIHNLIDRLLCNGSIQIPEKYRIYNPLNMLNYIPPSDYCNNIVQEDLAENARLQLQKREPDRKCWERICKGFLKAKISTKSVDKNPSDQYNGCLKADNLTENVDKNLNNLCSSFLKEEILKEHVENNLNDLCKGLLKAEILTENVHSSLNDLCKDFHKAEILAESVNN >KZM92998 pep chromosome:ASM162521v1:5:1582229:1585990:1 gene:DCAR_016243 transcript:KZM92998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKSKKKRGKREKNKAKLPLAAGEKMLQVEKNTEGLNLLLVEAKPTENESNGKVVVKQEEGVSSKGYANTRPFFSHMAKLSKPVICFDPYIVYEFKPELCPRFPEKRETLSLDAGFSQTPVLQKILRYYDFKASPYYIAREKTSFSLGRDLSTISVNNLEVVSSRIAPSVVAVSTFFGLERKFDCTGLIIHWSSSEKEATILTSAKLLYNPKDSEFEFHLIVRMADGTLLLAKEEYVDYSYNLLSIKVKPMVEPKVVDLISGQDLVDGMKVISLSRSFFTTAFYSSVGKLCEYPPSFGCSELLTTDCGIPEIGEGGPLVTDEGYVVGIDFFGLDHCAHPLPISTVLSCLEMWKSFSSVVRPWFGIGVYDVNQACKLLSIPAKKVTELNRDSYVLVEKVYEGSVASKNNIKSGASVATLNGTRIESVKEVF >KZM96138 pep chromosome:ASM162521v1:5:38576334:38578336:1 gene:DCAR_019380 transcript:KZM96138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEDAIVETPAPAAAPALGEPMDIMTALQLVLKKSLAHSGLARGLHEGAKVIEKHAAQLCVLAEDCNQPDYVKLVKALCADHNVSLISVPSAKTLGEWAGLCKIDSEGNARKVVGCSCVVVKDYGEESEGLNTVQAHVKAN >KZM94563 pep chromosome:ASM162521v1:5:23640570:23646102:-1 gene:DCAR_017806 transcript:KZM94563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGPAKTYGGGAGGKFRKPPARKPPSTPYDRPQVNQTTGERSRGWLSKLVSPAHYLISRGATKLMPAFFSNSVADALDPGNDETELVANPENDEYHSPDPEIYRSQSEAGPSRPADSLEKNSSSLELEQDNRKKSNGDSELSKIEQLIKGKLFSRDEISRLTDILNSNVSSDVERETKKPSLTAEGEAKMKVSFAPEYRMITTEIKDDVNRNVLRVSSPLKESNIQNNVNASPIDIAKAYMRTHTTELVPSAYSTMSKSERALYRGDDIQANFLNPQVPQPSSRPSKGPIVPDQHGYATPLGQRGSFGLQKFARTPYSRTIYSNSESRPKLTQQTDRRPLNISPSPFKQSRTPIFGHSRTPIFGKVKSRTDDDSGYGTGGAIRRIRSKFASETPGRGSASLYSVKNVPSPVKESNASKVFFSKEKNMEFIGTSGAANNQPVESLEYGSKEGLPTSSPQSSPVARGILEHLANKPTPNDIAAELKYASAWKTSPKKNDVLQTEITSSTQLSGSANLRNNSNCLTFSAEGSDGKYLTSREKSQESDALNAITMKSKVNGDSNGTNSTIAEPMFGIKNVSDFQSKRTHENAVLDARRKDQDTNSWPFHKQVNGKDIMQKTNHAEGSKLLDKQPYIFSGAKRTLKSISVEKKGGLGFTFPVSAPGLLSEPPTPSIFPTFSSADMPQATEDSTLPSYTFGTKRSTPRLDFSSFPSTSSFSTDDTASEIKFSFGSEKNTRVSFNLVGKDPISYSLL >KZM93561 pep chromosome:ASM162521v1:5:7855777:7858469:-1 gene:DCAR_016806 transcript:KZM93561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARNRVPGNGYRSPMGMGGVGPASRISPEGGGRGNAMYNSEYRGYSRGFGRGQTKPFQPPQPRKGDVFTEAGRLATEYLVSKGILPQNVYSGKWQNGGLKNLVGNLQGQQGLRPQDGDSQTESRLSALGRLGDAGINDMGTSSKRRFPDEYNTADSRDHIRVKKRMESFRGNGSQRNQELGRSSSWSEKSGVSADKNNNDDAFNEYQEEKQASKESVSGEQKSQSGDVASKHSNSGPSESPHEKNQVTDDVALKACSSSTGIINPCASDPDPKEKPKEINITNLGSGEVKGGNCDNETEKQGVIEESLVHHSAEDNLESKNGSNLLRLCTFAKVPTRTRSSLTTKASKVGSLAITEDANTNDGGLSLVTGTSVEAQHISGPSGNGSSNQTWDLKVDPDASNLQKDAADLGTGHEIEQGKCTRSRSFPDRALIKEEGLNEWAGGYGRSNTMDSWKGEKGSMQHSDSKDGYKVKEWDPMIDAQVDLYNSNSVQNSKGLVEGMHSSSEEVTVAAEQKELLDISLSHSGVVKSEAECTEEKQLMSGSFKICDLNLMGASDLHDNHDDHPVLIYPSNSEKRKSEAGHVDVSLSMSNNCITPEKFSRRGANGEEVEVIDLENDCIQDMALNNPNQKDETLFTGLDSLTSNTQNVNDISGVQDGYGLMISELLGNDMPNCSSVPADVNSLHNDMGLNNGEGILGDDDSIYMSLGEIPISMPNISLHNILVFYNLNCS >KZM95269 pep chromosome:ASM162521v1:5:30674646:30679275:1 gene:DCAR_018511 transcript:KZM95269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSWSNQQTMHSTPWFNHLRKLGSLIKCPICLELLKGPVLLPCDHFICNSCIQNQAKNGSKCHVCNEQYADRAEIRSAPYMENVIAVYKSLCSVPLAELFHPPASAITYLGLKKKPFETSAGDNSISGRPLCFPNGCQTKTSIGQKGYPCIEEEIALESINMTKLKSDLSPRTCSFSEINKDSKKRKKSNLSEPDECGFCHSSKVTDETGPILHIANQELLHGDLASFSKVISIHKVCIDWTPMVYFEGEKIKNLDIELARAAKLKCSGCGEKGAALGCYVKSCRKTYHVPCALKIPNCKWDSDNFLMLCPSHKSNKFPRKILQREKYDRVEAGPLASRLSSGESNFWSASPHGAKTWVFCGSALSSMDLCYLVKFARRCDATVARSWRPDITHVITATDANGACTRTMKVLMAILHGRWIISMDWIKSCSEANRPVDEKHYEVTLDNHGSRNGPRTGRQLALDNARDKESHMLEIPEAAEDLALRIGSRVVQHTWILESIAACKLQPLSCY >KZM93808 pep chromosome:ASM162521v1:5:10995109:10996302:1 gene:DCAR_017053 transcript:KZM93808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKDWQGLLNPLDLSLRHLILRCGDFCQATYDAFNNDENSKYCGSSRYGKKDFFQKVMLTNASQYQVACFLYATAKVSSGQAFLLRSRSREAWDRESNWIGYIATTTDEASAALGRREIYVAWRGTTRDYEWINVFDPKPASARPLLSQKNQELSGGNASSSSDEDDDLPKVMQGWLTIYNSDDPNSQFTKLSARAQLMSKITQLLKKYEGENVSITFAGHSLGASLSVVSAFDLAENGVTVPISAFIFGSPQVGDKRFNDKLKEFANVKILHIRNKIDLIPLYPSILLGYVNTGVELLIDTRKSPNLKDTKSPGDWHNLQTMLHIVDGWNGDKGEFELKVKRSLALVNKSSAILKEEYLIPGSWWVEKNKGMTIDENGEWGFAPPADEDLPVPEF >KZM93250 pep chromosome:ASM162521v1:5:4050376:4055685:-1 gene:DCAR_016495 transcript:KZM93250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRKRKNDTVEPQDTPNPQNDVVFSLNKIELIHPSTTTSAAAATTTTTNHPARRRGRPRKTQPHEPNQINSPKRQAIDQNGNANGVVDHHGSEDWENVAKVVPEMEAVVKVFCVHTDPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYVATVLAIGTECDIALLTVADDEFWEGVSPVEFGDLPTLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYAHVSTELLGLQIDAAINSGNSGGPAFNDRGACVGIAFQSLKHEDAENIGYVIPTPVIRHFIQDYEKNGGYTGFPILGIEWQKMENPDLRMSVGMKSSQKGVRIRRIDPTAPEFQVLKPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGESAAVKVLRNSETHKFDIKLESHRKLIPAHNKGRPPSYYIIAGFVFTTVSVPYLRSEYGKDYDLEAPVKLLDKLLHEMPQFPDEQIVVISQVLVADINIGYEDIVNTQVLAFNGQPVKNLKSLASMIVVLQTKSAKAATLDILTTHCIPSAMSDDLK >KZM94264 pep chromosome:ASM162521v1:5:20238044:20238594:-1 gene:DCAR_017507 transcript:KZM94264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVAVLQKTLPAPFVGIAVFVIKGKKVLIGRRLSSVGHNTFFVPGGHLEFGESFEQCAVREVREETGLDVENIEFLEVGNSVILNEPEPAHIVCIFMRGALVDGDQVPENVEPDKCDGWEWCDWENLPRPLFSPLEDYVQSGANPFSIA >KZM95037 pep chromosome:ASM162521v1:5:28134692:28137265:1 gene:DCAR_018279 transcript:KZM95037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGIHILVSVMYICFIFEPADAADGPPQQFLLSCGNLKGGVDADGRKWEDDTKFLVKADKSGEAKADMQDPSLPSEIPFMSARIFNAETSYKFDINGSNRHFLRLYFYPSSYPNVNISNSYFGVNVGETTLLNNFSAALTATALSQAYIVKEYALAPSDLNDLTVTFKPSDKYSGSFAFVNGIEVIETPEMFDDETGMVGFAGDSGVTVEATTASMETMFRLNVGGQYIPPTNDSAGLMRSWYDDTAYLFGASSGVTNAANTTIDAGNGTAPLAVYSTARTMGPDPNVNKNYNLTWVFQVDANFTYLLRFHFCDYLDEKVNQRVFEIFINNQTALDTMDIIGLAGSANSPMKKDFAILVKDNKGDNEIWVALHPNVSVKPEFFDAMLNGLEIFKLGDSKKNLAGPNPQISDLMQKQIDHNTKGFASKRSYTTAIIGGAAGGAAAFGIAAALLVVINNRKRRYPGQDSVSSWLPLYGQTHTSSSKSASGRSHGSTHISSDAACNCRKGTLEDMVDPQLKGKISQECFKKYTDTAGKCLADHGTDRPSMGDVLWNLEFVLQLESNVENPKPASTKGSMDLDNGGPRDQSSIMAMHRNTLSLGSEDNDGDGSEVFSQIVNQKGR >KZM96089 pep chromosome:ASM162521v1:5:38142158:38146211:-1 gene:DCAR_019331 transcript:KZM96089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNPIPGTSVYPLHRSKTIHLVRHAQGIHNVAGEKDHSAYLSQELFDAHLTPLGWQQVDNLHKHVHASGIIDRVELVVVSPLLRTMQTAVGTFGGEAGADGTNVPPLMMENAGKSNRPAISSSKCPPFIAVELCREHMISYSFFGSQGVRELWTRKEKEIAVVTHSGFLVHTLREFGDDCHPSIKSEMGTFFNNCELRSVVIVDKSMIGSDSSTTDFPGKIPSGADVPSDTAAT >KZM95773 pep chromosome:ASM162521v1:5:35424925:35425805:-1 gene:DCAR_019015 transcript:KZM95773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSGINLVMTVIGFAVSTMFIVFVCTRLICARIQLNASRRSFHITSRSDLSILERGLHGLEPLVVANFPTKKYSDQFFSSAEDTQYVN >KZM93904 pep chromosome:ASM162521v1:5:12010551:12017511:1 gene:DCAR_017149 transcript:KZM93904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVLKAARASGSLNLSNRSLKEVPDDVYKSLDAVGEGENWWEAVELQKLILAHNDIEFLKEDLRNLPQLTVLNVSHNKLSNLPSAIGELTMLKLLDVSFNLISDLPQEIGSAAALVKLDCSSNRLTSLPTSLGNCLNLSELKASNNCLTMLPEDLSQCSKMMKLDLEGNKLSMLSENVIASWTKLSELNAAKNCLSTVPESIGNLTRLIRLDLHQNQISLIPSSIKGCSSLTELHMRNNSLSSLPPEIGELSQLGTLDLHSNQLKEYPVEACKLNLLVLDLSNNSLSGLPSEIGRMTTLRKLLLSGNPMRTLRSSLVSGPTPALLKYLRSRLPTEESGPSSAKKENVVDMAARLSINSKELSLGGLSLTTVPAKIWESNGITKVDLSRNLIEELPVELSSCVSLEVLILSRNKIKAWPSAILKSLSNLLCLKLDNNQLGQIPADGFQAISKLQILDLSANAGALPEQATFSSLPMLQELYLRRMSISEVPSDLSSLQQLRILDLSQNAIQSVPENTTIRSGGR >KZM94328 pep chromosome:ASM162521v1:5:21120255:21120749:1 gene:DCAR_017571 transcript:KZM94328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVPEGYESLSCEFHTDSALLLSQLLDESHVEDYNDERLISVIRSLEAEIIEPAMMTEDDASFMELEWDDNLVDMGNYMWDGLQQDLSNSENCSTPSDMDDLDNYNWMDMEERIGFGVVGEDYYQNSQYRSDGYNGNHHSYVTSALEEQSYGSLWQDTDVVM >KZM93404 pep chromosome:ASM162521v1:5:5723575:5728021:-1 gene:DCAR_016649 transcript:KZM93404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGRIRGRLAAAAKKSYGLRNTAALISSNGFNQQKQLFHLYTPLNHSSRIHNSVVGGHMSLLNDSTPFLYYSQRFGLSSSASPEADEKAATKKSENASKASEQDEVSDHRKSSVSEQEEESGSDEDLSTEDLVKLVAEKEEILKAKNKEIETMKDKVLRTYAEMENVMDRTRREAENSKKFAIQSFAKGLLDVADNMGRASSVVKDSFAKMDTSEDSTGAVPLLKTLLEGVEMTEKQLTEVLRKFGVEKYDPMNEQFDPHRHNAVFQVPDPTKDPDTVAVVLKSGYMLHDRILRPAEVGVTVAADKNESSED >KZM95128 pep chromosome:ASM162521v1:5:28932755:28944530:1 gene:DCAR_018370 transcript:KZM95128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPPVAPATASRRSKRPRVLVYGKSVVDGQSPEHENSFEDFEEPRPKGSKRTRVAGESSAPEPESYNTLIEVIKGNGKHIPRVVKLWVESYEKDPKPAMVELLTMLFEACGAKYRIQGEFLDETDVDDVVVDLVKLARDGEIEDYQNSKKKEFKNFKDNLVFFWDNLVAECQNGPLYDQVLFDKCINFIIALSCTPPRVYRQVASLMGLQLVTSFINVAKVLGAQRETTQRQLNAEMKKTSDGPRVESLKNRVTVTHENITMVEGMMRKIFTGLFVHRYRDIDPDIRMSCIQSLGVWIQSYQSLFLQDLYLKYLGWTLNDKSAGVRKASVLALQNLYEVDDNVPSLGLFTERFYKRMLELADDIDISVAVCAIGLVKQLLRHQLVPDEELGSLYDLLIDDPPDIRRAIGALVYDHLIAQKFNSSQSHSSGEEDDSSEVHLVRMLKILGEFQEDQILSIYVIDDVWEFMNAMKDWKRIISMLLSENSSTELTNEDITNLTWLLCASVKKSVGERIVPATDNRKQYYTKAQRETIEINRKNITVAMMKNYPQLLRKYMVDDAKVPLLVEIIVYMNLELYSLKRQEQNFKTVLQLIKDAFFKHGEKDVLRSCVKAIIFCSSESHGELQDFAQNKLKELEDELIAKLKSSIKEVADGDDEYSLLVNLKRLYELQLARAVPIDSVYDEIVNTLENFRNMDDEVVSFLLLNMHLHVSWSLHSIVNNKTIPEASVSSLMTKRTALFAQLEHYLPPLTGSQEESKCGHLLACRTNFNSTKLEHLGYCPDVSVLRGFWGLCEQQLNISEEAEEEDAKKEYVEETNRDAVMIAAAKLIATDAVPKEFLAPEIISHFVMHGTNVGEIVKHLISLLKKKDDDISYIFLEALKRAYRRTMVVMSSSDDVDDISAKLYKDCKDLSARLSGTFVGAGRSKHRADILKIVKDGIEFAFLDAPKHLAFLDFVVNFVSKLPRPDILEILKDVQKRTENVDTDVDPSGWRPYYTFKDSLQEKYAKNEDLQADDKPGASIKRRGRPRKKDNIHGKKLFDEQSSSEEEDPISVDDHNDEDEEDQQEEDEDEEAPLIHSLRASQKLKSLRVSREEKKGQTSAENLAASRTSGASS >KZM95611 pep chromosome:ASM162521v1:5:33861733:33861936:1 gene:DCAR_018853 transcript:KZM95611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSFSMRSQQPNYGPPPKRGQIKAQIFESVAETFVSMALKAGESLIKTKQSSASFADQVPKASD >KZM95920 pep chromosome:ASM162521v1:5:36785296:36790400:-1 gene:DCAR_019162 transcript:KZM95920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYNLTLQQATGIVCAINGSFSGGKSQEIVVARGKVLDLLRPDDNGKIQTILSVEVFGAIRSLAHFRLTGAHKDYIVVGSDSGRIVILDYNKEKNVFDKIHQETFGKSGCRRIVPGQYLGIDPKGRAVMVGACEKQKLVYVLNRDTSARLTISSPLEAHKSHTIVYSIVGVDCGFDNPVFAAIELDYSEADQDSTGQAASEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAAMHKQKSMFFFLLQTEYGDVFKVTLDHDNDRVSELKIKYFDTIPVSSSLCVLKLGFLFAASEFGNHGLYQFQAIGDDPDVESSSATLMETEEGFQPVFFQPRGLKNLVRIDQVESLMPIMDMKVSNLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFTNATLVLSIGETVEEVSDSGFLDTTPSLDVSLIGDDSLMQVHPTGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVVALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSLQSLSSPPDSLLFLEVQASIGGEDGADHPASLFLNTGLQSGVLFRIVVDMVTGQLSDARSRFLGLRAPKLFSVAVRGRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNEMTIPLRYTPRKFVLQPKRKLLVIIESDQGSFAAEEREASRKECFEAAGMGENGKKEMENGGDDEDKDDPLSDEQYGYPKAESDKWVSCIRVLDPRTTETTCLLELQDNEAAFSICTVNFHDKEYGTLLAVGTAKGLQFWPKRSFSAAYIHIYRFMKDGRSLELLHKTQVDGVPLALSQFQGRLLAGIGPVLRLYDLGKRRLLRKCENKLFPNTINSIHTYRDRIYVGDVQESFHYCKYRRDENQLYIFADDSVPRWLTASYHIDFDTMAGGDKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGQESLIYGTVMGSLGALLAFSSRDDVDFFNHLEMHMRQEHPPLCGRDHMAYRSAYFPVKDVVDGDLCEQFPTLPMDLQRKIADELDRTPGEILKKLEEIRNKIV >KZM95709 pep chromosome:ASM162521v1:5:34725071:34729111:1 gene:DCAR_018951 transcript:KZM95709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSAIKSVLSFLSEICAINDSHDSWENPRKFASYAKRLHVVISQLVRSSLPEHLPASAKTSIKGIAENLKNVAASMEVYGKKRGHCGHRRMVTENEERVHHTLQKEGEGRPTSKIIQSAIVMDLARALGIDSNNPADLLEQVQLLKKDLACSSSVAERRILTSVERIVDNWSTETQNLAQTFDIDSDDDLHISPFKNFLCPLTKTVMKNPVVLESSLTYERAAISYWFDRCLEDGRDPTCPVTGQVLNSLEQKPNIGLAGAIEEWTNRNIENQIACAVQYLSEDSPSMEHVEKVFDNMHKITEENQLARYKVRIAGIDVLLVKFLKNFTKSMGSHVRSKGLMALLSIAKDEESKKTMLEEGVSRLTIHSLIGSSEIEREYAVRLLLELSSDEAYCAKIASEKGALVLLSSMAGNSEQPSLANLAEEVLRRMEGVEENIKHLAIAGRFEPLLNRLCEGSDDGKIEMACMVGSMTLTNSAKEQIASRSAKTLVDLLANPEGRTPSLRALHNLSCLDDNVTILVDSAVLPAITTIMFEIRDSSAEQKELAAAVIANIVSKPGQWELASADKERNLLQSESIISSLLGLLSLSSAQCQLSTLQILYGIAQSPHASESVMAHIKLCDGLKSIITFLDHAEVEHRTYAFRLTRLLSDRLGEDLANELKKSNKLHLIKNEILDTQATDGKRSDAACILANLPLSDAEVKDVLGVSFVGWIVATLKEQHRSSNLRTSRPISVMDEGLLGLLLHFTRSPDQESVNIIKEHRIMTIFCEQLVFSSKAKERKLAALGLKYLSETGRAISGGESEPQPPHGFCASLFFMCRRGSSKPSACLVHNSPCEEESQLCLLKGNCIKPLVDLLKDDDTSVQIAAVEALSTLALDTYSANFESVVDELERLGVVNAVFSLFIQARSGELQEKALWMVERMLRVENQTQKNALNQPLVRALVEAFKHGNTVTKKHAQDALTSLKQISGVSGKTSVQNRGRR >KZM93219 pep chromosome:ASM162521v1:5:3715126:3716010:-1 gene:DCAR_016464 transcript:KZM93219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEFLRLSSNFNLTVIDFDGSIVVRTNAASFNAVRYNYSPCAACKFLKKKCRPDCILAPYFPPEELQNFISVHKIFGATRVSKLLNEVLPHQRDETLSCLVYEAQARLRDPVYGSVGAISMLQLQVERLQKELDAANAELVNYACGYNHCCCHLDMGGSTAALPAPSACLKIQCVIMLRFHHK >KZM95708 pep chromosome:ASM162521v1:5:34721668:34723937:1 gene:DCAR_018950 transcript:KZM95708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWLIKTLTRVSKSTTNKTKLDTLLFSRHFTSIATAETAELGPTKAGEKPRVVVLGSGWAGCRLMKDIDTSIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEHIGRIQPAISTEPGSYLFLANCTALDTQKHQVHCQTVTDGLAKLDPRKFRISYDKLIIASGAEASTFGINGVKEHAIFLREVHDAQEIRRKLLLNLMMSDVPGVSDEEKRRLLHCVVVGGGPTGVEFSGELSDFIMRDVHQRYAHVKDYIHVTLIEANEILSSFDDRLRKYATKQLTKSGVRLVRGIVKDVQPQNIVLTDGTTVPYGLLVWSTGVGPSPFVQALDIPKAPGGRIGIDEWLRVPSAPDVFSIGDCCGYLEKRQGLYLAKVLNTIGKAGGGYANAAQEMELGKPFVYKHLGSMATVGRYKALVDLRQSKEGKGVSMAGFVSWFIWRSAYFSRLVSWRNKLYVAVNWATTGAFGRDISRL >KZM94423 pep chromosome:ASM162521v1:5:22174322:22176869:-1 gene:DCAR_017666 transcript:KZM94423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGRRNGLIDEDDDDEIALFEEDGVFPQPDSDVPPHLHNLPGSIDEPLEDGDTALHLACLYGHLPCVQILLERGASLESKDEDGAIPLHDACAGGYTEIVQLLFNKANDVDFVKRMLESVDVEGDTPLHHAARGEHVNVIMLLLANGASPTKTNSCGQIPSELADPETDARGILANAAGISVA >KZM95550 pep chromosome:ASM162521v1:5:33212518:33216774:-1 gene:DCAR_018792 transcript:KZM95550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVAGSSSAPAKNDKPVFVRVKRKAFQSPLDAFWLEINDRPMKRPLIDFEKLSLSQSSTKVAEVKTKKIFVQHIETLSDSEVKVDVLRSFVPDALNIADSVNNSEEKRRTFKTENKHEQLLGKAKKEHEIFSKSARFEQVWRKRKGTKEANHDEALEEMCHLYDVVRVDVPETTHEEKENAEMEDEDYMTLSSFLPLLRECIPDAAAELESEIDNHIFKSAGKDDYVYDLYAVKDDTSMMLEDALNPFPLVQVDDNNDDFYDGPDDIENESDDSNAEDNARTDYPDEETSSNEETQSENSDDISEDDEKSVSDDEALQPEKSDKDVFFEEGSGQEDCFEYDSYDDDGDYNYHEDDEFK >KZM93886 pep chromosome:ASM162521v1:5:11848921:11850285:1 gene:DCAR_017131 transcript:KZM93886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKYFHPFQNQNSQPLKVYHKKQKFHHFNNTSQNNNPRQPHVRNPNTSQNTQNAPTGPFQNVYYGVPMAKPSVTAPKCTLVDVSNIGKLDKMLNQVQPGMMVCVPQKGTIHGVLMAAKWDNMIFYRGQNFFVHLLGEFYANLVIQKGLDDVFLLHTVVHGKNMLIDTNTFTRALKLGIKTPYQPCVNIYEKFVFNTKEMELFLGFFCDCDVPKGLCDQNIGIDYRHFTTLYQQLAIIIRANSLPKPKDVHIFDFVDLKVMFQVVTNQIEFNVNYVIILNMIIAFQENYMPYGLLLTSVFELYHIPMPRILSDKVEYCNLMNIVRPQIPLKDCSAMLVKPVVIAAPAVVLVDKPSNNMDIKTEIEELKSELKDLKDSHEKLLARIELLEAKGNNNSTAGNLEGEDDRIASLFEDGMVHVMDAVEASGKDVDSLPDINVLSDDLGFVAVEGPTEK >KZM94052 pep chromosome:ASM162521v1:5:14366355:14371676:-1 gene:DCAR_017297 transcript:KZM94052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKLSSLAAIAAAATSYSLSSSSSSSNRAYADGPFRFNPFSSPPPPPPPEASDSEPKSEVEVSKGGFDAESLERGAKALREINSSPYKKQVFEVMGKQEQCRLAELEAEKIHHNAIQSYADIERQRKLSEEQRNLVQQQSQNKAQMLRYEDELARKRMQTDNEAQRHHNAELVRMQEQSSIRKEQARRATEEQIQARQRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEDHNRRMLLEKVNGEREKWLAAINTTFSHIEGGFKVLLNDRSKLIMTVGGATALAAGVYTTREGARVTWGYINRILGQPSLIRESSMTKFPWSGTVSQAARKVFKKTATPGENQSKFGNIILHPSLQRRIQHLARATANTKSHQAPFRNMLFYGPPGTGKTMVAREIAQKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWSKKSNKGLLLFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDVVLVLATNRPGDLDSAITDRIDEVIEFPLPQEEERFKLLNLYLNKYLSSEDVSTSKWGPIFRKQSKKITVKDLSEDIIREAARKTEGFSGREIAKLMASVQAAVYGRPDCVLDSELFKEIVDYKVAEHQQRIDLAAEGGLPV >KZM92991 pep chromosome:ASM162521v1:5:1462921:1466263:-1 gene:DCAR_016236 transcript:KZM92991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTLGKSQPVIPDKVDNDKTTELSPRDFTELNPTAASWTSTCQDIRQSLENHGCFIALSDKVSSELDKAIFCAADELFDLPVGTKMQNMNDKPYHGYVGQIPFVPLHEGLGIDYSTTEEGVTNFTTLMWPKGNDSFRESSLAFSKAVAEIDRMVIRMLFESYGVEKYAESHVESTTYLLRYLKYRAPEVNETTMAFPSHTDKSFLTILHQNQVSGLEIQTRDGNWISVEFPPSAFVVMAGDACKAWSNNQVLSPNHKVTMSLSGNKTRYNVALFSFLNDMKLVEVPEEFVDEEHALQFKPFVHVDLLKFYDTDHGRRSQNILKDFCGV >KZM93447 pep chromosome:ASM162521v1:5:6501063:6502996:1 gene:DCAR_016692 transcript:KZM93447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMVSEITRIHKSLPERPGVEEIEAAKSLILNVEADDESRFQAIAKQIKNPQVPDELFLILQEMQKNLVFFQSKEQRREAIRLLDLENVHLLFDEMIQRASMCLNFPIVSALNSADSVSTTDLSNFGSFEANSSTTTGSGSGSFSLFYEMDDFNVFSQMSTRDDSYVKKAKSSDGIAGVPQSEVVFTPQIVDSSLKPVIASGQGEKMSLIKFASLIEVSARKKTHELNLRGKLIEQIEWLPDSIGKLSSLTTLDISENKIAALPSTIGGLSSLTKLDLHSNQLVELPDTIGDILSLVSLNLRGNHLTSLPATFGRLVRLQDFDLSSNLLHFLPESIGSLVSLKTFNIETNNIEELPYTIAHCSSLKKLRADYNHLKALPEAVGHLASLEVLTVRYNNISRLPTTMASLENLKELDISFNELGSMPESLCLATTLVKMDISNNFSDLQYLPRSIGNLKMLEELNMSNNQIRILPDSFSMLSKLRFLNVEGNPLEEPPRSVTDMGAQAIVQYMAEYVAKRDVNVEPVKPKKSWASICFFSNSNKRKLSGMDYVKT >KZM94440 pep chromosome:ASM162521v1:5:22348519:22349960:1 gene:DCAR_017683 transcript:KZM94440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKDDKKDGDKKSGAAPIPVVLKIDLHCEGCAKKVRRSVKHFEGVEKVTTDSANNKITVTGTLEPERLRERVEFKTKKKVELVSPQPKKDDKKPDAKPEKKADDKPEKKAEDKPEKKAEEKVEKKPKEVSTVILKIRLHCDGCIHKIKKIISKTDGVDNVMVDSKNDLVTVKGTMNVKELVPYLQEKLKRSVEIVPAKKEGGGDKKEGGGDKKDGGGDKKEGGGDGDKKKDGGGGGGDAKVEMKKMDYHGLDPYTTFVVPPYGHSHSVHEYGSTSTPMYNRSYSNQDYGITNYDQGHVNHGYPVEYQYHHAPPPVYYNAPLMFSDENPNACSVM >KZM94970 pep chromosome:ASM162521v1:5:27507413:27512232:1 gene:DCAR_018212 transcript:KZM94970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKNDQIILKDYANGSPKETDFGKKTGRIALKVPKDSNGVLVKNLYLSCDPYMGTRFINKKSYLPPFTPGQVIVGFGVAKVVDSGNSNFKIGDLIWGMTGWEEYSLITDTKSLFKIHHTDVPLSYYTGLLGMPGMTAYGGFFEVCLPKKGETVYVSAASGAVGQLVGQFAKIHGCFVVGSAGTKEKVELLKNKFGFDEAFNYKAEKDLDAALKRCFPSGIDIYFDNVGGKMLDTVLLNMNLHGRIAVCGMMSQYNLDKPEGIYNSFCLVSKRIRMQGFVAFEYFNLYPKFLELVLPCIKEGKMTYVEDIAEGLESAPAALVGLFSGRNVGKQVVELLKNKFGFDEALNYKEEKDLDAALKRCFPSGIDIYFDNVGYNLDKPTHLASSQNEYACKVLLL >KZM92835 pep chromosome:ASM162521v1:5:140664:144667:1 gene:DCAR_016080 transcript:KZM92835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKSRPDSKQHKRFCLMSNFNGGGGRGDMAMIINVPKEDLELADLKYHHHHTFPPLQLPDIGRPIKHFLRTREVGEFLSGALAGAMTKAVLAPLETIRTRMIVGVGSKHICGSFLEVIEHQGWRGLWAGNAVNMLRIVPTQAIELATFEYVKRAMTSAQEKRKDSDGAKIQIGHISLNISLSWLSPIALAGASAGVVSTLVCHPLEVLKDRLTISPDAYPCLSLAIGKIYNNAGIGGFYAGLSPTLIGMLPYSTCYYFMYETLKQSYCRGKKKHSLSRAEMLLLGALSGFTASTISFPLEVARKRLMVGTLQGRCPPNMAAALSEIIKHEGVLGLYRGWGASCMKVMPSSGITWMFYEAWKDILLAGRHPQL >KZM93153 pep chromosome:ASM162521v1:5:2876361:2877530:-1 gene:DCAR_016398 transcript:KZM93153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLRKVCGDSSTMNLPSELLAEVFSRVPIKTIFRCRSVCKRWRKILAEPYFANLHLPRSAAGIIIHQGLSNPYVDILKMAELNDKADHHDIHHEYPLMKFMPRLGLEDGVMWLSGSINGLICLGSEKTICICNPITRECIFIPDQKFIGKSHPTLHHGFGYVESSDQYKVVRFYKGSFSASEGSYELGCEVYTLGTRMWKNLGHVPFYIGGYGDGICVGGNLHWLASHQKETADDERLCSFDLERESFQLTAGPVVPQVVGYTTYRNLGILGGCLCVCDNTPDLEFAIWVMKDYGVTESWSKEIVIHTDFLYWGTLDEEVYPLKVLKDGTILMYCEEHELFTYHPGTTTTQDHTFPDGDYKTYNAMVYVPSFVSLRSTFMLENVLVL >KZM93836 pep chromosome:ASM162521v1:5:11312799:11313914:-1 gene:DCAR_017081 transcript:KZM93836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLSAVVSLLFLIFPASPASAHNITAILNNFPEFSIFNHHLTKTHLAAKINRHNNITVCAIDNAGMTAFLSAPIPFSAMKRFLSVHVLRDYLGAEKLQQISDGTALAVPMFHSRGARKFNIIVQYDGSEVTLKTKLMDAKIIDALYDKQPLAVYKLDNILLPRELFRANIPKPEKMKIQQVSLSQNPAVSHDWPGSPSSAHLADSPCSSVSAYSPESLYLADSPDSSVYAYSPESSLYLANSPDLSAYPPESSHLANLPYLSAYSPESLYLADSPDSSVYAYSPESLYLANSPDSSAYSRESPCLAASPNLISSAISPNSPGLSSFTYPADLTYPPPPNVSVSSYPTDSPRVAICKSSRIARISFPLMCR >KZM94636 pep chromosome:ASM162521v1:5:24510959:24512100:1 gene:DCAR_017879 transcript:KZM94636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLQLHMISNLRPHSATNWRIKIRVTRMWQHMNGNAEIVGMSFIFADALGQRIQASVPAACLQQFENLLIEGETYDVHRFVVMQYPPMKKFICFENDIYIQLNHMTEIFVTEGVEFIPAQVFDFTDLSGLMEATTENKYLIDVVGILQQVGPITEFTNRRNQQQSAIHFRITDLHDSAQVVLHNDLAHNFNTQIQNAVRHPIIVIIASCRVHLDQGEPKLTNFPATRVFINHDHEAVGDLRDALRLANWVHN >KZM93609 pep chromosome:ASM162521v1:5:8384908:8388670:-1 gene:DCAR_016854 transcript:KZM93609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSFPVTLAFFALIFSVLISVHSVAAAIDVIQTNHHVVVEMPKTLQAMLESDADRQQTFLRRQSVMKKHQRVHSIVKIRRQLVEETRNNVSTRDGVNVAVEMSMHSGADFGIGQYVVAFKAGTPSQKLKLIVDTGSDLTWINCKYRGANGEESRDEVFEADNSSSFDTIPCSSEMCKVELSNLFSLAMCPLPSNPCAFDYRYSDGSSAIGIFANETVTVGLTNGRKAKLENVLMGCSQSSEGPSFRGKAAPDGVLGLGFSKSSFAIRATDTFGGKFSYCLPDHLSPKNVSSHLTFSNPSYQNAATNKIYTTLGAIGTFYAVNIRGISVGDEMLDIPGEIWDVNGVGGAILDTGSSLTFLALPAYKPVVAALALSLNRFQRLGIKIGQLEYCFNATGFNESRDTPRLMFHFQDGSRFEPPVKSYVIDAADEVKCLGLVPTGWPGVSVIGNIMQQSHIWEYDLVNGKLGFAPSSCS >KZM96475 pep chromosome:ASM162521v1:5:41227194:41231118:-1 gene:DCAR_019717 transcript:KZM96475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSSKARSPAELVSHLRDLLIATDQSTASPTRNNTKKMSEVKKVILEMRTILYGSDQSEPTTEACAKLTEEFFKEDTMHLLIVCLPKLDAGDRQEATYVLANLQRQKVNSKVLASDYLEKNLDLIDILVSGYENDDIALLYGAILRDSIRHQVVASYVLNSEHFNKFFGYINNPNFEIASDAAATFKELLTRHKSTVAEFLSKNYEWFFKEYNELLESPNYITRRNAVKFLGLMLLDRSNTSVMVQYVTSVDNMRILMNLLRDSNKTIQIEAFHVFKLFAANQNKPPEIVNVLVTNKSKLLRFFGSFCFDKEDEDFKADKAQVVSEIESLEAITPSASPQRCEIPLPCSNYTFVSHQHFNSCIDLPHLDAHLHWTYFPSVNKVAIAYRAGQDPSGWIAWAINPTDTGMVGSQALVAFYDSNGSITTYTTPISSYSPSMQPGDLSFQVSNMSAEYTNNEMIIFAVLGPLNNQTNFNHAWQAGDTVSNNIPQMHTTTGPNVLSFGEIDFLSG >KZM94901 pep chromosome:ASM162521v1:5:26867799:26870939:-1 gene:DCAR_018143 transcript:KZM94901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLPQGGESLQSTHHNILHDVLGSHSLAKEALLHSYQRSFNGFVAKLADAEVAKLRAAKGVVSVFPNRRLQLHTTRSWDFLGLPRSDPLKPTEGNVIVGMLDTGLWPESKSFQDESLGAPPSKWKGTCQATNFTCNNKVIGGRYYDIANMSVPGADIRSPRDAEGHGSHTSSTVAGQEVQNASFYGIGEGIARGGVPSARIAVYKVCWSFGCNDVDILAAFDDAIADGVDILSVSLGSNTATPYDKDSISIGSFHAMKKGILTSCAAGNSGPYRRMVSNYYPWALTVAASTMDRKLVTKVVLGDGQTFVGTSLNGFTPNATAFPLVYSGDVNNVTFGVGPEMSKLCLWGTLSSKAEGGIVLCDVTYDGAAARMAGAAGIIMPISYFETAFAFSVPAVLISYEDHAKLFDYIRTTETPIATILHTEEFKDVMAPLVAAFSSRGPNPISPEILKPDITAPGANILAAWSPLALASLDIFDSRRVDYNIISGTSMACPHATGAAAYVKASHPDWSPAAIKSALMTTATIMDPRKNADAEFAYGSGQINPMKAVDPGLVFDASESDYVDFLCNEGYNTSLVRLISGDSSNCSTPGKTWDLNYPSFALSLLDGEEVSASYTRTVTNVGCPNSTYSYEAFLPPSFNVTVEPEVLTFTEVGEKKSFTLNIVGPPMVQVPIVSGSLVWTDGNYTIRSPIVIFNDMPTSFLTSTESTQKQNSLVKKVLELPQGQKYMNKLQPVPMTRITVPGKYLA >KZM95790 pep chromosome:ASM162521v1:5:35615080:35617160:1 gene:DCAR_019032 transcript:KZM95790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSTREAREIYHVVVEAESGKVEDFLKVLKGIGCESMFIACSVERANRFFAELNEDNATRLRDSSGISDVYSRDELIEDD >KZM93011 pep chromosome:ASM162521v1:5:1668466:1670013:1 gene:DCAR_016256 transcript:KZM93011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSATQLLLRRFLKKPHQCHLLHSLPSNNLPSPELINNLSRILSDFRDPKHDIETALIPFSTNISTNVVEQVLKRCKNLGFSAHRFFIWADQLPGFRHSRDSYHILIEILGCSKQFPLIWDFLIQIQECKACEITPEIFWVIFRAYCRANLPDDAISAFNKMVDFGIQPGLDDLDKVLFSLCKRKHVRHAQQLFDRVKDQFEASVKSYSILMRGWAKIGEGKESRKVFDEMTERGCVADVLAFNCVLESLCKGGNVDEAYKLFGEIKKKGFKPDAFSYSIFIHATCEADDVQSAFRVLDRMRRYDLVPNVYTYNCIIKRLCKNGKVDEAYLLLDEMIERGAKPDTWSYNSILAFHCDHQEVNRALKLVSRMETDGCQADRHTYNMVLKMLIKIGRFDRVTRVWESMEKKGFYPSASTYAVMVHGLCKKRGKLEEACKYFEMMIEDGIPPYGSTCEMLRNRIIGLGFSEQVDILSDKMERSTSCSIKELSSLMRGNRTNARVKNEERYSSDVESDE >KZM95933 pep chromosome:ASM162521v1:5:36887948:36888643:-1 gene:DCAR_019175 transcript:KZM95933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKAYHPSHPEHQLVLRSFNKPYDCDGCRERGFGSRYRCESNDCDYVLHESCMFNSQTATHDFYPDSTFKFSYKPRSCGTWCNACENNINGFVYYCEDKDLDLHPCCLNLKNKMVIDGTKFKLTEKKRKSKGKSTGGWSYESKCNKYQFHVHRITEMIYESWKIGNCKDENSSLSLKNPELRLRRSSDRGSSRGGKYWKVAKIFLTAVVAILLGDPTVVLTTTLFNLIT >KZM92904 pep chromosome:ASM162521v1:5:658408:660779:1 gene:DCAR_016149 transcript:KZM92904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSSRTRMYGRLYMLVSVLILLAPVSLAVNYTYFKTVDLTYIPSAVKNGAVCLDGSPPAYHIDRGVGDGARNWLVFIEGGGWCDTTENCLNRTKNIFGLGSSKIMQAKNFTGLLSDNQQLNPYFYNWNRVFVRYCDGGSFTGNSFDPVNKLHYKGSKVFSAIVKDLLGKGMSNATNALISGCSAGGLSAILNCDKFRSFLPPHTNVKCASDAGYFLHVKDVFGEYNYANFYDRIVKLHVVSLALDLSHLHSPVCNFEIKSKIGFILAPSINNLPQTWERCKNDTTTCSPDQIEILKGFRSEFLSALPRLGNGSFRGMFISSCLAHCQSQLQLNWNWDPGFRIEDKVYLDIL >KZM93604 pep chromosome:ASM162521v1:5:8314282:8320467:1 gene:DCAR_016849 transcript:KZM93604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMADGISLLCSDLQVDPQDIVMLVVSWHMKAATMCEFSKQEFVGGLQSLGIDSLEKFRERLPFMRSELKDEQKFREIYIFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPFVDHWCQFLQARHNKAISRDTWSQLLEFARTVGPALSNYDSEGAWPYLIDEFVEFLTETGVIQKDLLNNDWNQKR >KZM95803 pep chromosome:ASM162521v1:5:35756623:35759452:-1 gene:DCAR_019045 transcript:KZM95803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSNAIIVNNKENQETPTNPNPFVSLLTNFTKFFNFPQPKSAAPNPEAKPENPKSKAPVVVAYPSKQTAAPLKLEAEDLEKNTNPVVLWQVYAIGGFFVLKWAWARYNERRAKKKTNDDPPPADD >KZM95156 pep chromosome:ASM162521v1:5:29288457:29291626:-1 gene:DCAR_018398 transcript:KZM95156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASGIVHDQAPMLSQHDATSSCSKDAVIAWFRGEFAAANAIIDALCNHLSELHGGGSVYESTFAAIHRRRLNWIPILQMQKYYPITDVLVEIGNVIDKKGNVVEKCAPERTGGSGDEDQCYSNIGGLAKTDQITNGGSQNGQPKFENLELYSINEDCETHHAQIKVCKGFVAKEPVNVVKGLKLYQDIFTDTEISKLTNYVNELRVSGQNGELSGETFIMYHQQVKGGNKRELIQFGAPIFGPVKKEATSQFQKSQIDPIPETLLGVIDNLVQWHLVSESRKPNSCIINFFDEGEYSQPFLKPPHLDQPISTLLLSQSEMAFGRMLVCDNDGNYKGPLMLSLKKGSLLVMRGNSADMARHVMCPSQSKRISVTFFKVQTDGIVKNSSPLNRALTLWQPGISNGYSVPRGTYYSYEAMDVISKCNVLRNPMFMCAPMRPMVLGPKRITRGGTGVFLPWAVGSKKPAKHLPPRAQKGRIFELPSPAETHNKEATSDET >KZM94687 pep chromosome:ASM162521v1:5:24962298:24962480:-1 gene:DCAR_017929 transcript:KZM94687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIPTNLDTYDDHEGYMHEEKSTILMYTYTRSHINLFWRKLKANSNLDHGVPSDGRKGV >KZM95736 pep chromosome:ASM162521v1:5:34988170:34993608:1 gene:DCAR_018978 transcript:KZM95736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASDAEVNGANGNGVNGNGVNGTNGVNGNGNGAVEEALPPPPPIPEDVVPIRVVEDPEPPVVKKPPRVPIARRGLASKGNKVQLLTNHFKVNVTNVDGHFFHYSVALFYEDGRPVDGKGIGRKVLDRVHDTYKAELEGKDFAYDGEKSLFTVGSLPRNKLEFTVVLEDMSSNKVKGNSSPAADGSPHESDRKRMRRPYQSKTYKVEISYAAKIPMQAIAQALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDVNNFTDVGGGVLGCRGFHSSFRTTQGGLSLNIDVSTTMIIQPGPVVDFLIANQNAKDPFTLDWAKAKRVLKNLRVKTIPTNTEFKITGLSDKSCKELMFSMKQRGETDENGEPLTTELSVYDYFVNVRKISLKYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALTTLQRAQLVEKSRQKPQDRMKTLTNALRINNYADEPLLRACGVSVSNNFTQVEGRILAAPRLRVGNGEDFFPRNGRWNFNNKKLVEPTTIDRWAVVNFSARCNIQGLITDLIKCGGLKGINIARPFDVFEENPQHRRAPPLVRVEKMFESMMSKLPGAPQFLLCLLPERKNSLLYGPWKRKNLAEYGIVTQCIAPTRVNDQYLTNVLLKINAKLGGLNSKLCIENSPSIPLVSKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRHWPLISKYRASVRTQSPKVEMIDSLFKKVADDKDDGIMRELLLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNVELNQIIEACKFLDEKWCPKFTVIIAQKNHHTKFFQPASPDNVPPGTVIDNRICHPRNNDFYLCAQAGMIGTTRPTHYHVLLDEIGFSPDDLQEFVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFDDMSETSSSHGGVTAAGPAPVAQLPRLQEKVCNSMFFV >KZM93185 pep chromosome:ASM162521v1:5:3245525:3247205:1 gene:DCAR_016430 transcript:KZM93185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSLSLLFLVFLVSLVLFPSLILSSKQDPELVVHEVQKDINASRRNLGYFSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGKDGKIYVVTDSGDDNPVTPKPGTLRHAVIQNEPLWIIFKRDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGINIHDCKQGGNAMVRSSPRHFGWRTISDGDGVSIFGGSHVWIDHCSLSNCQDGLIDAIMGSTAITISNNYMTHHDKVMLLGHSDTYEKDKNMQVTIAFNHFGEGLVQRIPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPNIRFSKEVTKHEDAPQSQWKHWNWRSEGDLLLNGAYFTPSGAGASSSYARASSLGARPSTLVGPLTSASGVLNCRKGARC >KZM96494 pep chromosome:ASM162521v1:5:41388126:41394149:-1 gene:DCAR_019736 transcript:KZM96494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKRCCVGMVTPLGCGVETTWKRLIKGECGIRAVAPDDLKMSSFDESTKLHTFDQLSSKVAAFVPCGTNPGEFNDQVWLNSKDHRSMSRFIAYALCAADEALKDANWLPSGPEDKEKTGVSIGGGIGSISDILDASQMICEKRLRRLSPFFIPRILINMAAGHVSMQYGFQGPNHAAVTACATGANSIGDAARMIQFGDADVMVAGGTEASMDALSIAGFCRLRALSTKYNSSPQESSRPFDCDRDGFVIGEGSGVLVLEEMEHAKNRGAKIYAEFRGYGMSGDAYHITQPQADGRGAILAMMRALQQSGFGPDQVDYINAHATSTPLGDMVEAKAIKSVFSNRAASGDLALSSTKGAIGHLLGAAGAVEAIFAILAIHHGKAPLNLNLRKPDPIFSDGFMPLTVSTELPIKAAISNSFGFGGTNASLLFASTS >KZM94956 pep chromosome:ASM162521v1:5:27345552:27356623:-1 gene:DCAR_018198 transcript:KZM94956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRFLGLDFFNSSALIETLDDFLRFPVPEIQPPPSTSTSGGENLFNCINTDDASILSLSSQLQSVQIDTALSKFFDDVLPHRIEVDQSCFSRDCSDEILVPLLECLDVYSGFGGIIRVGECIEQRCEAIGAFKKTKALPEEVDTEKQINAGSDTLEEESGTTVDGNGDDIFKILLFEMPVLDISLENVCTSQEQELKIFSEVSDVETSEDLVPMLKMQDLCKIQESLFAVENISVDYQTDESDCLLEDSTSCKGQNHSCCGEFPLLEVDVSSLGIFSSISMDNEIKLFESIEPQFWWAKDETPFEGKELLQFMELDIFEYLSCSSLSNQRLEIDTVCSDFIKEIDLRSVIEHEVMVEKVEKYLGRPENSYFPLRTPILFEEPQFFDLLSFHFFEFISEIEALSEVKTIQQLFCEASKVNNFNDLIVSHELTLVDDSFKSLPVPMLSYSEENSLVQEYLEEILAELKPLPSLASDAIYLDWHIIEEGKCSSSRYSSCLKVLEEVDGCADDINMKPYDAGLLVLDSIFSDDNQHVQYRKEDKEMLKIPSFNTPFARVCQGETSSGALNDGCQKRVKGESPRNLESDSVSRLIKSISECDNLESFLTSSKSTLTKNPLTSNTVPGGSASDSIADDTCTTVPGGSASDSIAASEHWNIEIHQIRLSDNILALLHDLSKTYLGILDNDIVLGKRKYSCLAADDYTLPTISNKKLMDWIKEIGTGTSLSNNDDNMEPLITLYAIKQMAWYLCYYGIHATYLYIDNLHQKLQCSKFRLSFLRGLVLDAHEKDGRGELKCCKSLLRKCGHTKSYWNKKGKSIFTHVPSHVDGPQCDRIIGENIQKLEELLNFVPANEYNMGSPRAANCFKPLSIPPSLPCPQLDKETTQVFPSISSFPDKVVVVNTQSVDNEMIISRRSTYQKILAMEKEGTQVVERDLHHPVDVIVNASVCLVWYDCRNIRKKASASNEASSSLPSCIEDIAANGLTALSFAFSGCIMVFEGQSSFLGGVMESSDELYAAAASLGMDLQLFFSYSSEMTDEIILSCIIHASKFTRGLYTTMPESETLAESFLTTFPSMNPLSAHAILSSVGVLIEFLESSHADRVRAVQKYQVSDESIALLNACFRYGEREDCKSGTTECSSSVSSAPDSDNCPTKSASEMRKRKYIQSALNVDLPVDDLFHLDTSKLFAEARETPKRMSKPDNTWLSEAPDAFKEAAKSLSFENELFPRNPGSNINFKPTSSRMSTPCGSYMSRSRVMSEERDNDALPVDDALFCQNQGLESSATNKFDRWNSMNSENFVQNFIGEVINIDDEHPEVEDSSAAIFPGLSYSNSDMERDPPPVFPRTARKLSFDNNCLPTFSRAAEIDYDTDGFVSTSSDSQNLGGQQYLKKQVFQNSDKEMNVEETMLLDHHKYLLKEDTLPKSVGSSYKHSLMENNMPHYGGTPLSNAIQFAQPHQGSPWTIEFLNRVREKSRLRQKSLPRDLCGPSFGYKGNISKVIKRRSPSILEFFKYEKGSNPSKRMEQKRQDRSAQPSSSYKNEKSVPSFLPAMTPIDKRARQTLSFATPGSSGQSKLVWGEKSTHALKRQF >KZM93569 pep chromosome:ASM162521v1:5:7924554:7933854:1 gene:DCAR_016814 transcript:KZM93569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRDLVSGGASCAEPGSSSSSLNPLGALANALIGSSSKTQERLQEIPTATNRISNGNFYEGGMEPFNALPGSEFDHPSHPSSQGSEFLHGFRSSGQDAFANAWDEVQNPQMPLFQGRDSLTNIPPNQTQFQPQLDGPPQRVLSNFLHSFVNSGHGGLPFRPTPLPVLGLSEGDKQRIRNRSSIMARHFFADKNEDFVNAQVNALLSSLDIETDVQARRGPPGRFQDLEDVWNDSQNLQNGPHSADGWIAEYDQHRVQRGNPNDWVHSFEQLHGANNWASEFENEQSQVASFGQMRGASIPNLAAMEQTRVLAHTLSQNQDPKFQNSKFLQFVSKMSRGEITFEDNQVKSSGPPAPGDWATEYQQQFNGGQSWADQFEREEANSNSSSVKAIMPLADAVQEMFKLVFPPGPAGWVNEFTSAREQQGPVDDQWVNEFSKLHVADWADEFGRQVGEGVLGDDSWADTFDEYVNEQASVRQQAATSRGVYVFSEINPYVGHPNPFQEGQELFRKGLLSEAVLALEAEVLKNPDNSEGWRFLGITHAENDDDQQAIAAMMRAHEADPTNLEVLLALGVSHTNELEQAAALKYLYSWLRHHPKYGTIAPPELSDSLYHADVARFFSDAAKMSPEDADLHIVLGVLYNLSREYDRAIESFRTALELKPRDYSLWNKLGATQANSVQGADAILAYQQALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRALLMNPKADNAWQYLRISLSCASRTDMMEACDSRNLELLQKEFPL >KZM96119 pep chromosome:ASM162521v1:5:38410390:38432616:1 gene:DCAR_019361 transcript:KZM96119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDSDSNTLSVVSIDEEGHHGSDEKNPTLIARQYQTKLCQKALSENTIAYLGTGCGKTHIAVLLIHEMRHLIKKPQNNICVFLAPTVALVEQQAKVIKDSIDVKVGIYCGSSKHLKRHTNWEKELAQHEVLVMTPQVLLWNLSHCFIRIELIALLIFDECHHAQPASNHAYAEIMKVFYNSNATKLPRVFGMTASPILGKGASVSGLESLLRAKVYSVEDKEELERFVTSPKVSVYYYTTAADGPSSPLVYYSKLEGIKSQCVSTLVENISDMDSLKTSKKMLQKLHTNLCFCVEKLGVWGAFQAANILLKGDCVVQNELLEMEGQNERMEMGEQSSHVSICDKYLSQAAIMFSSDCQKDHTKKKLNSPEVLQEPFFSKKLLQLIDILSNFRSQQNMKCIIFVNRIVTARSLSSILQSLKVLSAWKCDYLVGVHSGLKSVSRKSTNALLAKFQSGEINLLVATKVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMPQSEYAFLVDSGNEKEQNLIDSFSKAEDKMNEEIEFRTSTATVCDTDEKTYRVELTGATISSGSSISLLYRYCSKLPHDEFFKPKPDFSYFDEADGTVCQIVLPSNAPIHQVSSAPQSSKDAAKRDACLEACKQLHQLGALTNYLLPEQDVENEDLESLSDSDCSDDEDTRRELHEMLVPDVLKEPWSKAEDYVYLTSYFVKFRPLPPDREYKPFGLYVKVSLPGEAERMKLDLHLARGRSVVTELIPSSTMLFSRDEIALAEKFQEMFLKVIIDRSEFNSEYVPLGRIDFDILAPRTYYLMLPVIWNEYEEAMIVDWNLVMRCLSSPIFNMPEVAKANGLSQPSELLHLANGPKSVHDVVNSLIYVPSKKLFYFVSDVLSEKNAYSDYKASKSHVHHFSEKFGVHLLHPRQALLKAKQLFCLENLLRKKGNLESREKEEHFVELPPEICELKIIGFSKDIGSSLSLLPSVMHRLESLLVAFELKDLLSVSFPEGAEVSASRVLEALTTEKCNEHFSLERLEVLGDAFLKFAVGRRLFLLHDALDEGQLTRKRSSVVNNSNLLKLAVANRLQVYIRDQSFDPCQFFALGRPCSVVCSAETEKSIHSSHCSGAENSDIELRCTKSHHWLHKKTIADVVESLVGAFIVDSGFKGAAAFLKWMGIKVEFEDSKVSHICSASSIYLPLAAQIDIAALEDSIGYQFNNKGLLVQAFVHPSYSYHYGGCYQRLEFLGDAVLDYLITSYLYSVYPNLKPGQLTDLRSTCVNNICFANIAISRSFYKYIISESSGLCKSMEKYVLFSRTHQLNENLVEVPPCPKALGDLVESCIGAILLDTGFNLNNVWKTMLSFLDPVINFSGLQLNPIRELQELSQSYNMELEFASAKKDNTYIVDVKVKGKNVCEHSCASNISKKAAKRRAAKQVIRILKELGYKPKSKSLEEVLKSTHKVEAKLIGYDETPIDVNAQYAIARNEKIPTDVSSPYAAICYNETATNVRSPNAAGLDNLKVQDSRTSGASSSNVHSLGVEPHRSGGINIQPIMPMSSLCDTRKCQPTVKKYSDSCYSESQTPGLSMKKSAKSLLFEICAANCWKPPVFVCCKETGASHLKEFTFKVIVKPDSLEKCLIEAIGKPAGKKKEAAEHAAEGAVWLLRNHYGILKPKAELSFFDDADRSVCQKIHPSDPPINQVLCAPQSSQDAAKRDVCLEAYNQLPEQDDENEHMKSLTDSNCLGDEDTRGELHKMLVPTVLKEQRSNAEEVSSLLDTRECQLIGKKDNASCSSDSETPGHSMKKSAKSLLFEICAANRWKPPVFQCCKETGAGHMKEDLKANPDFSSKVHPLGVEPHRSGGTKIKQIKQISSFRDTRECQETVNKVISRCSSDSQTAGCSMKKSAKSHLFEICAANHWKPPKFICCKETGASHLKEFTYKVIVKPDLGNCFIEAIGKPSGKKKEAAENAADGAVWLLKEHFFVDQNLWS >KZM95299 pep chromosome:ASM162521v1:5:30983988:30986475:1 gene:DCAR_018541 transcript:KZM95299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTDNSDGNQTNGIEVAANDGSATIEDSSAAMIPPTGDKNLQTADNNSRNWIAQTPGNNASQNNLGNNLDATQTTGSEVAANEGYGTTVDSSAALIPQAGDGNLQTGDDGLWNWDDDQTSQENFYLKDLDIPTPHKQPDQLGNNTSENILSNNLDATQTTGYVTAVDSSAAMIPPAGDENLETVGDEFWNWVDQTPLESFDLEDLDIPTDPHKQPDQLGNNASQLPQDQEIGHGTSSKLPVAQFIRPNALDTLSPELRSLIRWQSENVASKGKAPVMPDNDIMNINSPVGGGMSNNRKRKGGEVDADNNSENNTWFPRRVAGSRASQPVAYHNYHYQKSTASINDNLMALHELVPGSQKKDMATTLGETADHLLQVRIRDQTLREEIKQLRQAMVTMHQSIQNFRQSPLGTPANMGVHTQLGGMGFRPPVQPSMNLFDSSISARMNMPVLLQWNSPAAASRLFVPPTVPGPSQQHRIGRDQTDDTQPPI >KZM96214 pep chromosome:ASM162521v1:5:39228898:39231850:-1 gene:DCAR_019456 transcript:KZM96214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVETVEDNFPLKTFPNPELASGSKDQSYIEVPKRNDPSEELEPELHVSMMGGSKLVNVQDPSNSMTPQQGQSLPVENTVIETSQNHENFVDAYPQLKVRNDITDVLSSEQEVAESELQGSVAVYPQLKVLQDISDEPLLEQDPQDEENVPCYVPSSSEINSMPRQSPEEGTLVSSNDVQQQNISDRPITVEQDVSSTSARNVDIVKFEGELMESSSLITSEAKPNSAFQHRQTSDEISQALVSSDVGDIDTTAPFESVKEAVSKFGGIVDWKAHRVHTVEKRKVIEEQLESVNQEIPWLRKQSEAAEDSKTKVLKELDSTKRLIEELKLNLERAQIEEHQAKQDSELASLRVAEMEQGIANEVSVAAKAQVEVARARHVAALSELATVKEELIAVRKDYDALVIEKNLAVKKAEETLSASKEIEKTVEELTIELIATKDSLESAHAAHLEAEEHKLGAALALEQDTLNWEKELKQAEAELEKLDQQIVSAKDLKSKLDASSALLRDVKAELAAYMDSKLNQETEVHLNGDVIGEEKKTHSEIHAAVSLAKKNLEEVKLNITKANDEIKLLEVAATSLKIQLESEKSALATLRQREGMASVAVASIEAELNRTKNEIAMVQVKEREAREKMVELPKQLQKAAEEADQAKSLAQAADEELRKAQEEVERAKAGASTMQSRLLAAQKEIEAAKASENLAVAAINALQESEAAQTLNNNDTPSGVTLTLEEYYELSKQAHEAEEQANKRVVDALSLIATAKESELTNLSKLEKITSELAAEKDLLEIARQKAEKAREGKMGIEQELRKWRAEHEQRRKAGESIPGAVYPSKSPRASFEVRKETNYFDQAPNTAATHYMQSPKPYEHSNTETDTSPEVKTSKKKKKSVLPRFLMFLIKRKKHPHSR >KZM96255 pep chromosome:ASM162521v1:5:39556857:39561798:1 gene:DCAR_019497 transcript:KZM96255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIIVGAPHPDASIVLLRAFHDHRRAGNDIDRSYRYARDTHPLEFRIVMERALRHGHQFRVPTDMSHWRYEIMFKGHHRTSQNGVSIGRTGCATCVVAKYRNHNFGDGAGRIRIGGSGGRIKEANRRGQRLLSDQEMTVYNTALHRSIFSGLPVRLYAHVPLPDEQYYYVGLVRVTRVIQLWTPEDFHCYEYRVVRFPWNGVDVGELGGHEEQVPVFEGHDEVLALEWHADDEPDADVEPELDDSLEDQQHEKVTEQPSMLLGGELSAYHLEGLEWMLNLFNKNLSGILANEMGLDKTIQTISLIAYLMENKNVAGPHLIVVSKAVLSYWMIGFSTWVPSIIVVHYDGRVEERKVLRDAYSGKEKFNVLITDFDMVMRDRAYLKKVQWQYMFVDEGHRLITYESFARAVNSGFKIRRKILLSGNPVPNSSQELWPLLNFVLPNIFNSAENFELWFNDPFAEGCDVSRTDQEKLRVICRLQHVLRPFMLSRKKMDNERPKENNRPRLMEENEVPEWAYPQPDLNNTGDYKSANVSGKRCWEPVTWNIHKRKRSSCIYMPPVTWNIHKRKRSSCIYMPPHAVLGSANVV >KZM92912 pep chromosome:ASM162521v1:5:723532:724296:-1 gene:DCAR_016157 transcript:KZM92912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVFPTKPATNGVPAAAKTNGTAAANPPFPATKAQVYNANRPAYRPQPYHDRRRRSFCCSCFLWTTLLIIILLVLAAATGGVLYLLYRPHRPSFSLTSLKITRFNLSSSSHLTSNFNLTVTSRNPNKKITFIYNPINILVTSNGVNLGAGEFPAFTHGGKNTTVLKTKLISAGESVDGAALKNKKLLPLHIELDTKVKVKIGSMKSKKVGIRVKCSGIKASLPTGKSPTVAATANAKCDVDLRIKIWKWTVG >KZM94455 pep chromosome:ASM162521v1:5:22644917:22647274:1 gene:DCAR_017698 transcript:KZM94455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFTISKASQFKLYKSSYPFSLKRLPFCSLASPDPPLKNDEIFTDPIPIQETQVLKNDKISSVSSPIQETQAVKNDEISTNPSPNQETQVQSERLMRRTPRGKLRNQEKVEDIICRMMANRAWTTRLQNSIRSLVTQFDHDLVYNVLHGARNAEHALQFFRWVERAGLFKHDYVTHCKIIEIIGRASKLNHARCILLDMPKKGVEWDEELFVMLIDSYGKAGIVQESVKLFQKMSELGVPRTIKSYDTLFKVIMRRGRYMMAKRYFNKMSGEGIVPTVHTYNVMIWGFFLSKRVETANRFFEEMKSKEILPNEVTYNTMINGYYRVKNVEEAEKYFAEMKGRDIVPNVITYTTMIKGYVETGQFDKGLDIFGEMQNFGLKPNAITYSTLLPGLCEAQKMSEARAVLKEMAAKYIAPTDNSIFKRLMLSQCEVGDLDAAADVLKAMIRLSIPTEAGHYGVLIEHFCKAGVYDKAVNFLDKLIEKEVILGQQSVLDMEPTAYNPMIEYLCNNGKTKKAETLFRQLMKVGVQDPIAFNNLIRGHSKEGNPDSAFELTKIMIRRKIPSEASAYKLLTESYLRKGEPADAKSALDSMIENGHLPDSSLYRSVMESLFEDGRVQTASRVMQAMLDKGVKEHMDVVAKILEALLLRGHVEEAIGRIELLLHSGCVPDVDSLLSVLCEKGKTIAALKLVDFCLERDCNIDFSSYEKVLDSLLTAGKTLNAYSILCKIMEKGGNTDWSSCEELIKSLNEQGNTKQADVLSRMIKGKNKTTGSKKGKKLEAMAS >KZM95567 pep chromosome:ASM162521v1:5:33493029:33493184:1 gene:DCAR_018809 transcript:KZM95567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFKKGMQAKPWMEVAPSRIISPQKPSCTPKLATIREERNEDYEDGNDQD >KZM96562 pep chromosome:ASM162521v1:5:41922042:41925344:1 gene:DCAR_019804 transcript:KZM96562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAIQANYSGLLISLTDICMKPLRQDCATQSVLQVSISHDLDYKVWHSHNIYMAGKDGEDFYVDIGDSESGKNVAREDMSSKDMLDTGNAMEALTRVDLDLAYSSEKSVNLDTLMMHVWAWEKEFEALATDDISVDCIEKALAYDFFSGILESEENALEMKMQLTKLQMTSLAFNPTYWKYNSNTGLPDDSQGSLMRPQLQTAEQRHVLRMLEKSLA >KZM94879 pep chromosome:ASM162521v1:5:26697437:26698231:-1 gene:DCAR_018121 transcript:KZM94879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWSAEYATKAYLRTIKMGSSDKEPNVAEFISALSAGKNAQLMVVACATRAGSPALGLVAAAHQTGGRVICIVSSEEELQLSIDILGCNATQVEFVVGEPQTLLSSEYSKADLVVIDCNLENHEDIFKAVQISAERRKMSTTVLGYNALCKNSWQWGGSETHLLPIGEGLLMTTIAARGESSGHENGCSGKRSRWIVKVDRCTGEEHVFRVRSSHRRAVIKA >KZM94892 pep chromosome:ASM162521v1:5:26794199:26795572:1 gene:DCAR_018134 transcript:KZM94892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKQGDELVFQIQTNNPNPDQQSIQQDLALSQASPQGGNKRLRKKPSSFGEDTSKDDERSKKIMHREIERQRRQEMVSPCLCGVEIIITSGFMEEQFPLSKVMQVLIQDEQLSVSTCASTRVNERLLHTIRAEAIDPMSINICELQWKLYDVLYG >KZM96444 pep chromosome:ASM162521v1:5:41020914:41026604:1 gene:DCAR_019686 transcript:KZM96444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSDNSSIYGIKKSCAIARLTKFWIADEMSVAALAYYQLYVCSTWRAVSNSDLLWQNLTRRIWHCNNLRHNTWYEEYVHHHRLARNFRVGRYLYNPLHLSPADENNNNENLVCRRLTISDYYLAAGFSDGTVRLFHLPNMLYLSTFRPQNRNRLGHFSPAVSGIILSESRLVFASLDGDIHVAMTDNAIPPRRAHLGDVVNDGALVDFNGCSQWWVGLYAGVPGRAFHVWNGVTEELVFIGGTLTDHEAVRGWHLLTELTELIGRVRLTSRELAVACTSLRFIVFDLRDQVIVLGEEEFPRRLMVGAFDARHEEFVIVDRRGSASVRRVGTLEEVCRFDVRGASQRGVLGCTNGGYALMCVGGLIRAWEIEHGEHLYSLVERIGEATALVADERYLVACSSDNTIHLHTYTYTYTYKLGVMVGLSIGEKHFIEGGVAQDIRTDGRRRLVYRPIQLQTGVIPQANGSARVRMGGTEVIASVKAELGRPSSLQPDKGKVFINVDCSPTADPIFEGRGGEELSTELSGALQICLLGGKSGAGAAIDLSSLSIVGGKICWDLYIDGLVVSADGNLLDALGAAIKAALSNTGIPKVNVAAGASSDEQPEVDISDEEFLQFDTSAVPVIITLTEVGRHYIVDATPEEESHMNSAISMSVNRKGNICGLTKRGSAGLDPSVILDMISVGIHVSEQLMNKLDSEIAAAEACEEE >KZM96195 pep chromosome:ASM162521v1:5:39014858:39018245:-1 gene:DCAR_019437 transcript:KZM96195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSQQNQLPDYMSLSNTAFTYLNATNSEGQNVSVGNTSGSSSIAAQSLFDHGGQNSELVWGSSMIGSSGVGPIRQSETASVFPVNAGSYSYSQNANGPVFVQSSAVSQDFNINAGSGEQGSDDCQIVERPNIFKSGSSVNARLPSSGSSSNPYGLHLGGYVEDRDGRPGSSSAGRHLSRKRKAMDGDIGQSSGSGTSNYYQQAGGSAWHTARPNASNSLNISLPSDNASGTSQLERFNQGFQVSAGGIPSEIPPAVTAARTSESSHRNLRLRFSSSHQLDSSPANAFAAGTAVGPVDYFTRHQPQRSTPVNGPLDLLPPAITSSGIMQSQSSLAHVPVMHHNLQSARWNRNSSLRPGSSSGVAIPGDNQGIASHYEARSRILQQNMLEHHMFIPSGMTASTQSQGPWGLTSGNNIAVSAAAPHSVSAAAAPQSVSAAAPHNGSAAGSNPTSAPNAVRIRNSPQHSRRLSELVRRSLLSSSGIQSGGQTSNALSGTPASAQGTNIPSGDQGHHLPQSRSANLPTRRYDGAFGIPVSLRTLAAATAPEGRSRLVSEQIRNVLAQMRRGEGLRFEVSRSRVFIIIV >KZM93254 pep chromosome:ASM162521v1:5:4069310:4074624:1 gene:DCAR_016499 transcript:KZM93254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDLIPRKISCPMCLTALLALHLGVPEAILLGFQHFIVMLGTTVIIPTALVPQMGGGNEEKAKVVQTLLFVAGLNTLLQTLFGSRLPAVIGGSYTFVAPTISIILSNRWNDQDPVEKFKKIMRAIQGALIVASTLQIVLGFSGLWRNITRLLSPLSAVPLVVLAGFGLYEFGFPGVAKCVEIGLPHLIILLLFSQYLSHIIRPGKNIFDRFAVLFSVVIVWFYAYILTVSGAYKNKPPTTQTSCRTDRAGLISGAPWISFPHPFQWGTPSFNAGEAFAMMMASFVALVESTGGFIAVSRYASATPLPPSILGRGVGWQGIAILLSGLFGTVNGSSVSIENAGLLALTRVGSRRVIQISAGFMIFFSVLGKFGAVFASIPTPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSVFMGFSVPQYFNEYTAIKGFGPVHTSARWFNDIVNVPFSSEAFVAGILAVFLDSTLHKKDSQIRKDRGKHWWDKFRSFKTDSRSEEFYALPFNLNKHFPSV >KZM96500 pep chromosome:ASM162521v1:5:41444734:41449273:-1 gene:DCAR_019742 transcript:KZM96500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKAWRIIPRPLLETILNNHANHHRVPQPLILHGPRGVGKTTLVLERLMENWNKDGHVAGYVDLAESIESNHPKHGHSYPWASWSVCKAPRLDYVSSQIDDCLEMMAYKGIELGTISSHQIYKTMSKWHNLNASVKQVLGGEGGRVGKMSGLKLWERAVSRLSVRGDVEGSKGVLGVGEKGRSLSVGEGAYYREAVAALRLAKEVIKVQQKWRGNAVRELNEKGGFSRSLANSATDWPCLLLELLSAASELDYFQPKLVINNIDVLKNAELVDDTTVRASLYHDSLIWRIIALGANERILPVILLTSDSYYSYRAYMDFGFPDIFISRETFGWTPQEGKLHMVDDYFSPAEWNVIVEVLGPNPRHLFELYALKQSNYYQKIMDDRSSSFEDIVDAYLAYLQITVVNPAMDKALSLVEKFAIDARSGKIPKDRLRFGAPWRHPPPASSTELLSRWAKLQLLDFVQSLVSTEFGINYLADCSLEILDDPSALALVEVGLLYAQRDPSFIRPVSRGIQRCLVRWLVQERLQMSIQGSLRYLWQRTIRGRSYRHLMLQVGYK >KZM93343 pep chromosome:ASM162521v1:5:4960696:4963720:-1 gene:DCAR_016588 transcript:KZM93343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFWPDQREDRFEPDLVLMKILEETEADSLGVELVPAAFYVPSEQNQQPISVLSEEAREFFDFDSAYGCASPTLQIRSIPQPQQTLPKQRISHQYDINATILSDKFMKKTIDDSSSTLRPRKSFPPDALRRWRHNKRIKKDAVFFEPLLTGLGTDLSEAYQKDLIFIKPYLCAPVNVDNMEVDCAISDENNSLDRVSYSPIQFSPSPTGGHSLGGDTSSLLDQVEPTSWDNTPDLEKFAVTPGSNKVMWSLKGTSCEDPYNPEMRTSCDGELSFLAEDDNNSRGTHKTSLGYSSRKSECETLSPGMRSSVTPVTERDHQPVNVSLEIILEGKRRIVCA >KZM94615 pep chromosome:ASM162521v1:5:24232236:24233834:1 gene:DCAR_017858 transcript:KZM94615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDVSRWLLDFLVRQPISDSNLTSLLSSLPLSDTDSNLKKLLLLRKLDSQISTPTQHLLLLLEQIEELDHRENVTTVESMKRAYCAVAVHCTFETECFEQAVKSIWSVRVGGMVRFGNVGLLCDELIRWKGDFETALSDSDVKKGLEEKWKAGVAVGDVLRGFVREAKEMMPPAFLELVAQAAVQDDGALRQLLGMEDTRQDIQMETVHRRSKVRAAKQYKRSTPRTSSGVKIVDNDDLAESDASPHKDYGCLSTPEVAELQENLRSSTLELQEMVKDPLPEAIAEAKNVASKLDCVDRRSDVIPAENSNLENKGSTHQTNATKASLMEQNKTAVTYEWDELSDGSADRESRPHFSSHKKEPVTLEKHENPKPIRRKRKVWSNLEEDTLRAGVQKYGIGNWKLILNMYKDIFDERTEVDLKDKWRNMTS >KZM92964 pep chromosome:ASM162521v1:5:1235535:1245826:-1 gene:DCAR_016209 transcript:KZM92964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFSAKVSLGNFPDFSDAVSKLSESVKNIEKNFDNALGFEERGGEASGSLPSSVDVNDSSDTDVDVAGSKSEESKNEPSQHADSLRPEAPSDEKEEIEPESSAVLASEQISTNEEVDEERIESAIQPDQGEATDVASIEGTEIVSEADVDSQSMSVGMSEANVEHLKESDALNRLQKESSETVTSENSESREGKPSVNVDGAESAISGPDETNNTSIIHQAEIAEEHKTQDVENVYSVNKFEEKAIDTQTVSGPEPQVSDSTTSDESKSAENISNSPLPHGQLSEDASDMVPEMVSHEQDSSARTFEVSQHGSDHEINKGQNFGSDSLDAQASRAELEKIKKDMKMMETALQGAARQAQAKADEIAKMMNENEQLKAALEDARRKSNEAEVESLREEYHQRVSALERKVYALTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEELSKKQATQESLIRKLRAQIRELEEEKKGLNTKLQVEENKVESIKRDKAATEKLLQETIEKHQVELGSQKEYYTNALTAAKEAEALAEARANNEARTEVESRLREAEERETMLVQALEELRQTLSRKEQQEVFREEMLRKEIEDLQKRYQASERRCEELVTQVPDSTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEGRAAEAEERERSVNERLSQTLSRINVLEAQISCLRTEQTQLSKSLEKERQRAAEHRQEYLALKEEADTHEGHVNQLEEEIKELKKKHKQELHESLTQRELLQQEIEQEKAARSEVERMARLSSAASDHSPKKQRSTFENGNLTRRLSSASSVSSMEESYFLQASLDSSDNLSERRTLGEPMNPYYVKSMTTNAFEAALRQKEGELASYMSRLASMESIRDSLAEELVKMTEQCEKLKGEASQLPGVRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKVIYCIPVLKCVSLTKSVCYLFLL >KZM95284 pep chromosome:ASM162521v1:5:30808514:30811687:-1 gene:DCAR_018526 transcript:KZM95284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDDFSGASLPSPGTDASRRRVVYFYEPTIGDYYYGQGHPMKPHRIRMTHNLIVHYNLHHRMEIINPFPAGPADIGKFHSADYVDFLESVTPESLHDHEHARHLKKFNVGEDCPVFDGMFSFCQASAGGSIGAAVKLNLRDADIAINWAGGLHHAKKSEASGFCYVNDIRVLYIDIDIHHGDGVEEAFYTTDRVMTVSFHKYGDFFPGTGHIKDVGHGQGKNYSLNVPLNDGIDDDSFRELFRPVIRKVMDAYQPEAVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTMRNVARCWCYETAVAVGVEPDNKLPFNEYFEYFGPDYTLHVRPTPVENHNSPADLEKIRNLLLEQISRLTHTPSVQFQTAPPVTRVPEQPEQGMDIRPKPRIWTGASEDCESDAEA >KZM96129 pep chromosome:ASM162521v1:5:38513748:38516503:1 gene:DCAR_019371 transcript:KZM96129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVVKCHPAGADPVILANATDVSHFGYFQRPSVREFIVFVGRTVASRTPPSQRQSVQHEEYKVHSYNRNGLCVLGFMDDHYPVRSAFSLLNQLIDEYQKNFGESWRTVQADNAQPWPYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >KZM95605 pep chromosome:ASM162521v1:5:33784100:33799523:1 gene:DCAR_018847 transcript:KZM95605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIINMAEKDNVEGRCPACRTPYNKEKIVEKAAQCERLLSELNVEKKGKSKSKSKAPDGRKNLSSVRVIQRNLVYIVGLPLTLADEDLLQGAEYFAQYGKAIMSNEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIINMAEKDNVEGRCPACRTPYNKEKIVEKAAQCERLLSELNVEKKGKSKSKSKAPDGRKNLSSVRVIQRNLVYIVGLPLTLADEDLLQGAEYFAQYGKVLKVSISRTAAGTIQQFPNSTCSVYITYSKEEEAVRCIQSVHGYTLEGRPLRACFGTTKYCHAWLRSVPCINPDCLYLHEIGTQEDSFTKDEIISAYTRGARASNSQPSPTSMASSNGPSKQKSDTGGISVAFSTAVVSPAKVSLMHNTSGKKLNGEGWFPLQRNKSVPVASVGIQDVDKQQKSSNTSTKIGHFDKLVTSDQISTPSPKVDGSTSMPDITVQSCGINSERNSHLPVESKFKELCSDMLSLNIITHGPQHVDTKYRELLSTDAAAKDASSSKDPVAARDLSEFKLVSPSQVAESDTCKVKDDIQSFNIQRRRDPEVTNSTDSSIGQLSDSSRDLHSQESFHIPSTNFDLNNVDNQPSKFSVPQAYNAPVISNGYAGNQTRSFDLDTSANDSSYMLQDELKLHDGRHGSALVNHDSILADGMGESSIISNILSMDFDSWDESLASPQNLVKLLGETDKQLGSLGASNTKKVQNSNQSRFSFAREEHQASNFGPSSAGVEQTLRNHSFTNGFKDNGNHYRSGTANGYSTLSAHQPGDFASTHFHNSPNRFSVSRAPVTAPPGFSGPTRAPPPGFSSFERMEQTFDVNSGNHLLNNSSYLRNASQSPSSMYNDSTNADIEFIDPAILAVGKGRLPNGFNNPSLDMRSTYPTQMSNYENEARLQLMMQRSVSPQQNPRYVEMGNSFSSYPDNYGMPPTIFEQALANNGSQYSQFGLSQSRNQLVSSGSWDGWKEVLSGNGSNAAEFLRSERLGGNKYFSGRQDSKYRISSSGDLYNQTYGI >KZM95663 pep chromosome:ASM162521v1:5:34251817:34255526:1 gene:DCAR_018905 transcript:KZM95663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSGEESDISDSEIYEYKEKPYALLKSGKLRVKGPNDSFRCPFCVGKKKQDYKYKDLLQHANGAGKGSSNRRAKKKACHIALAQYLENDLVDEAEQPAQVEVPPHSSENELLCNPWTGIVVNIVKGFDMGRAIFSEEYMMDKFSKYKPKEIVLFCDGEKLNGEAIVKFNNNWVGFTNAMEFEKSFQTDHRSKKDWASEEAHKGSQMFGWLARADDYELAGVIGDYLRKTAELKTTSDVLREGECDKKNRIQILTYELDKRTENLDHLQIEYNEKSMSLDRLLEDYSKLHNEFSEETRRMQQISQENITKVIAEQELLNLELEAKRKQLDSWSRELNRREILTESDREKLDEDRNKSNVRNSSLQLASMEQKRAGENILRLLEKHQREIEAAQTETLRLERELDEKIRLEMEIQELKRKLQVMKHLESEDDDALHSRMKEMQDELEDKEEQRNHMETLNQTLLSKERQSNDELQDARKKLIEGLKENLSGTRTNFRIKKMGELDERVFKQECRERFPRIPPYEADVKALELCSLWQDKMTDPSWYPIKIVKVEGNEKVDQALSFWYAVVSMIELSSEVVTTVF >KZM94337 pep chromosome:ASM162521v1:5:21202817:21202963:-1 gene:DCAR_017580 transcript:KZM94337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLYIILPVLNEKYDEHPGISFFIFIFAEGHSMNQRLIQFSKLIVMD >KZM96441 pep chromosome:ASM162521v1:5:40989555:40990838:1 gene:DCAR_019683 transcript:KZM96441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYKRGRIRDGDLRRFIPVLVITLVGVYFLLFLPRTSLVVDNEDTVKIDEVSEGEEVKFSGRINLPKQNELSVMLQSRNQLPPRNLDLFPTLAKDRIVIVLYVHNRPQYLRLVVDSLSSVVGIKETLLIVSHDGYFEEMNKIVEGIKFCQVKQIFAPYSPHVFPDSFPGVSSKDCKSKDDPVIKHCEGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETRQHSGDILFIEEDHFIFPNAYRNLQFLVNMKPKKCPDCYATNLAPCDVKSRGEGWETLIAERMGNVGYAFNHTVWRKIHRKTREFCYFDEYNWDITMWATVYPSFGGPVYTLRGPRTSAVHFGKCGLHQGQGESAACVDDGNMKIDVEDIDKTVNLKPNWGVHVYTHQSGYKAGFKGWGGWGDERDHQLCLEFANMYHVGGTHL >KZM93326 pep chromosome:ASM162521v1:5:4793771:4795101:1 gene:DCAR_016571 transcript:KZM93326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFYAKEDDQVLLPPGFRFHPTDEELISHYLSKKVVDSNFSATAIAQVDMNKIEPWDLPRIAKMGEKEWYFFCVRDKKYPTGLRTNRATAAGYWKATGKDKEIYRGKSLVGMKKTLVFYGGRAPKGEKSNWVIHEYRLEGKYSLQNLPKTARNEWVICRVFKKSAGGKKVHISGLMRMNSVEKNEMNPISLPPLVDNSATDSLHVPCFSNTFDIHKSNMMTYLNNPLYPLNNSNPSDLFPRLCPPNPSQSLEIQENLQCPGTLPGQDQGMLRGLFEDYGTSVRQNLKTENEMISLSHETGLSRDMKNEISSVVSNLDVGRRPFDAPSTSVGPLDLDSLWNY >KZM95116 pep chromosome:ASM162521v1:5:28830178:28832902:-1 gene:DCAR_018358 transcript:KZM95116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSFPEEVLEYVFSFINSDKDRNAVSLVCKSWYEIERWCRRRIFIGNCYAVTPGIMVRRFPEIRSVEIKGKPHFADFNLVPEGWGGYVYPWVVAMSRAYPWLEEIRLKRMVVSDECLELISKSFKNFKVLVMSSCEGFSTDGLASIVANCRNLKELDLRESEVEDLSGHWLSHFPDTCTSLVSLNIACLASEVSFSALERLVSRCPNLKSLQLNRAVPLEKLPNLLRGAPQLVELGTGAYSAELRPELLSNLFDAFTVCKELKGLSGFWDVVPAYLPAIYPVCNGLTSLNLSYATIQSPDISKLISQCQNLERLWVLDYIEDSGLDILATCCKNLEELRVFPSDPYGVEQNVALTEQGLVSVSEGCPKLQSVLYFCRRMSNAALVTIARNRPNLIRFRLCIIEARATDYLTLEPLDAGFGAIVENCRELRRLSLSGLLTDRVFEYIGKHAKKLEMLSIAFAGDGDLGLHHVLSGCESLRKLEIRDSPFGDKALLANAAKLETMRSLWMSSCSVSYGACKLLGQRLPRLNVEVIDERGTPESRPESCPIEKLYIYRTVAGPRFDTPGFIWTMDEEGNTPLGIA >KZM94418 pep chromosome:ASM162521v1:5:22131760:22132079:-1 gene:DCAR_017661 transcript:KZM94418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIILGGAVNLHKAILNVLFWDLVIVPSTKRAITIGADLVCRFLLFLKKKRFLKCWPSNISQVQ >KZM93325 pep chromosome:ASM162521v1:5:4783927:4785192:-1 gene:DCAR_016570 transcript:KZM93325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIIVFCAVSDRGSMDYAASVFKNLESPDGFMWNTMIRGFVRTMQVKNIFGYFKKMQENGEKADNFSFSFLLKVSGQLGSVLLGKQIHCSVVKHGLEGHVFVRNTLIHMYGMLKDIDTAQQLFDERPGGNVVTWNVIIDCHVNCGDYKGALECFSRMRRCGVDFDDVTLVAVLTACSALGNLDFGRWIRRLADNAGMGSNVMVLNSLIDMYAKCGQVEEAYKIFNASSERNLVTWNSMILGLATHGHASEALKLFSNLLAEKLQVPNDVTFLGVLCACSHGGMVEKGKKYFDLMTREYGIKANIQHYGCVVDMLGRAGFVDEAYHFIRSMPLKCNAIVWRTLLAACRVHGNVELGEVVRRHLLELEPDHSSDYVLLANMYAGSGKWRDVMNERHAMSTRGVQKPMPGNSIIDMQPIHGAF >KZM95177 pep chromosome:ASM162521v1:5:29626174:29628291:1 gene:DCAR_018419 transcript:KZM95177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSLSSSSSLHSFTTPSSKLTIPSSPSLSFSPSHSLSSSLSISSSSLFFGPSRHNNASRCISSFAAFSVKASAKKSVLIVNTNAGGHAVIGFYFAKELLGSGHQVTIMTVGEESSDKMKKPPFSRFSEIVSAGGKTVWGNPSDIAKVLEGSSFDVVLDNNGKDLDAVRPVADWAKSSGAKQFLFISSAGIYKLGDELPHVEGDVVKEAGHFAVETYMSEIFENWASFRPQYMIGSGNNKDCEEWFFDRIVRGRPVLIPGSGMQLTNISHVKDLSSMLTLAVENPAAASCKIFNCVSDRAVTLDGMAKLCAQAAGLPVEIVHYDPKALSFDAKKAFPFRNMHFYAEPRAAKDILGWSSTTNLPVDLKERYEEYVKIGRDKKTMTFELEDKILESLKVPVAV >KZM95272 pep chromosome:ASM162521v1:5:30690868:30693855:-1 gene:DCAR_018514 transcript:KZM95272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPGLAFQRSGARSLNNHGASGALPSSFPVLSSPRLTDYQEVSMERVVVSNPANVRPVLSSSSGAVGHIFSSSAGFSTDLQYSTSAPHEKHSRKAPFISQSSNPVATMPLNNCDSGVFKSTASSRYTTENNNDSWCTESVRSYLDGPANSPGVNNQINDNNAICVMPSEDFSKTSDWQEWADQLITDDEALPSDWDNILVDTGAGGPEPQQVSSTPINCPVQQPQVHQQYQVNQQLPASSGEICTVTTPSSSAGGSSTKSRMRWTPELHEAFVEAVNKLGGSERATPKGVLKQMKVEGLTIYHVKSHLQKYRTARYKPESTEGTSERKLTPIEELSSLDLKT >KZM93989 pep chromosome:ASM162521v1:5:13382421:13384490:-1 gene:DCAR_017234 transcript:KZM93989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIEAFARLDNQVAERSLNLIHTTSSMLRAKEALAALPANAGDDFEYEDESDEDLNEALGNSLNVEPAEPSTSLPSWLSIQSANATIVSMELERQATSILNSQAASSSSSISATLASQALDNLRLHKYQSLHVQKEVEHLNSLITIVKSDLSSKIEERVPTQVTSVMTNFEKKHAQLEKQMEVLEIKVKILDSRMVEMLQHQRVQTDLLQHLLSAFELVRRIPPPFCTEQEKKRKDGIDSIEERLEQVLAKQSSSQSTTSTIPTSFPTTTKILRVITPEIVIPSKKEKGEPSTLNEFKAILFPNTCGYSRPGKDSSSIYFPLARPNKNENKLLGQEIKSYKDSTDEALKAHFAIIYREGQKLFIGTGHPHYSFAKAEEVARECERKELESQLSMNQEIEVDERYAIELEEELEAELLQNENRIAPENPPKKKRVKARSKITEAAKRREEEPIDLDNIHVPAFLVQETSKPKKKGKSVAKKMANLPKPPKEPENADDYLVIANIEEISELELDLNDPNEGDVTWPLHRVLQSEGFSSLTKIYAAIKRTWGFTPPAKQMVLKRILEIRKEWNSDASLQRRLKIPYTRKKIHHEPTPIMEFGDNQGVRRFFIPKD >KZM93269 pep chromosome:ASM162521v1:5:4279615:4282570:1 gene:DCAR_016514 transcript:KZM93269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNQGLEALKKAFGDVILNTSEEAASRVMAAEKRAQQLEQEIRGTKDEAVQMMVRLKKKMDDEISEATMASRNRQEKIDELEAQLQEAEDIVTETREKLRHEQAKFERVARREMQRQDKHDYASLTEAFDRKRQSRSVPCPPLEFQKDPLITSDNENPYLNQRSEYPNCSTEPLIRNLYGPMPELPSIISRSKKHKVFRNACTQRIHACERNIVGNELSLSGQHDDASGKGMVEGAEKDINTCKSTFSEVHKVLNIESKKVAGKNDSISGGYEVQPMKSIPEEVKKATEYSKNTLCWLNKATETNVNDKEGDSEKLISKSETPFKTEAKYGKNKNTLGKKISDNMFMKGCKNHGVPHSDPIPKSDGDNPQPNEDPTANKPKLSFDTAGLETPLGCAKSAEIKDNGREGDTEKLISKGKPPVEIATKYQKNRSAIGKKIPDKMFENRHETFGARNSYPNPTSVQNNVQPKKDPKVTMPKLSPDIKGMETQLECAKTTETNNNGKQSGTEGLIPNGDPPVNISVPSVQEGVSADSSDIEVSNSNLKKVDEHILKSKLKINDATNTGFSLQLFKYTFQRKRKREIVSISDGAVLADNHTLERRKETKDTSEQSEKSSLAAYSGGSQ >KZM96398 pep chromosome:ASM162521v1:5:40720979:40724708:-1 gene:DCAR_019640 transcript:KZM96398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASSAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPYLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRSLSWKDVKWLQTITKMPILVKGVITAEDTRLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVKKVLQMLREEFELTMALSGCRSISEITRNHISTDWDAPRAQFAPRL >KZM93533 pep chromosome:ASM162521v1:5:7532896:7535099:-1 gene:DCAR_016778 transcript:KZM93533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFSCLSQKWKDGDNYNVDGTAPSPEPNSLRLKENENENNDGKKTEACSYKYSELVAATQDFTEDNLIGEGGFGGVYKGLLESGQVVAVKKLDQNGLQGNQEFIVEVLMLSLLHHPNLVTLAGYCSDKNQRLLVYEYMPMGSLEDHLFDVKFDKEPLKWSTRLKIAVGAARGLEYLHLKANPPVIYRDLKSSNILLDSEFNAKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGKLTPKSDIYSFGVVLLELITGRRAIDNTQEPEERSLIAWSRPFMRDRKKYVQMADPLMQGRFSVRSLHNVVAICAMCLQDQPMFRPQISDIVAALEFLASQAEKKDARLRSSSRTSLDLSSSES >KZM93600 pep chromosome:ASM162521v1:5:8276694:8279004:-1 gene:DCAR_016845 transcript:KZM93600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATQFEDGFPATKLFNQGFSYTYDDVIFLPHYIDFPTDSVQLATKLTRNLNLSIPCVASPMDTVTEASMAVSMASLGGIGIVHSNNSAVEQASVVEFAKAHRIPFVNDCVFVSPNDHIQSVEEFCESPCVFVTETGNRSSKVLGVVNKFDWEKCGDRRNARVSDYMVESVVNVPSTYNFDDVAGYLAAKNLDYVPLVRNGEVFDIVSVGDVERVRGFPKTGALPSLGKNGEFLVGASIGTRESDKERLEHLVKAGADVIVLDSSQGNSIYQIEMIKYVKRTYPELDLIGGNVVTMAQAQNLIQAGVDALRVGMGSGSICTTQEVCAVGRGQATAVYKVASIAEQSGIPVIADGGISNSGHIVKALTLGASTVMMGSFLAGSREAPGTYEYKNGHRVKKYRGMGSLEAMTKGSDARYLGDTNKLKIAQGVVGAVADKGSVSRFIPYTMHAVKQGFQDLGASSLESAHKLLRSGVLRLEVRTGAAQTEGGIHGLVSYEKKSF >KZM95500 pep chromosome:ASM162521v1:5:32681376:32683892:-1 gene:DCAR_018742 transcript:KZM95500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGVEVKAGEKVPVPVESGHLIHVSQVALGDVKNAKAANYVPVRMTVEGKKFVIGTLSADKGPQISFDLVLDKDFELSHDWKDGSIYFCGYSAEQYPFADGFYDEEDSSDEEEIELENGKPVPAIPAAKATESKAAATKPGSSAKPKVTVVEPKKDESEDDSDDSDDSESDPLEDDSSDDDDMSEDEETPQKVEPPSKKRPASSAVKTPASTKKAKTETPQKTDGKKASVHTATPHPKKKSEKKTTESPKSSGGVTCSSCSKTFNSEKGLESHSKAKHGGK >KZM96415 pep chromosome:ASM162521v1:5:40821339:40821792:-1 gene:DCAR_019657 transcript:KZM96415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKVVIRVDMSDPKKSKAKAIKTAASIPGVESVAIKGDSTDMLEVVGNEIDTVELTNLLRKNVGGADLISVVPAEDKVKKPVCSNCSIM >KZM92885 pep chromosome:ASM162521v1:5:530878:534054:-1 gene:DCAR_016130 transcript:KZM92885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLKGLSSGQNTPTSVSGRTESVVFEDDDEDDNDEPKEWVAQVEPGVLITFVSLPNNGNDLKRIRFSRDVFNKWQAQRWWAENYDKVMELYNVQQFNRQAVALPTPPRSEDEVSKIESDGSSPETPPLSKERKPRNLQRPDAKGSSSDSLDQHQVESHHHHDSALASTPKLSSISAGKTETSSIDASARTSSSREGDRSGDVSLSNASDMESEWIEQDVPGVYITIRALPSGIRELRRVRFSREKFGEMQARLWWEENRGRIQEQYL >KZM96069 pep chromosome:ASM162521v1:5:37937066:37937989:-1 gene:DCAR_019311 transcript:KZM96069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTQNSDSRAEAERLLGISEKFLTNRDLSSSRDFAVLAQETAPLLDGSDQILAITDVLLAADTKKINGRNNWYAILQLERKSDNLDDIKKQYRRLALLLHPDKNKFPLANEAFQLVADAWGVLSDSGRKGVFDHEYGVFTRVNLVANRKGNQEKGYKGKGSGGGGNAGETRNFWTMCPYCYNLYEYPRVYKDCCLKCGNCKRAMHASEIGNLPPVVQGQDAYYCCWGFFPMGFTGNEGVGGGSGGKGSGIPNWTPPVFGMNVNGGEDEEFVENSGGNVTPVHRNVNASGSTSGAKRGRGRPRKNLL >KZM93055 pep chromosome:ASM162521v1:5:2012839:2019465:1 gene:DCAR_016300 transcript:KZM93055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSSSELAIELRKVGNKLLALASCVTTQVEELLQILDELEGLLSKVEQAPSRSMQDALYPSMKALISNQLLKHTDTDVKVSLASCMSELIRITAPDAPYDDAEMKDIFQLTVMAFGMLSNKDTRGYSKALHILDNIVKVKACLLMLDLECDGLIADMFKQFLSSIRYISLSVVFQQMEYIMTLTLEESEEIPEEFLSSLLSSVNKKKENALSRSWRLGESVLRNCAGKLQHFLPKLVRSRNLSFDDYSIVVASICENSPKDKHVAALETDPSATKPSESLIDVGTGQKVTNGTLWGGNSLRTLEHSLLAKQQGNNKAQLPSQAEKSDVTGIVQVKAATTPKKRGRKPKSLQKPEEGSGIPHGISVFGEKLVGRRIKVWWPLDKFFYEGAVASFDSVTQTHKVLYADGDEETLNLNNERWDLLEEPTMEYSSPAAASHKSMKKKAKRKSASTEKQGTPSSSKWPGFADKSKTEAPKCSSADNDFVLDAATADSNAHAPIEDDKQKSSSQTDASKPDVLSIADGANGSITVNPNKTDAKHKFDSEEEAKTLTIIREPEPCSIVECGGESATHTKITENDKLPHEKLSEVGEIGMLPDSVDVECGGQSATHTKITEDDKLPREKPSEDGETGMLPDPVDELMHPEAI >KZM95711 pep chromosome:ASM162521v1:5:34758763:34759179:-1 gene:DCAR_018953 transcript:KZM95711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSKFQHSEMDHKALLQSDALYQYILETSVYPREPEAMKELREVTAKHPMNFMAISADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPHDGKV >KZM94980 pep chromosome:ASM162521v1:5:27650543:27651189:1 gene:DCAR_018222 transcript:KZM94980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGASPCASCKLLRRRCAKDCIFAPYFPPHDPHKFAIVHKEIPVEQRGDAVSSLVFEANARLRDPVYGCVGAISYLQTQVSQLQMQLAVAEAEILCLQMQQQQQQQSQQPNIPITAQQMMMIPDDKSLHLSTNNFDYSLQQQYLNFGPTSNIVMQDPPKRESLWT >KZM93670 pep chromosome:ASM162521v1:5:9108464:9109651:-1 gene:DCAR_016915 transcript:KZM93670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDPVILSTGITYDRMSIEKWIYAGKNDTCPVTKLKLGDSELTPNHTLRRLIQSWCTLNASYGVDRIPTPRPPVSKSQLVKLLKDAKCPKLQMECLRKLKFIASENETNKRYMEDAGACEILASIITSSGIATSNGIEDGFELSRADDEALNIMCHLQLSEKSLKSLNGEKGEFIESLMRLMQCDNYESRTYSVIMLKSILKVAGPLQKTSLRNDFFVELVQILREQISSKASKAALQLLISICPEGRNRIKAVEAGAVSVMVDFLCDHSSDKRVCEMVLVVLDQLCQCAEGRAELLNHGAGLAIVSKKILRVSQLASEKAVKILLSIAKFSATTSNVLQEMLQLGVVAKLCLAIQTDCGEKIKERAAEILKLHARAWKNTSCLPINLRTSYPC >KZM94558 pep chromosome:ASM162521v1:5:23581506:23583919:-1 gene:DCAR_017801 transcript:KZM94558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKDQQQRQLFKQSTWPEFEGYFILFPHQLSSEAGELPGELSSILHVIGQIFPSHQKVWVRSKSLLDSGRGSFTLSSRISGGRRSRKNGSESSGTLGGQHWKKRHHKVTSQRQFNLKTEGVAKKPIGLLHVKVLRAEKLLKMNLLSASDPYVKLSLSGERLPAKKTSIKMNNLNPGWNGDFKLTEKDPQT >KZM93181 pep chromosome:ASM162521v1:5:3187196:3193500:-1 gene:DCAR_016426 transcript:KZM93181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMPEVEGKQFKKLGKCRSRLGKVDFSLDCGMEVDGDQNGQGTPSSREEKVSSLRTGLVLVARKMPKNAHAHFIMGLMYQRMGQPEKSVLAYEKAEEILLRSEEEIDRPELLSLVQVHHAQCLLLKSSEDFGSGKELGGKELDEVINSLKESMKLDIKQASVWNTLGMILLKSGRLKNAISVFSSLLDVAPDNLDCLGNLGIAYLQSGNLELAGKCFQEVILKDQNHPASLINYAAFILCKYGAVVAGAGANADDRASRDQVKAANVAKECLLAAVKADPKAAHIWTNLANAYYITGDYRSSSKCLEKAGKLESNCLATRYAVAVHRIRDAERSQNPSEQLSWAGNEMASIIREGDSLSIEPPLAWAGLAMVHKAQHEIAAGFDIEQHELVEVEERALYSLKQAIAEEPDDAVQWHQLGLHNLCTQKFKASQKYLKAAVARFRGCSYAWSNLGIALQLSAETASQAEEVYKRALSLTSQQAHVIFSNLGNLYRQQKQFESAKAMFTKSLKLQPGYAPAYNNLGLVFVAEGRWKEAKYCFNMALQGDPLLDAAKSNIIKVTAMSRGS >KZM94534 pep chromosome:ASM162521v1:5:23349230:23351283:1 gene:DCAR_017777 transcript:KZM94534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGEGFVWKRRGMSSEDQMDETSSITSKIMIMSNKSTKKKKNQKKKMNKMRETNAAKSGGCSSCDLVSFDELPEYMKDNEFIRDYYRADWSLKQALFSIFRWHNETLNVWTHLIGFVLFVGLTCSNLMHVLPVDQLLNIFTTWSFPLGPEVNVSHNSKNFFSDTTEFIDLKHSSSLGMQMTPTKLEATRWPFFVFLGGSMFCLLSSSICHLFSCHSHPLNVLLLRIDYVGITVMIITSFFPPIYYIFQCTPHWQFLYLGGITVMGIFTIITLLSPVFSTSKFRAFRASLFVGMGLFGLIPAVHAMLVNWHEPSRNIILGYELAMALSYLIGTVFYVSRIPERWRPGWFDLAGHSHQIFHVFVIMGALSHYGAALVFLDFRSKIGCEVNM >KZM93370 pep chromosome:ASM162521v1:5:5304657:5304965:1 gene:DCAR_016615 transcript:KZM93370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRKSNKQTQSAALKQIIRRCSSFGRNSDTSDLPNDVPRGHFAVYVGDNRSRYIVPISWLNHVEFQGLLERAAEEFGFNHDMGLTIPCQEEDFLYVMSIIQ >KZM94542 pep chromosome:ASM162521v1:5:23444383:23453468:1 gene:DCAR_017785 transcript:KZM94542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSVDSGTEIRDNEGSPRQHIHNTILVLIILSTMKLTSWGGISSLEHDEAVMLEAAIFGGIPEGSSYHVPYAPHQYMQNGFDGSGTYARPAPPPPSPSLTAQRLIREQQDDEYLASLQADREKEFKAREEAEVRLIEEQAAREAALVVERQQAEELQRKLQEEQNSVPVLFTHFRGNKPNDTQLNSMSRKRVSRGNLLTHSVIDYEWRGLANKFHQVKHIGLCGSFSGT >KZM95339 pep chromosome:ASM162521v1:5:31269162:31275913:1 gene:DCAR_018581 transcript:KZM95339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSGELSPALGRLSYMKIMDFMWNKISGSIPVEVGNIKSLELLLLNGNQLTGPLPEEMGNLPNLDRIQIDQNHISGPIPKSFANLNKTKHFHMNNNSISGQIPPELSKLGSLVHMLLDNNNLTGYLPPELAEIPNLLILQLDNNNFAGKEIPASFKNMSKLLKLSLRNCSLQGPIPDLSPIPNLAYIDLSSNELQGSIPSNKLSEAITTIDLSNNNLTGTIPANFSRLPRLQRLSLENNSLSGSVASTIWTNRKLNATETLILNLQNNFLSSISGSPALPPNVTLRLEGNPVCPNSSLSDSCTSFIANDTSFQSPGIIPDDCPGCPSPYEYAQGSPVRCFCAAPLIFGYRLKSPGFIDFQPYFNPFGEYLTSGLQIKSFQLNVESYEWQKGPRLGMSLKIYPVYTGNNSNTFNRSEVSRILDMFTRWNIPDSDIFGPYELIYFTLLEPYKDVISAKSSTSLSKGAIAGIVLGAIAGSVTLSAIVTLIILRSQTRKQHFTSKRRQQSRVSIKIDGVKDFTYAEMALATDNFSSSSQVGQGGYGKVYKGILADGTVVAIKRAQEGSLQGEKEFLNEIELLSRLHHRNLVSLLGYCDEESEQMLVYEFMPNGTLRDHLSVTSKEPMSFAMRTRIALGSAKGILYLHSEADPPIFHRDIKATNILLDSNYIAKVADFGLSRLAPVPDLEGFVPAHVSTVVKGTPVNNAFRSGMMFSVIDKRMGSYPSECVERFVNLALKCCQENTDSRPNMAEVVRELELLWLMIPESDTRITHSSVSDPGKGMSSESSSSVGITNPSMTNNYYSSEISGSDLVSGAVPTIAPR >KZM92955 pep chromosome:ASM162521v1:5:1188139:1190836:1 gene:DCAR_016200 transcript:KZM92955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPGSYRSGLDESYRPLPSVYMAFMMIWSFSAVFWAVNTYKSRYSCFYFQTCSLWISFGVYVTGILFQTASFVSFFLISHGYCIMCERLSVLERRTMAALGCVFYLILVGHRASVPYFTIFINMGDSLENYWFRLLIREWSQFCIFLYIGWTFWPQELAPRFSVMPTLKSKGEIMMPPIYSIEMDATRFKDFSSHQWQIGVPTSFRDRGLKDSILIVVQHPHAYQPTLVNSKSPSPVAVANCNPDGSTNSSYEVHDQKQ >KZM93734 pep chromosome:ASM162521v1:5:9937335:9938732:-1 gene:DCAR_016979 transcript:KZM93734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQNMQAIENVAVVMVPFPAQGHLNQLLHLSRLISSHNIPVHYVASATHSRQARLRIQGWDPLSTHSQLIHFLEFPTPAFHSPDPNPHDPVKFPSHLQPSFDAALLLRQPVASVLSKLSPTAKRLVVIYDSIFPSVIQDVASIPNGEAYSFQSVSTFCISSYYWEALGRPSEIDDDVAEKIPSKGTATTMTSEMRKLVDSQSEYRKYSSGALFNTCRAIEAPFLDVLAKINAKQWAIGPFNPIEMTKNSTKQRYNYSDWLDNQAPDSVIYVSFGTTTSLTNEQIHAIAVGLENSGQKFIWVLRDADRGDIFVGDTRVCQLPEGYEERIKLKGQGIILRDWAPQLEILAHASIGGFMSHCGWNSCMESMSMGVPMAAWPMHSDQPHNALLVTKVLKMGVVVKDWAHWDEFVESLTIEKAVRELMASKQGEELRRRAVELSTAITKSVAGGDTRREFDDFIAHICR >KZM94670 pep chromosome:ASM162521v1:5:24763352:24765544:1 gene:DCAR_017912 transcript:KZM94670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPQMCNIMCRVILDKKIAKEIKRKIDDDYRVNMILDNLPLVVPVRRMDQESSFLYQHGYLVGLKGIYAGSKDEKYFINNHLAFTVKYHKDLQTDSARIVGFEVKPFSVKHEYEGTWNDKTRLTTCDPHAKRTVTNSESPQEVEDKKEIIFTYDVEFETVT >KZM95914 pep chromosome:ASM162521v1:5:36706297:36711060:-1 gene:DCAR_019156 transcript:KZM95914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAKWIFLAFLAMQLLSSFSQEPDTSRWLSIDCGADGLPFDDDLIIWDTDDGYIQSGLNELVRTKTSRNELNTLRAFPDKAVEHCYNVSAVTQTIRYIIRLGFYYGNYDGLSKPPRFDLFINHVKWTTVDTSINNGLPFYEEIIYQNEKSGSFKICLVQIKDGGVPLINSIETMVVFDELYPEMDTSATYSLVTRTNLGGPEVRYHIDTDEMYNRIWSKDATPYTRVTGFPDFNTYENNPPITILEDAIVANGSDPITLIVNLPQSTQQSAYIVLYLTNLGNPFDSNQTTTLKFEINSQDQGTVNSTGNGKTTVVAKYPVTVSGPTINITLSLADEFSLPPMISAMEVFTKWDTGPSKSTAAAEFFSFAYSLILLCMLVLVSAGPDTSRWQSIDCGSERSWEDRLLTWRSDYDYSQTGWNKLVGTNTTRDEFNTLRAFPNGSKDDCYNVPIDADMVRYIIRVGFYYGNYDGLSKPPTFDLFINNKKWTTINTSLNEGEPFYEEIIYPNKGSEFFKICLVQIQDGGIPFINSIETVGIFHTLYYEMDTNATYNLVTRINFGGPEVRYHPLTDERFNRIWSKGYTTYANVSGLPTIYAATSSNYPPESVMADAIESNASDPITLTIDLPQFTPQSAFIVLYIPQLVYTNKPNQTRSLKIEIDGKDQGTADTIGYNENTVVTKYPVTVSGPTINITLSRVNESSLPPMIAAMEVFTKLDMNVSAAAPEYFSFACSLILLFMLLSVA >KZM96498 pep chromosome:ASM162521v1:5:41427796:41430355:1 gene:DCAR_019740 transcript:KZM96498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGIYRSGFPDSTNFSFLQTLSLRSIVYLCPEPYPEVNAEFLRSNGIRLFQFGVDGSKEPFVNIPEDTIREALKVVIDIRNHPLLIHCKRGKHRTGCVVGCLRKLQKWCLTSIFDEYQRFAAAKARVSDQRFMELFDISTFKHIPKEFSCLKR >KZM94825 pep chromosome:ASM162521v1:5:26249609:26251939:-1 gene:DCAR_018067 transcript:KZM94825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIATLGRPSLSAPPLSLSCFPSNPCPKITYAPPRHTKLITLAIEGDNDFGARDPFPAEIESNFGDKVLGFGSTEHKILIPNLAALSLSRLDCSPKPFALSEQDAQNLLRKVVGWRLSNEGGRVKLQCLWKLKDFKSGVELINRIFNVVEATGHLPNLHLEPPNQVRAELWTPSIGGLSMNDFIVAAKIDEIKTSDLVPRKRAWA >KZM92943 pep chromosome:ASM162521v1:5:1086486:1091911:-1 gene:DCAR_016188 transcript:KZM92943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPFPPPPQSTFVAQPPPPNQNPLAPPQSRGGSTQYSQNWGDGSSYQQGYQSNTSFQQPHYGAPPRSQVPVGLPPQHQQQQYPYPPPPPPESSYPPPPPPLAQNSKPLQPPMYYPSSQYSQYNNQPMQPSQPPPPSSPPSLNAPPPPPPPSSPPPPPPTQSKEIKVETSDRGAKKDGTLNQGLFSRQHKPSIPVAPGRRSNGPPGRVETEEERRLRKKKEYEKQRQEDKHRQQLKDSQNKVLHKTQMLSSGAKMPASITGSHMGDRKATPFLSADRIENRLKKPTTFICKMKFRNELPDPTEQRKLMTLKRDKDRLSKYRITALEKLHKPQLYVEPDLGISLDLLDLSVYNPPKGEILYLDPEDEALLRDDDPITPIKKDGIKRKDRPTDQGVSWLVKTQYISSVNMDSTKQSLTEKQAKELRDRQRGRGILDSSNNRGRRIQEIKSSFEACKTRPVHSTNKSLQPLEVLPLFPDFERYDDRFVIANFDSAPTGDSEVYQKLEKSVRDAHESQAIMKSYRVTGSDNAEEEKFMGYMAPSLDELSKDMYDENEDISFTWVREYHYDVRGEDAADLTTYLVSFGESAAGYVPLPAKLVMRKKRAREGKSTDEIEHFPAPSAVTVRWRADVSVGEVIESKSYSGARGSASTSKRQRIYNGSTSRQRNVSHHERDYSSGAEDDLNDDI >KZM94077 pep chromosome:ASM162521v1:5:14975583:14976163:-1 gene:DCAR_017322 transcript:KZM94077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRAKRKRYLELQDVLVSKVQSLNLISSDLENDVITANEDFGLMYKSMEDRQSELYSRMLDIAEKSGFIEDSRASVNGHAKLLKAQTTEMYAAFETFVEEWTKKLKDLKDAANEVGVEHENLSALLTDFILDV >KZM93336 pep chromosome:ASM162521v1:5:4891832:4893686:1 gene:DCAR_016581 transcript:KZM93336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADTESRSNDTTILTDVVGLASGIGEVDIVGNNWKKRDLHIITNQKLKFIESSNSNKYTLEEKMFFHRMNIKELVDSKWSEDLTIRDNVKEKLTYNDGLQPPRECGKRESSMVDDAEESGANKVEGGVSGNQLKAAVEVRKRRKMVVLDDDDDNDNGDAN >KZM96515 pep chromosome:ASM162521v1:5:41543155:41543919:-1 gene:DCAR_019757 transcript:KZM96515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRRGQTIGRGSSATVSMASCCGSGEVFAVKSVELSHSEWLQREEKFLCSMSSPYIVSYRGSDITSENNRLMYNLKLEYVAAGTLSDVIRRKGRGLDEAMIRCYTQQILRGLEYLHLSGVAHCDIKGTNILIGEAGAKIADLGCAMRVDQKSDLTATFGGTPMFMAPEVARGEEQGFAADIWALGCTIIEMATGNSPWPNHSDPMSILYQIAYSGKTPDVPEYLSCQAKDFVSKCLKRDPAERWTVKQLLRHX >KZM93152 pep chromosome:ASM162521v1:5:2868186:2869355:-1 gene:DCAR_016397 transcript:KZM93152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLRKVCSDSSTMNLPSELLAEVFSRVPIKTIINCRSVCKRWRKILAEPYFANLHLPRSAAGIIIHQGLSNPYVDILKMAELNDKADHHDIHHEYPLMKFMPRLGLEDGVMWLSGSINGLICLGSEKTICICNPITRECIFIPDQKFIGKSHPTLHHGFGYVESSDQYKVVRFYKGSFSASEGSYELGCEVYTLGTRMWKNLGHVPFYIGGYGDGICVGGNLHWLASHQKETADDERLCSFDLERESFQLTAGPVVPQVVGYTTYRNLGILGGCLCVCDNTPDLEFAIWVMKDYGVTESWSKEIVIHTDFLYWGTLDEEVYPLKVLKDGTILMYCEEHELFTYHPGTTTTQDHTFPDGDYKTYNAMVYVPSFVSLRSTFMLENVLVL >KZM93993 pep chromosome:ASM162521v1:5:13476381:13477649:-1 gene:DCAR_017238 transcript:KZM93993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLGLHDDNNSLHLSRSIPQDPILPPPQHLITDLPIPSYDQSSNFEFTNFHSSFATDQALMSQYNSEFDFVDELIRLAECFETNSLQLAQAILAPLNHRLSSPNGKPLQRAAFYFKEALQSLLTGNTLPDNSSENVVQTIKAYRTFSNVSPIPMFANFTANQAILEAVEGAMIVHVIDFDIGFGGQWASFMREIAENRKSNPPVIRITAIVPEDYAMESRLMTENLCQFARELNIGFEIDFISVRTFEFLSFKSVKFAEGEKIAVLLSPTIFRRIGAGFVTDLRRISPHVVVHVDFEGSIASSFRQAVIEGLEFYSTVFESLEAANVGVCGGDWIRKIEMFVMLPKIISAMEAAGRRETPWREVFAGAGFRAVGLSQFADFQAECLLRRVQVRGFHVAKRQAEMVLCWHDRALVATSAWRF >KZM94329 pep chromosome:ASM162521v1:5:21121551:21123941:-1 gene:DCAR_017572 transcript:KZM94329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLELPVLGACTNLSSPTAFTSLIIHTVLPENWFTVDAKEPIAHALVEVLEASDMKPSDMNGLADPYIKGQLGPYRFRTKTQKKTLSPKWHEEFKIPIISWESGNVLAIEVRDKDHFVDDTLGDCSVCISEDIRDGQRHEMWLPLQNIKMGRVHLAITVIEVTGKGDDQTYQEEPSTAENSFSSDAKLGDSSGLGEKSPKMADEFEPIDYEGKPETGIWVQRPGTEVAQVWEPRKGKDRLMDSKILGERGSESVSNSKSSAQSSCGNVSSSTDESQEGDENRSKHRVRRGLQKVKTVFRRNSGKEDRRSSFESLVSTPRDNIRSTNDKGTNVKFVIEDNLSSPGTPKVEGRDGAEGNNVESPNKGHMKDMAKSILKHAGNSARGLKSALSRKGSNKTKGELGSIPAGCGDSAGSDSSEEDVLPIPVKPGVELNTVVANPVSESGFELKTVVAHPVPAIGCDSFKSDEHIIQDSSNNPSLENPVGQVNGEEANKMSSNSIEGGNDAVVQEHVTSNPKSSEGDGIERASET >KZM94354 pep chromosome:ASM162521v1:5:21359438:21367657:1 gene:DCAR_017597 transcript:KZM94354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERQVIKRAKYKSSIKDPGTPGVLTMKRERFVFMPSDPRSLMKLNVEFRFIIGHKFSKEAPNKKALLNLTQDKGESYIFEFDSFPDRDACRDFVAMAIAPPANAGKASSDKPSVPPSSEQLSTAEMDRRIKLLQVDSELQKLHKEFVMGGVLTEAEFWATRKKSLDEKISSKSKQRVGLKSDMIFNVKPSSDGQSNRVKYSLTPEIIHQIFAEKPAVRRAYLNLVPKKMTETDFWMKYWRAEYLHSTKNIVAAAAEAAEDEELAVFLKQDDILASEARRKIRRVDPTLDMEADEGDDYTHLPLYIGKLSPRLLIAVYMQDHGLSRDVSKDLTDSQYDLYKRSFLQDLNRHAAVVLEGRSVDVELGDTRSVAEALTRLKEVESANEASNTHVEQGRSDLISRMAELEDLQGPRDHPVAPLSIKDPRDYFDSQQANAIKTLGESQSGSKHKKSILNAHEAYGSLRKSISEIKDLGLTDPIIKSEVAMKVFSELTLRISNTKYHHGKDPHESILDGLPNATKEEILHVNKVKDAMSKIYQKLQEIKSSVRQDFRHPVSLLVQPMTQALDAAFAHYEVDFQKRSTKSVERPNGFV >KZM94958 pep chromosome:ASM162521v1:5:27365372:27372629:1 gene:DCAR_018200 transcript:KZM94958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTQETGNGKKVVVIGGGVAGSLAAKSLQFVSQLTLIDPKEYFEIPWANLRAMVEPSFAERSVIYHKDYLTNGNIVTSKAINVKENEVMTADGRSISYDYLVIASGHKDLFPESRTERLKQYQSENEKIKSSQSVLIVGGGPTGVELAGEIAVDFPEKKVTLVHNGSRLLEVLGPKAAKKTLDWLTSKNVEVKFNQKVNLSNLADGSKDYKTSAGETIKADSHFLCIGKPLASEWLKETVLRDSLDEFGRLAVDANLRIKGCKNIFAIGDITDLKEMKQGYLAQKHSAVVANNIKILMSGGNEADLSPV >KZM94737 pep chromosome:ASM162521v1:5:25386154:25389735:-1 gene:DCAR_017979 transcript:KZM94737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSNSDDDVGFLLNDGGPFPFPLNSLLEPAPCGLVVTDALEPDNPIIYVNSVFEMVTGYSAQDVLARNCRFLQCRGPYAKRRHPLVDASVVSEMKRCLEEGIEFQGELLNFRKDGSPIMNRLRLIPIYGDDETITHIIGIQFFSEANVDLGPLRSSMKEAASAQDRFHFSLSSNSLVQDQSRNIQKGLCGILHLSDEVLSHNILSRLTPRDIASVSSVSRRLYEVTKTEDLWRMVCQNEWGCETIRVMEAVPGDKRLGWGRLAKELTTLESAAWKKLTVGGTVEPSRCNFSACAVGNRVFLFGGEGVNMQPMDDTFVLDLNCNNPEWQHVKVGSSPPGRWGHTLSCMNGSNLVVFGGCGQQGLLNDVFVLDLDAKHPTWREISGLAPPLPRSWHSSCILDGSKLIVSGGCTDSGVLLSDTFLLDLSTERPIWREIPAAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVYTMDLGEDEPCWTSVTGSEMPGAGNPGGLAPPPRLDHVAVSLPGGKILIFGGSVAGLHSTSLVYILDLKEEKPTWRILDVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLGDLHELSFAGSPV >KZM95032 pep chromosome:ASM162521v1:5:28126469:28126732:-1 gene:DCAR_018274 transcript:KZM95032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLGTDEGWKPGLKVLARSVAHLNIERPVRVMSSTDWSAKELCLEQIEFACAHVYACFRVAFELLKHGNEMPRMMKSPPPVDDDDE >KZM95076 pep chromosome:ASM162521v1:5:28406706:28415309:-1 gene:DCAR_018318 transcript:KZM95076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSRNGNVRKGEGSGKYDCSITSCASKAPRALTGFLATTAHSSVSTFGPRGRKSGIKRCESTDLGGLLSTESSHFVSQNSVLKSSSLHHAHEKWKMCSSTSESSQTYDEISPDSLWEGLMPAISYLPPEELILVHSALNLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNVVTFERIDKEFGETVRHIVEGETKVSKLGKLKCKSDSDSVQDVKADDLRQMFLAMTQEVRVIIVKLADRLHNMRTLSHMPPHKQTSIAMETLQVFAPLAKLLGMHQIKSELENLSFMYTNAQDYAKIKRRVAELLKEHEKELVEANKILIKKIEDDQFLDMMTIKTEVRSACKEPYSIYKAVLKSKGSIYEVNQIAQLRIIIKPKPCIGVGPLCSAHQICYHVLGLVHGIWTPIPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGKVFVNGLVKHTIPNGRSLRSKTVSLNNASVALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTEIGNKMVAAKVNGNLVTPLHVLANAEVVEVITYNLANCILQALSSKSAFQRHKQWLQHAKTRSARHKIMKFLKEQAALSASELTADSVNEFVADSEEEDCVEEVSDYSKGSKYTWEKILRNVMKLTSSKLSEEDVFKVENGSVKVPKLNGNHSKHMQHVSLKAKGELLSQGNGVAEMILANIPMYKEVLPGLENWRASKVASWDKLEGHSVQWFCVVCIDRRGMMADITVLLADIGITICSCVAEVDRGRGMSVILFQIETNLDSLVSLCSKVDLILGVLGWSTGCSWPGTTENHQIREC >KZM96197 pep chromosome:ASM162521v1:5:39034091:39038027:1 gene:DCAR_019439 transcript:KZM96197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQSLKIKGVKDIIAVASGKGGVGKSTTAVNLAVSLANKCRLSVGLLDADVYGPSIPTMMKLQGKPEVSEANKMIPIENHGVKCMSMGSLVEKEAPIVWRGPMVMKALEQLTRGVDWGNLDVLVVDMPPGTGDAQISISQRLQLSGALIVSTPQDVALMDARRGVNMFSKVAVPILGIIENMSYFKCPHCNEPSFIFGKGGARKTAEDMGLRFVGEIPLVEEVRSGSDDGVPIVVSNPDSAVAKSYGDVAQSIMSILEDLAKQRDVQPEIIM >KZM95163 pep chromosome:ASM162521v1:5:29348539:29360479:1 gene:DCAR_018405 transcript:KZM95163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNTQQQQSIWTGIIELTKSAQHRSTDPLTWAVQLSSALSSAGVSLPSIEASKLIVDYICWENNVPITWKFLEMALTMKILPPMLVLALLSVRIMESVDDVLHLSKSFNLEASGPGILVVEFVFSILWQLVDASLDDEGLLELVPRKNFRWSIKPQDMEIDKHNSVDEKTTERQEKLHLMNTPTSWECFVEGLQLLAVNSLALRNSKNITADALLKLTSDANSVFSGESNRRPHQQFHAVKTSGSLISSSAQSHGARHSALWLPIDIFLEDTMDGSQVVATSATETLIGMVKALKAVNQTTWQEAFLGLWVAALRLVQRERDPFEGPVPRLDTCLCVLLSITPLVIVNIIEEEESFLIDDAAHRRTNQKKDKVPGELRNDLVSSLQQLGDYEGLLTPPGSVSSVANQAAAKAMMFLSGLSVGSGYLDGVTLCDKSVNCAGNMRHLIVEACIARNLLDTSAYTWPGYVKGHSNQIPRSVTGQAPGWVSLMKGSPLTPALKSALVRTPASSLAELEKVYEIAVNGSEEEKTYAAAILCGASLTRGWNIQEHTVVFITRLLSPPVPAESSGESYLIQCAPYLNVIFLGVTTIDCVQIFSIHGLVPELAGALMPLCEFFGSCSPSISWTLKSGEELTPHAVFSNAFTLLLKLWRFYQPPLEHVLTNKSVAGTQMTPEDLLHVCNSRLASVGNSRQEPTMRLSRLSSMPSTKPIVMDSFPKLKLWYRQHEACIVSPLSSLVPRTPVYQIFDGLLNMMFRKVNKGGQTLIPATSESINSSGSAVEDNSLRVKVPAWDILEAVPFVLDAALTACAHGKLSPRELATGLKDLADFLPASLAAIVSYFSAEVTRGLWKPASMNGTDWPSPAANLVMIEQHIKKILADTGVDVPSLVAVGSAPATLPLPLAAIVSLTITYKLDRETDGYLNLVGPALNTLASGCPWPCMPIIASLWSQKVRRWSDFLVFSASSNVFQHDSQAVIQLLRVCFRSTLGLNSSPLENSGGVGALLGHGFGSHISGGLAPVAPGILYLRVHRSVRNVMFMSKEIVTLLLDTVSEIATNGLPTEKLEKLKKVKYGMRYNQVSLAAAMTRVKLAASLGASIVWITGGESFIHSLIKEYLPTWFISVHEPAYEKGESVGILAMLRGYALAYFSMLSGTFAMGIGSATTTNNRRPHVLAKHLAFLASALDGKISLGCCAATWRAYITGFVSLIVGCAPAWMLDVDIRVLKSLSKGLRKWGEDELDLALFGVSGIRAMGTAAELIIENGF >KZM93726 pep chromosome:ASM162521v1:5:9874370:9877305:1 gene:DCAR_016971 transcript:KZM93726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPAHSLLMNNDMIIRFLMTLLLTTNLYINNYCSNTPSVSPQSEFSILPLPLNSPSLDGHLCFENTHHAANDFGNRYHFLPAAVLYPKSVRDISSIVKKVYEMGTTSALTIAARGHGHSLEGQAQASQGVVINMESLQEHKMFFHIGELPYVDVSGGELWINILQEGLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQINNVYELEVVTGTGDIVTCTKKHNADLFYSVLGGLGQFGIITRAKIFIEQTPRRVKWIRLLYSDFSTFCKDQEHLISSENYFEYVEGFVIINRTGLLNNWRSTFSPQDPLQASQFHSEGKTLYCLEIAKYFNPEEIGTINQSLATGRKQPKSSLVLNPAARITSEVIEAFILSPETFMSPGLAPKATLPSGFPTRINNVDFSSVFMTCFQPFTSGSEVI >KZM93738 pep chromosome:ASM162521v1:5:9972140:9978328:-1 gene:DCAR_016983 transcript:KZM93738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSVVKTQHETNLAARKAEEAALRRYQATEWLDSLVGPLGITKQPSEKEFISCLRTGLVLCNAINKTQPGSVQKVVETQLPSGLPSSDSQPLPAFQYFENVKNFLVAAQELKLTTFEAPILERDNLEAGASTKVVDCILELKALHDWKQMNGGNGFNKPPRTPFTIHSVGKMHSQVSEETSLDRAHRRLEMTASCNIETPAESETHNLEELIVGTLAQCMVDKKENIDANFLTSIRSRNPDTLNSLCKSMSSCLQEQGQKMLPKVKPTLKDLLREEGDSITQLRTKVTESSIVGDKKCRGACSGKGYRNHEKLLKMQENELSKLKSLLSRTKTEFGDLQSQLQTDMKLLESQVQEMSTAALGYYKVVKENKNLHNKVQVLKGGLEKTIKVVDVSSEEAELLKELERLKTALSNKELQSSELSGLKEIKSPSDKSKSVTNETSKRARRLSAETFGIDRREKPQGNKPNEIKMLRSPSVVTTPPRLSLGNHSTQKVEKSSSVRRLSIENHPTEKVGKLSSVRRLSIENHPTEKLGKLSSVRRLSTGTSIAGNLGKAREPKGAKYMFEKAEAIQKRNTHQSRRLSSETGNNAKTAYLEDQKVLKTPVQNRIKRLSLEGPRYENKDFLNTQRPALPQAAISGSKPKAGDFRREVPHQMASKRPVSDCQVVRLEKDNYSRSQLIESSESQTASGKATTNGKKSQIQKSLCAIGKLINGSEKRTPDMKLPDPCDGRKLRRQTLAGNWSPVKSSTPSFGVKSSGNRLSRAITPPPVRPSDKSPRRWH >KZM95871 pep chromosome:ASM162521v1:5:36394125:36394922:-1 gene:DCAR_019113 transcript:KZM95871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILRRAQPFLHQIARGSAAKSFKEQGFMSKAYISTATQSLSTDGKASGVVFADYNIYKGKAALSASPRLPQFSKLEELRKDF >KZM93374 pep chromosome:ASM162521v1:5:5359971:5389593:-1 gene:DCAR_016619 transcript:KZM93374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEAVGRRQSQATFCQHAREDYFELRREACDFQEYSNAKLERVTRYLEVLAVKARKLDQDALENEAKIVPLINEKKRLFNQLLTAKGNIKVFCRTRPLFEDEGPSIVEFPDDCTIRVSVDDDNITTPKKDFEFDREGSSHERGLYARCFEELFDISNSDTTSASRFDFFISVSELYNEQIRDLLPVYENIFPKIKSGLPNSLEDLLQEKVDSPMGFSKILNMAFRNRGRDASKFNVSHLIVTIHIYSHNFITEENCYSKLSLIELAGEVSPNLQEDGGEHATEVLHVMKSLSALGDVLTCLTSNKDVVPYKNSMLTKVLADSLGGSSKSLMIVNLNPNKSNLAEIVSSLNFAARARNSTLSLGSRDTIKKWRDVANGSRKELYEKDRKIDDLKQEVMVLKQALTHANDQCVLLFNEVQKAWKVSFTIQSDFKSDNIMLAEKLKLEKDQNAQLRLQVAQLVQLEQDHESKMEQQNSKIETLQAELKSIESQMSEALHTKEVSPKVGRGLMAVVQSTSDQTGEDMDSLSVTKKLEEELLKRDALIERLHEENEKLFERLTQKTTLAGSAQVSSAASKLLANVQDGNQWRSDSNSKGRSVVVSSLSPANEKHEGTVALIKSDSEKVKTTPAGEYLTAALNNFDPENYDSLATISDSANKLLMLVLAAVIKAGASREHEILSEIRDAVIPFIRKMEPKRVMDTVLVSRVRILYLRSLLSRSPELQSVKVPPAEYFLEKVNVGHSRSSSRGSSPRRSPLNHDSSTRNALEMWKHHMTGGKLREITEEGKNYAIGNRDLAALVVHTPTGELLRQIRSWLAESFDFLTVTANDTLGGPTSQLELLSTAIMDGWMAGLGAAKPPSTDALGQLLGEYAKRVYSTQLQHLKDIAGTLATEIAEDSAQVAKLRSALESMDHKRRKLVQQMRSDGAFLALQDGGSPIRNPSTAAEDARLSSLITLEGILNQVKDISRQSCVIKMVKSKKKVMLASLDELANRMPSLLDIDHSCARRHIAEARHAVELIPEEDEVQGTSVATRVSTDIGSSVELDVFQWNVLQFNTGSTTSFIIKCGANSNSELVIKADARVQEPKGGEIVRVVPRPTSLENMTSEEIKEIFNQLPEALSLLALARTADGTRARYSRLYNTLAMKVPALRNLAGELEKGGEIKNVKS >KZM93990 pep chromosome:ASM162521v1:5:13415580:13420785:-1 gene:DCAR_017235 transcript:KZM93990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLGTSIAGEVGLRLLLSPLNSTIVMRTACCSVGIVVPVYSSVKAIETGNQQDQKKWLLYWAVYGSFSIAEIYSDKLLSWFPSYYYVKLAFLIWLQLPDTQGAKILYKNHLQPFLKRHEAKIDRVMGLTYIEMAKLISSHQKELQYLKSILARITLSSSGKAPSNTAGPAPPDNPAVVEDQSRGLPEAAPEADEHRD >KZM94790 pep chromosome:ASM162521v1:5:25940202:25940720:-1 gene:DCAR_018032 transcript:KZM94790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLSEKQAHAVCVPYPAQSHIKAMLKMAKLLHSKGIFITCVNTEFIHKRLLNSGALQSLDDLPGFRFETIPDGLPPSDDNSNQDILALSESIFNKKMLPPFQNLIEKLNAGVLPVTSILSDGFMPFPTDAAHSLGIPIFSIWTVSACGLMGSFQVLNLFEKGLATVKGMVS >KZM95016 pep chromosome:ASM162521v1:5:28014435:28014788:1 gene:DCAR_018258 transcript:KZM95016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKNVPVDSVTGEEVKLEDDNEDEKIEKFISLIQKCRKAREHRMNELIELQKNKKRKMWDCSQQSSSWVPTFEIQDFSGFPLSAVPGNVCNSIGSKQGRKEHDEQETEVDLRLTL >KZM93138 pep chromosome:ASM162521v1:5:2723746:2724129:-1 gene:DCAR_016383 transcript:KZM93138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLPAETLIERFVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRTLTHEETLEIPKLAVAINDLSKAYFSEYLPYSTVYLEVEKMKKNLGISI >KZM94370 pep chromosome:ASM162521v1:5:21563281:21566416:1 gene:DCAR_017613 transcript:KZM94370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSPVSLSGAEMATLETKTLTIKIANSSHKPGKEILTNIITDAQSPNLYSSIASSPYNSPSLISPPSSAFVSALQSPYISPRATIIPTHIKENTTTPSSTTVTHPSTPVSYCGSQSDDIPSTSYTPPPERCDFSDDTKLKIVTCVPVPGQESAPRISFSFPVPRMSFAKGSVSPASNAKLRSCDVYIGYHGQSPNLMRFCKWLKSELELQGIACFVADRAKYSDNQSHEIADRVICSVTYGIVVVTSHSILNHHSLEEIRFLSQKKNLIPLFFDTDINEIASPVNQNSQNKECKEAIDGLMKSQEFMLEANDGNWRSCVTKATGILTGKLGRKSVVEKEVEIFEDLPLPRNKFFLGRKSVVEKEVEIFEDLPLPRNKFFVGREKEILDIETAFFGCGEYFEQETSLPIIRGGTPGQCEGLADEESEVDAGRGRYINLEVGKCKEPNLEAWIEPVIGRNSFKRLKYKKSKSGKYKSFGNSIVCINGASGIGKTELALEFAYRYSQRYKMVLWVGGEARYFRQNILNLSLHMGLDVSADAEKERGRIHSFDEQESEAFKRVKRELFRDMPYLVIIDNLETEKEWWEGKDLHDLIPRNTGGSHVIITTRLSRVMNFDPMQLQPLPLYDAMIVIKGRRKKEYSPLEMEFLEKFYDKLGRSTFGLWVIGSLLSELTISPSALFEAINQLPLEEPSNLSTTDEQFCRNNLFLMKALAFCSAILQQTKGTRNLLASRMLLVGAWFAPAPISANLLATAARILPASSINRLRKWTKCVSLALCCSGCLATQTWKTEEDSASVLVKLGLARKANRQPGYWIRFHPITQIFGRRKGGLPAAKATIQGIRKTGNPLVYSDHLWASAFLVFGFKSEPPLVQLKAIDMVLYIKKTALPLAIRAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWCHGSFCWKKKLQSNQRIDEYVWQDVTLLKATLLETRAKLLLRGGHFDSGEELCRTCISIRTVMLGHGHAQTLAAQETLAKLVRMRSKM >KZM93890 pep chromosome:ASM162521v1:5:11880197:11888011:-1 gene:DCAR_017135 transcript:KZM93890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKLVDGLKQFADKQFQELNRRYGQQFMEVLEFPIKVVLSPFTLAYDIAGSAPRGFGVPEFISKLSFSTIFVVATLGTYDITFELGKKLLCQRNCRTCNGWQALQCTLCKGSGKVQFQVKQYTLRSGEKATAECIADAIADNRAEIVHLPSSMNFGVPLPSKDCPDCDGSGVMKCPECKGKLQIRISADDIMEPPWKAYDIMRKMDYPYEHIVHSMKDPSIAAFWLITMPQIMGGFNYDDDVKQKIWWQYKESMRYDELRDVVATRKPGWEHLQEALQSIDPARAKDDPIIVRNIPYYRAKKALEAEVMKLDAPPRPQNWGELSLPLDASSWTEEDRKDPRKLDEMRVLLNAQREIADKMLDAQWEAKWRQEQLNKMLIEKVQPYIQKIDNNVLPRPILQPQTSSEKKVLFSSSLCFSIIVHILWTKYDHII >KZM93186 pep chromosome:ASM162521v1:5:3253722:3255731:-1 gene:DCAR_016431 transcript:KZM93186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTNSSDDMKLLPPFPAPDPGMISTQNLESDIRILTSGGHHIPAHSSLLASASPVLGSIIASLRENSCSANTIQIFGVPYDAVSVFVGFLYTSMCAEEEMEKFGIHLLALSHVYLVPKLKRRCTKALAQQLTVENVVDVLQLTRLCDAPDLYFKSMKLIDENFKAVEHTEGWQFLQQNDPFLELEILQFIEEAELRKKNTKKHREDQSLYLQLSEALESLEHICTEGCTSVGPHDIEPSRTKGPCSRFSTCEGLQHSIRHFAACQKKVNGGCLRCTRFWQLLKLHSSICDNSDICRVPMCREFKTRTELQKKRKDITRWDLLAKKVMSARVISSLSVTKKKIKEEPRE >KZM93389 pep chromosome:ASM162521v1:5:5615741:5626643:-1 gene:DCAR_016634 transcript:KZM93389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKASIMLLCLIVFAAHAHHAKRSTSNNNTSSLWKTLTGDAPLAIARGGFSGIFPDSSIDAYEFALLTGLPNIILWCDVQLTSDGAGICFPELTLDNGSDISSLFDRRKKSYLVNGATRTGWFSVDFTLDDLTNISLTQGIYSRTNMFDQNFFQVVTVEDVARQLKRPGLWLSIQHDAFFSQHNLSMKSFVISASRSGIVNYISSPEVNFLRSIVTQFKESPTKLIFHFLGQNDIEPTTNQTYNSLLINLTYIKTFASGILVPKTYIWPLDRDLYLRPHTSVVLDAHSKGLEVFASDFSNDVPFAYDYNYDPVAECLNFIDNGNFSVDGMLSDFPITPSEAIDCYSHMDNNLSRAAIPLVIAHEGSSGVFPGCTDLAYKQAISDGADVLDCPVQMTKDGTPFCLGSINLIHGTTAAQNFSNLIMNIPELDGNGIFSFSLDWSDIQRLQPMISNPHQGASLYRNPRNKNAGNFVTLSDFLALANNADSVLGVLIHIENASYLAEKEGLSVTDAVVDALSKAGYNNQTRKKVMIQSSSSAVLVKLKEEKNNYEFVYEIEEDIRDTLNSTILDIKKFANSLVINKNSVYTQNLKFLTGATGVVSKLQAFNLSVYVELFQNEFLSQAWDCFSDASVELNLFIVGSGVDGVITDFPGTANRYRRNRCLNLGKKTPRYMMPVAVGPGDLLSVSETQPPAEAPNPVLTVSDVTEAPLPAIVAKPHTDSGTRSEATAPLPKQPSGQVKVGSGCFMLNLVVLLITLILF >KZM94610 pep chromosome:ASM162521v1:5:24155536:24155703:1 gene:DCAR_017853 transcript:KZM94610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFGIREIGLLWCAEGDDKAPAGRIEGVHYDYAPAASEGDDDDDGGYDYAPAA >KZM96050 pep chromosome:ASM162521v1:5:37725242:37730043:-1 gene:DCAR_019292 transcript:KZM96050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNNQENISQQAQPEKQTAPHSADYVPYPKLDPNDVAPPPPPASDNWTSVPVTSQPPPVDAPRSSAAPEVTATTMPPESNPYVSPAPVPASSVKKSMDTVKDVLGKWGKKAAEASKKAGDLAGNTWQHLKTAPSVTDAAVGRIAQSTKVIAEGGYEKVFRQSFETVPEEKLLKSYACYLSTSAGPVMGVMYLSTAKLAFCSDNPLSYKNGEETQWSYYKVVIPIYQLKAVNPSASKVNKAEKYIQVISVDNHEFWFMGFVNYDNAVKHLQGALESRPQ >KZM93841 pep chromosome:ASM162521v1:5:11365141:11371173:1 gene:DCAR_017086 transcript:KZM93841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGTPYFIEWREEIVSKEWGNRVVHYYLKDIEGESVLAVVGTERSVRHMFYVVSDQFLSAYGAENSVYAGYKWRARREVVNWLTSMLSKQHQLESSQGDPISAIQQFRMPVFKGCHSRNLKVHNSGIEWSGESWACGKQLKHYPAFRKNGITIAIQSFVFVMAEEENHHLAYLEDLYEDRKGQKKVKVRWFHLNQEVKNVDSIQNSHPKEVFMTPYVQVISVECVDGPAIVVTREHYDKFVAVLPQDVLDKVHLCFRQFKSNRVKPFKLNKLCGYFNQPIFSYLGHEMDDDESKPRDNVKLGSKRTRSCRDRQPLSYKSSGRNWRYGVQRKLIHSKRAECPPLATTLFKVNDKIELLCQDSGIRGCWFRCTILKVSRHMIRVQYDDLNDADGCSNLEEWIPAFRPALPDKLGMRHKGRPTIRPALSCIESHLDLVAGAAVDAWWSDGWWEGVLIGIGNSEDGILQIFVPSENLFLNVHGKNLRASRDWVGDCWLDVEANGNIVSAISVANISDDKISTRYAFDEAKSDDFPALGRTDLINSVKITNEEKLELDCLAPQDSCPELKVNIACSSLQDVILEKMNCADELELQLKDVGKKSNESITDKCDGMDDADRTHDHSGDITVQNVCDDNNVLLIKDSNDNSIAPEESENSERKPEPALMEVDHFQRVSPIVIGM >KZM94832 pep chromosome:ASM162521v1:5:26335517:26336365:-1 gene:DCAR_018074 transcript:KZM94832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLSSSTINMHCRHCNHQADEYLVNMDPSFYETDEFRMYKYKVMLCPRHFSHDWTSCPFTHLGERAYRRDPRHYLPILCPFFKDDEKCRRGGACKFAHGLFEYFLHPGKYRTTRCTNGRSCERKVCFFAHTEGELRKEWELTPDQIHDAFAFDSHMPVAIAPRQVLRALSARSRATTSVAGDRPNSPVPNTHFVAQAPIADPSRARKDKGIATDDSAATDPPALTFELSSPASIWSAALNDWPSDFDGLAEQLKEMVLTGRNNNSGEEAPVWTREYRLWN >KZM94415 pep chromosome:ASM162521v1:5:22110535:22116536:1 gene:DCAR_017658 transcript:KZM94415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYHSISSLSLLPIPIRQNLSKHHPPFHQPPHSLPLSIKSSLDNKPPKSNQGSWVSPDWLTSLTKSLTLSKDDSNIPIASAKLEDVSELLGGALFLPLFKWMNMYGPIYRLAAGPRDFVVVSDPAIAKHVLRNYGKYAKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKKYLSVMVDRVFCKCAERLVEKLEISALNGSAVNMEEQFSQLTLDVIGLSVFNYNFDSLNADSPVIEAVYTALKEAEARSTDILPYWKIEALCKIIPRQVKAEKAVTVIRTTVEELIEKCKKIVDTEGERISEEEYVNEADPSILRFLLASREEVSSTQLRDDLLSMLVAGHETTGSVLTWTSYLLSKNPSSLVKAQAEVDRVLEGRPPTYDDIKNLKYLTRCINESMRLYPHPPVLIRRAEVADELPGSYKVNPGQDIMISVYNIHHSSKVWDRAEEFIPERFDLDGPVPNESNTDYRFIPFSGGPRKCVGDQFALLEAIVSLAIFLQHLSFELIPDQVINMTTGATIHTTNGLYMKVSKRQIKSAAVSSISR >KZM96078 pep chromosome:ASM162521v1:5:38019567:38024533:1 gene:DCAR_019320 transcript:KZM96078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKALSQEDGKALASKAAKLRTLQSQFLHFHQNKIYTAEAVEVSAKLLEANPEFYTAWNYRKLAVQSNLAAAQSTDSDGSINSILDEELKVAENALKKNYKSYGAWHHRTWVLSKGHSSTDRELRLLNLFQKQDARNFHAWNYRRFVTALRNISKEEELQFTTDMINDNFSNYSAWHNRSVLLSQLLKEKAQGYSLEQNVLEEEYEFVRNAVFTDPDDQSGWFYHLWLLSQTVKHDRPLLVSTWPPHGSDVHVPTYNSAEGFTPSQTASVHSSGGCFPVVLYFSEAVRGVNLTTVTIEVEFDTNSDLKWKPLSANVYGCAQAWVAYVNCPDGELHAARTYPVKLRLGHSPGITSLHGTHYNHLSCIEFKVSILPGNSIHTENRGQRTSWKDDNFIPYETNHQNSSLLDMFFNLSIDEGSETTASKWQAEAIANEIAQYRELLSFSNCKIGKLTLARLLIAHDAMISHNNLNASSIVQSEEVLGLYQDLMKTDPTHSQYYKDEYSSVLLKQVTSSRESLLGYCHQYRKSTSTENSDLICLRLASLSITRIGSFEKLLWVRMLDLSHNHLQSIEGLEAMQLLSHLNLSNNRLSSFTALDPLRFLKFLDVLDISFNEIGSHTVDTRRYLCSSPLSHTTGSDFNIEELTTNYLKDGNYWEAYLTFKCLNLIELDLRGNVIVNENFRSYMSNLMPNLKWLDGEELH >KZM96339 pep chromosome:ASM162521v1:5:40211214:40212275:-1 gene:DCAR_019581 transcript:KZM96339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLEIVPLSKVCRRPETDYRLQQLYASNEPASANQSKAGAVPCTDNTLRVYPPVEPVQKQRKIQDILDNVPAVPGTSQTNLLVGTEIKAEQTDVDDIADAGTIENSGALQSNDSPQPEDLKTDSPPMEISDAGNNSLQPQVLKIESPSAMEVSDAGNNSLPPQVIKVSSPVTMETSDAGNSSLRPEVMEDVGPGTIEISDMM >KZM93653 pep chromosome:ASM162521v1:5:8932281:8934195:1 gene:DCAR_016898 transcript:KZM93653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDKYRQVSLLVFGLIAGLISQNLVIPVMSAATLEDQKTYYSPDPHGGNSHHGGSHHTPSHGSGGGHGSSPHHHKTPSHGSSTPSNCGTGTPSSGGHHHSTPTHVHNPPTATPSTPSTPTHESPPIIDPGTPTPTYGSPPTNESPPTIDPVTPSTPTYGSPPTTESPPSIAPETPSTPSTGSPPTIDPVTPSTPTYGSPPTIDPVTPSTPTYGSPPTTESPPSIAPETPSTPTTGSPPSIDPVTPSTPTSSSPPIIESPPSTVPETPSTPTSGSPPTIDPITPSTPTYGSPTTPSIDPVTPSTPTYGSPPSVDPVSPSTPTYGSPTTPSVDPVTPSTPTYESPTTPSIDPVTPSTPTYGSPPSVDPVSPSTPTYGSPTTPSVDPVTPSTPTYGSPTTPSIDPVSPTTPTFGSSPTTPYIDPGTGIDPNSSPFPCIYWSTHPTLIWGLFGFYGTTLAGAFGLPGGGTGFGPQLNLVQALSNTRNDGYGALYREGAASLLNSMAIKRFPFTTNQVRNSFISSLGSNLAAAEQARKFQMANEGQLKLKN >KZM95106 pep chromosome:ASM162521v1:5:28730020:28735272:1 gene:DCAR_018348 transcript:KZM95106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNWYPWGEEAFKEARKRDVPIFLSIPSDVMFLICLFSASETFMLWKLDTAPVTEVRKFGADRYLQSPVISAVDYHVNQVDREERPDVDKVYMTYVQALYGRGGWPLSAFLSPDLKPLMGGTYFPPEDKFGRPGFKTVLRKVKDAWDSKRDVLIQSGTFAIEQLSEAMSVKAESYKISEGITQSALHKCAKQLAASYDSQYGGFGTYPKFPRPVEVQLMLYQSKRLEEAGKSGEAKEYLDMVLFTLQCMARGGIHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANAYLDVFSITKDVLYSCVARDILDYIRRDMIGPNGEIYSAEDADSAETENASGKKEGAFYVWTSSEVEDIVESHADLLKEHYYIKSSGNCDLSSSSDPHNEFSSKNVLIERNSTSALASKLGISQEQYLEILGECRRKLFAIRSKRPRPHLDDKVIVAWNGLTISAFARASKVLKGEPNGTKFYFPVDGANPSDYMGAARKAATFIRKKLYNDQMRRLEHSYRNGPSKAPGFLDDYAFLIQGLLDVYECGGEVSWLAWADELFLDKEGGGYYNTAGDDPSVLLRVKEDHDGAEPSGNSVSVINLVRLANMVTGDKSDQYKRTAEHLLAVFEKRLKDAAVAVPLMCCAADMLSVPSRKQVVLVGSKLLPEFENMLAAAHASYEPNKTVIHIDPADMEEMDFWETNNADIALMGKNAFVSGKVVGLVCQNFTCSSPVTNPSDLEALLLEKLVRAA >KZM94223 pep chromosome:ASM162521v1:5:19514316:19520663:-1 gene:DCAR_017466 transcript:KZM94223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLLAIHLFPSRLNIANNRIQAFASTKYCKDVITNLKEGKIYILTNFKVKDYVGDETFRPVRNNKHIYFTTHTKLENDVEGDLKIDRHAFDLFYLGDMEKLSKDNRYLVDVVGMVKNVRSIKSNKNESEKMLTKFDLSDGSCAVAVTLFDDFGVEFQQTLTCCKEPEVNAKSDKLPSNKILYQSWPLQCAADNSEAFAKKTEPVESPPHEEMNYETMTVKEIQSLASDSKEMKVKCHVKVTKVEVDTAWYYATCTKCPNEIQRSEGVFKCLDCNRIIPYPDKRFRLCTLCSDSTGSIAVIFLDEEVSRIIDKTVFDLEAEAIQEKHEDKFPKVLKQFENKVYNITLKVTDNNLKKGSRVYEADEIVDKIESGASFDPSAKIDSEMRDESAINCAADNSEAFAKKTEPVESPPHEEMNYETMTVKEIQSLASDSKEMKVKCHVKVTKVEVDTAWYYATCTKCPNEIQRSEGVFKCLDCNRIIPYPDKRFRLCTLCSDSTGSIAVIFLDEEVSRIIDKTVFDLEAEAIQEKHEDKFPKVLKQFENKVYNITLKVTDNNLKKGSRVYEADEIVDKIESGASFDPSAKIDSEMRDESAINLADDSTNTPHTAKSSTKIRPRVDIETVTFDPKEEIPEKGRHTEKKKKNKMSSRYTPLASITTGTYEDKIRIKARIIRLWRGMTKTGELFTSFNILLLDNKKGTIHAFIPSVSAHDFERQIKVGTVNIITGFTVQAYKDSDKFRVVHGAVQLIFSKDTKIQQVDDKGTDIATEIFDFYDHSQVKDHADQTDFLIDVIGIMTDHEVHVNDITNRHRVRQEQAKFVITDGRLSEPEFAKAVRSTNPTRKAELLTIEAIKALGPDFIQRQVLAHVNIIQVDENQIWNIMVCTSCDLELEAKGVLYICSACQRIVPYPEIRFRLVVLASDLSGTIQVVLHDREIRQLIGKRARQVVQENSVTGKFPQCFQLMAMKPYTIKLTLNEANLVMKSILYFATNICHGFKMEETREIVQQTTTTNDFQATTSSTQIPELSNLNCESSAATKE >KZM93444 pep chromosome:ASM162521v1:5:6442013:6445180:-1 gene:DCAR_016689 transcript:KZM93444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDVSLDDMIKSRRTAEKGRGQQGKAQRGGGGGGGRGRGGGRMRGKPNNRGGGRFLRVNTTAATSAFNIAKASSKTVFSEVKSITMLGLQFTVAMHGYLVFTSNRRSRGLPWQRDLLEESLRAVGLPAIENESKLFVSNLDSGVSNQDIRELFSEIGELKRYAIHYDKDGRPTGSAEVVFNRRSDAFQALKKYNNVQLDGKPMKIEIAGASSDVPVLARGNVVGVVNGKRTVVMKPGMIRRRGSNAFGRNNVQRSRSGIINNSRGGVMNARGGRGNTGARGGMAGRGGRTRGRGNTSTRGGRGGGRGGKNNADKSADQLDKELENYHAEAMQT >KZM94473 pep chromosome:ASM162521v1:5:22847368:22850458:-1 gene:DCAR_017716 transcript:KZM94473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHEESDPFLSKGTDEKTAKKLGSNAGESPAITGQTIYGWTVNGLPALGQVSVVGEPVERAHWDSSLCACLGRNDDFCSSDLEVCILGSVAPCVLFGSNVERLGSSPGTFTNHCLPYSFLYLLGKSLFGGNCLAPCFSYPTRSAIRRKFNLEGSCEALSRSCGCCGGLIEDEVQREQCESACDFATHVFCHPCAICQEGRELRRRLPHPGFNGQPVLVMIPPAEQSMGRSGV >KZM94813 pep chromosome:ASM162521v1:5:26183642:26185324:1 gene:DCAR_018055 transcript:KZM94813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSIIRGVCNDIRKEFDNDLDATPQILISNLKEALDDYNSKQEKCMRNLLYIYEQYPGSDSIDVMKNKFVKINEKATCFLYKNLVRGLLNETKTSSGDLEPFNGKFKKQSTILWDIVNSEQHDDNMVFFKWRSVFACKIDFMSLNFGEMVLSSVIDVWSCVLNLLVPTADNSSARRLFCFTQNTIGIINATKQIPYERMNQVFVENIEDTMRLYDVRLEDLSMIFFPMIASNHHYVVVYDLRSPSMEILDNRRSDRTLLQLYGDQIDVLHKHFTMFLNKKKASKSIDYFSIVPERLEMEWQTVYNDVDCGVFVMHHMGTYFGGGTSSWDAKIKKESYEQVMQLNDLRQDMLHTILTSNNNEKSRELHELCVTFHGGNF >KZM95087 pep chromosome:ASM162521v1:5:28543129:28550440:-1 gene:DCAR_018329 transcript:KZM95087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHELLLSLLGYTGDLIIDEREDAALLSPDAPISQTKPTFKLASDLSFLQPSERDVIERIITLGFYYRELNRFATKSRNLSWIRSTNQSPLALTSELSKPKTEKQSVYRRAIANGVMEVLSIYKSAVLHIEQKLLSETLPILAAVTQGLNKFFVLLPPLYELILEIERDGICGGRLLNLLHKRCHCGVPELQACIQRQEDRDTEHESSHVDVLEKMARMSTNDASLADWHLGFHISLDMLPEYISMRVAESILFAGKAVRVLRNPSPTFRFQDIPKGSQTSLGSKGHFSFQRESLLDTKSIGEKLLPQVEADKIESMLQDLKESSEFHKRSFESNVDTIRAIAASHLWQLVVVRANLEGHLKALKDYFLLAKGDLFQSSFNILKSFLEESRQLMRLPPRQSTAEADLMVPFKLAAIKTIGDEDQYFSRVSLRMPSFGVTVKPSQVDLPKSKSYTDGDLAVLLDASSEMSLDGWDGIALEYSIDWPLQLFFTQEVLSRYGKIFQYLLRLKRTQMELEKSWASVMHQDHTDFARRRNDRLNCSTSQQRRQRFRPMWRIREHMAFLIRNLQFYIQVDVIESQWNILQARIQDSHDFTELVSFHQEYLSALISQSFLDIGSVSRILDGIMKLCLQFCWKIENQENSTNTVELDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNFNLFFETTAKGVMNVVRPRPTLPVL >KZM94485 pep chromosome:ASM162521v1:5:22947300:22955139:1 gene:DCAR_017728 transcript:KZM94485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRGQKRAETDEELPADKRPCSSLDNRASTSGTSAQIPVISTNLLQEGDMDTSSSNSDSHHSDEEKDSAYGSCDSDEMADIDQRQEMYRGYQHRRTSDDHSRLKAVLLSLSNEVEESALLAALTELCELLPFCTEDSLSSLLVQSLSPIIVKLSKHESNPDVLLLSIRAMTYLCDVHTRACSYLVKYDAVPAICQRLLNIEYLDVAEQCLQALEKISREKPLPCLQAGAILAVLKYIDFFSTSVQRVALNTAVNICKELPADCPPSLMEAVPLLCNLLQYEDRQLVENVAICLIKIAKQVCHSSEKLDELCKHGLIHQATHLIDLSSQTTLSPSVQSGLIGILVKLASGSVSAVRTLFELNISNILKDILSAYGRSHGTPSLIMVDSTCEVHEVFKLINELLPSVSQDQESDINRDKKIFLLNQPDHLERFSMDLLPVLIQVVNSGGNVYGCFCCLSVVNKLVYISNSDMLLKLLQSSNISSFLAGVFTRKDHHVLMLALQIVENILQKHSDVLLSSFVKEGVLFAVDTLVAPNRGSQYLFQMFNGIQLSSDQHQKSAAKNEPNCLCYAFETTLSSSTSEARTCKLEKDSVYNLAERIRKIYFATELSNSKERTSDIFQDLKAISASLTDMVNLSVSSGASALKEADFDDILRKIMSQLSGREPISTFEFLGSGIIKSLLGYLSVGHCIRGKPDKNGGSSCDIYTVEKRFEVLGRLLFPSSDMVLDPYLTELIHKLQSALSSVENLPVMLNHGSKQRKQYACVPYGRYISHPCMKVQFVRGEGELNLDDYSGQVLTVDPFASLDAIEGYLWRKVTGKRTKGTSPATSPSASGSPQRGSSEYTDSESMSQDLQDMQEDKPNLKQAESGEHGKLRQTTSEVHTESGEQKQHVCFVQEDSNLISVCPESCNCGDASSNLIFYLNEQHLDHTLTLYQAILQHHTKAEQENITSASLWSRTYELTYRRVLKCKQGCSGLQHQQAPCSQALEKNLAYPKYTSFFSDMFVSDLASDIEKSTPAYDILLLLKVLESMNRFRFHLISRERVCSFAEGRIHKLDDLKVSVAYVTQNEFVNCRLTEKLEQQMWDPLSVSIGSMPAWCSQLMSSCPFLFSFEVRCRYFQLAAFGQRQHQPHLSSNNAGGSNSRQHHISGLPRKKFLVHRDQILESATRMMNLHASQKVVLEAEFEDEVGTGLGPTLEFYTLVSYEFQKSGLGMWRGDNMSFKASKSFQAEDASGLSTLFGLFPRPWSSQESNGVEFTEVIKKYTLLGQVVARALQDGRILDLPLSKAFYKLILGQDLTLYDIQSFDPGLGTTLVEFQAIVERNKYMKYVSGNISTCGTESCFRNTRIEDICLDFSIPGYPDFVTSVSNSKMVNMNNLEEYVSLLVDATIHSGISRQVEAFKLGFNQVFPVKNLQILTEEELEHLLCGECEVWNMNELLDHIKFDHGYTASSPPVVNMLSIIREFDRQQQKAFLRFVTGAPRLPHGGLASLNPKLTIVRKHCSGSADNDLPSAMTCANFLKLPPYSSKEKMKEKLMYAITEGQGSFDLS >KZM95682 pep chromosome:ASM162521v1:5:34538477:34540496:1 gene:DCAR_018924 transcript:KZM95682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSDSFKSSNSFQTPPSTYKSPQAEVAKVEELLPADGAMHQSPLTSGKHNDYDGGDPAPNRKSSVLNKFRDKAKKLKSTLSSKRIRQSNIDSEYDHETESKNGIDNEDDDDKTIRSMEVNLDEDEIDEDPEYLGAPIYESVQAPQECKEYVKQHPREVTASADKHIIESCAKNAARKASEPALKTVTETVSEKLVPAYNAVSTATQAITSKISSLTVETTGLTNKTSDGSSGDASSESRGQIRNSGPPMYDKGVSVKEYLMQKLEPGEDEKALSQVITDAISPKGSEQVGVVGMVKDAVTSYLQPSSDSATKAAESLGKTNVSKDQNVLSNKASNVSTDQNSSSNKASNVSTDQKTSSNKAFTASKTFNTRHDPAYKASEQNAPAADTKSYSLSESSVDANKLKSKSCNATANLKLSAFIPISTNSEEGNKAENHGKVLQTN >KZM95006 pep chromosome:ASM162521v1:5:27854411:27864000:-1 gene:DCAR_018248 transcript:KZM95006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGTELDEITRFKERSEDDDVDELQWAAVEKLQKQTHTTLNLIHDRTQHSVDGSKESAQGKKGEIHHLAMLKSAQERRAFIDKLLKKIEEDNYKLLLKQRERMDRVGVEIPTVEIRYKNLSVEAEYEANQQNPLPTLWNTFKQVFSVTADISYNGYKFNEFDSHKTSAYISQYDRHISELTVRETLDFAARCQGVGDRAERMAEVTRREKQAGIVPEPDIDTYMKATSIKGLSRNLQTDYILKILGLDICADTIVGDAMRRGISGGQKKRLTTAPRRSRSAVQHKNISGIRLKTKGDKVPEEDRGSVTDSIKAPEGEKQMVMALPFKPMAVTFENVQYYVDTPKKMRAKGFAEKKLQLLQDITGSFRPGILTALMGVTGAGKTTLLDVLSGRKSSGITEGDIRIGGYPKIQSTYARISDYSTNIYIHIYATYMTIIFTQIIIMDLLPPLPDEFVTEVLQMIELEDIQDSIVGIPGVSGISFEQRKRLTIAVELVSNPSILFMDEPTSGLDARAAAIVMRVVKNIVRTKRTVVCTIHQPSIDIFEAFDELILMKRGGQIIYSGELGQHSSKLIEYFENIPGVPKIQENYNPATWMLEVSSLTAEARHEIDLSKLYKESSLCWSYSMGKRKRNVIVEIPYIFLQSCIFIIITYPAIGFYWSVAKGFWYLYTMFCTMLYYTYIGMMFVSMTRSFQVASVTSSFFNTMTALLSGFLIPEPQMPKWWVWCYWILPTSWSLRSFITTQYGDVDKEIGVLGEKKATNAFLESYYGYRSGDTCLVAIVLAAFPFAFASLFIYFSAKLNFQRR >KZM93498 pep chromosome:ASM162521v1:5:7281870:7284948:1 gene:DCAR_016743 transcript:KZM93498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILRKSFKSPVDLQHHRAQTEEEHQILLHTQPDSTGSMAAANSSQGLDNKDVVIRINGQNITREAELVRIDSDHSPVSHISSLSKILESPCGGDGSGEVVACSSNASFRRKSSLLRMKTKSRLMDPVEMDWRSQRMMRSGVIGKGSEFDEDDPFLEDDLPEDYKNMKFSKWGVLQFLSLIMIIAFLVCSVSIEFLKERHLFGFALWKWCLMVLVLICGRLVSGWGIRVVVFFVERNFMLRKRVLYFVYGLRKAVQNCIWLGMVLIAWQLIFDKRVEQATERRVVPYVSRIWVCLLVATVIWLVKTLLVKVLASSFHVSTFFDRIQESLFNQYVIQTLSGQPLIEIRQEEEEEERVMVEVRKFQSAGASVPEDLKATIFPMSGRVIGTATNTPRKSSVFSANLAKKEEKAITIDHLHRLNQKNISAWNMKRLMNIIRKGSLSTLDEQLHNSTGEDESAVQITSEYQAKVAAKKIFSNVAKPGSKYIYEEDLKRFMREAEASRTVRLFEGASEGKGISRRALKNWVVNAFKERRALALSLNDTKTAVNKLHQMLNIVVGIIVLVIWLLILKVARTHYFVFLSSQLLLVVFVFGNTCKTTFEAIIFLFVMHPFDVGDRCEVDGVQMVVEEMNILTTIFLRYDNQKITYPNSVLATKPISNYYRSPEMGDAIDFCIHVSTPMEKIAMMKEKITRYIENKSDHWFPAPMIVLRDIDDMNRLKMSIWLSHRMNFQDMGERFVRRALLIEEMIKICRELDIEYRMLPIDVNVRNMPALASSRVPSNWLSCAD >KZM95150 pep chromosome:ASM162521v1:5:29174221:29185050:1 gene:DCAR_018392 transcript:KZM95150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGAILRRKLFLKYTSSAAGFTGLVSKNSRNTSHYASSANTTTTAQQQTSSSSNPFVAIPRAFNTVIHMTRIKNDDKLIQSKGVEALLEAEVHKACRERGIHVAEEMQQQIGVCRPVSDEEILRFAKLFHDEHTLDHISRPVMENMCKLMGSTTFGTDKYMRFLLSEKLKSSPIRLQRRGFADVPLQGSRTKDLGALTGKLSELKNYPPPYPKFMFPSVYVPRGGVPVYETVSFEFPAQLGPMVYDLRMDVWPYPFYWMLHGIWPAYISGDWLVHYRLEELCSSEMHLMKEEVKQEIMKLDHQTKEILHEVWQSFDDHYEDLREQDMEFWAYQKVIHCWDLLVDCPKGHYFHMSAELGKHVRQILINWTMKTLSSPNRVFTSEYIRKQMRILFGVTVYVVVKADDDMIYDPKSTKIPFFYGLGFMVARDPTRQFLFKFYDHPSGLTKVPQGFLYYLA >KZM96355 pep chromosome:ASM162521v1:5:40380743:40381288:1 gene:DCAR_019597 transcript:KZM96355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHKRREPGGEPGSSSNQQRPAPQLSRYESQKRRDWNTFGQYLKNQRPDPVAMSQCNYSHVLDFLRYLDQFGKTKVHVQGCVFFGHPDPAGPCTCPLRQAWGSLDALIGRLRAAYEENGGTPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRITNPLKASEDTASSTFQLPSS >KZM93663 pep chromosome:ASM162521v1:5:9050748:9054751:1 gene:DCAR_016908 transcript:KZM93663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGSSSGLMRAQSEQLVEPISATMKSPRVSSDWGAAEMEGSSRKSMSRGGISASPGGGGSSSSRHIRQTRSAQMKFDVDELNSGATLSRASSASMGFSFTAFTVRPSDIADSRPFSDDDDDDIPEDIEVGTLKKKIQTETTLPIYLKFKEVTYKVIIKGVAFSTEKNILHGISGSVNPGEVLALMGPSGSGKTTLLSVLGGRAVETNQRGSITYNDQPYTKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKTLTKQEKEQRATDVISELGLERCQDSMIGGSYVRGISGGERKRVCIGNEIIINPSLLLLDEPTSGLDSTTALRIIQLLQDIAEAGKTVITTIHQPSSRLYHKFDKLILLGKGSMLYFGKASEAMIYFSSIGCSPLISMNPAEFLLDLANGNLTDVSVPGELKDRVQLGNSDRESKNRKPSPAMVHEYLVEAYEMRVAENEKKNLMFPVPIDEVTKSKVYFEKPKWGASWRKQFCILFWRGLKERRHDYFSWLRLSQIVTTAIIVGLLWWQSNGHNAEKLHDQAGLLFFIAVFWGYFPVFTAIFTFPQERAMLNKERAADMYRLSAYFVARTTSDLPLDLLLPLLFLSIVYFMAGLKHSVKAFFLTMLIVFLCIVAAQGLGLAIGAALMDLKKATTLASVTVMTFMLAGGYFVKNVPVFISWLRHISFNYHTYKLLLKVHYEDVIQQMDGMKIDSGLTNICALVAMVFGYRLLAYLYLRRMKLL >KZM95811 pep chromosome:ASM162521v1:5:35787442:35791159:1 gene:DCAR_019053 transcript:KZM95811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWVQGYNLKEALDILLGKRFCFPKLEAIKSATADILTGRKKKPVTLTWNGANCSTVEISGLDIGWGPKIPLNYDQEQGLWVLQRELPEGRYEYKYVIDGEWLYNKDEPVTEINKDGHINNYVQVSDDNPDSITAKLRERLMADEPDLTKNERLVIRQFLEACPDDE >KZM95528 pep chromosome:ASM162521v1:5:33029877:33034148:-1 gene:DCAR_018770 transcript:KZM95528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELLDFELEDDVVVNPTKKRKKIIGLDDLLSDHYKQKGSLVENSRRATHRRSYSSDEDEVDVGEAKLSRCVEECQKEAYESAFWGLQIFGDQKPPPKSVSPDLKTCVSLESLKSMELDSLVEVHPKKGETFLERLLVDGWLLKLVLVKGHLNESIAKWTFNLMLYSSIEVLSTSACDFWCTVLSPKNKTDLSIKIDWMPKYTEVKEALEIYGFIINTPSKFSSTVNLGDSDHIGPPQNIRAWMKFIAAGCQVRSSFAVFSTSETEELLAIVISLYLDRQLLGLSMILHDCTFSVLNYFRNNEWKSSCEKVAISIASRVPCDINSLRIVESIMATDSRSKQLRSAVAYQLLSTCLDNKVC >KZM92896 pep chromosome:ASM162521v1:5:625175:627138:-1 gene:DCAR_016141 transcript:KZM92896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSLDRNKFEWRPLLRSLTPHHYIEALTGFVGAFTGAGAFAFAGEKSEAYIVDHIERDHQQQQPEAEDNDDDDGVDGEEHEEEKGDEGEGDDKGDEDGDDDKDENDEDEEKNDGDEDGGKK >KZM95232 pep chromosome:ASM162521v1:5:30415731:30422853:1 gene:DCAR_018474 transcript:KZM95232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAPPACEKQCTQKFAALTQIPWRVFCKKGCGADGDTWEECLETCEEICYKDPVLKDQQWSAYIDRSPGAAIQSQSCFHACVAGCGYKFDIPSEEVDQVLPNRPTDPIPVQDPKQSASSVASPPPPQSHSSTNK >KZM96357 pep chromosome:ASM162521v1:5:40393463:40394247:-1 gene:DCAR_019599 transcript:KZM96357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTFHERWHQQLEQLVLKLKAAPRPPSSTDQNNHLLELINSFLAHISDYYQVKSAVAHQDVLFLLAAPWASTFERSLHWLAGWRPTTAFQVVQTESTILFENRMLDILDGRSLTGDLADLSPDQFKCLSHLQCDAASDAMLLRYEDDMGEMMRDLGAIVQKADELRVSTLRKVVELLTPHQTVDFLLSAANLHSLVRARGLNNDRRNDLIN >KZM93759 pep chromosome:ASM162521v1:5:10520471:10521715:-1 gene:DCAR_017004 transcript:KZM93759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPKEEVLLSHHFSHEHPLELTNSVSTKATACFGCNQTIFAGKYYYKCKKCPFYLHQLCHNMPKTVQHPADPDHYLTLQSMISSSPNSKSSIDCKACGENLSGFYYNCDKCGDFYHILCSAVPLSVKTPTHPHVLKLEYEPPYDFQCDSCHRPSYTGWLYRCSLCEFDVHIACAITNKGAQLRSPKAMEHSPLNKEEELMELLTRGLGKGEAEEVERNMPHQDQPSLLGSEQDPYCGSYQFSDACFSIDFAKSLLASEDGSTPQRFSKLGLAQVNETETPGINKILGDHPKHTKQRSSSTHISHPHSRNSSNDSKIRLSSVSIGSRILLHLDSDADKNNGFGFTLAKSASTREYRSTASDNAKTGCGLLNFLYCRRPRAK >KZM93892 pep chromosome:ASM162521v1:5:11905547:11907481:-1 gene:DCAR_017137 transcript:KZM93892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFMRKQEDMRIYGQRECPTYTDSDYFTIKMHHSGEWNEYMTVYKYGKIDYFDCCNVDKISLIEIQAMHTECCEFKGGHVSFWYKTPTTSLLEGITDLIHDVDVMKMTEVLDRKLGIMEVYVTVCRPLNVEGLPIQSSISAKDVGEENPNEEAVQDTSEENGDESDVSWHEKTDQLKVQKSDYKVKEKEVAEASGKNNETGGITGTNQASENNEQVERSQGVSQPVDNLQKSQEPIVQPTPHPSNTSQLQGGVFNRPFRRPGMANQNSNSLNPEPRYFLHRGQKVTIRKEFESVKSLKVTQKTKIFESM >KZM94899 pep chromosome:ASM162521v1:5:26858135:26860153:1 gene:DCAR_018141 transcript:KZM94899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERNKKEKAGKKSRIEDWPLINPKSNLKVTHLKDSHLFTVPNFLTSAESKAFVAFAESIGFVHQGSLGPTKGEAFRDNDRISVNDPVLADTIWKSGLDKIFSDFKIRGKLAVGLNPNIRFYRYSVGQRFGRHIDESADLGDGKRTHYTLLIYLSGGSHSKSKPKKETSNNQDSSMEPLVGGETVFYGPRNNLVAEVAPSEGMALLHIHGAMCMLHEARNVTKGIKYVLRSDVAFA >KZM96419 pep chromosome:ASM162521v1:5:40847009:40848432:-1 gene:DCAR_019661 transcript:KZM96419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPVMMLMPQKFAICFTIGCAFIIGSFFALKGPRNQLAHMSSKERLPFTLGFIGSMVGTIYVSMVLHSYVLSALFSVLQVLALSYYALSYFPGGSAGLKFLSSTLTSSILRCFGR >KZM94173 pep chromosome:ASM162521v1:5:17678098:17680700:-1 gene:DCAR_017418 transcript:KZM94173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLFNLPCITPKSTIEPCSTSDSCNSLLGYTLYTDLKVSEVASLFQIDPIYLLTANAIDISYPDVENHILPSQLFLKIPIICSCVDEANSNSVSDPSLLDVGETLVVPLPCTCFNGTDNYLPAIYMSYVVRTVDTLSSIASRYSTTITDLMTVNAMGGPDIKDGDILAIPLSACPSDFPRYASDYALTVPNGSYAITAGHCVQCSCVSGSKNLYCMPASLAVSCSSMQCKNSNLMLGNITMQQSSAGCNVTSCNYGGFVNGTIITILSASLQPRCPGKRLKTATPFLNGPQVFPPLIAPPTVVGPDSLFSPAPSPIQSAGPGATIPKTVVPSTGTVQGYPPANGPTGSFSGSNSGSPSLVNNFARIPLALVLAFFLYAVTSYSL >KZM95538 pep chromosome:ASM162521v1:5:33118208:33127142:1 gene:DCAR_018780 transcript:KZM95538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGYGRDGIFRSLRPPLTLPKDPNLSMISFLLRNTASSATKPALIDSNSGETLTFSGLKTAVTNLSSNLHQMGINKNDVVLIFAPNSIEFPVAFLGIIAAGAIATTANPAYTVPEVEKQVKDCDPKLIFTVPELLGKVKKFNLPVVLMGCQEQDGFSEFKGESKITLFTDLVKNNGVVVNLPKVGGNDTAALLYSSGTTGISKGVILSHRNFIAASLMLNSDQDLLGEVDSVYMCVVPMFHVFGLALITFGQLQRGSTVLAVPKFDFEMILRAIEKFRVTHLWIVPPVVLALAKQSLVKKYDLSSLKQIGSGAAPLGKDLMQECAKNFPRALVIQGYGMTETCGIVSVENQLGGPRHTGSAGLLVPGVESQIVSVDTQKPLPPNQLGEIWVRGPNMMQGYFKNPQATNLTIDAQGWVHTGDLGYFDDEGQLFVVDRIKELIKYKGFQVAPAELEGLLVSHSEILDAVVIPFPDAEAGEVPIAYVVRSPNSSLTEEDVKNFIAKQVAPFKRLRRITFVNSVPKSASGKILRRELIEKVRAKMNKNKRMVSDASKKKAAQKKAAAAAKRGGKSVAAAIAKVSSSTSTDNLSAGVENLQISDRTCTGVLCSHPLSRDIRIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLESIGRRELPIPDHMDIFHLTREIEASDMSSLQADDGGGEALERIYERLDAMDAATAEKRAAEILFGLGFTKEMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEEMLKKFERILVVVSHSQDFLNGVCTNIIHMQSKQLKMYTGNFDQYVQTRSELEENQMKQYKWEQDQIANMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTQKVVRDQVLVFRFVDVGNLPPPVLQFVEVSFGYTPDNLIYKNIEFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPNEGMVRRHNHLRIAQFHQHLTEKLDVEMSALQFMMKEYQGNEEDKMRAAIGRFGLSGKAQVMPMKNLSDGQRSRVIFAWLAWRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAKEIWVCENQAVTPWSGDIMGFKAHLKKQAGL >KZM93417 pep chromosome:ASM162521v1:5:6069945:6072924:1 gene:DCAR_016662 transcript:KZM93417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNGEDTTGILEVSWLLTSSSDRKKPAGLLEISWLLTSSSNRKKPAGMQKFRFVLIHILAILCPIKSRFNFLCYNNL >KZM93986 pep chromosome:ASM162521v1:5:13278822:13285544:1 gene:DCAR_017231 transcript:KZM93986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVSKVTAPGPDRLSSVQYHAPFPSGGILAHPTMPSESAAGKDRNVHQMLEEGPGDTISAAGSNRSDSVAPGVVAVQYEYEELQHRVHALNNENRFLKEELQKVSEECNKVTSENDSIKGTLMDKEGSVFAALLEEDSLAYSDSFICGSGLLDNTQGQTASSLKMNNGEDTWSLKVAPTDGEKDNSMHNGNSLGSSSLTVGSVVGSSFSGTNFSESSRSCSSGTSYSKNISEVKDGGCVDDWEVLADELAAIDDKEDRSAQKSESLMENRSAVKLDHLPKNTRPSTDDIPKEIVPLSVSCWAEDPADRPEFIEIKYFLEKFIHYLCTPDMSPPRMIKIVHANEVSATGECPCTSQLKQKAKGVGAKPRSAAIRFFRGFRSCF >KZM94123 pep chromosome:ASM162521v1:5:16097483:16099740:1 gene:DCAR_017368 transcript:KZM94123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSDVKNGQINCGGNAAAPLSKRQFRDEREDRISYLPEELITRIFDRLPIHDVARTSILSRAWRNFWPEYPVVALDREFNSRLTSSKEITTHKSEFIKAFKMIISSHTGPIQKFNLYTTPVLRHWSIHQGIIRQLSGKGVKEIYLYFEKLEVYPLSPALFDCHKLTRLFLHHCIVGPSIDTRSFTNLTEVLLSAISFPRDMTFGTQLQVLYLRNCIGIEHLTPQFISGNHLRRLFIVTSTTIEWKWFQSTTKLKFLGLALKASNSNIKGASKLIELLSKIPHFSNLSLDGTTLEVFGPPPPDLARPATKAIELRISTLTVFRLKMHNFCQILNLVYIIRSCPNLEDICIMLALERVKSSTPEESTIDNYFASLDSKDMCLDQLQTVKIKGIQYSRFVQNFIKLLLASSPSLKPSEAVAMNEEPNSGCEFKDLSSLFPGDGIGPEIAESVRQYINTGD >KZM94540 pep chromosome:ASM162521v1:5:23408705:23414660:1 gene:DCAR_017783 transcript:KZM94540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTPSGFATDDELVRVGGWAVMKIEPELTDLMVMTRSLPNNCKADDSVKKHIEKPAKGKKKRNKGKKGNKPYRIGDTVNLKDLLFTQERDYLIKYKDVQIKKEQLVGKVIVLHFVSLVKGHDNLIPDPDLIDIYKKLEPKGGFEVVFVAVGDGLTCLDTRESTSTYSTPQQCFEEIFSTMPWTAIPFSDLKSRNRLEKLFCIVSDTRLEMEPVSFVIDSRGVVLQADATQVFSMYGSAGYPFTKQRLKCLDHEDYVTMEQLSVSTLLASPQRDYVITNKGDQVPLHKLEDKVVALYFFTDISNVRITSKLKLAYKELCEKMEAFEVVLINLLSISSDTCEDTFWKTFEAMPWLAIPSKDTDCCKKLLRIFDSTICDPGPYPVSKLVIIGPRGKFIEPCGANILCCYGIPAYPFTRFSAVNLKIEKVKDVKPEMFWNLDAIFRQQNGSEVQFSQIVGKRIIVLFQLFNDIPEQTLKKLIALYNQMKGTDDEFEVIHIREESKWGHVGAVIPWLMHPPFSNASDAGKVMRRLFYYGENGLVAFDRDGRIVRMTRRLAVGKTVFPFFDAEKMEDEVLQDMQEDLYEPLETLLCCCW >KZM94893 pep chromosome:ASM162521v1:5:26799182:26800170:1 gene:DCAR_018135 transcript:KZM94893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFFNQGDELVFQIQNFDNPNPEQQTIQQDPVMNRDSSCSRGRNKRHGKEVLSIGEDCKDDERSKKIMHREIERQRRQDMGKLHVSLRSLLPLEFIKGKRSISDHMEQAVNYINHLQGEIRELDVKKNNLIKSTPKKFFIDDPGKGTSDLCLLDDNNDISVTVTPCFCGVEIIITSGSMEENLSLSGVMQVLIQERQLNVTSCSSTRVNDQLVHIIRAEGYEPAFIDICELQQRLCGVIRG >KZM95320 pep chromosome:ASM162521v1:5:31134331:31135962:1 gene:DCAR_018562 transcript:KZM95320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCCDNIGLNKGAWTAEEDKKLVNFVLTNYKCSWRDVPKHAGLLRCGKSCRLRWVNYLRPDLKRGLLTQHEEKLVIDLHSQFGNKWSKIASHMPGRTDNEIKNLWNTHIKKKLSKMGIDPLTHKPLNAYPPPDNHHKHYQSQEAELPNGEETQEHHKDQEDEISLNLEESTITHTDDQLAKEQELMDTNNGFLQQVPMNNEPDENNDNAFSIYEVPIIEPYEPDENNDNAFSIYEIPIIEPYKNTEDGFSTHEVMLSESNENTNNDFSTHEVPLIKSNDNIDECFFSDAVPSIELEEPDENTNNNFFIHEFQSIEPEETLRPDLSSSCSSTTCSNTNASTTISILEDLKSLQSFEDWQSGGHKKLYIENSGVTCEDDFSDWNWLINDFDIDTIDFELIRSLPDPVSLKNSLG >KZM96330 pep chromosome:ASM162521v1:5:40159981:40160550:1 gene:DCAR_019572 transcript:KZM96330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKNGSSKSSKKKRLTQEQVRLLEESFNGNNRLEPDRKLQLAHELDIPPRQIAIWYQNKRARWKNQSLELDYTAVHMRLEAALAHKRQLEKEVQRLRAELQKYSEFQISTKQHVQMLQPQPQPHRQLVSVSSISSNCDEVGSSSLHDDQVNCSWMNEDDQAALRVEEFYACLMGTNGINSNIEFNSNV >KZM93864 pep chromosome:ASM162521v1:5:11646260:11654462:-1 gene:DCAR_017109 transcript:KZM93864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRLDFDEENGKNGDSDLEKEADLGKMRMNTPLSQLAPLYCSPSPLAIPSPLAIGIRYSTLSSSELKRKVWAIADSKRQGFLGFNEFVTAMQLVSLAQSGQPLTSDLLNSEVDFENLNLPTMDGLDILLAKQKRASKTNEDELNGSPVQPSTSANWFSSSKSSKKLSLASVTSIIDGLKKLYIQKVRPLEVTYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSSYPGKSPNSWFSLYSSAHIGPEPTTDRFVVVMNGPDERSIPGNTVAVQADMPYSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFASKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPINVAAAGPLGQELFEREQEDLLADLRDIPKKACDRRINEFVKRARAAKIHSYIISHLRKEMPAMMGKAKAQQRLIDNLEDEFKKVQREHHLPAGDFPNVDHFRETLSGYNIDKFEKLKPKMIQTVDDMLGYDIPNLLKNFSNPYG >KZM95521 pep chromosome:ASM162521v1:5:32963325:32964050:-1 gene:DCAR_018763 transcript:KZM95521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTDISMCLISLFAFTFFLQAPAEVAADPLGYSCINPQNFTTGTPYELNLNKLTGLLDYLTQPSGYAQASVGQAYGLGLCRGDVSSFDCLTCITEAGIEARKLCSGNKGAVIWYDYCMFKYLDQNFFGQIDNGVAIFLLNVNNATNNQTLFKQRNSELLDKLSEEASISSKHYARGEIVVDVNTTIYGLAQCTRDLSSYDCKKCLDGQIYSDLPLERIGGRVLGGSCNVGFEVYPFLKL >KZM95481 pep chromosome:ASM162521v1:5:32518126:32519556:-1 gene:DCAR_018723 transcript:KZM95481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSVNLISKSTVIPHKKSSIKSLKLSVSDLPMLSCQYIQKGVLLSQPSVTSETLVTLLKNSLSKTLTYFPALAGRLITDENGHVHILCNDAGVEFVHACASGISINGILTPFIDIHPCFKEFFAFDKTLSYAGHYKPIAAVQVTELNDGVFIGCTVNHAVVDGTSFWNFFNTFAEFTKGAKVISNSPEFRRDSVFNSPVPLPLPENGPAATFSGDEPLREKIFHFSREAILKMKFRANMLFKKPELNSDLNGSELFGKQSNDSWITVNGKITSVLESLLQANGAVKPTDQISSFQSLSAQLWRAVTRARKLPDTKTTTFRMAVNCRHRLEPKVDPLYFGNLIQSIPTVALTGDLLSNDLGWSADLLHQNVVAHDNATVRRCVGDWEKNPRLFPLGNFDGAMITMGSSPRFPMYNNDFGWGKPLAVRSGKANKFDGKISAFPGREGDGSVDLEVVLAPETMAGLENDAEFMQYVS >KZM92919 pep chromosome:ASM162521v1:5:788476:810216:1 gene:DCAR_016164 transcript:KZM92919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFATIHSPVLSLTVRASVDIQQRISYTPHRTIPKNPKPTATPSPPTTTTTSSPNLSVSDLLSRPEKVATQVPVSETSSFMGYDAWLPTAPKVEKPRSVYNAASLAFIGDCIYELYARRNFLFPPLNIEEYNDRVMAVVRCEAQLYARRNFLFPPLNIEEYNDRVMAVVRCEAQDTMLQKLINDNVLSKEERDIIRWGKNIGSATKTRTKKRAGIAVYKRASSLETLVGHLYLTNTKRLDEIMLKLGFSVDGSTKLVLDEGNGKLESLELQTACTVHSNVLKFERVTLPDGSIKEGKRWLSTPFDIANEISHHLASHSLISQVNNVPWDMFRPLEGDCDLKLFTFDTDLGRDTLWHSSAHILGQSLERIYGCKLCIGPCTTRGEGFYYDAFFGETSLNELHFEEIAAMARKAVEEKQAFERIEVSRSEALEMFSENKFKVEIINNLPEDKPITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSAYWRGDKERESLQRVYGISFPNQKRLKEFFALLEEAKKYDHRELGKKQELFLFHKLSPGSCIILPHGRRICLKLLEFIRSQYWKRGYEEVSTPIMYNMQLWETSGHAANYEENMFAFEVEGQKFALKPMNCPGHCLIFDCRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRKFQQDDAHIFCRESQIKDEVKGVLEFIRYAYDVFGFTFDLKLSTRPEKYIGDLETWCKAETALTEALNEFGKDWLINEGDGAFYGPKIDISVSDARKRKFQCATLQLDFQLPSRFNLTYSAEDESSVERPVIIHRAILGSVERMLSILIENYKGKWPLWLSPRQAIVCSVSEKSQAYAVQVKEQLHEAGYYVDVDISDKTVNKKVFEAQDKQYNYILVVGKEEIENGKVSVRARGEKNFCVFSIEDLLKLFKEKVDSFQ >KZM94405 pep chromosome:ASM162521v1:5:22069414:22070084:1 gene:DCAR_017648 transcript:KZM94405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMVLLMIASSLLMASMSMGVVGASNDGVITVGGKVLCQDCTQGWNEWLHGAKPIKGSRVSITCLDDRSRVVYYGSDETDETGEYEVTLNKYINGKKLNPQGCFLRLVSSPDPVCNIATNSGGGLKGVVLGRPTTVYRDITKYVLPSFYYTTPMCDEPDMSEPGTKN >KZM94427 pep chromosome:ASM162521v1:5:22195009:22208775:1 gene:DCAR_017670 transcript:KZM94427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAITQSDDERLKTKYKNAIFVIRRALALYSIEEVAFSFNGGKDSTVLLHLLRAGHYLHQKGESHTNQGGPIDHVEFPMRTIYFETASTFTEINSFTYETASTYGLQMDIIRLDFKSGLEALLKANPVKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTSKVQYCSLYDQGYTSIGSIHDTVPNDLLCTRNTKDGKISFKPAYLLPDGRLERAGRARKSSAPASAKSTAVSNGDAKAVDINHNILFTASVIAVGDEFLSGTVEDKLGASLCKKLHTLGWAVSQISIVRNDIDSVAEEVERRKGTSDMVFIYGAVGPLHSDVTVAGVAKAFGVRTAPDEEFEEYLRHLIGEKCSGDRNEMAQLPEGITELLHHEKLLMPLIKCLNVIVLSATNIAELDVQWNCLIELKRSSGLLVSEPFASKRLMLTVSDVKAAQPLSKLRLEFPDLYIGCYRESRDGPLIVSLQGKDQARTEAAAEALRKKLESGVLH >KZM94062 pep chromosome:ASM162521v1:5:14515555:14517685:1 gene:DCAR_017307 transcript:KZM94062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTKHFTVILFLALLINQRSFIINVGAGNGFITTRGVHFMLNGSPYYANGFNAYWLMYVASDPSQRYKVSAAFREASSHGLSVARTWAFSDGGYRPLQFSPGSYNEQMFQGLDFVVAEARRYGIKLVLSLVNNYGSFGGKMQYVNWAKNQGQYIASDDEFFRNPVVKGYYKNHIKTVLNRYNTITGVVYKNEPTIMAWGLMNEPRCTSDPSGRTXQDAHQIHQAGLFSVVIVFCWQAWISEMASHVKSIDRNHLLEAGLEGFYGPSTPQRKALNPAYEIGTDFIANNRIPGIDFATAHAYPDQWLPGSNDQNQLDFMNNWLNTHIQDAQYLLRKPLLITEFGKSLKNSGSGPRQRELQFQTVYYKVYASAKRGGAAAGGLFWQLLTEGMDSFRDGYEVVLSQTPSTTNIITYQCHKLHQIRKIYARMANIERWKRARAARRAQWLARNKGKHIGHH >KZM95731 pep chromosome:ASM162521v1:5:34925209:34929845:-1 gene:DCAR_018973 transcript:KZM95731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELPGRTDNEIKNFWNTRIKRRQRAGLPIYPPDICLQTINENNSSQDMSTFATADTAYPMLLPNNNLEIPSVEFEYLQVNQPLYPSLLDPGSGLHTQALGSTSSSGFMLSTMHPVKRLRESESLLPGFSGVVSDVLPVFSEYQDEGSRKIAKSFGGSSYDYSLSSSSCLLPGSHAILNGDCSSSEPISWATKLELPSIQNSDTQIGNWGTPSPLPSLESVDTLIQTPPNEQAQSNCFSPHNNGLLESVLYESQALKNSKNYSSQLTSPVSATPGDVPFGTQENDAEWNVYAEPNSPLGQSVASVFSEYTPVSGSSLDDAQAAKLLPVKQEVEWGPTCCEENSQNLCQMVSPRPDMLLGSSWYGSSSEHGKEQSNLTDAIGALLCEDFSYDCRPADAMAGTCSRQWDMSSSICQISENH >KZM92886 pep chromosome:ASM162521v1:5:538549:542826:1 gene:DCAR_016131 transcript:KZM92886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRRKKAPESKNQEASDANLTDDENKKKKQPLPTKKTQKSWTCLDNCCWFIGCICVIWWLLLFSYNAMPASFPQFVTEAITGPWPDPPGVKISKEGLSVKHPVVFVPGIVTGGLELWEGHNCTEGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGMDPEGVRVRPVTGLVAADYFAAGYFVWAVLIANLARIGYEEKNMYMASYDWRLSFQNTEARDQTLSRIKSNIELMVATSGGKKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGSDWCAKHIKAVMNIGGPFLGVPKSVPGLLSAEVRDIAVLRAVAPGVLEKDLFRFQMMLQHVMKMTRTWDSTMSMLPKGGDTIWGGLDWSPEEDYCHGRRDHNHNDTNTPDQHKTKNHVSPDKNTNYGRIISFGQKAAYAAASELKRIDFRDAVKGKTVANSSCQEVWTEYHEMGYGGIDAAVEYQVYTADEVVELLEFVAPKMMARGSAHFSYGIAENLDDPKYDHYKYWSNPLETKLPNAPDMEIYTLYGVGIPTERAYVYRLSNSAECSIPFQIDTSADHAKDCLNDGVFTVDGDETVPALSAGFMCAKGWRGKTRFNPSGIKTYIREYNHAPPATFLEGRGTQSGAHVDIMGNFALIEDVLRVAAGATGEELGGDHIYSDILKWSEKINLSL >KZM95370 pep chromosome:ASM162521v1:5:31543391:31544388:1 gene:DCAR_018612 transcript:KZM95370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATARHRSTSYSHEEDKHLCHVYLDISQNPIISINQSRDQFWSHIEAEYNSSKPVTITQDRAKKSLQCRMQIILSAIGKLRGCVRQIENQNPSGASQIDILNRVKVLLEQDKKYDKGFKFDHVWEILKDSEKFGDDHSNATPYRQTQTSNFVSSQANSPATESPTSASPGLSSFSPDINDLSVDGSSSQRPIGVKKAKEKRKVEEHTSAIIDTIKEEQRQVIEILKKNSADRQQNYEIQMLRAQNEKRKLDMAPYLAENKILIKDLNSIDDPILREHFRNEQLKILQKRSTHDQAAPHGFHDDLPEY >KZM93472 pep chromosome:ASM162521v1:5:6878916:6879389:1 gene:DCAR_016717 transcript:KZM93472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMIKVVVCMMAISTALTVLLTMKDSGFHGKSSSNIDISSSSAKETNAEDVLVTPYKRVNRFLAEERNPRAADHCHKDYEVCTLQGTNSTCCNNKCLDLATDKKNCGACKKKCKYTDTCCRGECVDTTYDKRHCGECNNRCSPGGYCIYGLCDYA >KZM93721 pep chromosome:ASM162521v1:5:9723543:9725525:-1 gene:DCAR_016966 transcript:KZM93721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQLAENQFNIKDCCFTLGGPHGESLVLPDLKLKVLIEAIRIVLECVYDDRFVTFCYGGRVGMGRHTAIRYLRNSVENPSWWFMACFDNVEFGSKNVDRLCLVLQEKIKDEIFIGMIKGFFEYGVVRIELGGCCLGRGFPEECGLSAILMNVYFNKFDKKIQELRLQTNLENPKLESEDNVFHKPLKIYAVRYLDEILVITSGTKMLTMDLKHKVLSLLENDLELKVDRVRSAIHSAANEKINFLGMEVRAVTPSFLHPPMSEKAMRAQKKYLRQKEVEREELRNARETRRKKLGLKIMSHVYKKLKRGDKLEFDYQIENEVTEIFRTWSSEVVEEFFGSIEERWSWHRKLKTGDFLSLKRIRDQLPQELVDAYDNFQYQVDKHLAPVKAKSALEEEERRAQEQEEQMYAERTVKDMTKLCIMVNAPIELIRKAVRLAGFTNIMGRPRPINSLMSLEDVDIIKWYAGVGRRWLDYFCCCHNFKMVKIVVSYHIRFSCILTLAEKHESTKREAIKHYTKDLKVFDVDNAEEVHFPTEKEIKMMGDQNLSDPKPVDGTLTVILIRLASHEPLYRCVAHFCNRTDTIVYRIHLLQKICNVNPRDEKKWVPGMGAIHESFNRKCIPLCSDHASDFYTGKLTFQDIDCTSFVDVDEVTAAPYRS >KZM96124 pep chromosome:ASM162521v1:5:38475761:38480503:1 gene:DCAR_019366 transcript:KZM96124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGALLHAPVPTCMSNSNAPSLPPSKKRKVKKLKKNRFSMATSVRIAVVGDVHDYWNLEEDAKALELLKPDLVLFTGDYGNEENVKLVESIADLTIAKAAILGNHDAWNTSRFSSRKKDDVQLQLDCLGMEHVGYQRLDFPTLKLSIVGGRPFSAGGQRLFRPKLLSKRYGVKTMEESAKRIYKAALGTPEDHSIIFLAHNGPTGLGSEVNDICGRDWTFEGGDHGDPDLADALSQLKETTKLSVPLVVFGHMHKKLADGNGLRKMVVVGDDNSIYLNGAVVPRVKKLVIKHDATSSENPIKSVIGTKRASTLVEILGGRLEKIVETWVSVMGDKAALYEEHILFSPGPSVSKPSSEDNPPR >KZM93460 pep chromosome:ASM162521v1:5:6723246:6725481:-1 gene:DCAR_016705 transcript:KZM93460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCGAVARSLNVPAVDMGALRSRCGPYAAGLGFVSVAGTTLWRSPSSKSKPFASLTISSQACVKEAVQTDKAPAALGPYCQAIKSNNLLFMSGVLGLDPETGKFVSDGVEEQTEQVLKNMGEILKASGASYSSVVKTTIMLADLKDFKKVNEIYAKYFPAPAPARSTYQVAALPLDAKIEIECIAAL >KZM95966 pep chromosome:ASM162521v1:5:37087498:37094271:-1 gene:DCAR_019208 transcript:KZM95966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-29 MALQGLSSAFLVTALPRSSVPLERNHNKSFATQRSVQRIKTRATIINQDSGAALRRNANYPPSSWDYDFVKSLTSDYTVLMLLLLLLEEKYARQLDELKDNVKRLIHAETDDPVEARWYIDAYEKEQNINSNLLNFAKLDYNIIQSVYQKEVSKLARWDITEIDKLPKKLKTVLLAMFNTTNQIGYWTLQERDFNIIPYLSKQWTYMCKAFLQEAKWYYSGYKPTLEEYMENGAFSSAAPMVLFCAYFLTADKITVEALDYVDKLPSIMSCSSLILRLTNDLGTSSEELARGSSLKAVQCYMNDTGASEAESKKYVDSLVHEKWKILNEDLLGSHPFSEPFLTANPNLARTTQSFYQYGDGLGEPQNWMKDLLKSYVSSARNHNRTFATEGRVQCIKTTDTMIDQVNGAALRRNANYPPSSWDYNFVKSLNSDYTEEKYARQLDELKDLVKPCLKNAVEQGKIALNMTAKVNHALDMPLHWKLTRVEARWYIDAYEKEQNINSNLLNFAKLDYNIIQSVYQKEVSKLARWDITEIDKLPNNLKTVLLAMFNTTNQIGYWTLQERDFNIIPYFSKQWTYMCKAFLKEAKWYYSGYKPTLEEYMQNGAVSSAAPIVLFCAYFRTADKITVEALDYIDKLPSIMWCSSMILRLTNDLGTSSEELARGDSLKAVQCYMNDTGASEAESRKYVDSLVHETWKILNKDLLASYPVGEPFRTANANLARTAQTFYQHGDGLGIPQNYIKDLLKSFLLEPFALNR >KZM93897 pep chromosome:ASM162521v1:5:11921087:11921509:-1 gene:DCAR_017142 transcript:KZM93897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDYYSLRYTDPKECFAGVRREWAFRLKESDQLRNDMIGLGAKLPVRDSLAVYPTRNFNGSWGDYRRLVIEAVGLIREENNRMLLRRCRFYMLKLAKDSATASGREMTFEEECQLLQNPNYLSDDPMSDEEPTDSDDSE >KZM93313 pep chromosome:ASM162521v1:5:4686724:4686957:1 gene:DCAR_016558 transcript:KZM93313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHWIPPPTGTIEVNVHGTSLQAPAKNVNTSKIGAILRRSNGSMTSSLAGTIPNLSPVVNSSWKLMQVCGATYNAT >KZM92860 pep chromosome:ASM162521v1:5:344982:347582:1 gene:DCAR_016105 transcript:KZM92860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPEQIDYLESETDSYDSEDDPAFDILEESRSKLSKLSIKSKTQSSISIEIDDETEDSTGNVATENDQQGYDIVQKCIQAGQVDKLKVDQCKLYLRKHGLRLTGKKDVLIQRIKEHLDIVNGGGEVKYPPSSFILNCKGDACMGDVVMFEQTVYEMFSIASRSSVGPPVGIRTVAGRIVKESYGAAKQQHTFTVEVLWSKGVRPHPPLHPLLIKGRNLYKLKTMRQRWQDEGERQKILLEKHSRGTIARSNRDTRMQENEAKKMLKTNRSMKSENQNKKIREAKTSDTSVSLANNSMAWHQLNNQQNLHPMYQVPLVNVAVTRVQPLMQKSSQPEHVEQKKCLYSAPSEASYGGGTSLFRNANVAPERFCDRQVLANINQNSYGYQHNMIHNQGHGQFLLQRTSATATSTGNPYQKPMNGGRQLCRHYARGRCYYGEKCKFLHEC >KZM94986 pep chromosome:ASM162521v1:5:27668227:27671337:-1 gene:DCAR_018228 transcript:KZM94986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLLLPSISAHPISFLDSSGSLKSSASLCFKGFGTNGGIRGLKGCRNLDKSRVFMGVPQTTTVDDALFLGFKPTSAFLFPGQGAQAVGMGLEAQKVPAAAELFSKANEILGFDLLDVCISGPKEKLDSTVLSQPAIYVTSLAAVEVLRAREGGQQIIDSIDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGQAMQEAADAAKSAMVSVIGLDSEKVQLLCEAANEEVEEAEKVQVANFLCPGNYAVSGGVKGVEVLEAKAKSFKARMTVRLAVAGAFHTSFMNPAVSRLEAALAATEIRVPRIPVISNVDALPHADPETIKEILARQVTSPVQWEATVKTLLGRGVKKSYELGPGKVIAGIFKRMDRSAEIENISA >KZM92873 pep chromosome:ASM162521v1:5:444101:448643:1 gene:DCAR_016118 transcript:KZM92873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAVTLYVGNLGSSVTSKELSYHFHDHAPDCYVNFCVIVKDSQIDKSLGYGFVEFDNHNEAAEAMELLNSTWLKNKPIHVLFSPFHNDLSPSVIFIKNLPKSVTRKALFHVLKIYGNIISINLATDASGYATVRFDCVEAAQSAITHLNGSRLFHKSKRLHVSSFLMVEQYGFPERAYNKLNLSITSDVSKQYITDTLSPFGNVTSVCIKRLLPKDALFAAVTFEDSKAADRAAAALQPPSLPLLYIGRIHEETSTFRPLGEIDAKMVVSKHLHLNLFQAQEEDEQQNTETSQAQAQAEFFHMLPVPLESTGGAFSANTPLPLPIYSPPVMYPFPGPGFGQQALYPEALPTPYTLQMHRESLHPIVDHLWASVSEDDHAARKAKFVEALGV >KZM96067 pep chromosome:ASM162521v1:5:37915706:37915969:-1 gene:DCAR_019309 transcript:KZM96067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLGLGPHDPDFLEMEMAEVEMEQLEVLMANEVDGPLVAGRAATFLAAARFQLAPPVQANQEQDFQDVAYEDDLDMDEGAVVMQA >KZM96349 pep chromosome:ASM162521v1:5:40284636:40287715:-1 gene:DCAR_019591 transcript:KZM96349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIFSTSLLTKSYFTSLLSRSFSSLSVKSPPSSIPSLSSLSRLRPITSVAFNLARHSPAVRCFATRQTTSSLNDSSPNFSNRPPKETILLDGCDFEHWLIVMEKPEGEPTRDEIIDSYIKTLATVVGSEQEARMKIYSVSTRHYYAFGALISEELSYKMKELEKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERNRRNDRPRNFDRSRNFERKRENMQQNRQGPGPNNMGGPPGVGGPQNMGPGMGGPQNMGGGPPGMGGPQNMGGGPPGMGGPQNMGGPPGMGGPQNMGGGPPGRGGPQNMGGPPGMGGPQNMGGGPPGMGGPQNMGGPGGPQYMGGGPSNMGGGPSNMGRMPQDSMGGMPQNNLGGMQQNNLGGGAPPPNYMGGGVPPNSGTGNFQPRNMPNNEGYNQQSGPGPNSQYQNYPGNMPAAGNSYQNQDMLERDMPGRNYQ >KZM93553 pep chromosome:ASM162521v1:5:7704512:7708692:-1 gene:DCAR_016798 transcript:KZM93553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGAVMPPPFTLGGVHNSPPPPQSMHLSYTPEGTALYKPVSSSPPAAQYHQQQESSVAAVPVNVVAAPQQQSVSASVNAGAGELVKKKRGRPRKYGPDGSMALTLTSPPSGGPLASQLQSPQPQHVVNSPIGGSASGSEPKKGRGRPLGSSKKHQMKALDYNFAVSAGIGFTPHIIDVKAGEDVSSKIMAFSQNGPRAVCILSANGAISNVTLHQAATSGGTATYEGRFDILSLSGSFLLSEVGGQRSRTGGLSVSLSGPDGRVLGGCVAGLLIAASPVQVIVGSFVVDGQKGSKATKQSEPVSAAAKLIPGSGAIGPSSPQSRGTLSESSGGPGSPLNQSTGALNNNFQGMSSMPWK >KZM93998 pep chromosome:ASM162521v1:5:13566536:13568761:-1 gene:DCAR_017243 transcript:KZM93998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIIDSSAAVNDTTASGDASVLSSGDQMPPPKAEKKKRNLPGMPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEIRKRVYVCPEESCIHHDPSRALGDLTGIKKHYFRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAVESAKEPVAPPSVEEEPEPQTVDASPPSPLPPQQPSSPPSVPTSSAATSMGLPDQTAAIDSAQLQENPVSKSTQILDDTPTVVQVASLTGSCSSISSSSSNGSTRSTVFASLFASSTASGSMQSQATGFTDFIHATAQADPMHDMGPSLSIEPTSLSLATNHGSSIFGTAGQECRQYALPPQPAMSATALLQKAAQMGATATNASLLRGLGVVSSSSSAGQQHWNGQQFESGSASLAAGLGLGLPYDGGSGLKELMMGTPSVFDPKYTTLDLLGLGMAAGGGPTGELSALMTSIGGGLDASTSFGTAEYSGEKYGRSS >KZM96238 pep chromosome:ASM162521v1:5:39444178:39448901:-1 gene:DCAR_019480 transcript:KZM96238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKQVDVSLTLSKHILLNYGKDSNLVFSPISIQVILGLVAAGSSGQTLDQLLSFLKARTIDELNYLYSSIVDRVSAKDSSSSGPCLSLANGVWLQKSLTLKPSFKEVVETVYKAACGQVDFKSKEEEARNVVNSWAEKETKGLIKELFPAGSFDSLTRLVFANTLYFKGAWSCEFDASKTKHFDFHLLNGDRVQVPFMSRNEERYISEFDGFKVLKLPYRNGGIQSSDKHSFSMYIYLPDANDGLPALIEKVGSESGFLDKYIPWMAANGGNFWIPKFKFEYAIEASDALQSLGLVLPFKPQDGCTEMVYDPRPLHVSKIFQKSFIEIDERGTEAAVATGSVIQLYCLFEVDFVADHPFLFVIRENNSGIVQFIGQKSLTLKPSFKEVVETVYKAACGQVDFKSKEEEARNVVNSWAEKETKGLIKELFPAGSFDSLTRLVFANTLYFKGAWSCEFDASKTKHFDFHLLNGDRVQVPFMSRNEERYISKFDGFKVLKLPYRNGGIQSSDKHSFSMYIYLPDANDGLPALIEKVGSESGFLDKYIPWMAANGGNFWIPKFKFEYAIEASDALQSLGLVLPFKPQDGCTEMVYDPRPLHVSKIFQKSFIEIDERGTEAAVATGSVIQLYCLFEVDFVADHPFLFVIRENNSGIVQFIGQVLNPSIT >KZM94047 pep chromosome:ASM162521v1:5:14315650:14321642:-1 gene:DCAR_017292 transcript:KZM94047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKLNGEDTSVISPTLGFNIKTITYSKYVLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKFELDNLLKEERLSGSSLLILANKQDIQGALSPEEIAKVLNLEAMDKTRHWKIVGCSAYTGMGLLEGFDWLVQDIASRIYVLD >KZM94824 pep chromosome:ASM162521v1:5:26243516:26245659:-1 gene:DCAR_018066 transcript:KZM94824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILSQLLYFVQKQFKRRSGQQVVTAKIELKPPPYMLNALEPHMSKETLEYHWGRHQRGYVDNLNKQIVGTELDGLTLEGVVLVSYNKGDILPAFNNAAQTWNHEFFWESMAPGGGGKPSGDLLKLINRDFGSFDAFVEEFKLAASSQFGSGWAWLAYKANRLDVGNAVNPLPKEEDKKLVVVKSPNAVNPLVWDYFPILTIDVWEHSYYIDYENRREEYISTFLEKLVSWETVSMRLEIAKSRSTERDKEAERKRREEEEANLPGGEATEMYLESESEESEAE >KZM96221 pep chromosome:ASM162521v1:5:39274784:39281002:1 gene:DCAR_019463 transcript:KZM96221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGEWGYYNGRTKWCSYRRTTLVICSINIGVALYVLHTLYNSLYTYPFNDPQKAARYTPDQVRKMEESNHIRKEAQPTELIKLVDELKKDFSREEKKLDLPSHLKHQVIDEIVQLLRSLKSSNATVQNEAIERWRKQKLKEARELARGNSISPTILPKEAKILVKALKSRWDDFRDEIGLWIPVAIPNKEHDDKPEGEEEFDSEILAGRQLPPECNTERHTDYGGAAVRWGLTHHKESAYDCCQACLDQAKNAREGEKRCNIWVYCPSEGGCYSPDIYEHKQQECWLKYDEKPQVSFKDKYSESYRNSHPNAPLVVPWVAGILADESYKAGMACLASGKLDEAVESLNTSLLNCPPDRTSAVAKLRSLIDITSQQLPSA >KZM94417 pep chromosome:ASM162521v1:5:22128723:22130186:-1 gene:DCAR_017660 transcript:KZM94417 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MANSSIQEQHQLHFLLIPLMSQSHIIPLTDFAKLLALRGQNVTIITTPLNAIRYKPIIDRAKNLNLKIQLASLEFPNRQAGLPECCENMDSLASLDLGHDFLKANEMLKEPLEKLMQQLVPRPSCIVSTNALVWTNEVAKKFKIPRYVFHTISAFTMVCTFKISGNKVHESVNSDTESFVVPDMPKKIEFKRSQLPEWLREKSDDKKHIMNMMKDTEHLARGVLVNSCEEMEPVYFEEYKKLRGRVWGIGPTILCNKENSDKYDRGNKSCIDENQCLKWLDSMKPCSVIYACFGSLSHIPAPQLIQIGLGLEASNRPFIWIIRENDHSAETEAWLEENKFEERVKGRGLVIRGWAPQVLILCHPSVGGFFTHCGWNSTLEGVCAGVPMITLPLFGEQIFNEKFVVDVLRVGVRIQLMSEKLVKSERVKDAVKDAINELIDGEEAAERRERARGLGELVQKAVEEGGSSHTNITMFIQDVMHAATSPS >KZM94422 pep chromosome:ASM162521v1:5:22168684:22169759:1 gene:DCAR_017665 transcript:KZM94422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTWRNMTCYSSLLVHVICMFLLLRNANCTSTIHPIYKAERSAALPGKHHKPRFQPGPWKNAHATFYGGADGSGTMGGACGYEDLNQEGYGLQTTALSQVMFNNAQTCGACFEIKCAPEAGPNCKPGQPSLIVTATNLCPPNFAQSADDGGWCNPPREHFDIDQPAFLQIAEYKAGIVPVQYRRVPCNKKGGIKFTITGNPYFTLVLVWNVGGAGDVTDVQVKGDNEVKWTPMTRNWGQKWQTNVKLVGESLTFRVRASDGRSSTSWHVAPKDWQFGQTYQGKNFK >KZM92880 pep chromosome:ASM162521v1:5:480932:482599:-1 gene:DCAR_016125 transcript:KZM92880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEVPEPNPTTDHVLDWVEGSLAYHPSFYPYSFDDNNNALTDDSWLDVCQDLDQILVSNSFTSDNHYTAPITTASTSTLLVDPIISNHLSVSPPETSKKRKASGEAISDASQSHKKNQTLRSNEADGDRVVVDQRVSIKRAGNRRGGKHLAASDCKNSENEDGRWAEQLLNPCALAITAGNLNRVQHLLYVLHELASPTGDANHRLAAHGLRALTHLLSAPQTYSISAKSMNFASAEPRFFKDSLIYINDIHPWFTIPNNIANSSILQILSQQQSPQNLHIVDIGVSHGVQWPTLLEGLSRRPGGPPSLVRLTVIAPSSDSNQSSEVPFAVGPPGYSSVPHLTAYAKLLNVNLHISILEDHALQSLDAQVLGSTPDETLIICAQFRVHQISHNNPDDRTEFLRAMRSLEPKAMILSENDTDCSCINCGNFATGFARRVEYLWRFLDSTSAAFKGGDSEQRRMIEGETAKALTNTGEMNERKEQWCERMQGAGFTREAFREDVVEEARVLLKKYDRHWEMRVDEMNGCAGLWWKGQPVSFCSLWTTDRKQKRKVR >KZM92983 pep chromosome:ASM162521v1:5:1384856:1393869:-1 gene:DCAR_016228 transcript:KZM92983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGRYGRQQGWENNSALEGYGAVHDPNFRAGSYDDRRYVDDRYPRDTIYPRNSFHRDILERDSYPPPSSVGAWTQTRGRSYEEDYPIDKNSRRHEKPYVDSYQDLDSFHDSDKYNDIDTFQDYDKLREGYRGVDNYRDQGIDRSGRYRGRDREEYALDDYDYRPRVSRQSREDSRERDYGRHSYDSDYDRGSRRDSNWRRHTSRDRDRDRSVLSRERDSSPYGGHERSRSRGHDDRLRSRSPRSRSYSRSHREDSYDDARHERTDKQKDRDEKRQHDYNYVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGTSRGFAFIDFPSLGAARTMMDRLGNDGLVVDGRKLFFEYSSKPTGGANGPSFGSDSASRSGHANHKSITVPSDWMCTICGCVNFARRTSCFQCNEARTDDAPPADMNSSNHAPLGRRGDTGPTHVLVVRGLDENADEDMLRYEFSKHAPIKDLRLVRDKFTHVSKGYAFVHFHSVDDATKALEATSGTTLEKNGQILRVAYAKSIIGPGSGPSQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGQEQSGEVAVQRDSSAPQSGFVWDESSGYYYDASSGFYYDGNTGLYYDGNNGIWYSYDQHTQQYIPCTDQTDKTAGLGADLSKAADSSNNRKVVISAPAATVTSSEKPTSLPDAVQAAAAAALAAEKKEKEKQKEIRLASKSSILASKKKMSNVLTMWKQRSHEGQAPRLALDDKQNLSAEEKVNAIGSSSKGKPKTEAVTMKESAMNTSGISTSTTGQSTGPDSQERPKPITSGGTIRGVIRGSGRGVVKSDTSYSGPSGITSTSLSASVGAVNSSSPASIDLSGSAVPFRTDASALGSYTPPVAAGSSKRRFSEMPVQPAFSQKEQSQTTYRDRAAERRSLYGSSAFGNNQYDPETGDSDRDSAFKRGVTDSMPFPPGVGGRGAADGNYNVQSYEVITAEKAIDESNVGNRMLRNMGWQEGLGLGKDGSGMIEPVQAQSVEKRAGLGSQPKKLDPSLEVQAGDSYRTLIQKKALARFREMS >KZM96033 pep chromosome:ASM162521v1:5:37562774:37563181:-1 gene:DCAR_019275 transcript:KZM96033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCIVTRRTGLFQKKKGKPTTALTKSCDSITIRKNSDVREKRTSDDDQDVKSGGATRVMRIRVVVTQSQLSQIMKESRNSASLSASSAAVKQMLLTSARKMSGTENSLRSYARCDQRGSMNGKWRPRLKSIVEE >KZM96252 pep chromosome:ASM162521v1:5:39524619:39526058:1 gene:DCAR_019494 transcript:KZM96252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSITRLLGNNRPLGLGTSYALSSKNRGTESATFSLVSKMGFQGEKVAVAGGGEKKPIMAVKACVGLVERIATSKTQTSDVVHLSFILANVSALVLHGLKMVTEPRPWRSHIQMLIERVVIDTRFFAMLAVAGSLLGSVLCFLEGSFIIIESYLQYLQALSHGSASEHGHHIMHLLIEAMDMYLVGTAVLIFGTGLHVMFAGTPNLKAKGASVLPRSNFFGLFELQKLPSWAGMNSIGQAKTKIGHALMMILQVGVMEKFESIPLATGLDLACFAGALFISSASIFLLARLTSSAIASAAASNQQVAQESI >KZM94109 pep chromosome:ASM162521v1:5:15782466:15782627:-1 gene:DCAR_017354 transcript:KZM94109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFDEVLLVFVPRSANRVAHLLAKAAGSLSGLQEWDSTAPELIHCNLALEAL >KZM93769 pep chromosome:ASM162521v1:5:10602282:10606450:-1 gene:DCAR_017014 transcript:KZM93769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQIHQLEQEAYCSVLRAFKAQSDAISWEKEGLITELRKELRVSDDEHRELLSTVNADDIIRKIREWRKASESQTGLFSVSQPVHNLLPSPTFAASWKKQKTSQSGSLAFSRQMQGSLNQQTQPLPAASNWAPSFDGPQMPGLSARYPSTGQTPRGVSSVEVARHEPLIGRKVMMRWPADNNFYEAIITDYDPQKDQHALVYDRNTPKEALEWVDLKDISSEDIRWVDEDPGLSRQRVLCIQSNENPENPGGYLNSGLGKETMRDHNEIIIPFSELGASDEIEILHTDTLIKEVQKIFTSSHPDMLEIEKAKKMLKAHEEALMNVISKLSNASYSGSEQRSPHAKSTGEERRLRNSQNSGNSHGPCLEAETTGDANGSAAANRGQQEDDIIEI >KZM93992 pep chromosome:ASM162521v1:5:13422137:13422647:-1 gene:DCAR_017237 transcript:KZM93992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSTGSMGSIGSINICDCGKRAAMYTSWSLKNPGRRFFTCSEKADLRCEYFQWFDQEVDGRNGDVITHLNNRRIFLEEKIKLLEERIVILEAKIAKKKAKNRAMEKTVMISKIMICVFVALFAMLLMVCKSKGSSGWLELM >KZM95666 pep chromosome:ASM162521v1:5:34302010:34302539:1 gene:DCAR_018908 transcript:KZM95666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGKCGCGSDCKCGSGCNGCGMYPNMENTAAATPLIDGVAPTMMYAEGSEMSFGAEGGHACKCGSNCTCNPCKC >KZM93124 pep chromosome:ASM162521v1:5:2619452:2619673:1 gene:DCAR_016369 transcript:KZM93124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSIHPGQPRQIFRNTSNFQAFPDLSQQKRAKQKQTAKWRPDPTHTGHAVRLVRPDARTEMAIRVLVHMVF >KZM94254 pep chromosome:ASM162521v1:5:20050624:20051880:-1 gene:DCAR_017497 transcript:KZM94254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSLKIGDGTARFNRATLCSSAVNLLMLFSIITTNLFALYAFTSSPEPEHHSFHLSHQKNISLISEQVSLILREIDASQKKLTQMEKDLLGYESIDLSDPSIPTELKNFLQHHQLPLGKDSRTGITEMVASVGHSCGKSLDLMAQFMKYKVNGPCPEDWSLGQRLILQGCEPLPRRRCFAKSIPKVGLLPYPNSLWKNFSDSIFSWSGIGCKNLACLNSKKLNRDCAGCFDLVNGYEKGKFVKGRGKNDFLIEDVLALGSGGTRIGFDIGGGSGTFAARMAEKNVTVVTATLNVDAPFSEFIAARGLFPIYLSINHRFPFYDNGFDLVHAANGLDISGRQEKLEFLMFDIDRILRAGGLFWLDNFLCTSDEKKKVLTRLIEQFGYKKLKWVVGERINGGGNSEVYLSAVLQKPVRV >KZM93740 pep chromosome:ASM162521v1:5:10041399:10043998:1 gene:DCAR_016985 transcript:KZM93740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPAFLLENLQEDDTNVFHLKSEGTQTATVIGSKTYVIGWANDKVATSGVRIFDKCTNEWVIPTVLGTKPKPFRGHLAMLYCEDRILVVKESSTFDECIWFLEQKNFETQVVAWSRGVIGDAEKPIVISGPSGVGKGTLINKLMDEFPAMFGFSVSHTTRAPRDGERNGVHYNFTERSVMEQDIKDGKFLEFAAVHGNLYGTSIEAVDVVADAGKRCILDIDVQGARSVRDSCLEAIFIFVSPPSFEELEKRLRARGTETEEQIQKRLRNAKNELEKGREPGLFDHMLVNDDFDSCYMSLKKLLGLDGAINETPCCSSLEMGYLPLVDSASKSDEKILIKCKTGESEKASQKMITLDLSSIKGGAPARTRGLNMYYAEDLTPNGSTDEKLS >KZM94241 pep chromosome:ASM162521v1:5:19866523:19879438:-1 gene:DCAR_017484 transcript:KZM94241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASSNRFPQFIKYICCDESSSDEIRVPQKFTNEHSKRLSENWILSLRNGYKIPVRYDSTKSTLVGVRDLLVDFGVMGGEVLVFEQVDKGNFKLYIIGVDGCEMKYPVIMHASQQTAAVEVQSANGELTFLKFVSFEKPKFDKIDVPLSFAIEFATRMPSSVKYVLNNAAQIEGSYDEFKRTLGCLRKVRKVLGLRTFTAFDCLLFKYDGRRNFKLSFFDGRNVEILLDTVVMRSGNLCFSLRCPSHFSVQVMPCHMMHYSHGVDVSTDFFRTSVFWKKDTITAYNGVESWKLEVRKRKFGKRATINRGWIEFMDDLGLNVGDKCWFKWIDESYHCFRVEVVRAVPILPKDFCLEVSANVPEKILLHVPPGLVWSAIYSKEMNCIQGLHNFMIYYSVKPYYLIALEYMGGLDFNMQIYNPYGVEINYIVRSPCKSCYADEFFFNFSDIEVDRLGGIMSCNVYSTGLVVYDLVITKKHLTNKEYTKVLKRSACKTLGIRQSMEFVDLSFKDFSWTVKLKWENGKAYMDRKWYDFAKAGNLNEGDICAFHLTREPGKYRICIYETVLLSRCNEKGFGHRTGVHNWYKVVDDIFLSGGQMEIPRVYTEITCGGMKKIVKLIMADGKSVFGRFFAGKNLIYGLENLVNSYSIELNDILVFTYASDSTFSVSCFKYSGIEYHHNSEELDALIEEAQETIMLSDSSDASDNNVVLDHAPQEVEEMVMEVSDEEQHNNSFLVTLKKSHVDKKVHGVRFSLKFAAWVVEINNNVVAKEVQQIIIASLGLILHLQSEVKSLSRGFKDYAVMAFHDNEVPRIFKVALKDTCLSGVMALLEYYGCSTGAFLLMEYNGGGRFMCDIFPAYEGCERWTDECDLLGKYIMKIVVYQGDDFDELFLSDRVLEKFEKALPNIVRLRIKNGKEYVAKYRRNENKLTGFKQLQDEIEIKFGDVVILSCCGDAMYTVSVFGPDGMEKIPRSADIVKGDVVYKFEICVKPSHLQQYSQGVEPPISFKRACPMLRGFQEFVFSNGKKIVGCYNHGTGRFHGFRQFASILGIKNFAKFNLVLINYEEKWENTVSFFDDNFVEVFFSGTPLSTGYNLHNPIDIPAEYVQLTTFWRKTEYINVYSGDTVWKLQVKSRRKNSRRNTINDGWIKFRDDLGLAEGDVVVLECADYSSRYFAVRVIKNITP >KZM94999 pep chromosome:ASM162521v1:5:27806156:27807166:1 gene:DCAR_018241 transcript:KZM94999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSMTTTDSSPSSPPLSITSSIDSSSHYQTNSSMETSSLLPTHLTLGLSVSGPNFSQRQQGSADWPPIKTLLRRALANNSPGSPSSSSSSSSCRQLRQTVDNTSGDNSLFVKVKMEGIKIGRKIDVLALHGYPHLITTLENMFSTPNILWAEGEQYCHHKKYYNVLTYEDQDGDWMMVGDVPWEMFLTTVKRLKITRTPSPSG >KZM93386 pep chromosome:ASM162521v1:5:5594412:5597244:1 gene:DCAR_016631 transcript:KZM93386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNQNQNLQWALTALNSRNLVLHNVTFCLCQPVSGCHQETEKSIIINISEEAFSCFSQLLEALRATKSSLHIMEFHNVVWRLPQVKELSNLLDSSFNVKQLVFQRNNFSVECLLEISDMMKKNSVIKELMFSESSIGSVGAGLIASALKQNRSLEELQIWEDSIGSKGAEELSKMIEANATLKLLTVFDSNSITATPLISAVLARNRSMEVHVWTGKQREKSSKVVEFVHASCTLRIYRLDISGACRVACALGWNSTVKSLDMTGVRLKSRWAREFRWVLEQNRSLKEVNLSRTCLKDKGIVYVAAGLFKNQSLECLYLDGNWFGGIGIEHLLCPLSRFGTLQNQANLTLKSVTIGGGRTRFGRNGLAAITQMLTTNQSVIRLGIYDDESLRPEDIIKIFKSLETNATLKFLSLRGCKGVDGDLVLHTILEILQVNPWIEDIDLTRTPLQNSGKTEGIGLKLGQNGRSEPDTDLLKDIPMTVPKSCRVFLCGQDYAGKTTLCNSILHNISSSKLPYIDQLRMLRNPVEHAVKTAGIKIKNCKDEDTKISIWNLAGQHELYSLHDLMFPGHGSASLFIIVASLFRRPSNREPKSPVEIEEEIQYWLRFIVSNSRRAVQQCMLPNVTAVLTHTDKINQIENLQGTINAIQGLRDKFTGYVEFYPNVFTTDARSSGMVSKLSHHIKRTSKTVLERVPRVYELCNDLIQALSDWRSENYNKPVMKWKDFCDICQIKILSLRVRSRSDNKEKVDMRRRAVALCLHHIGELIYFDELGFLILDLEWFCCEVLGQLIKLDARKQNSAEHNGFSSRKELEKILRGSLHSQIPGMGSKVFENLEPSDLVRMMMKLELCYEQDPSDPNSLLLIPSTLEEGRERTPRWVSSTPDCVYAGRHLKCDDSSHMFLTPSFFPCLQARFL >KZM95800 pep chromosome:ASM162521v1:5:35705087:35707351:-1 gene:DCAR_019042 transcript:KZM95800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVNETVVLQSITVNSIIDQILMLWKFIKPPLVVPLLRLMVIICLVMSVMLFVERVYMGIVKLFIMLLRRKPEKNYKWEAMQAEDLELGSSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIVQVLDDSTDLAIKDMVEVECAKWANKGVNIKYEIRDNRNGYKAGALKEGLKHSYVSQCDFVAIFDADFQPEPDFLMRTVPFFVHNHDIGLVQARWKFANADECLMTRMQEMSLNYHFSVEQEVGSQTCAFFGFNGTAGVWRLKTLNEAGGWKDRTTVEDMDLAVRASLKGWKFVFVRDIEVISELPSTFKAFRYQQHRWSCGPSNLFKKMAFEIATSKKVTLWKRLYVLYSFFLVRKVVAHIVTFAFYCVVLPLTVLVPEVEVPLWGVVYIPSVITILNAIETPRSLHLVVFWVVFENVMAMHRTKATIIGLLEIGRVNEWVVTEKLGDALKPKASNTVPLLSSAPVKKSGWTIWERLNGLEMIAGVFLLFCGSYDFFYGKNYFFIYILLQAIAFFVVGLGYVGTFVPK >KZM95869 pep chromosome:ASM162521v1:5:36390276:36391286:1 gene:DCAR_019111 transcript:KZM95869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPKSRWTLDEDIMLVSYVQEHGASNWSLVPGNAGLNRSGKSCRFRWMNHLRPGINRGKFTHHEEQIIIHYQALLGNRWANIAAHLTGRTDNGVKNYWHTHLKKKLDIVNGHVYEPIGNPVAAPALVYQVLPVPAPALVYRVPPVPASSFAPGREGFDYPSIARAPSFGPGREGFAYLPIALAPSFAPGRVVFAYPPDIFISAGHES >KZM95941 pep chromosome:ASM162521v1:5:36931635:36933349:-1 gene:DCAR_019183 transcript:KZM95941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGPKKRRAQKKKIEEAAAARVNNENEATITASLKENSPVMEKESTDGVTVVLDEQNIGSPKRIVLREKKIHDDNNVVGKSFAVAAPSVIELDSEDENDDSMMSRVAFDLEGKETGGSIENPVPTETYSSVLVSESPDMDSAVISPTTKPCDQDLVAAKCSNAETAASNEVDASQKTEEAGQVDEVEAAMKNNAVSGYVNDTEESTLEAVVKDQETAAANEVDAPETEINQEAAIFEDVDDSESTIRDDVLREETKATPNNLESPVSSKPVVTYDAVSTELVSTRVGKELKEVKEMVEDVLNRLNAIDALLQMDFSV >KZM95561 pep chromosome:ASM162521v1:5:33364192:33367036:1 gene:DCAR_018803 transcript:KZM95561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVEKGLDSQLWHACAGGMVQMPPVNSKIFYFPQGHAEHTLSHVSFGTLPRIPALILCRVAAIKFMADTETDEVYAAIRLIPIGQNEFDYNENNGVMESNGIESVEKSNSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVVARDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKRGSLGSPETPTGWTSASGNCPSPYGGFSVYLMEDENKRMRSSSNGNGNSSGGIKGKGKVRPESVIEAATLAMKGQPFEVVYYPSAGTPEFCVRASSLSAAMRIQWCSGMRFKMPFETEDSSRISWFMGTISSVQVADPILWPNSPWRLLQVTWDEPELLQNVKSVSPWLVELVSNMPVINMSPFSPPRKKSRLPHYPDFLHDDQFPVSSFTGNPLRPSSPFYYLSDSITAGIQGARQAQFGTPLSGLQVSNKVQMGLFPNSTKQLNPQSKISNGTRPSSTHGTETVSCLLTMGHSNQNLEKTDTKKAPIFILFGQPILTEQQISHDCSRDSVSQVSHGESPEKRKRPSHDNMDLSEKLTDSRFLWNQGFRKTEPELETGHCKVFLESEDVGRTLDLSVLESYEQLHERLANMFRIERSVAMTRVLYQDATGSVKQIGNEPFSEFRRTAKRLTILMDSGLNTVGRIWNKIMPNADRRLDSSSQTGPLSIFA >KZM95919 pep chromosome:ASM162521v1:5:36781443:36783569:-1 gene:DCAR_019161 transcript:KZM95919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPTQTLLSQILHLTQHKHLKQGQTLHAHIIKTGQLSSTYIANTLINLYAKCQHLPQSNLIFQEIQEKDIVSYNTLINAHSQLGCVQNSIFVINLFKLLLSQVGLVPDGHTFPGVCNAACNLLDLFVGQQVHSVAMKIGYCYDVFVGSSLVNMYCKLGVVCDARVVFDRMIERNSVTWASMISGYAMERFVFGALGVFKMMMWEDVGGVNEFVFTSVLSALTSCDDVFVGKQLHCLVVKLGYSLVSSVGNALVTMYVKCGILKDAFMSFELVRDKNSITWTAIITGLVQSGDSEQALSLFSKMQFFKVEFNESTLVAVLNVCSDSGGLELGKQVHGFLVKSGYESKIHLMTTLVGMYAKCCCVGDARKVFDYLQEPDIVLWTSMIGGYIEAEENEYAIDLYCKMQIESVMPNELTMARVLKACSNLVCLDQGKQIHAHTIKFGFGLEVPIGSALLMMYAKCGSLNDGNLVFRRMPNKDVVSWNSMISGLSQNGHGHEALNLFQEMLVENTTPDSVTFVHILNACSHKGLVDGGWFYFKMMSDEYGITPRVEHFSCMVDILTRAGKLYEAKELIETITFDHGLHLWRILLSACRNYRDYELGVYAGEKLMELGSGESSTYVLLSGIYEVLGRREDVERVRKMMKLRGVSKLPGCSWIELKNHIHVFVVGDQLHSKIDHIRVELQALTKQMKDEGYGAHLDVTLMGFEG >KZM93605 pep chromosome:ASM162521v1:5:8332205:8334292:-1 gene:DCAR_016850 transcript:KZM93605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHPPNAVDGTIDMSAAISDVEEILGYKFIDKSLLEKALTHPSYVNAESYQRLEFVGDAVLGLAITNFAFLTYPDLDAGDLSVVKAANISTEKLARVAIRHQLYKYVRHNSAALTHKVKEFLDVVQQEDVIVVHGGTMKAPKVLADIVESVIAAVYLDQKFDSKAMWVVVRGLLEPLITPDMLQQQPQPISMLFEMCQKDGLQVDIKPWRENNKNIASVYIDGNFIASASSDQKENAKLQAAKAALEILAHCNDKMDICANVNENSEVEAAAKKKLHEVCARKKWSKPCYSIVNEVGPAHARKFVCSVSFETADGLEYVEGVEKLRVKEAEGYAASLMLQNLRKKNYI >KZM95901 pep chromosome:ASM162521v1:5:36605637:36611388:1 gene:DCAR_019143 transcript:KZM95901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIEQGKRCPLCAEEMDFTDQQLKPCECGYQVCVWCWNQIMNMAEKDGTEGRCPACRTPYDKDKIAGMEANFERVEANTNRKHKSLKGKSKTNEGKKDLSTARVIQRKMAYVIGLPLSLADVDCLMRKEFFGQYGKICKISLSRRASGAIHQYVNDSCSVYITFSKEEEAVRCIQSVHGFVLDGRFLRASFGTAKYCHAWLRNMPCNNSACLYLHTLGAEEDTFGRDEVAAVHTRSRVQQIVGVTHNLHRRSGSVLPPPEDEPFTYSSASAEEPTVESDEKEISGDVEICSGHLTDSHLSKDKDEHNGAPNKGTAFVEVVGRPSSSSIEKEGNVAEDRVVANLSLELSSVEIHEDSHVEAAYSDPMIHAAGLTSKKDMKEPYGEPSISCGLGGKGTLNRACIRENSGPQSKGDKMKEVVSFGQEIPLYPPSYPLKASEFSSNHIGQHGQSSNASDYSANLNTVHGNKDANLVFTCTNSLTDGYNENIFQSSAKSDRIYRSSKSFSNEEIVEHLRRIDDVERIVGQNSTIDDAERNILSNIMSMSVIADDLATLHPSIVGSFNHPDRRQGSSLNLYNNDQSMFSFGNQEDFANQIPGLGSSFTDGGQDSIKFPLPRGLEESKDRYLCKPQYLSSSVEVPTPQGFPMPAQDVPGFRACERRDQVFHANSGSLMSRTNSFSNNHHQTPIGRSNNTSDVGIIDQAMMSVGRGNPPYGGSSNSGFDTRPNHASPSSGVDDEARVWLLNLLMQQSTPANQDLEHPHTYMQQAPAAYQAPRYVGQIGDRCSWNDMFQQTGQIQQSTNTSSYTPSQQEPDHGMYSNSYQPAVDGMRLRNEPGMAEVMSNERSGFNRYCSGYGDHIFQPSSGDRYPRVFGM >KZM95071 pep chromosome:ASM162521v1:5:28370327:28371959:-1 gene:DCAR_018313 transcript:KZM95071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYSVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLATVPFLILGNKIDIPYAASEDELRFHLGLSGVTTGKGKVNLADSSVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >KZM95183 pep chromosome:ASM162521v1:5:29702224:29703239:1 gene:DCAR_018425 transcript:KZM95183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGERQSAFTIRTRKFMTNRLLSRKQFVVDVIHPGRPNIPKGELKQRIATMYDVRDPNSIIVFNFRNHFGGGKSTGFGLIYDSVENAKKFEPKYRLIRHGLLTKKDKSRKQLKERKNRAKKLRGVKKSKADNKKTGKRR >KZM92836 pep chromosome:ASM162521v1:5:154291:155959:-1 gene:DCAR_016081 transcript:KZM92836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKCKVDNILLAGYLKLIPAELIRAYPKSILNIHPSLLPSFGGKDYYGMKVHRAVIASGVRYSGPTIHFVDEHYDTGRILAQRVVPVLPTDTAEELAARVLQEEHQVYVEIAAALCEERIIWREDGVPLIRSKDDPDKYS >KZM96101 pep chromosome:ASM162521v1:5:38262177:38271656:-1 gene:DCAR_019343 transcript:KZM96101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAGLCTSAGINIALCTGLASLYSILRKQPSNVSVYFEQRISQVQVNEEDPITFDRYVPSPSWILRAWETTEEDLLAIGGLDAVVFFRIVLFCIKVFSVAAAICICIVLPLNYLGQPVHHKRIRTESLTAFTIINIKARSEWLWVHCAALYIITFCACVLLYIESKSIAKKRITYILGNPNKLSLFTVLVRAIPFSGESYSDSVTNFFTNYYPSSYLSHQMVYFPDTIRQLVKDAEMMLKMLKAPNPAPSGSNLGGCGLCGINKKPFKILSKNSTDSDDDRTEFVEDLREKECAAALVFFRTRYAALVAAATQQSPNPMLWVTDMAPEPPDVFWANLCVPYKLLWVRKLGVYLAASVLMVFFFVPVSFVQSLVYLDKLKENFLFVRKLSEKRNFIFDMITGYLPSVMLTVLLLTVPPLMSTLAAVEGPVARSGRKISACRKVMFFIVWNVFFSNILSGSVLERFDTLSSLWDIPLQLANGVPSLAVFFMTYILTSGWTGLASELMQPVVLLCHWLDIIFFKGKPVLGYGPMTFPYHTEIPRLLHFGLLGFTGSVTAPLLLPFLMVYFILAYLVYRNQFINVYITKYDTGGLYWPVAHNTIIFSLLLTQVIVLGVFTIKKAEVCSSCTIALIICTLLFHFFSRQRFFAVFHSTAAQVVMEMDRQDENSGRLKEIHDHLNSSYCQFQSSPCSTHDKQVKEFNEQMRSTFGSFKSTSRTTIPKALELGTNRADADDKNPAKNSNYTPGNTLADLLRDDGDDSMFYFS >KZM94007 pep chromosome:ASM162521v1:5:13614083:13614670:1 gene:DCAR_017252 transcript:KZM94007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALSGIIGRKASVGGFLSPPSNPRAQPSTGVETTKLEYGRDRGNFWWSSEMRRDREEHQWRKHSAHDSTLIVMDWSQDQVLGGKRKENPWTDPSSPPHRNYEITPGRLRPLGVTDQP >KZM94445 pep chromosome:ASM162521v1:5:22406477:22410531:1 gene:DCAR_017688 transcript:KZM94445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQPESSDPKGTKRDFSTAILERKKAANRLVVDEAVNDDNSVVALHPETMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCADVKYGKRVHILPMDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPLRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEKISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFHTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRHSIFKSCLRKSPVSKDVDLMALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKEKKRSENPEAMEEDDVEDEVSEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSETSGTAAASSDPFAAPSGAADEDDLYS >KZM95207 pep chromosome:ASM162521v1:5:30167003:30171953:-1 gene:DCAR_018449 transcript:KZM95207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHQPHPATPGGGADFAAAFRPNNGKKRGSYNCGRCGQPKRGHICHLPPSSAGATPTDSTSSAATPISVPRPPRQPYTRLRRALSFDDAAAESENENEEREEEEAPREIDEVAMRSGELGGICLYEVMRRLAPMELLSAAKVCKGWRDTSRRIWKAAEELRLRVPVRSQPGFPGSVLRKCPGLLRLSLRMESDVDATMLACIAFSCPNLQSLEIFTSDTSVNRITGDELGRFVADKRCLSSLKMEGCCNLGSFNIYSSSLSTLWLSNLHSLTKTVFNCPNLQEISLNFSCQENECTDLATMVDGLGRNCPKLQNIHIASARLSHAVVLSLTAAHLRGLRMLSLVLGTEITDASVAAIAASYSNLELLDLSGSGISDSGIGMICNAFPDTLSKLLLALCPNITSSGIQFATAQLPLLELMDCGMTIRDLYEQDPTSEVDTNSGAQTPSKSKLHLMYQKLIIKHGRLKKLSLWGCSGLDALYLNCPELKDLNLNSCKNLHSESMLIQCPNLENVHASGCQSMLVKTIQDQVCNGMDASQNRLPFKRLADGSKRVQVPHFLNQQAYHDKEWGERTCKRRCTLLVD >KZM93673 pep chromosome:ASM162521v1:5:9130171:9130800:1 gene:DCAR_016918 transcript:KZM93673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKQCSHHQNKGHKLLKRVFYTLLAILAILLLTFLITWAILKPKKPTFVLQDATIYAFNVTPVPNLLTSNFQVTLTCRNPNSRIGIFYDKLDIFATYRSQQITYYTTILPTYQGHKDTNVWSPFIYGTSVPVAPTNGPALSQDQANGDISLVIKINGKVKWKVGSFTSGHYSIHVTCPANIHFGNGYSGFGVGTGVKYQLSTKCRVSV >KZM94557 pep chromosome:ASM162521v1:5:23574290:23575927:1 gene:DCAR_017800 transcript:KZM94557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSDRHQPIRGSIIQQIFRVVNGRHSSATKGNREWQEKLPIVVFKAEEIMYSKANSEVEYMDLETIWDRVNEAINIIIRRDESTETGDLLPPCVEAALNLGCVPVRASRSQRHNNPRTYLSNRTQDTSSLPPKVLDNPTQGRIPTSRPLHYVNQSTVERPVTVNLNNLQSDSNRLAIQNNRSEPTSSGKFPAAYEKFPSRKKQFLSVEANTSANVGHVYPLHSGTHFQPEVSWPGLKTSNTVIVGTPVYPLVEPVKRGFFQNLFPRNEDDNALSRITHLDSRNKQQEVFEQTECDLSLRLGLFSDPCLNRGKGLASDIDIVGLHSSHDRGQQYDLNSTQNREHTFFPTERPHNYLELSTSSRNFEGQVQNAEVATRKRSNVEDGPIFWQPEPTANHFSGRMRWPGL >KZM93347 pep chromosome:ASM162521v1:5:5126973:5128797:-1 gene:DCAR_016592 transcript:KZM93347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHRKSPLNKISKQASVDTGLPKKHSTNPFDNDSELDKQRVKPARASSDPARANSDSRADLFDLNEVKGTPSSSYSLYSAKSKYKNDFRDDGGFENQSVQELEDYSVYKAEETTKTVNNCLKIAEDIREDATKTLVTLHQQGDQITRTHATAADIDQDLSRGEKLLGSLGGIFSKTWKPKKNRHIVGPVTFRDDPVLRKGNHLEQREKLGLSSAPKGQSNGRAPHPEPTNAMQKVEVEKTKQDDSLSDLSNLLGELKHMAEDMGSEIERHNKLLKPVEDDVEELNFRVKGANQRARKLLGK >KZM94063 pep chromosome:ASM162521v1:5:14550366:14556967:1 gene:DCAR_017308 transcript:KZM94063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKGDAVVYVIGTADTKMEELAFLTNSLRSHLSIFSPTSSDKVQVTIVDVSTSQKETESFGDFKFVSRKDVLSCYSEGSEKYNLQLPDDRGKAIAMMNDALQKFLTKAYKNCNLTGVIGLGGSGGTSLLSSAFRSLPIGVPKVIVSTVASGQTEPYVGTSDLILFPSVVDICGINKVSRAVLSNAGAAFAGMVIGKIERLKEACSDDEKFTVGITMFGVTTPCVTAVKDRLNKEGFETLVFHATGVGGRAMEDLVKGGFIQGVLDITTTEVADYVVGGVMACDSSRFVATLENKVPLVLSVGALDMVNFGAKGTVPSEFQQRKTYEHNEQVTLMRTTDEENKKIASFIADRLNNSSSKICVCLPEKGISALDAAGKPFFDPDTTHILINELKSLIKVNEDRQIKILPYHINDPEFANELVDSFLKIFKCVKGHNHHQAVSKPDEDLKIGNSGSGTKPQDSGVISYSLSDFPNAKPETLQRTQTILQQLKNQIKAGKPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLDMANEVLPVVKEVPVLAGVCGTDPFRRMDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMIGKAHKMGFLTTPYAFNKEESDAMAKAGASIIVAHMGLTTSGSIGAKTSVSLEESVSRVQAIADAAHRINPGTIVLCHGGPISGPEEAEFILKRTTGVHGFYGASSLERLPVEQAIKTTVQRYKAISMN >KZM96161 pep chromosome:ASM162521v1:5:38779520:38782475:1 gene:DCAR_019403 transcript:KZM96161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKGGGAGTESGPDWSELTHECLVNIFSRLSFDERWRGAMLVCKSWLYANKEPCLNSVFDLQSHFDSVTESPRWWDPGFEYKIDNMLRSVVVWADGSLTHIRVSHCSDRALTLVAQRCPNLQVLAIKSCPNVTDESMSKIASGCPNLRELDISFCYEVSYRTLELIGKNCLNLRILKRNLMNWLDPSQHAGIVPNEYLNACPQDGDTEAAAIAKYMPHLLHLEIRFSKLSARGLLLISQGCSNLEFLDLSGCANVTSRDIANSSSKLKNLKDIKKPNFYIPRSVFQPDRYGHWSLYDERFQTDVFRI >KZM95782 pep chromosome:ASM162521v1:5:35515168:35515749:-1 gene:DCAR_019024 transcript:KZM95782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFIFTANKLDANQHLRSRKVHQLIEFFKKCSGEAVDIGRAAFLTSLNLLSNTIFSKDMTDPYQNSEDGKKFSELVWNILVEVGKPDLRDYFPVLKWIGPQGINRRLTDYVEKLLKLFDGLINERFELKRRGNSGKNGATDMLDELLKMLQTNEIDRTQIQHLFLASSFSSMFSGCDQLLKFEFQNSKIINT >KZM94214 pep chromosome:ASM162521v1:5:19319340:19321414:-1 gene:DCAR_017457 transcript:KZM94214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKKTKSATNRTTSNIIKALKKNKSVGAEPEKKKAAIHEKLQNIRKLNKYPHCISRKGYANLEQEMSQEDPEGEIDRSSTWLRARKDKGGKYKTDEITKIAEKIQGSCSKGANGIINKPEMQGVKKKLDLIVDGGFVAEEKSAEKQDPKVAEEIQQKDEGVDGGFVLRKRNPKLHRRFWRRM >KZM95172 pep chromosome:ASM162521v1:5:29546633:29555796:-1 gene:DCAR_018414 transcript:KZM95172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAFCNPADVEAFTEEKKANLLGKRHGKGADFVRAVREIIDSFEKLKNEDQNANILSANDTIMGNGSNSVEPLADSGVKDEAPKAIKGTLHESTDSTTAKCDDDFQVGGSAAGATQDPFHSEEALFEDPTGDTNVKETSLPTTYSRKKNGVAQARNFVTERRVPSARRSRSSTRVDSRKLQNFILPSSNARKDVGIVERYGLRDASCRRSKRIRKSPDVCDVNDVDSPVSVSSGTPKEKDSETGTVDSDTFSVNEGSTVESGFGLMQTESVVECSLGDTQTNQRLDFHSSAVIVKKKRKPSRKRVNSGTSEHIGRLEKEPESEIEEHRSSQSLPSDNKNVNEKYISEDGDEHLPLLKRARVRMGRLSSEVEQPDTFIQPEEKSSEVSDGRMVRLNASLNSEEDSPVERNPSVGMLELDNVSTINKFPVNTPAPWEVKKLHCSSVDGEAALPPSKRIHRALEAMSANVAEDVEATFEAPSSMKTVMNASCFSPMRDCSNISPGNKSEGETVLRNVNFSGKTASQGTILGCPDNTIPSRADGGPASNVEVVDCDILPNNNSAEPVPCGTGLPVQAVDRSDREDPGDSSLSKTLPESAVMPRRPTPLRASLDDEVISSKGKQENFLQPSVGNSQIENCELKNQFEEGDHARLDTTNSDTVLSNPEIMNCLTRDDSDLSLRNLQNECQTTNLLKLDISRDNEDTEMILVKEKSTSKDIKGITSPSTEARTTSIQDLPQLLQSSSHSEDHSSHREVAGMCSSMSLTGGLVSTSRAPPPPHNTSACNMPASDNSSLLRNDGCCSLDVPLRHEKVIHAGKQNGKVEANAALTSFEDYLGLLTRTKDSIGRATRIAIECGKLGVASKVVELLARRLEKEPSLPKRVDLFFLVDSITQCCRGLKGKSREVGGVYPSKVQAQLPRLLLAAAPPGSNGRENRRQCLKVLKLWQERRVLPESVIRRHIRDLDSANNPPAADPHCRRVERNERAFDDPLREVEGMVDEYGSNSSFQLPGFRMPPMLKDEDEGCDSDGESFEAVTPEHNSSSPGGQIQIAACEKRSHILEAVDGELEMEDVAPSCEAEPGGTSNGRVRSAEVINGRIEQNFPAVILPPNPKTLPTSSPPLPMSPPPSPPPPPPPLPPPPPPPLSTLPNPVTNIPDSKLYANEDKQQFTALRPVAPRIDPIISEASSYHAHENGNCSMSVQIPDTASTDSFGSLPLPHLPNQPPNSVPQVNGAVSHSKAFHLRPRVPESANTPFGGAPVSHPPTQSVNSGAQLDGAVSQKAFHLRPPHPAPSNQFSYVHADQRTQRREFPHQSYPTRSHFAHNTDRGNFYSDRDRFDAAPHDAGDNWRHSEPSFSGPNYRDNGRHSYAHGPYGGPLREPAPNHSWAFPPRPMHHREVMHRRPSLDGPIPVASRGPNYWRPR >KZM95186 pep chromosome:ASM162521v1:5:29733535:29734686:-1 gene:DCAR_018428 transcript:KZM95186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQPRRPQQEQPIKYGDVFDVSGQLSSQPVAPNDASAMQAAENMVLGKTQKGGPASVMQSAAAANLQRGVVGRHEGTPIASEQGVTISEAEIAGTRIITEAVGGQVVGQYLEPGKFKMPSPAGVLGSDSITIGEALETTALTAGDKPVDQSDAAAIQAAEVRASGYAYPGGVAAAAQSAADYNARTMNVASKTKLGDVLADASIRLAEDKAVTREDAEGVVGAEVRNNPEMMTYPGGVASSMAAAARLNQDPTF >KZM95205 pep chromosome:ASM162521v1:5:30130235:30130882:-1 gene:DCAR_018447 transcript:KZM95205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDKQMIQENDDLHLNLRLGLPGSDDTAKKTSGTKRASSDHQDTSPPPTKTQVIGWPPVRSYRKNILQQKQEEGAMYVKVSMDGAPFLRKIDLKVYNNYPELLEALQNMFKCSIGVYSEREGYNGSEHAPTYEDKDGDLMLAGDVPWEMFVTSCKRMRIMKASEAKGL >KZM93242 pep chromosome:ASM162521v1:5:3987173:3989815:-1 gene:DCAR_016487 transcript:KZM93242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLHSQGVLHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKGKAQTKKVDVYSFGIVLWELLTSLTPFDNMTPEQAAFAVCQKNARPPLPSACPAAFHHLINQCWASNPRKRPDFNKIITTLESFEKSFEQEPDFFSTYKPRKHPTICRFFHKWTSARGSA >KZM95260 pep chromosome:ASM162521v1:5:30617747:30620744:1 gene:DCAR_018502 transcript:KZM95260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERNGSDNYSMSHILLRGGKRKSAYSHGFSSSQLQTLSSIFQAFVPSDLSSHTSGSQIPFPDEVAETMKEKLLPEALFLVKLTLSLLSTRLGSLLLCGFVCLDWSWPFVHKFSELAVKKREEVLFKWSSQTNFPMPLRLVFALFKAFCCYTAFSWSLTRSNSSRVSNCQALPSHIGRDLHKRKQAKHLAAIAPRYDLYALVFSGVDMVVIPLSSLAAGTDENSRNPACEAIGYQVKNEENVNKIQERPLENGIVESKDCNDSSFMESLKQKGLQVTEDGTSFRIKCDVVIVGSGCGGAVAAALLAGSGQKVVVLEKGNYFVAQDYSQIEGPSNYELYEKGGLLSSDDGRVALKAGSTVGGGTAVNWSATLKTPDDVLRDWSESQKLPLFGSSVYQDAMDAVCKRLGVTYDCPEEGFSNKVIRTGCENLGLKVERIPRNSPEDHYCGSCSYGCKKGAKRGADTTWLVDAVQNGAVILTGVKAQKFVLGEDENGGARKRCLGVMANVVSKNVTKKLQIEARVTVSSCGALSTPPLMLSSGLKNKNIGRNLHLHPVIFAWGYFPEHESKIQGKSYEGGILTTLHKVESEDSRVQSIIEAAATGPATFAALLPWTSGLDMKDKMAKFARTAVLFSLVRDQSSGEVRSEGKVSYDINQQDEENLKHGLRRVLRIMIEAGAVEVGTFRNDGQRMNCEGITNEAVEEFLDNVEAVGGPKSNGEHWAVYASAHQMGSCRMGANEEEGAVDENGESWEAKGLYVIDGSVLPSAVGVNPMLTIYSTAYCISKRLAEYMKKEEKRA >KZM93121 pep chromosome:ASM162521v1:5:2596668:2598112:1 gene:DCAR_016366 transcript:KZM93121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSPHWTLAFGLLGNVVSFMVFLAPIPTFYKVYKKKSTEGFQSVPYVVGLFSAMLWIYYALLKSNAFLLITINSVGCVIQTIYIVIFLCYAPKNAKLQTIKLLVGMNVVGFGMIIGLTQFVANGVASRVTIVGWICLVFSLCVFVAPLGVVRQVIRTKSVEYMPFLLSLFLTLSAVMWFFYGLLLKDINIAVPNVLGFSFGVIQMVLYMMYKDAQKINTKSEKLPEFQDQIIVVDERKFPELAEQIVDVMKLSALVCSEMKPVAPPTPCGNETPVVVAVPPPALPVQPVAVA >KZM94280 pep chromosome:ASM162521v1:5:20475070:20479829:-1 gene:DCAR_017523 transcript:KZM94280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMESTGIVADKFCILLTFEDTKSNKLKFPANFMLKYSSLLDDSMEIKLRNGYIQPVQVDKSKCQMKGVKWFFNTLELKGGELLVFEYFGRSRINLYIIGSNGTEIRYPDRVHILQRCSPGIVTLADGGWRFVTTRSDLDAVIDYIDPPAAFIDRCGFALPKRIIYLLSNGKKFVGSYDSETCRFSGLKSMFDIVGLDVIHGVRTFLFTYDGTERIVISAFDSQYNEIVFQGTPLCMVTLADGGWRFVTTRSDLDAVIDYIDPPAAFIDRCGFALPKRIIYLLSNGKKFVGSYDSETCRFSGLKSMFDIVGLDVIHGVRTFLFTYDGTERIVISAFDSQYNEIVFQGTPLCMDGNGNYPLVGNYFQITVETKHMLDDCFVVDISNEYTEVCEQWENFQCINVYSGNGCWRLLVRKRDDYNRTTIEDGWQKLRDGLGLIVGNICVFECPLHSFDQFIIRVLEPDEY >KZM96497 pep chromosome:ASM162521v1:5:41406853:41419982:-1 gene:DCAR_019739 transcript:KZM96497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETRHLNRNAHEAGRRLKNQTSENHDGDGDGVVVSYPDRPGEPDCAFFIRTGTCGYGVNCRFNHPSDLVEAKEKIARFRGDLPLRIGEPDCEYFLKTGACKYGPSCKYHHPPDRYGAGPVVLNSFGLPVRQEEKSCSYYMRTGTCKFGVACKFNHPELSSAGNVLPVLGTAVGGSGGLIIVPPSGLYAGGIPSWALLNEAYIISPLSQGLGWNTYMGGMNGQITPALTTANVLPERQDQPVCRHFMNTGVCKYGSDCKYNHPREKISQLAASSLGPLGLPLRPGQAVCSYYTTYGICKYGPTCKYDHPLVGYSYEGYSYNYGTDFPAASVSNSSSFHYQESFPIIHSYETSPSKSSKFPEWAGKVDAPSKKDTDVEAAEESPEPAGSLSPSSSPRAAVGSRVKLFEAKKGSSAASDDGVCSSVVAPQGYVCEEHTVTTQDGYILSMQHIPNGRSGVSTGSKPPVLLQHGLLMDAITWLLSPPDQSLALLLADNGFDVWLTSTRGTKYSQGHTSLSTNDAAYWNWSWDELVAYDLPATFQYVNDQTGQKLHYVGHSLGTLTALSAFSKGQLVSMLQSAALLSPIAYVGQVTSPLATNAADDFLAEDLKWLGINEFNPRGDAVLKLLKEICAKPGVDCTNLLTSFTGQNCCLNSSVVDFFLTHEPQPTSTKNMVHVAQMIRDGTIHMYDYGNADDNNQHYGQSTPPIYDMSSIPNDLPLFFANGGADALSDVNDVQLLHDDLKDHDADKMVFQYQDDYAHADYVMAVNAKQVVYNPLMAFFRLHHTSIDALYGSSSPVLQLTPDNFKSKVLNSNRVVLVEFFAPWCGHCKALTPIWEKAATILKGVATVAALDADAHKSLAQEYGTRGYPTIKVFLPGKPPVDYQGARDARAIVNFAAKQVKVLLKERLDGKETGKSSKKSSEKSAPTASVELNSLNFDDLVLKSKELWVVEFFAPWCGHCKKLAPEWKKASINLKGKVKLGHVDCEADKSLMSRFNVESFPTILVFGADKERPSIYDGARSASALESYALEQLEINMLPPEVTELTSQDVMEEKCGSAAICFVAFLPDILDSKAEGRNKYLELLLSVAEKFKMNPYRQEHFFIWKLEFYVWAAAGNQPELEKQVGVGGYGYPALVALNVKKGAYKSLRSAFERDQIIEFIRNAGLGGKGNLQISATPEIVKTEPWDGKDGQILEEDEFSLEELMVEEAKDEL >KZM94512 pep chromosome:ASM162521v1:5:23132302:23134833:-1 gene:DCAR_017755 transcript:KZM94512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSEEGSGGLKMDQLKMKAVNSYNDLHDQNANSPKLKSNLKMRPSNSFNDLHEQPGSPSVTPRAPAVVVSNSSKALACSASGKALLGSNSSKSLLGGSSSSKSLVVSSSSKSLLGSNSSKSLAVSNSGKALGMSSSGKRMDQKKKYVKQVTGKNNDTELHLAAQRGEASAVKEILGEIDAQMLQTSSGAEFDEEVAEIRSAVVHEVNELGETAMFTAAERGHMNVVKELLPYMTKEGLAMKNKTGFDALHIAARQGHEAIVRMLLECDPELSKTIAQSNSTPLVSAASRGHTAVVKVLLEKDPSLIDIPRSNGKNALHLAARQGYVEIVEALLQTERQLPRRTDKKGQTPLHMAVKGVSSEVVRLLLQADPAIVMLPDKFGNIALHVAARKKRTEIVNELLIIRDTDVNALTRDNKTALDIVIALPLSEEAAEMKDCLMRFGGMTASDLNNQPRDELRQTVTEIRKEVHSQLEQARKTNRNMTGIAKELQKLHRAGILNAANSVTVVAVLFATVAFAAIFTVPGGNYDSGMAVMVHSTPFKIFFISNAIALFTSLAVVVVQITVVRGEIKSERRVIEVINKLMWLASVCTSVSFISSSYIVVGRRNQWAAILVTVIGSVTMIGVLGGMTYYVVKYKRLRRVRKRAKERLFIVYKQLCKHGAGRVKIEVQHGAKATTELRGAGKIALKGKHILAQERNHTETV >KZM92963 pep chromosome:ASM162521v1:5:1230783:1232097:-1 gene:DCAR_016208 transcript:KZM92963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQENDVKSSQVVDARARNISHNVRCTECGSQSIEDSQADIAVLLRKLIREEIKSGKSDKEIYKKLEDEYGETVLYAPKFDMQTAALWLSPLLVAGAAGGIWAYNKHRQRTNVHIMALNLIRGVPLTPKEKQTMLEILAPSDLQGATATSSSPWWRRWLHR >KZM96488 pep chromosome:ASM162521v1:5:41353949:41354371:1 gene:DCAR_019730 transcript:KZM96488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSFLLLALCIAFALLLSSEVAARQLAETRTTTEGGDSDGGGHYNRGVVGGRDRALEEYKPTPYKTPTCVKTCYGMCC >KZM94603 pep chromosome:ASM162521v1:5:24044141:24051044:-1 gene:DCAR_017846 transcript:KZM94603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGELQLQRFDDQNPSDLDPLIDNRSEILKDVVDDHHVDDNDKDDVEDGSVPCCRICLECDVDADDDNDGELISPCMCKGTQQFVHRICLDHWRSVKEGFAFSHCTTCKAQFHLQVVKLEDNSWRKIKFRLFVARDVFLVFLAVQTVIAVIAGLAYLLDKDGSFRNSFDDSWDRLLSKHPIPFYYVIGVLGFLVLLGFFGLILHCSSFNSSDPRMAGCQNCCYGWGILDCFPASMEACFALVLVFVVIFAILGIAYAFLAATMAIQRIWQRHYHILTKRELTQEYIVKDLHGCYTPPKLDPEHEARLKMLKLL >KZM96266 pep chromosome:ASM162521v1:5:39638181:39640071:1 gene:DCAR_019508 transcript:KZM96266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEQQKEAAGSDGKPSVKKQSAGELRLHKDISELNLPKACKMSFPNGKDDLMNFEVTVKPDEGYYKSGKFTFTFQISAIYPHEAPKVKCRTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNHEDPLNADAAAVLRDNPKLFETNVRKAMTGGYVGNTHFSKCL >KZM94222 pep chromosome:ASM162521v1:5:19501139:19501543:-1 gene:DCAR_017465 transcript:KZM94222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNKSHSESFVIQVEDTEQRPKNRNPRAARNHDLNQRINFSSMKVFNRFRKIFMGLLFPYRTFRNHRSSRGRNPGSSFPRRRSCDRPEPPKNSCSSSYYSSNSHYDEAISDCIEFFNKSSREGALDTKTSGFMV >KZM96232 pep chromosome:ASM162521v1:5:39393644:39396600:-1 gene:DCAR_019474 transcript:KZM96232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRQSIKNQTDVSLTLAKHILLNNNNSHSNTVFSPLSVHVVLGLITAGSSAQTQKQLLSFLKAGSSDDLNSLSGQLVDLVFADGSGSGGPCVSFANGVWIDESLALKPLFKQVVEGVYKAASLHVDFQTKATEVTNSVNSWAEKKTNGLIKEILPSGSVDSSTRLVFANALYFKGAWTDKFDASTTKEDEFHLLDGSSIQVPFMTSKKKQLISAFDGFKVLGLPYKQGGDKRQFSMYFFLPDAKDGLPKLVEEVGSVAGFLERHIPYQKVEVGDFRIPKFKISFGFEASEVLKELGLVLPFSGDGLTEMVDSPMGQKLYVSSIFHKSFIEVNEEGTEAAAASAGVIKLRSLQMFDKIDFVADRPFLFLIREDMTGVVQFIGQVHNPLAT >KZM93827 pep chromosome:ASM162521v1:5:11214499:11218447:1 gene:DCAR_017072 transcript:KZM93827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQGYPRENLCRFLKARDDNIAKAHTMLLDCLNWRIQNEIDDIMAKPIVPEHVYRAVRDSQLVGMSGYSKEGLPVLAVGVGLSTYDKASIHYYVQSHIQMNEYRDRVILPAATKKYNRHINTCVKILDMTGLKLSALNQIKILTVISTIDDLNYPEKTDTYYVVNAPYIFSACWKVVRPLLQERTRKRIQVLQGCGRDELLKIMDYASLPHFCRREGSGSSRHLWTEKDDNCFSLDNSFHQQLYNFVKKQGEPKERVAPVKQGSFHVDFPEPVLGDAKIAETIKSEFQKLGDQNGLLNGLGNLEINGH >KZM95274 pep chromosome:ASM162521v1:5:30707125:30708352:1 gene:DCAR_018516 transcript:KZM95274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVKSQNSIFFLVLLILVEFSWSDDTTNFIYKGCANQRFQDPTGVYSQNLKTLFTALISQSTSAKFYKTTSGQGQSAISGLFQCRGDLSNADCNRCVSNAHQLFDKMCGPAVAARIHLSGCYMRYEISGFKLAGATDLLYKVCGATRVRGSGFDQKLGSALGQVEKGVTGGTGFYTGVYENVYVLGQCEGDLVGGDCVECVQSAEQKAKSDCGDYVSGQIFLQQCYVTYSYRPDGVPGTLPSDTERSKGKGSGQNTQKTVAIVVGGLVGSGLFIACLLVLKSAFKKKREVKY >KZM93411 pep chromosome:ASM162521v1:5:5780253:5792256:-1 gene:DCAR_016656 transcript:KZM93411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGGGGTRVLNDGGRQRFQVELRPGETTIVSWKKLVKDANRASASVSAAAKPKEQPPVPKPPVLNPRVDPVSQGKTAEDDASDAPPASRFSAVIEKIERLYMGKNSSDEEDLNDVPDDDEYDTEDSFIDDAELDEYFQVDNSEIKHDGFFVNRGKLERMNEPITSPHEQQKKRKRKDMAKGLGGSDEGNTLNKHMAGKKAAGTSLPLTEKNSTYPTLVVALPTVNNEEMMVQNQSGSAGINVKKKSAIVKGSSLQSPLRVTNGEAVGEKGGKAKAGVLPAKNHGGKSKDGLFDMSNQMLIGNISSQGKPQYGRSLSSGEDVGQSAQQGEKNRIREHSDSIFSEGRNPMQATKVPHIQRKEVSSGKSKAVMLEKAIRELEKLVAESRPPSTEASDADNLAQTSKRRMPAEIKPKLAKIARLAHTTQGKLSKDLLNRLMSIVGHLIQLRTLKDGGRLEAGCGCEMDTLNITLSVSGNDGTRGYDGMHGSSPWTNCNAIHGELRRICSGIGKERNGLRNGAPSCIPCSMTRNLKVLSEMGLSAKQERTSRFRQLKEEIHEMVKVRVPLIKSKALEQTGGASDDFQEIVTADKEVLKRKFSMDDALEDKICELYDFYVEGLEEETGLHAHKLYGELVELWPKGFMDSHGIKRAISRAKDRKKAMNAGHKNQEKMRRKLSSKTDETRRSETNSVVKYAQGKLVSDSRATSTSVDKVVSVATAVDGTAKTTVVHGPNMDRPKQEKIKGNSNDMKTTEILAGKKQKIKPELVLSKCDVRPDKLISPEGEDKNTSH >KZM94462 pep chromosome:ASM162521v1:5:22747891:22748337:-1 gene:DCAR_017705 transcript:KZM94462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMATKKNYLYFGSEKMISPVSDDFEFDESDVWNSAHVEPKRPFFGAKKFMTRNDHAGDHRGDVTSTSVPVNVMDWSKVLRGEYKNRMGEIEEDENENEDERVPPHEYLARTRAASCSVHEGIGRTLKGRDMRMVRNAVWKHTGFED >KZM96193 pep chromosome:ASM162521v1:5:39009150:39011048:-1 gene:DCAR_019435 transcript:KZM96193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLYGTNGRDSPALESEDMSSFLHNLLHSSAAAAPYGSYKPRTTSDFADHAAYFPPEMKENALGHFSSSAENSDPIRRNGSGSNEADEFDFGCEEPEEPEVPANSVPPRSSSKRTRAAEIHNLSEKRRRSRINEKLKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLGLNPVYLPETLQTVQLTHQRGSDYNEANPLMNTSRRIASTDPKFPAHATLYMSNHHPSNQSVVLPSTTNINNSETSYGLNPSIPESM >KZM96304 pep chromosome:ASM162521v1:5:39960693:39965680:1 gene:DCAR_019546 transcript:KZM96304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQDDEESRSLALTPTWSVATVLTVFVAVSLLVERSIYRLCNWLRKTNRKPLLAAVEKMKEELMLLGFISLLLTATSSIIANICISSKFYNSAFAPCTRSAVDEDNENNASHERKLLTAFGFPHLSRRVLNTLNENTCKQAHDSSYDYEPFVSYEGLEQLHRFIFVMAITHISYSCLTMLLAVVKIHSWRAWEDEAVRDRNNMLHEIAREKTMQRQSSLVKFHASRPLVNNGFFVWVICFFRQFGSSVVGADYLTLRKGFIANHNLTSKYDFHSYMVRSMEEEFQRIVGVSAPLWGFVVAFMLFNIKGSNLYFWIAIIPVTLVLLVGTKLQHVIATLALESAGITGLITGAKLKPRDELFWFKKPQLLLTLIHFILFQNAFELASFFWFWWQFGYNSCFIKNHMLVYLRLTLGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARKRRRHKFFADDSTIHTDTSTVLSVEEYQDHVFDSPHGGPHSGTEIELQPPTYITTGQSPTANENSSRVGTPLLRPSGSIPSPPIPNSPPGVIERSSSMSSRRMKKDSDEYR >KZM94998 pep chromosome:ASM162521v1:5:27805289:27805477:-1 gene:DCAR_018240 transcript:KZM94998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAYPPDNPISHQHFVLEYSTLIHQLQSRFFHTLHVFATNMLVVVVVLSTTIQADRDLAIS >KZM93884 pep chromosome:ASM162521v1:5:11827615:11827887:-1 gene:DCAR_017129 transcript:KZM93884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSVMESAGVTGEKAMHTADRTVNSAAETTKNISDKMIHEAEDKVDDKTTHQPTDSSAEDVRTREQEYDKEDHVFSHCNSRFRNNVIIL >KZM94927 pep chromosome:ASM162521v1:5:27101550:27101991:1 gene:DCAR_018169 transcript:KZM94927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRGIKRKHSEIEQEALGVELRKLNNDSAELNSDMKSAKEDFAMMCKSMTDRQAEILTRGLFVEEQHRRVIDLLNERQDIVDVTYTGDGETARSSGNADIRKLAREPDESLRDHRI >KZM93428 pep chromosome:ASM162521v1:5:6233530:6236116:1 gene:DCAR_016673 transcript:KZM93428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPALLQLHKWGPDVHLNVSQFREAFMSPTRHLLLLLSYQCEAMLLPLGEGLASISASSSLEESFAPDRADSKVNIASTSESIKMVSDNSIPSDNHDLNPSNHHPFISDVHSLAWGICEETYGSQDTALARDLLFVCGNHGVTVHVFARPSKDSEVTKPAMESEHRQGVWVEWGPSITPPCSKTAQKSSSLHVETSGEVVKESKTDVIAREDGKLKNMSQKRWLRTFLTKPEMIKSEGIIYTMLPEMSSLPSTTMINSFGLFGSDSKSSHFQTDTQPKSHIDGEKNDSVLDVVNNAYSHGDSSSSGKVISPDALLKFLTGSISSSYICSTVFSSNSNHMIGFVLMLVDPVPADPSDKHEIDEEKIVIVVAKMVSSGIQWVCLARLAEIVDRGPIVEWTDFKFSDDFLICLNAVGLIYFYSATTGEYVAHLDLLQSNGFSPQFNYKDCEKFQKGDDAPTSTGIEGEINGIQSSHDIPSKSIFRRLLLASHTTLLAVTDVHGVVHVICVENHLQGKDHMLKKSLKSFQDFNMRTLVGWEVGGAEIGCQRTFSDTWPSLLSVHKYYFTGNMGYNEFPGLQVFGEKTGGCKSVLSGFSVGSQTVETEYPSSSFPRAVRNLFLPTQRSEEGNVLCFSAFGIIRLTKKKNKERCSQIVCSSLHVGSATMNDLSTNSKGLDISIGEAVGCTYKGGCYLVTEDGLSVVLPSMSVSSNFFPVEAIGHRQKTVSTAATASQAGILFETKEIKKQSFLPWKIELLDRLLLYEDSEEADRLCLENVLLFPL >KZM93048 pep chromosome:ASM162521v1:5:1961566:1965907:1 gene:DCAR_016293 transcript:KZM93048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRASSRKAPTSSSSTAPDLYRSATGKAANKELERVDQLFYSYANGSSGVIDPEGIEGLCSDLEVDHTDVRVLMLAWKMQAERQGYFTLEEWRKGLKALHADTLVKLKKALVELEREVRRQSSFLEFYTFAFQYCLTEENQKSIDIESICELLNLVLGSEFRAQVDFFVQYLKTQTDYKVLNKDQWIGFYRFCNEISFPDMSNYDEELAWPFILDNFVEWVKAKRS >KZM93493 pep chromosome:ASM162521v1:5:7223610:7227876:-1 gene:DCAR_016738 transcript:KZM93493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDEMLKPDWICSVKCYDGWGVVAAVLLVSACCVVNVDAFVASGWTKGHATFYGGSDASGTMGGACGYGNLYSAGYGTNTAALSTALFNDGASCGQCYTLMCDYQSDPRWCIKGTSVTITATNFCPPNFDQPNNAGGWCNPPLQHFDMAQPAWEKIGIYRGGIVPVMFRRVPCVKTGGVRFTVNGRNYFELVLISNVGGAGSVQSVQIKGSRTGWAAMSRNWGANWQSNGYLNGQSLSFKVTTTDGVTRVFNDVVSSGWQFGQTFSSHITF >KZM93064 pep chromosome:ASM162521v1:5:2081076:2085906:-1 gene:DCAR_016309 transcript:KZM93064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKTSADGEDDRLRFGVSSMQGWRSTMEDDHAAYLSLDDSTSFFGVYDGHGGKAVSKFCAKYLHQQVLKQEAYSAGDLGTSLRKAFLRMDEMMCGQRGWRELAILGDKVDQFNGMIEGLIWSKSGEDTGHPDDWASEEGSHSDFSGPSCGSTACVAIIRNKQLVVANAGDSRCVISRNGQAYNLSKDHKPDLEIEKERIYKAGGYIQCGRVNGTLNLSRAIGDMELKRDKSRLAEEQILSANPDIRTVDLDDDDDFLVIACDGIWDCMSSQQLVDFVREQLESENKLSAVIEKVFDRCIAPSAGGEGCDNMTMILVQFKKPPSSSASTKDHQPLSSDQQSEVAEEISGFDQSTSTPSK >KZM93895 pep chromosome:ASM162521v1:5:11916699:11916920:1 gene:DCAR_017140 transcript:KZM93895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVPTQNISSSFSVSHSQEGQGQAAKPKKKICCACPDTKKLRDECVVEHGEAACSKWIDAHLKCLRAEGFNV >KZM96294 pep chromosome:ASM162521v1:5:39914919:39917028:1 gene:DCAR_019536 transcript:KZM96294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNALWSVRELDWVAELILNEESFQTNIRDYSKLIDNHAKENRLEDAERILKKMNEAGIIPDILTLSIMVHMYSKAGNLDRTKEAFDSLRSQGFIPDVNVYYAYIRACVNAGKPKLAESLVTEMETRDIKPTEEIYMALLRSFVQTCDIIGTQRIATTMQFAGFQPTKEFCRLLVEAYGQTGDPDQARHQFDHMLSMGHKADDKCTASMIAAYETKNLLDKALDLLLQLEKDGFEPGVATYSVLVDWFGQLQLIEEAEELLGKITELGEAPPLKLHISLCDMYLKAGVEKKALQALGVLESKKEQLSHEEFERVIRSLINGGFAQDAKRVQGLMETRGFAATDQLKVHLMAIETLNNTKRPTWSKPMSQVKR >KZM94235 pep chromosome:ASM162521v1:5:19814476:19815806:1 gene:DCAR_017478 transcript:KZM94235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRAADPSFGKNLVLLEDRPEEVVMSIASIKELKEEFNQKRVYCSVKIEKVEDMQWFYHCCPKCSEELVAVDGRFKLRQIVQKTVFEVDLDHNKGSNDSKLPNVFKDLVGKSYTITLKISMENVLKKSETYESVEIVSSSGSFAEQIESQENSIEIDESCGLSGTEVGSVPPSEVVVCSPKSSGKDKLRKDVVSETAPVLKDDDDFVLLQPIPGVKTKKSKK >KZM95398 pep chromosome:ASM162521v1:5:31773239:31779726:1 gene:DCAR_018640 transcript:KZM95398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVPIPGKRNMLITSALPYVNNVPHLGNIIGCVLSADVFARFCRLRGYNVLYICGTDEYGTATETKAMEEGLTPKEICEKYHAIHKDIYNWFDISFDEFGRTSTPQQTGICQAIFTKLFKNRWISENTMQQPFCDTCQRFLADRFVEGTCPKPDCSYDSARGDQCEKCGKLLNPAELKNPRCKVCRATPRIRDTDHLFLELPLLKDILVKYINKMSVEGSWSENALKATQAWLKEGLKPRCITRDLKWGVPVPHEKYEDKVFYVWYDAPIGYISITSCHTSEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKSISVTEYLNYESGKFSKSKGVGVFGNDAKDTKIPSEVWRYYLLTNRPEASDTLFTWKDLQAKLNSELLNNLGNFVNRVLSFIAKPKGRGYGSIVPDAPGAETHCPTKALAERVGKYVEQYMDDMEKIKLKQGLKTGMSISSEGNAYLQNTEFWKLYKEDESSCAIVIRTSVGLVYLIACLLEPFMPSFTMEVFKQLNLPPKKVFSLSDKDGDLGQVSRPWEFLPAGHVIGTPAPLFKELRDRDRERAQARAGNKGKQVKDDGLTPEQRRERDAKALQEKLAKKAAQGGGNNNGGGDLGKINKKK >KZM93830 pep chromosome:ASM162521v1:5:11239092:11253724:-1 gene:DCAR_017075 transcript:KZM93830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKRKRAVEVPPKIRSFINNVIATPLESIGEPLRSFVWDFDKGDFHQWVDLFNHFDSYFDKYIKSRKDLQVEDNFLGSDPPFPKDAVLQILRVIRIILENCTNKHFYSSYEQHLSSLLASTDADVVEACLQTLAAFLKKAVGKYVIRDSGLHSKLFAFAQGWGGKEEGLGLVACAVQDGSDQVSSDLGSTLHFEFYADDTSSALPASEQPIQGLQIIHLQNVNSYQVSDIELLYKLVLEYKVPPALRFFLLTRLRFARAFSSLASRQQYTCVRLYAFMVLVQSCSDTEDLVSFFNTEPEFINELVTLLSYEDAVPEKIRILSLLCLVALCQDRSRQPSVLIAVTSGGHRGILSSLMQKAIDSVSSSSKWSVIFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVSTAVHVLEAFMDYSNPAAALFRDLGGLDDTISRLKVEVSYVENLINQQGTSVASPACRTEIAGASSSELDSGQPLYSEALVASHRRSLMKALLRAISLGTYAPGSTSRIYGSEECLLPLCLCIIFRKAKEFGGGVFSLAATVMSDLIHKDPTCFSVLEAAGLPSAFLDAIMEGVLCSAEAITCIPQCLDALCLSNNGLQAVRDRGALRCFVKIFTSKMYLRVLTGDTPGSLSSGLDELMRHASSLRVPGVEMLIEILNAIAKLGSSSEGSHSSNDSQGCSTPVLMVTDTEEQNLIQKGGDESSQVESSEKLLASDALLTNIEWFLPEYITNATRLLETILQNSDTCRIFIEKRGTEAVLQFFRLPLMPLSASVGQSISAAFKNFSPQHSASLVRAVCLFLREHLKLTHELLSTSGGIHFVQMEVVNLMKLLRCLAGLEGIVSVCSSLLKSTTVVISELATSDADVLKELGRVYREIHWQISLCSDIKVDEKQNVTAESENVDASVSTTAGRESDDDVTIPVVRYMNPVSVRNNSHPQWGIGRDFLSVVRSSEGFSRRSRHGLARIRGGRTSRHLETLQMDSEVTANSIELPSSQDMKKKSPEVLTMEILKKLSSTLRCFFVALVKGFTLPNRRRSETGLLGAASKSIGTALAKLFLEAFGFSTYSASAELSLSVKCRYLGKVVDDMAALVFDNRRRTCYTAMINNFYVHGTFKELLTTFEATSQLLWTLPYALPQSTIENENILEAGKLAHNSWLVETLLSYCRVLEYFVNSTLLLSPASASQSQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHAMFPNCNPGFISSVVSLVTHIYSGVGDAKKQLGGSGSTNQRLVAPPPDESTIATIIEMGFSRARAEEALRHVGTNSVEMAMEWLFSHVEDPVQEDDELARALALSLGSSSEVSKSENSDKPVDDVSEEGPATVPPVDDILASAMKLLHTSDTMAFPLTDLLITLCSRNKGEDRAKVTAYLTQQLKLCPLQLVKDSTALCMVSHTLALLLNEDGNSRDIAARDGIAVIAIDFLINFKARIVPGNELVVPKCITALLLILDILSQSKPKIPSQSTEANAGGSLPDSTSVPAPISAPEHASEIKSAPEDVIEKKSAAGNLDGGIDAAIQSIFGSSTGYLSFEDSSRLLVVSCELIKLHVPPLVMQALLQLCARLTKTHALALQFLEFGGLVALFNIPISCFFPGYDTVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRNAGRVPVRAFLTSMAPVISRDPGVFMRAAASVCQLESSGGRNFVVLSKEKEKERDKSKARVESGAPPNECVKITENKIHDVSGKCAKGHKKFPANLVQVIDQLLEILMKYPAPKCEEDCTTLSAMEVDESLTKVKGKSKVDDTRKTESKTVEKSAGLAKVTFVLKLLSDILLMYVHAVGVILKRDAEICQLRGLNVLDSAGHCGILHHVLNYLVPPSGDKTSGPDEWRGKLSEKASWFLVVLCGRSSEGRRRVISEIVKTLKSLSNLENNSSRNNLWPDKKVLSFVDLVYSILSKNSSSSNLPGTGCSPDIAKSMIDGGIVQCLSSILQVMDLDHPDASEVVNLILKALEILTRAAHAGEQLFKTETSAKKKFAGAGQNLDNQVNATLVTETVESDQNHSLHQGNSEGDANQQLEGSTQHENDLHSTVPNQSLDQDMRIEMDETVTSNTTADMGIDFMRDEMEDGDMMNNADQIEMTFHVENRGDNDMGEEDDDMGVDGEDDEDDDEGEDDEEDLGEDGTALMSLADTDVEDHDEAALGDDYNDDMVDEDEDDFHENRVIEVRWREALDGLDHLQVLGQPGVDSGLIDVAAEPFEGVNVDDLFGLRRPMGFDRRRQTSRNSLERSTTEGNGLQHPFLLRASQSSDLASTWSSGGNSSRDLESLTAGSFDVAHLYMFDAPVLPYDNVPTGVFGERLSGAAAPTLADFSVGLESLRVPGRRAPGAGRWTDDGYPQVGSQAATVAQAVEEQFICQLRGNAPPENPPEIQSNNSESPDKQSDGPLISDSQIAVQIDGSETQQGEDQNCESGHETALESNQIGDSNAAGVEAGEDDHDNTSNNPDNMDTGEGNDVVGEPRLMSVTDISQDTQQIEMTSEMPESDVPFQNLENDGSATINCHSNLDTLNLELPNPGLGDYHGSSAHEDNDVDMNGSQMEENPTGEPIPSHGDGEDQPLIPNITVAQDTSQIDESRLNNEPPNSNGIDPTFLEALPEDLRAEVLASQQAQPTQPVPAPTYEQPTAEEIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVEMDNASIIATFPPDLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQAHSLFGNNHRHTHRRNGLGFDRQAVMDRGVGVTIGRRTSSVAEGAKLKEVEGEPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSCTRANLIRYLLDMINPGSEGSSESTCQRLYGCQSNVVYGRSQLLHGLPPLVLRRVLEIMTYLATNHSAVANILFYFDPSITQNTLHPNSLEMKTDKGKEKIVEDQDLVTCTERSIERDVPLISLLKLLSQPLFLRSVAHLEQVMGLLQVVVFAAASKLDFESHSQPGGTSNSEDKSKAVTDAQDILPVLERESHSSGLLQNPVQSEGELALDNIKSSDGLSTSAGPKSSNTRDIFLQLPQSDLHSLCSLLGHEGLSDKVYLLAGEVLKKLALVVAPHRKFFILELSELAHNLSSSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQTLSSLTLPNIEGENSVENAGEQEELATMWKLNISLDPLWQELSNCISATESQLAQSSVNVIMSNTNVGEQIQGTSSLSPLPPGTQRLLPFIEAFLVLCEKLQAKSSITQQDHANATAREVKEFSGSSSSFSTKCGIESLRRLDGAITFTRFAEKHRRLLNAFVRQNPSLLEKSLSMILKAPRLIDFDNKRAYFRSRIRQQHEQHISGPLRVGVRRAYVLEDSYNQLRMRPTQDLKGRLNVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTGGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALYDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTEYELKPGGGNIRVTEETKHEYVDLVAEHILTNAIRPQINSFLEGFNELIPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTVASHVVQWFWEVVKTFNKEDTARFLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFDNQLVIVINTTEGGGCVRARVSEAESVICLGKDMIRTRVSETELVICLGKDMYT >KZM95324 pep chromosome:ASM162521v1:5:31167907:31168925:1 gene:DCAR_018566 transcript:KZM95324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVYAGIEPVVGFCMFLVYNSKLLKQSLLETLTRFYPFAGKVRDDFYIDCNDEGVHYIVTRVNVSLLDFLGQSPGDEMIRRLIPATYAVFLKDWTAAVRRSSSEIVHPSFTGPSLFPQIPSLLDKCPIDFSKINFPSQRFVFSGPKLAALKAQTEVLISECVPSRFEVVAALLWKCVAKAASKSYESSLGKPFNLGMIINLRGKNCVPKNSVGNLVWRGLAQCKLSPQLEPKTLVHQIKKCKTEINDDFVEAMKE >KZM95454 pep chromosome:ASM162521v1:5:32264124:32267399:-1 gene:DCAR_018696 transcript:KZM95454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMQLLLVGLPLFLFCSDIVNLFAPPPPKAPQHNHHHNHHKIQSSSPVIQETLQFPTQKVSGGIGGIGIGNTVTIDFCSSCSYKGNAVTMKNMLESSFPGIDVVLANYPPPLPKRLLSKMVPVVQFGMIGIVMGGEQIFPRLGFVAPPPWFYSLRANRFGTISSTWLLGNFLQSFLQNTGAFEVSINGEQVFSKLREHRFPGEIELKDLIGKKIGASRVVDSFGGAAWS >KZM93835 pep chromosome:ASM162521v1:5:11298024:11300060:-1 gene:DCAR_017080 transcript:KZM93835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLSLFFISLPFFPLVSSQQPQIANENTSFTFPSFTSLRNLTLLGDSYLRDGVIGLTKQVGVPSSSSGSIVFNNPIQFSHRDSNLTASFSTCFSFSVNNLNPASFGDGLAFFISPDNQTLGSPGGYLGLVNATQFTKNKFLAVEFDTRQDLHFDDPNENHVGLDIDSLTSVKTADVLTVGIDLKSGNLITAWIDYKHGEKKVLEVFLSYSSSKPVIPVLVVEIDLSDYLREFRYVGFSASTEGSTEIHLLESWSFSTFGLKAVRSRLPPHNVSDNSVVISPPMIPASGKDGDHHKRIGWGLGIAGPAFFCVFLGLFGYISVKKWKGMRMDKSLKAELVTGPRQFSYKELKLATKGFHASKIVGHGAFGTVYKAFFMSLGTMSAVKRSKHSHEEGQSPEHIFEINAV >KZM93149 pep chromosome:ASM162521v1:5:2849222:2850151:-1 gene:DCAR_016394 transcript:KZM93149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNDKADHHDIHHEYPLMKFMPRLGLEDGVMWLSGSINGLICLGSEKTICICNPITRECIFIPDQKFIGKSHATLHHGFGYVESSDQYKVVRFYKGSFSASEGSYELGCEVYTLGTRMWKNLGHVPFYIGGYGDGICVGGNLHWLASHQKETADDERLCTFDLERESFQLTAGPVVPQVVGYTTYRNLGILGGCLCVCDNTPDLEFAIWVMKDYGVTESWSKEIVIHTDFLYWGTLDEEVYPLKVLKDGTILMYCEEHELFTYHPGTTTTQDHTFPDGDYKTYNAMVYVPSFVSLRSTFMLENVLVL >KZM96019 pep chromosome:ASM162521v1:5:37464560:37466924:1 gene:DCAR_019261 transcript:KZM96019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHMQKKAWHCLYALSLIFLFSNARASDYAEALTKSLLYFEAQRSGRLPYNQRVPWRDHSGLTDGLEQGADLVGGYYDAGDHVKFGLPMAFTVTMLSWGVIEYGKEMASAGELEHALEAIKWGTDYFMKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKIDETNPGSDLAGETAAAMAAASIVFKKTNPHYSHILLHHAQQVFEFGDKYRGKYDRSVGVVKSYYASVSGYMDELLWGAIWLYKATDREEYINYVINKAHSFGGIGWAITEFSWDVKFAGIQILASKLLSEEKHKNYGGILEEYRSKAEFYICSCLNKNNGINNIDRTNGGLLFTRQWNNMQYVSSASFLLTVYSDFLANLNQHLSCPRGNASPGELLALAKSQVDYILGLNPKNISYLVGYGLNYPTKVHHRGASIVSYREKKGFIGCTQGYDEWYSHNGSNPNVLVGALVGGPDRDDEFLDDRGNYVQTEACTYNTAPLVGVLAKLNQLYGLQLIGSY >KZM96150 pep chromosome:ASM162521v1:5:38697569:38698648:-1 gene:DCAR_019392 transcript:KZM96150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGMSTMDTDPPKKMWDKIAAYKTLARDAYKSKPTSYWILLLLGSAGMLVAFPASSLLSRLYFSNGGTSKWISSWVAVAGWPIPVAFLIPMYLFSGSSPTPLTLKLTISYVGLGFLSAADNLMYAYAYAYLPASTASLVASTSLVFSALFGYFIVKNKINASIINALVVITAAMVIIALDSDSDIYGNISKKQYILGYVWDVLASALHGLIFALSERVFVSLLGRVSFHVVLEQQVMVSFFGFLFTTIGLLLNDDFHGMSSEAKTFKGGKTAYYMVIIWSIITFQLGVLGATAVLFLSSTVLAGVLNAVRVPLTSIAAVILLKDPMSGFKILSLIITFWGFASYIYGNRPVNKNNTIA >KZM95583 pep chromosome:ASM162521v1:5:33588048:33595466:-1 gene:DCAR_018825 transcript:KZM95583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKYLTVKFSDILSGVSADARLVVAFTAVVGIVIAAAVFYNSSYELNSSEDDRCKLRIKKRGEYDKLRRRCQQIIEFNNNLKVNEVGVANKSGKDENNNNNVTGSADTEEDSGRESPRNEVNPSAEYSDDPTSALLKKDLSSRTATDVNTSTTDLNSDSSVEESRPFTSTENNKEKGSEVTSNENISTTEAQIKLTKKEDFITWQQIIRVDAIRANREWISYSPALAAVSDERARARYFSFLSSKLLLHCKNVARYLSDKLSFTRLFCQVH >KZM92899 pep chromosome:ASM162521v1:5:641255:643897:1 gene:DCAR_016144 transcript:KZM92899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIYAANRSSLGKSPSFKKWEPKKLTVETTPSFFNFPAIQECSLSSVKSLPEPRVFFSPLAASELDAAAVRVQKVYKGYRTRRNLADCAVVVEELWWKALDLAASKQRSISFVSTDKPESAVSRWARARTMVAKIDPRHRYGHNLKFYYDVWFTSQSRQPFFYWLDVGDGKEVNIEKCPRADLQRQCVRYLGPRERIEFEVIIEQGKLVYKCSGKPLNTEEGSKWIFVLSTSSTLYVGEKKKGHFQHSSFLSGGATTAAGRLVAQNGVLEAIWPYSGHYCPTEESFMEFISFLEEHHVDLSNVKRYAIDDEDPTLIKPTKGMPKSEIARVSSLENVVSVNKESSDTKSQDYENQRPPLSSRWTTGAGPRIGCVREYSPELQNQALDKVKLSPRFLLKAGPHTSNGPIPSPRPSPRIHLSPRVANIGLPSPRIAAT >KZM95240 pep chromosome:ASM162521v1:5:30491438:30496046:1 gene:DCAR_018482 transcript:KZM95240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVSRTMATASMAFKSREDHRKQMELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKAWYDRGAKIFQAEKYRKGACENCGAMTHNAKACMDRPRKLGAKWTSKNIAPDEKIESFELDYDGKRDRWNGYDAASYAHVIERYEARDEARKKYLKDQQLKKLEEKNNNQDVSGGVSDDEENEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDMDPNEKFYAGDNQNRVSGQALEFKQLNIHAWEAFEKGNDVHMQAAPSQAELLYKNFKVNKEKLKSEVKDTIMEKYGNAATADPLPKELLLGQSEKEVEYDRAGRIVKGQEMALPKSKYEEDVYINNHTTVWGSWWNNHQWGYKCCKQSIRNSYCTGAAGIEAAEAAADLMKANIARKEATEEVDVPAEEKRFATWGTDIPDDLVLDQAKLSESLKKEDARRREEKDERKRKYNVKWNDEVTEEDMEAYRMKKIHSDDPMNNFM >KZM95659 pep chromosome:ASM162521v1:5:34214234:34215572:-1 gene:DCAR_018901 transcript:KZM95659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDHQIGMESTISSPPSGAGSPSTHRWNPTKEQINMLENMYKQGIRTPSAEQIQDITTKLKVYGHIEGKNVFYWFQNHKARQRQKQKQDTRMAESFSRFLQHSSPSLFPPPPCPNVVCSPYYMGPGPVGFFPQCPPKLYLPPAIGKKRSQGIASDENYMGKPNFWDHGESGDQRWRMPLMRSPSNQETLELFPMHPTGILQEKMTPNQSPENSNVTTPATTPSSSDTAPCFNEQEAAAADQRFFDFFRGNEEGSS >KZM94169 pep chromosome:ASM162521v1:5:17625068:17626560:1 gene:DCAR_017414 transcript:KZM94169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIKAAKTVMELAEVALTAVECCHKHHLLNETTPSSELDALRSENHRLKKLLQENLKLLHNIAQDSASLLPDCPPDLHDRLMASVDSTKFLNQLQSLQADGASCNFPFKEASGTDLETAEILVNVSAGEPSWWVWVTDEMVPSNVEERSAIDHENYVVVSEESVVDGVANFMARCVVSNPNAQKLTPEELQKTLTNALRGMSKFEKMLDIWHAGAMFYTLSTWGIALIGLYKARSVVRVAAMGVHKSSKYVLKAL >KZM94722 pep chromosome:ASM162521v1:5:25291415:25291780:1 gene:DCAR_017964 transcript:KZM94722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEYALGAYGTSYSKSMTKSSGNQVNVYQQTYRAKNEDKQTGSYERFTAKDKTVCGEPFVDRSGNHGYKSENTTSATYKVGDKSGYTEYYREDRVKHVDFDKSSNSNNKSVGYYSKYNKY >KZM93790 pep chromosome:ASM162521v1:5:10756846:10759364:-1 gene:DCAR_017035 transcript:KZM93790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATKHPPIISDLKSLFTLPKKSTVVVFAYGFMFAFILFTVFLAFNNPYPESSSPWFSNIFNSISSTSTTNTSVSTNGSQFSSVFSYFSPSSTPTNLDGSQNSSPELHNFQNKTQNQDVGKDKVGNFSPNKTMTESPKPQNQDLGGDKGGIFSPNKTQNQDLGGKDGDFKANQTESSAPKIVSGGQNFSGSGDKRIAETGTVTDLNSSLPKKQENGAISGVSVKKESEELVEKLMNCDLFHGEWIRDDSYPLYKPGSCSLVDEQFNCFLNGRPDKGFQQLKWKPRDCTLPRLDGSHMLELLRGKRLVFVGDSLNRNMWESLICILKNSVKDQTKVYEASGRHHFRSEASYSFIFKDYNCTVEFFVSPFLVQEWEVQNNGSNKETLRLDKIGTSADKYKSADVLIFNTGHWWTHPKTSQGRDYYQEGTHIYHELNVIEAFRKAVTTWARWVDANVNPSKTMVFFRGYSASHFKGGQWNSGGQCDHETEPIKNETFLAAYPPKMRVLEKVLKNMKTKVSFLNITRLTDYRKDAHPSLYRKQKYSVEEKQTPLKFQDCSHWCLPGVPDAWNELLYAEILVKEYEKQQKEHKR >KZM95136 pep chromosome:ASM162521v1:5:29027166:29033998:1 gene:DCAR_018378 transcript:KZM95136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYALSRMEIDSEYYNSDTSDSSVDENSSPNKPAHNLDHEVAQLTKLGSAPHEKLSHIFPGKREVSVNTVKMLAGRECNFSGRGRFSAADRRHVLTKYLPANSPSVIDEMTTRAYVSQFSADGSLCVVAFQGSHIRIYDVDKKWKVHKNIIAQSLRWTVTDTSFSPDQQHLVYATMSPVVHVVNIASGTTDSQANITEIHEGLEFSREDDGGYSFGIFSVKFSIDGRELVAGSSDDAIYVYDLVANKLSLRIDAHASDVNTVSFADESGNLIYSGSDDNLCKVWDRRCLKPRGKAAGVLMGHLEGVTYLDSRGDGRYLISNGKDQTIKLWDIRKMSSNSNCRPIFRKYEWDYRWMDYPPQARYLKHPSDQSVATYKGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSCIYIYDVASGNQVSKLRHHKSTVRDCSWHPEYPMLVSSAWDGDVVKWEFPGDEETSVSPDEPRNRRI >KZM93724 pep chromosome:ASM162521v1:5:9833708:9834934:-1 gene:DCAR_016969 transcript:KZM93724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKRRTKKSMKEHKTEEFGKEINQTSLIDTVSESLVANIIAGLPIASICAWRCVGKLFLKLISENWFTKLYAEISPYITVVIHNNFGVHLVEFAKGYCQLYKSVIQIKKAKIAGSCNGLLFILIPCESVEYQSPFTCFSRSHLYLCNPILGQFARLPEHNQKNYSWDGKRTEVYGLGFNTRTDNYMILRISTPVNPPHPIYKKRSEADVLIIGTNTWKRVGYLPYPSNQGSLGDTVKGAFHWLFYNEMKNFTSLYAFNIEDERNYQIPFPPNIGNGKVNMSVGILNNCLCLFDNSHPTHFDIWSMKEYGVGESWGIKCILTASIPAGICKSTLQPVAALKDDGIIIKSGSGNFYNYDQKNMKFTRFEIDNVELKAESNYLAVHWSNFCAVDLMSIGCVLVTEVSEC >KZM93095 pep chromosome:ASM162521v1:5:2334106:2337054:-1 gene:DCAR_016340 transcript:KZM93095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKREKNWARCNDAIVIVPDKKIKKLQDEQKAKASDALVSAQVLPAECLNASTSAAPSKDVVKVAVGKEDRGVDDGYAGFIYSAQVPPAGCLKASTSAAPSKDGVKVVVGKEDRGVDDGYAGFIFMCNDKTKPECYRYGVFGLPAGNKEVVEKIKPGAKLFLFDFQLKLLYGIYEASTAGKLNWEPAAFGGNFPAQVRFRIHKDCLPLPESSFKNAIEHNYRGSKFLPELSSQQVRNLSSLYRPFYPAPSEPVPCVAPQPWSTMNSQSKSSVRIPTDDDWDVSPQPWLSMKNHLRPSVGLPTHDDPYDLARFALQPWLTHPIEHRELSSQHRLYRASATIDPVDVGHQYMQAPRERHYSPGSRGHYLADDYANMPGSFLRYERPPTITEDEHLRYPLRREIFGGYYNPYTMYTASSHSISVEQSHSSPSRRAASFDRNTITEDEHLQYPLRRENFGGYYNSYTMYTASSHSVGMEQSHSSPSRRAASFDRNMPVSSYYTFEGAARINR >KZM94607 pep chromosome:ASM162521v1:5:24119929:24124475:-1 gene:DCAR_017850 transcript:KZM94607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVARLSDPILRPLSGHLTSTHPPHQIKLKRSALPVNRTRKMNWTVKMSMVEQSPTKSTVDVKQFVEFLYEDLPHLFDDQGIDRTAYDERVKFRDPITKHDTISGYLFNIAMLKKIFTPDFYLHWVKPTGPYEITTRWTMVMRFILLPWKPELIFTGTSVMGINPETNKFCSHEDFWDSIKNNNYFSLEGLWDVMKQLRIYKTPDLETPKFQILKRTSTYEVRKYSPFIVVETQGEKLAGSTGFNTVAGYIFGKNSTTEKIPMTTPVFTQAFDADMSKVSIQIVLPSEKDMNSLPDPNQEDISLRKVEGGIAAVLKFSGKPTEDIVSEKEKLLRSSLLKDGLNPKTGCLLARYNDPGRTWNFIMFCCRTLTNTRNKIIVLRVLSPRVLSGT >KZM94948 pep chromosome:ASM162521v1:5:27296545:27296790:-1 gene:DCAR_018190 transcript:KZM94948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQRSAFRVLFFIIIVTSQVVSCRVLRAANQTRSFLPSSELQHLSSSSSFEDLTNKNIDITHTYTASKKVVPGGPNPLHN >KZM95815 pep chromosome:ASM162521v1:5:35827292:35831745:1 gene:DCAR_019057 transcript:KZM95815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDSILDSIFDDEKFEDVEDVEMLDVEDGELVEQNVSNVGQSSGGDTTLTSQESENKNRRRKNKKKQKRKRGSNSGPNVTDINRFVLDVCRRLKERKSFLVWTAVGCLGVSAFSDLVKEVDAIQACGGQKTADGKRYRTGGGILWGILKLRDPNAYKEIMKKGNEFEKQLKQSGTRVPLQKKTAPFEGKLPVSTDQTIGSLDASELVSDVQDQPEQPCTERKRPPVHDRIRVPVAYDDLVGLDDSKDGSP >KZM93335 pep chromosome:ASM162521v1:5:4886520:4887163:-1 gene:DCAR_016580 transcript:KZM93335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKTYESESLKSESPWDDECSLLVVDNQSSLINNNVFPPINHEGLHIHSQIFPQSLHQFNATASPSRKPQISNSPRNLWFKFVHQGMSFVHSMLQNYSVSSIVSYLFSPATVMVVVFWYWRVLRRRRALRKESRDKLIRIVAEKDEEISKLMQQIAQMNKLLCRNSSPSPRAT >KZM96213 pep chromosome:ASM162521v1:5:39222352:39228348:1 gene:DCAR_019455 transcript:KZM96213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTHSREDLELSDSESESETESQSSPDSQAFSDRKDPETPASVDDIDAKLKALKLKYQSGGANLQNALKLYLHVGGNTPNSKWVVSDKIASYVFDKSSRIDEEGEEEEDDEELEGKDSWWVLRVGSKIRVKVSAEMQLKTLGDQLRVDLVSKGVWAMKFFRKEDYELFLSKYEECLFENTYGVEFNDGNKVKVYGKDFLGWANPEKADDSVWEDAEESFEKSPKTVTPVRRGHDLNEEFEDVADGGSIQSLALGALDNSFLVGDVGIQVVRNYNHGISGKGVCVNYENGRGSVARSTPRKAILMKAETNMLLMSPVAEGKPHTRGLHQFDIETGRVVTEWKFEKDGTDITMRDVTNDSKGAQIDQGSTFLGLDDNRLCRWDMRDRHGMVQDLTEANTPVLNWAQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSGNSMRQAKTAFPGLGLPITHVDVTYDGKWILGTTDTYLILICTMFTDKDGRSKTGFAGRMGNRISAPRLLKLTPLDSHMAGLNNKFRGAQFSWVTEDGRQERHLVATVGKFSVIWNFQQVKDGSHECYRNQVGLKSCYCYKIVLKHDSIVDSRFMHEKYAVSDSPEAPLVVATPLKYRGLIKMDTATSRGFQVLACSGTSSFTRKKIHKTSFCATYLPARRGHAPRHLITCIRAQERPTWLPGLDSPPYLDGTLPGDYGFDPLGLGEDPESLRWYVQAELVHARFAMAGVAGILLTDLLRVTGVSDLPVWYEAGTTKFSFASTTTLFIVQLFLMGFVETKRLMDFNSPGSQAKEGSFFGLEASLEGRLAMVAMLGFIVQASVTHVGPVNNLMEHLSNPWHRTIIQTLAESGP >KZM94609 pep chromosome:ASM162521v1:5:24152570:24152746:-1 gene:DCAR_017852 transcript:KZM94609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDLVKIYLLCLVYYEFTRMDDGDIINDYALETSIEGDGDDGDDDDDDGDYDYAPAA >KZM95726 pep chromosome:ASM162521v1:5:34886978:34888309:-1 gene:DCAR_018968 transcript:KZM95726 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MEGEDVKLKIVMIPFLAYGHISPFLELAKQLAKRSFNIYLCSTPINLASISNRVDESDHIQLVELHLPSSPELPPCYHSTKGLPRNLHAFLLEAFDKAAPAFRKILRDIKPDLVIYDFMPYWPAEVALCLNIPAVYFLTSSAAISCLVAHFYKRTGERFPFLELVDGSVCPSEVSEDADKMFQNFMLCFERSCDVVLIKSFRELEGKYIDLLSEVVEKNVMPVGSLVCEPTDSEVQNLKNIMDWLDRKEKSSVVFVCFGSELCFSSEELIEMANALEKTKCNFIWAVRFPQEKNGSALLPEGFVERVGDSGLILEGWAPQTKILGHPSTGAFLSHCGWSSFNESMKFGVPIIGMPIQMDEPVVANLAVAIGAGVQVRRDSEGKFTGDEIADAIRKALAEGSGEVLRRKARELSLFMKENGEEDINNAVEKLVQICRKKIETHQ >KZM94476 pep chromosome:ASM162521v1:5:22869504:22873848:1 gene:DCAR_017719 transcript:KZM94476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDVLSARPVELDSTSQPPPLFDGTTRLYISYVCPFAQRVWIARNLKGLQAKIELVPINLQDRPTWYKEKVYAENKVPSLEHDNKVIGESLDLLNYLDNHFEGPALLPDDPAKREFAKELISYSDKWMSTVFNSFRGDPVKEAGGAFDYMETALHKFDDGPFFLGQLSQVDIAYAPHFERMHTFIEDVWKHNVLSGRPKLTAWFEELNKMDAYTVTKADRKEFIAIFKKRFLAPQ >KZM94913 pep chromosome:ASM162521v1:5:26959275:26959949:-1 gene:DCAR_018155 transcript:KZM94913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTNDAVFSNYSDSYKLHNLYSPDAASDSSSSTEGSSDSPVMLASSNPKKRDGRKKFRETRHPVYRGVRRRNSKKWVCEVREPNKKTRIWLGTFATPEMAARAHDVAAIALKGLSACLNFADSAWRLRIPTSTNAKDIQKAAAEAAEAFRTPCDDTSQVVAEESGQAILPENVYRIDEEVAEFGMPGQLIAEMAQGMMLPPPHQYYCEGDDGEFGAGMSLWNY >KZM95068 pep chromosome:ASM162521v1:5:28348372:28355585:-1 gene:DCAR_018310 transcript:KZM95068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSVGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVRLTRDNNITTGKIYQSVLDKERRGDYLGKTVQVVPHITDAIKNWIESVSVIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLYFSLGEDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAEPLLEATKQKLSQFCHVPVGNILNIHDVPNIWHIPLLLQNQNAHNAILAQLDLHSVATLPNLQEWTNRAQKVDGLKDSVRIAMVGKYVGLTDSYLSVVKALLHACTACSLKPAVDWIAASDLEDESAKLTPELHAAAWKTLKSAACVLVPGGFGDRGVKGMILAANYARENKVPYLGICLGMQVSVIEFAQSVLGLEKANSSEFDAQTPDPVVIFMPEPILIFYFTFVARYHNHKYVDERHRHRYEVNPEMVDMLEEAGLKFVGKDESGQRMEIVELPNHPFYVGVQFHPEFKSRPGRPSAPFLGLVLAATGQLGDYLSQQQNGSL >KZM92856 pep chromosome:ASM162521v1:5:322191:323018:1 gene:DCAR_016101 transcript:KZM92856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQDKQDASTYVAQGGNEEAARAASILLRIYQEARAAGYHFPGDDTTEEKQGSASTNDSGEQQGSNIPEDHPDLAQLLTKTDLDLYNRLSTMHVSSEKAKIASGMLEKLEVYGKVVENLKRRVVKDYRDLGIIVDGDLMRPPYARVCSVCLFKKVDDQDSREGFRLAARFVEDKFYGFMTQREKKLAETSRIGIHLNAYCTNNKLYEGIKLAKVSVDVFVLSSDAWAEFWEDNDIKAKSHGISIWFSRVERKKMSLYLAPARVTRRRGSKGIQL >KZM92958 pep chromosome:ASM162521v1:5:1197261:1197977:-1 gene:DCAR_016203 transcript:KZM92958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISSLDTSLSDDIIYSASLDRTVKAWRISDLKCLETIQAHSAPINAVVVADNGVLYTASDDATVKVWRRNFGNTNSCPHALTVTLPAKSSPVKTLALNAEAGVLYGGCTDGYIHYWLKGWFSGQLQYGGILQGHLHAILCLTSFGNFVVSGSADSTVRIWIRQQDGEHNCAAVLKGHRGPIRSLAAFPCRVVDDGDQDGCIICTGSMDGMLKLWRISCSSKPAPGLNLVGSEYIEL >KZM95201 pep chromosome:ASM162521v1:5:30105362:30113072:1 gene:DCAR_018443 transcript:KZM95201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHLTAPVRSRSTQSPSPSHSASASATSSIRKRKRASENHAPPFPSSFSDTRDGALTSNDESISARGNDSDSSDESEEVVDEDEEEYDDSMRTFTVNRLENSVGPSARTTKLKTENSVKVEPNLAGNSVTGDPVVGQSAARLGGKEDTAKSIFTENIQTGGAYSAREENLKREEEAGRLKFSCVRNDGIDEHMVWLIGLKNIFSRQLPNMPKEYIVRLVMDRTHKSVMLVRSNTVVGGITYRPYLSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFKKQGFTKEIYLEQERWRGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKEAGVPKRVIKVEDIPGLKEAGWSPDQYGHSRFKLLSASADNTSNQKQLTALMRALLKAIYDHPDAWPFKEPVDSRDVPDYYDIIKDPMDLKTMSKRVESEQYYMTLEMFVADAKRMFANARTYNSPETIYYKCSSRLEAHFTSKVQLGLQSGLKIQH >KZM95581 pep chromosome:ASM162521v1:5:33580259:33582412:-1 gene:DCAR_018823 transcript:KZM95581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFDIIFRIDEICKKYDKYDIDKQRELNAHGDDAFARLYATFEDQIDSTIQKAEMASMETNRAKSVAMNAEIRRTKARLLDEVPKLAKLSQKKVKGLSKDDLAGRSDLVLAMPERIQAIPDGTGAAAASKSGGRVTSSNKNNINFETVLISSMIATFSQMETLLKDILLRDEGLDVISEGLDTLKNLANDMNEELDKQVPLIDDIETKVDQNSAALKSTNVRLKEQLDQLRSSRNFCMDIILLCVILGIASYLYE >KZM94699 pep chromosome:ASM162521v1:5:25098387:25098599:-1 gene:DCAR_017941 transcript:KZM94699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIHIFWFLGYIGLRSFAISSISNPISTIRIQQLLHKFFPDAVGEIVKIGCADELRRITRSYYKKLGRRM >KZM94987 pep chromosome:ASM162521v1:5:27672955:27677648:-1 gene:DCAR_018229 transcript:KZM94987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAASLSLNSLSLQPPRSSLSTTTTTLQPTKNNSLKPIIVTGNPPTYVSAPGRRIVAVGDLHGDIDKARSAFELAGVLSSDGQDLWTGEETVLVQLGDILDRGEDEIAILSLLRSLDTQAKAQGGAVFQVNGNHETMNVEGDFRYVDYGAYDECGDFLEYLNDCEHDWEEAFVSWASISKSWKKDRKMSQSQWGPWNLVKHKHQPKGQRQKGVIARSVLFRPGGPLASELSRHPVVLKVNDWLFCHGGLLPHHAAYGIERLNDEVSTWMRGLSESDDDQQLPFIATRGYDSVVWNRLYSQDLGELEDYEIDQIQSILEETLHAVGAKGMVVGHTPQITGVNCEYNCSIWRIDVGMSSGVLNSRPEVLEIIDNKVRVMKTRCESRSELKVAEYT >KZM95749 pep chromosome:ASM162521v1:5:35160855:35164656:1 gene:DCAR_018991 transcript:KZM95749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRGLIYSFVAKGTVVLAEHTPYSGNFSTIAVQCLQKLPSGSSKYTYSCDGHTFNFLIDTGFVFLVVADESTGRSVPFVFLERVKDDFKKQYGASINSDSSHPLEDDDDEDDYLFQDRFSIAYNLDREFGPRLKDHMEYCMNHPDEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQMKLIIGGAIFVSIMVVWLFICGGFKC >KZM94967 pep chromosome:ASM162521v1:5:27470019:27472384:1 gene:DCAR_018209 transcript:KZM94967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKILDDHLTSAAAFVEGGIQDACDDACSICLEVFSESEPSTVTICKHEFHLQCILEWCQRSSQCPMCWQPITLKDPSSQELLDVIERERSIRATPSRNTAIFHHPAFGDFELQNLPVGGNDAELEDRIIQHLAAAAAMGRARHFARREGQRTRSSVQGRPHFLVFSTNSNAASATASSSPTERGERETDSSITVSGSPLPLGMSEDSVQSTPPSSVQSAQASGSRANRENHHGTSPYRSSSQSSPNSQDRVGPSEFQSFSESVKSRFNTISSRYKESITKSTKGWKEKLFSRNSSATYSGSEVRKEINVDVGNVSRMMEHLETNINNHSPSISVSTTLEGRPVPERSNLHTSETDGNTSLKESDLQASCATTSASD >KZM93491 pep chromosome:ASM162521v1:5:7213446:7218543:-1 gene:DCAR_016736 transcript:KZM93491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDITTSTPSSPIASHPKKPPPEIQPMSATGEAAAVSAAVNESSDCGGDNAGGVSKKSVWKSVNGVVEPAPVMGGSAWPTITESTRGSPKSSSSSAKNLSDASSSVAQEQVTTIHAPQKQATANGHLNSNHNRNKQPYRQRSMKKGGSTGAGSGQSGAIRPPPPPPPPPFPIPFGNLLPPGIEMPLREPAPYLSNNREARPVGGVGSHSPRNPSRRGNFGSRPAGDGGYNNSYGARHDQDRDRNASRNPSGRDVHMHHMVPPPPPPPPPRGLARPVLPGPIPYIPPRHVRPFGNPMPFDMVPPYLYVPPMPPDSFRTVPLVLPPPPHIYFPLIDPNLRTLLLNQIDYYFSEGNLVKDDFLRSNMDDQGWVSISLIASFPRVSKLTNDVQLILDTLGASTVVEVQGDKIRRRENWQKWIPSVSQSLPDLGSQSQPQSESTDIMLATSIQEVHLDKETTNKVNSTDTKIEQSETESSIVPSTDSMITQSEPAKGENPAEQSD >KZM96489 pep chromosome:ASM162521v1:5:41355913:41358646:-1 gene:DCAR_019731 transcript:KZM96489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKISLLLGLSIAFALLMNSDVTARELVETATKMAVNYLDTGRRLLDNSIFPRPSVSYPGGGGNPYYNRNPYYGGCYYSCYGRCCSEAEAKALKATTTQFRSVAARDLIETETAIKNTGRRLLAPLIDPTPSVSYPGGGGPYYRRAPYYGRAPYYGRSPYYGGGGRGGGCYNICYGRCCSAAEAKALKATTTQVKPHN >KZM95641 pep chromosome:ASM162521v1:5:34070688:34073232:-1 gene:DCAR_018883 transcript:KZM95641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTFPPPPPFYRLYKDYIQDPQSAPEPPPPIQGTYVLFGANYTTDDALPSLEEQGVRQLYPKGPNVVGHADVQHCTLILDFKKELKSLNRELQLHILELADILVERPSQYARKVEDISLIFKNMHHLLNSLRPHQARATLIHMLELQIQRRKQAVEDIKRRREEAQRILKEALAKLDGQ >KZM93364 pep chromosome:ASM162521v1:5:5271211:5272264:1 gene:DCAR_016609 transcript:KZM93364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREEGLVVRGNVEIDMRQPFRSVKEAVSLFGERVLAGEIYGNKLKEMQIKATTHQGGDHQSKVREVAGELQDSKESLEKAKEEDKLMTYLLKSLKQELEQTKQELEQMKCSREFHKQLNEDPEDEELKFIETIACSTPQVEFSKTEQEGLSLQRNHNNRSVKCPGTPLLTKLTAADQGGLVTSSPGPNCPKKKPKMKPIGALISAMFSKKKAHQQVQVQPPKVFK >KZM95692 pep chromosome:ASM162521v1:5:34609256:34610885:1 gene:DCAR_018934 transcript:KZM95692 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MENQILPKRPYVVIAPFPFQGHISPMLQLATALHSRGFSIIIAHTIFNSPTPSNHPDFTFLPIPDNLPDHPDTSIEKRLDLVKTINTNCQEPLRESLAQFITQGDGNDRVACIIYDTIMYCSEAVAHHFKIPCINFRTVPASVALLYDSLPMLAAQGHIPYPNSKLEDLVPGFPALRFKDISTSDLGSFKDVLEDRIFTNASSKYSANIWNTVDHLEQTELTLFQKRYPLPFFSVGPLHMIAPSSSTSLREADSTCISWLDKQAPHSVIYVSVGSLAVLEKKVIHEMAWGLANSKQPFLWVIRTDLASESECNLLPDEFIQSVGERGCIVKWAPQSEVLAHSAVGGFWSHCGWNSTLESLSQGVPMICQPCNGDQYINARYLSHVWKLGLHFENALEKEPIKQAILRLMVDQEGKELRQNAIRMKENIRVSVSKGGSSYESMNALAELILSY >KZM94275 pep chromosome:ASM162521v1:5:20340916:20341401:-1 gene:DCAR_017518 transcript:KZM94275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGNSSGSNQKLQNSGSEGDLMDQRKRKRMQSNRESARRSRQRKQNHLDELMAQVAQLRKENSQITTTTNMTTQQYAKVEAENSVLRAQMDELSQRLQSLNEILDYINSTAAASTSAGFDGAFEMDHVFGADQSSYMNHNSWNMMYPNQPIMADMFMY >KZM96015 pep chromosome:ASM162521v1:5:37436321:37437710:-1 gene:DCAR_019257 transcript:KZM96015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPVISLEKLNGGEKALVMDQIKDACENWGFFELVNHGISTELLDTVERLTKEHYKKCMEQRFKEMVESKALEGVEAEVTDMDWESTFYLRHLPQSNISEVPDLTDDHRKVMKEFAGKLETLAEELLDLFCENLGLEKGYLTKAFHGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWVDVPPMRHSIVINLGDQLEVITNGKYKSVLHRVIAQTDGHRMSIASFYNPGNDAVIYPAETLVEKEADEKEVYPKFVFDDYMKLYAGLKFQAKEPRFEAMKTVGATANLPPAAATVM >KZM95627 pep chromosome:ASM162521v1:5:33962567:33965071:-1 gene:DCAR_018869 transcript:KZM95627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSCMFKFFIWGSCILFYLACFSWGFDPADQYYINCGSSTSVTIGSQVFLGDKLASKYLSSPDNILGTTSSNSIPQSDESGLYKNARIFTKESKYTFPISRKGRVWIRLYLSPFVYDSYNPNSAAFSVSIPNHMLLSNFKASEAVRKEFLVNVTSGSLVITLSPSENSFAFLNALEVVSAPDILISDDAQSVNPAVKFNGLLTQALETVARVNMGGPTVTSKNDTLGRTWVPDTKFLLEQNLAESVSKINSVRYPPGGATDDIAPKTVYGTATKMKSEDNPNSNFNVTWVFPVDPGFRYVVRFHFCDIVSDAPSKIYFNVFLDSSNVLPDLDLTTRNLNFLATAIYMDFVTSSISSSKLRVSIGPSPVNDAYPDAILNGLEIMKMNNSLGNLGGMATLPSSSGSGSKSKVGMVNLAEWAMKWQKRGQLDQIIDPSLEGKIKPDSLRKFAETAEKCLADYGVDRPSMGDVLWNLEYALQLQEAVLHNDPEENSTNIISDLSPQVNNFSHIDSTTSARQHEMSVTDDDLSDVSMSKVFSQLIKSEGR >KZM96128 pep chromosome:ASM162521v1:5:38509110:38511744:-1 gene:DCAR_019370 transcript:KZM96128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSFLLMFLVSLILIAATVESRQATAYFPGSVAHSSQEEAAKTLKHDETPYKTQYFPQILDHFNFQPESSKVFYQKYLINSKFWHKGAPIFVYTGNEGNIEWFANNTGFMLDIAPQFHALLVFIEHRYYGESKPFGNDSYKSAKTLGYLTSQQALADFAGLIRSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDVITPWSSFYDAVSQDYKDVSSSCYDVIKGSWDELFAMSTTANSLPQLSKTFKTCKNLESVYTARNWLMSAFIYTAMVNYPMEANFMKPLPAYPVQKMCRIIDDLPAGTSKLIKTFAAASLYYNYSSTEKCFNIGKGSTDTHGLHGWDWQACTEMVMPMTCSNESMFPPFSFDYKRFEEDCLSTFGVKPRPHWITTEFGGSRIEQVLKRFGSNIIFSNGMQDPWSRGRSVDIRLLS >KZM93408 pep chromosome:ASM162521v1:5:5758522:5765597:1 gene:DCAR_016653 transcript:KZM93408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSEEESRIIREMESLNESKRKIDDEIRVLQARLLQIRQNNHSSKTYGNNLPAHHIYRYSRHLLLPSFGVEGQANLLNSSVLVVGAGGLGSPALLYLAACGLGRLGIVDHDVVELNNLHRQIIHSEAYIGRPKVESAAAACRSINSTTQIVEYKEALRATNALEIMSKYDVILDATDNAPSRYMISDCCVVLGKPLVSGAAVGLEGQNNSNLLEQLTVYNYNGGPCYRCLFPTPPPTTACQRCSDSGVLGVVPGIIGCLQALEAVKISAKVGDPLSGRMLLLDAMSARIRIVKIRGRSPQCEACGDTSPLTRQQFQKFDYESFTQSPLSTTPLKLKLLPGDARISSTEFNKKIKDAEPYVLVDVRPSHHYKIVSLPRSLNIPLSSLEDRLPEISSALKKEQECRGLESGRGTALYVVCRRGNDSQRAVEYLHKMGFSTAKDIIGGLESWTFDIDPNFPTY >KZM94116 pep chromosome:ASM162521v1:5:16013350:16014567:1 gene:DCAR_017361 transcript:KZM94116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRVSSQQAPVHKLGDSQMTLSPKFRLAALRSSLFDSSVEAELELRGEPLIPGLPDDIAHNCLLRLPVESHTSCKSVSRRWYQLLGISEHERIKLKVYYTNTDCWEAVAGAPLPEQICKPFSVNCCESRIYVVGRNLHVAVGHISRTKQAITPENQWNFSVQWQVVDAPAAFSNLVPTSAQVLFA >KZM95781 pep chromosome:ASM162521v1:5:35490678:35497641:-1 gene:DCAR_019023 transcript:KZM95781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVPVMLGSLINCFDWKVEGEIAPGGLDMEDKFGITLAKLYPLHAVPTLTCHFGSHSSAMIFITIFSSETTVPPGFMDTILISVAMCILLLFTLRFFGRRTSKAPQKLPPGPYPLPIIGNIHKLGKHPHKSLNALAQVYGPIMRLKLGRVTTIVISSSSAAQEVLQKQDLAFSNRPVPDALRGCDHDKYSVVFLSVGSQWRSLRKITSSSILTANKLDASKHIRSRKVHELIGYCKKCSQSREADLFVAGTDTTSGTVEWAMAEILKNPDTILVKAKAELNEVVGKGEIVKEADISRLPYLQCIVKETGRLHPPAPFLIPRQVQEEVPLCGYTIPKNSQVLVNAWTIGRDPLIWKNPLSFQPERFLNSEVDVNGHNYELIPFGAGRRKCPGLPLAVRMVPVLLGSLINCFDWKLEGGIAPKELDMEDKFGITVAKLHPLLLINTWSIGRDPLIWKNSLSFQPERFLNSEVDVNGHNYELIPFGAGRRKCPGLPLAMRMVPVILGSLINCFDWELEGGIAEEEVDMEEKFGITLAKLHPLRAVPTLVVEADLAFSNRPVPDALRGCDHDKYSVTFLSVGSQWRSLRKIMSSSILTANKLDASKHIRSRKVHELIGYCKECSQSGEAVDIGRAVFLTLLNLLSNTIFSKDMIDLYQNSGEGKNFRELVWNLMVEGGKPNLVDYFPILKWIDPQGIKRRFTGSCEKLLELFDGLIIERLELKRSENSSENSTTDILDELLTILQTNEIDKTQIQHLFVDLFAAGTDTTSGTVEWAMAEILKNPDAILLKAKAELNEVVGKGKIVKEEDISRLPYLQCIVKETARLHPPAPFLIPRQVQEEVHLCGYIIPKNSQVLVNAWTIGRDPLIWKNPLSFQPERFLNSEVDVNGHHYELIPFGAGRRKCPGLPLAVRMVPVLLGSLINCFDWKLEGGIAPKELDMEDKFGITVAKLHPLRAVPTLVLPN >KZM96263 pep chromosome:ASM162521v1:5:39607978:39617771:-1 gene:DCAR_019505 transcript:KZM96263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALKYYNTTVVKIVTTMVILLILAWSESSYVISHVHGLQRQSPEKETKSIISHSCIHDQIIEQRKRPGFKVYSVTPQVYEKPAVSKPIHKRGRELLEASPFSGQQDEAKQPIRIYLNYDAVGHSPDRDCRNVGEVVKLGEPLATSHTETPSCNPHGDPPISGDCWYNCTLADLAGEDKKYRLRKALGQTAEWFSKALAVERVRGNLLLSGYSACGQDGGVQLPREYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTEYAMDEKLGRMVTRVVLPRVVMHSRYHFGTFSANFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSRMTLALLEDSGWYQANYNMADRLDWGRNQGTDFVTSPCNLWKGAYHCNTTQSSGCTYNREAEGYCPIVYYNGELPHWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDVNSARPPDRMLGEVRGSSSRCMASSLVRAGFVRGSSAQGNGCYQHRCRNNSLEIAVDGLWKVCPEAGGPIQFSGFNGDLVCPAYHELCSTDPVPVSGQCPNSCHFNGDCVHGRCRCFLGFRGHDCGKRSCPGNCNGHGKCLVDGICECDNGHTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCRNSSTISSSLLICKDVLGKXHCAPGELSVLQQLEEVVVKPNYHRLFPGGPRKIFNYFRSGDCDGAARRLACWISIQKCDGDGDNRLRVCHSVCQSYNKACGATLDCSDQTLFSNENEGGGLCTGYGQIDDGFSVF >KZM93466 pep chromosome:ASM162521v1:5:6799596:6799983:-1 gene:DCAR_016711 transcript:KZM93466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDEGPALAPTWVLGIAVIFVLLQLFAIGLVYSQVAYEIMEKKSADVKQGLFSKRNLIPRLILRSLYMVIYGFFCSNVTIL >KZM94562 pep chromosome:ASM162521v1:5:23631591:23632463:-1 gene:DCAR_017805 transcript:KZM94562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLNKITLQFLLKNGGTPKKNEIIFTAPTGEEISTRKQLEQYLKSHPGGPAMSEFDWGAGDTPRRSARISQKVKATPSPENDPPTKRSRKSSSKKNVGKVEEAIPKENLVKEVAKEIAGDEQEDKKVETQVAEDVGKKLEDESKDEIHVKDGETAQASKEEPKQVDDLKVNKDAEESKLAEPEKENSKEPIVDQAPNETNIPENDDGYKQEAQVPEEVAQIPVKAENEVKCGDQVKVDITTEEKIVESEDKNENFGLGSAKHNDVINMKFEGEVENNGSSYVSAGGIKP >KZM95014 pep chromosome:ASM162521v1:5:27998049:28000690:1 gene:DCAR_018256 transcript:KZM95014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGVAPTLGSREPSGNSVAVDRLPEKINDMKISDDKEMEATIVNGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGQGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRVLDHPNVVALKHCFFSTTEKDELYLNLVLEFVPETVHRVIRHYNKMTQRMPMIYVKLYSYQILRALAYIHGSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTSAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRTPPEAVDLVSRLLQYSPNLRSTALDSLMHPFFDELRDPNTRLPNGRFLPPLFNFKSHELKKVAPEMLGKLIPEHARKQSALFT >KZM96241 pep chromosome:ASM162521v1:5:39473262:39473891:-1 gene:DCAR_019483 transcript:KZM96241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSAEKTAASKRFSFQTGAKPQDTEALPCPRCDSINTKFCYYNNYNLAQPRHFCKACRRYWTRGGTLRNVPVGGGTRKSSSVKRSRNGSTPVVSAPQEVVQEMAVMNPCELNLNQAVCVDDPMGGLNCEFGLGGGGLGFDVAPWEWSIGGEVGGEIDQSVCASTTSGGELVGPGGGCNTWQVEGGLGEGEYYCWSDLAMSTPGNGVK >KZM94053 pep chromosome:ASM162521v1:5:14439846:14440668:-1 gene:DCAR_017298 transcript:KZM94053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCICFSTTHVVIIIRTTSTKPANESTDSPSNQSCVYRVSQHKSASLDTGMGSAAYTVEDAFASNKQSRPMFGSNENLDPNIQSGACIGVLFLPIQLDVISVEFFLGLLIACAVGFGCHVWIAGGIF >KZM93567 pep chromosome:ASM162521v1:5:7910638:7910919:-1 gene:DCAR_016812 transcript:KZM93567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKILPRTEDNGEVFQEMVDDNRNILFTHPNLITVERSFIHSSQRILKIPRRIGPNVEMNVIHNADFVIAMEDMCGSIHDLLRTKFVWDWIAG >KZM93302 pep chromosome:ASM162521v1:5:4606411:4608675:-1 gene:DCAR_016547 transcript:KZM93302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLGFVPNQFTYGGVISACCSLQNNVNGKQIYGLVMKNGLFTNGYVRAGSIDLFAKSGCFEDALRVFYDVSCENVVCWNAIISGAVKNKENLVALDIFNEMCGFSLPNSFTFSSILTACTALEELDLGRGVQGWVIKCGAGEDIFVSTAIIDMYSKGGHMDEATKEFWYMPIRNVVSWTAMISGFVQNGNSISALQLFNEMRKLRVETNKYTITSVIAACANLAMITGAQQIHCLIFRIGLYKDSVISASLINMYSNIGAVGLAELVFKEAEKKHVVAWAGMISACAKHGSSESAIALFQRMLQECLRPDMFSTCSVLSIVECANLGRQIHCLSLKNGLLNDVSVGSSLSTMYSKCDLLDESYKVFEQIAQKDNVSWASMIAGYAEHGCAYKAIQLFRDMLSVKAKPDGKTLIAVLTALSALHSLKNGKEVHAYALRRDNSKHAVAGGALVNMYSKCGALHLAWNTFNMIPVKDQVACSSLVSGLAQNGSIEDSLELFHYMLMTDLGIDSFTMSSLVGAAVRLDILYVGLQLHTHIIKLGLESEVSVGSSLVMMYSKFGNINDCREAFDHIQKPDVISWTVIITSYALHGRGGEALRLYDLMKESGIKPDSVTYVGVLSACSHSGLVDEGYFHLKSMTKDYGIEPGNRHYACMVDLLGRSGKLEEAKRFIDEMPIKPNALVWATLLSSCKLHGDVELGKLAAEKAIELQPSDAGAFISISNICADIGQWDEVVKIRSEMKQTGLKKELGWSYS >KZM93288 pep chromosome:ASM162521v1:5:4477474:4479051:-1 gene:DCAR_016533 transcript:KZM93288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGLLYSAAAGSSLNANALLHNQLPFSFLDSRSMVSFKDISGRMHSERSFFRSFGQDGNEDEDFDEYFHQPEKKRRLKADQIEFLEKSFEADNKLEPERKVKLAKDIGLQPRQVAIWFQNRRARWKNKSLERDYEVLQDSYNSLKAQYENLVKEKDKLKDQVLDLTDKLKEKEKECLDVVNTQTLSESPQQERVGIEVSKGEVSIESISTYKQEDNLSVKSDLVNSDSSNYTDGVRSSLLEPGDSSNFFDMDQSDRSQDEEDNLRRLLLPPLAYMFPMIGDISSPDLPASTCSSGLPIEEEPYDFWAY >KZM96142 pep chromosome:ASM162521v1:5:38626568:38627695:1 gene:DCAR_019384 transcript:KZM96142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLLNFTAFILGLPSGLNSRRDDQSSNERARHNRNISGSSSVGVIKLPNENWEAYTYDNNNWSSIGIFETEKKAAIAFESRTIEKYIEFWNGYLQRISNGEQEPLTLELASTRRTLSSSSGNISQGNSNRECIRTKLFDKVLTSSDVSKINRLVIPKTFSGFFPCISNENGSSSRRLPVNNVELNFYDESKKLWQFRYCYWKKSRTYSFSKGWSKFVEEKDLRPKDKIIFLKCEYIEAGSIVGTRFIIDVEYDPSRVQVEEVGDDLGDPVVLSPSQEVQNLQNEENGGEVRRGSADGRSAKRTKADKDSKGAGPGEAAGRGAKRTKADKNSEGAGPGEAAETGAKRTKVDKNSEGAGPGEAAETGFKLFGVRIA >KZM92847 pep chromosome:ASM162521v1:5:253218:263409:1 gene:DCAR_016092 transcript:KZM92847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIPGAPRHNCALLAVLSQITDDIKPNVNEHPAFPFPSLVSSGRLEVQTLNGPTTDDFCKSVDSFYPNFIYLQGQQLPNKQIGSLLFGGVDISTPEDISQLFNVSKLPTTVYLEVPNGENLAEALHSKGIPYVIYWKNTFSHYAACHFRQALFSVVQSSSSHTWDAFHLAHASFSLYCVRNNLVLPDNSQESNDNLGPHLIGEPPKIDVAPVEMVEGDDEDGSSGVLPAIKIYDDEVNIRFLICGMTCSLDESLLGSLADGLNALLSIEMRGSKLHNRVSALPPPLQAGTFSRGVVTMRCDLSTSSAAHISLLVSGSAQTCFDDQLLENHIKSELIEKNQLVHALPVTEENSQSLSEPRTSAAVACGRSVFEVGMKVPTWALQVLRQLAPETSYRCLVALGIAGVQGLAVSSFEEDDAERILFFCRKQGRDDFAKRMNITSPPIWFRPPAPSRKRSLMSIEGSRDGFYSGSQAAQEQEDQKDKETGLSNGITTPLVPVRNKLKVAAMRPIPHIRHQKMLPFAGLSETNGHEGGQVKANMPAMPSTKHSMVGANSTSHRKSLSSSYQAKQIISLNPLPLKKHGCERSPIHVCSEEEFLKDVMQFLILRGHTRLIPQGGIAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMSNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPQCSISNFKKKLQKTTNGYS >KZM94288 pep chromosome:ASM162521v1:5:20600116:20606370:1 gene:DCAR_017531 transcript:KZM94288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRTVCVTGASGYIGSWLVKRLLERGYHVRATVRDPGMEEKRTVCVTGASGYIGSWLVKRLLERGYHVRATVRDPGESSAGDEKKVKTLLELPKASTHLSLWKADLAEENSYDEAIQGCEGVFHVATPMELVHQGQDGEEEIESTTLNGILSIMRSCSKPKTVKRFVYTSTTGTITVQPQPPLHEYTEDLWTDVDLCYELKMYGWMYLVAKTTAEKAAWKYAEENGINMVTVHPSIVLGHFITPHTSFSTDVATAFYTRESCAGNEKKVKTLLELPKASTHLSLWKADLAEENSYDEAIQGCKGVFHVATPMELVHQGQDGEEEMESTTLNGILSIMRSCSKANTVKRFVYTSSTGTITVQAQPPLHEYTEDLWTDVDLCYELKMYGWMYLVAKTTAEKAAWKYAEENGINMVTIHPSIVLGHFITPHTSFSTDVATAFYTKNEANMALLKKLNGSNAVHLDDVCNAHIFLFEHPLAKGRYICSSHTVNLFEIAHSLSLKYPDRNILTEYVLEFS >KZM96031 pep chromosome:ASM162521v1:5:37546629:37548842:1 gene:DCAR_019273 transcript:KZM96031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAQDPFYIVKEEIQDSIDKLLSSFHKWEQMALDAVDHGHLTKELLAGCESIQWQVVELEKTISVAARDPSLYGLTVVELEKRRRWTSTARTQLSNVKNAVVAGKEMNGTGNISASATRRELMRLPDSNQTDRSNQYIARNNDDFISSESDRQLLLIKQQDEELDELSASVERIGGVGLTIHEELLSQEKILDELGNEMDSTSNRLDFVQVRG >KZM95171 pep chromosome:ASM162521v1:5:29534941:29538515:1 gene:DCAR_018413 transcript:KZM95171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDSVFRVLLELFPQVDSRILRAVAFENSKDADVAVSVVLAEVIPSFSGIGSAGSPSNLLIPNGGDFRASNTADGKHNNVGNVGISEEIVIDKQIPSAKPVESSNAEFGNLGLNSNGSGLGTGTSDGHSRVHVNAFAKNVSLPEGHQVRSIEAGPEDALLNNADGSMDSSYLDEEEKASLGELVRKNHRSITQVVEVDLSPGEIISPHIPESSSQLVCEPGSPATKVGELEADVPSFIVLGEDVPFGYLVDCEIVDVMNRVSEILSEDLENEEPNGKKGRFTSEVDGFEDESSMKATVISRSDQMCTIELLGDVIENEKTEKIALRSAKDSVFSLISEVEIKEKLVEKAREEAAQGGLDTLTRAEDLKKMLQRAKETNDMHAGEVYGEKAILATETRELQSRLKSLSEERVKGLAILDEIRQALEVRLVSAEEEIKEAESEKLNKEESALRILAHEESNMEKVVQESKILEQQAEENSKLREFLVDRGRLVDMLQGEISVICQDVTALKSKFDQGIPLSKSLSSSQTSSISTSSSSSVVGASFEKVPEVVVSPDNKKESLSDSNDDQLFHRDEVPSAGKKIVEGDEWNLVFRKFRI >KZM93692 pep chromosome:ASM162521v1:5:9295227:9295805:1 gene:DCAR_016937 transcript:KZM93692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVHEETRMYTYVSLFRFCCCTPILIYFDNLRVYLTLYLLKITDHNLLLSIPWETNELNEDIYVDSYKYTSPDGEEYWIPKCDKKSKPYVNQMFPDVEAVFEFYTEYGRLCGLVVRKSSAKYKGGVMTHKYVECSSAGRFEGKTIKRRRTNTRKCECEAKIILKNCPTGYYIGTFLHDNYIFIHQDFEVLH >KZM93840 pep chromosome:ASM162521v1:5:11351363:11358126:-1 gene:DCAR_017085 transcript:KZM93840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGEEVSEVKEVVENGVASIQEQGDSVVDKTDDAKDGVKDMEEDPNGEEKFETEMDVDNEETREPEKEDVKEDSESKEKKDDEEPKSAAESKDKVESAPGLSVGDESADKDAEKSISKRKRGKGNPKADEEKSKNKKTVEKKKEEPKTPVAPASDRPVRERKSVERLVATIEKDSGKEFHIEKGRGTALKDIPNVAYKLSRKKSEETYKLLHTILFGRRGKVASQVKSNISQFSGFVWHDNEDKQKNKVKEKLDKCVKEKLLEFCDVLDLPIAKATAKKEDIVTKLFEFLEAPQATTSELLADKELSSKGDKRKRSSKKSTSTSESAPSKSSAKSRKRSASASRGEEKDDAPETDNDREQEDDAHGGQENVDPSSDGSEDEKLELAKSENKEMDTGAESEEETRKRKRVSSKKSSVKKNTPENAKPKKATTVKNSSPTPKKTPSRPSSSHLKVYDSDKTPKGSAGKKKTEAVKEKSPTAKKSASKDITGKKVVKGKEKKPKAEKLKPSDAELKIAICEILKEVDFNTATFTDILKLLGERFSTDLVPRKTTIKHMIQDELTKLAEEEEDEEDEEIEAVKDDKQAGKGVEV >KZM95702 pep chromosome:ASM162521v1:5:34681320:34682960:1 gene:DCAR_018944 transcript:KZM95702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPQPKTRTRTHSHSRSRRLSACSRHPSQPITGFCPLCLHERLSGLESPAKASTSVSGPSTSQSELRRTKSVSIRNFDASSSEPRRHSCDVRGKNTLSHLFDVDDECKSSCREIRVESKSLERNVIDSVDVESNVGGVRVCSDEIDEDVMTMKEHIDLELRSGGKSKKDFNSVAGSFRVVASVFSKKLMKWRVKSSSRSRKKVGGGEREVGGGDEGGVIGRETVSEVGEYGLGRRSCDTEPTRFSVDGNRISVDDVRFSFDEPRASWDGYLIARTIPRLTPMFSGVENMILGRPEEQMGSIKEDEKDEMTSGLSGQSNSDSSSSRRQSSFDRTSSIRSGSMKATGLQGDEAKVAVNSNVFGGSKLVITEKELKDWHMNSIKGDQPRRSFDSVPKDGSVDALIGFKKLTKWKMAGNIWGFKSKLGDKKTETFVEDRSHERVAEPDKEENREIGIENGGFSGMLARSSSCVAARNPCKPIALDDGMGAKELVLDRNRSTKYSSSNFDNGLLRFYLTPFRSYRKTRSGKGRLKNSSPAIAGEVLRLN >KZM93926 pep chromosome:ASM162521v1:5:12291410:12293221:-1 gene:DCAR_017171 transcript:KZM93926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFALVFLLYSSLFFLGSNASVPKSLQSRFRSQLSESTHEIPPTTFFEVTKPIDLPPTKPCSQLILKHDFGYTYGKPPVLADYKPPFSKCPFQHFGKIVLEWKATCKGRQFDRIFGVWLGGVELLRSCTAEPRSNGIVWSVKKDVTRYYSLLMTNQTLAVYLGNLVDNTYTGVYHVELSFHFYPAKKELSNGGDFGHGYGSNADLIMPISRNLPLNDGLWFEVVNSTDVQSKTFNLPKNAYKAVLEVYVSPHENDEFWYTNLPNEYIAENNLTNVPGNGPFREVLVSLDGKVIGAVWPFTVVYTGGINPLLWRPISAIGSFDLPSYDIEVTPSLGTLLDGKTHEFGFSVTNALNVWYIDANLHIWLDAKTEKTEGKVLRTSTLPCHVSSVSNFTGFNGTFLTTVSRLIKSTGWVKSSYGKITTQAIQQFNYSNFMEMGDNGDSQIVHQSIDFNDNVIAKSGPYLDSLTSLKRFPLYLYSNNVDQGDGAYTSVANLSLGFNEKRIKKSELGSSVSSLENLQEGQSTMLVKGNLVVSGVGSTQQAYSFNSHNSCYFRNVSSSNYTIIYDKENSKCSRTTPSHWGLGLHIRWQNPARRAFLSSHPL >KZM94148 pep chromosome:ASM162521v1:5:17118516:17119622:1 gene:DCAR_017393 transcript:KZM94148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLAVKHGAINLGQGFPNFDGPEFVKEAAIQAIKDGNNQYARGYGVPDLNSAVAERFKNDTGLVVDPEKEVTVTSGCTEAIAATILGLINPDDEVILFAPFYDSYEATLSMAGAKIKCITLRPPDFSIPIDELKSAISKNTRAILMNTPHNPTGKMFTRDELNTIASLCIENDVLVFSDEVYDKLAFEMDHISIASLPGMYERTVTLNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSYLTFATSTPMQHAAAVALQTSDAYYAELKRDYLAKKKILEDGLKAVGFTVYPSSGTYFIIVDHTPFGLEDDISFCEYLIKEVGVVAIPTSVFYLNKEDGKNLVRFTFCKDEGTLRSAVERMKQKLSRK >KZM94650 pep chromosome:ASM162521v1:5:24606256:24606944:1 gene:DCAR_032551 transcript:KZM94650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSSSAASYIHMVQHLIEECILFNMSKEECMDALAKHANILPVVTSTVWKELEKENKQFFEAYSMNTREMRSLVKEKSAVTDYELETMKQRIHKMLSDLRSSASDGSTC >KZM96525 pep chromosome:ASM162521v1:5:41619092:41634194:-1 gene:DCAR_019767 transcript:KZM96525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKSPPSHPPTTVTVRRNPPRRARPTPSQAPIPISLLSTPSTSSKTVPSFPIDDILAIDVPKTELESENLKVFLRIRPQSIPQAPNKKGGVSKNVWPQSKQTVKSKIKKTIEVCLKENDEHSVTLCPPQSMQDQKRTKTEVYEGFSHVFSADSSQSGMLAALGPSGSGKTHTIFGTARQPGMIPLALRRIFSATEEHGAQSSRTFYLSMFEICSERGRTERMIDLFNDGVDICLQQSTIKGLHESTICDVQQAEALIAQGMLKRATAMTNSNSQSSRSQCIINIRCETCGDVNASSNSALLTIVDLAGAEREKKTGNQGHRLLESNFINNTSMVFGLCLRLTRYLRDYLEGKKRMALILTVKSGEEDYLDASFLLRQASPFMKIKFTNSEESTELIGNKRQYQPFLKADQQKKMKMSTIDSSAANEKSSDVVNSTPHEKEPQKVITMKKVNEVDDTTFLAKSPETFKSKVGESTFVNDNINAATKNRLTQTMQGFAIAAWQVLKQYKGKLKVAEKEICCLKQSLTEEKARSEKLAMELAHIKSSFLCEKIVSTDKIETKKEVCRENGLDESAESDYQSVDTHELRSFGVVSTSCVDRKGLEEQNCQVAGVSSVNLSESEVIVNNQNTDSTVINSCVVSTSCIGEEIQKHVKFDVASEDVEISKEKEDSNCKGDALLINIKNRYFCGIMLLDDSASCLPLSISDNFSSSIERPQSKNDTEKEAGASSVRISESEFTENHQITDSSGKGFSVHTSTVSKEIFGGQCRERAESSGVAENLEILESKNDSDQNDNLLLEDSANSVQPIVSISDDSSPLVNEHHLQTGRQKKMLDETTISTNVPEIAVKVLPSPKPPTADKPKRRLQPASSMLLKNLTGLDIGDEDEKPKGKLLEIEMVLGYNVQFGNGYCNLRSALWGKRNGIRISSFPVTRVGVVRKTVECKESRIGKQPIEVPANVTLTLTGQELNVKGPLGELAMTYPREVKLDKQESGLYKVNKAMETRRANQMHGLFRTLTSNMVVGVSQGFDKKLQLIGVGYRAVLEGNILVLSLGFSHPVRMTVPQGLKVKVEENTRITVSGYDKSEVGQFAASIRKWRPPEPYKGKGVKYADEIIRRKEGKAGKKK >KZM94286 pep chromosome:ASM162521v1:5:20589982:20592347:1 gene:DCAR_017529 transcript:KZM94286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKRIVCVTGASGYIGSWLVKRLLERGYHVRAAVRDPGNERKVKNLLELPNASAHLSLWKADLTEESSYDDAVQGCHGVFHVATPMELLYHDEPAENEDIESTTVNGILSIMRSCTKAKTVKRFIYTSSTGTVIMQRQPPLHEYTEELWSDLDFCNETKMFAWTYVVAKTTAEKAAWKYAEENGINMVTIHPSLVLGQSVTLQRSFSTDAATALYTQDEANIALLKKLHNSSAVHVDDVCNAHIYLFEHPLAKGRYICSTHIFDIFEIGRSLSHKYPDKNIPTEFEGLEESPKIIPCSSKKLIGLGFEFAHKNKDVGDLCAETIEWCRVKGLL >KZM93798 pep chromosome:ASM162521v1:5:10895425:10899376:-1 gene:DCAR_017043 transcript:KZM93798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTLASRKQRWTSPFKQLLLNSYIFNHFYSTTSNDITESQPSQSTPPPIQVLLTESTGRGVFATRKIGSGELIHTAQPFVCHPYFSSLHTVCYFCLKKLANGDNSGVNFCGDSCRKQAMGFCEVENQADWSAFDTHCRYEITRGLKYPLLVRRLACMVLSGVASSNSLDILQPAILSPQMIALMEEEFGLLRCALLDADLTGEKMAFLTKQWYIGVLARIRINAFRIELVGGSYEDLLLSASSSVNAEAAVGSAIYMLPSFYNHDCDPNVHILWIENVNARVKALRDIEEGEELRICYIDASMNYKARQTLLSEGFGFQCHCLRCLSYD >KZM96037 pep chromosome:ASM162521v1:5:37610617:37612193:1 gene:DCAR_019279 transcript:KZM96037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALKKCVTSSWKRVVGCLMQFSAHKNNDINNHHHRQLENYSSPASSPPPSLNGAESPSADDDASDLVSIKVCILGDPKIGKTSFLTKYVGREREEGNGMDQMDKIMYVSGARIAFHICEVQGFDNSQSNLQLACKESVAMLFMFDLTSRCTLNSVINWYKEARKWNQSAMPVLVGTKFDDFVQLPLDLQWTIANQARGYAKALNATLFFSSANYNINVNKIFKFIAAKLFNLPWSIERNLTIGEPIIDF >KZM95422 pep chromosome:ASM162521v1:5:31971937:31973822:1 gene:DCAR_018664 transcript:KZM95422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCSCQIGVERVDGYPVGNHKLGKREIHGDSDVAYGDYGARVRVKGSSKYVSMFSQQGTKGNNQDAMTVWENFVGHKDATFCGVFDGHGPVGHKVAQNVRDNLPSKLSSTLKRTIINDLSSNNSDVNDVQHDPLYNSVKQSIIQSFRGMDEDLDIHDVIDTFSSGTTAVTALRKGRHLLVANLGDSRAVLCTRDNKDEMIPVQLTVDLKPNLPGEFERITKCNGRVFAREKESSVHRVWLPDQDTPGLAMARAFGDFCLKDFGLISVPEIYYRKLTAKDEFVVLATDGVWDVLSNEDVIRIVSSASKRSIAARLLVAHAVRAWRIKYPRAKIDDCAAVCLFFKREVPQFTRSSSEKTQLSLNNSELSPNAEHGDSATEDGLETVLDVELPRRREPKPRHHLAPILEENYATQGYYASAETHQDGLNHRRPTRDHFTEQLV >KZM96070 pep chromosome:ASM162521v1:5:37939319:37945511:-1 gene:DCAR_019312 transcript:KZM96070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEQENGDPGKIRPAVEEDISEEMEIKVKKYLRGEGANLEVLQDKKLKGKLAAREKLYGKSAVAAAKAEKWLMPTEGGYLEPEGIEKTWRIKQESIAREVDISSTRNQYDIILPELGPYSLDYTSSGRYMALGGRKGHLAIIDVKSMGVTREMQVRETVRDIVFLHNELFFAAAQKKYTYIYSREGIELHCLKEHGPVLKLQFLKNHFLLASMNKFGQLRYQDVTTGEMIGCYRTGQGRCDVMRVNSFNGVVATGHSGGTVNMWKPTTGAPLVKMLCHQGPVTSLAFHPNGHLMATAGMDKKIKLWDLRKFEVLQQLPGHAKTMNFSQKGLLAASTGSFVQILGDLNGSNKYSRYMNHSMAKGYQIQEVVFRPYEDVLGIGHSMGWSSILVPGAGEPNFDSWVANPFETTKQRREKEIRSLLDKLPPESVMLDPSKIGTVRPTKKRNKPTKLEREAELEAAVAAAKSMPLKNKTKGKNKPSKKLKKKYEAVDRAKKPFLEKQMEEENPTKKKRKTESVADELPKALQRFTRKKPAT >KZM93227 pep chromosome:ASM162521v1:5:3884126:3885411:1 gene:DCAR_016472 transcript:KZM93227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEQHITHWNHRQEHLIGVIAHSGVGVVDGYYEWYTNITRRFHTRIAGSHFYTLDMFDHIASIARGEIDGSIEDIAHLCAHARQLVKNAFNYGVFQDYPVKDRREKEILKRPKPKKAGHKGGRGGVNAPKQQGIQVDDIPHGDNVEADIVDENVENLQGGETVPGGVHLEHPEILTEVNPTPFGLPNWNLLPSGDFLRMSNDAVLYHSPDRSIPHDPAPNDHSPISHPTFNLMSQSPPRPQLMQQKQVSPPAVLGMVTPAPAFTAGSSYELHTSVQRYSVQPPPSVVQQPPSVVHQPPPPSVQPPLVQEQPAAGHHNMKLRERRSRHMNCGTRGKKVPDCKRLRYKY >KZM93770 pep chromosome:ASM162521v1:5:10610759:10610932:-1 gene:DCAR_017015 transcript:KZM93770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEITLYIHHVGEFVQGLGCVDQAEERMERDGGLGSCGSKARASDSCSSGGERSRG >KZM94168 pep chromosome:ASM162521v1:5:17613667:17619326:-1 gene:DCAR_017413 transcript:KZM94168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRSGGKKYKFKTSGSAKQSKSQKRLKQNSDDEVQEQLSTLVFDDYWSLIWKRIPSERIEGCVYYHPLSFRSNLPEKSVKKLKKWVSRQKVFSSRYVFIPMCQSNHWNMLIICNIGEDMNSETNSPCMFLLDSLQIGEATRLEPRLREFVFHLYESGNRKESAEEIFNIPYNIPSIPQQEDGTKCGYYMLFYMFKFLTACPYQFDISKDYPGFMTEDWFDKDEFQKFYEDLTSEKDKECSLSDTTTDKKKDGEGSSIQKIENVNNDMLQTTPSHDLFRSNDALPPLVSYTPESSQEEQKITQCNKPSPLPAIEESKIEGDVVKKKKSIKFKIKSGEQRKSPRLIEAKKNPNDYTKDETKVAAEEDERNSTEEEAEPVVISVVDHNEDEENLDSNNEDKADEDDFIEDGKSKKTTKPKKKKRKASSPVKMKRAKKRKNVKEEEEETEEEEPTENRRKPETDMPNKILLRAYPKTFTDAIQALTEDQKKWVTEAGFGPLLSFAMRKVPHSMCVNIIWWYDLTEDEMIFSKQRVKITEQDVGPLHISNTGERQSTRNVSAEEHRQQDVNHENEEAAILKLRKNKGKLPAEEFVDIFQEGENFKTPKETLSGVEMIPQVFKEDETYSGIMSVARDIKYTYEDNEIITEEEMMTQVDKDIKELEFVYEKCKNNLKLANDLFPNNHNLKLIEPESDRDPEWPYYTNKDWKTIDILALPKYDRAYNKMIDIDDFLGDLTLGGERIDFDRFEREGDTEYNPGRLRREVKVGDSKKSPFLDRTIDFNKQKITKAEEEVWNWITADTSDATQIIFFWEDVICMSYQIKTFQFNEKISTAVIDVYATILNEDEKYRSPDSPHRFFCNTNITGVQQKKQLEKARQIYASKIIYSPINLYKNKMVNEIKSLCQPR >KZM93352 pep chromosome:ASM162521v1:5:5164293:5165132:-1 gene:DCAR_016597 transcript:KZM93352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFFYFLLRLIVILLLSSTSYSDVEVVTIDVHATKDLMNSGNHRYLDVRTEEEFKNGHVDVKNIVNIPYMFETPQGRVKNPKFMEQVLSTFKKDDNLIVGCQKGIRSVYATIDLLKSEFKQVYNMGSGYLAWIDNNLAVKIPEPEARSEEL >KZM95937 pep chromosome:ASM162521v1:5:36913446:36915529:1 gene:DCAR_019179 transcript:KZM95937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYEEETSSPLSPNGQYLTTSALCLTVLVVFEFQAPIHDLQINSMLRTLFVPTNRRFSSVVVGEKDGVKKWKRVDVDVKEHVKVPVIPTGKSPNYHEKYLVEYLSNISLEPLPEHRPLWEVHVFPYPTLHAAGTLIFKLHHALGDGYSFLGAFLSMMKRADDPSLSVSFPSFRSSNSIPKSLQAGYHNNVRRFFKCVPRMSSWIFNTVKDFGWSVLKSSVLKDDLSPIRSGDDVGLELLPMDMSAMEFSLDQIKKIKTSLKVTVNDVVTGVILLGTRLYMESKEKNSGDSNSTAMVLLNTRNMEAAGSMPWGNHFGFLPLQLPKLSNTSPDHDPSLDFNPLDFVYETHRVIKRKRNNPAAFLTGALLDFAREIRGPKGTLRDHPISGLYFLVAGVPQSLRVSVLSYMGKLRIAFAVEKDFIDMEKLKACVETAFVTVSKAAIHS >KZM93090 pep chromosome:ASM162521v1:5:2286843:2287771:-1 gene:DCAR_016335 transcript:KZM93090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTSMQPAITVSGNAGLRRQTYPLALKSSYFSPSLNLLRPRKLSYSGPAAPRFSMRLANKQSYICRDCGYIYNDRTPFEKLPDNYFCPVCAAPKRRFRVYEPPVNKNANATDARKARKADLQREEALGKALPVGIAVGAVALVALYFYVNSTF >KZM93594 pep chromosome:ASM162521v1:5:8200931:8203806:1 gene:DCAR_016839 transcript:KZM93594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPSLSPPPLVPKPSISPPTLSTLSHFPSLPSHFPATPLRRRLSPATAVREWQEYEAAVKDKDLARALRFLRDVQVVEVEDDKLTESTRFKSGLGVYGWERDWEVLDTCLNADDMRLVGAAYAFLKDKGFLPTFGKYRNIVLEGPRDVTPSVIASETGLQVSKLSPKKWGLSGSSSVVLAGFFAGVSFLLTQGIDIRPNLAVVLGLAMADSILLGGSCLAQISSYWPPYRRRILVHEAGHLLIAYLMGCPVRGVILDPIVAMQMGIQGQAGTQFWDENMQNELAQGRLSGTAFDRYCMVLFAGIAAEALVYGEADGGENDENLFRSISLLLDPPLSVSQMSNQARWSVLQSYNLLKWHKHAHRAAVKVLEDGGSLSMVIRKVEEAMSSGR >KZM95757 pep chromosome:ASM162521v1:5:35284736:35286033:-1 gene:DCAR_018999 transcript:KZM95757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLYSSSLSVDEMMKNQRAKGPATILAIGTAAPPNCYSQAEYPDFYFRVTKSDHKTELKEKFKRICSKTMIKTRYLHITEKTLEENPSMCDYSAPSFDARQEILRMEVPKLGKEAAEKAIKEWGHSKSEITHLIFCTTSGYDMPSADYQLTKLLGLNHSVKRHMIYLQGCFAGGTVLRLAKDLAENNKGARVLVVCAEITTITFRGPHLESLLPQALFGDGASSVIVGSDPDPLTERPLFQIVSSAQHILPDSEDTIRGKLGESGLMFFLKKNITTLIASDIEKLLKEAFEPIGISDWNSLFWITHPGGPAILNQIELVLGLKEEKMWASRKVLSQYGNMASACVLFVLDEMRKKSMKDGMATTGDGLDWGVAFGFGPGLTVETVVLRSIPVTSFT >KZM93720 pep chromosome:ASM162521v1:5:9714512:9718829:1 gene:DCAR_016965 transcript:KZM93720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRTVQVGHVSDLATEREIHEFFSFSGEIEHIEIRNDSRPKIAFVTFKDPQALEIALLLSGATIVDQIVSITPAENYVRQFVVQGESITDDNMRGGDASPHAENQTTSPSSGRVYVSKAQDVVSSMLAKGSALRQDAMNKARAFDEKHQLRANASARVNSFDKRVGLTQKFSVGISVVNEKVKSVDQRLQVSDKTMAALMAAERKLNNTGTAVKSSRYVTAGTSWLNGTFGKVAKAGQVAGTKTRAKWSMALSNLTAKDPPIAA >KZM93917 pep chromosome:ASM162521v1:5:12167028:12168791:1 gene:DCAR_017162 transcript:KZM93917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDEEVIPDGCNVFEGKWIWDNVSAPHYTEDSCSYLVKQVTCKRNGRTDSEYQNWRWQPSACNLPRFNALKLLEMLRNKRLMFVGDSIQRGMFDSMVCLVQSVIADGKKSLVRIPPRKIFRAEEYNASIEYYWAPFLVESNSDHATNHTVLKRFVKLDSIDNHSKQWEGVDILVFESYVWWMYKPLINATYGFPYKVGEYNVTTAYKLAMQTWANWIEKSISPITQKVFFMSMSPTHLWSWEWEAGTDGNCFNETRPIEKPYWGTGSNLDIMGTIKNVLGKLKVNVRLLNITQLSEFRKDGHTSVYGERKGKLLTREQRSDPKTYADCIHWCLPGVPDTWNEILYGHLLQDYRTS >KZM96212 pep chromosome:ASM162521v1:5:39209143:39212323:1 gene:DCAR_019454 transcript:KZM96212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDEEELDEIIFIFLDAAASQVINNPVISLTTKPSDIDLVAAQCSDAETAASNEVDASQKTEEAGQVDEVEAAMKNEGGVVSENVNDTGKSTLEAVVVENKETAAANDYEVDAPETKAIQEDQMHQNSVDAQNSPHTQTHKNS >KZM95237 pep chromosome:ASM162521v1:5:30448267:30449679:-1 gene:DCAR_018479 transcript:KZM95237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVADQCKDTFLELQRKKVHRYVIFMIDEKKNEVVVEKTGGPAESYDDFTSALPENDCRYAVYDYDYVTSENCQKSKIFFMAWSPATSRIRSKMLYATSKERFRREMDGVHYEIQATDPTEMDLEVLKDRAN >KZM95558 pep chromosome:ASM162521v1:5:33322347:33324195:1 gene:DCAR_018800 transcript:KZM95558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMMGFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTYCTLHVAQRLNFFETKSIDMKTVILFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLNKQFSQKIKLSLLLLLMGVGVASVTDLQLNLVGSILSLLAIATTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVTGPLLDQYLTKQNVFAFSYSPLVLGFIILSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTARNIIGILIAIVGMGLYSYFCTYENKKKQLVDLSSPQVKDRDTNTPLLGHQENEVKKSNKDSLV >KZM93687 pep chromosome:ASM162521v1:5:9230019:9230375:1 gene:DCAR_016932 transcript:KZM93687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGFAVLRKLLMCGKRSLVFPSEVQSSVPEGRIRVVVGKAKSDEEVVEMEAHYLNHPLMEKLLSHTGEEYGYSYQGALRIACDIHLFRYLVEQLDGRNPSAHYMDLPDLISNFSKSS >KZM94617 pep chromosome:ASM162521v1:5:24243709:24244791:1 gene:DCAR_017860 transcript:KZM94617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDMKERQRWRSEEDALLRAYVKQYGPREWHLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLSEEEQLLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKENTKSVDPIEDSKYDQILENFAEKLVKDRRPQAFMATSSGGFLHPDQPVPSPPALLPAWLSNTSAPSNARPPSPSVTLSLSPSTTPLPTSVSWLHPERGQDSTPLTFSNMPSHGAPQREHMLVSELLECCRGLEEGHNAWAAHKKEAAWRLKRVELQLESEKMCRQKEKLEEIEAKVKALREEEKVTLDRIEAEYKEQLAGLRRNAEAKEQKLAENWAAKHLHLAKFIEQMAGHQPRISEPNGR >KZM96490 pep chromosome:ASM162521v1:5:41359719:41369354:-1 gene:DCAR_019732 transcript:KZM96490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVPKETVEVIAQSMGINNLTPDAALALAPDVEYRMREIMQEAIKCMNHSRRTTLTTDDIDSALKLRNVEPIYGFASGDPLRFRRALGHKDLFYIDDKDVDFKDVIEAPLPKAPLDTSIVCHWLAIEGVQPAIPENAPVEAITAPPETNKAEQKESELPVDIKLPVKHVLSRELQLYFNKITELTLSRSDLVLFKEALVSLATDSGLHPLVPYFTCFIADEVARGLTEFNVLFALMRVVWSLLQNPHIRVEPYLHQLMPSVVTCLVAKRLGNRLADNHWELRDFTANLVAFICRKFGHVYNSLQSRLTKTLLNAFLDPKRAMTQHYGAIQGLAALGPNVVRLLILPNLEAYLKLLEPEMLFENQKNELKRYEAWRVYGALLRAAGQSIYDKLKLFPPLASPPAHVVLRPNARVITSNLTNKHTASTANTDDMDMEHQSPLKKVALEGAIVPVSNNSSPHDMEVEARLPDSSGASEASPPSSLQTPNENNKSSEGRKDRGENESLRMRALLNQTWKEDLKSGQLLAKLYEQFGERIFSFIPTPELELFL >KZM93643 pep chromosome:ASM162521v1:5:8816289:8818198:1 gene:DCAR_016888 transcript:KZM93643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSRLGNFVEKAKPYICMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAIATVVIAPFALILERNIRPKITLSIFMQIFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEILDMKKIRCQAKVLGTAVTVAGAMLMTLYKGEVVEMFWSRYVHPKTYEATTTTTADADKDWVKGSILLIIATFAWASFFILQNVTMRRYAAPYSLTCLVVFMGTLQSTVVTLVMEHKPNVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVMHKSGPVFVTAFSPLMMIIVAIMGSFILAEKIYLGGIIGAILIVMGLYSVLWGKYKESKEAEEEAIIEAVKDVKNQMKMGGHEDLEANDIEMQKNKELAMAPKLAFNASVPTPPMLAVETRKP >KZM96151 pep chromosome:ASM162521v1:5:38701611:38705468:1 gene:DCAR_019393 transcript:KZM96151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSLTVMTFNLLEDQPEDSQNSWMKRRDLCISVITSYSPMIICTQQGVKSQLDYLQQCLPGYDQFGISRKGTEDTSDEHCTIFYDKEKVELLEGGTFWLSESPSVPGSMSWGCEVPCIATWTTFQLKGVEPPGFSFQVVNTNMDELSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMKDAWSNARVRKNVSLIRTYHGFKGDKQGALEFLKLVFRAFCLCWDRQTQDLHVDWILYRGRALVPVSSEVVSDNIDGFYPSSHYPVYAEFMLPRSVRLPEVPAQNGN >KZM94400 pep chromosome:ASM162521v1:5:22012064:22015889:-1 gene:DCAR_017643 transcript:KZM94400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSTSTLSNQAQLYGLNLKTRMQLQCLPQTFGINTTNITTSTIYLRKTRIPVPTAIYVEAEAQAVLKQPVAQAHLLKCSSESLQYEAGKVGAVPDHRVTDGPVSAMEYVTSIFSAKVYDVAIETPLEKANKLSQRLGVHFWLKRETLQPVFSFKIRGAYNMMANLPKKQLERGVICSSAGNHAQGVALSANRLGCNAVIVMPVTTPEIKWRAVERLGATVVLVGDSYDEAEAYAIKTGKEEGRTFVPPFDHPDVIIGQGTVGMEIVRQVKGPLHAIFVPVGGGGLIAGVAAFVKRVLPEVKIIGVEPRDANAMALSLHHGERIVLDKVGGFADGVAVKVVGEETFRICRELLDGIVLVSRDAICASIKDMFEENRSILEPAGALALAGAEAYCKYYNLKDANVVAIASGANMNFDRLGLVTELADVGRQREAVLATIFPEELGRFKQFCGLVCCLIPVGVHTKLELESMLERMDSSQLKTITLTDNDLVKDHLRHLMGARSGIQNELLCRFVFPERPGALMKFLDVFSPCWNITLFHYRTQGEAGANVLVGIQVPSTEMAEFHIRANNLGYSYTVENSNNAFQLLMG >KZM92951 pep chromosome:ASM162521v1:5:1164733:1167122:1 gene:DCAR_016196 transcript:KZM92951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMGSAVKRTPRSGTGRQSLFYQDLASPITGNRRSGSKFSTPGQAAAVSALWKENFANSDLPPPPMFTLEDRSDFSPDSGIQDYPISPEVKSDPRSPFQNSGKDLSTPKSKSEASTSYALMGSQSQNRQSPVGSLSWWSPAKGSGSGDQEDKGKGSGSPVDGVVQPGALIMLPPPREVARPELQRNSVLVGPLDEEEWVTVYGFLPVDTNLVLREFEKCGIILKHVPGPRDANWMNILYQNRADARKALAKNGMQINGVLIIGVKPVDPMQRQTLNEKLNKQGFMPLPPPASRNSNLNSLRSQNQYTNNGINGARQSSGTIATPAKSLASKVIDVMFGF >KZM93936 pep chromosome:ASM162521v1:5:12519664:12520248:1 gene:DCAR_017181 transcript:KZM93936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGITSSIAAKFAFFPPTPPSYTVVGDGGRLLIPEVPRRDEVDVLKLRTRRGNDIVAVYIKHPKATATLLYSHGNAADLGQMFELFVELGLRLRLNIIGSLLIVESIAMLSSSCALMLYIFSCQALSSEGV >KZM95283 pep chromosome:ASM162521v1:5:30794842:30805585:-1 gene:DCAR_018525 transcript:KZM95283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLLLDTIADISSKNRKYSAKISPLETLERLPLLELSSICINLTLVLVLLFIVSARQIVVCLGRIRVDKEVSNGNPVPIRRSPDADIQKIVIGRCIGLIRESTRGKSISWTLVLSPAAHSLAWFLLSFSTLHCKFSFSEKFPLLLRVWWGSSFVICLFTLYVDGNKFLKERSEHLNSHAITNFALTPALAFLSFVAARGDTGIQVYRNCDLEEPLLVEEEEAGCLKVTPYSEAGIFSLATLSWLNPVLSLGAKRPLELKDIPLLAPKDRTKTNYKGLNSNWEKLKAENPTGQPSLAWAILKTFWKEAACNAIFAGLNTLVSYVGPYLVSYFVDYLGGIETFPHEGYILAGIFFAAKLVETVTTRQWYIGVDILGMHVRSALTAMVYRKGLRLSSAAKQGHSSGEIVNYMAVDVQRVGDYSWYLHDIWMLPMQIILALAILYKNVGIATIATLIATIISIVATIPLARFQETYQDNLMSAKDERMRKTSECLRNMRILKLQAWEDRYRLKLEEMRSVEFKWLRKALYSQAFITFIFWSSPIFVSAVTFGTAILLGDELTAGAVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRITGFLQDEELQDDAITCLPRGTTDVAIEIKDGDFCWDVFSSSLTLSGIQMKVEKGMCVAVCGMVGSGKSSFLSCILGEIPKISGEVRVCGSSAYVSQSAWIQSGNIEENILFGSPMDKARYKSVIYACSLKKDLELLSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYIMTALATKTVVYVTHQVEFLPAADLILVIKEGRVIQAGKYDELLQAGTDFDALVSAHHEAIDAMDIPNQSCEDFDDDHPLEGSILLSKKCESIGGNLESLGKEVQEVGSTSGLKPIKEKKKVKRSRKKQLVQEEERERGKISMTVYLSYMAAAYKGLLIPLIILAQTLFQLLQIASNWWMAWANPQTKGDQPKTSNMVLIVVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLQMLRNVFRAPMSFFDSTPSGRILNRVSVDQSVVDLDIPFRLGSFASTTIQLIGIVGVMTQVTWQILLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPVIHLFSESIAGAATIRGFGQEKRFIKRNLYLLDCFARPFFYSLSAIEWLCLRMELLSTFVFAFCMVILVTIPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCHIPSEAPLVIEDNQPPTLWPQEGTIELIDLKALDKSQLGEIVRHKEQKLDSPGRVAEFDVPARLLEDKYSMFFKLVSEYSTRSSGIPEF >KZM95762 pep chromosome:ASM162521v1:5:35345450:35346602:-1 gene:DCAR_019004 transcript:KZM95762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRLLLLLLTLFLSSQTDSAASVDSLDKKGEDDGMDLYNNSGNNGIARRITIAGGRASGGGRSGSRARSGGSGGSGAGSEGGGGGSSSTRTPGGAAVIPVYGAASAAGAANNNKGHHSSGNKLRCSIGVITALTATILLSPFKVYIV >KZM96275 pep chromosome:ASM162521v1:5:39699435:39701775:-1 gene:DCAR_019517 transcript:KZM96275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPWRIQQHFRKQGVDGPNYRPFYGNTAEMTRMTKEALSKSISCLNHDILHRVSPDYYQWSAKYGKTFLCWFRMRPRLVLVDPDMIKDVMLKTTDTNDRDDFNPLSKLLFAQGLPGLRGHTWAVHRKIATPAFNKEKIKVWVPGMVASVTKMLENWEAKIGERDEIEMEVHKEFHELSAEIFSRTVLGNNYENGKRIFKLQQQQEISTNLAMQNVYIPGFRFLPTRMNRLRWRLEKETRDTMRMIIEASRKTSVNSLNFLSMLMSGDINKHGPRLEIEEVIDECKTFFYAGKEATANALTWALLLLAQHQEWQNKAREEVLLVCKDNEHPTVETLPELKIVSMIIKETLRLYTPDNSICRRTFKKVKVGSLDIPAGTELINS >KZM92831 pep chromosome:ASM162521v1:5:82315:84319:1 gene:DCAR_016076 transcript:KZM92831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPNARTKEASQAKYISILNIIQGEVDPTQVALSRKSPYVQTAHRVSGLMLASYTGIRHLFSKCLSQYSLLRKRQAFLDKYRSFPLFDACESPDYIKWGMEDPDHILTREGNATGSVAPNLAI >KZM93274 pep chromosome:ASM162521v1:5:4331098:4333119:-1 gene:DCAR_016519 transcript:KZM93274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPIVGKFVEKVSEYTIDAVFRGLHYMFCYQTLVNNLNFENEKLSIEKEKMSRKDMEEKANGKTIEDYVSKWETEEKYIWEALGCTSKPDVEFRVLAMVHYLKASSLLLDSGKDNETKDLPSNDNYHSRRFLRIDAETDDVHFNEHLGFPDLHTLWLQSKYHPQQFSGGFFCIFANLSCLMLQKGYTNTYVQSSNYLILQYNVLVFLCILYRYMDFTVLYDIFHRPAISVLLLQMLELSVVSLLLRFSAKPPYKLFSNIEVDTMTNERNWLLESVFGFGFLVFLVFVTSLLADSLIGPKVILLIIHLKCLTSFMN >KZM94316 pep chromosome:ASM162521v1:5:20902783:20909155:1 gene:DCAR_017559 transcript:KZM94316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIASLVSLGSVCSCSSVQFEGSLSSVSRVSLSQSFGSFRKVKVGRRWRYVSVCKYSITTDYIADQGTSVSLDSTFKGSKEDGSDLILKPSPRPVLKPGPKVEPVSGLNSLKGGPLKPSGDSEDEKLKVEEEERNKVIESLGEVLEKAEKLETRKKVITPARKAPTSSTGTDQRNGAAVNPDALRKSKTLKSVWRKGNPVASVQKVVKEQPKIVKIDKQIPDTNTVMKVESPPAAPLKPPQPPQKVQPMLQMRPSAAPKPVIKRAVILKDVGAAAKSPDASAATKSPAVDGTNSAVKTKERKPILIDKFASKKAVVDPSIAQSVLAAPSKPGKAPAPGRYKDDYRRKTGAGGSRRRMAQDDIPDEDASELNVSIPGTVTARKGRKWSKASRKAARLQAARDAEPVKAEIMEVDEDGMLTEDLAFNLAVSEGEILGFLYSKGIKPDGVQTLDKDIVKMVCKEYDVEVIDAAPFRVEDMAKKKEIFDEEDLDKLEDRPPVLTIMGHVDHGKTTLLDHIRKTKVAASEAGGITQGIGAYKVQVPIDGNLRPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSIGLMPEDWGGDIPMVQISALKGENVDDLLETVMLVAELQELKANPHRNAKGTVIEAGLDKSTGPVATFIVQNGTLKKGDIIVCGEAFGKARALFDDGGKRVDEAGPSLPIQVIGLNNVPVAGDEFEVVSTLDIAREKAESRAESLRIERISAKAEDGKVTLSSFASAVSSRTHTGLDLHQLVIIMKVDLQGSIEAIRQALQVLPQDNVTMKFLLQAAGDVTKSDVDLAVASKAIIFGFNVKAPGSVKSYADNKGVEIRLYKVIYELIDDVRTAMEGLLETVEDQIPIGSVEVRAVFSSGSGRIAGCMVTEGKVVKDCGIRVLRKGRTVYVGVLNSLRRIKEAVKEVSAGLECGIGIDDFNDWEAGDTLEAFNTVQKNRTLEEASASMVAALEEVGIER >KZM94777 pep chromosome:ASM162521v1:5:25749027:25751495:1 gene:DCAR_018019 transcript:KZM94777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLDEYKLYWETNRYLHTEELDSLVLDETLSAYYDSSSPDAPHSSVAPKNIVSERNRRKKLNDKLYALRAVVPNITKMDKASIIKDAISYIQELQEQERRIQTEITQLESMASKRSNSVDEFNQAGADTTTSVVSKKKRTDQQQLIFSNNSGGSRSSPSPVQVIELRVSHVGGKTVVVSITCSKQRDTIVKLCEAFESLNLKVITANITSFSGTLLKTVFIEAGEEEIDLLRTKIQSAIAALNFPDSPISC >KZM93837 pep chromosome:ASM162521v1:5:11325348:11331183:1 gene:DCAR_017082 transcript:KZM93837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSSSSPPLWLFSCPSFSSTCNLSSLCILYHNPQTISLRSFNISRFRLFCSHQTLELDTQNVKKKRKPRPSFLEQIEDKWSVKTPCLTQKFPWEEDGHKKGSTQQLPEFAATESRIDDNSVVSESVSVGFVKNRVKLAQWNQRSKTHKTHMDFEAEESSGVRGKRDGEFAGTESRVDDNSVVSDSSSVSYVNRQARLSPWDQRIKPKKAQIDLNGTSVESSSVRGQTIDEFAGIKSRIDNNMLVSDSVDVGNQVKFAPWDQRSNSNEGQIDYEAGNLETSTVREKTVDEFPQGYLLQSKNLGIVLEKDDTVEETDNVDDAISSELLLEKNDRKFDKLDEKLSYEGILSGIIQQEVGRAGDSIDGCDIMGPLDDKLGEEDKLKKMMPWEPMESTKEESMKSNTMLAEKLIPEPELKRLRNVSLRMVERIKVGAAGITQALVDSIHEKWKVDEVVKLKFEGPTAVNMKRSHDILERRTGGLVIWRSGSSIVLFRGMAYKLQCVKLFTNNQPNVKVSQPPKYFEDDSPNVTPSSSGSSSEASTPNSASYTKGLSEGGNMDLSELNFLLDEIGPRYVDWAGRDPLPVDADLLPNVVSGYKRPFRLLPYGIRHSLKDREMTYIRRAARNMPPHFALGRNRELQGLAKAMVKLWEKSAIAKIAIKRGVHNTRNERMAEELKILTGGTLISRNKDYIVFYRGNDFLPPDVTQTLVKAQNLAAINQEEEDRARQKAFDLMESNTKASKEHPLVAGTLAETMAATSRWGNQPTAEEKEKMMKDLALARHASLVRFLEKKLSLANRKVKRAEKALRKVLDYLEPASLPDDLETLSDEERFLFRKIGLSMKPFLHLGLRGIFDGTIENMHLHWKYRELVKIIVDGKRFAQVKHIAIALEAESGGVLVSVDRTTKGYAIIVYRGKNYRRPSAVRPKNLLTRRQALARSIELQRREALKHHITELQAKIKKLKLELEDMTVVNRISEDTFYSRVDNASDSDEDDDDSMQEDDDEEAYLEVYDSGDEDGKSEMAFGH >KZM96024 pep chromosome:ASM162521v1:5:37491659:37496160:-1 gene:DCAR_019266 transcript:KZM96024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLPDSLFPDFENSECAFTNGGATANGVRALDLSLASVDELLQLLGEPEHREKAISLLTRIKIDDPIAKDSVHHLLQSGFFPMCLRSIEFGNDLSQSTASWIISRLLIQKQGLQYCYEPTTRMCAIMRVFKDAIEKMHDKPSATVLKNIIQCYLIVSETSRGCLLIKSFIPSILMSAPYINALRAHPASIKNLLEIFQRLAKGHETTTD >KZM93612 pep chromosome:ASM162521v1:5:8428294:8428875:-1 gene:DCAR_016857 transcript:KZM93612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVHLLKGNQGRVTTHCPLGCSTTDRGTNYPKHKAMLIRGTRPFLSKNGPLLNLSLFTTYYKAKTRLTEGEYLPITQKIKRK >KZM93948 pep chromosome:ASM162521v1:5:12684564:12689375:1 gene:DCAR_017193 transcript:KZM93948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRAGVTLFGVKSGSRISSRLKLPKCKNSVQDVVELSSNSVAGEKDKERNEYWNDAGAEFQNPIMISGTTSSNLRRKKCRGKDKFRSSSNLKNKKVTIFGKKSTPFLKRRLFHLKTKRKVIVGDSIEKGDILDEVKCGQGNYMKRKVSEEHLISCFKKRRSVSPQKKFSPKIFWKMITQLSEEQISWVNETGFGHVLGMKMKSYAHKLGYIVVESFNRSECAIVLDQGSIVLTDDVVNRVLGLPKGDDPVEIKELESNHNGYVKTEILGFCGEIDKCYKYNWCGFVINKLLSTHEFWDDNRSGRHFTGSLPLLTYLYVDCVRNTRTAHVKRTRPAYIAWTNEMVKAEEKYIIENDSYGEGQILVWGEDDDDEVSALLRDSFKEIDKEKSEYDAGEKKENYEKQIQNLDGHERVFVDRGHVEDYMYDGQISYDARAEKFHKGNENSFSEHNSEKDTMSIVESVGHVVDDIGEHIVVAAREENTQTHVYDKDKHESEHSLLDENLDELLDDKKFMNRFNTKLNELEALCKDAIAEYVSAVAMYSDHPGTLKMKEKLSDIFKTQFNMFEHTTNILLTPARNKQPRSSKDVLELTPWTTQIVEELDEMGRSVSHEYVKLSDVLDVNNTKSEEFPSFSLNLTPTQDVLQEDKKIEAKASAVDKNPENMMLSRPCRIRRLAPAIKSPYVIRVKPIKAGGINSEENVLWEWLFSSRRSLNEKLFQWKRTGCSKEQLQTLMVGQWVEGNVIDTWTHILNEREKYRAATSPLRLFCTIDTTLGALTDNMTLLDRCVILADNMEVALERANMLHNRTYQETDFDMFLFPIHKNGHHYVISFNLKYPAMEIIDNIQSSEDIETRYGSLPYTLHELFVLWLKAYKGSKWELIEKLDPVMVEMAWQTNANSVDCGIFVMRHMESFMGQPWNGWRTGLDIESVKQRGQLEDLRKMYCHDILTNALNEKRDKVIKKAQEYLTSQKKKGMFNATRRWKNGGMKSSAGRKKK >KZM93529 pep chromosome:ASM162521v1:5:7510689:7513469:-1 gene:DCAR_016774 transcript:KZM93529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDENFVGGVRPSNPQGSLMAGTGKITAGIGRTRRALSTINHNFVGAAPYPCAVSKRGGLPEPSACSKNLQIPVVHRPMTRKLAAQIASKQQQVEENMPPGLPPKANEQKDFPVIDVDEYKPKENFPEPMMLVHHADATFEEVLIDEVEMEEADEELIVDIDSGDKKNPLSVVEYIDDLYAHYKHVEDEVEMEEADEELIVDIDSGDKKNPLSVVEYIDDLYAHYKHVESSSCVPPNYMSTQSDINDKMRGILVDWLIEVHYKFELMQETLYLTINLIDRFLAVEPVIRKKLQLVGVTALLLACKYEEVSVPVIEDLIVISDRAYTRSEVLEMETLMVNRLQFNLSVPTAYVFMKRFLKAAQSDDKLELLAFYIIELCLVEYEMLKFPPSMLAAAAVFTAQCTLGKAYQWSKTSERHTNYNQHELMECSKMMVEYHNKAATGRLTGVYRKYSTSKYGYAARSEPAYFLLDSGY >KZM95722 pep chromosome:ASM162521v1:5:34843726:34845264:-1 gene:DCAR_018964 transcript:KZM95722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLITFSLVAATTAYFLWFFALSYKLTGPRVWPIVGSLPVLWMNRRRFHDWVAENLLATGSAATYQTTTIAVPYFARKQGFYTVTCNPKNIEHILRTRFDNYPKGPTWQTAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWVSRTIKTRFWKILEKASAEQRAVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPDNPFAKAFDSATEATLQRLLYPEFLWRLKKTFGIGGELKLKKCLEIVENYMTDTITARKESPSDDLLSRFMKKKDAHGRSFPSHVLKRIALNFVLAGRDTSSVAMSWFFWLVMNNPNVERKIISEISRVLSQTRGEDRKLWVEEPIIFDEADKLIYLKAALAETLRLYPSVPEDFKYVVSDDVLPDGTFVPSGSTVTYSIYSMGRMKSIWGEDCMEFKPERWLSPEEDRFEPPQDVYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLLPVPGHRVEQKMSLTLFMKNGLRVYLNPRELES >KZM94432 pep chromosome:ASM162521v1:5:22242665:22243570:1 gene:DCAR_017675 transcript:KZM94432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHQSDSSDTLTHVSDSPSPSEEALSESWFRSWSTPSDNEMLDIIDRLPLDGPSYANGFLIDAPVVEEEDFNLDPMEEEIEPREWVASGEMEALDCPSYANGFFIDAPVVEEEDFNLYPMEEEIERERRWSEACGWFASGEMEAKARRCPPPYFIHIRLLGADALDRDRRFVLRPWDGGELVNVERIAEISNLRPRETRFQKDQFRIAYVKGFVHNLQTDNLDDKPNPFLLAKFRLYDGSESILVSLGDDTRDHPMITTGEVREGSVLVLYQATCFISMDETPHHRLSIGYSNILGIFN >KZM94276 pep chromosome:ASM162521v1:5:20352122:20355149:-1 gene:DCAR_017519 transcript:KZM94276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEPPNGIRVQGKHYFMMWQALFEIDTKYVPVKPIGRGAYGIVCSSINRESNEKVAIKKIHNAFDNRVDALRTLREIKLLRHLRHENVIALKDVMMPTQRRTFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLYQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSSGKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPLFPGTECLNQLKLIINILGSQSENDIEFIDNRKARNFIKSLPYSLGTPFPDLYPHAHPLAIDLLQKMLVFDPAKRISVTDALQHPYMSSLYDPNCNPPAQVPIDLDIDEDLREDMIREMMWFEMLHYHPEAVASSMGNRLDDIILPQSHPCELQIMKENSLLGFEKYCPAYMFCVLCQGPINQHTAFCLDQDYNKILVRPRSALEKRSGHWMRNGCWSRSPWHMYARDQDPYVQ >KZM95379 pep chromosome:ASM162521v1:5:31621688:31626001:-1 gene:DCAR_018621 transcript:KZM95379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTASGCSPYILSVAAPAILQHVHSHNCLGNKTLQFLLILHLDLYWQLLFASSAWRVLLRVNFNMKWGERGSTFVCPNFGSDYIAGILILPKEFPIENSGPIPERLLLHVAPGVVWNGKYSVERNWIEGLEKMMLYYCIKPYYLIAMEYIGGPSFNLQIYNPYGVEVNYTVTDKSETLSSMDRSFFNFSDIEVDKLCGTMFSNVYNSGLHVYDLLISSSHLLKKDHTKILNRYACKQLGLLEEMKSVTLFFKNMSWVVNLKWDNGKAYMDRTWYDFARASRLKEGDICAFMLSGPPGKFRVCVYEPDLLTKCNEKGIGHASKVTNWFKIVNDVVLYAGQMEIPRVFMEMNGGVLEETVNLIMGDGRSVAVNFSRSQSFLHGLKTLVDTYSIKLNDVMVFHFLSDSTFAVSLFKATGMEYKLNSRHDAATKKTGGIREEEIIILSDSSGEGSL >KZM95839 pep chromosome:ASM162521v1:5:36072207:36080502:1 gene:DCAR_019081 transcript:KZM95839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKSNSSKKVNKVVSNEFDFSEDDEIDAFHKQKDVVPIDINDDVAESDEEHPVFGFQDDDESEDEEDEEDIEDTGLAARIKREQKKLQSKIGGVEDEMDDDPKEAEEESTVWGRGGYHGGDNVDYEIQSSDEDLPAEEEAEVLRLQKIKTKSLSKADFGLEDDSEDDSDAEPTFEDILVKGKTSKPSTKGPQDETGTTFEKVNKDLNALTTEEQMDVVYRKGNIANKGGLKFLELKQVLLLSYCQAITFYLLLKSEGQPVRDHPVIERLVEIKSLLEKMKKLDENLPTELEDILNRKFDTETETLFSIQDADKSDVLKEFKAPPVPAKIEVWPYAMQPQRATEVKESGSVGAESKHQNDKVGLQSMEMLKVRAALEEKLKQKGVFSSVAPKHQSSKRNVQPLNRKLETLDDFDDYTSDISGREKSNGHAQLSHTSTLAKIATRVKKTKLVSGDDDLPKRDDIGERRRNHELRVLAGAGIKSNDDGDDEPNTEDVNGSAGMEVDDATESDSESDLEFYKQVEAQHKAKLASKSEKYSRTPMEEPLLPEVLVEGKRHITQQIEKNRGLTRARKKLTKNPRKKYKLKHKDAVKRRKGQVREIKKPSGPYGGETSGINAGVSRSIRFKN >KZM96358 pep chromosome:ASM162521v1:5:40395681:40396625:-1 gene:DCAR_019600 transcript:KZM96358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHQFQETWHEKLQHLIQQLKKAPSPPATTEENNQLHHLVEKSLSHVMDYYLVKSAAVQNDVLNVLAAPWATAFERSLHWIAGWRPTTAFQLIHTRSTMFIESRVYNMLNGGLAFMTGDLGDLSADRFTSLSRLQCRTVQEENALSDQLSAWQACKYLDFNGVGELLLMKYEEEEFEEKMRDLAVIVQKADELRVKTLREVVQLLTPQQASEFFISASHLYFTVRDFGLNHDRQHHAS >KZM94115 pep chromosome:ASM162521v1:5:15970456:15970966:1 gene:DCAR_017360 transcript:KZM94115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVEVGCAIVTKWFEIAPRGQARNREGDSRRVLNIRFDESGCHYFMWLDDGLTGRAKDVFCELKQKKEIAEEKVKILEEKLEIAAEKLKIAHQRVINLEEKLKMLEDNDEKKRLKMFKNLLVVIAVGMLLFYWDNKMVKGRGNLYLL >KZM95517 pep chromosome:ASM162521v1:5:32894031:32894462:-1 gene:DCAR_018759 transcript:KZM95517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKGEKKPAEKKPAATAEKAPAEKKPKAGKKLPKDTSASGADKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KZM96385 pep chromosome:ASM162521v1:5:40638225:40638479:1 gene:DCAR_019627 transcript:KZM96385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGTLILLIWVGVVFASIVCTAAYFGGLGGAIIRCFSRVSTRNVRRSPAVTPVQTLQSSTIAATTVSPHVEKGETDNVSTTTT >KZM93433 pep chromosome:ASM162521v1:5:6326120:6329303:-1 gene:DCAR_016678 transcript:KZM93433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIPADLASCSKLKVLNVTRNKMNGSLPDLSKLIDLEVLDLSGNQFSGRFPTWVGNLTNLVALGLGHNNYEQGEIPERIGYLKNLTWLYLSGSNLSGEIPESIFNLQALETLDICKNMITGSLPKRLWKLRKIKKIELYENQLTGRIPPQLATLNLLEEFDISSNQMYGTLPPQIGNMKNLTVFQLYKNNFSGELPRGFSDMHNLVNFSIYKNSFSGAFPENLGRFSPLITIDISENKFKGYLPKFMCTNGNLQFLLAGENNFSGEVSDNYARCKSLIRLRINKNQLSGKIPDGLWALPSATIIDFSDNDFSGGIATDIGNAITLGELLLMNNRFSGNLPKELGKLTNLQKLDLSNNYFCGTIPSGISALKQLSYLHLKDNLFTGAIPADLSKCSALVDLNLASNSLTFEIPESFSQISSLNSLNLSRNKLSGSIPKDLEKLKLSSIDFSNNHLSGKIHSDLLRMGGGQAFVGNKKLCVSQYMNSQRNLRLNACEKKYKHIYKHMIVIFSLTFVCVVSGLLVLCYKYIIREENDTTKDLEKKVVDSQWKIEIFHPVQFDAEDICNVSEDNLIGTGSTGKVYRLDTEKAEGVVAVKQLWEGKANKVLAAEIEILGKIRHRNILKLYAWLTNRASNFLVFEYMVNGNLFQALHRTMEDGRPLLDWNRRYRIAIGAARGIYYLHSDCSPAIIHRDIKSANILLDEDYEAKIADFGVAKVVDDSLNDSGSNCFAGTHGYIAPELAYTPKMTEKCDVYSFGVVLLELVTGRKALEEINGEQRDIVTWVSAYLDRYQQVFEVLDHKLCLDHHENEMTKVLKISTLCTRKRPSLRPDMRDVVKMLIDAKHPGFKLKEKHVTG >KZM95122 pep chromosome:ASM162521v1:5:28900096:28901509:1 gene:DCAR_018364 transcript:KZM95122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKETVVIIVGAGPSGLATAACLKAQSIPYMILERENCFASLWKHKSYDRLHLHLAKQFCQLPFMSFPKSSPTFVPKKEFLQYLDDYVTHFAINPLYQRLVVSAFYNESLGKWNVKATNGVSGELEEYISRFLVVATGVTCDAYIPKVEGLSKFAGDVIHSTQYKSGGIYENQHVLVVGSGNSGMEIAFDLANHGAKASIVVRSPVHVLSRGMVYWGLKLLNYFPYYIVDSLMVLLSKIKYGDLSKYGICRPQEGPFSLKVKYGKFPVIDVGTCSKIKSGEIQVLPGLRSIGETEVIFEDGRSYPFDSIIFATGFTRSTKAWLKGDEYLLNDDGLPKPEFPNHWKGEKGLYCVGLARRGLYGAAMDAQNVANDINNLV >KZM94765 pep chromosome:ASM162521v1:5:25590058:25594269:-1 gene:DCAR_018007 transcript:KZM94765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLILKIIPEERKTGCSFFDPMFFISYMDAVKKAKKKDSTAIEKSNVWKWIKKQKIFACRYVFVPICQSNHWNLLILCNLGEDLEMNEKSPCMLLLDSLQEAEPKNLEPCIKQFVYQMYKNESVQGTDDVFQFDLSIPMVPQQDDGEKCGYYVLYYMFKFLMSCPENFNINEHGGFMNSSWFTEEEMNNFFNNLSSEKLHTDQMQASEDIIVVKEKNLSSNMEVDGQNVDNEIAAEEIEKLSKDEEEAEMVVQCEPIRSLVVYTPESSQEQQEPNQPTPPASETIATHEKDGKEKLAAAAPSVRKSPRLQPIKEDEEDFSIHIVDPEGGENADKGPAEKAQRKVRATKNEGVNKRKAVEEVPEFETPDESKQNDVNSEQYKGGNSNFTTPKETLKGVGPSRLFSPQDNVDASILSIARDVEQNHNSTEVLTEDEISSRLQHHLSQMEKLKKEFGETLDKGKQLFPESDKMKEYEQRFEEMTTGRNEPSYAAYGLNNHLKRVLKPTDHQKSPFKIRGIDLNTQRFSKDEEEVWSWINGRKNRAM >KZM93813 pep chromosome:ASM162521v1:5:11072802:11073764:1 gene:DCAR_017058 transcript:KZM93813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSHRSFHRPLPSWSALSASRRSFTGNSQLPITAGDTDENPISPPTRMEKLDPTREIIWSNEATKTLIETWGDKYKELNRGSLKQTHWQQVTDAVNLRHAGEFSCTDAQVKNRIDTVKKKYKKEKIRVLESAGNYVPKWPFFDFLDFLIGSAAPAPEDGQPICRRGRKMSFPATFEIPVGRRSKRLAPEIVMGAGNGDARKRKFDAMAELASKIVAEEEEDGGEGEIRKVAEAIEKFGERYERVEREKMDRMIELEKHKMKLAIDLNVQIMNYVSELRMKVDGNEQVGAVDDDKGSNFFFLLCQFECSNFVAYNVMSPF >KZM94213 pep chromosome:ASM162521v1:5:19274452:19276633:1 gene:DCAR_017456 transcript:KZM94213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGDGFGKEQNLPSADGFGNEHNLPEGDINWATLDSWSQELELAKFDQGSTVEVSGYEGASDLPDIKATFNDPEVDELIKNEASWGVEADANLVVNQDNMAFNQQEDNVVADAHGSGVQVVANQVHPAVNTFQLALEHLSNSDARIRALIQAHPQLPVFDGHGMTTFQKLIRALIEAGGSQSTRPTRFRELGNLCQNNVNPQSVAGLGVEQLVAIGVHGQKKATAIISFASAFLDNTDEEIDQVAADTSPLLYRRFLNVKQIGPYSALYMLIFGIGRLDFMPAGEKDLRSRIQRHYHGHADANYENWEPYRGLAAWLIMTYVQK >KZM94030 pep chromosome:ASM162521v1:5:14090444:14090839:-1 gene:DCAR_017275 transcript:KZM94030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHKRSRKEDEGMEEWAYEQTKGELSIAAERASRKMKFVAEMRLLQYREERKGRHWVPTKDETDTEVMYGVGRALREYHFKKDRDTNTSFLKGQITEEQRVALLEESWAEYDAKVIDIVKLNFDEYVKYS >KZM95543 pep chromosome:ASM162521v1:5:33143886:33145364:-1 gene:DCAR_018785 transcript:KZM95543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRKGGLMRNVISSGLVETASSIAVAATVVGAAATLLVKRTKASEATQVPLKTCEDCRGSGICSECKGEGFVLKRLSDGNAEKARLNAKNAATRYTAGLPKKWSYCTKCSSARSCSSCGGSGKLEY >KZM96369 pep chromosome:ASM162521v1:5:40522458:40524544:1 gene:DCAR_019611 transcript:KZM96369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHTVLFLLLLLLHQATSLHQEANEKKVYEQRKLYRSCNLFEGSWIYDDSYPLYDGSICPFINAGLNCQKNGRPDKLYLKYRWNPVGCSLARFDGEAFLERFRGKKIMFVGDSLSSNQWQSLTCMVQNAVPSSNYTLVQRKSFYSLTFPEFGVSIVYMKDGFLVDLEFRDIGKVLRLDSISINRSKQWKDTDILIFNSYHWWTHTGRLQTWDYFQVGDKVIKEMDHMEAYKIALSTWANWVDSSINPTKTQVFFQGITAVHYKGRDWNEPMANSCDGQTQPIPGSDYPGNRYPGEPIVKSTLARMAKPVILLDIALLTQLRKDGHPSRYADGGIDCSHWCVAGVPDAWNEILYTILVDM >KZM93199 pep chromosome:ASM162521v1:5:3464388:3465837:-1 gene:DCAR_016444 transcript:KZM93199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLSLLQLAKKYGPIIYLQLGEVPTVVVSSARMAKEVLKTHDLALSSRPQIYSAKHLFYDCTNVVFSPYGAYWRHIRKICILELFSVKRVQSYSFVRQEEVARLVSRIVDSYPGTTDLTKVLGLYANDVLCRIVLGRDYSRGGEYDRLGFQKLLEDYQVLLGGFNLGDFFHSMEFIYKLNGMKLKLQNTSKRFDEFFDEVIKEHLNPNEDKGEFKDLIDILLEIQQNQDTELPLTMDNLKAIMLDMFAAGTDTTFITLDWGMTELIINPRVMQKAQAEVRKVVGKRTVVLESDLPDLHYMKAIIKEIFRLHSPVPVLVPRESMEDVKIDGYDIPAKTRFYVNAWAIGRDPISWENPESFEPERFMRSSIDFRGQDFELLPFGAGRRGCPAIVFGTAVIELALAQLLHSFDWELPAGIQANDLDMTELFGISMHRIAHLVVVAKPHFP >KZM93494 pep chromosome:ASM162521v1:5:7233743:7234141:1 gene:DCAR_016739 transcript:KZM93494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSVFLALFLPCAGMSAVFLVYICLLWYAASTNSTNQEFRLAEKPASHKGLSAAELEKLPKMTGKDLVMGNECAVCLDNIEDDHEARLVPGCNHGFHIQCADTWLSKNSVCPVCRGKLEPQFFESSEASPC >KZM93736 pep chromosome:ASM162521v1:5:9954823:9956205:-1 gene:DCAR_016981 transcript:KZM93736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDNQVAVVMVPFLAQGHLGQLLHLSRLISSYNIPVHYVSTTTHIRQARTRHQGWDLLAYHNIHFHEFPIPYFQCPDPNPNAPTKFPSQLQPAFEASIHLRDPVCQLLTSLSATSRRIIIIHDYQMASVVQDFASLPNAESYTFQSTSSFFLFSYYWDLAGRPIVADSNILQQLPSIDGCVTPEMLAFGRNQAIHLTKSSGELYNTSIAIEGHYFDMLQKFQADKKHWAIGPFNPVDIFQKQDQLRHKCLQWLDNQALNSVIYVSFGTTTSLTDEQIHAIALGLENSGQNFIWVLRDADKGDIFTEDVRRYELPMGYEDRIFEAGQGTIVRGWAPQLEILAHTSTGGFMSHCGWNSCLESLTMGVPMATWPMHSDQPRNAVLITNVLKLGIVVKEWTPRNDLVESLQIETAVRKLIASNEGDEMRKRATELRNEIKKSVAEGGASRVELESFIDHITRS >KZM94546 pep chromosome:ASM162521v1:5:23479649:23480258:1 gene:DCAR_017789 transcript:KZM94546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITILTYCFSSVGNGTAIGKNAIGLGDDTDARTYDNEASKKHQPLDDLVYDYNTEAYTPDDFQDPLTQPSESINLDAPPPPTLKKRNRSEFEGNSSSSANASQLDAIMQISHSVEKMVEAVKSFNNDDCSCWDLIKDLPDLDQLTRFRALKLLNTRAKKMEFMKMTPDERYAWVIFELDM >KZM94466 pep chromosome:ASM162521v1:5:22761909:22765437:1 gene:DCAR_017709 transcript:KZM94466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVGSNSMNGRRRQGSRRSHPPPPPPQQPQQEITPNRYVFAAATPLPSQYPNPNPNAPPPPPYYQYPGYHQPPTMPVPLQAPYDHHHRAMPPPQMHPGQANWVGGQYPCGYVMPAPTPYVEHQKAVTIRNDVNLKKETLRVEPDEENPGKFLVTFTFDATVAGSITVIFFAKEDEDCNLTPMKESIYAPVTVHFPQGLGQKFRQPSGTGIDFSACEEAELVKEGELDVYPLVVKAETSPSDQSGSADGNTETGASNSQITQAVFEKERGEYQVRVLKQILWVNGMRYELQEIFGIGNSVDGDLDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVNSETVEASTDESLP >KZM95767 pep chromosome:ASM162521v1:5:35381553:35386334:1 gene:DCAR_019009 transcript:KZM95767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKVLNKYYPPDFDPAKIPRRRQPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEITMKTDPQNSDYVAESGASRNFEPWRAEDEVADEDKRRRDAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDAMLEALQRSAPEEDEDKLLEEDEALIKSIFQGSREIVKRINDEDLEDDEDLTESTVENGESSNGSKRRKVSEEFTGNPTDHLTKISTLDVSKSKAPDRSTFILKSSTVKVSVKKKPVASEKENKQKDNIKHNEDKVNTSNNGLLSLGQYDSDDEDD >KZM94376 pep chromosome:ASM162521v1:5:21654820:21660588:1 gene:DCAR_017619 transcript:KZM94376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVTLFVASSMPVLKVLLVTAVGSFLALDHVNILSEDARKHINTVVFYVFNPALIIGNLSKTVTYDSMVKLWFMPVNVFLTFLIGSLLGWLVNLITRPPPHLRGLVIGCCAAGNLGNILIIIVPAVCKEKGSPFGDPSVCSTYGMAYASLSMALGAIFLWVYVYNIVRISLEANCGDVNGSVVSHSSTLKPESFTEPLLSPKEYNTSEDSTDSLPLTTFGGKAQQGFTEKLKLNVAKISRKLNLKRLFAPSTNGAIVGFIVGLVPLLRNSVIGDEAPLRVIEDSTVMIGDAAVPVLTLIIGANLLKGLRASGVQKSMVVGVIVARHIALPLIGTLVVRTAVRFNLVHADPLYQFVLLLQFAVPPAMNMGTITQLFGKGESECSVIMLWTYACSIVSLTLWCTFFMWVVA >KZM94226 pep chromosome:ASM162521v1:5:19533655:19534660:-1 gene:DCAR_017469 transcript:KZM94226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTWSYHVFLLGYKPKSVRKRKKIAEKENVFGNAANRFSFPLSPLTPNSLGLGNRTILNSTPQNQISTPTTPLSNITNVLGSPLLTNRSTTKTSILEDGGLPNRTIFNKTAQNQISTPRTPLSNITNVLGNPLLTNRSTTNVHFGNGTQESRKNLLSSISSHNGKLKVGDNLTRTGNAVKKRFQETTKNLFPERSSQNEEKTRYLEDDDIGIYLIF >KZM93407 pep chromosome:ASM162521v1:5:5749602:5751169:-1 gene:DCAR_016652 transcript:KZM93407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKLVSLLCFFLFQSVYSLDDYGSIGVNYGRVADNLPDPSKVVDLLKSNGITRVKIFDADSSVLSALAGSGIGVTVAMPNQLLSAAAKDESSTDAWLKSNIVPFHPKTKIEAIAVGNEVFVDPQNTPYLVPAMKNMYNSLQKLNFSSDIKVSSPVALCALQSSYPPSSGSFKSDLIEPVMKPMLSFLQQTGSYLMVNSYPFFAYEANTDTISLDYALLRPNQGVKDSGNGNVYKSLFEAQLDAVHAALDALQFTDVKVVVSETGWPSAGGDKEFGAGADNAAAYNGNLVRRVLTGGGTPLRPNDKLNVFLFALFNENQKTGPVSERNYGLFYPNTNKVYDIPLSLDALNSTMSDPANGSKAQVPVASPPVNDGGDLSPSPNGHTWCVANAQAGQEKLQTGLDYACGQGGADCGPIQSGEACFDPDTLQAHASYAFNSYYQKMSRASGTCDFGGAAYVVTQLPKMGNCEYPTTRG >KZM95718 pep chromosome:ASM162521v1:5:34806039:34808072:1 gene:DCAR_018960 transcript:KZM95718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGRVLGYGISAKNMSSPPISAIDRFLFGQKNKKIVVSRESHHEFYSNPYPSSYGSFLGNDVCDYGTTGGEAGMGSFHTISFMDGIQSGLDSEDKARGGSGDFSTHLIKGQWTDEEDRKLIRLVNQFGVRKWAQIAGKIPGRAGKQCRERWHNHLRPDIKNSRRKNKKNGTKNGKLRPSPLQEYIKATSSQEPPNNNSANSYHSTTVSKPNPSMSSTSVSKGSLLSIMDLAVPQSPSEDSTCIITETCDEELKFMQSLFATESESSGNDNKEMKMVHDAIMSKDERPDLMMRPDHYLASLWASEITSSASTNAAYDQGCNNEYEDLTQLKKEMDLIEMVSSYRNSL >KZM94612 pep chromosome:ASM162521v1:5:24172083:24178124:1 gene:DCAR_017855 transcript:KZM94612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIADDNSVYVGGLPYGANEDSIRKAFDLYGRVRAVKIVNERGVGGKCYGFVTFTNPRSAEDAIKDMNGRTIDGRVVKVSEVKTRNGRPNLGRDSFRRNPESGADWDRGRDRDKNPDRDRFHDRPRERSVDRNQDKDKGYNRNERSLDRERGYNRSDHSQDRERGYIRTRDIDRTRDQLLKRDKDREHGNVDIEQEHTRNLLLERDRISKSDWHQDRGVEQPKNHNGSGENDKDQMFNYSNGSLDASDQRRRELSSEFIGRDNSQYQVEGELEFSTQRLEELKKEISHMEDSLEEKGEFVEKLKEKSQSLEDSLLMAKKLTSHHQTQLTKLHKCYQHLKECSDRVKSYEEELQALVDSTSIETVHGEDAVVRNKSLPNGNL >KZM93398 pep chromosome:ASM162521v1:5:5689246:5689831:-1 gene:DCAR_016643 transcript:KZM93398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHWIPPNSGTIKINVHGSHSLVPSDVGNTTGKRAVFRNSTSSPKHVTVGTIPSLSRIGNQLWEVYAPLRRAKVMGSQIDILLRDRSWTCVIAYVFPTRNHVVRFLERLGMNVCDRLYTLNCMIGAMEELIDWDMGLGIDHPDYVDVLIRMMLRIRLTLM >KZM94689 pep chromosome:ASM162521v1:5:24985023:24988994:1 gene:DCAR_017931 transcript:KZM94689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSFLVLLFLPFSSFFTSFAFEARNPEVEALISIRSGLIDPHGALNNWDEDSVDPCSWAMITCSPDNLVTAFGAPSQGLSGSLSGKIANLTNLKQVLLQNNNITGEIPVGLSSLHNLQTLDLSNNKLFGQVPESLLLLNSLQYLRLNNNSLSGALPLNIVRVPQLTFLDLSFNNLSGPVPVFPARTFNFVGNPLMCGSHVHQGCSGSVTPVPLSFSLNSSSGKSNSKKLAIALGVSLSIVTLILSASAILLWRKFEQRKQGILNINDMQEEDLISVGNLRNFTLKELHLATNSFSSKNILGAGGFGNVYKGKLGDGTLVAVKRLKDLNGTTGELQFRTELELISLAVHRNLLRLIGYCATPNERLLVYPYMSNGSVASRLRGKPALDWNARKRIAIGAARGFLYLHEQCDPKIIHRDVKAANVLLDDFCEAVVGDFGLAKLLDHGQSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGMRALELEKTGKAVNQKGAMLEWVKRTHEEKKVEVLVDRELGNNYDRIEVGEMVQVALLCTRYLPAHRPKMSEVVRMLEGDGLAEKWAASHKHNNMKCNSGYSQSRHMNTFGDHDHDYSSSIFDMRMDHDHDAHAIELSGPR >KZM94631 pep chromosome:ASM162521v1:5:24465521:24465754:1 gene:DCAR_017874 transcript:KZM94631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVSQTSRHLNFDDYSLEDIGIPITPTKTNEIDESMVPKVGLEFETEEAAYMFYKGHGRVQANGQSGSAGAGFGGF >KZM94994 pep chromosome:ASM162521v1:5:27744520:27769154:1 gene:DCAR_018236 transcript:KZM94994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKPTSPFAKHPLYIFVINLAESFHVYGVAIPTTDGIRNLLDHIGAQRIGKQTQVLWINLREEPVVYINGRPFVLRDVERPFSNLEHTGINRFRLEQMEDRLREDILQEASRYGNMILVTDELPDGQMVDQWEPVTQESVKTPLEVYLELQNRSYMVDYERVPITDEKSPKEQDFDTLVHRISQADTETQIIFNCQMGRGRTTTGMVIATLIYLHRIGSSGITRTSSLGKISDYCSNVADKMPNSEEAVSRGEYTVIRSLIRVLEGGVEGKRQVDKVIDQCSSMQNLREAITTYRNSILRQSDEMKRAALLSFFVEYLERYYYLICFSVYLHTERAALEPGSSSHCSFYEWMKSRPELYTIIQRLLRRDPMGALGYAKTKTSTMKHAESVEGRPSDMETIAGSRHGEVLGSQTVLKSDHCPGCQHPRLPERVEGAPNFREIPGFPVYGVANPTVDGILSVIHRIGSSKGGRPIFWHNMREEPVIYINGRPFVLREIERPYKNMLEYRGIDRDRVEGMEARLKDDILREAERYHGAIMVIHESDDGKIYDEWEHISSEVVKTPLEVFKGLEADGFPIKYARVPITDGKAPKSSDFDTLAMNIVSAPRDAALVFNCQMGRGRTTTGTVIACLLKLRIDYGRPVRVLLEDLSSEEADDNVSSGEETGGTPRGEASHTFGINDILLLWKITTLFDNGVKCREALDAIINRCSALQNIRQAVLQYNKVFNKQQDEPRERRVALSRGAEYLERYFRLIAFAAYLGSEAFDGYYEEGKAKMTFKNWLHQKPEVQAMKWSIRLRPGRFFTVPEKLRTPHEFQHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQRTSSSIQIHGAPHVFKVDGHPVYSMATPTIAGAKEMLAYLSAKPSVNGSNAERVILTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVEHMEARLKEDIISEIRQSGGRMLLHREEYNPTLNQASVIGYWENIFLDDVKTPSEVYASLKDDRFNIAYRRIPLTREREALASDIDAVQECMDDSAESYLFVSHTGFGGVAYAMAIICIRLEAEGKLASNIPRPLVGSSHLSAEEAHRMGDYRDILSLTRVLIHGPESKADVDAVIDRCAGAGNLRDDILYSIKELEKLPVGDDEHRAYLMDVGLKALRSYLYCNSAAGMRFTTWMNARPELGHLCSNLKIV >KZM96465 pep chromosome:ASM162521v1:5:41157420:41159456:-1 gene:DCAR_019707 transcript:KZM96465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAIQNSAFAGQTALKSQNELVRKVGSFGGGKITMRRTVKSAPESIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPEILSKNGVTFGEAVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAIWATQVVLMGLIEGYRVGGGPLVLPCLVHWAVFNLKSFPRMVSHLMRLCGSRPVSKSSLKVALTIYWEPKPCSCSEHSCIWSSQVVLMGLIEGYRVGGSPLCEGLDVIYPGGAFEPLGLAEDPESFSAMFGYFVQAIVTGKGPMENLYNSMTMLLIL >KZM94117 pep chromosome:ASM162521v1:5:16016330:16018851:-1 gene:DCAR_017362 transcript:KZM94117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAPKLSSTFHSYPPSQLSKLPLNLLPFISTTSKKCKITNKLFISSTPQLGNKSKKSNALVVCQATQVSVAEDSGGGGGGGVSDDGRNWIPVVPLAALPKGERRVIIQNDEDILLLWYKDEVLAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGAVRDWYPKNPVLRALTPILRTLYIYPVKTDGENIYISMGGGASSDASAEIVFSGRAQPGATATNVNVEEVRMVVDEEAGGFGFTERNEVINGKAAIIGLLCLIDFELLTGEGLLKGTGFLDFIYSVSNALS >KZM95918 pep chromosome:ASM162521v1:5:36778448:36779772:1 gene:DCAR_019160 transcript:KZM95918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMESLITNTDDLVTNCTEHFSCIVVADDIKPNQMVRSVLAQLARIRSNPYCYKGVPRLQTGFQLMQTTSSIEKILPEVLVPFLVVRDEADKVTDPAVSKLLFETASSSDKTIKSYPGLGTHSVTESFLRI >KZM95465 pep chromosome:ASM162521v1:5:32350518:32351792:-1 gene:DCAR_018707 transcript:KZM95465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWNQHIPEHLWCSIFILLPVKCLLLLRSVCKSWNQVISDHSFIESHLAHNKASSNPNKYLLLWNQRDPNPALDHDFPYLTTLVDSKTYSHVLESHAHDMRSMFGKDSAEHGLENYGICDGLVCLSLPQKVLKADSPIFLWNPVVKKGKKLPPIENEEKRPYVDTCYLCFGYHGGDYKVINVVPYLNTLYHVYVYSLSTDQWKKSRIAKNNIGSIFYGEYTIRPFPARLVNGCAYFLQYPKKNGIDQVVAVFDLRHEIMRQIDLPDADGYLFVKLEEYCEKTVAVMGKSLGSYMVMWVLRTGNDHSVSWDKKFTIEAENSSSHFSDMGFISMGKLVLKRFVKRKPFLAKYFLYDVENESELEYTAPMGLSDVVKNRASPRINGLTESLVLLNETTTPACTKMQPGSSYPYKKPRTQDYMCMV >KZM96524 pep chromosome:ASM162521v1:5:41610502:41617198:1 gene:DCAR_019766 transcript:KZM96524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKRTYSSATCSLIRRAASSSSASGAARKAGSSPVASSSPACGVNQYRSYSFFRSVPRWSHGVDWKSPASLTSQIRTASPLLERLQRTFATMAADHPFNGIATSLPKPGGGEFGKFYSLPALNDPRIEKLPYSIRILLESAIRNCDNFQVTKADVEKIIDWEKTSPKLVEIPFKPARVLLQATVVDLACMRDAMNRLGSDSNKINPLVPVDLVIDHSVQVDVTRSENAVQANMELEFQRNRERFAFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPAVVGFKLSGSLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSKLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQIDRVYSSYLSLDLEEVVPCISGPKRPHDRVPLKDMKADWHSCLDNKVGFKGFAVPKEAQEKVVKFTFHGQPAELTHGSIVIAAITSCTNTSNPSVMLGAALVAKKACELGLQVKPWVKTSLAPGSGVVTKYLLQSGLQKYMNQQGFHIVGYGCTTCIGNSGDLDESVASAISENDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFEKDPIGVGKDGKNVYFRDIWPSNEEVSEAVQSSVLPEMFKSTYEAITKGNPIWNDLSVPETKLYSWDPNSTYIHEPPYFKNMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLERGVERKDFNSYGSRRGNDEIMERGTFANIRIVNKLLKGEVGPKTLHIPTGEKLSVFDAAAKYKAAGQATVVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIVPLCFKAGEDADSLGLTGHERYTIDLPSKLSDIRPGQDVTVRTDTGKSFTCTVRFDTEVELEYFNHGGILPYVIRQLITQ >KZM92827 pep chromosome:ASM162521v1:5:47376:51432:1 gene:DCAR_016072 transcript:KZM92827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPPPHPHLVEILPKDAGDDDDPSDDDDDLDAHTEATAPTSEDDMEHFRDVGDVESFLSNDGKNERRSVYGRLKQNLTEHKTDSSKDSLLKKQAVYGPERKLHVAISLQMEKLLLSARQYKKAVLWNMDTLQTESTLKTDYAYFYERRKKRRLTSDFVVQIRTASPLFERLHRSLANMGTLFVMQSHQRQQCQEAFIKLEKSRKHLIENIKHFQEQEGGKLNVIEELNACFRNENTEKQVHELKVDDDNSRVFTNCVRGFFNPLNWHGTAKTAITLALISVSVTSTYNFRQSRQENRCASSTGSVIARNFDFLAIDSDSPMDVSYGRG >KZM93213 pep chromosome:ASM162521v1:5:3561555:3561992:1 gene:DCAR_016458 transcript:KZM93213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRLKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNVDKLWSMVPQDVKEKSEGCDKAPVLDVTQLGYFKVLGKGSLGKPVVVKAKLVSKTAEKKIKEAGGAVLLTA >KZM94135 pep chromosome:ASM162521v1:5:16815132:16815683:-1 gene:DCAR_017380 transcript:KZM94135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSVLKVEALISIFLAVAVPALQNLRSYEVLLHRYMAMMELQERNERLFYKIFIDNVEELLPVVYTPTVGNACQK >KZM93154 pep chromosome:ASM162521v1:5:2886530:2886859:1 gene:DCAR_016399 transcript:KZM93154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRPGQAVCKRLFERRGVRVDKLWRTKILQASINLVVKKTSIPELHTLRTLLLQAGDTDISALVENEKNSPHRFEFFMGLVGDQPICARTAWALARLVAGFLMPLCLT >KZM94556 pep chromosome:ASM162521v1:5:23559574:23563392:1 gene:DCAR_017799 transcript:KZM94556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVVLDNGGGLIKAGIGGEREPLTVIPNCLARPVSSKKFLTGDQLLSPTEDLTSATVRRPFDRGYLINPDLQSTIWAHLFSTLRLTPSHSSLLLTEPLFNLPSIQRHTDELVFEEFNFKALYVADAPGLVHLYEASRRPYGLVSKSQCSLVVDCGFSFVHAAPVFQNFCVNYGVKRMDLGGKALSNYLKELISYRSINVMDESFLMDHVKEKLCFVSLDVQRDLMIARKRGNDNIFKCTYVLPDGVTYTKGFVKDPAEADRYRTLSDGATGLHTEKMETDQQEHEEKLENKNKIDLTKNEFSLTNERFLVPEMIFRPADLGMNQAGLAECIVRAVNSCHPHLQPVLYESIILTGGSTLFPRFAERLERELRPLVPDNYRVKISTQEDPILGVWRGGSLLASSPDFETMCVTKAEYEELGSFRCRKRFFH >KZM96551 pep chromosome:ASM162521v1:5:41776174:41778818:1 gene:DCAR_019793 transcript:KZM96551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPNQDDFKLKDTKPQLGERWPHGGVRGGGGWISSERITSTYDLVEQMYYLYVRVVKARDLPPNQITGTSDPYVEVKLGNYKGKTQHFTKRSNPEWKQVFAFSKDKIQSSVLEVFVRDKDMVARDDYLGRVVFDMNEVPTRVPPDSPLAPQWYRLEDRRGENKLRGEVMLAVWMGTQADEAFPDAWHSDAATVQGEGVYSVRSKVYVSPKLWYLRVNVIEAQDVESADKSQLPQVFVKAQVGNQILKTKTCPTKTTNPFWNEDLIFVAAEPFEEQLVLTVENKTASRDETVGRIQLPLNIFEKRLDHRPFHSRWFNLEKFGFGVLEGDRRMELKFSTRIHLRACLEGAYHVLDESTMYISDQRPTDRQLWKQPIGILEVGILSAQGLLPMKTRDGRGATDAYCVAKYGQKWVRTRTIVGSSSPRWNEQYTWEVYDPCTVITIGAFDNCHLGANEKPGGKDSRIGKVRIRLSTLETDRIYTHSYPLLVLQPSGVKKMGELQLAFRFTCLSLANMIYLYWHPLLPKMHYLHPFTVSQLDFLRFQAMNIVAVRLARAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIVSLFSGAISLSKWLGEVCHWKNPITSVLVHILFFILICFPELILPTIFLYMFLIGIWNFRFRPRHPPHMDTKLSWAEAVHPDELDEELRSVAGRIQTVVGDMATQGERFEALLSWRDPRATCLFVVFCLVTAVALYVTPFRIVILLAGLFVLRHPRFRSKQPSVPSNFFRRLPARADSML >KZM94015 pep chromosome:ASM162521v1:5:13781718:13783825:1 gene:DCAR_017260 transcript:KZM94015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNPTQQRNDRVRAYPLSNNRVKITTGRPTSSVESEEEDQDQYEDEANQTEGQTNQPNQSQDQEEDESEEEEFEEQANPAQNEENECEDGDQDQEIALENGQRKRKAVTLYPGFKYLKKDVELFYVDRVRPKAIKLVERQLPSYIGWTEEILKERQAIEVFHGPFGVGSIVPPLREFIRQTEAQESKDKNNDEWNNDDTWRQIDELVEKYSTKKKSPSTDIPPEPSIDAAYNSPPREGEPSNDAAYHTPQRDAEPCTDAAHHTPPREAEPSTDAVHNTAPTEAEPS >KZM95089 pep chromosome:ASM162521v1:5:28555618:28557830:1 gene:DCAR_018331 transcript:KZM95089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIAAILKKSRISKISSLLIVRSLIKTPNVTNTVFSETPCRVLDRGLIFSNCVARSYHDGRPRGSLWRGKKLIGKEAISVILGLKRFKGDEEKLGKFVKSHMFLSIKRQDWYRPDVYLYKDLIIALAKSKKMEEAMQLWDSMREENLYPDSQTYTEVIRGFLRHGSPADAMNIFEDMKQSPDPPEELPFRILLKGLLPHPLLRNKVKQDFEEIFPDQRIYDPPEEIFGLR >KZM96115 pep chromosome:ASM162521v1:5:38401829:38402788:-1 gene:DCAR_019357 transcript:KZM96115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSSCNTPSTHSTPHSSKSSPTPSTQSSQSHSSNSSQEIPPLPADENQNPPLYVMSVNGVNYYVPQHLIGYARGIPVYQATFTQFGFPNPSIVAMRQVDLGSYGASIRDINKTLIVSVKEEISHQGRLWLAFEYAGHSLRSVYPQGTPLPDISHIVNCLISIHACALVHAELKAGHVYMTEHAGVRFYKVGFGATIYEDGELPETPPGFLPMVDICLWASPPEVYNNNIDMSLHTSASDVWQLGITAFELAWGNKCKVANRADLEMQIAYAIGGIEGGPGGIFWNTNFQRFLSDCLMENPAARPTSQQLLNHDFFRG >KZM93698 pep chromosome:ASM162521v1:5:9369292:9370949:1 gene:DCAR_016943 transcript:KZM93698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSLMWVSSSVLIKRLVFNVLGFGSDAKGEVFKCGVSILNMFFFAWLSKVTKGGAYNPLTVLSPVFSGGFSTFLFTVGARIPAQVIGSIAGVRLMLDTFPEIGRGPRLNVDIHKGALTEGLLTFAIVSISLGLTVKLPGSFYMKTWISSFSKLTLHILGSDLTGGCMNPASVMGWAYARGDHITKEHIFVYWLAPVEATLLAVWTFSLIFRSQKGEKAKVKAEKSD >KZM95475 pep chromosome:ASM162521v1:5:32428035:32428187:1 gene:DCAR_018717 transcript:KZM95475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWQANIEDNIRGRREFRKRKDKCKIVMMRFENKMGREKWEWGIPSQGGV >KZM94713 pep chromosome:ASM162521v1:5:25240469:25244684:1 gene:DCAR_017955 transcript:KZM94713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVFTKLFASLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAREEFHAILEEEELRGAVVLIFANKQDLPGALDDASITEALELHKIKNRQWSIFKTSAIKGEGLFEGLDWLSNTLKSGGG >KZM95133 pep chromosome:ASM162521v1:5:29002097:29003506:-1 gene:DCAR_018375 transcript:KZM95133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVKLDVKEVNIVFNRNEICSTTFKVTNLMHTMAVAVSLTTTNPSVLSITPPFYVLPPLSTSSFALCLSQPSDYPPLATPLDSIIVKSTMLPTGKANLEALQKLFSKPGPKIFKDAVIPITFVGPQVVEFLLSPSRKIDSAYVLSKAIKACDESELCLLLRSAVKCGNCYFASTLIEAGADVNKCDFTNRSLMSLAIQSGKSDMLDLLIDCGYVVDNSEDRLLHEAAAMNRLDLMETLCLGYLDIDVNLADLHGRTALHVAAIYGHVEVLQFLVSLGSDPDAADQNGWTPLHCASIAGHVEAAEFLLTCSVYVKYALTKEKQTAFALAVEKGHLDLYDMLQLGDALHRAARIGDVHEIKRCIAEGAKVNGKDQNGWTPLHRAAFKGQTESVKVLLNHGANVDVIDNSGYTPLHRAVEAGHVPVALALIGHGAKANMKGLKGVVPLHLDSFKNHLSLVTPSCEEKERA >KZM96217 pep chromosome:ASM162521v1:5:39252836:39257443:-1 gene:DCAR_019459 transcript:KZM96217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKVLVTGASGYLGGRLCHALLAQGHSVRAFVRPTSDVSSLPLDENIEFSYGDVTDYSSLLVAASGCQIIFHSAAIVEPWHPDPSIFIAVNVGGLKNVLKAFKETGTIEKIIYTSSFFALGSTDGYVADESQIHSGNYFCTEYEKSKVIADKMALDAASEGVPIIPLYPGLIYGPGKVTTGNIVARLIIERFNWRLPGYIGQGADKVSFSHVDDVVQGHLAALNKGRPGERYLLTGENASFMQVFDICATISGTGKPRFSIPLLVIEAYGWLSVFASKIMGTLPIISPPTVNVVRHQWAYSCDKAEKELDYKPRSLKEASSAVKMVMPKAKNESKSAASGSAYEELRRKRMEENRKKLEELKLPRLSLALKPSPKPPKSSPMKRVKKTEVVEVRRSGRFVNKPAPVYREVVTYERPDLPRRYFKYSRKDLANRVYASDEARAAATYKAEELEVSLGNDYPTFVKPMLQSHTTGGFWLGLPNSWCRTHLPKNDATITLIDEEGEECLTVYLARKAGLSGGWRGFSIDHGLVDGDALVFQLIRPLTFKVYIIRVNAYEKGDNMEALDAADI >KZM96205 pep chromosome:ASM162521v1:5:39147806:39156345:-1 gene:DCAR_019447 transcript:KZM96205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALGLPLSFSTNKRNNMIRGKRKDTNKNYLHIDVEIKDAVRSSITVREHEISSPKIIHDKISGLLCCMSKNESSMDVKFLSSEMEDLATLTKRVVSAAVIEDRGSKKTSEELRYCQSCGSISNQNLSKNDFVMESSSISLANGNFSSSFLIKNDGSGQSEKNQESGLTEPPYFEVSEGNCTGFGKSISNIWTELSEDLKSRDAGDPQFSQALGNDTIHSDCISEDNQSKECEKLLNEICDRISLGPDSACSLFTEALNCDSVDAVNPSVQNHCADYGKVSYDESESPESTVFSHFSELNPDIIDPKGINDFGDWKAYWDSFYSRNYFFNVRTQESTWEPPEGMEHLVYLDYSNESKVMGIDANQMDGICAVSSQNSKTLDSVDLQYIFDSITDDPLLGQSLNENLEVQELTADNFNNSLITSDVRCIAESPHEPYELNQISGKTPNTQQMEIVASALTENAHSFDPCLTHINSPEDRMDAQCCTTHHTRKKKARRERSRRNILADNEELQYQELGEEFSPIIGKYWHQRYQLFSKFDCGIRMDEEGWFSVTPELIAKHHASRCGSGTVVDCFTGVGGNAIQFALRCKHVIAIDIDPKKIEYAQHNAAIYGVQECVDFIRGDSFLLASTLKADTVFLSPPWGGPAYSKVKSFDLKTMLKPYDGDKLFTIAKQIAPRIVMFLPRNVNLNQLAELSLSANPPWSLEVEQNFLNGRLKAITAYFSDPSVYDSSSP >KZM95536 pep chromosome:ASM162521v1:5:33095153:33096954:-1 gene:DCAR_018778 transcript:KZM95536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGDSRTLNCSVVAIDHFNYCYSVCSLCEKTLTPDNNNVNLNNHTSSSSSSSSSICNHCHFKNPSSSAPKRLFRVLMSVASDTEVFVVVMFDRAARVLFGCSADDFFHFAKSHPFSDLTAGKILEGEMLRVTLSKPKNVNAQHERVVSVIPLRSGFLPVIETLRQLYGGTVDQ >KZM94209 pep chromosome:ASM162521v1:5:19079463:19080869:1 gene:DCAR_017452 transcript:KZM94209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSPRTSVNREVKTCHQCPQHRSSATACKRYGEKAEEAEASEVWSCPKCRDICNCSICRNANDTGASLKKRHASEKEIAAQGKKGKELVIFEGTVLHAQLLPAIPLQQYTMEELSYPSEDMVEEVGEVAEDVNAENGKIYQSLVLGNLFLKLHRTADLSPVLLHDVPFKDISSKIAVPDHPKNSDENGKEFVDVNFEFKDHSPDTNVPPSHQSD >KZM95088 pep chromosome:ASM162521v1:5:28551400:28554508:-1 gene:DCAR_018330 transcript:KZM95088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEYHEEYIRNSRGNQLFTCRWLPFSSPKALVFLCHGYGMECSGFMKGCGNRLARYGYAVVGIDYEGHGRSMGARCYIKRFDNIVDDCISQEEYRDKKKFLYGESMGGAVALLIHKRDPAFWDGAVLVAPMCKISEKVKPHPLVISMLTRVEDIIPKWKIVPTKDVIDSTFKDPVKREEIRGNRLIYQDKPRLKTALEMLRTSMNLEDSLSQVTLPFFVLHGEADTVTDPEVSRALYERASSTDKTMKLYPGMWHGLTSGEPDNNIDAVFADIIAWLDKRSDDESTITAEPLQNSSSDIDKFKTITSSIIISEEKQEKKRWHGKSLCGWKGNRLHHRSAM >KZM93424 pep chromosome:ASM162521v1:5:6180640:6187263:1 gene:DCAR_016669 transcript:KZM93424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSVCWAAGLVMMLVVAAAADGGDVEYDGRSLIVNGKRKILFSGSIHYPRSTPDMWPSLISKAKQGGLQVIQTYVFWNLHEPTPGQYDFSGRNDIVGFIKEIQAQGLYVSLRIGPFIEAEWTYGGLPVWLHDVPGIIYRTDNEPFKNLMHNFTAKIVGMMKSEGLYASQGGPIILSQIENEYKNVESAFHEGGPAYVRWAAEMAVGLRTGVPWMMCKQDDAPDPVINACNGMKCGETFVGPNSPNKPSLWTENWTSFLQTYGEEAPIRSAEDIAYNVALFIVTKNGSFINYYMYHGGTNFGRTGAAYIITSYYDQAPLDEYGLTRQPKYGHLKELHAAVDSCSETILSGTKNNLSLGQSQQAYVYQGKSGECAAFLVNTDKRETVHVNTQFNTRSMIPSLRLNSAERWEMFSEPIPQYNEAIIKANMLLEQTSTTKDVSDYLWYTFSLQKNSSDSKSTLNVDTLGHAILAYVNGDFVGSAHGSRKTNTPSLQSQIQLNRGVNKIALLSVMVGLKDSGAFLEHVYTGIRSVTIQDKQTSNYTHYPWGYQVGLLGEKLQVYTSTGSSKVEWNKYSSSKQLTWYKTVFDEPEGSEPVALNLGSMGKGEAWVNGQSIGRYWVSFHTPAGKPSQTSYHVPRSFLKPKGNLLVLFDEEFGNPLDISIDTVSVTKVCTHISESSDRIISSKGDKKKKQETSAKAHLRCPPKKFISKILFASFGTPLGDCENYSVGRCHASNSKAVVERACVGKRECSVGLSSKKFKGDPCPGVPKHLIIQAQCHSHPDLSLNLTAREQSNLLS >KZM94882 pep chromosome:ASM162521v1:5:26707603:26707974:1 gene:DCAR_018124 transcript:KZM94882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSMLELINLVASNSFVVFCFCNLIIIILLVGISKTASDTNEDRTSLPSNGLVRGSKKYMTAHSKKSHPSFNDKNEFRSFTEPCRVERKQEDDELTERVEAFIDKTTKAWKAEMLQKSIITQ >KZM94735 pep chromosome:ASM162521v1:5:25370525:25372850:1 gene:DCAR_017977 transcript:KZM94735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCHLECALKHEKSGISKDEQETGLNGSFYCLSCGKVNDLLGCLRKQMITARDTRRVDILCYRILLSHKLLTGTKHYQKLYEIVDEAMKKLETDVGPFTGVPAKMARGIVNRLSSGPEIQRLCSSVVELLDLIPSDKGLRMSSNLAVQGIRFEDVGTSSVTVIINYKDPPLGKMSGYTLWHRKVDEGDYQAEPTCTLFEPNARFLLSGLSPATTYFFKVVYFDDNKKLRTYEAQMQTCAAGVPNPKGLEIERSISPTTNCSSLSNPSSVEDESNHQVKSCTNGNVPYSKTAGNNVNSNASDNGGLDHNTSDQRGPEGVPVSLLNEEQVPGKINSRPSFVNLEDKHSSEGPNTEVTSPRNGVNTRIQSAMEFAPSLHSSENGLPNTPCKMEKFKEGIARSIRPVPSKDLANGSGKEDNQQNGNSIMKLSTERKNDKCKETDDKEFGYYVKVIRWLECDGHIEKSFRQKFLTWYSLRATPEQVKVVKVFVDTLIDDPSSLAGQLVDSFTDVISSKRPSAAPVGLCLRLFH >KZM96324 pep chromosome:ASM162521v1:5:40109372:40111306:-1 gene:DCAR_019566 transcript:KZM96324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGGGSDRSKEAVGMMALHEALRTVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVAECMEEMDGEDPVRKAFSKMSIQLYNYGEGWVLGRLMGKVASDKCHKWVFKEPSESETNISNYWQSSFDAVPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRGNASASSAVPIKQPHMPTRPPPLFNWGPRPMASTASVMQSPNFQNSARLGFPQSKDETHMFLHPHSAEPQMEDMMGDHDNDIKWPNGLTFFGALTGRTDDAKLLFNPEGMGGKSEQSHHQNPNDDLSANPNEFLSLDSHSDGARKMENKYKRSYTLPTRMTSSSSSTSMDHHQHNPGEYRNPEAGMYSDVMENFLE >KZM93170 pep chromosome:ASM162521v1:5:3036414:3042545:1 gene:DCAR_016415 transcript:KZM93170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQWQEIKPTPGCMWPSARSGFQFLVYQDEIYLYGGYSKEVSSDKNSSSEKGIVHSDMWSLDPRTWEWNKVKKSGMPPAPRASFSMCVHKKRAVLFGGVVDVEGEGDVMMSLFLNELYGFQLDNHRWYPLELRKEKSTKDKIKRNTDEKLSGGSLDSKASLIKPVNGAANDKEEKLNYNEPMDIDCNIGEMSIDLEKNVSFEDCDVVSITSDGKANELCAKVSKQNSAVQEVVKPCGRINSCMVVGRDTLYVYGGMMEVRDREITLDDLYALNLSKLDEWKCLIAVKASLGGSTSLDVGDAVSVIKGGKKLRRKEKRARIEQIRASLGLSDAQRTPTPGESLKDFYRRTNLYWQMAAYEHTAHTGKELRKDGFDFAESRYKELKPILDELAVLEAEQKAEEEEGPETSSRKRGNKKNKAAATKK >KZM93779 pep chromosome:ASM162521v1:5:10664834:10666690:1 gene:DCAR_017024 transcript:KZM93779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNNCQENEPHTRDFLIAVEKLKTLGIECGWIKEHLLKGETFNWRHVYEILFLLLKMGCSKLLFPKILCQTPGLLFECSGRTASSLIGFLLKFGVTSNQIIDMFLHFPQSEVGLFAQNMRQSYHFLVEVELNGQEIGRIVRTHPELLGSCNLKKLNSLLVCMNVGKARLREIITKDPQVLKNWVMGSRVKPLKLKKESPQKQRIKFLLDLGYVENSPEMKKALKVFRGKAGELQDRFNYLVNAGLDRKDLLEMLKQAPQILNMSTDMLETKIDFLVNGLGYPVSSLVVFPAYISYTIQRVNLRYSMYNWLVAEGTAEPNLALSTIIACSDLAFIKQYVDHHPRGLEIWDKLKREIYSD >KZM96177 pep chromosome:ASM162521v1:5:38875714:38877013:-1 gene:DCAR_019419 transcript:KZM96177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAPISASANQGSQKTDSSSGSGSAYATPNAALSTVKPSAPYAPAPAGYPTTQNPSPPTQANPTYSTTPTPTAQPYQQPNASMPYAAAPAATPYAAMPPSNNPGVAQFPHYTTQPPASQYAPNNPPNPAYPSPYPQNSASLYAPNNPPPPNPAYPSSYPPNSAAPYGPPNPTAPYQDPNAAAQYPPNSAPYHTNPNAPVPYPPNPAAPYPPNNTAAQYPPNSAPQYPPTPYPRPPDQSSAYPPQQPYNPAAQGYSAYPPAQAPTPYPPVSSPYPPSPFPGLAPPQASAPQNNPFPGLFQQPAGVYPPPPGAYPPPPY >KZM93695 pep chromosome:ASM162521v1:5:9320048:9323055:1 gene:DCAR_016940 transcript:KZM93695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGEEEPVSPTGQYMSSSVLNLITLAFLEFQVPIDDLQILDLIKDQFLPINPRFSSKMAEDESGLKKWKRVEVDLQEHVKIPKFPPSEFVYDEYLDEYVAEISMNPLSRTRPLWEIHVIKYKTSNAAATVIFKLHQALGDGYSLIGALLSTLKRADDPSLPLTFPSRQSSSKVNQNNAVNILKRATKVFSGIVDTVLDFGGFLVRGEDDPTPIRSGKQGVEFQQLEYTTLTFSLDQIRQVKDILKVHESMKAAATAMLLFNTRSVEGGYNSVSEMLKRDSAMPWGNQITATHVNIPKLMAKTNSYSELEEFVLKIHESTQRKKNSSAIFLTGLFIDGVRKFKGSEAAARFIHSDIRKSSLVLSNLIGPMEQMALADHPVKGLYFTFSGAPLSLGMNVISYMGNLRVAIASEKGFIDQLKLKACVQEAFDTIFASLL >KZM95573 pep chromosome:ASM162521v1:5:33531625:33541987:-1 gene:DCAR_018815 transcript:KZM95573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQDSISDQPIVSPPAPPAQPEPESTQPPAPVPEPGEKRKQPEPDSAPTPPPPEKSKHPLWKTSLCSYFRRTGGECSHGETCRYAHSEEELRQRPDNTWDPTSERGKKMKVENGESVEVKRGTDEVSITEAIGEDCCSDNVLEKCLINLPMRWSSDNLRSFLTDNGVHFKSAKKRKGMLVGFVSFETAEQVKSAVEELNGKSIGNKDLKIVDATPRAYEKRKAGEISLPQSTQNTSENSDAPKSSIGVDNTDSNDDNMTVESTVSKSRSARDSVTPLAHMSYVDQLEHKKSSLTQMLKKLTRNARKACPNGVSLPEWILNSREIGGLACKLEGIVESPLVSGYRNKCEFSVGYSLQAKVTVGFSLGNFREGVIAVEEPLNCPNVSKIACKYAAIFQEFLQHSELPIWNRLNNTGFWRQLTVREGRKPGQSTEVEDSEAHIAEVLLMVQVSTAGFDDGMVNGEFEKMTNAFATGASANTPPLPLTALLIQDHTGISNVAPADAPLRSLTIPNEKSETRQDDVKDVVEARIHDYISNLQFCISATAFFQVNTLAAEKLYSLAGDWAGLGPDTLLFDICCGTGTIGLTLAHRVGMVVGIEMNASAVSDAIRNAEINGIKNCRFVCSKAEDALGSLLKEYLKMHQKPVDVPQIDDVTVHGEVTHTENATDTKENLIIELENCDGAPKCDTNGGQKSDSQQSCSSATAKPVQYFKNVVAIVDPPRVGLHPTVIKALRTHPHLRRLVYISCNPESLVANAIELCTPSFDKTEKGNKNNRGWRNMSSAGLARHRAKSMPNSEPFQPIKAMAVDLFPHTPHCELVMLLER >KZM95552 pep chromosome:ASM162521v1:5:33248935:33252859:1 gene:DCAR_018794 transcript:KZM95552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKWRKAKMALGLNTCLYVPKTLEDAPPAAGTRFSDASGLSPTASQAESQRLQMPTTPTPSSSGLRLPKHTSKSSKTMCSICLTTMKPGQGHAIFTAECSHSFHFHCITNNVKHGNQICPVCRAKWKEVPFQSPASDLSGRRSRISRGVWPQDNGWMTVVQRLPHSRPDANRHISPLRQAHEPSVFDDDELMDHQREVTAKSSSDNTYTSDQSIGAIEIKTYPEVSSVARSASHSNFNILLHLKAPISGEQHNGEDQAISNSQNPRAPVDLVTVLDISGSMAGTKLVLLKRAMGFVIQNLGPSDRLSVIAFSSTARRLFPLRRMTDAGRQEALQAVNALISNGGTNIAEGLRKGAKVMTDRKCKSPVSSIILLSDGQDTYTITSPRTNSRTDYQSLLPSSMRRGSGAGPHIPVHSFGFGADHDAVSMHSISEASGGTFSFIEAESVIQDAFAQCIGGLLSVVVQELQVVVDCVNPSLCLNSIKAGNYKSTVTADARTGFIEVGDLYAEEERDFLVILDIPVDKYSADMTLINVKYHYKDPITKELVSLEESRNVVIQRPEDAGQLSVSMEVDRQRNRLCAAEAMAEARAAAERGDLTAAVSVLEQCRKQLSETVSAKAGDRLCVALGAELKEMQERMANRRVYESSGRAYVLSGLSSHSWQRATARGDSMDSTTLMHAYQTPSMVDMVTRSQTMYFGSPSPQLPARPARSFSTQPQPR >KZM95325 pep chromosome:ASM162521v1:5:31179936:31180739:1 gene:DCAR_018567 transcript:KZM95325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRTDNEIKNLWNTHIKKKLRKMGIDPLTHKPLNADPPPDNHHDHYQPQEAELQNGEETQGHDKDQEDEISLIFEESTITHADDQPAKEQELMDTGDGFLHQVPMNIEPNENNDNAFSIYEVPLIEPYKNIEGGFSTHEVLLLEPNENTRDGFSTNEVQFTESEGTLSGPDLPSSCSSTTCYNTSASTTISISTTNSILEDLKSLQSFEDWQSDGHRKICMENPGDAYEDDFIDWNWLINDFDIDTIDFELIKSLPDPITLQNNLG >KZM94240 pep chromosome:ASM162521v1:5:19864246:19864986:1 gene:DCAR_017483 transcript:KZM94240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTSAKSLQKKSRSIRPMSPLLLLDIDVLLKICHLLQQDGFIDLFFLIQVWFPFQTPEAINKLLHNLDWIVHEVIEPFKNLECRVFNNFVKHTVNIGVKGALCYHACKKLIRGNNPTQQLHTLSNIADDDNLCFLAYYVFKTIYDPSTLKQNGQILQQKISESAEFSFDLEKNCRALKGRFGKYNRFWLDRPDIFPQNGVCSSYVSGKAHNMDPYGLGCRYREIVTATCSECMILMINFKIFRGY >KZM95349 pep chromosome:ASM162521v1:5:31348833:31350403:-1 gene:DCAR_018591 transcript:KZM95349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQGNEFKDFASKDLFPQLTDDLLLLILSMLNCDLKFLCQCMLISKRFALLIPLISSGTLSIPGPQVRSFFLGQVTYAFRALKSFPRIRSLHLIFIEEEDQKFDLKWKAVFRSNTCSCACLVYNSIAKLSSVSGSVSATTTAADSDTITSTAFRYIVTPNLWRKLLEVRYPLVDSVVITDSKRQGKVCYRNLEPRDARTYSTVLDIWNNSFGEGQTSFKFIETPELRLPKSGFIMKGVYLAICKVGENSTEDDDILSCSFGEEEIVFGEALTLVLGTPKDKMRVSRRFTATHLQQSSLFSLTSAISFCKISRKTNIINPISMASLSPSSSLFRYLPNLLFPPPLLSLSWSSIITKLSSATAIAANN >KZM95744 pep chromosome:ASM162521v1:5:35125020:35126063:-1 gene:DCAR_018986 transcript:KZM95744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMKGKFLKKLKSVKSITYLKQDLILQATTPDGFVQNLISKSKNFKIPTQFRDPRKKDDCNDIEKRRQIGGEACEVEDVEEEEDIVANELADDDKENVGDREMCESRGLSLSVGRPLLEIDNSGLKSSGIGSGSCSDPELLAVFEQAVREVKVEENERRGRIEEGIEENVEEDRPLKARRISEIESPLLEFEEKCPPGGENKVILYTTGLRGIRKTFEDCARIRFLLQIFRVSYFERDVSMHLEFKEELWRVLGEKVVPPKLFIKGRYIGGAEVVVVLHEQGRLRRLFDGVLVDGSEGVCEVCVGVRFLICVVCNGSRRVFVRRDETVKQCEECNENGLIICPICC >KZM94487 pep chromosome:ASM162521v1:5:22963106:22963679:-1 gene:DCAR_017730 transcript:KZM94487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KZM93256 pep chromosome:ASM162521v1:5:4079823:4082958:-1 gene:DCAR_016501 transcript:KZM93256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSGTTQKCKACEKTVYVMDELTADNKVYHKSCFRCHHCKGTLKLFNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGASKTRVERSADQGQNNTRISRMFGGTQEKCVACKKTVYPIEKVAVDGTAYHRACFKCSHGGCFISPSNFVAHEHQIYCRHHHSQLFKAKGNFSQLVKQDQVKEMLEQDQVKEVIKQDQVKEVVKQDQVKEVEKQNQVKEVEKHDQVKEVEKHDQVTDVVKHDGVTDVVKHDEVKEVTENTTTI >KZM96471 pep chromosome:ASM162521v1:5:41208576:41210997:1 gene:DCAR_019713 transcript:KZM96471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSSKHSYSLHSSPFSSPKVSALLKIKILSWSQESGLPISVRVHVAGRTFNLHKYPLFTKSKYLNRKLRDSNEVELPRDFPGGPETFEMIALFIYGASTLVNPFNVAALRCAAEFLELREESNSRNLCERFDIYLNQVALQNWNDTLIVLRKCETLLPWAEELRIVSRCIESLAFMACMEILDPERRRDQPVVTLEALASQAWSHQIVEEIVDVWIKDLIALPFRFFQRIIKSLRRQGMRDKYVAPIILFYANKWVLSLKTGNFRESSGDTNSQSLAILQGVVSLLPMGENASKIIPVGFYFSLLSKCLELGLTNDTINAKLKDLIVSLLNTAFLEDFLLPTTETGSFSSSFELVTMESIFYSYVSVNISSSSTPLPSMTIVAELWDMYLGHIATDPVHLDLSEEEREMICRDLKCQKMSQEICVEVVQNELMPLRLIVQALYVQQRSTHQALKDCSESFRYTVSSKFSENLARSQILGASPYIEGEETCSRTLSFLLQRDFAMESSEASMDDYKSTTCRIQNLEHELITLKEKIEVQNFSKSINQPTSEKQQSLKPYCLGARTLSKKRNPIGHGTGCIGSLNFISQRKYVNNLLKVFRSLHLLGSKKKKKKPRAPSLWQK >KZM94501 pep chromosome:ASM162521v1:5:23038816:23041789:1 gene:DCAR_017744 transcript:KZM94501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFVQFVCAVVCNALSNRETVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDIGGNARALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKNSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAERYKSEDEEHKKKVEAKNALENYAYNMRNTIKDEKISSNLGAEDKKKIEDAIEQAIQWLDGNQLAEVDEFEDKMKELEGICNPIIAKMYQGGAGGMGGMGATMDEDGPAGGAGAGAGPKIEEVD >KZM93541 pep chromosome:ASM162521v1:5:7596373:7598109:-1 gene:DCAR_016786 transcript:KZM93541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPESSAREASFRQDLEVPSASKRRVKSISQKLKKKSVTSGEEDDTAGISFRCLTLYGRSGGCKVGADATEDFGDMVGKRRSNASEEGKGYNTICGTEETAVDCFSYGVKDKFWKKTNKKILELKESQRSSGMHVFLPDDILEMCLMRLPLTSLMTARLVCKKWRNMTRTSRFMQLRREGLYQTPWLFVFGVIKDGFCSGEIHAFDVSFNQWHKIDAEVLKGRFMFSVASIQDDVYVIGGCSSLNNFGKMDRSSYKTHKGVVVFSPLTKSWRKAASMKYARSAPILGTYEICSDCSIIKNQHVRQDRRFLRSRVGGVSDVYEDPHRLSVRRQVRSSLDENEVSFFSSMKSNKFVRPKSDHSSAKVRKRFVLIAVGGVGNWDEPLDSGEIYDSASNKWMEIQRLPVDFGIVCSGVVCNGMFYVYSENDKLAGYDIERGFWVGIQMTPFPPRVQEYYPKLISCNHRLFMLSVSWCEGDGQIGRRNKAVRKLWELDLMYLTWTEISVHPDAPLDWNAAFVADRNLIFGVEMFKIFGQVLDFLTVCDVSVAESNWDHISRNHVAHEVDASSCLTKSLAVLHL >KZM95520 pep chromosome:ASM162521v1:5:32934019:32934846:-1 gene:DCAR_018762 transcript:KZM95520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLGSDIEEASSQELTMEARRRIIFRGKRTKRSRPRPSTVVTSSSSTTGGERGLEGDAYSLIPSPQSYGNSASTQEDEDMANCLMLLAQSGGSFNQKQHHDDEIYTKKLSSRKFNEMVSSTSGKEEFYAYECKTCNRSFTSFQALGGHRASHKKPKNLIDEKGALISTILNDKEDQEHGLFNKTSAIISQQKAKVHECSTCGSEFSSGQALGGHMRRHRIPTTNTISSIIDHDDQKPRNHVLQLDLNLPAPEDDHYDSKFQFVFSTAALVDCHY >KZM92910 pep chromosome:ASM162521v1:5:703399:708745:-1 gene:DCAR_016155 transcript:KZM92910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFATAALASRKQVCSRILSSRNYVAKDINYGSAARMAMLQGVNDLAEAVKGRNVIIESSRGSPKVTKDGVTVAKSINFEDKAKNVGAALVKQVANATNTAAGDGTTCATVLTQAIYAEGCKSIASGINVMDLRSGIMMAVDAVISNLKSRATMISTPEEIVQVATISANGDREIGELIGRAMEKVGKEGVITIVDGNTLDDELEVVEGMKLGRGYISPYFVTDAKTQKCELENPLILIHDKKISDMNTLVRILEMAIKRKRPLLIIAEDLEIDVLAMLVLNKHRAGVKVCAIKSPGFGDNRRANLADIAVLTGGEVISEERGSSLDKVSIDVLGTAKKVTVSLDDTLILHGGGDKKQIEERCEELRVTIEKSTAMFDKEKAQERLSKLSGGVAVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLHATKVLKDLRTVNDSQKRGVQIIENALKTPTHTIVSNAGGDGALVLGKLLEQDDLNMGYDAAKGQYVDMVKAGIIDPLKVVRTALVDAASVSLLLTTTEASIVDRLGEKNPLANRMPDMDALGY >KZM93432 pep chromosome:ASM162521v1:5:6315820:6316675:-1 gene:DCAR_016677 transcript:KZM93432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVLSAAAALGKNRKMSGEAAETSINRLRLLFCGPHFAAADIYTREYLQAHCPLIQVDSVPLDDVARVIGNYDMCVVKSMRLNSTIISRVDIHAATTHGIKVAKIPSAKTGNAASCAEMAIYLMLGLLRKQVGPT >KZM94702 pep chromosome:ASM162521v1:5:25110061:25110912:-1 gene:DCAR_017944 transcript:KZM94702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMYSELPAPYDVCCLIKAPRKMQSIFSALGDLHLCKFPRVLFGDQAAKWATTNCTRTLSSRLETVLTIVGLYHWPCMRTLTCCNVSMVSRSYGLAAAALACAIRSTSAITWIYVGLLELIVAQDRPKFIFLEAALIG >KZM94034 pep chromosome:ASM162521v1:5:14121474:14124205:-1 gene:DCAR_017279 transcript:KZM94034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIVDPKTDLFHTDYVEEETKFVCQNFASNYIPGIVILPKEFCDEFGEKMPERLLLLVPGKVVWNALFRKDRSWIEGLEKMMIYYCIKPYYMLIFEYVGGPSFNLEIYNPYGVEINYWISPKSDEALSADRSFFEFSDLEIDKLCGTLSHNVYNNGSGLYDLVVNQKHLRKKEYYKILKRKACRKLGLDESMEKLKLCFKNLVCKLKLIWDDGKVYFDRKWYALAKAWKLKEGDTVVFHMTGKKQKFEICVYDDDILSKCNKSGGGKKTGVMNWFKFVNESFLRGGQMEIPRVFMQSGSASFSEKVELITRDGDSSYAEFCPRRNFLTGMRDFLRRYGVDENDVIVFQYVKASTFAVSLFKFSGMEFKYNCESTIQSTTVNNVPQPDVIMISDSSVGVADVGMEEIGNEDPENNMEADFEEVEDINIVSFQVTLKRSHVDKKCHGLYLPKLLYSTFKSWRSGTNIRLLSDDDVYNVSVLRRNRQCRLGSGWTDFTVGNEFEEGQRIQMDYVHEKTFRVTLLE >KZM94089 pep chromosome:ASM162521v1:5:15336776:15337054:-1 gene:DCAR_017334 transcript:KZM94089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKLKKAGWKSPPIKKCARRPVLDYHNGGANSENIEDEGANTRNGTEGANTQTVDNKGANNDNVGNDNDRDDANVEDEVLGYNSKETEHHD >KZM93042 pep chromosome:ASM162521v1:5:1933072:1934583:-1 gene:DCAR_016287 transcript:KZM93042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFLNRFNHLKALDFSKFKGNVDEILDSVFSCGLSIEFLDVSNQERLPVLTGNIRSLKVFKCTNLGCLSDADILSISVVLPFLEELDISYPNNSKFDSNLNLNKFIVTDAGIETLWSSLRKLQRVNISGNHFITDRSVVGLSMNCLQLSEIVMFDCSFITHNSFHFILCNCHHLSVISANRIQIPPRVSSTYVRGLCSVDLSDCDTISDEFLLSIAKACWPLESLSLRRCRGFTLFGISQLLSAYPSLKHLALVKAHFLTDQCVSKLARYLVNLVSINLNSCFPLTTITFVMLVAECPLLEHIEMGRTSVGQVDHFLVVKNKRIKYLNLSGSLHVSDKLLKYIASTCPNMELLNISSTHYTTEMGIADILKICHGMRNFQIADCAGISSLGAGSKLPTLEVLNVARSGFGDEGLETISKRCPGLLKLDMEGCVAATTEQVEELLRRCEKLREINLKECSVKVLSIAARIVSLRRSYLKVTPPSTLSFSNYHKHLWSHGCLLE >KZM94911 pep chromosome:ASM162521v1:5:26944073:26944925:1 gene:DCAR_018153 transcript:KZM94911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCHIHSLNPQILCRIYNRRSPKEHSSPYLNVLQLQPKFRINKTIAFSSNQQDPIPTITSVPPTQLQEPSAFTIEFKTLESCKLGIASYPDFKYNAQGGEGIGKGTNIKESNVETMVDFDVKTLYIPPLTTATTKFLGLPLPPFLRIDIVPQLFKGSINTKSGQVDLKFKAEFLFSIGSIYKAPPLLVETVLTSEESKGTMKEGRGERMDTEGRCRLVGVATVEPIDDFLLDSFLGLPTECLADLNALISFTTI >KZM93013 pep chromosome:ASM162521v1:5:1695098:1698672:1 gene:DCAR_016258 transcript:KZM93013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILLHGTLHVTIFEVDHLKAGSGGGFLGKLKANLEEAVGFGEGTPKIYASIDLDKARVGRTRMIENEPNNPKWNESFHIYCGHSTTNVIFTVKDDNPIGATLIGRAYLPVEEILDGEEVDRWVEILDEDKNPISEGSKIHVQLQFFDISQDRNWARGVKSSKFPGVPYTFFSQRPGCRISLYQDAHVPDNFVPKIPLSGGKFYEPHRCWEDIFDAITNAKHFIYITGWSVYTEFALIRDTRRPKPGGDIMLGELLKKKADEGVRVLMLVWDDRTSVGQLKKDGLMATHDQETEEYFRDSNVHCVLCLRNPDDSGGIIQGLTISTIFTHHQKIVVVDSEMPTPGSENRRVVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFEGAAITKGGPREPWHDIHSRLEGPVAWDVLFNFEQRWRKQGGKDILLNLRELQDIIIPPSPVTFPDDDETWNVQLFRSIDEGAAFGFPQTPEEAAKAGLVSGKENIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFGWNSEDIKDEDIGALHLIPKELSLKIVSKIEAGERFTVYVVLPMWPEGIPESGSVQAILDWQRRTMEMMYKDIIQALQAKGIEEDPRNYLTFFCLGNREVKRDGEYEPSEQPDPDTDYSRAQESRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGAKDSEIAMGAYQPHHLATREPARGQIHGFRMSLWYEHLGMLDDLFAQPHNVDCVHKVNTVADKYWDLYSSETLEKDLPGHLLRYPIGVSSEGTVTELPGMEFFPDTKARVLGAKSDYLPPILTT >KZM94000 pep chromosome:ASM162521v1:5:13579758:13584414:1 gene:DCAR_017245 transcript:KZM94000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYEGEGDEMNNNNNGSPLLTKINNNGAALDSDSKSQRGSEREISRSRDKERERGSGRDRDRDREREKESSRDRDRDRDRERSRDKGRDRDRDRDRDRHRERDRYRERERSDRTDRGRGRGDEDGSRNSRDDRKRDYDRDSYREERSRNKSESRGRDRSEHRSKSGSPKSRSRSRSKSQFGLLAPVCKGCEPTSVAFMYKETQCIGFTGQIPGTTPTIPGMFPNMFSLSTGQFGGLPVMPVQAMTQQATRHARRVYVGGLPPSANEQSVATFFSHVMSAIAGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLGAVGLMPGSAGGLEGPDRIFVGGVPYYFTEVQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQESVLLHAQQQIALQRLMLQQPPAPIGIASKVLCLTQVVTEDELKDDEDYEDILADMKTECGKFGNLVNVVIPRPNPNGEASAGVGKVFLEYVDTESASKARAGLHGRKFGGNQVVAVFYQEDKYLQQDYED >KZM94926 pep chromosome:ASM162521v1:5:27093617:27098954:-1 gene:DCAR_018168 transcript:KZM94926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRMFDHIQSLEKSRTNWRIKARLTRFWPTFSPETSTIKGYNLILLDDDNTHVHAYAYPDNWRAIGKEVAEGKIYTVENFQVRDTIGKLRPVSTKLCIRLLSSTIIECVEDDALIPNHKFEFMDMGDLLEECNRLTENQNPEFAYDVIGVVEHFKHVTRKQTRYGERDQTRFMFSDGRLKFSVTLWGDFASSVNQTYTAELQKPVIGILTSAKLSTFRQENQIGALPSTKIYFNLDIDPVTEFRERLIEEGYKSPPDTDESSSEPAPRAVIERTSFKELIENSLSFVLKRTVVVKFVINKIEEEDSWWFNSCVSCHSEVEKVDKKFKCAECKRNFGYCEKRFRIVVLADDTTLVTNVILLDRVVKRMGATTVANILNLMKKDSSVTAESAVFKSIVGKEVTALLQLSDANVNGDSNLYNVVDLCDSSMFETAIIQSTPAQATNSFSVDVDSPIVGIELFQTPGSSESVAKKIKMDFHVHAFVIPEALDDLNIPIYEGSMYIVENFVTRRAMGTLRPVTSDMCIILNETSIVTNIPLEIGRFPRYKFEITQLGDIYSIARNLAPDEEPLYALDIVGIIIDVGDTAIEATTSGMRQSVRFNLYDGRNTIRVVLLDEKVSLLGHILDGDYQLEPIVILTSMRPHFRNGVLQVSSTEATKVYVNIYYHAVWQIRRR >KZM93709 pep chromosome:ASM162521v1:5:9468903:9474547:-1 gene:DCAR_016954 transcript:KZM93709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRKPIWPRSHFVSIKFNSAKFCPEVLKRHRFGLGSVGFASSGESVETQEVEDEVFDSQLQDDADSAAVEDDGETATEDETSEEEEPASAIIASLQSISKQFAEVLGSLGVLPVETTGNPFDPMLHEAIMREDSDEFEEGIILQEFRKGFKLGDRLLRPSMVKVSAGPGPAKSISVQPSGASEGSADVPEAREEDSAEPAPPED >KZM94450 pep chromosome:ASM162521v1:5:22527339:22527911:-1 gene:DCAR_017693 transcript:KZM94450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDETLTSISMLPSLIAESVQAMMQSYGPGGIYGVHVFDACTDLTGKPILICQIFKYGRNTAIEGDNTSLKAPMPCTLEEFEDWICNKRAIGIAVLKSKLEDFIRGRKACVLGTRMGGDVEEILTLYYNNDVLKTDTTELTAMYKRVTEGKYNHSMKTRELYKEMTPGQRRKTVVTLQSPSKEWEDVQKD >KZM96556 pep chromosome:ASM162521v1:5:41806782:41813864:1 gene:DCAR_019798 transcript:KZM96556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVAVAVVLGSLLALWSCSVFAALPDSDTTFEDNDKHLVNQLHLLNSNLALLESRVDERVHELKLKDENIEQLESIIQEKSTSVNSLKNQVKSLQEGGSLDAKYKLVEATVRAGDLEKQVDSLKKELETQTKRKAVLESRANLAEKKIQDLNHKLENLQRINVEQKNRILKTEHAIQVAEEEMVKAKSEVADFSKEVREVHESWIPPWLTVHLANSRKVEFEYWLAPHIEIVKTHWAPTVKEMWSAFVTNIGPNVQLLAEKTVEIYYSSKEIIGPHVAVVQKAIDSYLKEVEQFSRPYVNLVSTTVKPHVDKAQVIFKPYTKKVLRGYRKFMKAVAVYHRQVRAVIQEFLQSHDITKAVATKEIVWYMASALMTLPVVIIFNYISAIFSKKPKKRARHSHSNHTRRRAKRAHSDK >KZM94022 pep chromosome:ASM162521v1:5:13968800:13979911:1 gene:DCAR_017267 transcript:KZM94022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRSKPPAVDGLWDGRRSETFISDTSLQSGLSDISNHSRLASRQNSASQLFDDRLLSHSRAKPGRRLKSQSIARSGEVTTGQLTSNETGDVQVSCKKRKLKRKGGFLAGEVNKKLASGQKKPDMSEKDFPGYEDIENSIIVGHDCVDESMFSDEEFEDDEYWQHAQNLNSRYNKNFAKAQKYENKIVVGNIHVLSNFFVKDYKPDEKFRCVCCEKQIYFTNFTEVEVIPDDDTMIPRNVFDFADLGDLIDIADDNTYLTAQKYENKIVVGNIHVLSNFFVKDYKPDEKFRCVCCEKQIYFTNFTEVEVIPDDDTMIPRNVFDFADLGDLIDIADDNTYLTEKADISNVTATTFYLNYDHHSVNELRRMLNSPLFSKVDFSSQMPPVFEEHEISSVKALGLEYLDIEVICEIKIILIVQMDWYKAECSSCYRKIEIVDGEYRCIICNRDLPFADKKFHIMAEAIDQNDSMTIALNDQTVRKLIGKTGCTNEKVPSIINTLESKWYSAKVKISSHNIDRSISFFYVTDMFEIKGGSSSSTSQTSVRLEEMSSSSIHLDGLEDLSFNSPEAGRIHAFIARPHIFQLQNLIREGETYEINNFVVRRYTVHSNRCFRNDIYFQLNQMTEVLLIGGVEHIPPNVFEFIDLSDVMVAANRNEYLIDVVGILDQLQPISTFTNRRNQQQPCIRFTIKDMQTRTEVTFYDEMAESFDQAVNDAFQHPFIIIISSCKAQSFRAFIEIHNFANLEITDKKCDWKIQAMVSRRWNQVNRNTGEVFGINLLLVDEFVYIV >KZM94429 pep chromosome:ASM162521v1:5:22220162:22220413:1 gene:DCAR_017672 transcript:KZM94429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGLLQIVRQRERLYHLQDLVCLKCNQVKAAHLAEHCACAGSFRCKEVFPEFRSKMEVLFKIAKHQKFQLLQECTSRILEVK >KZM95747 pep chromosome:ASM162521v1:5:35145889:35154648:1 gene:DCAR_018989 transcript:KZM95747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIPAQLNCFTRLIASPPYRSSQFKTFRNCFRYFVNRPCSHHLLQHRRQLLCRRHSSFYRRFCVSSIHNSTPGEERYDVIVVGGGHAGCEAAIASAQLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGVIGKIADRCYLQKRILNISRGPAVWALRAQTDKREYATEMRKIVESTPNLSIREAMVTDILLGNNDNIKGVRTFFGMDFFAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFETDRLKTGTPARVDQRSVDFSGLEPQHGDDEVSWFSFDPDFHIQREQLCCYLTRTTKSTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKVVRFKDKESHQIFLEPEGRDVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAYQCSRSLMTKKIEGLFFSGQLNGTTGYEEAASQGIISGINAARHSDGKPLVVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKLYQDKQARISEEKKRLKTVKITGGDLSADVTELSGQPVKGSSTLENLLKKPHIHYKVLDKHGFGNEHLSKMEKDCVEIDIKYEGFINRQKSQLLQMVHRQHIPLPQDLNYHDMTTLCIEAREKLSKVRPQTIGQASRVGGVSPADITALLIILETDRRKVQQQKRHQIVEQIKRDADQNVSKVPSS >KZM96436 pep chromosome:ASM162521v1:5:40953009:40956686:1 gene:DCAR_019678 transcript:KZM96436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFTSTGSSCLSNISPEGTLIKQDSNGTGAATYSMLEDWTGMDREKAKEYIISCQ >KZM94592 pep chromosome:ASM162521v1:5:23907164:23907815:-1 gene:DCAR_017835 transcript:KZM94592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSPLERNTMISLEQKLATAKHCSHEGVVAGAKAAVVATIATAIPTLASARMLPWARANLNHTAQALIISTVAGAAYFIVADKTVLASARRNSFNQSSLSNLDA >KZM95859 pep chromosome:ASM162521v1:5:36317388:36317609:-1 gene:DCAR_019101 transcript:KZM95859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRAEKIRIQKWYNIYKDHITLRDYEIHDGMGLELYYN >KZM95980 pep chromosome:ASM162521v1:5:37208881:37211229:-1 gene:DCAR_019222 transcript:KZM95980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLIVFPQADEKSLINLEDKELESLPIKFEPLAETSEEKSSSYNILVPFAIAKSSSYNVKVASYDSPIGGEVEDVAYEGEAEYEHDDNVTLKREFYDNGGFVKSRGENDQLVKFNAYNSFNNESSDPVDSVIEKEAENEADMILSGHVSDPGTAKAEVWASPKLKRSCSNLETSDVLKKIPDSMPLSKSQSFEEMQRVTKRMTGVAHPRSLGSPNSVMTHRSADKVMLKKCSSSQILPSRSRRLWWKLFLWSHRNLHEPWTAKQLPDKPPLNQQGGYSSDTYEPNRGTRFGSGNSFTFSKGDEDDDNQSWDKDNDNHSWNKIRRISGSLPENQWVAFASGSSSSMARVDEWVKDLSGESPCVVDDGALPEDGNSFPPSPESSKLPAKTSHLPRSQDLNLPEHIAHANSVIQSLNSSSTVAHMSGIGLQVIPAIWRFSSLRSVNLSANHIVRISSGSLPKGLHTLNLSRNKLSTIEGLKELTRLRHLDLSYNKISRIGQGLSNCTLIKELYLAGNKISDVEGLHRLSKLTILDLSFNKITTTKALGQIVANYNSLLALNLLGNPIHNNISDDQLRKAVCSLLPKLAYLNKQPINPQKAREIAAESIARAALGSSDKGIRRRAVKKSQGVSSVSSPKRNAVVTRKSKHRLKSRTHQLSSLQTK >KZM93308 pep chromosome:ASM162521v1:5:4648316:4652096:-1 gene:DCAR_016553 transcript:KZM93308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKWRKAKLALGLNLRAYVPPHPTSSDDALLSPVASPANSSSPSARFSASFFTRSPKKTCSICLATMKHGDGCAIFTAECSHSFHFHCISSNVKHGNQICPVCRANWKEIPWQSSALEPPAGKARIDRVEWSHDYTSMAVRLPSPRPNSTRHVFPEPAVFDDDEALDDKTKNAEKIATYTSPLLEDIESCKTGTVMVQTFAEVPAVPEFSAYDNFTVLVHLKAPASFSESNCETAQSRLPQHSQSPRTPIDLVTVLDISGSMSGTKIALLKRAMGFVIQNLGYNDRLAVIAFSSTARRLFPLRRMSETGRQQALQAVNSLVATGGTNIAEGLKKGAKVMEDRREKNPVASIILLSDGQDTYTVFGSSNNQNVPNYDLLLPTSIQGRASSSFRVPVHAFGFGTDHDASSMHSISETSGGTFSFIEAESVIQDAFAQCIGGLLSVVVKELRVTIESVDSGIKFNSIKSGSYPHRVMPDQRAAYVEVGDLYADEERDFLVSLNVPEEFLNKETALLKVKSVYINPLTGHTVTMDSEELKIKRPETAGEELVSVEVDRQRNRLQAANAMAEARIAAEQGDLAGAVSILENFRKMLSQTASAKSNDHLCVGLDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDSSSLVHAYQTQSMAEMLSRSQASSSVSISKHMFLQPKPR >KZM95942 pep chromosome:ASM162521v1:5:36943758:36945125:-1 gene:DCAR_019184 transcript:KZM95942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQMHGEQIKSAEELKNCSAGFRFPILAKEAIQVKGALARPVFDESGGRLRSSELFAMLPKGVPVPPAGPSPGIN >KZM93310 pep chromosome:ASM162521v1:5:4671252:4673477:1 gene:DCAR_016555 transcript:KZM93310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSMLPSRFFICLIAVAMCLLVFSSTFLFRLNDNSFMPGGLESVDDYNSSEYFISDLRQSVSQTAETDTITPFSKKTEISDELVPQITDVPRTVSLGYDEVKVCDPTRAILRVYMYDLPPEFHFGLLGWKGGPGQLWPDVSKTSQIPRYPGGLNLQHSIAYWLILDLLSSNSEEVQRPCSAIRVQNANDADIIFVPFLSSLSYNRFSKPTRKKRVSINRMLQDKLVRFLKDQDEWKRSGGKNHLIVAHHPNSMVSARRELGSARFVLADFGRYSKQIANLEKDVIAPYQHVIKTTPANISAPFEDRPILVYFQGAIYRKDGGAIRHELYYLLKDEKDVHFSFGSVRANGIRQAGRGMASSKFCLNIAGDTPSSNRLFDAIATHCVPVIISDDIELPYEDVLDYSEFCIFIRATDAVRKGYLLRLLRGIDQDKWTKMWNRLKETAKHFEYQFPSRPGDAVDMIWGVISRKLSSSYNEVYRKNRYNKTKLLLKTS >KZM94830 pep chromosome:ASM162521v1:5:26307815:26310541:1 gene:DCAR_018072 transcript:KZM94830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVRDGDVSLVKQDRNFKPDSKRRKVDTRRPSGKYCGVGGVYDEECIVEIANKRAKVECLDHPGCDNGYNSECKDLISTKCGREVERFVGSRAIDGEVEEGEIVEEDIEEVEEGEIIEDAVSDYVEDVNGEGDDVEIDKPVDETGRNSNSWGMVCYNDRETNLPENKSASPRDVEGGIMKGDFNDSIEVVIGTGTEVEKDKKVHVTETNLKNQEMICYKEAETSLNSQEMVCYNAQSIKSCDYIMIDDPKKGTGHNLNGEINGSKMCSQGTKSVLRKQGKRVSDCAPTIATLSRFKAKADGSNNCPVEPKRSSVPKMNFAKRVSVLYGKGEGRDTLADKKLNNMSIVPHDGQETPIRKKVKETLNLFQQVLQKLLLGKEKVSQYTYVEAAMQVKEQGKWVNMDRRILGAVPGVEIGDKYHCRAELVIIGLHHPFAAGIDSMEVDGKKIAISIVASGRYANETEFPDVITYSGEGGNPAIKDRELKDQKLERGNLALKNSMDAETPVRVVRGYRAWKSFRAGDKKREKEATFTYDGLYVVSKYWQEKGRHGNLIYMFQLNRIKGQPKLTVNSLSSETSTISGSSANSSISRRSRKCKRILSKIGRSNPLNMPENCQTLITLGKAEVPRNPILVDDISYGKEKIAIRLVNDIDDAIPPVFNYIANMVYPHLRKSSTKTKSCQCMDGCSDSVKCSCVVKNRGKVPFNEDGAILRQKTIIYECGPSCKCPPSCNNRVSQLGIKFELEVFRTESVGWGLRSRDFIPSGSFICEYVGELLEDSQAEERSDCDDYLFNLGGNDEYTIDAGIYGNVGRFLNHSCSPNLYAQNVLYDHGDKRMPHVMLFATSDIPPLQELTFDYNYEIDSVYDANGNVKTKTCCCGAPDCSGRMY >KZM95225 pep chromosome:ASM162521v1:5:30331978:30340768:1 gene:DCAR_018467 transcript:KZM95225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPKPWKAEYAKSGRSSCRTCKSPIDKEAFRLGKMVQSSQFDGFMPMWNHASCILKKANQIKSQDDVDGLDMLRWEDQEKIRKYADGSEAPKSGGSRSSRAAASAATASGIEVSQSSRATCRQCNQKIMKGDVRISAKPEGQGAKGVTWHHANCYMESSPTIEVNKLSGWDDLSASDQTAVIAMIEKSTSKGPINDPVDDTKPMQQSTSKGNAKRKSASTSEQKLKVAKAEGDVLPNTPKKNADALGIDSPRASTVDRELETQSKELWSLKDDLRKHVTTSELREMLEVNEQNSKGSELDLRDRCADGMLFGKLENCPLCSGYLYYSGGMYKCSGFLSAWSKCSYSTTESVRVQGKWKIPEGSENEYLVKWFKSQKVKKPVRVLPPPTPSKPSGTPSTKDGRLEDLKVAFNGLSGPAMEEWRSKLGEVGVQIHEKVKKETNCLVVSEMVNGQNAEVKKARRMKLPIVRENYLVDCLKRQKKLPFDLYKIEALGETSGSDVVTVKVKGRGAVHEASGLQDTGHILEVGKSIYNTTLNLSDLSTGVNSYYILQIIEEDKGSNCYVFRKWGRVGNEKIGGNKLDERDKEDAIEEFERLFLEKTGNTWRAWERKVDFQKRPGKFFPLEIDYGVNKEMVQRKNFKDSDSKLARPLLELMKMLFNVETYRAAMMEFEINMSEMPLGKLSKRNIQQGFEALTEIQNLLSSSAYNPTVRDSMIVDASNRFFTMIPSVHPHVIRDEDDFKSKVKMLEALQDIEIASRLVGFDTDDSVSVDEKYKMLHCEMNPLPHNSEDYRLVEKYLQTTHAPTHSDWSLELEEVFSLEREGEFDKFAPYRDKLKNKMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGVYFADLVSKSAQYCYTDKKNPVGLMILSEVALGEVYELKGAKYMDKPPRGKHSTKGLGRQVPQESEYVKWRDDVIVPCGKPVPSNVKATELNYNEYIVYNTAQVKMQFLLKVRFHHKR >KZM95621 pep chromosome:ASM162521v1:5:33932396:33933313:1 gene:DCAR_018863 transcript:KZM95621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFKIVFALFSLQALLIASATQLASAPTSDIFREYIGAEFNNIRFSDVPINPNVEFHFILAFAIDYTTSSSPSPTNGKFNIFWDNDNLSASQVSAIKNKHSNVKVALSLGGDSVGDGSAYFSPSSVDSWVSNAVSSLTNIIQEYNLDGIDIDYEHFHGDPETFAECIGQLIKALKNNGVISFASIAPFDDDQVQSHYLALWKSYGHLIDYVNFQFYAYDAGTTVSQFMNYFETQTANYKGGKVLASFSTDGSGGLSPENGFFTACHRLKSKKELHGIFIWSADDSMSRGFPYEKQSQALLAISH >KZM93039 pep chromosome:ASM162521v1:5:1891965:1892696:1 gene:DCAR_016284 transcript:KZM93039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHLQIHRYLLDYHHHQTQQPYSWPTPGPPDENPSPVLEGIGAIVGEHVLFGRSAAVKSPDNKNTAKEDHSGGEAAHAPPQERSYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDSPEEAARAYDSAARRLRGSKAKTNFEIPSVFPISPGTEGSSSSSLSSEVKKRKAGGAKTGAHKRKCAVVTSMAQLFSNTTACVTQVEPRNTKFQEKIKNMNFDEGVDLELDLKLGTTSFSFNGGFLM >KZM96397 pep chromosome:ASM162521v1:5:40713881:40715395:1 gene:DCAR_019639 transcript:KZM96397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED-1 description:9-cis-epoxycarotenoid dioxygenase MALDAVESAIISRELQHPLPKTTDPEIQIAGNFAPVPEQPVKQNLSVSGKIPECINGVYVRNGANPHFEPKAGHHLFDGDGMVHAVQFKNGSASYACRFTETERLVQERSLGRPAFPKAIGELHGHSGIARLALFYARGMFGLLDHKHGMGVANAGLVYFNDRLLAMSEDDLPYHVRVGPSGDLETIGRYNFDGQLDSTMIAHPKIDPETSELFALSYNVIQKPYLKYFRFSSDGSKSEDVDIQLSDPTMMHDFAITENFVVIPDQQVVFKMSEMIQGGSPVVYDKNKVSRFGVLDKYASDASGIKWVEVPDCFCFHLWNAWEEKETDEVVVIGSCMTPADSIFNECDEGLQSVLSEIRLNLKTGKSIRRPILSCDDQVNLEAGMVNKNMLGRKTQFAYLAIAEPWPKVSGFAKVDLSSGQVNKFFYGDEKYGGEPLFFPNPESKNEDDGYILAFVHDEKNWESELQIVNAVTLELEASIKLPSRVPYGFHGTFISAKDLETQA >KZM96486 pep chromosome:ASM162521v1:5:41322346:41323104:-1 gene:DCAR_019728 transcript:KZM96486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKIFLLLGLSIAFALLISSEVAARELAETAAKTEGYNNGGGYHNGGGGYNNGGGYHNGGGGYNNGGGYHNGGGGYNNGGGYHNGGGGYNNGGGYHNGGGGYNNGGGYHNGGGGYNNGGGHHNGGGGYNNGGGHHGGSCYHYCHGRCCSAAEAKALEATTAQVKPQN >KZM95435 pep chromosome:ASM162521v1:5:32094532:32096187:1 gene:DCAR_018677 transcript:KZM95435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSRSECYKASPSNEEYEEDEEDVSAENNSKATDGGAGGSSSNSTIEENENKSSVRPYVRSKTPRLRWTHDLHLRFVQAVERLGGQERATPKLVLQLMNVKGLNIAHVKSHLQMYRSKKIDDPNQAIADNRSVENYGDRNIYNLSQLPMLQSYNQSYNLSTFRYGDALWNAGHHEKWMQNVYNMSGRNGAVDYKARPRFYPSVTEKISGRNSINWENNINASSSSQLSTWKVHENKGELLGPLNDQSPVKFMEFKSSNRQQEKVEPLDLEIASLTPAKRKASACELDLNLTLAVKSTNDNSGRNLKDDEDEYLSLSLFSPSSSKKLERLKMVDKEEINAERASTLDLTI >KZM94564 pep chromosome:ASM162521v1:5:23652918:23654601:1 gene:DCAR_017807 transcript:KZM94564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKNTEVHTEPRDAYKIAYVIHFLLGAGNLIPWNALITAIDYFGSIYSTRHVEKVFSVAYMTSSVLVLVVMISWGSNLSKKLTFRLRMNIGFSMFILSIMASPIIDWAWFSKQSRVRSATDFNLVVAAVIFCGLADGLIGGSLIGSSGNLPKQYMQAVFAGTASSGVLVSILRIITKAAVPHSPQGLRTSAHFYFIFSTVILLTCLVCCNLLHKLPIMQEHYKFQQYNLPSTSPKFWDVVRIIRWPAFGIFMIYTVTLSIFPGFLAENVQSNILGDWYPLLLITAYNVSDLAGKSFTAIYVLKGIRKATWGSISRLLFYPLFTVCLHGSKWMKTEVPVIFLTFMLGLTNGYLTSVIMIQAPKSVSPSEAEVAAVVMALFLGLGLVAGSVVGWLWII >KZM95880 pep chromosome:ASM162521v1:5:36470100:36474211:-1 gene:DCAR_019122 transcript:KZM95880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVFFVICLAILYVGSCYSLSDQEKDRITELPGQPTNVGFAQYSGYVTVNQMAGKALFYWLTESPEARNPESRPLVLWLNGGPGCSSVAYGAAEEIGPFHVQADGKSLYLNPYSWNKLANLLFLEAPAGVGFSYTNTSSELYTAGDRQTAVETYAFLLGWMERFPQYKHREFYITGESYAGHYVPQLSKIIYERNKGVENPIINFKGFMVGNAVIDDFHDYIGTFEYWWTHGLISDSTYKVLRVACDFGSSQHPSIDCIRALRVAEREQGNIDPYSIYTRPCNSSSSLRRNLGGHYPWMTRAYDPCTERHSEVYFNIPEVQKAFHANITKIPYPWKTCSDIVGEYWGDSPRSMLPIYQELIAGGIQIWVFSGDTDSVVPLTATRYSIDALKLPTVINWFPWYDNGKVGGWSQVYKGLTLVTVTGAGHEVPLHRPRQAFILFRSFLLNNPMPSSVQ >KZM94074 pep chromosome:ASM162521v1:5:14946436:14952956:1 gene:DCAR_017319 transcript:KZM94074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVTWAWSLLSLLISAAIWYLFHDAEHMETRMEHTRRSWMNMSMYKNVLKRKKGEEMSSKIPRGSLGWPVIGETLEFIASGYSSRPVAFMEKRKSLYGKVFRTNILGKPIIVSTDPDVNKAVLQNHGNVFIPSYPKSVTELLGKSSILQTNGPLQKRLHSLIGGYFRSPQFKSRIAREIEDYVKVSLSTWEHKKLPILVQDETKQITFEILVKVLMSVGPGEDMEFLKREFQEFIKGIICIPIKFPGTRLYKSLQAKKKLFNMVRKIVEERNLGMAKTGEKTSGSPIDVIQALLSDTKESSDNTQQLQGLPLDIVTENIIEMMIAGEDSVPMVMTLAVKSLSDTPATLSRVVEENMTLKRQKATSCDGFAWTDYMSLQFTQNVITETLRMANIINAVWRKAQKDVEIKEDKRYGKQQHYFYTIWWWPEALSWFRTFEA >KZM93053 pep chromosome:ASM162521v1:5:1994174:1996724:1 gene:DCAR_016298 transcript:KZM93053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEDVAVAAEVPAPVAAAPGLAEPMDIMTGLQLVLKKSLAHGGLARGLHEGAKVIEKHAAQLCVLAEDCNQPDYVKLVKALCADHNVSLVTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCVVVKDYGEESEGLDVVRAHVKADFSNICLKTVDCYIYLDSIAISLPRGLTRVLFTPKCNATETGFGFGFAICITLNYFLPPTGREAPYDTKGQGAKVIEKHAAQLCVLAEDCNQPDYVKLVKALCADHNVSLVTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCVVVKDYGEESEGLDVVRAHVKAE >KZM96479 pep chromosome:ASM162521v1:5:41263036:41266768:-1 gene:DCAR_019721 transcript:KZM96479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDNIALDPSDFSACLPLKKVPHGDVFEASRAGDVTRLKHLIDRGINVNSRDQWDSCALYYACLAGHLEAARMLLEHGAICDEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQGALRDVFLGCVANSGNLDHLNALSNPAGNSFDGGPCSTYFKPDVVFYVQGRSIEAHRVILSARSPFFKKKFETDWKDRTEIRLSREKLSYSALYCLIHFFYSDRLEIAVDEMEDLVRICKVCRCESLKRVLEKELSHQKYAEYKALGDVDNSQKRFIMQGLSLPEEDRLPAALQRILEVSLANSTEEQNVNSINGLSSQVTSMQMSFEEDLADICIKVDKKLFRCHQVVLASRSEYFKARLSRMKDFLEGKGGLPDFTLPFLEEHDLSIEAFEKMIEYMYTDTLKDIDPDQAEEMFDAASRYLLFPLKRAVADVLLPHLEMAPPAELCHWLMLADMYGVLKIREYCLDIMAYNFETFADSQEFRALLLTLPPPSGDSSLRTTVPSAPGAEATMDGGNILDDLREKWLEAEAAELDKRDESALIFDKRLEMLMIVAKREKSVNHNGDN >KZM96068 pep chromosome:ASM162521v1:5:37924248:37934299:1 gene:DCAR_019310 transcript:KZM96068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRGDLGFVSLVVVVVVVVWVVLRRKWRTAVSRREEIRRLLVLAAEESARVEFEAKVQYSSVAESVGFVEEERRKIKKPVQNQCALCLTPTKKLCSQCKAVYYCSGNCQIIHWRQIHKDECHQYAMFGKSNEDKLSSQKVFNEDVSHDDSYELDRRQYAEQVKTSLEKYTHAGDPDVLHTKDEIEDVVIAEDVESNSSDTSHFFDGSSESTTTSSEASLDSSVSSMNDSDGLYGTRTVASIAVKSETLHANGDQSRSPVTEKSFHLEKSSIERGQNKPVCSDGDCGCASCTSSGQKIGGSNDSLSDPATTSSGFWEGTIKSRRSRHDADDNCTRSSSSEYYNGIALGTEGHVSEAALLVNLNKKASTSRMSTSLNVKTSTSMHADSVDSSIMKSRETKSSRSSDSCAKPDAIDRGHLVAKDSKSTSSLPSLCSERLNKVSNDTIISSRASRSQKVGTMLCKDYDAGLTSSPGTDEDHKAKWLNVDDGIPRVTTSLPQLPTVAANAKNGLKQTMLKVVDQLKPSKVSRHYSMGVGSEILGKYTPKGLFSYEQFVKLYNWNKVEMQPCGLINCGNSCYANAVLQCLAFTPPITAYFLQQLHSKTCQTKDWCFTCEFESLIVKAKEGNSPLSPIEILSHIGNIGSHLNYGKEEDAHEFLRCAIDTMQSSCLKEATIKSSGSLNEETTLIGLTFGGYLRSKIKCMKCGGKSERHERIMDLAVEIDGNISTLEEALRKFTCTEILDGENKYKCSRCKSYEKAKKKLTIIEAPNVLTIALKRFQSGKFGKLSKSIKFPEVLNMTPFMSSTSDMSPIYRLYGVVVHLDIMNASFSGHYVCYVKNIQNKWFKIDDSSVNGVELENVLTKGAYMLLYARCSPRAPKLIRNSMVPRDPRKPKNISLSRSNSTGACDSPRTDHLNYQSGQGFYRDHPSFRRVFSSPDDDSSSDNSSSLFSEAFSCSTESSTKDSTSTDDYFDQIFGESGHNSWWNSSDSDTSSSSSPSPLYSKNMHWTSLDHIATRYNKGSHYSTDCVEYAEDGHVWAKQPSNYSNVENLKGKGSVPVLYSDKVKXL >KZM94412 pep chromosome:ASM162521v1:5:22085997:22086377:1 gene:DCAR_017655 transcript:KZM94412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSVTSRAPVDGTVSTVPYRVYAALGRDYDFLSGQNAEIRRMMDTLVQERRVSVEDAVARSRARATEHIARQCLAVFPSTNGWEAEARRVTRLFCWILGELRIVRGRATRARIWGAELPGKSLG >KZM93169 pep chromosome:ASM162521v1:5:3008802:3011931:-1 gene:DCAR_016414 transcript:KZM93169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFISTDYMTYMFKYDSVHGAWKHHELKVKDEKTLLFGEKPVAVFGCRNPEEIPWASTGAEYIVESTGVFTDKDKAAAHLKVINDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPQLNGKLTGMSFRVPTVDVSVVDLTVRLEKKATYDQIKAAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSTRVVDLIVHMASVQ >KZM95292 pep chromosome:ASM162521v1:5:30921450:30921683:1 gene:DCAR_018534 transcript:KZM95292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLDSASRKFVNWSCYYLPDEIFEWCNTTGPCKKSHESLAADDGSRSRVTATAVPGSGFRKKDVNWALIVGVYRPS >KZM93704 pep chromosome:ASM162521v1:5:9419635:9420618:1 gene:DCAR_016949 transcript:KZM93704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQEAYLLISKGVDTLEIDMDKQKVTVKGYVDQRRVLKIVRRTGRKAEFWPYPYHDEYYPYASQYFDESNFTSSYNYYMHGHNESIHGYLPTGLPNSTVIEDEVADLFSVENVHACSIM >KZM93030 pep chromosome:ASM162521v1:5:1853508:1856135:-1 gene:DCAR_016275 transcript:KZM93030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKTAFIGSLDQGTTSTRFIIYDSTTKPIASHQVEFNQFCPRAGWVEHDPMEILESARICMAKAIDKATADGHNVDSELKAIGLTNQRETAVIWSKSSGCPLHNAIVWMDIRTSAICRRLEGELKGGRNHFLETCGLPISTYFSAMKILWLMENVEEVGKAVKSGDAMFGTIDTWLIWNLTGGIKGGLHVTDVSNASRTMLMNLKTLSWDEQILEELKIPAGILPKIISNSEIVGNIAIGWPTTGIPIAGCLGDQHAAMLGQACKKWEAKCTYGTGAFILLNTGDETVKSSHGLLSTVAFKLGPQAPTSYALEGSIAIAGAAVQWLRDNLGIISSARDVEKLAAEVDTTGGVYFVPAFNGLFAPWWREDARGVCIGITRYTTKSHICRAVLESICFQARDVLESMNKDVGKEDSASKFVLRVDGGATINNLLMQIQADVLGTPVVRPADIETTALGAACAAGLAVGVWTEDDIFSNSERMKIATTFQPKLPDEQRKKRVDSWIKAVSTTFNLADLAL >KZM93877 pep chromosome:ASM162521v1:5:11762675:11766435:-1 gene:DCAR_017122 transcript:KZM93877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGDTASTVTIKGILGLLMANVSDKEERRLISLGMGDPTAFSCFHTAAVAEDSVVESIRSRCFNGYAPTVGLPQTRLAIAGYLSRNLPYKLLSDDVYVTSGCTQAIELALSILARPGANILVPRPGFPIYELCAAFRHIEIRRYDLLPENGWEVDLKAVEALADSKTVAMVIINPGNPCGNVYSYQHLKKIAETAQRLKLPVIADEVYGHLAFGDNPFIPMGVFGSSVTVLTLGSLSKRWMVPGWRLGWFVTCDPNGFFKTPKTVERIKKYCDICGGPATFIQAAVPRILEQTEEIFHKRTISMLKQAADLCCKKIKEIPCMTCPQKPQGSMALMVKLNLSKVNDITDDIDFCFKLAQEESVIILPGLTVGLKNWVRITFAADPASLEEALDRVKSFAQRHTKKLIEQC >KZM94979 pep chromosome:ASM162521v1:5:27643758:27645898:-1 gene:DCAR_018221 transcript:KZM94979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTNSDNEANKTAIETPAPPPVEPIRLPTIEEVRGQDIWNNCGVRSVVSGVMGGGLGLFMGLFLGALDNPIMQDQMTGRQQFVYTAKQMGSRSWGSCKAFAVMGLIFSAAECVVEKARAKHDMGNTVVAGCVTGGALSAKAGPQAACVGCAGFAAFSVLIEKFLERH >KZM93487 pep chromosome:ASM162521v1:5:7120568:7121184:1 gene:DCAR_016732 transcript:KZM93487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNTEPDKGTNCTSQTEGDALRRPCVAGLMALQTSQKQLTSLTKDSANALRVHATEAEVTHRLSNAKEAASRPAALESHEDLAKKLHVMFFLVLGIWQ >KZM93715 pep chromosome:ASM162521v1:5:9613574:9614820:-1 gene:DCAR_016960 transcript:KZM93715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESHQSPNRQPNDRTAASGSGSKTTNETVRVRWKPTPQQITILEHIFNSGTVNPPKDVTTNIRKQLEQFGPVEDVNIFYWFQNRRSRWSRRMRQMRSEDSAAPVDSVCSGVNNHMNLSHPGFTFEPSTQPCFFSDYNANIDFYNPSGPYTNHNYQQNSGASMFPDNYQQNVNPAGGCIRVFINGKATKLATRPLDMRGMFGQDLILVHPTGLPVDEFGFDLQHGESYFLVPKPT >KZM93232 pep chromosome:ASM162521v1:5:3917505:3925454:1 gene:DCAR_016477 transcript:KZM93232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPFVFLYFLLLVLVSVTSVASDQSGEHEVYVVYMGGKGSSTPGTLRDDQARLLEPFSQRNGVVQVYKHGFTGFAAHMSKEEANLIAQEPGVVSVSRDKMFKLRTTRSWSFLKLLKFQQYLDNPIMSSTELHSSKVRGADTIIGMMDSGIWPESKSFNDKGLGPIPKRWKGTCQETEDFRASSCNRHFYASVVLGNNHVIKGGGIQFSSLSDSPKYPLIDGVSAKVQSHNISDKLARNCQAGSLDTSKVKGRIVLCFSEISGSPTFSVIMNQGARGLVLVNDDKRIEDNIILEGFLTFPFSIISSKDGNAILSYIRSNRNPTATIRRSGTSTGFKPAPPDITAPGVNIIAAWSKMNPEFALPGQAPPDYVIISGTSMSTPHITGIAALIKSQHPTWDHSAIRSAIMTTAVQTCIDGSPILRLPGLEEATPYDFGAGHVNIEQVMNPGLIYETPITEYYLFLCNYGYNISTIKLIAKNIPEGFSCPKNANTALISNMNYPSIAIAKFKRYTERKVTRTVTNVGDEDEAVYEVTVDAPEHLNVQVIPETLHFTQKYQKLSFDVIFSTKYTFKSDIFGWITWSNGNYRVRSPFALSLTDSQMLLSCLDQVITSHLGLFSHPISYNRFSDSTRNFLSNLASPLGITSSNHIIKMLNDSDDGSDESGVYIVYMGGKGSSTSGTLRDDQAQLMDLFLKRDAVVQVYKHGFTGFAAHMSKEEANLIAQEPGVVSVSRDKMFKLRTTRSWSFLKLQHYLNNPITATDLRSTSKVQGADTIIGMIDSGIWPESKSFNDKDMGPIPQRWKGTCQETEDFRASSCNKKLIGARHYEIRNKTCRDVDGHGSHTASTAAGAPVPGVSYYGLALGTAIGGSPTSRLAAYKVCYENTCAGSTMLAGMDAAIHDGVDIMSISLGPNSLLLNIWEDPIAIGAFHAVEHGITVVCAGGNSGPFNSSVSNYVPWITTVAASTIDRHFYASVVLGTNQVIKGGGFQFSSLSDSPKYPLIDGVSAKVQSHNISDELARNCAEGSLDPSKVEGRIVLCFREIKGIYTFQVITNQGARGLILVDDDYRILDDVLREGDVTFPYTFISSKDGNAIISYIQSNRNPTATIRRSGTSTGFKPSPPDITAPGVNIIAAWSKMNPKHALPGQAPPDYAIISGTSMSTPHISGIAALIKSQHPTWEHSAIRSAIMTTAVQTCSDGSPILSLPGSQEATPYDFGAGHVNIEQVISPGLIYETPITDYYLFLCNYGYNISTIKLIAKNIPEGFSCPKNANTALISTMNYPSIAIARFKRYTERKVTRTVTNVGDEDEAVYEVTVDAPEHLNVQVIPETLHFTQKYQKLSFDVIFSTKYTFKTDIFGWITWSNGNCRVRSPFALSLTDRSSE >KZM95196 pep chromosome:ASM162521v1:5:30037544:30042255:1 gene:DCAR_018438 transcript:KZM95196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAFFPSESCKDTHFNPVIPQSWLQVERGKLSKFSAQSPSSIESFIKVQEPQILPFFKPVDYVEVLAQIHEELESCPPHERSNLYLLQFQVFKGLGEVKLMRRSLRSAWVKSSTVHEKLVFGAWLKYEKQGEELISDLLSSCGKCVKEFGMMDIASELPSDKKSYNIKDSKANEKHVPRNVSFRIGNETIQCDRQKIARLSAPFRAMLTGCFAESHHEDIDFSENSVSAAGMRVISAFSQTGCLDEVHPDLLLEILIFANKFCCEKLKDACDRELACLVSSRQDAMQLMEYALEENSPVLAASCLQVFLHDLPDSLNDNRMVEFLTHANRQHKLIMAGSASFSLYCFLGEVAMNVDPTSDVAALLLEQLLDSAENHRQRVVAYHRLGCVKLLRKEYDEAERLFQAAYDEGHIYSVVGLARLANIRGKKNCSFEKLTGIISSCTPLGWMFQERSLYTEGDDRWQDLEKATELDPTLNFPYMYRAASLMRKENVQSALAEINRILGFKLALECLELRFCFYLALEDYKSAICDVQAILTLNPNYRMFEGRVAASQLRTLVREHVPNWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLSCPEAAMRSLQLARQHASSEHERLVYEGWILYDTGHCDEGLRKAEESIALKRSFEAFFLKAYALADSSPDPSCSSAVVSLLQDALKCPSDRLRKGQALNNLGSVYVDSGKLDLAADCYINALKIRHTRAHQGLARVHFLRNDKAAAYEEMTKLIEKARNNASAYEKRSEYCERDETKADLEMVTFLDPLRVYPYRYRAAVLMDNQNEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDVLGALRDCRAALSVDPNHQEMLELHSRVNSQEP >KZM95228 pep chromosome:ASM162521v1:5:30400087:30400395:-1 gene:DCAR_018470 transcript:KZM95228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASALLADGVYGISINIILDSARRALSSWDIISAMLKSLVFGLIISIVSCGWGITTSEGAKGVGESTTSAVVISLVGIFVADFILSYFFFQGAGNSLRNVV >KZM95257 pep chromosome:ASM162521v1:5:30587054:30591280:-1 gene:DCAR_018499 transcript:KZM95257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFTKKPTAKEALRESKREMTNATRGIEKEITALQSEEKKLVAEIKRTAKQGNEGATKILARQLIRLRQQIANLQSSRAQMRGIATHTQAMSAHSSVAVGMKGASKAMSAMNKQMDPAKQAKVIREFQKQSAQMDMTTEMMSEAIDDSLDNDEAEEETEELTNQVLDEIGVDVASQLSAAPKGKIAGKKTEATSSGIDDLEKRLAALR >KZM96542 pep chromosome:ASM162521v1:5:41726100:41727613:1 gene:DCAR_019784 transcript:KZM96542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEDIQPLVCDNGTGMAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYSLPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKELYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KZM93688 pep chromosome:ASM162521v1:5:9232712:9236493:-1 gene:DCAR_016933 transcript:KZM93688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKKPAVSDVGAWAMNIISSVGIIMANKQLMSSGGYGFTFATTLTGCHFLVTALVGAVSNASGLSASKHVPLWELLWFSVVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCIMEWILHNKSYRREVKLAVVVVVIGVGVCTVTDVKVNAKGFICACVAVLSTSLQQISIGSLQKKYSIGSFELLSKTAPIQAISLLVFGPFVDYSLSGNSILKYSMSYGFVLFLLLSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLTLGWVLFDSALTFKNIMGMMIAIAGMIIYSWAVELDKQANAKLMSHVKHSMTEEEFRLLKEGVDHTPLKDTDVEDSKV >KZM96079 pep chromosome:ASM162521v1:5:38034532:38034896:-1 gene:DCAR_019321 transcript:KZM96079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSKSFCIVLILLVFATNSNKVVHGGRCDKGRKAGIKGGGSPGVPCTNKLGLCNGSDDNMCDYDCRHDDYPLGYCGECVKNDQGTYDCICHTCY >KZM94185 pep chromosome:ASM162521v1:5:18051676:18053690:-1 gene:DCAR_017430 transcript:KZM94185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSGVLVEKFMKFLSADDIKKDEMKVPNERSKIQASFLLKFRNGYEKRVVFNKDRGTLYGPFPIFYDFNFKGGEMLVFEFNGSLSVHNGGLKFVRVVRDEDPLFDEFEPPYSFKKALPMLLGYQYFVFRLDNFRQFNVVLFSYEDNDVTTVSVFDDHFVEVIFPGTPVSMGLNSGNSNVHGRIEINVQACHMYKCSYGVDVSTQYDSITNLWSKTDYISVYSGDRAWKLQVRTRPGNLKRTTIMDGWIQFRDDLGLEAGDVIVLECALASFHHFSVGVLKYQGA >KZM95965 pep chromosome:ASM162521v1:5:37086159:37087151:1 gene:DCAR_019207 transcript:KZM95965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDKLNERHAHIPINSFLELSSILEPGRPPKTDKAAILNDAVRMLTQLRNEANALKESNEELQEKIKDLKVEKHELRDEKQKIKADKEKLEQQIKAFSAQPAFLPHPSTLRAAYSAQQGQAEGNKLMSFVGYPGVAMWQFMPPAVVDTTQDHVLRPPVA >KZM96103 pep chromosome:ASM162521v1:5:38288676:38291423:-1 gene:DCAR_019345 transcript:KZM96103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKINNGNNNTSSPNWLGFSLSPHMKMKETEHNHHHFTTSLSSTVSTSFYGSSPSTYAQNGAFHSHLPVMPLKSDGSLCIIPPFSTSQSLQGGASQNRESKALSLDSVYYHQNAADQEAQRQQQQQEMQYYSGMHCHQLYQTASMQEARDSQGGDIFSEIFPGQEMNTGTMVHNDGSVVAASGSVGSMGFGDLQCLSLSISPGSQSSSITTQAEISPAKKKGSAKMGSKQLKTKTIDTFGKRTSQYRGVTRHRWTGRYEAHLWDNSCQKEGQTRKGRQGGYDMEEKAARAYDCAALKYWGSQTHINFPLESYQQQLEDMKSMSRQEYVANLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVSAVTNFDITRYDVEKIMASDAILAGEQARRLNDSETTNHTGIVEYINPLLVPSSQGEFFQSANHKNASSSDWKMVMHQSSQQHEQNPNCLESLAEQNTSVEQEQQQQHYKNLSFSMALQDLISIEAMNSTQQMFDDSLTSTKIDNSNHFSEPSSLVTSLSSSREASPENFAVITASMLFC >KZM96222 pep chromosome:ASM162521v1:5:39283201:39285679:1 gene:DCAR_019464 transcript:KZM96222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFSSSTSNLLPTLTFLPKLNQTSYSNPRLPKPHFISCCSDLTTSPPSFTDPPGPTLPPPVLKKRRRYRKLYPGEKEGITEEMRFVAMKLRESAKAKPRVRTYPGISEVEDKSESESEGSGGEGEETWQPTVEGFVKYLVDSKLVFDFVERIVDESSHVSYAYFRKTGLERSVALSKDLEWFSQQDIVIPEASNPGVAYVKYLEELAETSPPLFLCHFYNIYFSHIAGGQVIGKQVSEKILESKQLEFYNWEGESEELLRGVREKLNMLGEHWSRDEKNKCLREATKAFRYLGQIIRLIIL >KZM94549 pep chromosome:ASM162521v1:5:23514723:23518352:1 gene:DCAR_017792 transcript:KZM94549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQAPPSQPSSPYHSYASGRTDSNVIDIVAALEYLHFNRLAPSVDPSLAIKFYERTPKQQALLGYLANPSQLEKHAGEAPAEGTNNGVANETIEPHGSVGARAGRRAIAQCAGTQISDALFRYSAPEEVMTFPSTCGTCAASCGTQMFVTKIPYFQEVIVMASTCDACGYRNSELKAGGCIPAKGKKVIVSVKNIKDLSRDVIKSDTASVLIPEVELELASGTLGGLVTTVEGLITKISESKYYCLIYQQTYP >KZM93339 pep chromosome:ASM162521v1:5:4914502:4923111:-1 gene:DCAR_016584 transcript:KZM93339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRIFYRKPPDRLLEISERVYLFDCCFSTDVLGEDEYKSYMGGVIAQLQDYYQDASYMVFNFGEGEKRSQVSDLLSGYDMTVMDYPREYEGCPLLPLEMIHHFLRSSGSWLSLEGQQNVLLMHCEKGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPKELLHLLCPINPQPSQLRYLQYISQRNLGCNWPPLDSPLALDCIVLRHLPLFGGGRGCRPVVRVYGQDPASATAGRSSKLLFSTSKNTKHARFYTQEECVLVELDFKFRVQGDVIIECFHLEDDLIREEMIFRVMFHTAFIRSNRLKLNRDDVDAPWDVKVQFPKEFMAEVLFLDADAISTITTNVFREDENEIEGTLHDEEFFEVEEIFSSNDTQVEKGDLDAQIAEEYTVIQGSKSFNDNDSIVWKEDLEHLAFLDCASDGGTPKQGGNRIFNELKSDNRVDEMYPAELVHDTSFTKESKLVTTDMFGSTKTETKLTDTGISDNKENEHEREDGTFKMLETHGVQEETSVRVAAGVCSTSDVTKEHEGKANPVEMLEREDSQQKSSADVPKHKYDKTNPPATKKLPTQSSKPAADLGNTKQTFKQQDTHGSISKQAKSNKVSKWIPSNKGSYTNSMHVVYPPSRYIGAPPMPAPAKDGHFKSNPKPRPSNSSEVISNPESTDFEVDTKATTDSVVVKATLSPVLVASPLNVAPLPPPPSTTTLSVSLRETSAQFNSQSPPPPPPPPPPPPRTSSRMQNESRSSLTPSPSLVSHSSTSLHSTSPTPFLPPTSKASLPQPPFSHDSPTVPPDSLSQVSPSVPPPPPPLPFSRAPRLRPPPPCTQVLPPPPTPPPLQGSSPPPPPMPKPPPPPPVSSYRAAPPPPPPPSKHGVRAPAPPPPMHRGVPPPPPPPMIGGPRPPPPPPLAGSSPPPPPAHAGPPPLQGRPPPPPPPGARGPPPPPGPPRSLGAGPPPPPLFGAKGPAADGRGVAAGRGRGLLRAGGMPAPATRRSNLKPLHWSKVTRALHGSLWEELQRSADHQSLPEFDVSEIECLFSAAVPKSNRTGDKSDDRRKSLGSKPEKVHLIDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPVKEEMELLKNYPGNKENLGRCEQFFLELMKVPRVESKLRVFLFKIQFNSQVSDLSKNLKSVFAACEEVRNSVKFKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRATNNKMTLMHYLCKVLASKSPALLDFHSDLVSLEPASKIQLKSLAEEMQAIIKGTEKVKQEFGASENDGPVSEVFRKTLKEFIIVAETEVASLTNLYSVVGRNADALALYFGEDPTRCPFEQVTATLLNFVRMFKKAHDENCKQAELEKKKAQKDVETEKAVGISQTKKVVR >KZM95011 pep chromosome:ASM162521v1:5:27921553:27922714:1 gene:DCAR_018253 transcript:KZM95011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVKSLKCMELVLCCCLILSCIVSTIGASSSPLKVGYYRKSCPRAESIVRHAVNKAASKDPGIAASLIRMHFHDCFVRGCEGSVLLDSTSNKLAEKSHPANNPSLQGFEVIDEAKAKLEAICPNKVSCSDIIAFAARDSALRAGGINYAVPSGRRDGTESVFDEVTQNLPPPSLNAQQLVDNFAKKGLSLDEMVTLSGAHSIGVSHCSSFTDRLYKFNATHPQDPSLDPKYAASLKRRCPKSSAQDRTVNLDSLTPQRLDNQYYVGLKYNRGLLTSDQTLLTSPLTSKNAWNNANYGSNWSAKFAAAMVRMGSIDVLTGKQGEIRRICSVVN >KZM94146 pep chromosome:ASM162521v1:5:17090225:17091374:-1 gene:DCAR_017391 transcript:KZM94146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSELDAQDMNFDFLEEWFSVIRKCTLADLILPRIVWLHCDGLPSSAWTKDNWVKIIGDWGYIISEPSNKTRCGMLQKLKICIQTHKTLNISETLKVVIDGLSYWVVIKESKVEFDAQDIITPLRHFDDEPPSPEARETASPLFVIASLDSWKARLSPPSSSNDLSSQSFPIEALADDSSNIIQDSQTEHLGSNNFEAKVDMATLSLGKRLGRPRKCKHLNNFFNFTTKSKRPRLRGAPPKEKAAKRKKKSVQILVNLHPQDHLVLIPSKLPEIQEIAKDILATSELMGLTSTEDRALVLARITQSLEQNEQNLP >KZM93535 pep chromosome:ASM162521v1:5:7542876:7543094:1 gene:DCAR_016780 transcript:KZM93535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSFVSLLSNDSYEFEDVFSQPPPQSQSQTQQSQPQQDVVKSKKGKRSKNFLMEEDMLLISAWLNVSILDM >KZM93337 pep chromosome:ASM162521v1:5:4896135:4906004:1 gene:DCAR_016582 transcript:KZM93337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQSIVLEGIDDVDDLISENEEEENNNSISHTHLHQLVNKANKAFRQNRFEEAINCYSGAINIKPHHPVILGNRCAAYLRICQFLVHRPASDSEYRPLSGLDPTVHAGLALKDADKMMNLQSDSVKAHILKADALILLQRFELAHDIVLSGLQIDPSSVHLRNLVRMTCTTMGRNNHEITLRTDDFDCTVCLKLIYEPITTPCGHSFCRSCLFQAMDRCNRCPLCRTILFISPRTCSISVTLNNIIQKNFPEEYAERKLEHENLTIMGADLLPLFVMDVVLPCQKLQLNIFEPRYRLMVRRIMEGNRRMGMVTIDSTSGSVADHACEVEITDCEALPDGRFFLEVEGRRRCHIIDHWDQDGYRVAEIEWIQDICPAEGTEERLDLEDTVQKAEAFAKSWMRTAQEAAQGDQVRLAQLLKAEELMPTTNDPEHFSFWLATLTNRRPSQRLDLLRMRDTNERVKRGLIYLKAAEQFCTTQ >KZM96087 pep chromosome:ASM162521v1:5:38121708:38125165:-1 gene:DCAR_019329 transcript:KZM96087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPRNSEREDNIYRYYRDMKDGRERVKVSDEVFECPYCRQHEKKEYTYQQILNHACRIGTQSRTASSRSQERHLGLEMYLKRCFDRAPRSRTGLNRSGNDREHVRGSSGPTQLRDYNVVARRESQNSSGRVVRYNPPLRTNNRGADMKAEYGEELMVYPWMVVVANMPVEWQNDGQNGIYGGDGGQKLKKELTMQGYQPTKVRPVYNFRGHTGFCIVEFKKDWTGYDNAMRLANKFETNFHGKEQWEDKGGAKGVELYAWMASKTDYEKGGVIAGHLKKRADLRTIREIETEVKRLDAKLVCSITDTLDLKEKQCEEIKKNISNTEASFLNVMKQKEDMVKAFNEALVISQKQRRLAIESMYREHERINLELDAKRETLKLHEQELREREYLNETERRKLEHLKEEEKKGLKGRPSKNAKVGIKLMGDLNLKPIVSAAEKKYPPDEVEEKAMEFSSLLVEKLGDANWYPFKRVIDAEDEGLVLIKNEWGDEVYDSVVTALTELNEYNSSGRYPVPELWNLKEGKKATLAEGVEFILRLWKTKKRKR >KZM94857 pep chromosome:ASM162521v1:5:26507090:26507698:1 gene:DCAR_018099 transcript:KZM94857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFSKNFTSATAFYLLLVFLCICINSSIAINYGAEKASTKFIKTSCSTTNYPSLCFTSLSSHTNAIQTSSRLLAQTALSVALNHVALTSSALVRLSKAPGMKPREVGPMNDCLEELTDSIDELKRSMGEMSQLNGSPNYALLISDIQTWVSAALTDEDTCMDGFDGKAGNTKNVVRGRILNVVHLTSNALSLINNYNSLHG >KZM95752 pep chromosome:ASM162521v1:5:35201762:35201968:-1 gene:DCAR_018994 transcript:KZM95752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASRKVLSEYGNMAGACVFFVMDEMRKKSMNDGRATTGDGLDWGVALGFGPGFTVETVVLHSMPVIA >KZM94112 pep chromosome:ASM162521v1:5:15883477:15884617:-1 gene:DCAR_017357 transcript:KZM94112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSIPAAVEYSSDLHKMSLKGNQVIRWTRLYQPFVAIWDTRLDHIFQADLIAGESTVPGYSDWYLQRTVRFISRLGAFQHHVGDLFRRITDVAHEPADVLKLSTGGFEFVRDFNLHWFDALPLQEHRAIEIQARQNLGRRPKHVGGRARGRAAAVVDPVDAADPVDVPEDTRLGDDHDDGAGTSTGMQTTTTHPLLEQPGTTSTDPPSEQPATTATDPPSEQPATTTRQRRQREPRDLEAPRFDLLTPTPDSQDPSDQSGSQQPPPLHHSHGYTARVPRDITPPSFDLGITLPGPVDGTSTDASQGSQQKHKEPSQTYVRRSKRQVIPTRCGTDGEKRPKK >KZM92833 pep chromosome:ASM162521v1:5:93331:94410:1 gene:DCAR_016078 transcript:KZM92833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLRFGKMLDRLCLSSSYGTSCCICINGYGSSFDGVDDLEKEPLVGTEGDELVRLKDFVEEPKSLALQLKPKIVVLRVSMHCNGCARKVEKHISKMDGVTSYQIDLETKMVVIMGDIVPFEVVESIAKVKNAEFWQSPSVDHKT >KZM93134 pep chromosome:ASM162521v1:5:2688240:2692394:-1 gene:DCAR_016379 transcript:KZM93134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESDTDQPLIPLFLGLNLEMKGEELTEQETALYDRQIRVWGVDAQRRLSKSHILVSGLRGTAIEFCKNIVLAGVGSVALNDDRPVTEEALTANFLIPSDGERVVGKSVAEICSESLKDFNPMVRVSVEKGDISCQSVDFFDKFDVVVVCCYSLAAKRMVNEKCRKLSKHVAFYTVDCRDSCGEIFVDLRNHAYTKKKLDETMECQIHYPSFEESISVPWSSLPKRLSKLYFAMRVIERFEDVENRRHGETTIADLADVLKLRTELCQANSVNESQVPDSLLERLIAGPSEFPPVCAIIGGILGQEVIKAISGKGDPIKNFFFFDAADGKGVIEDILSNP >KZM93915 pep chromosome:ASM162521v1:5:12126976:12129108:-1 gene:DCAR_017160 transcript:KZM93915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERYFDNEMPNFTPENAAVAASEQDSLAKLLSLPYNTLADKFKTAALHLKQLVVKETWSPSMRVRDYTLYTGALGTAFLLFKAYQVAKNPDDLRISSDIIRACDSPSTASSGRVTFLCGQAGVYALGAVVAMHSGDEQLLCHYLSRFREIVLPTDLPDELLYGRSGFLWACSFIYKNTGHEAITASQMRAVVNHIINSGRLSKGRCPLMYEWHGKKYWGAAHGLAGIMHVLMDMELSADQKESVRGTLQYMMKNRFRSGNYPSSEGNESDRLVHWCHGAPGVALTLVRAAKFFGDEEFKQAAMDAGDVVWNRGLLKRVGICHGISGNAYVFLSLYQLTGDVQYLYKAKAFACFLLDRAQKLISEGIMHGGDRPYSLFEGIGGRAGQTGGLARPEPARTDI >KZM94868 pep chromosome:ASM162521v1:5:26616150:26617060:1 gene:DCAR_018110 transcript:KZM94868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGVEIKATKKTNFKKINRDKNILNSIPTALITEIVARVAACSSDDLFNTSLSCKTLKKIADDKYIIQHVSLDKFSVIPWTSKEEAILDRCASCENPEALYRHGIIKYFGIKKDLKNSGLKYLLRAADLRHLGAMYVIGIIMIVAGGEGKQTGIKIICDAMKRLKTSRKEIREIREKFSSTIGRMWVKNTTGVEQIIRPVCCRIHQLAKPAGWDEDEDVDLECEACWCDQQIFRLWDVIPYYI >KZM96559 pep chromosome:ASM162521v1:5:41830251:41843981:-1 gene:DCAR_019801 transcript:KZM96559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLLIVAKGEIYIVTVEGQPVISYTGGIDGFAATAVESDEEIDVTSELVTSYQHHLEQKHDMLLGTLFDRGTYQKLYSYKHLLNGFSVHISPAQAEALRHAPGVKSVHQDWKVRRLTTHTPQFLGLPTGVWPTGGGFDKAGEDIVIGFVDSGIFPRHPSFGSHNTEPFGPLPKYRGKCEVDPNTKKEFCNGKIIGAQHFAKAAIAAGVFNPIVDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGYEVGKASGMAPRARIAVYKALYRLFGGFVSDVVAAIEQAVHDGVDILNLSVGPNGPPATTKTTFLNPFDAVLLSAVKAGVFVAQAAGNGGPFSKTVLSYSPWITSVAAAVDDRRYKNHLTLGNKKMIPGICLSPATHAGRTFTLVAANDVLLDPSVVKYSPSDCQKPELLNKNMVEGNILLCGYSFNFVVGTASIKKVSETAKSLGAIGFVLAVENASPGTKYDPVPVGVPGILITDVGKSTELIDYYNISTTRDWTGRVRSFKAIGSIGDGLRPILHKSAPQVALFSGRGPNIKDYSFQEADLLKPDILAPGSLIWAAWSPNGTDEANYIGAGFAMISGTSMAAPHIAGIAALVKQKHFQWSPAAIKSALMTTSTILDRAMRPLQAQQYSGSETVSLVPATPFDYGSGHVNPRAALDPGLIFDAGYQDYLGFLCTTPGIDSREIRNSTNSPCNYTIGHPSNLNSPSITISHLVKTRTVTRTVTNVAKKETYVITARMAPAMSIHTNPSAITLKPGASRKFSVTLTVRSVTGAYSFGEVLLKGSRGHKVRIPVVAMGYDR >KZM94396 pep chromosome:ASM162521v1:5:21952383:21952898:1 gene:DCAR_017639 transcript:KZM94396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIEGVYTYNFKHKSSHHEMFSSSRIWLLRQMDDDYYSLRYTDPKEYFARVRREWAFRLEESNQLRNNLIGLGAKLPVRDSLGVYLDRNFNGSWGDYRRLVIEAVGLIREENNRMLLRRCRFYMLKLVKDSATASGREMTFEEECQLLQNPNYLSDEPMSDEDATDSDDSE >KZM93346 pep chromosome:ASM162521v1:5:5031578:5031820:-1 gene:DCAR_016591 transcript:KZM93346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSSQGKFWVGSCPHSEGHRLAYFSKTLRLIAKQCLLIKALLTTVKKWTSNIMDTHFYIKTGHWNLKYLSDHKFSNILQ >KZM94764 pep chromosome:ASM162521v1:5:25583586:25584175:-1 gene:DCAR_018006 transcript:KZM94764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGTQMQKSPRKRKLRILDVDSDRDESTPPSVNISNTETIDPANSGKKAETNVYKRKRLVKCSNYVPTLQFNELVEEKVNPLPELDEMMIQDMNKTTEIADTEERIMTQEVSTQLQQAAGNLDMVIYQPLISVNPIHEVPVEKNLQNFFI >KZM95516 pep chromosome:ASM162521v1:5:32891951:32892379:1 gene:DCAR_018758 transcript:KZM95516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMWHHPILLTEYGSRKLFQLTIFSIRGNLKYAVRYAFELKGQLMIVCLGPYGENFWLRRFDWSKKVCVPVNRLGYRAICVDGLNSFSVMAVEGNSTNCRVSPDMLNLLVDPGCNVYSVEDGGAVRCINELGSVNRRSCTIC >KZM95184 pep chromosome:ASM162521v1:5:29710446:29722761:1 gene:DCAR_018426 transcript:KZM95184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYGHGDVDGSGDACLKEAKESDFVLDGDKEGNLMNVDDGDKVDGNGGIVDSPLKGAIEGDHEALEKEEVNLMNVDDGETVDANGGSVDTPLKKVDDGDRQSDEKEEDSLMNVDDGDRQSDEKEEDSLMNVDDGDKVNVKGGSVDTPFKDADEFELKPDEKKDNSLVNLDDTDKVVAAVEMTVDTNDDSDAGVDTPVKEADEVEHESNGKKKVSLIDMDEGDKVVAGGGLSINANGEVDEANEGKYESEGKKVKVQNGASEEMKVINSSLDTWENKQEPAKKRRGRKRKIVENSDCIAGDGKKRVNDGMGPVNRRVLRSNRNAYEEKFDTNEDEKPVNCVTPKMCTGSRGRPPKVLEGNQAVVDAKTDTEEDSKPQHIGRPKKLTGRVGRPRKVMLNHEESGEEDADLAKDVKPRCFGRPKKIKRRGRPPKVKPNNCASGEEDSDLLEKDEKPQSVGQSKKLKGRVGRPPKAEPNCKASGEEDFAKEKDEKPQDISSMVVHGEDGGLMLASRVSTDKKQEVAGEKETTSNQLNIEKNKAEKMGLREEKQAIREQITDMLKKAGWTIEYRARQSKAYADAVYVDGKGKTHWSATLAYHKLKEKVQNQTADSKEVSAFEPIPSEILSKLFRTTVPGAKCQKGKTSVSKSVSRFNGKHSLKKKIAIKGIGPKKRLKKKKSSSCRAGERTLTKGMKGDVSESEQNDSPRYSHRGMSRSKWETRKGRKPCPLLARTSGKGSDADIDGYILYDGKRSMWSWMIDLGTVSFNARVKYMNSEMKKVLAEGKLNRDGILCGCCNKVVTISEFLSHTGISLSPGSHPYNDIYVGSELSLSQCLLDSWNKQVESNPITFCSADVDGDDPNDDTCNICADGGDLICCDGCPSTFHQSCLDFERFPSGEWRCLHCLCKFCKTIEGNISQGDDDGKNLPGLLKCRLCQEKFHQHCTLEQDADKINLTDSFFCGIKCQEIFERLQALIGVKHQLEEGFSWTLLQYYDLNQDSSHVNDPQKLECNAKLAVAFSVMNECFCPIIDERTGINIIHNVVYSCGSNFDRLNFNGFFTIILEKGDCMIAAASIRIHGSLLAEMPFIGTRHMYRRQGMCRRLLNAVELALCSLNVEKLVIPAISEMYQTWTSVFGFLPRDESTRQEMRRMTAIVFPGTDMLEKPVQRNALDTPNLISATVAAPADLYAEHDAPLDAHIGGQNSSTNIIECSNAISGVTNVLTEKTFGDASMDFYANPIDASSGIHAPKGDYGASESNGGSIVDILPVESQLCSKPFKQLSDVGTQVDPSVANTEKCEQMRNLSSCPVNPRCTSSTYSAPGVPDLVPESLVANEDFQHILRIQNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSSAEIDSLMTIVANPRQFKIPDWFLNRKKDYKDGKFSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KZM95855 pep chromosome:ASM162521v1:5:36273108:36276777:-1 gene:DCAR_019097 transcript:KZM95855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEGVLLGMGNPLLDISAVVDHDFLTKYGVTLNNAILAEDKHLPMYNELAGKDNVEYIAGGATQNSIRVAQWMLQTPGATSFIGCIGKDKFGEEMKKNSKLAGVNVHYYEDEAAPTGTCAVCVVGGERSLIANLSAANCYKSDHLKKSENWSLVEKAKYYYIAGFFLTVSPESIQLVGEHAAATNKVFMMNLSAPFICEFFKDVQEKALSYVDYVFGNETEARAFSKVHGWETENVEEIAVKISQWPKASGAHKRITVITQGADPVVVAEDGKVTLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVKQKPIGECVRAGCYAANVIIQRSGCTYPEKPDFK >KZM96211 pep chromosome:ASM162521v1:5:39197906:39198789:-1 gene:DCAR_019453 transcript:KZM96211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLGILSHKVTISLWKDVGRNFHSGLSSTTWKPVLDQNIAGSTNTKARNPSILGTDSLHLIRINRICRPTIQCMIGGSSEELSDEDDELCPVNCVREFKNDNEFLRILEKAKETKSLVVVDFYRTACGSCKYIEQGFTKLCKGSGVEENGVIFLKHNVIDEYDEQSEVAERLRIKAVPLFHFYKDGVLLEAFPTRDKERIIAAIDKYTAPATQDL >KZM96483 pep chromosome:ASM162521v1:5:41307888:41308350:-1 gene:DCAR_019725 transcript:KZM96483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNTFLLLGLLLSLVLLVSSNEPETPKDENKEDEAARPDQYGGGGYGGPGGYGGRGGFGGGPGGGFGGGGFGGGGFGGGGYGGRGGFGGGFGGRCRWGCCGGRFYGGGCRFCCRGPFEAQAYGEVDEASP >KZM96513 pep chromosome:ASM162521v1:5:41538374:41541203:1 gene:DCAR_019755 transcript:KZM96513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPFQKVQIHRDDTTFDAYVVGKENAPGIVVLQEWWGVDFEIKNHALAISQFGSGYRALVPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDIQASVQWLKANGSQKVGVTGFCMGGALTIASSVLSPGVDAAVAFYGVPPPELADPAQAKAPVQAHFGELDGFVGFSDVKTGKALEEKLKAAGVPHEIHLYPGVAHAFMNRSPDGVKRRKDMGMNDDNEAAAELAWSRFQSWMSRYLSS >KZM96445 pep chromosome:ASM162521v1:5:41027600:41029693:-1 gene:DCAR_019687 transcript:KZM96445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMHFRRLSTLINPTPRNPFAPSTQKIMKKNKWDPIPLPHRTMPEPKGQDLDFVNVAYSHLVHADWAQLEKLASGLSLFRVKHILLRLRNDHVLSYRFFRWFGGKNPSFRNVETLSMILHILSKHRKFRSAEGVLKEIVDSGSVSFHFELFDALVDSYRVCDSSPRVFDSVFKTYAHLKKIRNATDMFCWMRNYGFLPTVESCNALLSSSLNLNRGDIALKFYKEMQRSRISANVYTFNMVVAANCKLGKLEKAVTIFKEMESLGFNPTVASYNTLIAGYCKQDLLSSAMKLKDSMVRNGVQPTDITYNTLIHGLCKEGKLLEANKIFNEMKGSDVTPTTVTYNTLINGYGQIGNNDMAERLFEEMSRDEIKADILTYNALILGLCKEGMTKKAAYLVKELDRKHLVPNSSTFSALIRGQCARKDSGRAYQLYKSMIRSGCHPNEYTLNMLLSALCESEDYNGGVQVLTEMLNRDNEFSTLPVNNLLLKYNTFKSHSFRVVGGIVPEKLLSSRIRTSEKVPRHFGIGPLILLFDRSNTLRDRPPQIKGGISPVILLLDTEYCIWWWNQKIPLQHITAHIYNS >KZM95271 pep chromosome:ASM162521v1:5:30686367:30687075:-1 gene:DCAR_018513 transcript:KZM95271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQKRLHEQLEIQRNLQLRIEEQGKQLQMMFEKQQKSGLGMVKASTVNVDKPEAILHSPAKSEPGTLNPEQQLAVDSLDNATPASGSSSANIKEKQKEPEIEIAKDVAPASGSSSANIKEKQKTPEIEIAKDTTSTVIDESTEQPAKRLKVNE >KZM96158 pep chromosome:ASM162521v1:5:38747498:38748939:1 gene:DCAR_019400 transcript:KZM96158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEYYYKVPHVQDQVVNHPSRQEINSIENALYGAGSELIRSELGAYGEKILGSGSNYVRNNIGRYVSNPRYYFQVSDQYVENKLKVVLFPFLHKGHWMRSVDSDGSNFTYKPPVYDINAPDLYIPLMAFCTYVILAGFFLGFSGRFSPEALGVQFSNGLLCWLLQVLLIEVSLHYLGGGDIPLLDVVGYAGYTFVAASVLVVVRILWSYSFYVVTAWECFCMGVFLVKTMKRILVAEVPSSQKSGSKTKRNYLLLLVAIAQFPLLFWLGKLASV >KZM96267 pep chromosome:ASM162521v1:5:39657613:39659059:1 gene:DCAR_019509 transcript:KZM96267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWEEGTSCGGGRRKPSWRERENNRRRERRRRAIAAKIYGGLRAQANFDLPKHCDNNEVLKALCAQAGWVVEPDGTTYRKGSRPLHIDIGAIDLEANSTNTTPCSSRRPSPPSSSFPSPVPSYQPTPSSSSYPSPSRLDANTSSNPFSFMHQSYNSSLRPLRISNSAPVTPPLSPPITNISMQNFNWESIAKQSASLKFPFLAASCPASPTRGQRFTPVTIPECDESDSTIDSGQWINFQAYAPTIGPTSPTFNLVKPVAPNVSFKNTILEKRKGKDFEFESISVKAWEGERIHEVGLDDLELTLGLGSTKI >KZM94352 pep chromosome:ASM162521v1:5:21334374:21334664:1 gene:DCAR_017595 transcript:KZM94352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSRPKLSGLQKQVLALYREFLRAARAKSTEDRKQIELIVSEQFRRNLTQVDRKNFLYIEHLLRRGKHQLDQLKNPATIGLSSLNVKVSDVKHS >KZM96229 pep chromosome:ASM162521v1:5:39346571:39347272:1 gene:DCAR_019471 transcript:KZM96229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVHSTPFHQMENPALISLLQHTTREKRSSSKTSGGIFRMFKLLPMLTTGCKMVALLGRPRKALLTDHASTGTLFGYRKGRVTLAIQEDPHRLPLFVIELPMLTSVFHKEMASDVVRLSLESETKTKNKKVLEEFVWAVYCNGRKYGYSIRRKQMNEDEIHVMQLLRGVSMGAGVLPGPADQKESTADGELTYIRARFDRRVGSKDSEAWYMINPDGASTGQELSIFFVRTR >KZM95612 pep chromosome:ASM162521v1:5:33869364:33870522:1 gene:DCAR_018854 transcript:KZM95612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEDSSDSQVKVKVEKGKPTHGGRYVEYNVMGNLFHLSSIYAPPVQLLGCGSYXLLMAIKGQIYDVSQSSLQIRCIGAFHFRFSLCSTFTSQVKDGNNAKFSLEFPLSISSSLIIWYAASFGIKFSPIFDLGSECRTQREAKVECTDMSDSEAVFDRTMSKKLGFQYLRQRDEGLWFLYELMLDENPRLKLDRS >KZM93984 pep chromosome:ASM162521v1:5:13266876:13268108:1 gene:DCAR_017229 transcript:KZM93984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITEFMRGGTLQKYLMNMYPNCLDLELAARFALEISRAMTYLHAKGIIHRDLKPQNLLLSEDQNMVKITDFGLAREEIIGDMSTEAGTYRWMAPEMFNVFGESNTKRYDHKVDVYSFSMVLWELLTSKIPYKGRNSMIAAYAVVTQNMRPSTDDIPKEMVPLLVSCWAEDPADRPEFIEIKYFLENFIHYLCTPDMSPPRMIKIGHANEVSATGECPCTSHLKQKAKGVCAKPSSAASRFFRCFRSCF >KZM95524 pep chromosome:ASM162521v1:5:32973431:32979919:1 gene:DCAR_018766 transcript:KZM95524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPKSNPPPKRVLIRPPQHPNLPTPPNPNPPLPAQSNGVVVVGFIGRQNCDVGQLINRVLDANVFGSGNLDKTFGVESGGVVTEELKDWLKCRKISFFHDEDKGILYLQFSSIRCPVREEFEEGGLGFDSVLEEREFGDLQGMLFMFSILKKFRILQSAKHAMAPFVRSRTTQPSPSKLNSSSSQVSVSGVTSSRSPGKSGGILRRNGSSVSLMSGLGSYNSLFPGQCTPVTLFVFLDDFSDDAPGSNVEESVETSSLNQSSSANSSARSNLPTKGSGPVVVLSRTSKSEVETKKKLQSSLEAQIRFSIKKCRTLSGTESGYAGRKSGGMSSSAPLFSLDAAKAVALVDRSSNQRGESLDFATSLVENILNGTRSSDSLLLESHNQSSNKEDILSVKEFIYRQADILRGRGGGVANANNGSAVGMVAVAAAAAAASAASGKALTTPDLPSLEIWLSSCQLILHGILSAKRCSTDEPEFSSQKSCQRNAFPQVVETNSSKLTDPLDIAVSLLEGGKGLNTRFSTLWCQKALPVAKDVYLKDLPPCYPTLLHKAHLEKALGAFRSMVKGPAVQRYLKKLEDECTSIWISGRQLCDAVSLTGKPCMHQRHDAGTGNQLAKDEIKLHSSGFVFLHACACGRSRRLRFDPFDFGAANVTSSCYQECDKLLSAIQLPQVNDTGPIQSSSWSLIRVGGARYYEPSRGLRQSGFSASQKFLLKWRIFLEKSKETNESACSVHEVDNHRSISASNIESFADEDRKKTSSAQLEQREIPNEIEVLENSPSDNNRIDNSKIRFGKGPPNFTMKKPFSEVVAGSTAADSGFPPLQSKKQTSLALDKGMKQLTIVGRRIEQVSEKTDDQGSQKVENAVADKIEISNASRNSNQVLEIGSNVISLNTYTKEKSELSSSKHVTVYFGFEHECPRGHRFMLTPELLSELGSSYAVNEESHLQYSCASKLGNKVAEATRLVKNSGRSKVQRHANGITTTASKDSTTGTSKDIMTSSNRHADRLWQHSLSSEVRNSLSVASNTMEDVEGIMQSVTLEDGGNAFSLLDRNLPIYMKCPYCRTSKNRRDPPNVKFAGTISQLQRIFLVTPAFPVLLGTCPVIQFEKSCLPLSVPDPEQKLQFTLGCQVILPPESFLSLRLPFVYGVQLEDGSLHPLRPFEDEPERTAWITKSTTLHVLSKGSNPDK >KZM94075 pep chromosome:ASM162521v1:5:14957572:14958836:-1 gene:DCAR_017320 transcript:KZM94075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSPKPLSLCIPRCVIRQHFLQICEKYTHLHKNRIEDDHNGKYRKKKENHEVFLQLHKSRGQHLLTNQRVLDSIVQKSDIRSTDTVLEIGPGTGNLTLKLLEVAKHVIAVEIDKRMIEILNKRVAECGFEHKITVINKDALKAEFSEFDLVVANIPYNISSPLLAKLLFGSSTFRSATLLLQKEFARRLLANPGDSDYNRLAVNVKLVADVQFVMDVSKRDFVPCPKVDSSVVMIFPKDDVPYKDLDEWGAFTKTCFTKKNKTLGATFKQKKKVMELYKLFNRRDLSEEEKSADGCSNDRCNDEDGEVKEDCSDEEACDQLSGLDTGVSNFKDKIMAVLKSGGFDDKRPSKLPNTELLKLLLLFNQAGIYFYDQARPADDEFLPN >KZM93285 pep chromosome:ASM162521v1:5:4433614:4443115:1 gene:DCAR_016530 transcript:KZM93285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKPEVLEAVLKETVDLESIPIEEVFENLRCSKDGLTSSGAAERLTIFGHNKLEEVKERKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWNEEDASVLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAVGMIIEVIVQYPIQDRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAARASRTENQDAIDTAIVNMLADPKEARAGVQELHFLPFNPTDKRTALTYLDSEGKMHRVSKGAPEQILHLAHNKSDIERRVHSIIDKFAERGLRSLAVAYQEVPERRKESAGGPWQFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVVGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWAAYKTNFFPNTFGVSSLEKTAHDDFKKLASAIYLQVSTISQALIFVTRSRSWSFVERPGLLLVAAFAVAQLIATLIAVYANWNFAAIXIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVVGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWAAYKTNFFPNTFGVSSLEKTAHDDFKKLASAIYLQVSTISQALIFVTRSRSWSFVERPGLLLVAAFAVAQLIATLIAVYANWNFAAIEGIGWGWAGVIWLYNIIFYFPLDIIKFFIRYALSGRAWDLVLERRVAFTRQKDFGKEQRELKWAHAQRTLHGLEVPDTKMFNDKSNFTELNQMAEEAKRRAEIARQVKGTTHTQGSRRISCEIEGS >KZM93616 pep chromosome:ASM162521v1:5:8475052:8475522:-1 gene:DCAR_016861 transcript:KZM93616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLDQRMCKELMMMMFLNQSVERIDNFVELEYGKASVDASTNKKRRLSSGDVYGERMLACMKGKVEDDALVEDTLSKEENFKEFYNELCRESDNEKITGGTESEENSSNDLFLPPRKDSKVSNRGRKRKAGGRGRSGGKLALFLRSGVIKPLAKVY >KZM95295 pep chromosome:ASM162521v1:5:30930330:30930758:-1 gene:DCAR_018537 transcript:KZM95295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIQGQTEDWQESGRDYAAFQQKLRGDIGFKAVFMPTPGQLPFRATGGTPPVSTPPVPTTQSSKQANQEVKGGTQSSSKGGSQSSSKQGEGKAKKAGKDAQVSTQQSSSSAPSRRSTRLMSQNSFKFSNTEEDPIDIDLAS >KZM95843 pep chromosome:ASM162521v1:5:36149294:36175352:1 gene:DCAR_019085 transcript:KZM95843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDDLPVPKDHAHLREELARIDESWATSCFDSLPHVVHILTSKDREGEVGKLKEQSDIIEKVVDAVVHNYHSGFNKAIQNYSQILRLFSESTQKIGVLKVDLADAKKFIGSRNKQLNQLSYRSVTLRHIISLLDQIEDISKVPNRIEKLVQEKQFYAAVQLHVQSTLMLEREGLQMVGALQDVRSELTKMRGIIFYKVLEDMHAHLYNKGELSSAAASLHDTDDAVPTTTAASFSINNLQPLSRRTRSHKGDNQLGAYGLVDGPYVPSSVDGGSSYDGHEEDGGFDGHGETASNGYTASGRVNGGDNSKDAKTISRQIPIWLSASTPDEFVELLRKSDAPVHVKYLQTMVECLCMLGKVAAAGAIICQRLRPTLHEIISTKIKAYAERVISSRPGMNQAARDSSTAKGQLQSYQLSKQKRQNGTSMPGTLLAVSPVSPVMAPMGTAQATAKELLDSIFDTVVRIFENHVIVGNLLESKSSQIGLNTPKSMPVDITWNPDSEARDTGGYSIGFSLTVLQSECQQLICEILRATPDAASADTSAQTARLAKKTSSKSKSKDKRVGSEDGLTFAFRFTDVSVPNQGDLIRQNWKKGPNVQEGYGSAAVLPEQGIYLAASVYRPVLQFSDKISSILPQKYSQLGNDGLLAFLENFVKEHFLPTLFVDYRKSVQQAISSPAAFRPRAHTAATYTPSIEKGRPVLQGLLAIEFLAKEVFGWAQAMPKFAGDLINNVQAFLERSYERCRTSYMEAVLEKQSYMLIGRHDIENLMRRDPASSCLPNSCGQTQVDTDASAAEISEFETEMSDLLLNLRPIKQENLIRDDNKLILLASLSDSLEYVADSIERLGKASSRTYNNREENGTTQSHHTRTRSTPPKDLVSFADEYRKLAIDCLKVLRVEMQLETIFHMQIMSSREYSEDEDAEEPDDFVIALVSQITRRDEEMAPFLAGPKRSYILGGICSVAANAAIKGLAEIKSINLFGVQQICRNSIALEQALSAIPSTNSEAVQLRLDRVRTYFELLNMPFEALLAFIMEHVDLFKAVEYSNLLKVSVPGRDIPADAQARVNEILSR >KZM94434 pep chromosome:ASM162521v1:5:22275106:22276759:1 gene:DCAR_017677 transcript:KZM94434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESTNPNTGEEYSLDMILMNDINKLTISDEKKMMAQAFSFYACKYLHKIIEIYSGHGKSNSVPCVFTREIALYRTTGSFSGLLVHTQAVNNRSDL >KZM94968 pep chromosome:ASM162521v1:5:27474007:27483601:-1 gene:DCAR_018210 transcript:KZM94968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDIRKWFMKHNDNKGNGNASKDKLENPEKPDKQEKSGREGQESSGRRKCSPYFDKQKIKNEKEIEEPKSETKTPIRKKLQKVEDAEDDDDFEMPSSKRKGLVEVTPNKRSKSGVGRGAAKKGIDLDESDEDDVKVKATKSTPKSAGRGRGGKAVSVATKDESDDDDAEDKDTKSQKPGGRGRGGRGTSAAAPGGGRGRGGGGGGRGGFMNFGERKDPPHKGEKEVPEGAPNCLANLTFVISGTLDSLEREEAEDLIKRHGGRITGSVSKKTNYLLCDEDIGGRKSSKAKELGTGFLTEDGLFDMIRASKPSPATAQNETKKSIEKTVASTPKSSPQQLAISKEQARISPVKNSINKGRGQSASPAKKKSQSTEHPSLSWTEKYKPKVPTDIIGNQSLVKQLHEWLVQWNEQFLNTGHKGKGKKPINAGAKKAVLLSGTPGIGKTTSAKLVSQMLGYVTVEVNASDSRGKADGKIEKGIGGSTANSIKELVSNEALSVKMDRPKHQKTVLVMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNHCLLLNYRKPTKQQMAKRLAQIANAEGLKADEIALEELAERVNGDMRMALNQLQYMSLSKSVIKYDDIRQRLLSSSKDEDISPFTAVDKLFGFNAGKLGIDERVNLSMSDPDLVPLIIQENYVNYKPSSAGRDDNGLKRMSLIARAAESIADGDIINVQIRRYRQWQLSQSSSLSSCIIPAALLHGQREILEQFLPETPDYAMAVIMLYKGERNFNRFGGWLGRNSTMGKNYRLLDDLHVHLLAARESNLGRTTLRLDYLTLLLKNLTDPLRMLPKDEAVEKVVEFMDSYSISQEDFDAIVEISKFQGHPNPLEGILPAVKAALTKAYNKGSKSRVIRTADLITLPGLKKAPKKRIAAMLEPADDGLEGKADEAENEEGNSSDEELDDKNDGGKAVQLDLQNLSSKGIQVQMDLKGAEKTSGKKTGSGRGKRGASEVVEKGAGRGSGSAAKRKK >KZM95206 pep chromosome:ASM162521v1:5:30154227:30155777:1 gene:DCAR_018448 transcript:KZM95206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSILGEMNGKMINFEETELRLGLPGNATKLKNTTTHVNSSTTNVNGKRGFVETTHVDLKLNLVCHDAEIVDQPKDIKNLLGDGGSKVSLVKPPAKAQIVGWPPVRSHRKNILSSQKTSSKNGDEEGEKISSPLGAALVKVSLDGAPYLRKVDLSIYKSYRELSDALGNMFNSFTIGKCGSQGMMDFMNERKLMDLLNSSDYVPTYEDKDGDWMLVGDVPWEMFVGSCKRLRIMKGVEAMDLAPRAMEKRKNRT >KZM94390 pep chromosome:ASM162521v1:5:21826180:21827865:1 gene:DCAR_017633 transcript:KZM94390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEIGKLWRKSGAKTGQETEKAVIGILVFEVSTMMSKLVDLWARVGDKQIDRLHKEVLGSPGVSKFVSDDVNYLKNLVLVEIMDDLSGVAESVARLGKRCVDPLYHHLEYVVEYFVEFDSDWCVWIYRYAKMERKVRKMMRFSAITAQLYQELEVLDELDQSLSRMRGRVGLSKMKLHDAREKIIRQRQEVKKLREMSPWIRTYDYTVRLLLRSLLTILERVKQVFGIDQVQGNVRPQICNNASLARSQSMYVPKQPSEYAHPTSDLRPLGRSVSTMGLNSVKKDPDPYELKMCQQSSIFCVRPTSQGNTRKLSKVGSLKGCMPLPTNNYSSISNAFQEDIDTIEVSSTGNFSHRNLYCNTIISPFISNHKLLSAPLSTLGGAALAVHYANVILYIKKLAASAFISLEARDALYEMLPATIRTSIRIKLKLFAKTLSSSIYDATLAAQWKFTIRRLLQLLVPLANNMIKWRSERNFENQRIVCGTSVLLVQTLYFADQERTEAAITELLMGLNYISRFGKEFCGKAVQQLSCIIASDSVLSFEDVSKPATLKTSPTGITP >KZM94042 pep chromosome:ASM162521v1:5:14206589:14206783:-1 gene:DCAR_017287 transcript:KZM94042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFFSAAPYYTCSIATFMSLPAVVFFPAQPPRGPPPPAVASPPSAASPPPVTEQPGSDAKCGI >KZM95615 pep chromosome:ASM162521v1:5:33885505:33897160:1 gene:DCAR_018857 transcript:KZM95615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLKVISNGCSQNRRSKVSAFPQLHRLHSLSQPAVPSFGIAFDIDGVILRGHSPIGGSPQALSRLYNHLGSLKVPYVFLTNGGGVPESKRASELSKQLGVNIQPQQVIHGHSPFKQLVNRYEKELIVAVGKGEPADVMTEYGFKNVVSMDEYASCFDSIDPLEQYKNWRLDSQKSSSKTSISSQRVKAAFIVSDPIDWGRDIQVLCDILRTGGLPGTEIAHQPHLYFANDDIDYQAVFPSERLGMAAFRIALESIFNRIHPKPLEYTSFGKPKPFVFRNAEIVLKDLLPLLVHDPQDAKPGNIDNHCFKTLYMIGDNPAIDINGARQAGQPWFSILTRTGVFKGKENHAEHPADLVVDTVEEAVDYILKRECILSYYPPDILLFEHALKVAPVKNDAKEDTQKVAPAKIDMKEDAKDAEQSAVTNDLEVVEGVGGDHKEKGKSRSFKLSELIDATDDFNMENYLGEGGFGKVFKGKLKDTGELVAIKQLDSDGTQGVREFVIEMMTLSLVDHPNLVKLVGYSVEGGQKLLVYEYMSLGSLEKYLYGHKRKTLDWNTRMKIAAGAARGLEYLHDKLNPPIIYRDLKSSNLLLGEDFHPKLSDFGLAKVGPTGTNTHVSTRVMGTEGYCAPEYAMTGQLTFKSDIYSFGVFLLEILTGRKAIDSKRPSKEQNLVDWARPLLRDRKNIYHMVDPELRGQYPPKQLYKAFATALICVQEQPSTRPRVSEVAKALDHIVSQLYTPQSPPAQTTPEIPVIS >KZM92957 pep chromosome:ASM162521v1:5:1194077:1196531:-1 gene:DCAR_016202 transcript:KZM92957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTEEPQLTHVPSFAIRESHNIEFLRSISLKQESSNTSENPSFDPPRLSTPTPITAFSLTDSQPSTPSLHPPKTSLSALTAYKCILSVLKKDGQVLSIAASNGIAYTGSESNVIRIWKLPEFTEYGQLKSKAKTVVALQVSNDRVFAAYGDCKIRVWSRRTWEGITKHVKLATIPKMGGYVRSYISGKDKMMKHMAPISSLAINLSDDIIYSASLDKTVKVWRISDLKCIETIQAHSAPINAIALGEDGVLYTASDDATVKVWRRNFCRGDRPHALTVTLQSKYSPVKTLSLSSEGAVLYGGCTDGYIHYWTRSWFSGQLQYGGPLRGHTHAVMCLTSFANLVVSGSADSTSRVWIRQQDGEHTCIAVLEGHRGPIRSLAAFQGKVSDESDQDECTVCTGSMDGVLKVWRVRCTKSTNSKRISPDYFELNPCLNPT >KZM93230 pep chromosome:ASM162521v1:5:3903728:3906598:1 gene:DCAR_016475 transcript:KZM93230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYLMFIFSLLGAISVVTLAGDPTTDSFWLLRIKSELVDPEGVLENWSTSNDLCSWNGLVCSENLTRILVLNLTGSGLSGSISSELSRLTSLQTIDLSMNDLTGAIPPELGQLQNLKELLLYSNSLSGVIPPEIGLLKKLQVLRIGDNMLSGQILPVIGNLSELRNLGLAYCQLNGSIPIEIGNLKYLNSLDLQNNSLSGLIPQELSGCIQLQRFTAANNRLEGEIPASMGNLKSLQILNLANNSLSGSIPIELCDLSNLQYLNLLGNRLSGSIPSEINQLSQLVSLDLSSNNLLGAIVLNSQMRNLEALALSDNSLTGSIPANLCGKDSNLQYIFLSQNNLSGNFPLELLNCSLLQEVDLSDNNFEGELPAGLDRLEHLTDLALNNNSFTGSLPPQVGNLSYLMTLFLFGNKMTGRIPVEVGKLQHLSILYLYENQMSGSIPVEITNCSSLTEIDFFGNHFSGSIPSTIGKLTNLKFLLLRQNDLSGTLPPSLGYCKKLQRLVLADNKLSGSLPPTFRFLSDLFLITLYNNSFEGPLPESLYLLKNLNIINFSHNRFTGSIVPLSGSTSLSVLDLTNNSFSGPIPSRLAMSKNLTRLRLAHNFFTGYIPSEFGQISMLSFLDLSFNNFSGELPPQLSKCEKFAHLLLNNNQFSGMIPTWLGGLQELGECDLSANNFNGTIPAELGKCSKLLKLSLHSNKLSGNIPPEIGNLTSLNVLNLQRNNLSGSIPPTIQQCKKLYELRLSNNILSGLIPPELSTLTELQVILDLSYNSLSGEIPSFLGNLVKLERLNLSFNQLRGQVPYSLGKLTSLHMLNLSNNHLQGQIPSTFSRFPLSTFLSNDNLCGPPLVPCSQSSSSTEKKWLSNSQVAGIIVAIVFTATVICLVLLYIMLRIWCNWRKVAISSSENGRSEKERPEEKWGHGNGDAIRSLDQYWNVNTMEKKGHSMTPSCIFNLG >KZM95630 pep chromosome:ASM162521v1:5:34000225:34001368:1 gene:DCAR_018872 transcript:KZM95630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIDLLCASPASTAICSSTDLRAMVRSHGTRSVDRRRHHYMSDHYPRKSRNNVPVIPCSSQLPSIPSSYYQKSRKSASDHSSAARGDRELRRKSSTDIINDLATTTPYGSSRYLLSDRPFDELLPQTDNALALVPSEPVAPRRVGENDSALVSGNQDPRPRARTGSNDSLVLTSNITKQKQLVLSQPARRHESSAHKSSSARSQTRHQVVELMVSIHCKGCEGKVRKHISRMEGVSSFSIDRATKKVIVIGEVTPFGVLSSISKVKNAQFWPCPTSLSSS >KZM94606 pep chromosome:ASM162521v1:5:24098034:24102587:-1 gene:DCAR_017849 transcript:KZM94606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEIEKQRIELAKEENCSAKAKQGEGLKQYYQQHIHDLQLHVRQRSHNLNRLEAQRNDLNSKVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVISVAVYGGMVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRWDILKIHSRKMNLMRGIDLKKIAEKMGGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >KZM96558 pep chromosome:ASM162521v1:5:41828515:41829252:1 gene:DCAR_019800 transcript:KZM96558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLGSSSISSSSARTIRSSNSLSSSSKYGNIVRVVHLNGWLEEFEDPVTVGQVTGNQPKHFVFPQAQLLSLDSKPLDSAAQLELGNIYFLLPHSIFQAEMSPIDLATIYKRLTSAAQKPFKPPNPSSSSFNNNNNTTEQAADTNTAAAGMQQNKLKPNPYADRYVPPRFNTTLSITDGNSNDNKHLFYNYDHTSCDSLLMKQQQQQVGDHSPRSWKPILESIIERSFTLRSTESDSSHQDTHR >KZM96476 pep chromosome:ASM162521v1:5:41233302:41235015:1 gene:DCAR_019718 transcript:KZM96476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSISISSALIVGLLAALASNHLIPFSPLLTLNEIYDYSDLASFTSSVLSYLRPVSRRHHHWHHDDTKERTSVCDNFPPNFPPPDTNTTSTFCVDSRGCCNFTTVQAAVNAVANFSQKRNILWINSGIYLEKIIIPKTKPNITFQGQGFTSTAIVWNDTANSSNGTFYSGSVQVFGANFIAKNISFMNVAPIPRPGDVGAQAVAIRISGDQAAFWGCGFFGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNAKSFYESCQLTSMANPVLPGQKVINGAVTAQGRASADENSGFAFVNCSLGGSGRIWLGRAWRPFSRVVFAYSTMSDIIAPEGWNDFNDPNKDPNIFYGEYMCTGGGANMTMRASYAQRLNETQASPFLNASFIDADQWLQPFSL >KZM93508 pep chromosome:ASM162521v1:5:7358928:7361828:-1 gene:DCAR_016753 transcript:KZM93508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHGAHYSAPVDECEKPVIVAVVQVETCEEPKESLKEKIHEKHEEVEAKVHELEHKIHEKEHELKEKIHEKHDEVKDKIAEKHLEMEEKKYLKDHKVDAYLLPTGHCDESVLVVVEPEESLKDKIHDKEHEIKEKIHHEHEEIEEKIHEAGEKIHHKHEEVEAKIHHKEHEIKEKIHHEHEEIEEKIHEAGEKIHHKNDEIKHKIEEKHYEIKEKEHELKEKIHEKHEEVKDKIAEKHLEMEEKKYLKDHKVDAYLLPTGTCDESVLVVVEPEESLKDKIHDKEIEIKEKIHHKHDEVEAKIHHKEHELKEKIHHEHEEIKEKIHEAGEKIHHKEHELKEKIHHEHEEIKEKIHEAGEKIHHKHEEIQEKEHEIAEKIHGKHEEVKDKIAEKHYEMEEKKYMKDHKVDAYLLPTETCEESVLLVVEPEKKKSFVEKIKEKFHHHHDKAETCEEPKESLKEKIHDKEHEVKEKIHHKHEEVEEKVHEKEHELKEKIHHEHDEVEEKIHEAEAKLHHKHEEIKEKEHEIAEKIHEKHEEVKEKIAEKHDEIKEKEHEIAEKIHEKHEEVKDKIAEKHLEMEEKKYLKEHKVDAYLLPTETCDESVLVVVEPEEKKGFVEKIKEKLHRSDSCSSSDEEESLKEKIHDKEHEIKEKIENKHHEVEEKIHHKEHEIKEKLDHKHDEVEGKIHEVEAKIHQKHEEIKDKVEEKHHEIENKIHEKHEEIKDKIAEKHYEMEEKKYLKDHQVEAYLLPTEKCDESVLVVVEPEEKESLKEKIQDKHHEIQEKIHDTEEKIHEKNEEIKEKIQNKHHELEEKIHNTEEKIHDKNQEIKEKIQEKHHEIEEKIHDKHDKVDAPVVTYQETEEVVYSPPAVSVEYAATATVGYEPVKEKKTFIEKIKEHIPGLHHSKSSSHEAEKEKLVKEKKDSAY >KZM95712 pep chromosome:ASM162521v1:5:34763378:34765737:1 gene:DCAR_018954 transcript:KZM95712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSTKIESSVQRSSCVSRLHEAVVETANDINSARNNHSEVLQLPERLRPELMPNHVAIIMDGNRRWARQRNLPVFDGHTAGKETLKELTRLCSKWGIKVLSVFAFSTENWSRPKEEVSFLLRLIEEVLKSDLEEFMRSGNRISVMGNRKMLSRSLQESITRAEETTKANTGTLLNLAINYGGRYDIVQACKSICKKAMTGHLQVDDIDETVFERELETNDLHNPELLIRTSGELRISNFFLWQLAYCELYFADKMFPDFGEEDFVEALSSFQKRQRRFGGDYYPKA >KZM94184 pep chromosome:ASM162521v1:5:18046779:18051241:1 gene:DCAR_017429 transcript:KZM94184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLANYPATRFYLNHNHHCVKSIRSSLADSSFYQIDFIEEDEEDVPKFNVKELLSLKDEYIEKRVTAKLHVKKIDKTMSWYSNYCIPCDIDLQLVDKRFKCVKCGKFKPYPDRRYEFCMLCADHTGTVPILFTNDELTRFIGKTVYDILADETQVGDGDKFPPILLQFEKRTYNFTRHVTKENVSGGSNVYTAVKVSADEEVSSNDDPQQTNSVPIKQTEISNLKGTLNSGSPTTGESTNKSRARKKIDVVRCELPEETPEPKLKNMKAKINVRMEAFVPLFLMEKLQKVFIQGEMYAISNFQVKNYTETDKWRCVTTDRQIQFTNQTRAKELDDNDCFIAKNCFEFCDLGDIKSFANQTKYLVDVVGVVTRREELKLVHTKQGVDKWQIRMTITDGRNYLNITLWNHLAECFQSDISTSKFEEPLIVIIVAGKVGIFQDEYDMCNFSPTAYYINYNHHIVAQLRKMSTQSEFKIQPTRIEEVICQVQITAVQESNRWYYSQCTTCYKQIDEVWIIIGGKDETGEIDILLMDRPIRKLFGKTVFEMEEEEKGKFPSAFKALSSGTSIHLDNISQI >KZM93615 pep chromosome:ASM162521v1:5:8451872:8469315:1 gene:DCAR_016860 transcript:KZM93615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVSELESQIDSFEAEMEGLTVKKGKTRPPRLTHLEASITRHKAHIMKLELILRLLDNDELSPDQVNDVKDFLDDYVERNQEDFEEFNDVDELYISLPLEKVESLEDLVTIPPGLVKGVGATSAILSLKPSLTTSSAQLPAALTSTDQPSSSVLEQVEETVFQDSTSDVPKTPSSKSTAVGASAPTPPTGSHTTGIPNNTAHILPGMSTASAIQSGPSPAKGMLEIASAVVSSAPVSISVSTKEEEFTGSPGRKSSPALSETGLRGVGRGSLSSPLSTANPPSSGSTIPNNGAFGALTPASEIAKRNMVGADERLAGTGIVQPLDSPLSNRIMLSHAAKAFDGINMTENGNLGEAAGISPRIFSPGMQWRPGSSFQNQNEVGQLRGRTEIAPDQREKFLQRLQQVQQGQSTMLGMPPLAGENHKQFSSQQHNSLLQQFNSQSSAASPQVGLGIGVQAPSVSTVTSASLQQQPNPTLQQQFSQHGPMSTSLKDSDVGHAKVDELQHQPNVSDDSAAESSPRTGVVKNLMNEDDIKASYALDTPGGVTGSSTEAAQMPRDVDLSPGQPLQSNQSSGSLGVIGRRSVSDLGAIGGPSLNSAGMHDQLYNLQMLESAYYKLPQPKDSERAKSYTPRHPAITPSSYPQVQAPIVNNPAFWERLGADNYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRFHKKYNTWFQRHEEPKLATDDYEQGTYVYFDFHISDESQHGWCQRIKTEFRFEYNYLEDELIV >KZM95887 pep chromosome:ASM162521v1:5:36512352:36514601:1 gene:DCAR_019129 transcript:KZM95887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASMISQGYEMPWVEKFRPSKVCDIVGNEDAVSRLQVIARDGNMHNLILAGPPGTGKTTSILALAHELLGPNCKEGVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIIILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCALVRFSRLSDQEILGRLMVVVAAEKVPYIPEGLEAIIFTADGDMRQALNNLQATFSGFQFVNQENVFKVCDQPHPLHVKNMIRNILEGKFDDACSGLKSLYDLGYSPTDIITTLFRIIKNYDMPEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKFALVRETAKAA >KZM93924 pep chromosome:ASM162521v1:5:12254225:12254416:-1 gene:DCAR_017169 transcript:KZM93924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGTCKGNYEIMSEEEYENWLWTDDEEEEQEGKIIEITDDEDAEAVNMLMEGVGQERMGGRQ >KZM95710 pep chromosome:ASM162521v1:5:34729454:34733261:-1 gene:DCAR_018952 transcript:KZM95710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVSTARECLTEESARVLDDAVSVARRRSHSQTTSLHIISALLSMPNSTLKEACQRNRGGAYSPRLQLRALELSVGVSLDRLPSAKTQDESESLPPISNSLMAAIKRSQANQRRHPETFHLYQQTLNQTHQNGVVLSVKVELKHFVLSILDDPIVSRVLGEAGFRSSDLKISILQPPAQIRYPPPLFLCNLVNDESKRCRFSFPFAIESVDENSKRISEVLVKKNKKNPILIGFCAKDALKGFRESVKNGKVGVLDKKIEGLSSICIEDEVSEFVLKNGSEEMMGGKFDEVGDVLEKCRGCGVVVDFGELGVFVKGVSIEGLSYVVSRLSNLVRVYGEKIWLMGFAESYEIYMKFVERFPTIEKDWDLHMLPITASTPLNGGSYSKSSLLGSFVPFGGFFPAPPEINNLLESRSQSAPRCDMCNKKYEQEVSSVLKGGSTTSVAAQDQLNLPSWLQMDDIDKCKSANPSEVRDGGVQNARLAGLQRKWNDICQRLHHPRSLQQEMSKVGSLLPAVGSYHSDAKRKDDNEIPVLQNQGTVEVSSIREPEMNHKEPSYPICSPLLQPGLTSSSSVTSSVTTDLGLGTLYASCEQEHRSSKSQDFKELPKVSWYIPAKISGDCTLTSANDSMQTSFRPSLGGQSDDKDFKYLWKVLSDTVGWQEEAISTISQTISSCRNGYGRLRGQTYKRDIWLSFLGPDKVGKRRIAGALAQISRGDLFSVDLDPVNCFSLQNSIFDYPDSSSNNLSIRGKTIVGYIAEKLSRKPYSIVLLENIDKADFITQRSLTQAIKTGRFPDSDGREINISNMIFVTTLSRDINVMEKGPPKFTENKVLGAKGLQMKIFVEGNAVDIPKTRSENVLLEPMKGTSNQVSVNKRKITDEIGNSPEVVNRFQKVSRTCLDLNLPVEDTEDDDYGACDSHSSSESSDVWLEDFLEQVDQKVVFKPFDFETLAQKILKNIEKSFRETIGFDILLEIDSEVMLQMLAAAWLSNERAVENWVEQVICKSFVEVKQKHHIASGSVLKLVALEGLQMGDKAPNLNLPANISVN >KZM95608 pep chromosome:ASM162521v1:5:33827047:33827670:-1 gene:DCAR_018850 transcript:KZM95608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREERSEVNDKKQKQIYDQMITVIEGRVEVSNKKQRREEKKGGPSSVSCDVCMDVISVTHSIHVPKCHHRFCGDCIGSHLQKKIQENVKQVKCPSSKCRAVLKPEFCSNLIPEKVYERWTNALTEIYALENAREIECPSDDCEGVFTVDRRGFGTKRLCSKCGGSFCLRCRSELLYTGFSCKICERTRRLNQNHRRNRGSKTIHHI >KZM95671 pep chromosome:ASM162521v1:5:34411754:34413454:1 gene:DCAR_018913 transcript:KZM95671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTTVTRCRNNFWVIVFTLFLFWYFLLYAYDWSYLGAESIKSVNHFVSFDSSDPDQIPKSNVHKDISVNETTGSKNVRNKKTDENSVVELYDENWFEDMEKIKILEEDLVPLMKQAEANKHELLCYDRYIYIHDLPRRFNQDLIEQCDSLSKWDDMCYYMVNMGLGPELGNLQRVFMTTGWYDTNQFSLDYIFHNKMKQYECLTNDSSKAAAVFVPYYAGLDIARYLWGNNTASKRDADALALADWLRNKPEWKVMNGRDHFLVAGRMTWDFRRGVDNDGAWGNKLMLLPEFKNASILTIESSPWNKNDFAIPYPTIFHPSDDDSITTWQNRMRRQRRRILFTFAGAPRPRHDESNIRGEIIDQCNAARRCRFLECRNEDNKCKKPLHVMKTFQSSVFCLQPPGDSYTRRSTFDSILAGCIPVFFTPGSAYVQYIWHLPRDFTKYSVLISGFDVKYKRVSIEEFLNRIPKRKIIEMREEVLRLIPKVTYAHPRSDLSGTEDAFDLAIKGVITRVETLRREIREGKDSSLELDEENSWKYFLFGTQEPHIWDIFFRRAKRDGKQRH >KZM94585 pep chromosome:ASM162521v1:5:23871388:23875930:-1 gene:DCAR_017828 transcript:KZM94585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKLNYHVFAGNPINKPHTSKQNDTVSPSAAFQSLKSRILSNTHDLGVSFEVLPFRKGRPLAGSVGDDLNTQNWHLGWLNLSDFRGFLDESWGNFTENEFVYLGSKPENDVVYWAVDVSEEDGLVNKFGSRQLCFTELRTLMVATDWANQLAMADLAIAGHARALLEWHKTSRFCGSCGEKTVPMEAGRRKQCSSEACKKRVYPRVDPVVIMLVIDKQNDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGEVIYHSSQPWPVGPSSMPCQLMVGFFAYAKSLEINVDKEELEDAKWHSREDIKKALTFAEYEKAQKTAAMKVNQMCKGVERSQSLSADFNVESGELAPMFVPGPYAIAHHLIASWANGGGEISVEAQAKQLTSSLSNL >KZM95572 pep chromosome:ASM162521v1:5:33518589:33521664:-1 gene:DCAR_018814 transcript:KZM95572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCILFQLNSSAGSSQDTPNTKQLRKPYLLSEMGARNQDISSQDFVQIKMPPSPTSSLKKVNIIPKPSPSNAKTNTSPGPSLPKAKTSTKHILPKRSVKYKVSSSDMEKAASLGPGEPSDKPSILRSWSLSKIFTPKMNRTSSLPLPPIARANSETICGGNLLPVSSVLRANSESICGENFAPVATVPHANSETTREGNLLPANPISRANSETIYGSNFTGTQNTKGAHSHIARSLSVPSLNKLTSTRKMDSFIRVIPSPRTRDRNQTSTTAAAEDDENYETDGEDIPEEEAVCRICLVEVCEGGETLKLECNCKGELALAHKECAIKWFSIKGCKTCEVCKHEVKNLPVKLLRVQSVQNRNTGAIGAPYMEVNGFRVWQEVPILVIVSILLYFCFLEELLVETTDMGMGSVALSIPFSCILGFLAAMTSSTMVKGRFVWLYAAMQFSLVVIFAHLFFFMVHKEPILLIILATFAGFGVAMGGSIIFFELLKWRRRLHVSSN >KZM93182 pep chromosome:ASM162521v1:5:3214817:3218275:-1 gene:DCAR_016427 transcript:KZM93182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREGFLTQEQRETLKVASQSVDILSSSPKSPSGFMSEHHAKSPRGGMPGINVKHVRRTHSGKLGRAKKDGAGGKGTWGKLLDTGCESPIDRNDPNYDSGEEPYQLVESTVRDPLDEYKKAVVSLIEEYFSTGDVDTASSDLRELGSNDYHPYFIKRLISIAMDRHDKEKEMASVLLSALYADVITPTSITQGFLMLLDSADDLAVDILDAVDILALFVARAVVDDILPPAFVTKAKKGFPPSTKGLLVLETAQKSYLSAPHHAELVERRWGGSTHVTVDEVKKKISDLLREYVESGDTSEACRCIRQLGVAFFHHEVVKRALILAMETRTAEPAIFKLLEEAADEGLISSSQMLKGFARLAESLDDLALDIPCAKTLFQSLVPQAVTGGWLDASFLKSLETNGEVLEKDSEKLKLYKKEAVAIIHEYFLSDDIPELIRSLEDLRAPELNPVFLKKLITLAMDRKNREREMASVLLSALHIEIFSTDDIVDGFVMLLESAEDTALDVLDASNELALFLARSVIDDVLVPLNLEEIGCRLPPNCSGSETLHEAKSLLAARHAGERLLRCWGGGTGWAVEDAKDKIVKLLEEYESGGVVSEACQCIRDLDMPFFNHEVVKKALVMAMEKKNDKLLDLLQECFSEGLITTNQMTKGFTRISDGLDDLALDIPNAKDKFKFYAEYAQEKGWLLPSFGSPSADGPFIPAATTS >KZM95314 pep chromosome:ASM162521v1:5:31085606:31106757:1 gene:DCAR_018556 transcript:KZM95314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSGVITSDWIPIVDQVLLITSVFLTYMAGVIPADKSLFNPQQRTANDRVVPDEASVSGREVNNDDEANLTFAWEIVRKKLFDSLNIIENNGNAGSGVTDVLGNSSTLQMVEPQVEFSQIIQKSCEHLCIDWLKEELSLKSSNTDVGRLSVLGDKLNVYDSIIQSIEILGKKDLYADLIGILRFGSLRKDSCYDFDFFSCHGVSVLEDLVISMADGVASMYVELISVDGNMSDGIHSLGLSLCTLSTRALQRLRNEVVLSQWLYQNMDSVASMYEDRFDLRTLESRVIQNSSKGEANESSWWKKLGMLKSRPVSSPLCFVVINCISVPVKRTKELRALTGWFSTLSRKKHKSLNEDGFLSFIASSLDKVEGPSHHWLNKSEDSKEILKRDGVFLVLAGAFLEEPSNESVIMIKNVKALHQRYPTVHVMGFQSCKSIFSDAFIVPLLQSMMREFITFPILLSTNNFPEVLKGACYIICEGFKRPQIFYEKDVDIGFIDKVIKELNLQQHNDKSVNKLQSSWVKPIHVIPEPYCCSSLQNLFLYFPGCIAVDESNERLFLSDSNHHRIIVSNGSGEILESIGASPGFEDGEFQSAKLTRPAASFYHSAENCLYFVDSENHAIRRADMERRVVETLHPTCNINNKGHSLWSWFVDKFWPRNDAVTKSDTYDSETFLYPWHMMKSVDNDLYVFTRSGDAQSSCRIDHMQQFSLLPGRIDIQLIVDIPEDTVLVEPIKEDYVWFQARGTATVVPEAKKKEESLEKVGLAQQWYDELDDLAALTPEEKLSTEKEDMISDGEVQDGRICIKSAINTSPGTCEVIISAAIYLKLKILSTSIDDDREKYASRIAHHLSNKSGRSRKDQCLQQLLTSNADARDLIFMKPLNVKLLFDCLNHPKAKNSKDIILTNSSVDVNVTLT >KZM94499 pep chromosome:ASM162521v1:5:23029646:23030838:-1 gene:DCAR_017742 transcript:KZM94499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGNVAAKQSTKEVEGDDKMKTLECLRGRLLAERAVSRAANEEADKLGNKLIELENKLKEEVKLRKRAEKRLRSLTKKVESVKLVNVVSDGSSSNNLSPLEKSDSSSLSSSSTAYSFSYPGKLKKKPNSPYRNSSKCEHVKQDVQQSNTDSGASGENQSFTSSGKISSDKTISEKSDDHSQCTQSNYDEKLDDHSSKPSFEDKETNGENHQDWDGTSVDNSMALVAVDIPVSTQTTSTIRPLIAADSGVKDVLETLRYVKEGLQSSMDTRRMIRAGY >KZM93427 pep chromosome:ASM162521v1:5:6219949:6229108:-1 gene:DCAR_016672 transcript:KZM93427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAVNIVSDDERETLEISDSTPMTTNCKRQRRLFDSKSNAPVFLIDDDPTPPPKHSHISPPEPKPSGIDGLILLESDNESDSFWGAETSKQNAMLHATSQAETFSEFGSRLTQSHFSLDNEGTASVTQMYGEKSVDLIYPEYDIPRVCDHLEQELDDLQPLSDVLRAEYTHKGKVCEENDTDEPKECAGKGKVHEQNDIDEPKGKRKKTKEEKLRVMEEKRLQKEREKLQKAALKAEALEMKKVQKEKQKWEKGKLALKSIVAEIDTKVVELGSVGGSLLSRFAEKGIAYRITSNPIERSIVWAMTVPDEISQLSPSGVEIPYVLLVYEADEFCNLVMDQSLMGHVSRVQRRYPAHTVCYLTNRLQSYINKREQGKYKDPSKFNDWNRPPVEEVLSRLTTHFSKVHSRQCVDEAELAEHVVGLTCSLASCQFRKKLTPLSVNANGSIVPKDCPDKILIKKNFWLKALVAIPKVQPRFAIAIWKKYPTMKSLLQIYMDPSKSVHEKEFLLKDLTTEGLLGEDRRLGEICSKRVYRILMAQCGNTKTDDVENGADFFHSKSA >KZM95921 pep chromosome:ASM162521v1:5:36793950:36795863:1 gene:DCAR_019163 transcript:KZM95921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKDDPATDDGAGGAGDSGASFSETFLAQAPQKTFSRSDSRTMVPSHDLDGGNESLDQNDDKGGDGRLNVHSNLRTRGRIRSKSHLPPLQPLTISQGQSNVWPGPGADDVRKLLPCTPGGRYTSDGGERSKLDLSSGTGNLDKNSGLSKKDNIAMFDKECSRVAEHIYLGGNAVARDREMLLKHGITYILNCVGFVCPEYFKTDFVYYTLWLHDSPSEDITSILYDVFDYFEYAREQQGRVFVHCCQGISRSPSLVIAYRMWREGQSFDEAFQNVKAARKVADPNMGFACQLLQCQKRVHAFPLSPNSLLRMYRVASHSSYDPLHLVPKMLNSPTPAALDSRGAFIIHIPSTIYIWIGLKCNTIMERDARAAVCQMVRYEKLKKSVVDIKEGLEPSHFWDAFSTLLPLMEKSCDGVDVTESSKNIIPGERQVSSYTVEFEVFQKAVVGGFVPPSGASETDNETHLPVRESCWSTLRLKHICGSMEEFASASKPSLSGFYPDSGSELRAENCPNQNQLVVYRWPGLEKMVTFSSADLDSKDIFILVSASGLRTSMEHRVLYFWVGKSFSNDNGKRLLKADHDIGVLEEINWNEVCVDVISKMGLPNDTEFKVHPSILISTLSSKCVKKVLPIMFIEL >KZM93682 pep chromosome:ASM162521v1:5:9181308:9187278:1 gene:DCAR_016927 transcript:KZM93682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVVQEGCIINISSSAGNDTCLEVVPIPKLSHVGDSSDPLEFIREVHKTMDRKKKSSAVYMTSSLIKCVGKFRGPEVAAKLIHSTLKDSSIALPNLIGAVEQMAIQNHPVKGFYFTVAGVPVSTGFAIVSYIGKPRVAIASEKGYIDADKFKSCILEAFYIICFCKCIDNCIEAGELISAPKPGGTKSSLYLSVEEWNNVVRTNLTGSWLVSKYVGLHMVEVVQEGCIINISSSAGNDTCLEAVPIPKLSHVGDSSDPLEFIRELHKTMDRKKKSSAVYMTASLIKCVGKFRGPEPPKLWLSWSSYRQMPEMPGNNVGRGRKNSSYQSENFINMIGHVWDLWGESNVLEIVDPALGNTHEYDTEILRCIHIAVLCVQESAAARPSMSEVVFMSCNEMSLQPPGQAAFLLRTANRGVTNTSSGSVGVGCWQLLILLLNGMIFILKIRALLFAALPQVKSELPSTFKVFRYQQHRWSCGPANLLKKWPSGKATGFGPGIRRFESFRPRSKLQQTRKVVAHVVTFTLYCVALPLTCLVSEVEVPKWAVIYIPCTITILNAVGIPRSSALMIKFDHIDDD >KZM92962 pep chromosome:ASM162521v1:5:1227259:1229954:1 gene:DCAR_016207 transcript:KZM92962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPSHQRNVFKQESPKRKKGGFITIPFIIANEALEKVASLGLLPNMIMYLIREYRMEFTEGQNILFFWSAATNFLPLVGAFLSDSFLGRFSTIALGSTFSFLGMFILWLTAMIPQAKPGPCNLQDHPCKSTSTPQYLLLLSAFIVMSIGAGGTRPCSLAFGADQIDNKDNPMNERILERFFGWYYASAAVAVVLAFTGIVYIQDHFGWKLGFGIPALLMFLSTVFFLLASSLYIKPKVKMNLFSSFVQVISLCYKKRKLTFPSPDSIKWYSLKDSERLVPTDKLRFMNKACVIWNSEEIGPDGVASNPCNICTVDQVEELKTLIRVLPLWSAAIMMSVNISTQSSFVLLQAKSMDRHLAGGLEIPAASFVIFTIATIFTWIMLYDRILVPLASKIRGKPVHLDTKVRMGIGLFCSFMSMVVAAIVEHIRRRKAIQQGLSDNPSGLVVMSAYWLVPQHVLGGLAEAFNSIAQTEFYYSEFPKSMSSIASAMFGLGMAFANLLGSAILNTVNHVTSEGGKVSWTSTNINKGHYESYYWLLAILSAVNLFYFLVCSWAYGPCAEQRNGVRRDNKKSYGSSEEEMLRFIKPPEKNDRVNVGEIPESRSALPELLNVQYSLAGENRSA >KZM96234 pep chromosome:ASM162521v1:5:39412939:39414332:-1 gene:DCAR_019476 transcript:KZM96234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEQVDVSLTLSKHILLNYGKDSNLVFSPISIQVILGLVAAGSSGQTLDQLLSFLKARTIDELNYLYSSIVDRVFAKDSSSSGPCLSLANGVWLQKSLTLKPSFKEVVETVYKAACGQVDFQSKEEEARNVVNSWAEKETKGLIKELFPAGSFDSLTRLVFANTLYFKGAWSCEFDASKTKHFDFHLLNGDRVQVPFMSRNEERYISRFDGFKVLKLPYGNGGIQSSDKHSFSMYIYLPDANDGLPALIEKVGSESGFLDKYIPWMAANGGNFWIPKFKFEYAIEASDALQSLGLVLPFKPQDGCTEMVYDPRPLHVSKIFQKSFIEVDERGTEAAVATGPVFQLCCLFKVDFVADHPFLFVIRENNSGIVQFIGQVLNPSIT >KZM96443 pep chromosome:ASM162521v1:5:41016947:41017328:1 gene:DCAR_019685 transcript:KZM96443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSSPGDGGARGGDYDAPSSSRQRRSGNGVWPEPFVEALATHVAIEASQSEGRLVAAQVLFNLFQLARGSHSIMT >KZM93216 pep chromosome:ASM162521v1:5:3644349:3644942:1 gene:DCAR_016461 transcript:KZM93216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFNVVRYNSSPCAACKFLKRKCLPDCIFAPHFPPEELQNFISVHKIFGASNVSKLLNEVLPHQREDAASTLAYEANARLKDPVYGCVRAISILQLQVEGLQKELDEANAELVNYARGYNHCYHPDISGTTAAQPAPSAVPVNPMCCYAQIPPQTRPVDLINTGEAGVCNYYRIPSSVTFPRNDTNQGGAAGQGL >KZM93277 pep chromosome:ASM162521v1:5:4361474:4365538:-1 gene:DCAR_016522 transcript:KZM93277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQFVCQNASSRIDPFASFISPLSRNLLKPNSFLCSRNGSRRNSCYPGFSRRFSVVGCVGSQSRINSVSVRNDEVESGIVFDKAVGKRTDIKKIMILGAGPIVIGQACEFDYSGTQACKALREEGYEVILINSNPATIMTDPETANRTYIEPMTPELVEQVLEKERPDALLPTMGGQTALNLAVALAESGALEKYGVELIGAKLDAIKKAEDRDLFKQAMKNIGLKTPPSGIGTTLEECIEIASTIGDFPLIIRPAFTLGGSGGGIAYNKEEFEAICKSGLSASVTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDAMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKARIPRFAFEKFPGSQPVLTTQMKSVGESMAVGRTFQESFQKAVRSLECGYSGWGCAQIKELNWDWDQLKYNLRVPNPERIHAIYAAMKKGLKVDDIHELTYIDKWFLTQLKELVDVEQYLLAQSLSQLTKDELYEVKKRGFSDRQIAFATKSSEQEVRSKRLSLGVKPTYKRVDTCAAEFEADTPYMYSSYDYECESAPTKKKKVLILGGGPNRIGQGIEFDYCCCHASFSLQSAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIDLERPDGIIVQFGGQTPLKLALPIQRYLDECKPECASGGYVRIWGTSPDSIDAAEDRERFNAMLDELDIKQPKGGIAKSEADAIAIASDIGYPVVVRPSYVLGGRGMEIVYSDEKLVIYLANAVEVDPENPVLIDKYLIDAIEIDVDALADAQGNVVIGGVMEHIEQAGIHSGDSACVLPTKTISPSCLETIRSWTKKLAKKLNVCGLMNCQYAITSSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLHDIGFTAEVIPRHISVKEAVLPFEKFQGCDVFLGPEMRSTGEVMGISYEFSIAFAKAQLAAGQKLPLSGTVFLSLNDLTKPHLPVIARAFLDIGYRIVSTSGTADVLELDGIPVERVLKLHEGRPHAGDMVANGNIQLMVVTSSGDKLDQIDGLQLRRMALAYKIPVITTVAGALATAEAIKSLKFSKIKMIALQDYFNIGTEDRKGKELQSISSSVSA >KZM96548 pep chromosome:ASM162521v1:5:41747365:41748346:1 gene:DCAR_019790 transcript:KZM96548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAASNTLFLQSFVLMIMCAFHVHTLDLGLHANVDLSLAKQCSKTCESSFCSVAPLLRYGKYCGLLYGGCPGEKPCDALDTCCMKHDACILSKQNNYLNKECNQNLLNCVGNFKKLKGRSFPGNTCNTEDVIKVITVSMDAALLAAGRLPKP >KZM96539 pep chromosome:ASM162521v1:5:41713914:41715054:-1 gene:DCAR_019781 transcript:KZM96539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRRFSFLAILILLLAFGHESNAQLSPDYYSATCPDAATIVRNVLARTLQSYPRMGASLVRLHFHDCFVQGCDGSILLDNSPLIRSEKGSISNARSSRGFNVVDNMKAAVERYCPGVVSCADILAIASEAAVSMAGGPSWDVQLGRRDRRVANITKANMRIPRGSESLQSIITKFAVFGLTLTDVVALSGAHTFGKGRCLIIRDRLYNFKGTGKPDPTLSLVRLKTLRKTCSVQGALANLDPVTNFKFDNQYYTNLQNGKGLFSSDQQLFSTNMASSVALVNRYSNNQTEFFVNFARSMIKMGNIMPLTGNRGEVRLNCRRVN >KZM94741 pep chromosome:ASM162521v1:5:25442266:25446796:1 gene:DCAR_017983 transcript:KZM94741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVQYFANRIKAVNALKTAGINPYPHKFHVSMSILEYEKKYSGLNSEERLENVEVSLTGIRILKKRSSSAKLFFYDLHGVDGAKVQLMLDARIFDTSEAEFAKFHGGVKRGDVVGVVGFPGKSKRGELSMFPTSFTLLSHCLHMLPRQKPATSSDNASVKKNDAWIPGSGRNPEAYVLKDQEIRYRHRCLDLMLNIEVRHIFKTRTKVISYVRRFLDNLNCQEVETPMMSMIAGGAVARPFETYHNDLDEILFMRIAPELSLKQLVVGGFERVYEIGKQFRNEGIDLTHNPEFTTCECYIAYEDYNDFMEMTENMLSGKRIEMIEDLEKMAGINIPKDLSSDEANKYLAEACAKFEIKCPPPLTTARLLDKLCNAYTELNDPEVQRQLFADQLKARKSGDDEAMDLDETFCTALEYGLPPTAGWGMGTDRLVMLLTDSQNIKEVILFPAMKPQNKSVPVKNAGQVGMDKRKAQENAEKRIDELEEELRIKSKEAEKWRAQANNLFFYISSFLESKATIIFRKKRSDYYSSGGGKEWGEF >KZM94197 pep chromosome:ASM162521v1:5:18460901:18464981:1 gene:DCAR_017440 transcript:KZM94197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIAEGVSNDDPYLFSRNNFVGRQTWCFDPDYGTPEEKAEVEEARNHFWNNRHQVKPSGDHLYRMQFLKEKNFKQTIPQVKVEDGEEISYETATITLKRAVTFFAALQADDGHWPAENAGPLFFLPPLVMCLYITGHLNTVFPSEHRKEILRYIYCHQSFGSQEWDTGFAVQALLASDLTEDIHSTLMKGHDFIKKSQVLD >KZM94961 pep chromosome:ASM162521v1:5:27387132:27393441:-1 gene:DCAR_018203 transcript:KZM94961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLLKEALKTLCGVNQWSYAVFWKIGCQNPKLLIWEECYYGPVTYSGVPLMPGIEISKCTFHDWHTGLAAPEMYNSLGFQGGDRVQLLVNKMMLDNHVNIVGEGLVGRAAFTGNYHWIHSQNYIKEYHPPEVLNEIHQQISAGMQTVAVIPVLPHGVVQLGSSSSRASQLAQITENMQFVNDVKTLILQLGYIPGVLFSDNFATTEPASELEAVVLSNSASTNPSGKSKALTSCSTDNYNQQNDSRALSIVGQTQDNPLSTALNFNHQPSVISNAEAQNIADRKPAIDQSSQLENRITGAESINSDPKFWWNEKAPSYLTESMVNQQPSPGPSATISGSRNSEKKLLVDYAVGCGNVSDASLLKWTTEGLISSPHECSGNAQLQKLSNPHYVLSGLSEISNVSSLSSVHEFVRRQTESEFQNGYQYTNQLSPLNIAKELAPNHSSPSSSDTRNKFQDKTCSQFDLDRGKEEKKNDMFHDSIDPFPPAHNHFTQSNGGFGFIHDTQKQKSGYYSIGHAQFEDAHVQSHSGDDLFDVFGMNFKNRLLDESWNNLLNDGTGTNLQYLEKNNHTLYKLQNASSVRQVHNEGTSDSDAFCVTSTDHLLDAVVSSVQPATKEASDDSLSCKTSLTKVSSAYAPTASTSCKRAITSNLMQEACIDLAKSLPKEMALKSCSFNYEPCKEESEIFSQSKSFYGSQSNSWIEQGSEIKQSTSSTAITKKPDVTSKSNRKRSKPGENPRPRPKDRQMIQDRVKELREIVPNSAKCSIDALLERTIKHMMFLQGVTKHADKLKQTGESKILNKEGGLLLKDNFEGGATWAYEVGSQSMVCPIIVEDLNTPRQMLVEMLCEERGLFLEIADIVKGLGLTILKGVLETRNNKIWAQFVVEANRDVTRMEIFLSLVRLLGHTVQSSAVSANGAGNDSAAPHQPLHPGVSIAATGRPCSFQ >KZM95390 pep chromosome:ASM162521v1:5:31712471:31712620:1 gene:DCAR_018632 transcript:KZM95390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRLKLDKTSLSIVFLSQLLQAAPEPATNLFRKRPCGITSSPKTPEC >KZM95534 pep chromosome:ASM162521v1:5:33080043:33089281:1 gene:DCAR_018776 transcript:KZM95534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIISCKMAPSFPPLTFSLFLLVLSVSAFSSSVTSSNFADSLADKLIRDLNLSPKHEVNIVPPGTSDTTQNSRLVEKKINLPVLGDSGATVKDLGQHAGFFRLPHSKDARLFYYFFESRNSKDDPVVIWLTGGPGCSSAIALFYENGPFHITKNMSLSWNSFGWDKVSNIIYVDQPVGTGFSYSSAASDIRSNEKGVSDDLYDFLQEFFKAHPNYTNNEFFITGESYAGHYIPAFASRVHQGNKDKEGIPINLKGFAIGNGLTDPAIQYKAYTDFSLDNKIISKSDHDSINKLVPECEKDIKLCATVGNQTCLDAFDACTAIFGAILDVAGNINYYDIRKQCDGGGLCYDFSRVDEFLNLPSVKEALGVHTDYVTCSSIVYNAMQGDWMKDLEVGIPALLEDGVQVLIYAGEYDLICNWLGNSRWVHAMNWSGQKNFTAAPTVPFSVAGAEAGIMQSYGPLTFLKVHNAGHMVPMDQPKPALEMLARWTQGKLATTGKLN >KZM94981 pep chromosome:ASM162521v1:5:27653471:27655154:1 gene:DCAR_018223 transcript:KZM94981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTTPNSQPSIRRRVVALPFPGRGHINPMMNLSMLLASKHPDFLITFIVTEEWLGFLGPETDLPPNISFGTIPNVIPSELVRAADHPAFVEATLTNMEEPIEQLLDTLGSRPVVIIYDVFLGWVPGLGRRRNVPVASLWPMSATVFSMFKHNHLLLRNGHLPVTNLSEQGENEIDYIPGVPSSRVLDLPTPFYGRGQPILHRALEGVSVVQKTNFLLLTSVYELEQQVIDALRAEYSMPVHAIGPAIPYFKIRQNCSTEDEDVPDYIKWLDNQPDGSVLYISQGSFLSVSSDQLEEIVAGVLDSGVTYFWVTKMESSRISSGNGLVVPWCDQLRVLCHPSVGGFWSHCGWNSTKEGVFAGVPMLTLPIMWDQITNSKTIVEEWKTGWRVKCRSAVETITTRDEIARLVKRFMDLESDEGKEVRKRVREYKEIARQSTARGGSSDIALDAFIDNILQTKVD >KZM94599 pep chromosome:ASM162521v1:5:23996644:23999559:-1 gene:DCAR_017842 transcript:KZM94599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMDGFYHKSWCWFVMCIMVIYFVVKIMVIRLWWRPRKIEQHFSNQGIKGPSYHFFIGNAKEIGSLMFKASSMSFPPFSHNILPRVLSFYHHWKKIYGPTFLVWFGSTVRLTVADPDLIREIFCSKAELYEKIEAHPLMKQVEGEGLLSLNGHKWAHHRKIIAPTFHMENLKLLIPAALSSVTEMLENWLPELSKSGEDEVEIEVSKWYQTLTEKIVTRTAFGPSYEDGSTIFELQAQQMALASQAFQKVFIPGYRFLPTETNLKSRKLEKGIKKSLLRVIDARRANWDKEMLENGPKDLLGLMIQASIKEEKESLITVHDIAEECKSFFFAGEQTTSNLLTWTTILLAMHPQWQVMARDEVLQVCGSHDAPTKDNVSKLKMLGMILNETLRLYPPVVATIRRPKVDVELGGCKVPRGTELLIPILAVHHDQAIWGNDANEFNPGRFSDGVARAAKHPVAFMPFSIGVRTCIGQNLAILQAKLTLATILQRFTFRLSPQYQHAPTVLMLLYPQYGAPIIFQRLSHSRITRN >KZM95856 pep chromosome:ASM162521v1:5:36287405:36293715:1 gene:DCAR_019098 transcript:KZM95856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVSTFLGLVGFGIGIPLGLFIGFYLFIYSASKDDHHLKDPVVTPLSELDTDALDEVITELPIWVKNSDYDRVDWLNKFLFDMWPYLDKVYFLPPDPMKWSGIRIPTRGLSGIVLQAICGMIKVIAEPIFAEYIGKFQCEAIDFEILSLGTLPPIIHGIKIYETTERELVMEPAIKWAGNQNITVAIKLLSQRITVQLVDMQVFVAPRVTLKSLVPTFPCFASIVVSLMEKPHIDFGMNVLGCDIMSIPGLYRPVQDIIKKQVASLYHWPRTYEIPILDASSVAIKKPVGILHVKVVRAIKLLKMDILGTSDPYVKLSLSGERLPSKKTTIKKRNLNPEWNENFKLLVKDPQSQILNINVFDWDKVGAHDKLGSQVVPLKLLKPQESTEFILDLLKNTNVSDPQKKKPRGKIVVELKYAPFREDNNIVSGSLDGSLRIESQNEASCNSMTSSGAGVLMVTVQGAEDVEGERHNNPYALVIFKGETKKSKVIKRTRDPLWNEEFQFVLEEPPVNDKIHIKVMSKRRGIGFRRKESLGHVDIDLADVVHNGRINRKYHLIDSKNGMGGYPVSCDVCVSFGG >KZM94937 pep chromosome:ASM162521v1:5:27173325:27173639:1 gene:DCAR_018179 transcript:KZM94937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTKSVVSRNTGIKMVLAVAMIALFLQISDHQVQAQGPNCSGTLSSLNTCAPFVVPGANNSPSPECCSALQSVDHDCYCNTLRIAAQIPTHCNLPPLSCAGK >KZM94669 pep chromosome:ASM162521v1:5:24755996:24759875:1 gene:DCAR_017911 transcript:KZM94669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLTVMTLNLLDDEAEDSPNSWTKRRDLCVSVITSYSPIILCTQQGLISQLEDLRQSLPGYDHFGVSRKGSEDTSDEHCTILYDKEKVELIEGGTFWLSESPSVPGSMSWGCEVPSVATWAISFIILDASLKGVEVPGFAFQIVNTNMDKLSPRARRRSALLTWQHIASLPPTLPVVYCGGFNTHKESTTGRFLLGRSSEHGVVGDMRDAWPSARVRKNVRLIHTYHGFKGTKQGALELVKLIFRAFCLCWDRQTQDLHVDWILFRGRVLIPVSSEVVSDNIDGYYPSSHYPLFAEFLLPRSVRLRETPAQDGS >KZM94618 pep chromosome:ASM162521v1:5:24251223:24255858:-1 gene:DCAR_017861 transcript:KZM94618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSTVGHVAAQRKKKHAVSIGKERREALVRTKRLCRVGVSGDSDVPIESVMMIEEDQYILEAQTSAAVEELKLSVPYQTILVLKAAISRSMIFSALAVLRQSGKFQRRLLRPLLYHVGANSSEIDKALYLLPVRTILVLKAAISRSMIFSALAVLRQSGKFQRRLLRPLLYHVGANSSEIMLALMIPKLIKITITRYFHNEAVAFINPFLFQVTTKSISSSDLTTGDQ >KZM96516 pep chromosome:ASM162521v1:5:41546934:41548967:-1 gene:DCAR_019758 transcript:KZM96516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPCGLTFLHHNNNLSPQSYIINSFPTQQESHWKAEARPMDLSPPRGSFQFQLSTAHDHDQDRPDEMDFFARKRDDHDRDQSKVTDNLASEADDHRDLSDFNVNTGLHLVTANTCSDHSIVDDGLSPDSDDKKAKNELGALQADLERTNIENHRLKDLLSQVTNNYNTLQMHLVTVMQQQSHKSADYEPEEFINLGLGGQRSAEADAEPSLSLPEGGEHIRSPANNMDHSSKEDDVDPGSQNAWMGSHKVSRLNPSNSVDQATEATIRKARVSVRARSEAPMITDGCQWRKYGQKIAKGNPCPRAYYRCTMATGCPVRKQVQRCADDRSILITTYEGNHNHPLPPAAMAMASSTSSAARMLLSGSMPSADGTINSNVLARSFLPCSNNMATISASAPFPTVTLDLTQNPNPLDCQRPQNQFQLPFHNPQQNVASSAALLPQIFSHALHNQSRFSGLQMSQDLVPPHQAGSLADTVNALTADPNFTAALAAAISSVIGGGSNPTGSPTNNVNVTQTPTNNGSLTTSNNNSNGNIRVTNSGN >KZM93248 pep chromosome:ASM162521v1:5:4042504:4043007:1 gene:DCAR_016493 transcript:KZM93248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNSHDFSSIDIEETNEDEAQAEVMFAKRRCCFCLPHLNSPDSSSPAAGSRWWSKFRSPENNDGVWTRGIESVKKVREWSEIVAGPKWKTFIRRFNRSSKSGLVSSKSSKFQYDPLSYALNFDEGPGQNGDSDQVDDYLCRNFSSRYANVAKASAENDAKIVKSLI >KZM93587 pep chromosome:ASM162521v1:5:8133755:8134144:1 gene:DCAR_016832 transcript:KZM93587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLIRRLSRVADSSQYTLLRSSTRPLSSNSFRSLKLRRSNTVPVGHLPVYVGDEMERFIVSAELINHPIFIQLLNKSAQEYGYEQKGVLRIPCDVVDFEKVLQALKLGEISIDVQDLINSISDEFDFS >KZM94759 pep chromosome:ASM162521v1:5:25551871:25554244:1 gene:DCAR_018001 transcript:KZM94759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTQYRLNVVTHLKQPQKIILLKRTLKSFFPKFTGIVAILKNIMRSMDCTGLVADKFYILLTSRDTKCNKLRLPKQFCLKHIDKLQDSMELKLRNGYILPVQFDAVKCELKGVMWFFKELELEGGEILLFEYFGRFKFMVYIIGRCGSEITYPEKVHCLQRCSTKIVTLGDGGWRFIFFRSAGSGIFDDVDAPPAFSNCCGFALPTRITYVLRNGKKFFGTYKSKKCRFSGLNSMFEILGSDIVLDLRGIVFTYNGTREVSISAFDSHCNEIVYPGTPICMDSNGSFPVIGTYFQIILELKHVLDDCFVVDISKDFKELFEEWDNFQVINVYSESKCWRLVIRKRDDYHCATLEDGWQQLRADLGLIVGNTCVFESAIQCYDQFKIRVLDPEERSYRFFWLNVSRIIPYRRLMCIS >KZM93681 pep chromosome:ASM162521v1:5:9173665:9173955:1 gene:DCAR_016926 transcript:KZM93681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLPVAFKVLLFIEIFQPISQSYNAALRGKSFSIKSYAQFHLHSLNKILLDYLLTTPPDLVLYVNKPTKILQETRENDSVSPSFQNTSAENITEE >KZM94166 pep chromosome:ASM162521v1:5:17595644:17599839:1 gene:DCAR_017411 transcript:KZM94166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMWVFGYGSLIWKAGFKYDDRLVGFIKDYRRVFFQGSTDHRGTPDYPGRTVTLEPAQGQVCWGIAYKVSSKEDEEAALLHLEVREKQYDKKAYLDFYTESGASTPALSGVMVYIASPDKKLNKNYLGPASLEELARQIIKAEGPSGPNRDYLFHLEKALLQLGCEDKHVTDLANEVRKLLAA >KZM96025 pep chromosome:ASM162521v1:5:37505657:37508132:1 gene:DCAR_019267 transcript:KZM96025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGSGLSIDPPSSHLLFTCSLSSDHPQDLSLRHRHKLRLEPSIQQLMDCPKGPSTIQFPVNLNCTTADHDHDQDQERDEDRCFKSDEKRPVIDEMDFFASKKDDEDHDHIAETDGDDQKDGLCGPQHFNVNTGLHLLTTNTSSDQSIVDDGVSSSSEERRVKSELAVLHAELERMNSENQRLRDMVDEVTNNYNNLQMHLVTFMQQQQQQKADDNEVEADDDGGNNSKGEKFVRQNSMRNTVPRQFIDLAVGGGSNDETTDQEPSLSSSEGRDPSHSRMSKQKQDDLYRETDSPNHGSPHWGGSNKVSRLNPSKEVDQATEATIRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMPSADGIINSNLLARTLLPCSSSMATISASAPFPTVTLDLTQSPNPLQQLQRPQNQFQLPFANPQQSPNSPAALLPQIFTQALYNQSKFSGLQMSSHDTDANQALGFPPMHNQAQPGTMADTVNALTSDPNFTAALAAALSSMFGGTSHANNSTNNNANVTTSTSNNNSN >KZM96435 pep chromosome:ASM162521v1:5:40935644:40936879:-1 gene:DCAR_019677 transcript:KZM96435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRQVTTLSLLIAIVFASAASAHNITRILAKHPEFSTFNHYLTITHLAADINRRLTITVCAVDNAGMADLLSKHLSVYTMKNVLALHVFADYFGAKKLHQISGGTTLTATMFQATGEADGTSGYVNITDVKGGRVGFAPEDNSGALNSYFVKTIEEKPYNISVIHISHILSSPSAEAPTAAPSLNVTDVMRLKGCKAFADLLVSEKAEDTFVQSVEGGLTVFCPSDDVLEDFMPKYKNLTAAGKMAVLLYHGVPVYNSMGMLRSNNGLMNTLATEGKNKYDFTVQNDGESVKLKTKAVTATVSGTLVDEEPLAVYKIDKVLLPRELFKAVKEELAPEPAPAPKGAKKKPKAKAKKGETSEDEDAADAPGPDGSEDEDPADDTASDENGSVRIRGVGMMVVPLIFGAVMFL >KZM93318 pep chromosome:ASM162521v1:5:4748992:4751075:1 gene:DCAR_016563 transcript:KZM93318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAKTQIIDETNKDPSAQDMELEPQAPVSDQTDGDVAENGGKRKRDDADESEDQNGEGENGSGLKGPVKLGFKSFATAVEMFDYFFAFLHSWSPNININKYEHVMLLELLQKGHQEPEKKIGDGISSFQVRYHPEFKSRCFFLIRNDDSVDDFSFRKCVDHILPLPENMQLKPDVNKALGGRGGGGRGWRGRGRGRGGKPRN >KZM94142 pep chromosome:ASM162521v1:5:17038494:17039069:-1 gene:DCAR_017387 transcript:KZM94142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQEGKKLSGIVKWFSWQKGFGFIAPDEGGPDLFVHQTEIRTEGFRTLREGKRVEFVVNLSEDGRSKAADVVVLPGGGGGMRGRGGRRGYGRGYRERGGGGGQRNAGSGVECHNCGQLGHFARNCYEVGEGVSSGNAEYSGDGGGYRGYGGRRGGRGGRGRGRVESGGPRVCYNCGKEGHFARDCVEEPK >KZM94855 pep chromosome:ASM162521v1:5:26494383:26494991:1 gene:DCAR_018097 transcript:KZM94855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSVKITSAQAFLVLIISFNLCISSALAIDYGAEKASAEFIKTSCSKTTWPSLCFTSLSSHTSAIQTSPRLLAQTSLSVALSHVVSTSAAMLRLSKAHGMKPAEVGPMNDCLEELTDTIDELKRSMGEMSQLNESPKYRLLISDIQTWVSAALTDENTCMDGFAGKTGSTKNVVRGRILNIVHLTSNALALINNYNSLHG >KZM95262 pep chromosome:ASM162521v1:5:30628332:30630558:1 gene:DCAR_018504 transcript:KZM95262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSAAKPCLCFDSCSTLYPSISPSLKISYSRNNVRLNVSSKKSEPEQSSDARPHSKPIEKGQHRESRASQNEVNGESDGKVYPTTIPKKPRRGRRSEAAAVEDFMRDSLERTFASIREQNSEVMKDKEQIMKEKIDDAVESDDVDDGSEESVKSKKMLVEEDNPDWPLDADVGWGIRASDYFEKHAIRNVVGEDGVEIDWEGEIDDNWVKEINCLEWEAFAFHPSPLIVLVFERYNRASDNWKVLKELEKATKVYWRAKDRLPPRAVKIDINIEKDMAYALKVREGPQILFLRGNRILYREKEFRSADELVQMIAHFYYKAKKPSCMS >KZM96021 pep chromosome:ASM162521v1:5:37471532:37476175:1 gene:DCAR_019263 transcript:KZM96021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILGKLPRKTSSKSSSSKEGAFASANSSGLVSGVVGNTPKSNSASGKVLNTDSGASHSSNGTHVSQPTSTSVKSNQGKKLGTMGSEKGQVNNNTVYEALPSFKDVPSSEKNNLFVRKLNMCCIVFDFTDPSKNLKEKDIKRQTLLELVEYISSVTSKFNEITMLEITKMIAANLFRALPNHDNKLPYVYDPEDDEPTLEPSWPHLQIVYEFLLRFVASPETDAKLAKRYIDQSFLLKLLELFDSDDQREREYLKTILHRIYGKFMVHRPFIRKSINNVFYTFIFETGHHNGIAELLEILGSIINGFALPLKEEHKHFLVRALIPLHKPKCISMYHQQLSYCITQFVEKDFKLADPVIRGLLKYWPITNSSKEVMLLGELEEVLEATLAAEFQRCMVPLFQQIARCLSSSHFQVAERALFLWNNDHIRNLITQNRKVILPIIFPALENNTRSHWNQAVQSLTVNVKKIFSDADQVLFDECLSKFQEDQIREKETSEKRASTWKRLEDVAASNTGSNEALLDSSFASSVTVSTSTTSQAKTGG >KZM94066 pep chromosome:ASM162521v1:5:14615977:14616543:1 gene:DCAR_017311 transcript:KZM94066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGEGGYGGQKHHGSDEYGNPVRQTDEYGNPVEHTTGGTMGEYGTGGLTGATGMHGTGAHGVGLSTGSDGQPRLNRSASSSSSSSEDDGMGGRRKKAGMKQKIKGMLPGGHKEDQQTQTTTPVMGGSYGATGTGEQPEEKKGIMDKIKDKLPGGHH >KZM94712 pep chromosome:ASM162521v1:5:25203199:25203944:1 gene:DCAR_017954 transcript:KZM94712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVLDDAEEVSIKKKSRKSLGRILLKGDNITLMMNTGK >KZM95986 pep chromosome:ASM162521v1:5:37247635:37249385:-1 gene:DCAR_019228 transcript:KZM95986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLQKTLVFSCVLFCVFASSLAQDCKNYQFKSNNLFSTCSSLPVQNAFLHWTYHAANHTADIAFRHAGVSSSRWVAWALNVDKSGMLGAQSLVAFTNSSTSAIRAYTSPISAYTTTLAPGKLSFDVPKISAEFSSSGDEIIIFATLNLPSGRTSFNQVWQEGPVQSGAPGAHAQNSANTGSTGTVDFSSGQTSAGGGIGNSKKRKRNVHGVLNTVAWGILLPLGALTARYLKVFKSADPAWFYLHAFCQSSAYIVGVAGWGTGLKLGSDSPGVTYDTHRNIGITLFILGTLQVFALLLRPKKDHKYRLYWNMYHHAVGYTVIALSIVNIFKGFDILDPAKKWKRAYIGVIIFLAAVAAILEALTWIIVLKRKDNESDKYPHGVNGTNGNGNGNGANGYGHGGYRSQAA >KZM93626 pep chromosome:ASM162521v1:5:8577758:8595181:1 gene:DCAR_016871 transcript:KZM93626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAKKFNNNKSDKAFKKPHNPSFKRNNDAVSKPAPLPLDDDVPDFPRGGGSSLTREERNGIREQVDAEFDSEVRDSKRRKNKVQSRSFGAEDDELGSLFGEGLTGKLPRFANKITLKNVSPGMKLWGVITEVNEKDIVIGLPGGLRGLVNSCEALDSFSDNKGRGEPERNILCSIYHTGQLVPCIVLKLDADKKDNGKQKLWLSLRLSLLYKNLTLDAIQDGMVLSAYVKSIEDHGYMLHFGLPSFTGFMSEEIQPGRRAIMHTGKLLQGVVKSVDRSRKVVYLCSDSDLMSKCLTRDIKGISIDHLVPGMMVNAHVKSTLENGILLSFLTYFSGTVDIFNLENPFCNSKWKEEYNQHKKVNARILFVDPSTRAVGLTLNPNLIHNKAPPALVNAGDIFDNSKVIRIDRGLGLLLEVPSLPVPTPAYVRVFDVSDKEIRQLEKSFKEGSHVRARVLGFRHLEGLATGVLKTSAFEGSVFTHSDVKPGMVVKGKVIVVDSFGAIVQLASGVKALCPLRHMSELDIAKPRKKFQVGAELIFRVLGCKSKRITVTHKKTLVKSKLEILCSYADATEGLITHGWITKIEKHGCFVRFYNGVQGFAPRSELGLDKGSEISSIYHVEEVVKCRVISSLPASRRINLSFIMISEDDVVKPGRLISGVVERVTPNSIIISSDVNGYMKGTLSTEHLADNQGLAAIMKLALKPGYQFDRLLVLDVESSSLILTAKHSLINSSDQLPLDVSQIRPHSVVHGYVCNIIETGCFVRFMGRLTGFAPKSKATDDWRVNLSTVFNIGQSVRSNILEINSETGRMTLSLKQLMCSSTDGSFIQEYFILEEKIAKLQSLNSNGSELKWVEEFSIGSLIEGEVQETKNIGAVIRFKNHDDVFGFITPYQLGGHSVEIGSIVKAVVLDISKMERLVDVSLKPDFVNRLKESTSSLKSQKKKRKREAHKDMELHQAVNAVVEIVLSIPEYNFALGYASVKDYNTQLLPPKHFVPGQSLSATVVALPSQSTAGRLLLLLNSISEQKETSSSKRAKKKLSYDVGTLVQAEITEIKSLELRVKFGPSLHGRVHITEANDDTVEDPFSNFKVGQMLTTKIVSKPKKLESSKKLSNWELSIKPSLLAVDTGAQITAEEFSYVIGQSVAGFVYKVDTDWVWLSITRDVRARLYVLDSACEPHELQEFQKRFHVGQALNGYILSTDKEKKILRVVMQPLVVPEKENGDVSNPTSREISAHLCEGQAVGGRIFKILPGIGGLLVQIDPHLYGKVHYTELTDDWVPDPLSGYREGQFVTCKVLEISRSVTGTTHIELSLRSSSAGMESQKPAEMGQPISKRVEKLEDIRPNEAVQGYVKNVTPKGCFIMLSRKFDARIIISNLSDGFVENPERQFPTGMLVNGKVISVEPLSMRIEVTLRTSNTPKASNKGIADSSSLSVGDIISGYIRGVASFGLFISIDQTNCVGLCHLSQLPEENSKDIEAKYRVGERVSAKILKVDKDRDRISLGMKDSDLSDDAHIQTPLNQMSDDASEENLMVEDEQIMSNDASVKAVILSEAESRASVLSKVESRASVLPLEVALDDAENSLVSSNADGQSLENVDNNDTMEQRNKLAKKKAKKERKREISAAEERLIEEDVPRTPDEFEKLIRNSPNNSFLWIKYMEFMISLSDVEKARSIAERALRTINIREESEKLNIWVAFFNLENEYGNPPEDAVAKIFQRALQYCDPKKVHLALLGMYERTERPEQQKLADELIEKMVKKFKHSCKVWLRRIQILLKQKQDGVQPLVSRALMSLPRHKHIKFISQAAILEFKGGVPDRGRSMFEGMLREYPKRTDLWSIYLDQEIRLGDMDVIRSLFERAISLSLPPKKMKFLFKKYLEYEKSLGHEERIEYVKRKAMEYVDSTSA >KZM93243 pep chromosome:ASM162521v1:5:3995605:3996414:1 gene:DCAR_016488 transcript:KZM93243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEHAIEFSWTESFERTRTCVVNISVVQSVDIRAEVAPGDFQVIVNEPDEVIVGHGVNPIEPLEFSFDDVRSGRIYDLCEQVMNSCNVLQTDTKEFLVDNVVDCGMKLASLRPENDVDCGYKINALVRVLCFRVAGEEELAPMREFDGMDDDDYIEDVDDEGIEDVDEDEANSSFRGVEGGRYDGGEGKEVITCSVCLEDLSSGMEFKKLPCSHVFHSSCIDHWLNRNQSCPNCRTKLEVLRLGSGCGTYVWYTTSSGSADRYSWFI >KZM95763 pep chromosome:ASM162521v1:5:35354912:35355250:-1 gene:DCAR_019005 transcript:KZM95763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPYLYFVRFFSALRRLTSGEPPYSEVCDGLFVGGWPSSLEKLPPGNPAIIDCTCELPRKKEVSGLAYLCVPVWDTRAPQPAEIESAVRWACRKRSQNIPIFVHCAYGMVF >KZM94456 pep chromosome:ASM162521v1:5:22649620:22651538:1 gene:DCAR_017699 transcript:KZM94456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSATNASSLHFLSLSPKTPLNSSNLNFIPFFPKIFSSTLSLSISSSNTKVFKPVSCSRLRVAIDEDTTTFSSDLDEDEEEDNRSFSPDLKLFVGNLPFNCDSAVLAGLFGRAGTVEMVEVIYDKITGRSRGFAFVTMSTVQEVEAAAQQFNGYEIEGRALRVNFGPPPPREENSFRAPRGGAGGGGGGGRSFDNTNRLYVGNLSWDVDNVALETLFSEQGKVVDAKVVYDRETGRSRGFGFVTYGSSNEVNRAIDNLNGVDLEGRQIRVSVAEAPPQRRY >KZM96023 pep chromosome:ASM162521v1:5:37483176:37487233:-1 gene:DCAR_019265 transcript:KZM96023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEDQETRFSSAGVLKTVVVILALCLAGYIVGPPLYWHSLEALAASSSLSCPSCPLCDCSASLPLITIPQELSNNSFADCAKHDPEVGEDTENNLTELLSEELKLREAEFTENQQRADMALLEAKKITSQYQKEADKCNSGMETCEEAREKAEVTLLAQKRLTSLWELRARQRGWKEGVDKSHAQS >KZM94416 pep chromosome:ASM162521v1:5:22124981:22127243:1 gene:DCAR_017659 transcript:KZM94416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPQEPAIGIDLGTTFSCVGVYQHGRVEIIANDVGNRTTPSYVAFTDEELLFGEAARKQAPMNPVNTIFDAKRLIGRRFTDSSVQKDMKHWPFEVVGDSANKPKIVVNEKGKEKQISPEELSSMVLTKMKEIAETYLGKTVKNAVVTVPAHFNDSQRRATKDAATIAGLNVLRILVEPTAAAVAYGLDKKLTSGSAGERIVLVFDLGGGTFDVSLLKIKKKNFEVLATDGNSHLGGEDFDNRLVDYFLKDFKRKHEKDISNNAKSLRRLRNACEEAKRFLSTVQVTAIEIDSLYEGIDYRERITRAKFEELNKDLFNSCLKTVKNCLKAAGMGKDRVHDIVLVGGSTRIPKVQQLSKNFFNGKELCQGINPDEAVAYGAAVQAAVLNGEKKFNIRSMELLDVTPLSLGIDVKGGVMSTVIPRNTKIPATKEMEYVTSGDQQESICFLVYEGERSNSKDNNLLGEITLDGLPRAPRGEVEVLVTFTVDPDGVLDVTAECKIAGVKANTTITSQGRLTKEEIERMIKDAKKYRTADEAFKRKAMAMNALEEYAYDKRSAIKADGSLGRVAQRKVEKAIKEAIHWVNANKSATVAEYDSKKRELESICDSIIASDNYRRKKIKVEVVD >KZM94647 pep chromosome:ASM162521v1:5:24581221:24582978:-1 gene:DCAR_017890 transcript:KZM94647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAKVDVTKKSDAKAQALKTAKAVKSGTTKFKKVKKIRTSVTFHRPRTLTKDRNPKYPRISATPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRANKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KZM94069 pep chromosome:ASM162521v1:5:14677610:14678110:1 gene:DCAR_017314 transcript:KZM94069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNLKSIDFISDSWKIIVRITRMWPSTSRLTSKFIGMNMIFLDAQDYNLHCFVSQDLWKHCEDLLFEGRVYHVSNFAIMKAIGSLRPVTSNASIYFTHQTIDFPFPKEKIYIYQHISLKSSNYEKCGTMQTVSNTASLPNMP >KZM96027 pep chromosome:ASM162521v1:5:37518978:37522370:-1 gene:DCAR_019269 transcript:KZM96027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRSSRNYWCVVLVLLTFVLESISGEDPYRFFTWNITYGDIYPLGVKQQGILINGQFPGPTIESVTNDNVIVNIINKLDEPFLMSWNGIQQRRNSWQDGVYGTNCPIPPGQNFTYILQFKDQIGSFFYFPSLAFHKAAGGFGGIKIFSRPMIPVPFPPPAGDFTILSGDWFIQNHTNLKASLDEGHGLPFPAGLLINGRASNVSSFTVDQGKTYRFRLSNVGLTTSINFRIQGHKMLLVEIEGTHTLQNTYDSLDIHLGQSYSVLVTADQPCQDYHIVVSTRFTSQVLTTTSILHYSNSTKNVTGPPLDGPNIDIEWSLNQALSLRQNLTASGPRPNPQGSYHYGMVNITRTIKLANSAPIINGKKRYAVNSVSFTPADTPLKLADYYNISGVFILGSIPDNPVGGWNYLRTSVMAADFRGFAEVVFENSEDILQSWHIDGHFFFVVGMGRWQWSVSSRSSYNLRDAISRCTVQIYILHILNPTLHMASSKYLCLILVLATLALTDSKLQLGEPQETSITYYIQDYSYGPNATLIPITGVSSDGDWAFHRFGTIFCTDDPITEGLDASSTPVGRAQGIYVTSALDGSNTHVLISLVFTNEEYKGSTLEIQGASPQFERVREVSVVSGTGKFRFARGYATFETVYLDMAAAYSVIECNITMLHY >KZM96312 pep chromosome:ASM162521v1:5:40052852:40057398:1 gene:DCAR_019554 transcript:KZM96312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQLHRIFTLCGSPSEDYWSLLNLPPADIYNPQTRLRRRVAEYFSGLSAPALALIETLLSLEPSARRSARHALNSDFFWSEPLPCKPEELPKYPPSKEQHAIARYEEARRQAAGGSEGDTYDFKGKGTMDNQFVSALNINAALYRSMLASFRRRTQDNPEAGSPSPIELPRPEEISGTSHSGAWAQNNNYASAQIVSNARQHPAYDRVPRQNDSRHQQSTAFYANEEVRNSYRDPVRPGYEYGASSSSSRIYYSRPLPPPVRRPDEIPRPHPTLASNAEEMPSRRLPAPAGRPDPRIPRPPRAPVRRT >KZM96368 pep chromosome:ASM162521v1:5:40516718:40519576:-1 gene:DCAR_019610 transcript:KZM96368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHYASSTWGVLPSHPSSKSSQKVTPFLGFSMAAVSSKPTFSLIKTNNNKKCTLIRCQNADEQEATTALIPREQQWMFDATDFKGPDIWNTTWYPKASDHINTEKTWYIVDATDKILGRLASTIAIHIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRNQKLYRRHSGRPGGMKVETFDQLQQRIPERIVEHAVRGMLPKGRLGRALFNHLKVYKGPEHPHEAQKPVDLPIRDKRIQIQK >KZM93648 pep chromosome:ASM162521v1:5:8878888:8879634:-1 gene:DCAR_016893 transcript:KZM93648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADESLVRLLDLFNYSWFEKQIFERNSIPASDDAGANLADTKFLHQQIEPKLARISTSTHADDKSTSSQESLSSGGGSDTLLSPNSVLMIRSPMKLETILSGKESTDEVPEPVTMQKTDDKKVSPTRRRRKGKGQTTKSLSELEFEELKGFMDLGFVFSEEDKNSRLAEIIPALQRFGKEEEENIKNSVAAAASSKKPYLSQAWEDMEYRRKAAEKLKWKINAAVDNEMDMKHQLKFWAHSVASAIK >KZM93151 pep chromosome:ASM162521v1:5:2860011:2861180:-1 gene:DCAR_016396 transcript:KZM93151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLRKVCSDSSTMNLPSELLAEVFSRVPIKTIINCRSVCKRWRKILAEPYFANLHLPRSAAGIIIHQGLSNPYVDILKMAELNDKADHHDIHHEYPLMKFMPRLGLEDGVMWLSGSINGLICLGSEKTICICNPITRECIFIPDQKFIGKSHPTLHHGFGYVESSDQYKVVRFYKGSFSASEGSYELGCEVYTLGTRMWKNLGHVPFYIGGYGDGICVGGNLHWLASHQKETADDERLCSFDLERESFQLTAGPVVPQVVGYTTYRNLGILGGCLCVCDNTPDLEFAIWVMKDYGVTESWSKEIVIHTDFLYWGTLDEEVYPLKVLKDGTILMYCEEHELFTYHPGTTTTQDHTFPDGDYKTYNAMVYVPSFVSLRSTFMLENVLVL >KZM94520 pep chromosome:ASM162521v1:5:23176633:23177019:1 gene:DCAR_017763 transcript:KZM94520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGAAGDGFFKGIYDSCIPGHDMSVQNRPYHRNCGCALHKARGDCSHSLLKSRVSYPILRAWGKSKALMTCAQSSQGKNEEIRKTESDNNLVALYGEDPEDQHCFISSSSKSVNLLKYLFSFAFLNL >KZM94872 pep chromosome:ASM162521v1:5:26649810:26650361:-1 gene:DCAR_018114 transcript:KZM94872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETIEMLSSPIKPKVSPCTKSWWFKNNTKTLDNVIFSVQNLDLPKQPNKATAPQEKCKVFDHPKVVSDRTSLLSDEILLQILSRLSQSERNSVSLVSKRWLNLLGSLVRSVKLLDWDFLLSGRVFTRFPNLTHVDLVNACVLSPQSSYILLTNQVVSFQIDSNVYQIKPNIPCIDDKYSRIV >KZM95963 pep chromosome:ASM162521v1:5:37066896:37068170:-1 gene:DCAR_019205 transcript:KZM95963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMGCVLLGVCVGLVMLMSSGVSSSKFDELFEPGWAMDHFVYEGETLKMKLDNFSGAGFSSKNKYMFGKVTVDIKLVEGDSAGTVTAFYMSSDGPKHHEFDFEFLGNTSGEPYLVQTNVYVNGVGNREQRLNLWFDPTSDFHSYSILWNQRQVVFLVDNTPIRVHSNLEHKGVPYPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFIASYKNFNIDGCECETTVNAANMLQQCSSSAEKKFWWDEPRLAELSLHQSHQLLWVRAKHMIYDYCSDAARFPVTPLECQHHHHQH >KZM94559 pep chromosome:ASM162521v1:5:23591535:23592348:-1 gene:DCAR_017802 transcript:KZM94559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQRLSMVVFMVVMVLGAMHMESSDAQTTHNVGGNIGWTIPPNANAYTTWAASQSFKVGDVLVFNFTSGAHTAAEVTKAAYDACTLTNPISVWSNGPASPSTWLPPPPXPTPSATPTPSPTPSSTPTPSPSPSPSPASSPSPAGGLAPTPSGTSETSPPPAPGSTSTPGASDTPDSPSSASFLSAALPITFLSMAMAFYY >KZM93437 pep chromosome:ASM162521v1:5:6381033:6390703:1 gene:DCAR_016682 transcript:KZM93437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFVSFVNVVGIKSLKSDFQTPTSIGGFIKQKPRVVLVKAAAESESGNEWAIKKTQMSDAQCEAAVVAGNAPPAPPVPPLPASPPGTPLVSPLPLSRRPRRNRRSPVFRAAFQETTLSPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLVEEVARARDVGVNSIVLFPKVPDALKSPTGDEAYNENGLVPRTIRLLKDKYPDLIIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVAQARAGADVVSPSDMMDGRIGAIRAALDAEGFSHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPGNYREALIETREDESEGADILLVKPGLPYLDIIRLLRDNSSLPIAAYQVSGEYSMIKAGGVLKMIDEEKVMLESLMCLRRAGADIILTYFALQAARSLCGEKR >KZM95381 pep chromosome:ASM162521v1:5:31629044:31631077:1 gene:DCAR_018623 transcript:KZM95381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRYAALANLKTGVDNYKIKVRVIRKWRGATKAGEEFKNFNILLLDNKDYKKTDKFRVVRRDNQLIFTTDTKIQQIEETAAQIATEIFDFYDLSELKNYMTETTYVIDVVGVIKDHKILLEQITNRHGIQQEQAKFVISDGRTNVNVTFWDKYAQVFVEAIWKKMETPVIIILAGCRVQMWSNAPNVTHVAPTTFYLNLNHHSVNQLRRMLAIPDFSKKVMAMEKKKKAELLTVEAIKSLDKDSVEAEVMAHVTIMHVDDQQKWFFKICTSCDFEVDFVNEFYSCARCQRIVPYPEIRFRLVVIALDATGSLQILLEDREVRSLLGKRARQLIPQEATEEYFPESFKMLATQTFTIKMEIHAANVLKQSNLYWATNICHGFKLEEMDTEMEQQPQSQSINTQATTSTAHLPGMSDLNCNSSAVTN >KZM96140 pep chromosome:ASM162521v1:5:38583643:38597861:-1 gene:DCAR_019382 transcript:KZM96140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTVPPHRTTAPDFSPLNEMVRHHIESFDHMIDFGLETMVKNIKPVEVFDTAANKKLRNILHFLLNSTCLVFFVVQISPVVYPPQKDSRGKSTTATLNPFECRQARISYDGKLMMEVCFQYDDSAAVLKETFNFGQLPIMLKASDRVISYLLILVEWVDKELSGVAILDPKKLVSLKEEASEAGGYFILNGLERVIRLLILQKRNYIAKVLLQIYRSLFLLCSVTLICVLIYRCVREDQSAVTVKLYYLSNGSARLGFWAQGKEYMLPVGLVLKALADTTDQELYVSLTCCYNGKYERVKGAVGTQLVGERVKIILDEVRNLSLITHKQCLQHIGKHFQQIMHGMHKESHTVVGNAVLKEYILVHLDDNRDKFNLLIFMLQKLFSLVDQTSVPDNPDALQTQEVLLPGHLITVYLKEKLQEWLLRAARELQDEINSGNKSLDSMNLMDIRKVMQRNSSKLIGGSVDNMLKTGRMTTRYGIDLPQSAGMTVQADRLNFLRFISHFRAVHRGASVAGLRTTSVRKLLPESWGFICPVHTPDGEPCGLLNHMTSCCRITSFYDSGGNLKDFFKMRKSILTILIGVGMIPSWPRLVQSALPEVFSVLLDGCVVGTIASNMVEKAVTHLRRLKLSANSMIPDDLEVGYVPLSIGGTYPGLYLFTCPSRFVRPTKNLVPPPTESNNIELIGPFEQVYMEIRCPDGGDGGRKDLGPATHEEIHPTGILSMVANLTPWSDHNQSPRNMYQCQMGKQTMGFASQAINCRADQKLYHLQTPQTPIVRTGTYEKYGIDEFPLGTNAIVAVLAYSGYDMEDAMVLNKSSVDRGFCHGHIYQTESIDLAEKNSRKTRMFRRDGKSSKLLIDSDGLPHVGQTIRPDEPYCNIYDADTNHTRSQKLKGTEPAIVDYVAVDVKNKKHLQKVNIRFRRQRNPVIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESMAAKGGCLHGKFVDATPFSSSLKKTDEKKTSESNTLVDELGSKLTALGFNYHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKYQVRSSGRVDPLTRQPVKGRKQGGGIRFGEMERDSLLAHGAAYLLHDRLHTSSDHHIADVCSICGSILTTSVSQPQKRVVREIAGIPPGRDPKKVACVACKTSKGMETVAMPYVFRYLAAELAAMNIKLTLQLKNEAGA >KZM94159 pep chromosome:ASM162521v1:5:17446686:17447534:-1 gene:DCAR_017404 transcript:KZM94159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCAICREIGHNRSKCPTKNAAENPHNDEESEIRRVEEGEAQTREQQENEPQNSVQKVQKPKTAKELRRLKIPIRRKGKEVPSQELPNQGQANTVEPTIDQDDEVPDLEKDDVNNEPLISNDRGKYVDELAFFKNFPRKKMPLIQGQTEDWQESGRDYAAFQQKLRGDIGFKAVFMPTPGQLPFRATGGTPPVSTPPVPTTQSSKQANQEVKGGTQSSSKGGSQSSSKQGEGKAKKAGKDAQVSTQQSSSSAPSRRSTRLMSQNSFKFSNTEEDPIDIDLAS >KZM94929 pep chromosome:ASM162521v1:5:27118544:27120889:1 gene:DCAR_018171 transcript:KZM94929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVALSCQSHFIIYKNFRHVKSRPCISCAMQPSQSNIKVVINGAAKEIGRAAVVAVTNARGMEVAGAVDSHLVGQDIGEVCDMEEPLEIPIINDLTMILGSISQLKATAVVVDFTDPSKVYDNVKQATAFGMNSVVYVPRIKQDTVMALSAFCEKASMGCLVAPTLSIGSILLQQAAIQASFHFKNVEIVESRSNPGDFPSQAAVQIANNLSGLGQIYNKDDLSTDSTARGQVVGEDGVRVHSLVLPGLPSSTTLYFSRPGEVYTLKHDVTDVQSLMPGLILAIRKVVRLKNLVYGLEKFM >KZM93068 pep chromosome:ASM162521v1:5:2103565:2106786:-1 gene:DCAR_016313 transcript:KZM93068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRKAIIRPLKSGTISSMRNMYLLLLHYLILATLGQVSGDHYHHSLESDRAALMEFKRTISADPHSTLANWNELVDVCNFTGVRCNKKRHRVHKLVLIDKGLVGLLSPFLLNLTRLQVLQLDGNNLHGSVPDSFSSFSKLILLTLAENNLTGTLPPSLFSNCTSLKNVDLSHNLLIGTIPSDIGKCPYLWNLNLYNNQFTGEIPSSLSNLSAMYNLDLEYNHLSGELPGQVMAQLPSLNFLHLSYNNMISHNNNTNLDPFFAALANCTRLREIELAGMGLGGSLPSSIGRLSTTVNIVLLQENQIFGSIPPAVGNLWNLSQLNLTSNLLNGTISPEISRMKNLEQLSLSYNDFTGEIPAALGQLRRLGLLDLSHNNLSGTIPENLGNLDKLIFLFLNNNNLSGEIPPSLGQCRDLDKLDLSYNKLTGIIPPQISAISEIRMYLNLSHNYLQGPLPIGLKSLGDLKNLEAFDVSNNSITGAIPASLSNIRSLTFLNFSYNNFTGKLPSGGFFDTATNLSFLGNEHICGRGPGIQKCHQEHHYFHSRVFLIIFCVVISVSAFFSTICCVLGYRHVQRRISTTTIETETNSEPELMPNFPRMPYKQLSEATGGFNEQNLIGSGSYGRVYKGVLPDGIAVAVKVLQLQTGNSTKSFTRECEVLRRIRHRNLIRIITACSLPDFKALVLPYMVNGSLESRMYQYPGTTLRSDSSDLNLIQMVNICSDIAEGNAPVIENMGDSTANMLSGTIGYIAPEYGFGSGTSIKGDVYSFGVLVLEMVTRKRPTDDMFTGGLSLHTWVKSHYHGRMEKIINSNMVRAIQNQSPEVKRMWEVAVGELIELGILCTQSNPSARPTMLDAADDLDRLKRYLGGETTATFASSLGMSSSTIGDD >KZM94121 pep chromosome:ASM162521v1:5:16065418:16065957:-1 gene:DCAR_017366 transcript:KZM94121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIIDRLPLDGPSYANEFLVDAPVVEEEDFNLDPMEEEIESQACGWIASGEMDALDCPSYANGFLIDAPVVEEEDFNLYPMEEEIEREGRWSEACGWIASGEMEAKARRCPPPYFIHIRLLGADALDKDNRFVLHHWDGGQLVNCDRITQINNLCPRKTHYQMDQFRIAYVKGLVHNL >KZM93544 pep chromosome:ASM162521v1:5:7628477:7634999:-1 gene:DCAR_016789 transcript:KZM93544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILEFITLFLCVIACIYAGYADLSANSGRSEISVAEQNLDGANGFQKFHTTSLLPAKNKHDRALVVAPDGTISLMDVSSKKIIWSFASGPSIYSLYQALPDHEGDNGSFFIDLGQDDWELYMHVNDSTAVKLGFSAEELVRRAPYVSSDTVLLGMKNTTVFLVDAKSGSVIHTFGSAGSPNPVDLIGTKKPIVARKDGLHEPSGPDPLYITRTDYTLKYSSQKTGKVLWYLTFADIEASFQCQRIEKLFSGGSSGDEFCQTKPLVYRIRNLPTLESIMVSDRLGMFPSRGRNPSLPVPGTNHQESRGKTFPALLHSESDNLLDFSQHEQRSLPGGRLDVEGKLVPALPHSDTEGRIFALPEGEHVNAARKSSPEGQPLYSVSFIVQLFVFILSIVAVFFRTRSRKQSMSKLQAQGITAQTATYKKKKTRKPSVMKNNVSTKRSQNEKSGDGSSEVLDFDKVEKKFELAFDCADNDIDGRKVGKILISNKQIAKGSNGTVVLEGNHDGRSVAVKRLVRTHHDVALKEIQNLIASDQHPNIIRWYGVEFDQDFVYLSLERCTCSLYELITTYSDSCQSQSSGKGKDRQTSADATASLLWAVDDIHYLKLWKANGYPSPHLLKLMRDIVNGLAHLHELGIIHRDLKPQNVLIRKERTLCAKVSDMGISKRLSGGMTSLSKQTTGYGSSGWQAPEQLRDERQSRAVDLFSLGCILFFCITGGNHPFGDSLERDLNIVNDKKDLFLIENIPEAMDLVTGLLDPNPTLRPKAVDVLHHPLFWNSEMRLSFLRDASDRVELEDRETQSEILKALEGIGSLALNGKWDEKLENAFLNDIGRYRRYKYDSVRDLLRVIRNKLNHYRELSEEIRGILGVVPGGFDSYFSSRFPKLLIEVYKVIHKYCSEEESFSKYFTSIYV >KZM92938 pep chromosome:ASM162521v1:5:1016415:1016576:-1 gene:DCAR_016183 transcript:KZM92938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFGENSVKLSLPRYISDVAQMFFRSCNGLVILGDIHVVLLYIWNPLTRSFN >KZM94338 pep chromosome:ASM162521v1:5:21205161:21210079:-1 gene:DCAR_017581 transcript:KZM94338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMKCQNLDFSRLGPDFAAFGFSYNASFDRYMIGKRCNGVPRAVQNGYGAQRLFCFRQDIGATEASIEGVAPIPAPLEEVKTGSRSPISLVNLFELVADDLIILNNNLQSIVGADNPVLMSAAEQIFSAGGKRMRPALVFLVSRATAEFVGLKDLTKEHRRLGEIIEMIHTASLIHDDVLDESDMRRGKETVHQLYGTRAAVLAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASSLFNCDVGLDEYLTKSYYKTASLIAASTKGASIFSGVNSDTSEHMYQYGKNLGLSFQVVDDILDFTQSTEQLGKPAGSDLAKGNLTAPVIFALDKEPKLRNIIDSEFCESGSLDEAIELVKRCGGIEKAQALAKEKADLAIQSLQCLPSSSFRLALEEMVQYNLERIE >KZM94962 pep chromosome:ASM162521v1:5:27404602:27405786:1 gene:DCAR_018204 transcript:KZM94962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPVTAITGITYDRESIEQWLLTADDVVCPATKQPLPRDSDLTPNHTLRRLIQAWCTANADCGIDRIPTPKSPLSMSHVLKLHRQLNIPQLSLSALKLIDVLANENEKNRKCMAEAGTAKAMVAVIVKCFEESRLLGLEEAFRVLHLTWKPSLDNIRVVKENFDLIESILWILQVDHKDDEIEIETSVVLKQFAVLVLKTIIDVASSSLLERLHNNFFYVTVKMLRDDHISEQGIKTMLHILIDLCPWGRNRIKIIEAGAVHELIELELGQPGKHVTELIFCLLAHLCACADGRAQLVKHAVGIALVSKRILRVSPGTDDRAVQVLALIARYSGTDEVLGEMLMVGGVAKLCMVIQASCQDYVKRKAREILRLHNNAWTSSPCIGVYLFTKFA >KZM95738 pep chromosome:ASM162521v1:5:35004236:35009125:1 gene:DCAR_018980 transcript:KZM95738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKGVKRWLVDISNWNPSPLAFSHAISLLPPYTHSSITRFVKLEDQKRTLVSRLLQYTLVHEVLQIPIAEILINRTLEGKPFLEYKKSKLAFPNFNFNVSHHGDYVAIASEPICLVGVDIVSHSTPEKETVLEFIQNFSTYFSSLEWDKIYKAGSDDDILLEFYRYWCVKESFVKAIGNGVGYKLDGVEFHHREWTNIRVNINGAELKDWKFWLSSIGKNHMLSVARGHPRIAAESYRKTLDKTQFDENEYKFGLNLPNSSFVLRTVEQLISIFLQSPKITRNMSRKSDNSEDRSHGQLF >KZM95791 pep chromosome:ASM162521v1:5:35619218:35619388:-1 gene:DCAR_019033 transcript:KZM95791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEIAEEQDPKRWLLQEWNIPKHWLLKEFEVDLLFLYAYIIDIVTTNQALSSKLD >KZM94505 pep chromosome:ASM162521v1:5:23081289:23081843:-1 gene:DCAR_017748 transcript:KZM94505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMIRALIIFAFLDAFYVDAHKHPKTLKEMYADINNAKPQQYLDAHNAIRLVMGVPPLRWDKSLERRSRKYANSQAHICHLIHSHGPFGENLLWELYDEASPHDIVQKFIDEQANYDLSTGVCNCPPTEPDCMCGHFTQVIWKTTEKVGCADVACKGDLGRLVVCSYDPRGNVVGENPLNPLV >KZM94973 pep chromosome:ASM162521v1:5:27540563:27543103:-1 gene:DCAR_018215 transcript:KZM94973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELQADERTNHSTRDSCSNIYASWSIVRPGHIAQRKLHQVTEPTSSEQIENSGILSPSLAPSPFISAPGPPVSSISEPPSTSFLSPFGSPSPSPSPIQSPSPAPISTTPPPVNNSQNPGNQPASSPSPASSPSHTVKKSKHYTIMIASGVVGGFVFLFLSAIGIGFCRRHKVITVRPWATGLSGQLQKAFVSGVPKLQRSELEIACEDFSNIIGSLSDGIVYKGTLSSGVEIAVTSTAVKSVEDWSKSSETQFRKKIDKLCKMNHKNFVNLIGFCEEKQPFTRMMVFEYATNGTLFEHLHIKEAEHLDWGMRLRIAMGIAYCLEYMHQLNPPAAHANLQSSSIYLTEDYAAKISDFSFWNDATAGKMESVSTELLETSVSDPESNVYNFGVILFEIITGKLPYSQGDDSFVNWASAHLSGTRKQPLRAMVDPTLVSYDEEQLQKLCEVIKVCVHPDPKKRPTFKEITANLKEITGLGPDGATPRVSPLWWAELEILSTSST >KZM94403 pep chromosome:ASM162521v1:5:22048642:22050042:1 gene:DCAR_017646 transcript:KZM94403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFSFSLGSKNPSSSSNKRTIPRPNTHNAETKELITEFDSSKPLLSSQSKTLIIPPQPNSWRPTKKMKNLDAPIESEGDSKGALEFETVVDPGQARPGSEPEVSYGLNLRKSGNDDVGSVENSEFVSIDRVMVKNLKEDLENLPDDMGFDEFDGCPVEGFAAAVLKGYGWTQGRGIGKNTKEDVKVVEYERRVGKEGFGFVADAPVMSSNGKDTEKRKEREGGGLVAKDVRIVRGREMGLKGRVLEVSGGGDYVVLSVVENGSEVEVRVRGSDVAELGSVEGERCLRKLRELKIGEEKKDRKIRRSDEREKNVGIKIEERRRDDKNRRGDEREEKPVRKEKEKVRWLTSNIKVRIISKKLKGGKLYLRKGKVVDVVGPSTCDISMDDSRELIQGVDQELLETALPRTGGPILVLYGKYKGVYGSLLQKHMEKETAVVEDADTRKPLHVLLEQIAEYTGDPSEIGY >KZM92994 pep chromosome:ASM162521v1:5:1538665:1543291:-1 gene:DCAR_016239 transcript:KZM92994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGYSGAPVVNCGGFVVGMVTGGMTEVHHVMGTGPFVRYAGPHMDEIISENPEAVDSALTGPVIVDDVIYKNLDDDVSRLFPSEELIFRRLTFERSMGLVQSEAFLTREECKKLSMRKSPKNLVHLRNLEREGIRKENLPTCHLAPEIDHDYLASSYHTGTVSGLMLISSFLETVSFSGGKVKAAVIGLGAGLLPMFLHQSLQCLSIEVVELDAVILDLARDYFGYKESDHKKILEMDTYRNHDHFMSDPGSTYRTRDEISGIRQERDPIERIRKLILAHDIATEKELEDIEKEKRKEVDEAIAQAKESPMPDPSELFTNVCVKGFGIEV >KZM95724 pep chromosome:ASM162521v1:5:34862616:34863030:-1 gene:DCAR_018966 transcript:KZM95724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSIFSGMELDYLLFARRELWEMETMVDHIKCDHGYTAESPPIINVWYFELPHSYLGAKRVGYNIYFVLRFFT >KZM94349 pep chromosome:ASM162521v1:5:21318317:21321555:1 gene:DCAR_017592 transcript:KZM94349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEKASKVDRQKDNDQDEEKWVKYYSSNHEILLVGEGDFSFSTCLAQAFGSASNIVASSLDSYDDVIKNYKKAGSNFSILDKLGASRFHGVDATKMKFHSDLKMRKFDRIIFNFPHAGFTHKGRKRKEDDNCLIMKHKRLLDGFFKNACGMLRPDGEIHVNHKTSFPYYHWNLEKLASQNSLRLIECVAFNKEDYLGYENKRGSGKRCNDCFLLGECCTFKFSFFQNAKVTKVKRNVKRLRNLKKALKLPQEPSPCNFGHHQSDLVPFMNDTPALNVPCGRPRELLQPPIYAYHQENYLERRQPHELFWAPIPAYQQINYLEFRQPALLQAPLHAFQQGNYLIFSPPPSGFDLRMSSIPDCMESPHFDHIYWECARIFSPYFERVAESLKNGDHYSSCFLEEALNVGYEIYMAGDPRRTLRDYRYMLEQLRKYVVGRPERQVSRQLSTEYDFASNSSRHFRS >KZM94947 pep chromosome:ASM162521v1:5:27286957:27291236:-1 gene:DCAR_018189 transcript:KZM94947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRVRWNEENLDEIEANKPVRQKITEPKTPYHPMVDDDGSLSPRRGSFGSLEEGSGDAMHADAIRSALNDVATSSGNSSRRTGWTSSEDEAETMDEDFEDTDSEKKDSFREHRRAHYDEFRKVRELRRKGSLDEEESDEDENGHGKNGKGETTSSLTTADDEDMTNGK >KZM96062 pep chromosome:ASM162521v1:5:37813067:37814974:-1 gene:DCAR_019304 transcript:KZM96062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLDSAVSSLLCAEENESIYFDDDGVEEDQSSNFNDMGSDKNRNFLGEEGFLSGLVPLQSEECVELMFVKECQHLAAGDYAERLRNGGLDFKARQEAVDWIGKAHSYFNFGPLCAYLSVNYLDRFLSAYELPTGKEWMMQLLAVACLSLAAKMEETEVPLCLNLQFGESKFLFEAKTIQRMELLVLGTLKWRMQSITPFSFIDYFLAKMMGDDIASRLPIFRSTQLILSIIKGIDFLEFRPSEIAAAVALSVAGETQTVDTEKALYELHHHLQKDKVVKCVKLMKRLSTLSSSLSAVSSNGSNGLTHSSLPQSPIGVIDAACISYKSDETTVGTCANSSHYSENTKRRKLNQTHCIGVLRE >KZM95817 pep chromosome:ASM162521v1:5:35844909:35845199:1 gene:DCAR_019059 transcript:KZM95817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDLEFRLSPIKINSSDSVCPEDEECKTPTLPGSRIPAILSCPPAPRKRRAVLRPVACKRKLEFFEVIHFEEIEEFFNRVELVRRTGAKRSRSDV >KZM95242 pep chromosome:ASM162521v1:5:30501125:30502751:-1 gene:DCAR_018484 transcript:KZM95242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLSLIIFTSLLLLHSCIAWRNDLQDQQDCNFERLNALEPTSSIESEGGRTEFFNPDQKQFRCAGVAFLKHTIRQKGLFVPSYANSVLMVFVEQGKGILGLLLPGCSETFQLPDDGDLHMRVQRFKKGDLLIIPAGVSHWIYNNGDQEIQAVVMFDTTNRANQLDNIPQRFFILGNSQGQQEQGQQEQPLIQQFQGDSVLKGFDVRTLADAFRVNQEVASKLKGQKIKQGHIIIVDKELEVIIPQKEQQEEQSQQGRGQGNGLEETTCSMRIRENLDKIERADFFNPQAGHLISLNSHHLPILGDVRLSAERGFLRKNAMVAPHWVLNAHTIIYPTDGEARIQIVNNQGKQVFDGRLKKGQMVLVPQNFAVMIQAGSQGFRWVSFKTNDNAMMTPIAGRGSVFRGLPVSVLANILQISEEQASNLKYSNAETILFAPQQQSRVEDLVRMLI >KZM94521 pep chromosome:ASM162521v1:5:23182179:23187341:1 gene:DCAR_017764 transcript:KZM94521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNDHISQAMALQHYTDQQLTADVSPEVKTGPPTWLNNAILRQQNHHHYAGETNFLHLQTTNSDSSASNQWLSRPINIENDVDHNNSMMQISDDEKKFNHMSGLNDVADGGDWQSVKCKAEILSHPLYDELLSAHVSCLRIATPVDQLPRVDAQLAQSHQVVAKYSGLGDSINQPLDDKDLDHFMTHYVLLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGIAPGEGTGSTMSDDDDDQAESDTNLFEGSLDGQDSMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVPKSKRKSKRCCHSHLHVISFKHRLAPEED >KZM93082 pep chromosome:ASM162521v1:5:2238996:2243666:1 gene:DCAR_016327 transcript:KZM93082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEVAINIKQYHLRQHLYALKLKRQLRGNLTTNVSVSACHVAGTVFEAAHEFLTAFSPFNFSRCFINTVTASVSSSYSSLQHVCEHKYRALLWIYFVYLLCFGDLKNHELDSGMTNAEKQNERPENDHDKAAGLKRGFRMLGADVFNDSKMLEIEKGARELNIPISEANRKLVASENGGLQNPSCLTFNPEWDSRETQSANKRFKYPTVPGVQKPSSDEDITFMSVLELGQLIKTRQISSEELTGIFLKRLKRYSPVLESVVTLTEELAYKQAKEADHLLSQGVYLGPLHGIPYGLKDIIAVPQYKTTWGSKSFKDQVLDSEAWVYKKLRSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEYSTGSSAGPASSTSAGMVPFAIGSETAGSMTYPAARCGVTALRPTFGTVGRTGVMSLSESLDKLGPFCRSAADCAIILDSIRGKDPDDLSSRNIFFDDPFMVDITKLTVGYLEDADMEVVHVLQSKGVKVVPFELNYTVDSVQGILNYTMDVDMLAHFDEWQRTGMDDEYEAQDQWPLEVRRARVVTAVDYVQAQRARGKLIREVRESFKVDAFIGNVTDWEKVCMGNLVGLPVMVVPTGFKKISDPPSNETRRKTTVTTGIYAPPDRDHIALALAMVYQSVTDHHKQRPPIDDLGPHDSIPNPPKHIIPPRQLR >KZM96517 pep chromosome:ASM162521v1:5:41551335:41551874:-1 gene:DCAR_019759 transcript:KZM96517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAVLICSTRLSLLLSLLAIFMTLSHAKLGTLRETSFTVFFQNRPSGPKATSVAIAGIPGQDWTYGKYGTAFATDAAMTEGFDRKTAEIGRGQGMFLTSAQDGTSLTLLLSIVFTGKEYKGSTLQIQGADHQYENPREVAVVGGTGQFRSARGYATFESFRVSKSHVVSRCNVTIQHY >KZM95488 pep chromosome:ASM162521v1:5:32594327:32598229:1 gene:DCAR_018730 transcript:KZM95488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFNPTKSTHLSKFSNTQSRLVSFRKTHVGFDNNFQRKLGNLVSFDGIKRRIGGNDGDYVLKKNDGKKQRGVGVKCTAEGIERGILVGGRGEKGKFVIPERLKVVGLMACVMSLCNADRVVMSVAIVPLAAQHGWSSSFLGIVQSSFLWGYIFSSVIGGALVDKYGGKKVISWGAALWSLATLLTPWAANHSTTSLLVIRAFFGLAEGVALPSMNTLLSRWFPSHERATAVGISMGGFHLGNVIGLLLTPLAMSTVGLSGPFILFSSLGLLWLTIWAFRVTNDPQESNSISVSELRLIQAGKSDTPLDKSSVPPIGLLLSKMPTWAIIFANITNNWGYFVLLSWMPVYFKTVFNVNLKQAAWFSAVPWGTMAISGYIAGAMSDSLIKSGKSITFTRKVMQSIGFIGPGIALLGLNFAKTPVVASILMTIALSLSSFSQAGFLLNMQDIAPQYAGLLHGISNSAGTLAAIVSTIGTGYFVQWLGSFQAFLSVTACLYFATAIFWNLYATGERVF >KZM95425 pep chromosome:ASM162521v1:5:31996763:32001984:1 gene:DCAR_018667 transcript:KZM95425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFVLNDNKIPILNQAPYSGFRNLGKSQLGGVIFGCKNATLKECLNNQLFDMIRPEVIYLKSNMSIRYQSSIYLFGVDLELRTWVQVRLRVQCQSLHEEQFKPIIVDNYFKSNHFWFELDHAQTDRLISLLSSVVIAPNTSALQLTKERKIPLTSQWREKGNEGFDTAVSNVDVAHSSSSDGSPDDLVVDCNHSFEAYSDRRTIEESEKDIMYMKLKEMAFKRLDPSLSVEDNVVTDGENSEHEGLLKKQAISEEKLEITSVKSQDDLSGLAQLTKRVEELTAFKTEQSQKMEYLQQKLVHAETEIKCLKDRCLVLESRSNTYVAKGDNTASEAFTELDPDINEFMYLIGGYDGLQWLSALDTYSPLLDTVKSLQPMNCARAYASVARFNDEIYVFGGGNCSVWYETVLEPLVESYHPARKTWTSRPSLNRVKGSLAGATVNNKLFALGGGNGHESYSDVEMLDLDVGRWIPTRSMIHKIECYKEGQGWQLTNLKAIGRRCFASAIVL >KZM94368 pep chromosome:ASM162521v1:5:21498102:21499997:1 gene:DCAR_017611 transcript:KZM94368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGPSHQEQSSNKFLIKLKMPVKMDHHGDQEVATIQHVTNNTPRVCPQCNKEFGSGKALGGHMRIHAEAIRKQQRRQKMVGKLKDEEDGVGFQRLMNKKAVCNLCGKNFPSMKSVYGHMRCHPDRDWRGIQPPDEVLVENLDQRISDEDYEEEDDGSVGGGDCGPVGDLLADIPKWGEKARRGQKGVRKSSVEAVGSSGVMVSEEEDEEEEDDEDEDEFEDEDEEERLRNAVNDLMLLKQVDVSGSSGVSTLAAAAEISRDVNAGLDQNQEGSYGTSLTVNNRGEGSGNVEFRASGFVQGGYKEMKRSFGMVEDECHDHLVMEKMRMGEGGSDDHVIKNMSDHHMGQEANAAHHVVENYGDYDSRRTELMVMNNGGHKDFDWANHKEDNGEMVMGSSKNKKAKKMKLMMDLDQEKASAAGSSAQGMVSGSTTTEKYRCSTCNKSFSSHQALGGHRSSHNKFKLTIINGDSGLNNQSSSVNADRHRAGNEGFDQNLHQLITPGMENWVMSQDCANKKDSTIEGAGSSKGDHSSSIIHQCEVCNKIFPTGQALGGHKRCHWTASADQTDSQPQAQAPLVMSSSGEEASTQTGGTHIQTISIDLNLPPPMEDDLEAQGGGGGGAAEHATRLHL >KZM95721 pep chromosome:ASM162521v1:5:34837882:34838082:1 gene:DCAR_018963 transcript:KZM95721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILDEPGHTGLGSHNHNRPLMPSSIPFWLRLFRCLVIVSPVSNNQDYGGVLRVFTDSRLLRVSTD >KZM93070 pep chromosome:ASM162521v1:5:2119603:2120865:-1 gene:DCAR_016315 transcript:KZM93070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKEDDDKAEGKKLCARNKHGDGVSWYRGALIGKGNFGCVYLANLKKPRSKFSCFPCVMAVKCAEVSISGSIQKEREILDNIGRCGYVIRCFGEEITNGENGEMVYNLLLEYGSGGTLVDVIDKLGGGGLVEADVRRYTRDLVRGIYWIHSKGYVHCDLKPDNVMMVGNCGTGEFRAKIGDLGLAKRGMRSNKKRKLDPYFRGTAMYLSPEAVADGIQECPCDIWALGCIVIEMFTGKPPWDGTEDLDANELLDRIGEGRESPKIPDEISVEAKNFLKGCLAVKPMYRLTAEMLLNHPFLEGLVDVIETEEIEEFSDVNADSSLVLLSEAEADDELNRFSCSEDDSFVTEEESVSYWCEEVTNGGQIVAFDEEGTLKIQESTHTASSRNDSEYDHALNTTVPTSTGLQYPVAFTIPTGI >KZM93140 pep chromosome:ASM162521v1:5:2746405:2754306:1 gene:DCAR_016385 transcript:KZM93140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRSLSMKHVKRRSSEHGGGHESSRRGLSSSRSLGREVGGERTVKRLRLSKALTVPDTTTIREACCRMAARRVDALLLTDSNALLCGILTDKDVTTKVIAHGISLEDPVATVMTRKPVFVLSDTLAVDALQKMVNGKFRHLPVVEKGEVIALLDIAKCLHDAIIRMERVAERGKLMAAAVEGAEKHLGTQICGQNAFIETLRERMLRPSLSTTISSNTKIVALLPTDTVVVAAKKMLESQAASAVVTLDGKLQGILTSKDILMRVIAQDLQPEATFVDMVMTPNPECATVDTPIVDALHTMHDGKFLHLPVVDRDGVVVAVVDVLNITHAAIATVGNSGVNSESASSMMQKFWDHVMSLSPLTDDDEDTRSETSTKLMNEGTEIGKNPSQMNTTFNFKIQDRKGHMHRFSCETRRMSELVNALIHRVGDDIDRANMPNILYEDEDRDRVILASDSDLVDALEYARSTGLKELRLHLEYSVTTPRPKRAARNMSYGKANASRASTSQAPIYYIVAAGTVLVVGFGPATQPIKTIVVLVYENRSFDHMLGWMKTSINPRIGGVTGKECNSISTKPKSKQTGTICYTNDAEYVNPDPGHTFEAVEQQVFGSGSIPSMSGFVEQALSVSQNLSDTLMKGFKPQNLPKLAKEVYEALRPSPQWNDKTLLVITYDKHGGFYDHVKTPYVNISSPDGNTGPAPSFFKFDRLKPTIYVSDAAATQPIKTIVVLVLENRSFDHMLGWMKTSVNPRINGVTGKECNSISTKQKGEKAGTICYTNDAEYVDPDPGHTFEAVEQQVFGSGSIPSMSGFVEQALSVSQNLSQTVMKGFKPQSLPVFASLVREFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLASGYPQHTIFDSLFADNKEFGIYYQNIPTTLFYRNLRKLKYIFKFHDYDLKFKKDAKSGNLPSLTVIEPRYFDLKGNSANDDHPSHDVANGQKLVKEVYEALRSSPQWNETLLVITYDEHGGFYDHVQTPYVNVPSPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTDCHLAGLICRRMEGLVLKYQFLDSVFGVISAAYAVISRPKGPTPNSEFEHSSIPATIKKMFSLSSNFLTHRDAWAGTFEAVVGELTSPRTDCPVILPDVTPLRTTEADEDRHLSEFQSEIVQLAGVLNGDHFLSSFPNEMSSKMTVREAHYYVKGAISRFLAASKDAINLGADESAIVDMRSSLTTRSSVHH >KZM93866 pep chromosome:ASM162521v1:5:11662511:11663383:-1 gene:DCAR_017111 transcript:KZM93866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYNTSSRCSRLSRQMVRPFRPCFQDNIIIQKYSNYGGEGTRNGPVSGQEHHEDQEGIPRAPSTADEFKRVAEEKAKQGVRSQTVEKAEDATLEATIGDSSDFESIKETYKEPVGKGKSH >KZM92862 pep chromosome:ASM162521v1:5:351939:352756:-1 gene:DCAR_016107 transcript:KZM92862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVSPEKSSFAQTCNLLSHYLKEKGSLRDLNLGINGEAADTGFSGQASRQVHVNPLNLFPQHASMHDDAISIINSSEGAESEHKNGQMTIFYEGQVLVFDEISSVKAQQVMQLASKSAPQVNTTKMVRNSKSPASGSVQAQNDMXLKRKTK >KZM95098 pep chromosome:ASM162521v1:5:28659340:28664042:-1 gene:DCAR_018340 transcript:KZM95098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGFAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGNSSATDRYSNHGRGRGGGGGGGGGGGGRGGVSRRSDYRVMVSGLPSSASWQDLKDHMRRAGDVCFSQVFREGGGTTGIVDYTNYDDMKYAIRKLDESEFKNAFSRGVIRVKVYDSSRSPGHRGRSRSRSRSKSRSRSKSKSPKPKSSRRSRSRSRSISSRSRSRSRSKPRATSRSRSRSRSPVPSVIFQSCGEIEVYCPSASFVIINTNL >KZM96393 pep chromosome:ASM162521v1:5:40679334:40680002:-1 gene:DCAR_019635 transcript:KZM96393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTILPLQFPHLHKNTNHNSHIRKSLSTLKANQDHKTTTDLTSKNVNTQSILKFDRRNVLLGLAGASYIATNNTKLTNAAPSNPKVFPNADQIFPSVLDKFVKFTVPRPKKSRSKQEKEDEEEILVIEGIEYNANEYVKFDVFVNDEDEAESGPSNAEFAGAFSNVPSATRSKKVRTRLSLGISELLEDLGAEDDDNLVVALVSRTGQGRVNIGSVSIVISS >KZM93388 pep chromosome:ASM162521v1:5:5601351:5605965:-1 gene:DCAR_016633 transcript:KZM93388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKSLKIIIFLILINTITLFLYLSSHPDYLKHRSPPSPQQAHHHFSGFSQINSSTKPWPILPSYLPWSQNPNVKFGSCEAYFGNGFTRPFYLLNSSSGSDGWFRCFKSDTLLTSICEGGIIRMNPAKINMSHGGELLETVIGREENDELPVFQPGAFDILVHNKAKFGDKIITPYLLHRVFPQGEVIRHTMRSLLNSIRLVSPGDFQCSEWVEEPTLLVTRFEYANLFHTVTDWYAAYVASRVTGLPYRPQLVFVDGHCMAPLEETWKAMFSSLRYAKNFSGPVCFRHAILSPLGYETVLFKGLTEDVDCHGASAHDLWQQPDDRKTARISEFGEMIRASFGFPVDRHQTSKPDAGLNVLFVRRENYLAHPRHAGKVQSRLANEQELFDSLKIWASKDVDCKINLVNGIFAHMPMKDQVRAIHDASVIIGAHGAGLTHIVSASPKAVILEIVAAEFMRPHFTLIAKWKGLEYHPIFLSDSYAKPLIVKQKLSSILKTLGC >KZM92992 pep chromosome:ASM162521v1:5:1483307:1488358:-1 gene:DCAR_016237 transcript:KZM92992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFLRTELDHDTITDGTLYMGSFFFGVVLMMFNGMAELAMTIAKLPVFYKQRDLLFFPTWSYSLPVWIVKIPSSILEAAVWTCLTYYVIGYDPNVGRFVKQFVLNFLINQMASGLFRLMAALGRNMILAMNFGGFAVLILFALGGFVLARDDVAKMWIWGYYTSPIMYAMNAITVNEFLGHQWSKLTPNSTETVGVAVLKSRGFFPYSYWYWIGAGALIGFTLIFNFLVTMALSYMDPLGKPQPLIPDEDDTNEATELSTREINVEASQSKKKGMVLPFEPYAITFDDVKYSVDMPQEMKEQGVGEDKLLLLKSVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGFPKKQETFARIAGYCEQNDIHSPHVTLYESLLYSAWLRLPTEITANTRKMFVDEVLELVEINNIRNALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGREIYVGPVGRQSCELIKYFEDIDGISKIKDGYNPATWMLEVTSPAQEMALGIDFTEVYRNSDLFRRNKALIAELSVPPPGAKDLYFPSQYSQTFINQCLACLWKQRCSYWRNTAYIAVRLIFATVAGLSLGSMFWDLGSKMRTRLDLFNAMGSMYAACLFLGVQNSASAQPVVDVERTVFYRERAAGMYSAVAYAFAQVLVEVPYVLSQTLIYCLIVYSMIGFDWTVAKFCWYLFFTFFTLLYFAYFGMMTVAVTPNADIAAVIAAAFYGLWNLFSGFIMPRPCTEIQISLGRFGSDKRNKALIAELSVPPPGAKDLYFPSQYSQTFINQCLACLWKQRCSYWRNTAYIAVRLIFATVAGLSLGSMFWDLGSKMRTRLDLFNAMGSMYAACLFLGVQNSASAQPVVDVERTVFYRERAAGMYSAVAYAFAQVLVEVPYVLSQTLIYCLIVYSMIGFDWTVAKFCWYLFFTFFTLLYFAYFGMMTVAVTPNADIAAVIAAAFYGLWNLFSGFIMPRPAMPDWWKWFSWICPVAWTLYGLIGSQFGDIDDKILEDVHQNVKDFVDDYFGFKHDHVGLAAFAVVTVAVLFALVFAVSVKSLNFQKR >KZM95866 pep chromosome:ASM162521v1:5:36371159:36376954:-1 gene:DCAR_019108 transcript:KZM95866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLILLSSLAISAFGYGSLGPIAAAFGENGFFCAIDAGGKQEIICWEKNNNKSSSSSLAYVSTLPAMVALSGGEEFLCGITANRSEPYCWTLSSPGTFLVQPGFKSSTYLKIAAGKNHVCAIRGSYYGDVEYGNVDCWEFLNNVLVYNASFFEPYVGESVFRDIVSGDGFSCGVLKDGGVVCWGPKSGKLGVSGSVKILASGRGSVCGISSKSGELLCWGDSREFGDFPGGISFVALSAGAHHFCGIREDDHGIECWGSIKSSAIPKDYGFTAIASSESTSCGVREADLVLDCWDVQGQSPPNYSPPLQLCSPGICSASSCGDGKFSFNVSILNEAELASVCVQPDLKICLPCGSNCSKGFFPSSQCSKNVDRICSACSLCQSESCWDVCGVHSSSKNLPKERNIKMLVIIVGSCVILLVLVVTGCCVIPLFFASSNEDKDKSQCFSCFRKQSVEAEPSLDHELSISATATIGTAQVFRLSELKDATNGFKEFNELGRGSYGFVYKATLADGTQVAVKRANAATIIRTNGREFEAELDILCNIRHNHIVNLLGYCSDMGERLLVYELMPHGTLHDHLHGELSPLDWNLRLKISLQAAEGLEYLHKVASPPIVHHNLKASNILLDSNWDARVSDFGLLSVNDTDSTGSTENDVYNFGIVLLEILSGRKAYECEFEPPAIVDWALPLIRRGRAAAIFDRNTALPRNVEPLLKLADVAELALKENPNERAGISDIVLWLDQIDQVVFFPATKICCSTCGNSYTCSLRREKSTHSLKLRAVQPQKKFKWLAQLKEETPDGSSKKGTIAGAVALIIGTSIGSGILTLPKKTSPAGLIPSTISITVCWAFLLIEALLLVEVNVGLLKKNKKSGLKENELEIISIRTMAQESLGELGGSLATVTYVFLGYTSMIAYSSKSGEILNHLLNIPESASAFLFTAIFSTLITVGGTRTTDQVNQWLTVSMIGLLALIEVLVVAYGGWSGFEANGDWTKVPSTIPVMIFSLVYHDLVPVLCAYLEGDVRRIRASLLLGSVVPLLAFLVWNAIALGLSSQAHQFTDPVELLMSAKWPGVSVMVEAFSLLAIGTSIIGTLLSFSQFYKEQLSTLSESPPSKLIPEPSKQHWLSKWWRKNKANVTATTMVVAPTLCVSTIVPDACSAATDIAGGYCMTILYGVLPPAMAYVMYQRNYDDTGNKTAMSRAGLGLWGLGLFACSIVLEQILQDLSYLPE >KZM93662 pep chromosome:ASM162521v1:5:9041731:9047022:1 gene:DCAR_016907 transcript:KZM93662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCAGPTGAPSGFFQTVTAAVWKPRLPPPDSTADNDKDKSNSSKSIDESEASKTQQKPPPQVKIAENEAKQVSDDKTTKPDNDAAKQIKRVASAGLQVASVLQTKSGNLKDFYSLGKKLGQGQFGTTHLCTEKKSGKEFACKSIAKRKLVSKEDVEDVRREIQIMHHLAGHPNVISIVAAHEDAVAVYVVMELCTGGELFDRIIQRGHYSERKAAELARVIVNVVEACHSLGVLHRDLKPENFLFVNEEEEAPLKTIDFGLSVFFKPGEMFTDVVGSPYYVAPEVLRKFYGQPCDIWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFVSEPWPSISEGAKDLVRKMLVRDPSKRLTAHEVLCHPWVKVDGSAPDKPIDSAVLSRLKRFSAMHKLKKIAIRIIAESLSEEEIAGLKEMFKMIDTDNSGNITLEELQKGLEKVGANLMESEINDLLQSADLDNSGTIDYTEFVAAMLNLNKAHKEDHLLAAFSYFDKDGSGYITADELQQACEKFGLGGAQLEVIMQEVDKDHDGRIDYNEFVDMMQDTGIDKGLQNGKASG >KZM93658 pep chromosome:ASM162521v1:5:8997384:8998949:-1 gene:DCAR_016903 transcript:KZM93658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSDVEKVDENPYNSNVKRSKLNLTLAALLDDAILSDVPKKPSLSDVETLISFELGSAMRISVLKMDGTSFDVHVMNTATVKDLKLGIKKKVNECEQSEMGHRHISWKHVWGNFCLSYHNEKLLDDDVGLQDYGIRNNSQVHFIPYVMSRSSRRHSRRKKHRFFHGLNKRFTAQ >KZM95450 pep chromosome:ASM162521v1:5:32241836:32242558:1 gene:DCAR_018692 transcript:KZM95450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKHSPSGSSSNNISCSRDFEIFLQGWLLRQHRFHELLQTTLQNIEDHKEDDLNVLVSKVLSHYHQYYERKSEMATHNVFLVFSPPWFTPFEKTFFWVGGFRPSLAFKVLETVINGEELTEQQRQRLDMLKDDLAREEADVAREMASTQEKVATDQAVMEAVKKMENRIDGEIVEMDAILRGLRSGMEIVLSCADSLRVATAGKVTQILNPVQCIKFLAAVTKLQLRIRTKGMQLIGSN >KZM95925 pep chromosome:ASM162521v1:5:36816307:36829869:-1 gene:DCAR_019167 transcript:KZM95925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYSPNRSPGSSRLQLGSMSRLRSSSVKKPPEPLRRAVADSLSLSHPGNPSAVASEAFRILRDYLAAQTTTDLAYSVIIEHTLAERERSPAVVTRCVALLKRYLLRYKPSEETLLQIDRFCVSIIGECNISPTRKLSTWSRSSSKSGASATSSNISPLPVSSFASGALVKSLNYVRSLVAQHVPKRSFEPAALTGVPATSRQLLPSLSSLLSKSFNSHLNPAGAKDSSDLKEGSAASVSNSPIIETVDGIEGLEFIAFDIFKWRWQGHQRLSLLSPDSEHIMNCQEVSKHSFLEVGAAALLVGDMEAKMKGELWRSFGAVDMPYFDKLLQTSLLTTVTNSASARAHMRAITASKRSKTGSLQIWHVLSTQTCCFENYYLIMEDSHVSTYRPRPRPLFQYRHYSEQQPLKLNSVEVCEVIAAVCSATPSPTANLMTMTSKLSSSSGKPSMDVAVSVLIKLVIDMYVMDSATAAPLTLSMLEEMLNSPLLDSKSRAFDLILNLGVHAQLLEPLVADDASTIEEEYSHEPYLDSETQLANQGTVKPDYYKTANSSAIDKFESWILGILYEVLLHLVQIEEKEESIWASALSCLLYFVCHRGKIRRSRLEGLDARVIKVLIQVSRRNSWAEIVHCKLICMLTNMLYEVPDGPTTSTLASPRILVEQVDLIGGIEFVYIEFVLANLRDDRRNLYMILLDYVLHQINEACLATGVSEYSDDESQVIATLLTLADAPEALHISVRLGVDGVGDLLRRSVAAALSRYANCDRLNMLLEKVIEKFDTLVRSLSNLDTEFTHLRHISKSHTYLESIEDGVLRNDVCMKAKLAWATLHSLLHSERVPYRQNGYLWLGDLLMAEISDKKDAIWSNVKNLQQKIALAGVNDYSEDLEVPISIWLLCGLLKSKNNLIRWGFLFVLDRLLVRCKFLLDEKKIQHLGNDVSDQLQEKSRLEKASVVIDVMSTALSLVAQINETDRLNILKMCYILFSQLCLKVLPSSSMSRGDTLHDDANPGTLYGEDPMEDTKNKFGSKNDTLTSETASMAALLLRGQAVVPMQLVARVPAALFYWPLIQLASAATDNIALGVSVGSKGRGNIPGATSDIRATLLLLLVGKCTAEPAAFQEVGGDDFFRELLDDTDSRRMMTEEPESYQRMLSNLVYRAQQSNNEKLLENPYLQMRGILQLLNE >KZM93634 pep chromosome:ASM162521v1:5:8716783:8720070:-1 gene:DCAR_016879 transcript:KZM93634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAWEATVRKTQAAAKRRANTIFGTTYVAHASDDESDVPTEPQSQEPVGDVYHSERFLPNGDYYTGQWSDNYPHGIGKYWWTDGCMYVGDWCRGKTNGKGLFSWPSGAVYEGEFKNGYMDGEGTYSAPNGDMYKGDWIMNLKHGYGVKEYSNGDYYEGDWCRGLHEGQGKYQWKNGNCYEGEWRNGMIGGKGKLKWANGNSYDGYWDEGLPRGNGTFKWPDGSFYVGNWSKDPKEQNGNYYPSGSSIVDGNVEWDPQQVYLQDMQECLVCPNEKVPIMPSQKKLAIWRSSKNVDPSARPRRLSVDGRLEATSDSPFDRSARGAREDSSLTLEDFSTRGSPIRIPKVIKRQGETISKGHKNYELMLNLQLGIRHSVGRPGPPPSLDLKPSAFDPKEKVWTRFPPEGSKHTPPHQTLRKLFNVDAADYMLSLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVAVLLRMLSAYYNHFRSYENTLVTKYYGLHCVKLNGPAQRKVRFIIMGNLFSSYSIHRRFDLKGSSLGRITDKPESEIDSNTILKDLDLKFLFRLQKTWFQEFRRQIDRDCEFLEQERIMDYSLLVGLHFKEPASASDLIPSGGQISTDNGESGPDSVSRLSGAEMDRLLLDPAGWASIKLGVNMPARVERTERTDEFQLVGEPTGEYYDVVMFFGIIDILQDYDITKKLEHAYKSIQYDPTSISAVDPRQYAKRFRDFILKVFAEDV >KZM94131 pep chromosome:ASM162521v1:5:16311025:16314772:1 gene:DCAR_017376 transcript:KZM94131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTWFSDEDVEELRTGLLDLFQKESSGTRKRRSDMDMMRLIDACKSAGNNVLRSGRKNPKRQCVDRKRTEDVVSYMFLDSFIGRNTFSEREKPRFLPWNNYCLNKLEQILIQRSFLVDGELRAPNIDYTTLQSCRIKGSVDDDAFGRSTQNPDVEKTQMDFPKLSEEHCDKPDQGKIPRPCFEQGNHSGWMDQVSVDSENFVNEKHRLVDSLLASYQSVQQTFLLHMLYAKESDVPDEKMESIKKSFKEMNERANSVLD >KZM94589 pep chromosome:ASM162521v1:5:23892620:23893786:-1 gene:DCAR_017832 transcript:KZM94589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVCSDSSIMNLPSEILAEIFSRVSIKTILHCRRVCKRWLNILAEPYFVNLHLSRSPAGLIIHQGLSQPNVDILKMVELNDKADHHDIHHDPLMKFMLGFGLEDSVMWLSGSINGLICLGSEKTICICNPITRECILIPDQEFIGKSCATLHHGFGFVESSNQYKVVRFYKGRFSASEGSEELGCEVYTLGTRMWRNLGHVPFFIDGYGNGICVGGNLHWLGCQQEDQKESSESERLCTFDLDRESFQISAGPVVPQVDGYTTYRNLGVLGGCLCVCDNTPDLEFAIWVMKDYGVTESWSKEIIIRTDFLFEGMLDEEVYPLKVLKDGTIIMYCGEFQLFTYHPGTETTQEHDFPDGDYNTYNAMVYVPSFMSLRSTFMLENVLVL >KZM94307 pep chromosome:ASM162521v1:5:20803297:20804291:-1 gene:DCAR_017550 transcript:KZM94307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNYISSSGSSGSSWTQRQNKQFEEALAIYDRDTPDRWYNISRAVDGKSVEEVKRHFDLLVKDIMKIESDQTFQEPKATVKQWWHYYLCQPSKDLWNVYKCKNWPDSAQEATKIFNIVCNQNHTSSISQKIRANVFVVH >KZM95883 pep chromosome:ASM162521v1:5:36490459:36491157:-1 gene:DCAR_019125 transcript:KZM95883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLVNIYMFRCNNKMLFVTSSFVGWLIGHILFMKGVGLVLVWIRQNNSIRSNKYIRSNKSELINYMARIFSILLFITCVYYLGRIPSPIFTKKLNPQTEEGWESEEETDVEIETASETKGTKQEQEGSTEEDPSPSLFSEEKEDPDKIDETEEIRVNGKEKTKDEFHFTEIRYNNSPVYKGLFWFEKPLLTFLFDYKRWNRPLRYIKKLIDLKGTTRKEMSQYFFLYMPK >KZM94781 pep chromosome:ASM162521v1:5:25827341:25830141:1 gene:DCAR_018023 transcript:KZM94781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEEYKLYWETNHYLQTQELDSLVLDETLSAYYDSSSPDGPHSSGAPKNIVSERNRRKKLNDRLYALRAVVPNITKMDKASIIKDAISYIQELQEQETRIQSEITQLESMACNRSNSFDEFNQAGADTTTSVVSKKKKKKRSARQQELFSNDCGGSRSSPSPVQVIELRVSCVGEKTVAVSITCSKERDTIVKLCEAFESLNLKVITANITSFSGTLLKTLLIEADEEEIDTLKTKIESAIAAFNFPTVQ >KZM94435 pep chromosome:ASM162521v1:5:22287230:22292942:1 gene:DCAR_017678 transcript:KZM94435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDPEQERKSSTTSPRISISAKRKFYEFLGIELSVAAPQQDENNPSSSSSAETTAAAEGLEGSEDKEKNDKKSKKKEKMEVKKTDEEKKALLPSVGFMELFRFADRLDYVLMGIGSVGAFVHGSALPLFLRFFADLVNSFGANVNNPDKMTHEVVKYAFYFLVVGAAIWVSSWAEIACWMWTGERQTTRMRVEYLEAALNQDVQFFDTEVRTSDVVFGINTDAVMVQDAISEKLGNFIHYMATFASGFIVGFTAVWQLALVTLAVVPVIAVIGGVHTTTLSKLSAKSQEAQLEAGNIAEQNIAQIRTVIAYVGESRALKAYSCALKISQRLGYKTGLSKGLGLGATYFTVFCCYALLLWYGGYLVRHHYTNGGLAISTMFAVMIGGIALGQSIPSMAAFAKARVAAAKIFRIIDHKPTIHKNSKSGLELDSVLGQVEIKSVNFSYPARPDVLILNNFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVLLDGNDIKTMKLEWLREQIGLVSQEPALFATSIKENILLGRPDATLEEIEEAARVSNAHSFIIKLTDGYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDCFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVSEIGTHDELFAKGENGTYAKLIRLQEIAHETALNNARKSSARPSSARNSVSSPIMTRNSSYGRSPYSRRLSDFSNSDFSLSIDGSYPSYRLEKLPFKEHASSFWRLAKMNSPEWTYALVGSIGSVVCGTLSAFFAYVLSAVLSVYYNQDHAYMIREIGKYCYLLIGVSSAALIFNTMQHFFWDVVGENLTKRVREKMLAAVLKNEMAWFDKEENESSRIAARLALDANNVRSAIGDRISVIMQNAALLVVACTAGFVLQWRLALVLMAVFPLVVAATILQKMFMEGFSGDLEAIHAKATQLAGEAVANVRTIAAFNSESKIVALYISNLQAPLRRCFYKGQIAGCGYGIAQFLLYASYALGLWYSSWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGQAMQSVFDLIDRKTEIEPDDPDCTVMPSSIRGDVDLKHIDFCYPSRPDILVFRDLSLRARAGKTLALVGPSGCGKSSVIALVQRFYEPSSGRVMIDGKDIRKYNLKSLRHHIAVVPQEPCLFATTIYENIAYGHESATEAEIIEAATLANAHKFISSLPEGYRTFVGERGVQLSGGQKQRIAIARAFIRKAELMLLDEATSALDAESEMSVQEALERACSGKTTIVVAHRLSTIRNANVIAVIDDGKVAEQGSHSHLLKNYPDGCYSRMIQLQKFSHGQPTINIASGSSSSILLKEDGVH >KZM93258 pep chromosome:ASM162521v1:5:4100813:4106490:-1 gene:DCAR_016503 transcript:KZM93258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQPAGPTNNMGLQQNNTSEDDDLTRIIHISKERLELLIVRTIERVIVPVMGDLVRKVVKEEIESVQENLMHHIQCSLSKQGSTSEPRRLRLKFMQNISSPVLTSRKIKGEGGISINLALVDSLTEEVVKTGPEAAAKVEILVLEGDYAGHRECNGKSEDFNNRIVTEMEGKKSVLQGTTTLKLKEGISSITNLSFTQNSQWMRNSKLCLGARAVNSFPGTTIEPANTKSFVVKDNRTESNEKKEVPSLPDKVSRLKHIGKHGRKRLNDQSIFTVKDLLVLLNTNPKRLREILNVRSKIWEEITGNAKKCLIDEGVYIYIDRNLQQNNGVVFDVIGQKNAQKLVARAFDQWEEVSRSDDENSLIERFPRISESTMIESPSQYIWDYPLLDMCEQAGASSNGIRTPVNCIGESSQICSPEHGLGDPIYSPPHYVDDLLQLMNFNNQPERLRLELNPKMSWKRVIPVICISRWFMIRKRDGSLERGPLNKRQKIS >KZM93268 pep chromosome:ASM162521v1:5:4272827:4273821:1 gene:DCAR_016513 transcript:KZM93268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTKLEYYHGGEFITREGIYYYAGGTIETIYDVDFKALTIESFRNFATQNLGYADGLKFYYKTHDKANNDRYKILWNDETNNELIEDALRVGYEGMYEDESNSGSDIEGDEEYNTKSDVLLSEGDDDDKLTKIRERKRMIKDGNGDEEERREGVSELESHDISLGETPTIMDLTDYEIERLQRQSQFEDNIELGFDKIGKSCEENDNANSDSFIIPSPVSTDFGENEEEVMKDAKKKKNKQAHYSEFNPDTTIELIDVEHDMLFASREQLKEAKLGTMV >KZM95020 pep chromosome:ASM162521v1:5:28036418:28040584:-1 gene:DCAR_018262 transcript:KZM95020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSAHTLPSPPHPPPLATPPSLSHILISHHHSRSKLFYICSSPIQLAVLLKVMSSDRMESIQGLNLRYMVCQFNTHQMEAPEQDVHVSFTAPTHGDGPIVPIDTEKLLIEKQQCQAELPAQVASTSSMPPINRDVNHENGVVNVAGATGELLARKRKNQVEVPSQDAYDHANGVAYVSGAIVPADTENMLFEKQRRQAALPPQDATIGFTAPITRGVNHAYGVADVAGVTVPASTENLLYRYCRHRVEEPAQDACTGFTAPISRDVNHATGVAEVAGASADIEKLPFEKHRQYWEFAESFEAFKKMPQNPHFRPLKFCKEILNDHANGVAYVSGAIVPADTENMLFEKQRRQAALPPQDATIGFTAPITRGVNHAYGVADVAGVTVPASTENLLYRYCRHRVEEPAQDACTGFTAPISRDVNHATGVAEVAGASADIEKLPFEKHRQYWEFAESFEAFKKMPQNPHFRPLKFCKEILSEGQALARMVTYNNIVRKISNFNMDTKGEEMMDELSLLEDLDAHGFKVQMLKDRLNKLLIFKSEEEKLKNMLERREMVLSVHVEESRIFKGTRAKGEARVQELWKEVASIQKEEEYIHTKKANLQLVEDANSEKCGRINMQIKEHIASPLW >KZM95632 pep chromosome:ASM162521v1:5:34026569:34029137:1 gene:DCAR_018874 transcript:KZM95632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQNRRNSMSSSQTSSLAKRQAESFGKAAVFIPEMAKKRPALANVTNQKHVSQIGPRSSMNMVKHPGKIDNTKKGNSTNAAKAKPSSVVPKNNPNLPVCDGTLSRTIAPSGPCSMDISPDESDNLSVSMDESMSTCDSLKSPEVEYIDKSNVADIDSIERKTCNNLHISDHVETGNVCKRDILAEMETSDKIVDLDDKFTDPQMCATMACDIYKHLRASEVKKRPSVDFMEKVQTDITISMRAILVDWLVEVSEEYRLVPDTLYLTVNYIDRYLSGNIMDRQRLQLLGVACMMIASKYEEICPPQVEEFCYITDNTYFKDEVFEMESAVLNFLKFELTAPTVKCFLRRFVRAAQGVTEAPSMQLECMSNYLAELSLLEYSMLRYAPSLVAASAIFLTRFILSPSMRPWNSTLKHYAQYEPSDLRDCVSALHSLACNSLNSNLPAIREKYSQHKYKFVAKFNIPSIPQEYFLDIISG >KZM94625 pep chromosome:ASM162521v1:5:24392470:24392896:-1 gene:DCAR_017868 transcript:KZM94625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIVSNVEFLRYERIVTVHYVGYIVALLCFTVCLKLFAKLISKYSVKAACMICMQVHPNMRSVFASLIKYRGFRGLYDGLTPTLVEIIPYAGLQFEIYDTFKRWCMGIMVINQGLVVYIGGKVIITT >KZM95377 pep chromosome:ASM162521v1:5:31593194:31593874:1 gene:DCAR_018619 transcript:KZM95377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHQLNINNTLFFIILVSSILISSRCANSESTIYDVLKSHGLPKGLLPKGVKDFSFDDSGRFEVHLDEACNAKFENELHYDQNISGNLSYGEINGLSGISAQDLFLWFDVKEIRVDVPSSGLIYFDVGFVSKQFSLSSFETPRDCLAVQSVDLQLYSDSTLPTQPAYNKVLSVCVCVCVCVCVCVNTWSVILLKRVNFVFIEFDDLVLGCVFGSRELVLFLGLFGL >KZM93610 pep chromosome:ASM162521v1:5:8412377:8423013:1 gene:DCAR_016855 transcript:KZM93610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQCISTKVSVIVTPRHRNRRQLCLIFSPPPPYSLTVSPENNLLRRRKNSTVTHVSSSSASEKPNQSDEQRKGSDLQTLARRFWKVAAPYWYSDDDKKQARLQLAAVFALTFATTGISVGFNFLGRDFYNALSNKDQEQFTKQLLYYLASFAGGIPVFVLRDYAKDTLALRWRSWMTTYYMERYLKNQTFYQIQSQSIIDNPDQRIVDDLSSFTGIALSFSLTLFNSAVDLISFSNILLGIYPPLFVILLVYSIGGTAISVFLGRGLVTLNFLQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLQRFRSAFENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPLYFAGKIDFGVINQSVSAFNHILGDFSLIVFQFQSISAFSAVIDRLGEFDDVLDSGSSKSSEDSLIEIQLSYDNVKKLTLPEVNGSMPLDDNEKLLVIENLTVQTPTKSVLVKDLSLQINEKDHLLVTGPSGSGKTSLLRAIAGLWSTGKGSIRFYGKTAVDSSIPPSSDSTPLIPSAPTNSSGNLERPNSRGVFFLPQRPYMVLGTLAQQLLYPTWTEEFSSTPDTAKSAGSLPFLVRAAQVDSAKIKSPKPTSDELLQVLKDVKIEYILSRFSLDSTCEWSSVLSLGEQQRLAFARLLLSKPYLVLLDESTSALDEANEEHLYKQIEAAGITYISVGHRTSLYKHHNKRLCISPADPDSDEQKWFIESIIKEPIPSPTRL >KZM94690 pep chromosome:ASM162521v1:5:25010892:25015239:1 gene:DCAR_017932 transcript:KZM94690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFVACGRHLRSAFRRQSDIIYCGIGRRSYFVDTNRKALCKQSYNVDQCLHGHLSFVISRTLYADVSRNTDEELSSSWPSGPLVEYERRIAAGELVDGDTCQIGTLKELQRLYDELVKSADACRLDRYAASEKAARSRWLWSRFMPQSSYSPVKGLYLYGGVGTGKTMLMDLFFEQLPSNWRKKRIHFHDFMLTVHSRLQKHRGVADPLEVVAGEISDESILLCLDEFMVTDVADALILNRLFGHLFNNGVILVATSNRAPDNLYERGLQRDLFLPFIATLKERCVAHEIGSSTDYRKMTSAEQGFYFIEKYESDVLKQKFEQLIDSHSAGPQEVEVVMGRRLLVPLGANGCAYFPFEELCDRPLGAADYFGLFKIFHTLVLDGIPIFGLHNRTAAYRFVTLVDVMYENKARLMCSAEGTPFDLFERIVTISDAQQMAPRTSSRSRKNDEYDLCVDNELGFAKERTISRLTEMNSSEYLEQHAELLAEKWLPQSDNKEAIQA >KZM95765 pep chromosome:ASM162521v1:5:35361698:35366468:-1 gene:DCAR_019007 transcript:KZM95765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTSAAKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKEELCIDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFREESLTFKVSETPQESAEEIETYARYKYPTMSKTQGGFKLKVTEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEGSDIEIPEFNVSYKPQKISPKFQHSVRHLLHSKIRESYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSIDCVANSPQSLLTGMNLFLSQLDITFRRDPTNFRPRINKLNSAKDREQKNAGSYYYLDD >KZM94085 pep chromosome:ASM162521v1:5:15121015:15121726:1 gene:DCAR_017330 transcript:KZM94085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETELYRIEQKMEDSVATIRERKYHVIKTQTDTCKKKVRSLQERNGRLLLDFDAICLMDPRYAAMRDDGRVYEVDPGVSYGNGLYNHRFYANFHHGDGVSGGPDLRLA >KZM94902 pep chromosome:ASM162521v1:5:26873123:26874275:1 gene:DCAR_018144 transcript:KZM94902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPQDCIAHVLSRTSPQDACQAALISQSMKDVANSDSIWEAFLPPDYREIISRSTPPVSFESKKELFMKLTSPLLIDGGTKTISLDRTTGKKCYMLCARELDITWSDNSLYWSWKHLLQSRFAQVSELVMVCWLEISATINTRMLSANTYYGAFLVVKFANRAFGLDHLASEVLIQVGESKYEGSICLCHTKSPDRIRERSDGWMEIEIGYFHTEDADTGKEVKMRLREVKGVHLKGGLIVEGIEVRPV >KZM93849 pep chromosome:ASM162521v1:5:11497685:11498817:1 gene:DCAR_017094 transcript:KZM93849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLRKLFIGGISWDTDEYRLKEYFGAYGEVMERKWWGENIPLMVELYGCYDHNTQRPRGFGFITYDSEEAVDRVLHKSFHELNGKMVEVKRAVPKELSSSPNRSPLVGYNYGFGRTNNFFSSQGYNLGPIGGYGVRMNERPKTVISGRSTFSPIGSPAYGINMSLEPGMSPSFGGSSSISNIIGYGQTLNLGSEGNSKQVCYSCWF >KZM93859 pep chromosome:ASM162521v1:5:11569410:11579655:1 gene:DCAR_017104 transcript:KZM93859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGPDIDIFNGSVKYCELVSKSFREPDILSSGFDKRIAGMSEILIFIFIFFFLLRFGVIAQSQTNFTIDPSQGREGFRDFYSTVSLSSNNFSGPLPLELGSLTKLEQLYFSSSGLSGPIPSTFASLQNLVTMWATDTDLTGRIPDFIGNWSKLNDLRLSDLANGSSTLDFIKEMKSLTVLILRNNNISGSLPSDFGGYRNLVQLFLPSGLNCLQRGFPCNRDPPRYYNFSIKCGGPQVMSSDRILYEGDNETLGPATYYVNPSYRWAVSNVGLHAGNNNRTYIYSSSTSQFTNTLDPVLFQTTRISAGSLRYYGLGLENGNYNVKLLFAEIAFVNIPSWKSLGKRIFDIYIQGNLIWKDFDIHKEAGSSLRALSKDFKVNVSENYLEIHLFWAGKGTCCTPALGTYGPAISAISATPDFIPSVSNEPPVNHSSKKYNTGLIAGIVIPLVISFLAAVFFVFFFVGRSKKQSAYENEEFQGIDARPYTFSYAELKVGTDDFSPANMLGEGGFGPVYKGTLSDGRLVAVKQLSVASHHGRSQFVAEIATISAVQHRNLVKLYGCCIEGDKRLLVYDGYLAPEYAMRGHLTEKADVFGFGVVALEVVSGRSNSDSTLEDDYIYLLEWAWQLHEDNRGIDLVDPSLSAFNEDEVKRLIDVALLCTQTSPTLRPPMSRVVAMLSGDVEVSTVTTKPGYLTHWTFTDITTFESVDASTSNTDQNTPFSSYANKTRLSDPNYILVNASASSSLISSRYDDARD >KZM95380 pep chromosome:ASM162521v1:5:31626337:31628763:1 gene:DCAR_018622 transcript:KZM95380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNKFESIKKLNTERFDWKCRLRLQSMWKGLNRETKEFWGINMTLIDDSNHRIHGFASSKYCKGLFEQLKEGNIYILTNFKVKDYVGDETYRPVRNRKHIYFTTHTKIETDSGVGLKIEKFAVDLFYMGEIKKLAEDNRFLIDMVGRIQNVRTNIKSIKNDVEKVITKFDLTDGRYTVPVTLFDDFGVQFAEELEDCKQTEIYIIIAAAKVGLYEGIANLTNYPATRIYINPTHYSIGELKAKMLETIEETVSSPPQEITELKTLTIKDIKAMTPDSTECRVKCQLKVTKVEEQSSWFYAVCTKCPKEISRVDGVFKCEDCNRIIPYPDKRFRICTLCSDNTGSIAVIFLDQEVTRIIEKTVFDIEVDAIQENTEGKFPAVLKTFEKKVYTITLNITENNLKKGSMVYEADEIFDKIESSANFDPSTNTDTQMVEAATVDLKDDDLSTPTTGISSTKTRPRVDIEPVAFDPKEDTPAKLNKKDKKKKVFMPNIFSAVYFSSNFCHLIPYLCHPISGVTALYWTSTTTFANEEEFETSVSDTIQSFQDFCYNLSESMTLSV >KZM93043 pep chromosome:ASM162521v1:5:1937340:1939034:-1 gene:DCAR_016288 transcript:KZM93043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKCEDLPEECWGIILQKLDHHSHFQSLSLVSKSFLALTNRFRLNFTLTNQTIALHGTISKFLNRFSHLKTLDFSQFTGNLDTILDTVFSCGLKIEYLDVSNQEKLPVLTKNMRTLKVFKCSYLCWLCDADLVAISVMLPFLEELDISYPTDNEFDSDLKLNEVAVTDAGIEALWSSIRKLRKVNISGNDHITDCSVVGLSMNCLLLSEIVMLDCSLISHKGFYSILCNCHHLNVISANRMQVSPRISSTTLCSLDLSDTGSISDEFLVSIAKARWPLKSLSLCHCMGFTFFGISQLLCAYRSLKNLALVDVFFLTDQFVSKLAQYLVNIVSINLSDSARLTTATFVTLVTKCPLLEHIKMRKTSVGEVGNFLRDQGIVRNKRIKSLDLSKNFGLGDKLLLYIALACPNMELLNISSTYRTTEVGIADVLNICRGLESLQITHCRAISSLGRGLKLPKLEVLDVATSGFGDEGLKTISQRCPGLLRLDMQGCVAATTLQVEELIKMCERLREINLKGCHSVEILSVATWIVSLRRSYLKVIPPSKSSFSNCRKHLWSHGCLLE >KZM95193 pep chromosome:ASM162521v1:5:29828445:29833469:1 gene:DCAR_018435 transcript:KZM95193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSFTPCINFITGSTGNGASSPSTACCDSLKSLTSSSVDCTCLLVTGNVPFQLPINQTLAITLPRACNSASVPIQCKASGVPLPAPGPVLFGPTPPPTTAASPFSPTASKASAPAPANAPAKTITGMEPASAPADTTSENLTPTATPGIRPVLNPTSASNRQSFYVEMGWKAAQKLIHHWKILRGDNVMVMRGKDKGETGVVKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQVLDPVTEKPCKIGIRYLEDGTKVRVSRGIGASESIIPRPEILKIRTTPRPTMVGPKDTPMDLVLEKTFDSKVGKGMPNL >KZM95769 pep chromosome:ASM162521v1:5:35395421:35399863:-1 gene:DCAR_019011 transcript:KZM95769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQSCQIKSTSEQLLNSGSIRGGAIITGELWNYLNGSVSCYSSLEICRKDDSDITCKDLKAFDRNFSNQRLDYTQNPNENPLDEHIIYFFLTLKQ >KZM95644 pep chromosome:ASM162521v1:5:34113420:34113704:1 gene:DCAR_018886 transcript:KZM95644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNPSTIHQNRTYWTSKTSDSTAGLQTHYCLSTKTNSASDSETTTTTQQWQTRNSTEQPNSATTKSTTGLNAKQNTDSKTQYKTRDSAKKTTL >KZM95063 pep chromosome:ASM162521v1:5:28306227:28310256:1 gene:DCAR_018305 transcript:KZM95063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMAYEDPQSSSPVTITVSSSGGFRNIGLSSPTRRHSVSNNPNSPLSGIELSGGNRRSSGGGNGRFLSMSKESADEFVGYTVHIPSTPDNRMFPEHSGTESPPEDDENRNPNENYLRDTVYTGGFNSETKAHHVMSKWGEEPEIAVKSKKLCGMDGCDEKLVDGGLRSYQCECGFKICKDCYLDCIGNGNGDGGFCPGCKEPYKDDNSDYERDEEAKDQPNSLPRSRGVKYGKNFSLVQSFKAHPNQDFDHTRWLFETNGTYGFGNAVWPREGYEFGRGIDEHENHPPKFNQSRNRPLTRKVGISAAIISPYRLIIVMRLAALVCFLMWRISHPNHDAMWLWVMSVVCEVWFALSWILDQLPKICPVNRVTDLSVLKDRYDSSDLNNLTKGLSDLPGIDIFVSTADHEKEPPLVTANTILSILAVDYPVEKLACYLSDDGGSLVTFEAIAEAASFASIWVPFCRKHKIEPRNPEAYFSQKRDPLKNKVRVDFVRERRRVKREYDEFKVRINALPESIRRRSDACNAHAEMKAKKEQMNKGGNSSDLIEVSKATYMSDGKQWHGTWTSAEKGHSRGDHEGIIQMMLVPPKAEAVYGTGNDAEDLIDSTDVDTRLPMLVYVSREKRPTFEHNKKAGAMNALVRASAIMSNGAFILNLDCDHYVYNSMALREGMCFMLDRGGDKICYVQFPQRFEGIDPNDRYANHNTVFFDVNMRALDGLQGPMYVGTGCIFRRIALYGFSPPRATNHIGWFGRRKIKFCLRKKKEPKSEAEDEMIIPIMEDEDAGDEVSRSLIPERFGDSTYLMDSIGVAEFGGRLLHNFRGKGSQGRSAGSLAVQREPVDPAAIKEAIRVITCFYEDKTEWGKRVGWIYGSITEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLIQVLRWATGSVEIFFSRNNALFASPRMKFLQRIAYFNVGMYPFTSLFLIVYCVLPAISLFTGKFIVQSLNVTFLTLLLAITLTLCMLAILEIRWSGITLHDWWRNEQFWLIGGTSAHPAAVIHGLLKVIAGVDISFTLTSKPAAPDEGEDEFAELHEFRFTVLMIPPVTIILLNIVAIAVGVFRTMYSPFPEWSKLLGGVFFSFWVLSHLYPFAKGLMGRKGKIPTIVYLWSMLISIVVSLLCVYINPPSGTQSYMNLKFP >KZM95419 pep chromosome:ASM162521v1:5:31957560:31957892:1 gene:DCAR_018661 transcript:KZM95419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSSSSERKSLALSQVVSDCVRRWFQETLKEAKSGDLSMQVLVSQMYNTGYGVPMDAQKLCTSK >KZM93171 pep chromosome:ASM162521v1:5:3043590:3045183:-1 gene:DCAR_016416 transcript:KZM93171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLQIHLMLLTLALISPFTHSSTQNLTNPSPFTKIYAFGDSFTDTGNTKSLTTLATFRFASNPPYGNTFFHHPTNRYSDGRLVVDFLAEKLSLPYLPPYLDQDADRSHGVNFAVAGATAIELDFFLKNNVPLFFTPKSLRNQLDWFAEFLGSRGCRNLSTTPQKCGAVFDEALVWVGEIGVNDYAYSLTSTVPDDIIRNLTIDRVTRFLQALLKMGAKYVIAQGLPATGCLAFSMETYNVTDRDEIGCVRSVNNLSTIHNNIFRQNLAGLRKEFPDAVIAYADYDNAYRNVVKNRGDYGINHPFKACCGAGPGEYNFNILAPCGSIFSTSCTDPSEYINWDGVHLTEAMYKAVSEEFFAKTDIYPSFRHLLEHKRQSVK >KZM92879 pep chromosome:ASM162521v1:5:476359:480089:1 gene:DCAR_016124 transcript:KZM92879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVEAAAALLSKIAQAADGAVIGGAVLASVAVLSILDFASYSSALRKIKDAPSIRVSDLRSILSVSDHDSGDRKLVVVRGYVKAKSAVEGIWKTLGPRAIVSPHSGDKAVILLRTQTSICKVWRGLFGWTSERSVFTIPFILVDRGIWSNSDYVVVNINGSRHPLPLVTVHHHLQPITASPCTLLQAFFGHEYFVGLLEDEKILPLGKDITVVGMCGLSNKTLEIKSCKDLPFFMSDMTKEQMLVDLAFRTKVVLWSGVVVGSLAIGVLGYAAVRNWNKWKEWRQMRRIQRDNTDDSSEADTQIVRDEENGDIPEGELCAICLARRRRSAFIPCGHLLCCPRCALFLERKVSPKCPVCRQSIRTSVRIYDS >KZM94969 pep chromosome:ASM162521v1:5:27489355:27492254:1 gene:DCAR_018211 transcript:KZM94969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVKNNQIVLKHYINGSPKETDFCHKTQTITLKLPQGSNGVLLKNLYLSCDPYMRNRMAKTSYADSFTPGQPIVGYGVAKVVDSGDPNFKIGDLVWGMTGWEDYSLITATDSLFKIQHTDVPLLLYRTIGRQGRIQHGGSGGMPGMTAYGGFYEVCSPKKGETVYVSAASGAVGQLVGQFAKLLGCYVVGSAGTKEKVELLKDKFGFNEAFNYKEEQDLDAALKRYIPGGIDIYFDNVGGKMLDAVLLNMKHHGRIAVCGMISQYNLDQPEGIHNLFCLVSKRIRMKGFVAFEYFNLYPKFLELVLPWIKDGKMTYLEDIAEGLESAPAALVGLYAGQNVGKQVVVIAHE >KZM93847 pep chromosome:ASM162521v1:5:11492718:11494364:1 gene:DCAR_017092 transcript:KZM93847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYSSMHSTHEQQYIGQSSRVSEQPAHEFQSYLQKPCGTLDNQLFSADGSQQMQFPGKLSQSFNTPEGATSIGGHSGNSSFTVSYSATISPISHADPHTYPSFTSDNTNNLQVGETSYSSDFDTLKKKIKEIETLLLGPEPDISISNETYMYGGPSEISSTKEVSKQMITGVSDDDDLKEVLVSCARAVADNDLSSADSLMSRLGPMVSVTGNPVQRLGAYMLEGLVARLGSSATPYHKSIRCKEPTSSELLSYMHLLYEVCPYYKFGYMAANGAIAEAMKDENSFHIIDFQISEGSQWFTLIQAFSARPGGPPRIRITGVDDTTSAFYRGGGLDIVGERLSSLAESCRVPFKFNATTISNFDLDFNTLEIIPGEALAVNFAYMLHHMPDGNGEKIYQRDKILSSVKNLCPKVVTLIEQEANTNTCFIPRFLETFKYYMAVFESIDVMLPRDNQERIGAETHCLARDIVNLIACEGTDRVERHEILEKWRSRFVAAGFKPFPLNSYINATIKNLLKNYCEHYTLEEREGALYLGWMEQNLVATCAWS >KZM95004 pep chromosome:ASM162521v1:5:27838367:27841692:-1 gene:DCAR_018246 transcript:KZM95004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDGKTPPAIAGAAKIQYRMAKTSVWWDIENCQVPRGFDVYGIAQNISSALAKMSYCGPISISAYGDILRIPKPVQEALNSTGISLNHVPAGVKDASDKKILVDMLLWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPPRASAALVAAAESVWLWATLLTGGYPLATGGFLQLGNNSNILHSAASQGLGRDASLSNKTAGSYSENIPSSNHRFIGPAVAGAENQPLITRALSVDTAQPENAPVRQIMSAPHEFFGVNKPVMPASISQPTQQLSFNMIDISSFPTSEVPSKISSISKSKLDNSMGKAPQCRKPSDYIEGLIGVVLLALHHLKLEKLIPSEANIKDCIRYGELKFRNIDVEKAINFAVEHQMIVKQSQGAVSLYVGRIERLWECVDTSGGNQYQYSKATWDGIQKFLCSSAGHSAMMASECRYQAGLILKTLCLKDLSLGEVLQILNMIITLKRWITHTEPGWQPITITIAESESNSSSGTDA >KZM95997 pep chromosome:ASM162521v1:5:37314194:37316596:-1 gene:DCAR_019239 transcript:KZM95997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKQQEAHKARDNALKLFAVKDFVGAKNYALEAYMLCPELEGISQMVTTFDIYCAAERKFDGEVDFYSVLGLEPSVEKSKLKKQYKKLAVLLHPDKNKTVGAEGAFKLVSQAWALLSDNAKRRSYDMSRSKRMSCGVLQSSMSSVQGSQVTGFDNGSKSLSSQSKLDTFWTLCTSCHVQYEYLRKYVNKKLSCKNCRGIFIAAETGPPPVSASFPYSPWQYPPDNGYGSHGYNGAHITTSAAGLTGKTVPGIHSGHGSESNSNLSFQWGSCPGTSAGIVEHSGLIAKSANSVHQPNGVSITNANGKHIISNGHMGATAPRRGRPPKKLKVDMCHTTPRESEVIASNVKSEVKYNNAKISAQGDNSNKIFSIAPVFDARKLLIDKARTVIRNKLEELRSSSAAAGEAPSEATTVAGLNRRAEARASVSIPITVPDSDFHDFDKDRAEECFQPKQIWALYDEEDGMPRLYCLIRQVISVKPFKVHISYLGSKTDTEFGLVNWLDSGFTKSCGHFRAFNTDIIDQVNIFSHHLSREKAGRGGCVRIYPKCGDIWAVYRNWSPDWSRTTPDSVRHQYEMVEVIDDYSEELGVCIAPLVKLDGYKTVYQRNTDKNALRWIPRREMVRFSHQVPSCALKGIATNLPDGCWDLDPAATPDELLQVEAGIHAEKAAHTKSCVGTPLHVFEPETGVLGGESPHFQEDNLDATPELVEKLINLQVETTPDKVIQDLNKGKVQKTPVEVLQELSEVQGEKPDHVESSLTSMDPLNPVKEALPSFQVEHPAALPSFQVENPGATSQSFFQM >KZM96522 pep chromosome:ASM162521v1:5:41586573:41590593:-1 gene:DCAR_019764 transcript:KZM96522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLFQGIPGSYSEDAVLLAYPRCETVPSDKFEDAFKLVGSNKLEDVGIIASSRVAKMYGLDLLTENIQEFASSLRVLGSYPWADVSKGQQLPSWCFENSKQ >KZM94183 pep chromosome:ASM162521v1:5:18044979:18045290:1 gene:DCAR_017428 transcript:KZM94183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLRETYTAEERSDKKIMECVLGRHSVYLRGWGRSSDTTNSASHRVSAEPNQPSYQELLQQFKDASTRLDEVVNILRQNNLMPQTSTANEVSEVDAHSASIE >KZM94715 pep chromosome:ASM162521v1:5:25260198:25267269:-1 gene:DCAR_017957 transcript:KZM94715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPTHSPLRLPSSPATSSLFGPQPQSLPRLSRRRSRNYRLVWAQSGNNNMDFIRKLSTTYFMDRSSKEQLESVSGGQDVFDPTPDEQADHLVIMVNGIVGSSEDWRYAAEQFVKKLSGKVVVHRSECNSLQLTFDGVDRMGERLSEEVLDVVTQWPAVNKISFVAHSLGGLVARYAVGRLYQHQGGKIAGLEPINFITVATPHLGSVGNKQLPFLGGLPFLEKQASQTAHLIAGRSGKHLFLTDKDDDRPLLLQMVYDLDDLKFISGLRSFKRRVAYANANHDHVVGWRTSSIRRQHELPEKDLLVGDDKYPHIVYVNRETTENIQREVSSSVSTQTNDLEEEMIRGLSQVPWERIDVSFGKSRQRYVAHSTIQASLSN >KZM95340 pep chromosome:ASM162521v1:5:31277328:31278336:1 gene:DCAR_018582 transcript:KZM95340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCAGADRLQTGMRGAFGKPLGTCARVSIGQVLLSVRCKDNNSPHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYVKWKAENRILPDGVNAKLLGCHGPLARRQPGRAFIDAVTA >KZM95434 pep chromosome:ASM162521v1:5:32084955:32086032:-1 gene:DCAR_018676 transcript:KZM95434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAARNFEMKNLIPYSDDLINLLKSERDSANLSKFLEQFNVLVSQSDADFKGVESSILDYQNKLDSCNQKIDAAKSEVASDSELDMLQKELEEELERERLLKDELR >KZM93065 pep chromosome:ASM162521v1:5:2091388:2092815:1 gene:DCAR_016310 transcript:KZM93065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVKESTLVPPAVETPRRRLWNANVDLVVPNFHTPSVYFYRPNGGDNFFDTKVLKDALSRALVPFYPMAGRLKRDDDGRVEIDCNGEGVLFVEAESDGVVDDFGDFAPTLELRQLIPAVDYSLGISSYSLLVLQITFFKCGGVSLGVGMQHHAADGASGLHFINTWSDMARGLDLTLAPFIDRTLLRAREPPQPAFPHIEYQAPPSMKLNPDPKESTPETSVSIFKLTRAQLNALKAKAKEDGNTVAYSSYEMLAGHVWRSVCKARGLADDQESKLYIATDGRFRLLPPLPAGYFGNVIFTATPIAAAGDLMSKPLWFGASRIHNALARMDNDYLRSALDYLELQPDLKALVRGAHTFKCPNLGITSWGRLPIHDADFGWGRPIFMGPGGIAYEGLSFVLPSPCNDGSLSVAISLQAEHMKLFSKLLYDI >KZM93801 pep chromosome:ASM162521v1:5:10929855:10933017:1 gene:DCAR_017046 transcript:KZM93801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVVSFAVERLGELLISEIKHLHGVSDKVKEIQRELKRMQCFLKEADKKQNQDERVKNWVAEMRELAFRIEDVIETFAIQVPTKTQKSGFKKMLRRFACMLSEGVSRHNISSEIDAINDEMANLRTSLPSYGITKGLQEGETSNLTSKRIFYSHIVEKDFVGMEKELKQLICSLKRDDKGSEVVSICGMGGQGKTTLAQKLYNHVEVKAHFQKLAWVCVTQQFDREKILKEALKQLISDTRKQEVINMDNGELVRELYQVQKECNCLVVLDDIWAMDSWRLLRDAFPVGESTSGSKVLLTTRNETVAELGLVHRIQDLTTEEGWQLLSKKAGISDILPASRMEILGKSMVEKCKHLPLAITSIGGILNGKLLRDWETINKDISFYLLQGEGSVSKDDRYYTVRQVLGLSYDSLPPHLRHCFLCFANFNEDEVIKTQKLYTLWMAEGLISVEHKSERKMLLDIAESFMDELAHRSLVQVKKRENEDKSWSKYKTCSVHDLIRDFCLSKAMEENFIKVVHSQQPLSKDELRASIARRLCVNSYDESMLKLCDQDMISHVRSLFIVKGIPGCSKGFFLWPDKVLSLQKFKLLRILTVKQFELSHHDMKMISELVYLKCLSLHGCKVEELPFSLSKLRNLEILDLAGSQVGKMANVLCKLKRLKYLYLPQMFQVEKLRFEGLDELEVIHKFDSDYCDISDLIGLKKLKVLRAVLCTEKDTVSGKSVLDYIESRELRQSEIFIGSKDEFCLKSWLECIFINVLVMYGPICRFPNPTSLSSSCLSYLMLSGCGMEEDPMMFLEKLPNLRKLGLLRDAYLGSEMACTAKGFAKLQVLYMYSLSGLKQCRVEQGAMPNLSFLEIDCCVELEMLPEGLSCLAALESLRIRNMPGAFIERINGIGGAEGVDMYKVSQVSNIEVF >KZM93756 pep chromosome:ASM162521v1:5:10495663:10499759:-1 gene:DCAR_017001 transcript:KZM93756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAENGDTDKSKIEGYQVIEQIGRGAFGATFLVLHKNENRRYILKKIRFVNQTEKFIDAAHQEINLISRLNHPYVVEYKDAWVEGSSVCIVTGCCEGGDMAKFVRKARGAYFPEEKLCKWLAQVLLALDYLHSNRVLHRDLKCSNIFLTKSGDIRLGDFGLAKLLQSEELASSVVGTPNYMCPELLTDIPYGYKSDIWYLGCCMFEIAAHQPPFRASDMTGLINKINRSSFSPLPIVYSSTLKQIIKSMLRKSPEHRPTAAELLRHPHLQPYLLQCHNPSSVFLPVKSPNSTKEKIKRSPTRKPSCSKGIIQREVRVLNDMEPLPLYEENADVLLANPAKYDNLETKRVDPTSYSAKISVSNEDSRSEVTSFATTICNGDEQESLHFLTPKESTETQSSIAGTSSQHGEQEESINGNNPQDQESGSERETETEIEALCNQCVKVEWYTKKENYILENHSTMTMSNAGCSNKGASIAEENLSSTAHIFEADAESRLNVDSSVEVPLDNLLPESNRRTNVVEAETGCKSMQTPRADTQVILEQVSDTSVRITTSAIGDNDGKTGWANPTQQRADALESLLELCARLLKQNKLDELAGVLNPFGEDAVSSRETAIWLTKSLMTAQK >KZM94401 pep chromosome:ASM162521v1:5:22019832:22022830:1 gene:DCAR_017644 transcript:KZM94401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLFLHKPSLLPRSLSSISVRPSNKNTSVVFSPCHCSQSSSESTHETHASSLQLPGRRALVGSFLAATAAGIYICDEAGAASTSRRALRGAKIPESEYTTLPNGLKYYDLKVGNGAEAVQGSRVAVHYVAKWKSITFMTSRQGMGIGGGTPYGFDVGQSERGNVLKGLDLGVKGMKVGGQVRPVAQRLLIVPPELAYGSKGVQEIPPNATIELDVELLSIKQSPFGYEIRSLLLKLLKDDTSFKSCNWHDELGLRIIRWLYGGFQHKVLKLGSAKRC >KZM94785 pep chromosome:ASM162521v1:5:25875851:25877530:1 gene:DCAR_018027 transcript:KZM94785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKCNKTAYALSVFNTSVHGHNVYNYNAIIAGLIDNKMATQAFQYFLEMRVIDYIVPDNFTFPCAIKACCDVIELKKIHGLLVKFGLELDLYVGSALVHCYLKFGFVESAEEVFEEMSVRDVVLWNAMIIGFSQIGQFDKVFEVFGRMKNQGVVPSRFTITGILPVVGMKEGIDNGRALHGFVVKMGYDSAVAVSNAFIDLYGKCKCVDDAVRIFETMHEKDIFSWNSVICVHEQRGDYYGTLRFLKRMVFAGMQPDLVTVTTALPACAHLAALRIGSAIHGFIVTNGLVKHKDSKALDNLHIDNAIMYMYAKCGSLSVARLIFDKMGNKDTASWNIMIMGYGMQGFGTKALDTFSRMCEAQMKPNDATFVGILSACSHGGLLSQGRMFLDHMQPIYGVVPTIEHYSCVIDMLGRAGQLEEAYKLLITMPVKGNPVVWRAFLAACRLHGNADLAGIAANIIYKFEPFHCGSYVLISNVYGAEGRYEDVSEIRHTMREHNLKKTPGCSWIELSNGVHVFVTGDRTHAEDYHIYAALKHLTSSLRDYKDVPGMLHCIFKK >KZM93828 pep chromosome:ASM162521v1:5:11229599:11232176:1 gene:DCAR_017073 transcript:KZM93828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLCASESLFLWIRCAKKLNYKKQKEKEKLKRNEKEVLQRKRRGPSNCRERSESDDDIPTDYKGNKDRKKVMKTSTSLDDQKADETENFDEFLEGMFL >KZM95825 pep chromosome:ASM162521v1:5:35906749:35912576:-1 gene:DCAR_019067 transcript:KZM95825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFTCILFSLLATLSPLFIQASTFNLTLPHPDPEAVALQVQRRILASTISKAQQSCATGNPIDDCWKCDPNWGNNRQRLAECGIGFGQAAGGGKGGQIYVVTDSSDHDVANPTPGTLRHAVIQTEPLWIVFAANMQIKLKHELIVNSYKTIDGRGANVYITGSGCITLQYVTKRVDTEEGDWAGWNWRTDGDVLENGAYFVPSGQGLSNQYTKAASVEAKSAAMIDQLTSTAGVLGGPRDNGDRISYSGGTTTGASSSGGGGSGGKIGPEATLG >KZM93085 pep chromosome:ASM162521v1:5:2260902:2264476:-1 gene:DCAR_016330 transcript:KZM93085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEARRRQMCLSYVLLLAISSLACVLASDADTIFYEPFDEEFSGRWIVSEKDEYQGAWKHSKSEGHEDYGLLVSEPARKYAIVKELEEPVNLKDGTVVLQFETRLQNGLECGDATKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIEDPEASKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKKPMKSNPAYKGKWHAPLIDNPSYKGIWKPQQIPNPIYFELDRPDYEPIAAIGIEIWTMQDGILFDNILIASDEKVAESYRQTKWKPKFDVEKEKQKAEEEASSASDGLKGIQKKVFELLYKIADIPFLQNHKFKILDAIEKAEKQPNLTIGVLVSIVVVFFSVLLKLLFGGKKPTKVTAEVKKTDAAEPSSIQESDEKKDDSDDTAAPRRRTVRRDD >KZM94108 pep chromosome:ASM162521v1:5:15778514:15779164:-1 gene:DCAR_017353 transcript:KZM94108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWEKIQAVVFLELGGGEARMSKKHWHGSVGDGRYRNRIWVNINIDDAPTFVDTRAIGIGGVIIRNNKGEFLRAMCKQAGERIMVT >KZM96472 pep chromosome:ASM162521v1:5:41212096:41216134:1 gene:DCAR_019714 transcript:KZM96472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGTEYVRACALQLEGNWQKQTCSNSTTIEDILLKADIIYAMMAQRVQSDLKQDVVSPTHSKNSTLQHLKASDHDILLKLQREYMISTVNGTEYLKASALQLEGNWKNHGTYIELYESSQFKVSGECELKAEEGPYVGHFLVGLARDHNMGKVKTKKSPFEEENEEDWTNHAPGMLAPISMGICDDEYVKFSLASAEPYWKMQKGLNIYGVVFTPLKKK >KZM92913 pep chromosome:ASM162521v1:5:731342:735474:1 gene:DCAR_016158 transcript:KZM92913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLIVDLMPVFAILFSLPVLGFAGFSIPSLEAASLLNHLSIPTPPINSYRTSGLNQFSRRNAPIRRNFRPSSSPLVTSLAPAIPPSHKHAGKLAHYSSPPPLFSYFKHHHARKKFKDLVTRPFDRIDPPTSSQQGIGPSISPLSSASTPISWSGFSPAPVSTPLIEPVPMPSPEISPSSSSIEKKKTSPPSSVMALPPPPPNEECTSVTCTKPFTYTPGGSPCGCVLPVEVRLDLSVELYTFIPLVTELAKEIAFGLSLNQSQVRIIGANEAIQQQGKTMVLINLVPVKENFAPSTALVIYKRFWTRQVALNASQFGSYKVIDVHYPGLPSSPPSGHSAAANIYSGLYPGEGNGRMPLKPLGIGVPRRKKAGNGGSKIAVIVLSSVTAFAVCLGVLWILLLKCGWCICNPQSNPHVPIPSQRKLSGTARSIMFGSRPGSASMSRSSNALAYKGIAKIFSSSDLMRATDNFDASRILGEGGFGLVYSGILDDQRRVAVKVLKRDDQQGGREFLAEVDMLSRLHHRNLVKLIGICTEIHCRSLVYELIPNGSVESHLHGIDKEIAPLDWCARIKIALGSARGRKPVDLSQPPGQENLVSWVRPLLTDREALETIIDPYLKPNMQSDSVSKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEINEDPVLPNCSYEDFSAGKYSRASSDLVESQGIHYPGYNSILDSHKIPLSNSKPKYPSSAGFEDQESGSKKRISNSTSLRVLMRGFSKGCSSEHETSAK >KZM93956 pep chromosome:ASM162521v1:5:12790369:12790782:1 gene:DCAR_017201 transcript:KZM93956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLTKFWFGDEHEEEVDEHEEEVKQLFAEMDVCLKELEEEEGHTREELMAIFENYIKELQELDEEGEKEEKKKILNSG >KZM95319 pep chromosome:ASM162521v1:5:31129975:31130157:1 gene:DCAR_018561 transcript:KZM95319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRVSIGVPWVQELGEKQLKAVPSRYVHSEFLSTKQFHAVVTVSGTGVLLCESETTTRS >KZM95784 pep chromosome:ASM162521v1:5:35520811:35522121:-1 gene:DCAR_019026 transcript:KZM95784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWADLPKDLLSVIFQDLANNNCHLPDLCQCLYVCSLWRRVAKELCQCSAARAAPWLLIPREQPDKLVFDTSLNNSHNKTSIVFDNSSSDIFSLGLPIGEDQSSFTDQKVIVYASEAGWLLLGLEFKSSSSNLEQPVFLYNPMLKVLIKLPPLPSRLKLGRYTQFAMSETSPRCIICLRIDMTLLAFCKPSEGQELLSSSWVLSEKPKSSKFYVVSMIFHKEIFYTMDDDCALYVHPGITDFMNDSSRTRAWPWPLAQNRVALSTYKKNVHPRYFRLVESINGDVLMVERISEEKYRHTISFNVYKLTVRGSYKRKNCGSDKSNCYYYWKEVNKLEENEALYLGWNDSVSISVNVSDSNNINTYKPNCIYFFDEELDGKLCSYCVFNLENNSIQHAVLLDSDEYDTDTDTDTDTENEAAAVPKFCRLFTPSIPGYY >KZM93774 pep chromosome:ASM162521v1:5:10625776:10626358:-1 gene:DCAR_017019 transcript:KZM93774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRYRISVKAEDATGEVQVILGDREVRTLILKRARQLLEEHAGSGDMPQCLKTLAGKDYSVVLNIKEMNISKSFHVYWASNICNGFIRWGEKNRTVDQENTTSTQNQPTTSTNTGQTTTSTYTGQGISDLDLAST >KZM96107 pep chromosome:ASM162521v1:5:38330349:38339823:1 gene:DCAR_019349 transcript:KZM96107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSASECSSGCESGWTAYLEQSSRPAYDETRKSGKSKKAGLQEDKDLSMVSDASSGPRLDHNNDVEEGDDSFRYNSYYVSASEQGKTRKPVKNSHLDDTASSPVSSSPKASSIKHDKRPSYLAQGLEVMCVQSVPLKVMISGAPASGKGTQCELIVKKFGLVHISTGDLLRFEVSAGTEIGRKAKEYMNAGRLVPDDIVTAMVTGRLSQKDAQEKGWLLDGYPRSFAQAQSLEKLQIRPDIYIALDVPDDILIDRCVGRRLDPLTGKIYHITNFPPENEEVKSRLLTRPDDTEEKARSRLQIYKQNVDAILSTYISILKKLDGNRSKEVVFEEIDSLLTQVQKEKSDQTKIAFRGDTYSERASPPKNNWRGIPTRLNNIPHSREIREYFYDDVLQATQRAVTAGKTRLKVEINIPELNPEMDVYRIGTLMELVRVLALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRKILEFMDWGEYGAMGTFISIGAIGAKEVAEEDDLFILVAPQNAVGNCIIGDLQAMTDAAGKRPVILINPKLKDLPASSGIMQTMGRDKRLEYAALFENCYLLRLLYYAGTQYPIVGVLRMSYPSPYELFRRVSEPETPQKEKYVLISSFAERPDGDDINYALEGKTREQAKKEAGVW >KZM95895 pep chromosome:ASM162521v1:5:36563464:36571429:1 gene:DCAR_019137 transcript:KZM95895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLDFLFVNGVVSPPSLTPSVATLLETHPGAYTTSRTHNNGSVLLFWERHLNRLANSARILLNSKPELLFEIGESRASFSGKFSNWDSAMKSLVNDLMRTALPVAVEDMKVGEELAVTTLVSGNMENWRRNEGLDGEEMFREVFDVYIRVGLYVPLEFGLRENGAHLAAVGPGRAVANAKYSEWVRVRKHLEKLRPPSATELILSNDGDHMLEGCLTNFFVVCLRDGSEDDAKAEEDLTVYEVQTAPISDGVLPGVIRQIIIEACLKHGIPFREVAPSWSRHEQWEEAFITNSLRLLQHVETIRVPCSWSSLESKSWKDVNWEEKQFKEGPGTITSVLQVMADGSSSPAGGSHESGGDQSPRSNVREQDRFLPIANISRIMKKALPANGKIAKDAKDTVQECVSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKAYLARYREGDTKGSARGGEGSAKKDPIGSQLSSQQYAHQGMGYVNSQV >KZM95451 pep chromosome:ASM162521v1:5:32254834:32255031:-1 gene:DCAR_018693 transcript:KZM95451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSEYLKNLQIIEENEKLRQQAQQLMKENQALRMEFMQKICACCSTSKKPVADDKSKDKSSNK >KZM96136 pep chromosome:ASM162521v1:5:38552958:38559791:1 gene:DCAR_019378 transcript:KZM96136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFRGLSRISRSSYNQCRFIYSLPRHHHPLQQQLSHGHSNQFVSHFDSNRKEQVDPFSIVADELSILAERLRSMVVAEVPKLASAAEYFFKLGVEGKRFRPTVLLLMATALNLPISAPVLDNGVHMLSTELRTRQQCIAEITEMIHVASLLHDDVLDDADTRRGIGSLNAVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLATVVEHLVTGETMQMTTTSEQRLSMEYYLQKTYYKTASLISNSCKAIALLAGQTAEVSVLAFDYGKNLGLAFQLIDDVLDFTGTSSSLGKGSLSDIRHGIVTAPILFAIEEFPELRPIVDRGFDKPGDVDLALDYLGRSHGIQRTRELAQKHANLASSAIDSLPDNDDEDVQISRRALVELTQRVITRNK >KZM96552 pep chromosome:ASM162521v1:5:41779773:41781438:-1 gene:DCAR_019794 transcript:KZM96552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTKSSRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGVFPRHDAQPKPEAPAVKPPKFYPADDVKKPLLNKRKPRPTKLRATITPGTVLIILAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIATSTKVNIDGVNVEKFDDEYFAKEVEKKNKKGEGEFFEAEKDEKKTLPQGKKDDQQAVDAVLLKSIECVPDLKTYLSARFSLMSGMKPHELVF >KZM96537 pep chromosome:ASM162521v1:5:41701393:41704714:-1 gene:DCAR_019779 transcript:KZM96537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKQTVRRSHEVTTSTSIAGDQKAVTFKPKSKPKTQQKCRTTSRSSSSSALSSLILNTNVLNKSLSSTTMMMTLKPNFFRVDALELAPRLLGKYLRKDDVVLQITEVEAYRSTDSACHGRFGITSRTAPMFGPGGHAYVYLCYGIHSMLNIVADKEGVGAAVLIRSCAPVSGLETIQQRRGLKTDKPVLLTGPGKDQVFPDVNPVHYHSFLEVIIQVMLVELAYQDIGQALAISTEWSSHPLFEPGGLEVLDGPEPEEILIGPRVGIDYALPEHVNALWRFAIAGTLWISAPKNTLRPP >KZM96547 pep chromosome:ASM162521v1:5:41742221:41742889:-1 gene:DCAR_019789 transcript:KZM96547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCPYGEGCHFLHYYPGGYNAVAQVMNLEPIPAAQTASNGSSPAVKSRLCNNYNTADGCKFGDQCHFAHGEWELGRPIPQSNEDARDIGIIPGRFGGRIEQSPAYGAMPGRFGGRIEHPVAPASGALSGRFGGRTEQPPVAPASTFGASATAKISVDGSLAGAIIGKGGMNSKQICREXELNLLSEITQPTQILKTSNLREHLNKLVKRAFGLETLLKALA >KZM96322 pep chromosome:ASM162521v1:5:40105043:40105672:1 gene:DCAR_019564 transcript:KZM96322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEDFSLPETSISQDDHNLDFNFCRMIEPGESPDSPADHLFSNGRLVPHDFPRSSSKTLSLSSRSTSWGSSRDGFESSSSSRSNSCSSSQSRSSSISSSTTSISHAGTEKRISIRARRPRSGAIKSAPETYKMHKNSPNFSHGSRKWQFIAAAPVLNGNVTERNKAKSAEKSKAKKEGKSKGRWGRFLCLFVACSEFHAIESSSSREC >KZM95633 pep chromosome:ASM162521v1:5:34031220:34038005:-1 gene:DCAR_018875 transcript:KZM95633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPDWPELPECPVCLQYYDGACTIPRVLPCGHSVCEACVSQLPNPFPQTIRCPACTQLVKYPQNVSSLPKNIDLLRLSSLLQNPEKPISPKPKKAIKELSEKDGAFMPNLWSREFYNSWKDWILSEDCVVIDEFGGGGGVLRGKVGAFEGFLGMPSKWLMKENDVVGLVKVGGLLNDDDGEVVYSYVGKIMRVLCGMSDSERDELGLILGSSLKWSRVCKVFGLWYNKDDQGVYVVCESHGCKVLDKLDGWEKGFSDEDDEDVGLRRDAILGVLMIGLEICEAVMGLHSEGLSFGSLGLSCFSFDCFGHACVEILEVMTMGASLNKMFASALSSKHKNDREELEIIMNRFLFEGFTFVSPELFVELLRRGGANVGPGFQRYAVGYGSDVWSLACVVICFLVGKPFIEELHNYICCLILFFNDKENVNCEVMYKGWLDKVKTFLDTRLKLESMSLKDLLIRCLAFNSEMRPDVVDIWKCIRSMLVDPEFHMVVSLRQTMTKGNMNHCLVLGKICHLIYTIKEVPENQIISVVQGKCEVLEQVTNLRIDRDLTADLPEGHVKSIDLHGHLDCITGLAVGGGFLFSSSFDKAVHLWSLEDFTHIHSFKGHEHKVMAVAFVDEEQPLCISGDNGGGICIWEISIPFGEEPIKKLVAEKDWRYSGIHALTVSGSGYFYTGNGDRSIKAWSMQNYTLACTLTGHKSVVSTLAVCHGVLYSGSWDGTVRLWCLSDHSPLTVLGEDSPGNMASVLSLSADQNMLVAAYENGHVKVWRDNLLVKSTAAQEGAVFSVCMKAMLIFTGGWSKTVTIQQVQGDNNLTDVIPIGSIACNSVVTALSYWQGKLFVGQADRTIKV >KZM93977 pep chromosome:ASM162521v1:5:13161974:13163689:-1 gene:DCAR_017222 transcript:KZM93977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSDENLLPAVYKEVSETFGAGPSDLGYLTFIRNFVQGLASPLAGILVINYDRPAVLASGTLCWALSTAAVGSSQYFRQIAFWRAVNGFGLAIVIPALQSFIADSYMDGVRGAGFGFLNLVGTVGGIGGGVLATVMAGHEFLGVPGWRCAFIMMASLSALIGILVFLFVVDPRKTSTNNTTGESSDRDALVERGTTTPTSVWLESWTATKAVLKVQTFQIIVLQGLVGSLPWTAMVFFTLWFQLIGFDHNSAATLLSLFAAGCAIGSFMGGIIADRMTQKFPHSGRIMCAQFSAGMGIPFTWFLLRVVPQSVSSYYLFAVTLLLMGLTISWNATAANGPMFAEVVPAKHRTMIYAFDRAFEGSFSSFAAPIVGILAEQIYGYNPKSVDPKTGSIPEAIALSKGLFSMMAVPFGLCCLFYTPLYWFFRRDREAARLASMKELEMI >KZM94495 pep chromosome:ASM162521v1:5:23009566:23012232:1 gene:DCAR_017738 transcript:KZM94495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMECTGLVADKFAVLLAYEETLSNSLNIPTKFSSKYSNLLHKDMELKLRNGYTLPVEIDLVNSQMRGVKCFFKHLELRGGELILFEYFGRSKFNVYIIGSNGSEIQYPEIAGGLPAIVTIGDAGWRFVIGRSEVDPIIDEIDPPAAFIARCGFALPERIIFVLCNGKRFVGAYNSDTGRLSGFSSMLQIIGKDDLNAVKSFLFTYDGTKFVSICAFDCENAEIVFPGTPVCMDADGSYPIIGKWFRITVESKHMFDDSFMVDPPAAFIARCGFALPEGIIFVLCNGKRFVGAYNSDTGRLSGFSSMLQIIGKDDLNAVKSFLFTYDGTKFVSICAFDCENAEIVFPGTPVCMDADGSYPIIGKWFRITVESKHMFDDSFMVEISNEFSDLCLQWEAFQCINVYSGNGCWRLLIRKRENHYCYTIEDGWQRLRDDLRLNVGNVCIFRCPRQCYDQFQILVARANDEE >KZM94844 pep chromosome:ASM162521v1:5:26403740:26411292:1 gene:DCAR_018086 transcript:KZM94844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNEFRFFLSCDINLPVTFRIDKLEGKFSSKSSPNSANENGTVEDRKGEVYVECALYIDGAPFGLPMRTRLESPGPSYCWNELITLSTKYRDLTANSQLTLTVWDVSCEKNEGLIGGATIHLFNMKKQLKTGRHKLRLWQGKEADGSIQTTTPGKVPKEERGEVERLEKLVNKYERGQIQRVDWLDRLAFKAMDKIKERENSKNGSSHLYVVVDFSSFEHRVVFQESGANFLIPSPLASTNELVTVWDPEVGRINPSEHKQLKLARSLTRGIIDRDLKPSSTERRSIQRIVKYPPTRTLNGDERHLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIDLMGRWEMIDVCDALELLSPVFESEEVRAYAVRVLERADDEELHCYLLQLVQALRFERSDKSRLSHFLVQRSLRNIELASFLRWYVAVELHDPTYAKRFYCTYEILEENMMKLGGNEDEDGYKLWQSLVRQTELTAQLCSIMRDVRNVRGGTQKKIEKLRHLLSGLLSELTYFEEPIRSPLAPSILIAGIIPSESSIFKSALHPLRLAFRTTDCGSCKIIFKKGDDLRQDQLVIQMVSLMDRLLKLENLDLHLTPYRVLATGHDEGMLEFIPSKSLAQILSEHRSIISYLQKFHPDEEGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEECIHFFQDLINESVSALFPQMVETIHRWAQYWR >KZM95607 pep chromosome:ASM162521v1:5:33815597:33816871:-1 gene:DCAR_018849 transcript:KZM95607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSAKVPLLAGLRDPATPINQVVPPRRRFRHSTICFYLVRDHIKGLKTNGILDSVYFCIVTMTTVGYGDLVPDSTPSKLLACVFVFSGMALICLALSSAADYLVEKQEFLLVRALHINQKVGELDILKEIETNRVRYKCFMVLSALVVLIVAGTAFLATVEKLDLIDAFYCVCSTITTLGYGDKSFSTAAGRIFAIFWILMSTICLGQLFFCIAEVNTEIRQRALVKLVLAKKVTNVDLEAADIDDDGVVGAAEFIIHRLKEMGKICQEDITAIMEQFEDLDVDQSGTLSPSDILLAQSSYTGN >KZM94141 pep chromosome:ASM162521v1:5:17034803:17036084:-1 gene:DCAR_017386 transcript:KZM94141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLPLPFFALLVSLGINISECARTFTLVNKCNETIWPAITPAEGFGSGGFTLKPTGSRVLQASVGWSGQIWGRTGCHFDKNGKGMCQTGRCGKSLHCKATGKTPATFAEFTLSTPDFYDVSLVDGFNLPISVKAIHGKGDCSSAGCIGDLRASCPKELAVRANDQIVGCRSACQVFKTDQYCCRGNYGNAATCQPTFYSKKFKEVCPAAYTYAYDDPSSIFTCSGPDYIITFCSSK >KZM96464 pep chromosome:ASM162521v1:5:41154629:41155042:-1 gene:DCAR_019706 transcript:KZM96464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQKGDKISGTSSIALLQERFRHLQRMREKREEKEHHRLIYPEPDNTFTMPGSVPFEQSNINFHKDPNFPSRSSQQEASPLHLGLELHNKHANSTSFKNTASLNLWSNNAALPASTTAMSPSYNYDKWDVDTSLHL >KZM93548 pep chromosome:ASM162521v1:5:7663416:7663982:1 gene:DCAR_016793 transcript:KZM93548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHLHPHPRRFLTTTDSPPDSTSPESAASDFIVILAALLCAIVCLLGLIAVARCAWIRRFSGRITGNSPPLPHLHPNKGLTNKILKKIPKITYSADDAGKYFDCAICLTEFVAGDVLRALPQCGHGFHAGCIDTWLKSHSSCPSCRKILVDSSCRKCSQLTAAEMEITVAGVDTAAPRRDDTYRFLP >KZM95493 pep chromosome:ASM162521v1:5:32628584:32632867:-1 gene:DCAR_018735 transcript:KZM95493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGNSKSDISLPGTFASSAFAACFAEICTIPLDTAKVRLQLQKKALVGDGVVLPKYNGMLGTVGTIAREEGLSALWKGIVPGLHRQCLFGGLRIGLYEPVKNLYVGENFVGDVPLTKKILAGLTTGALAIAVANPTDLVKVRLQAEGKLAPGVPRRYSGALNAYSTIAKQEGVRALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFTDNVLTHILSGLGAGFVAVCVGSPVDVVKSRMMGDSTAYKNTLDCFVKTLKNDGPFAFYKGFIPNFGRLGSWNVIMFLTLEQAKKLVKSIESA >KZM95879 pep chromosome:ASM162521v1:5:36460179:36463429:-1 gene:DCAR_019121 transcript:KZM95879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTIILLCYTATYILINSLAADKITRYQTFRDGDTIISAGGEFELGFFSPGSSTNRYLGIWYKKISEGTVVWVANRDRPLTDSSGSVLVDGNGITILQSVNGTNGIIWTANTSNYIKNPVVQLLDSGNLVLMSEDLEGFVWQSFDYPANTLLPGMKIGIDLVTGIERYYTSWKTDNDPSIGRFSQRLDPSGFPQFFWMKGSVIWSRTGPWNGYKFNGNPNSSPNGIYKDTFVFNEKEVYYKFDLTNRTSAVMRYIMTTAGVTQLLVWNDQLQQWSIYLSLQNTDCGRYGSCGAYGICDIKNSPRCGCLRGFVPKFPEKWKAADWSDGCVRKTRLVCGTKEGFVKYSGVKLPDTRHIRYDLKINLKECENLCLKNCSCTAYANAYVTRGGQGCLLWFSDLIDIGDYKEDGQDIYVKMSASEMGESWRSGSKRQIQFIVIPAALVVTLLGAWLSYKGDKLLQLIDGVILDSSNHIEVFRVIQIGLLCVQNDPKDRPVMSQVVLMLSSNMKLPHPKQPGFFMERYLLEADYSLSSPNLSSSNQFTITTLLPRQ >KZM93560 pep chromosome:ASM162521v1:5:7839514:7850595:1 gene:DCAR_016805 transcript:KZM93560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSRAQLNKPHKTRFASKATRNVHRTSLKDKKISKPDRNVSKGARAARLQRNKMDFDVCVGEGAETRCCVEGEAVLFGLSASANLDSLAEDFVHLLSNGKTGTDLTVSSSEYKLRTTVLRAPHGDLLACMEMAKVADLIAFVASAHSSPEVESSSYYIDSFGAQCLSVFRALGLPSTVVLIRGLPTELKKRNELKKICASTLASEFPEDCKFYPADTKDDLHKFMWLFKEQRLSPPHWRNHRPYLMAQKVDCIATDSDAEKCTLLLTGYLRARSLSVNQLVHISGAGDYQLCKVDFLQDPCPLNLRKEADIMDSDELHSVISSLVPDPMKQEPLLVENLPDSLAGEQTWPTEAEMAEADKIHMERKLKKRTLPRGTSEYQAAWIIDDSDVDNSDVDNSNSDVDADDDMVLDEENTSIHGQDMLETFDDDDNKTFVSLNDRESDQESEVDSVMMEGEMTKEQIEEEIRRIKEAHAEDEEYPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFTFDNFTRTQKHVLAKALEKEDGNKDECIPASSYVRLHIKEVPLSVASKLCDRSKITDAVVAARILNATLVVPMLDTRSFWKDSSNFSQIFDVDWFISYLANDVKIIKELPLKEGETWDPYHMRVPRKCTESCYVDRVLPQLLKRHAVLLTKFDYRLSNRLETDLQKLRCRVNYHALKYTDPIIKMGEKLVMRMRSMNTHYIALHQRFEPDMLAFSGCYYGGGERERNELGKIRRRWKTLHIRDPDKVRRLGRCPLTPEEEELEPFAPFSSRMAALDYIVCDESNVFVTNNNGNMAKILAGRRRYFGHKPTIRPNNKKLSMLFMNRENMTWDEFASGVRKRQKGFMGEPKELSPGKGEFHENPSTCICEDITGKEVAESNLRKFGMGKSSTWADLNATVDDESNDNETYLPDQEEVEDDTSGSQENSQLNKTQTDINASTVAEPELEHMLSD >KZM93676 pep chromosome:ASM162521v1:5:9144971:9145492:-1 gene:DCAR_016921 transcript:KZM93676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSMLAKALIAVFLVSLLALLAEILFVVWRRRVSHQQDLSLTASDHDHGGTIFCCSKTQSRVEPITGGSDEAYEVQDDSVDALKLRDMSRLLFTIREEEEEGKEDLESQKSVSSATSICERKYLSNGFEGTATLSELVLTIDDENDDNFSTPCASPSYFSPFASPSHSGHS >KZM95078 pep chromosome:ASM162521v1:5:28422527:28428665:-1 gene:DCAR_018320 transcript:KZM95078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSLLLVALFSILLTNAAAHPRHQAPKHFASHNYKDALTKSILYFEGQRSGKLPSNQRVTWRKDSGLSDGSAMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKSELQNAREAIKWSTDYLLKATAQPDTIYVQVGDANKDHACWERPEDMDTPRTVVKIDKNNPGTEVAAETAAALAAASLVFRRTDRTYSKFLATRAIRVFEFANKYRGAYSNTLKKFVCPFYCDFSGYQDELLWGAAWLHKATKNPMYLNYIQQNGLTLGADEGDNTFGWDNKHVGARILLSKAFLVQKVQSLHDYKGQSDNFICSLIPQSQYTPGGLLFKMDDSNMQYVTSTSFLLVTYAKYLTTSQKVVNCGGSIVTPRKLRALAKKQVDYLLGDNPLKMSYMVGYGPRYPQRIHHRGSSLPSIASHPSKIQCTAGFSVMKSQSPNPNIHIGAVVGGPDGKDRFPDQRSDYFQSEPATYINAPLATVGELGCLTVEHEKEVQQMNISVEAKPFHHFKDPLPVKLGLAGAPVAPGGEAVFVITNYGAKPDGKSDSSMSFQKAWKDACNSNGPARVVVPAGTFKTGEIIFTGPCTAAPITFEVQGTMLGSEDVSMYSQNQWITIEHVNNVIVTGPGTLDGLGASTWKLKDDSFGGGVRLPDSLVFFASNNSVAQNFASVNSKGFHIKVLQSVNVTVQNVTITAPGDSPNTDGVHVSRSENVNVLDSTIGTGDDCVSIGAGNTNVTVARIVCGPGHGLSVGSLGKWQDETDLRGVTVTNCTLTNTTNGARIKSYRQSPSLSASGIIFDDLVMNGVHNPIIIDQNYDSMNLQQGSNVKLSDIHFRNIRGTSLTNVAVTLNCSSTFPCEGVELVDIDLSYAGAPSQDSLPVQSACQNVKPTVSGIMNPPACPAR >KZM96377 pep chromosome:ASM162521v1:5:40578598:40580991:1 gene:DCAR_019619 transcript:KZM96377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNRGGPPFAMKGAPHAGLPPGHEPPYGRNLGPVPHPALLDEMRESQYRMDHRQLPPHPAIIEERLAAQHRDIQALLADNQRFAATHVALKQELEVAQHELQRTDHVARAMHVEKDMQMRELVENAVKLEQELHGVDAMRAELMKVHADIKDLSADRQKLTAQVQAMTQDLGRATAELQQIPAVKAEIEGLKQELQRARTTIEYEKKGYAENYEHGQMMEKKLVSMARELEKLRAEMANAEKRARAAAAVGNPGAGYNGGYVNPEAGYATNAYHASYGMNPMNPMNPVQASGEGYSQYGPGPGQWGAYDAQRAQGHR >KZM93073 pep chromosome:ASM162521v1:5:2141955:2143643:1 gene:DCAR_016318 transcript:KZM93073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKETEVYLKLVIDRNKKKVVFAEANSDFVDILFSFLTLPMGTIVRILANRSDPSSRKANIGSFNNLYASVSNLDTMYFVTKECRDVLLSTRSSAEVQCRKLMINIDDVKPTQYFVCDLCIDKYKSGSYASLYVSTCSTVPCHHCQNFLKRAIDFDDDGESVFVAKTSSFVISDDLHVIPNNPTSTLGILKSCGIKDFAALEEINTLKLGSSEIFHLLECSFFSKNALSDFYFGKKLKSVEVPTIEPSLRTECTGGAKKITFKVYVQKSTNKILLAHCSEDFVNFLLSLLTVPLGKVISLFPGDHESLNIEHIHQSVTKLNVGEYLKSQQLKDMLLYPKLLSQYFCPSHIFPLEEQNSLYKYLKRTSTAGGRDFYSISLDSTQSYKYLSDISPISKIGGGFVRGPTKFMVTDDLVVTPLSSMSCFAYLQELKVPLNDIEEQEIHFGMNEAVNLLWASLTSTSALSNGLKFFILKNPKQETSVNKPSAERSGVKLEQGHF >KZM93664 pep chromosome:ASM162521v1:5:9057787:9061497:1 gene:DCAR_016909 transcript:KZM93664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIKGSARLMIVSDLDHTMVDHHDPENLSLLRFNALWEAKYREDCLLVFSTGRSLTLYKELRKEKPMLTPDVTIMSVGTEITYGNSMIPDDGWVEILNQKWDKKIVLEEASKYPELTLQPETEQRPHKVSFYVQKDKAQEVTKALSESFIKHGLDVKIIYSGGIDLDILPQGAGKGQALAYLLKKLRSKGKLPKNTLACGDSGNDAELFSIPEIYGVMVSNAQEELLQWHAANAKDNPNIIHATERCAGGIIEAIGHFSLGPNISPRDVTDLSDTSLEKFDPAYEVVKFYLFFERWRRAEAEKSEIYLSNLKGVCAPSGFYVHPSGFEKPLHDCVDALRQCHGDKQGKQFRVWVDQVFSTQVSSHIWIVRFKKWEISGGEQSGCLTTALLSSKDVSVAEGLTWLQVHQTWLTGATPGDQSLWRF >KZM94788 pep chromosome:ASM162521v1:5:25927141:25929195:1 gene:DCAR_018030 transcript:KZM94788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCISGGGRTYGFDLDIVKSTSTCSRTSHSSSPSSTLSESSNSPIAISHRKPRTPRKRPNQTYNEAAVLLSTAYPKIFSTKHLTKPCKSSKLCNTFLDEPPELLLPFRTIDNSGFLLQQPILEKPIVGIEPKVVNSCERICQSPAGSDFYGNSTEFCDEQQGDFDAESILDEEMEEGIDSIMGNLSVNNDNIVNEMTNDTCIGYPLGLGFSANFDFGFGMRRGVRALRNVDESNWWSFPTVDVVDITPKFKTITSSAAQKKKKKVEKIVELKSLNFPKDNKISAVAAAPAAEEAATLPELSAGPRLKLNYDKVMEAWSDRGSPFPDEISGSESSGNDIYARLAQIDLFSELGGVREASVQRYKEKRRNRLFSKKIRYQVRKLNADRRPRMKGRFVRRSNSDMDEES >KZM95486 pep chromosome:ASM162521v1:5:32568557:32569720:1 gene:DCAR_018728 transcript:KZM95486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALYEIKLPPAKSLPQRKRKLQQFLVDNFERGAQKLRNEQNEAKLRRGKLSQASSASCVLNMTDDNMPKRILLNSLSSGSLLTSGDNSERLPYVSSIMENAKDWYQSDKITSLLVDISGSVHDSNPVTPEYVNIEESESLHLQEGSNLSNLEETRYDCPPSRSNMIIDFLCDQFERMAVPVGHHFQADVPEWDGPSSEVYLKGDPDTSRWLGTKVWPLERRNVKATSGVTDEGKLSSSCSCASPGSANCIRHHILAKRRLLRSELGPLFHIWKFDEMGEEVSKSWTVKEQESFDLIVKRKSSSSNFIRSAMKAFPSKCKKDITSYYFNVFIPRFMSSQTRSLLKEVDVDIDDVNDVYTLNIRRSPKDRSSSGRIRKDVISKKWRHN >KZM94988 pep chromosome:ASM162521v1:5:27691937:27694533:-1 gene:DCAR_018230 transcript:KZM94988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYWYARSAEAENHRMETLRNHILPGLVVTLILLTSYVTAETHYHQFVVQAKTVQRLCKTRNIITVNGQFPGPTLDVRNGDTLVIKVLNNARYNITIHWHGIRQMRTPWADGPEFVTQCPIQPGATYTYRYTIEDQEGTLWWHAHSRWLRATVYGALIIRPKLGSPYPFPQPKIEFPVVLGEWWNSNILDTMRQAVFTGAAPNVSNAYTINGQPGDLYGCSRQDTTRLSVSPGDTILLRVINAALNQQLFFTVANHRLTVVAADAVYSKAFATNVIMVGPGQTTDVLLTADQNPGRYYMAARAYASPKNAPFDNTTTTAILEYKSASCTGRNGACSRPILPRLPAYNDTNTVTAFSTQLKSPSKAEVPLKIDENSFFTVGLGFVFCNPGPRCQGPNNTRFAASMNNVSFVLPRRTSLLQAYFQKIPGIYTTDFPPIPPVKFDYTGNVSRALWQPSFGTKLYKLKFGSSVQIVLQDTSIFSTEDHPIHLHGYHFYVVGQGFGNFNPGTDTANFNLNDPPQRNTIDVPVGGWAVIRFVADNPGVWLFHCHIDTHLTWGLGMAFEVENGVGELQTMEPPPADLPRC >KZM96480 pep chromosome:ASM162521v1:5:41271507:41281471:1 gene:DCAR_019722 transcript:KZM96480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYYEEEGEIIPDCVINYQTVDENNQPVPFSVLPLLWSEDETPAPGNSDTQILLCGTAVTGPKMYEKVIAWRPEISYALPMIYVLSKENKVWMQLRKPRRSFEDCIMSTLLLPTLLLEIKLNFEVGSQVDYEEDDSDNDDATFDSVCVLCDNGGVLLCCEDKCLRSFHPNINVGVESSCESLGYSDSQVDVFQCANADCGHFYHPNCVAELLQPCDTFKRSELQQKIHSGESFVCPAHKCNICVKGEEKTVHELQFAMCRRCPKAYHRKCLPSEITFQSDGIIDQRAWDGLLSNRVLIYCMDHEILSEIGTPKRDHIIFPNVEGKMQTTSGLLSSKEKMIKSKDMGTLTMTSPLKRSLKHAGIYCGHSTSATFTGEKVFRHANIDKSKLSVPQKTMHMPNSDKGKISDGYKNRIADKFMKVESRGQPPVDIELKLRMLKLIEDSTSSFNKEEFLKGKKTSSLYSSHARIAEDKTFTEGKVKGYVKAVQTALKILNDGGKLEDAKAVCEPQVLKQLVRWKKQLDVYLAPVLHGNRYTSYGRHFTKVDKLELIVDKLKWYVQDGDTIVDFCCGSNDFSCLMRERLHKMGKICSFRNFDLFTPKNDFNFEQKDWMTVTLEELPAGSNLIMGLNPPFGVNGNLANKFIDKALTFKPKLIILIVPSLTERLDRKRKLPYDIIWEDQCLLSGKAFYLPGSVDVNDKQLEQHNRLAPPLYLWSRPDWTAKHKQIAETHGHFTVTRDSLQMGKMDTGENHDGMYQDMEVDSPVYLPCNNADQKSSFDWSLMGSYPSHYPTGMQHRAVSSDAMWDVYHKVLPQHSDVPGAMQGIIHEVPPLHPSVGYNSVDGVHHGAFPPPCQYPTEPYYYPGWPGF >KZM94869 pep chromosome:ASM162521v1:5:26633627:26634578:1 gene:DCAR_018111 transcript:KZM94869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGIKATKKTDFKKTHTKKNILESIPDELIIDIVARVAASSSSDLFKAKLSCRTLNKFADDKYIIQRASLDDFPVVPWTPNNRKQAIFSERCADLENPEALYRRGIVGYFEKMEMSSSIDCLVRAAKSGHLEAMYVLGIIMILHGDQTKEQGMKIIVDMKTLQTKENIDIIRERFSRTLGSMWVNNTMVVGLVMPTCCTIAEHPKSSRWGKLEDSECEACSCDQEIVHLWEILPKSI >KZM96148 pep chromosome:ASM162521v1:5:38682076:38688794:1 gene:DCAR_019390 transcript:KZM96148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTKASKQSSLPELSISSPLFEKRIEFHIARKPFTGFSNSGGTFQLETLNPSTSNSSQFKSNSLVKKTDSVELKDNGFDPELSYQISFRRIGAGLMNLGNTCFLNSVLQCLTYTEPLAAYLQSGRHQLSCRTAGFCALCAIQKHVSSARQSTGRILAPKEIVSNLRYWDPTSPLHGESFDCEYMLRLCLNSTLQICNPGIFQVLQEDAHEYMVNLLESMHKCCLPSGVPSESPSAYDKSSVHKIFGGRLRSQVKCMQCSYTSDKFDPFLDLSLEIVKADSLQRALTHFTAKEFLDGGEKHYQCQQCKQKVKALKQLTIYKAPYVLTIHLKRFSSHLAGQKIDKRIHFGTTLDLKPFVTDPCDGDLKYTLYGVLVHAGWSTHSGHYYCFVRTSSGMWYSLDDNRVIQVSEKMVLEQKAYMLFYYRERRNFSSNKSFDVQKHSTNSSEKVKLSCGFNQELKETLSGQTEKKVSGSISSAAIAQSNSLVGAVPGKPVIKDAAVECMTAKKDALVEHLSSQAGVECLLQKDSSANPTITAINEKAVECANNLINASNSDNVLKSGNGDALCDSGARLPKGSDYKNSEKGLHDAVAISTNSGLLDTCSRVAPEKAFSGEKSRCIPINKSNTLTTASATALNNFQVIKQATIPEISTPPIRKHKSMQKVHEEKSNRRLKKLPRCPTMKMQLSSKFICARKKKFKRSRKGKLVSAALIQKGPVHVDSIPLDLEPSTSYQTKLSGGLDHSQRGRKNFGSKKKENSGVVNNIVPNINNDSMTNNKVEIGGRSGQNGAILACNNPTEKFPVRASAGNLLDARGPCYPKDNTKESIQNGLMSVLTRDLEETKVARWDEIGTTDYTERSDVGNGSIGHVGDEWDEEYDRGKRKKVKSFVNDFGGPNPFQEIATMRTKSKKAKMDYSSSGNKPFRI >KZM93146 pep chromosome:ASM162521v1:5:2794979:2796995:1 gene:DCAR_016391 transcript:KZM93146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSMNPQNETLITPPTYNFDINYENQIQINEPQSQQWEETARAWLCTLPEGKIISSEEIEAWIESNQACLPDYIVSMPRSELHQHLAAIYSTITRSPQENDVNQGDHSQARFQRTDQWKPVYTWLETLEDTEVVKSNDIADWLSANPDVRDDLCSRHSRYHLMHYIKKCHVKLLKRKERKKGLAITKKANTGIMSKSEGKKLPGPLPCMLLI >KZM94050 pep chromosome:ASM162521v1:5:14349258:14350373:1 gene:DCAR_017295 transcript:KZM94050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNQPNSLSQLHPNPTPLRSIQTIPVNLPPIPDLKHHSNPSKIPIRPPKARRLSSPDPSQPTIISPDPTSTNSTEITNSTTSTTTVTNSTAITTTKQTTGRGRRGKEKNQSQAPRDYPQIIKPLTAPGELENALRHLRNADPLLANLIDTHPPPAFESHHSPFLSLARSILYQQLAYKAGTSIYSRFVELCGGEEAVLPDVVLSLTAQQLKQIGVSGRKASYLYDLASKYKSGILSDETVVRMDDKSLFTMLSMVKGIGSWSVHMFMIFSLHRPDVLPISDLGVRKGIHQLFGLEELPRPSQMEQLCEKWRPYRSVGAWYMWRFVESKGAPSPRLTVAVDGEIHQQQQLEQQQHQLQLLEPINGIGNLG >KZM96286 pep chromosome:ASM162521v1:5:39802772:39803164:-1 gene:DCAR_019528 transcript:KZM96286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHPPPSPPPPPLPLLGIIGILFVYLVICYLLLDIIDESAEGEDLESREGRRNSGLSEEELQEAPCFQQTEIIIATSLCGICLDSFASKEVCRMFPDCKHVFHKHCIDLWLVRRPTCPICRTSFVGRSL >KZM94461 pep chromosome:ASM162521v1:5:22742139:22744867:1 gene:DCAR_017704 transcript:KZM94461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLADLETLANEPEVLLLDEPTSALDPISTPNIEDVLVKLKTNQEMTIIMVSHSIKQIQRVVDVKWNGLHWSYSSTDDDEDYSPVIAVGEARTKIQCAKIGLKYIYKASNINVNQVEKPCSPAQCAIQNRCNIVVPDAAVVPMIDEKAGEVPVAFVMRLNGFTTTEEEIKQFVSK >KZM95410 pep chromosome:ASM162521v1:5:31909769:31910347:1 gene:DCAR_018652 transcript:KZM95410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHARRLLVGAEQSMAPASGNYTEQKHYINEVKFDTNMVVILAVLLCALLCALGLNSIIRFVLRCTHRFGTETPQQAAARLATTGVKKRTLRKIPIAIYGSEVKLSATECPICLAEFLEGEKVRVLPKCNHGFHVKCIDTWLESHSSCPNCRHSLLERSTSRVADESSVVQPPENNSSGQQQPSALTVVTQV >KZM94182 pep chromosome:ASM162521v1:5:17997205:17999804:1 gene:DCAR_017427 transcript:KZM94182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPYGGSELAHCPSVSCTSVFLEKENVSPPQQQMKRCLKRKMFLKRHFRKENLKLLLSFLPLLDHQPKDSIKKKCFESIPQALNFDMGKDTTDNDHCMEDAGRYIEKIYRLSMKYPYDNMNAEFLDDEDPWCKERMANKAKELFLLEEPVSLXLLLCEPPQLLTVTS >KZM94996 pep chromosome:ASM162521v1:5:27778296:27779747:1 gene:DCAR_018238 transcript:KZM94996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPDEEEWVGSDEGVEIEIEKIGKNKRRIRAKIQVEASLQHVWDVLTDYEKLSDIVPGLAVNQLLEKRDKFARLFQIGEQNLAFGIKFNAKGIVDCFENDLESLPSGQRRDIEFNMIEGDFKLFQGKWSIEQRVGNQDHASHSQSPFSGYKP >KZM95058 pep chromosome:ASM162521v1:5:28276516:28277259:1 gene:DCAR_018300 transcript:KZM95058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVETRQHLLLILGVILLTEKCRQLVGGEASSKSWTERFTFWNRFDMSYGTIAWITKELLKAYLFYIRAVHVQRIRTEATKAAITEYIEQDQNLDIMAAKEKGKAAAKQAYRQLKHVTAPALSSLWDVFETLYVGGSFAEGVTRGIGTFLGAYAGGIYGEGKLNWIGFLVGSQLGSLAGSRIGLMIYDIGKGIQFCILLIFGQRNYYPSTYKEL >KZM93162 pep chromosome:ASM162521v1:5:2966413:2966580:-1 gene:DCAR_016407 transcript:KZM93162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTPKTSFFSISFKFLQNCIRHSDTNTKAESSSVAEPLKPKFQDYIQKLKTNKT >KZM94345 pep chromosome:ASM162521v1:5:21253367:21266626:-1 gene:DCAR_017588 transcript:KZM94345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKYNTNSSTPSNRIERLLRERELRKSSKASYSNETNGGGNRSSELSDADVRSHQGDGSRNSYVERYLEGALAAHALVDGFERPDGEPFRQRLLVVANRLPVSAIRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYIGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYEEDSERFIRALEVPQVQEHIRELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENAYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFQALCALYAVTDVALVTSLRDGMNLVSYEFVACQESKKGVLVLSEFAGAAQSLGAGALLVNPWNITEVASSIGLALNMSADEREKRHQHNFDHVIQHTAQEWAETFVSELNDTVVEAQQRIREVPPVLPVIEATEHYTRSSNRLLILGFNATLTEPVDTPGRRGGDQIRAMELKLHPDLKEPLLQLCKDPKTTVVVLSGSDRNILDENFGEYNMWLAAENGMFLRATKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHLELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKSITTPIDYVLCIGHFLGKDEDVYTFFEPELPSESIIGLPRAKTNDALKSSGERRPPSKLQNSKSSSKSSQNRSQRHLLNPEKKSNSINNGSQANGRRQSSEKISWSVLDLKGENYFSCTVGRTRSNARYMLGSSDDVVSFLKELAEGASSN >KZM95170 pep chromosome:ASM162521v1:5:29520416:29527634:1 gene:DCAR_018412 transcript:KZM95170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLINTVTLATVLATHRSFGSSADNIEFGSVWWFVYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPTEKKQAAAIFPVVQKQHQLLVTLLLCNAASMEALPIYLDRIFHPVVAVLLSVTFVLVFGEIIPQAICTRYGLAVGANFVWLVRSLMFICYPIAYPIGKVLDAVLGHNDALFRRAQLKALVSIHGQAAGKGGELTHDETTIISGALDLTLKTAEEAMTPIESTFSLDVNSKIDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRVPRVPADMPLYDILNEFQKGSSHMAAVVKVKTQNTVSDCEVEKAFGNKVTKGKYQLTVPLLSEHDEESDNHVVDVDNASNTTALKHTFISQSEAATTNVAENIEDGEIIGIITLEDVFEELLQEEIVDETDVYVDVHKRIRVAAAVAASSVARAPSSRKLIAQKVVGGQGKQGDSPHLQSRSPGTTKGSLFSTNK >KZM93959 pep chromosome:ASM162521v1:5:12842899:12843156:-1 gene:DCAR_017204 transcript:KZM93959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPRLVLKFVWMEKNIGLALDQVIPGHGSIPLSPYYFWPRKDAWEELKVMLERKPWISQKEVVILLNQATDIINLWQQSGGDLL >KZM93832 pep chromosome:ASM162521v1:5:11270623:11271912:1 gene:DCAR_017077 transcript:KZM93832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNFLVPDVLTHNYLVNGFCKSGDLETAKWIIIDMLCRGPSPNCATYNTLMVGYCASNDLDKALDLLSTMSTCAIRPNRVTCNILVHALCNKGLLGEATKLLGEILEDKPESKTANLITSTILMNGYFKNGDISSALAIWEEISKGHRTRDVVAYNVMIHGAGLSLHHSLAYRFVCEMYKKGLMPDVFTYNALLSLLFKEKKTTEACYIHGVMSKMGVPPNIISYRVLIQGLCINGDVDLAKEYLHIMLEDSVLPDIYIWNSLIDGYGRHGDVQQAFYIRDQMVASGIFPNIFTYNALINAIAREGKLVEAHNLKTEMIFHGVYPDVVTYNILIGAACRLRHVHIGLLLHDEMLKKGCHPDIITYTQLLKGFCLIGKVINAEILFDQMISSGLMIDHVPFQIIIKKHWRMGELDKAFENYQIWLRRDK >KZM94136 pep chromosome:ASM162521v1:5:16819254:16819805:-1 gene:DCAR_017381 transcript:KZM94136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSVLKVQALISIFLAVAILALQKLRSYEVLLHRYIAMMELQERNERLFYKIFIDNVEELLPVVYTPTVGDACQK >KZM94160 pep chromosome:ASM162521v1:5:17460196:17460891:-1 gene:DCAR_017405 transcript:KZM94160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKPQVSVESNATASKDIAINTSSVNKKMNKFKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALICLKGPTANLNFPTFSQQNFPLNPHQVTAMSPKTIQKLAAQAANASLDNATTSSPEDLPSPPPPSTTSSSSSPSNTIEEDDLSFISKFEDYCKPDQTALDTMDRTAPWFNSFGSFKFRDMIDDMLIFDHPQPSTMNVIEDHFYEEGEDIRLWDFC >KZM95273 pep chromosome:ASM162521v1:5:30698245:30704320:-1 gene:DCAR_018515 transcript:KZM95273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSHPDMSLEDLLTLIKGFVDILILASGYQSTGLFAHWDSHNIKNAFQWATFFENVGTFTSLLLFLVSRLRCLDDQQDSLKELDVALSEMKSSPLFPQGLEQLSSTTLGRARKFVLEHLIRALPLREAHLRAFITGTIELDLDDIHSTESDPLNIYLDELMLKSAMLDPASKGMSSMQESRTSYPEAIPNLASGCGSDGNLTMLTIHELMRRHSSVICMSSVETGLDVLSKTLAKSNWSEIADMLVTKNLEPPAALVAEVQVIDPDTWNMWRTKNMLYLLDKRTIRVVSGASMIFSAPRVQWLQVLERLDISGEGSDDIFIETVELLLLGCTADRWSTLVDHFMSISYEPLTITRMCNEVHNLLLRRYPNIDADKEVLNSKEKGILGHLDVLLSSQVRQLWKLSPILAAISIPPWSELFKLYVSELESQFKEESFAIRAPTERMKLLAVEADQYHAADGLLNWIQGDGKYDNAESDNPKIKRSSCFDQSHSPSNVTRGHPTAIVWLKYVGFRSSCCSRNNASGRLPMAMGAGTKEKSPCFLMLMGEEDHS >KZM93982 pep chromosome:ASM162521v1:5:13247010:13248484:1 gene:DCAR_017227 transcript:KZM93982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLNDEMAILSQYYPDIYTQMTAEKGGEAKAQRRRKKSKGEGSCSMFRKRKLTDEQVNMLELNFVNEHKLDSERKDRIASELGLDPRQVAVWFQNRRARWKSKKLEDEYTKLKNEHDNTVVEKCQLQNEVFKLKNQLSEAEKEMQQLAERLDGVSSNSPSSSFSMEVMDPPYLGEFGMEGLENVLCMPDNYYGSNGLGIWADIYDM >KZM94943 pep chromosome:ASM162521v1:5:27256745:27260790:1 gene:DCAR_018185 transcript:KZM94943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLFLSLLLLQLLCSHAITTTEFAERDSLLTFKHSLKNPAQVSSWTTKTSHCHWLGVSCVNNSVTQLVLPTHSLSGPLAISLFSLTSLTTLDLSNNQLSGELPSQLGELTRLEILKLGPNCLTGEIPPEIGKLGSLRQLDLSGNSFTGNIPEEIGDLFRLQSIGLSGNVLSGSLSPALFTNLNSLTFFDVSNNTLSGNIPPEISKLKKLTDLYIGINKFSGELPSEIGELSSLENFFSPSCLIRGPIPDTISKLRSLTKLDLSYNPLRCSIPRGIGKLENLTILNLVYAELNGSIPSELGNCRNLKTLMLSFNLLTGSLPEELGGLPMLSLSAEKNQLSGPLPSWLGRWDKVDSLLLSSNRFSGPIPPEIGNCSLLDHISLSNNLLTGVIPKELCNSGALTEIDLDSNMLTGTIEDTFVNCGNLTQLVLVDNQIVGPVPEYLSKLPLMVLDLDSNNFTGTIPMSLWNSMNLLEFSAANNHLEGTLPVEIGNAGSLERLVLSNNQLSGSIPGEIGNLTSLSVLGLNSNFLEGSIPVELENCISLSTLDLGNNQLNGSIPEGIADLEQLQCLVLSYNNLSGPIPSKPSKYFRQISIPDSSFIQHHGVYDLSHNRLYGSLPEELGSCSVIVDLLLSENLLSGQIPQSLSRLSNLTTLDLYGNQFTGTIPAELGSSQKLQGLYLGKNQLTGTLPESLGQLSSLVKLNLTGNKLSGYIPSALGDLEGLTHVDLSYNELSGELPSTLSSMVNLVGFYVQQNKLSGHINELFHDSMVCRIEVVDLSNNMFTGSLPQSIGNMSYLMSLDLHGNSFSGEIPSDLGNLMQLQYLDLSNNGLSGEIPKKLCSLENTVTLNLAENRLEGPIPRIGICQNLTNITVAGNKDLCGGLVGLRCPLKSFHKRSRLTSIWVVALIVVGGALATLFTTIVLYIWVHRSNKQSDLEESEDSKLNSSVNQNLLFLSSSRSKEPLSINVAMFEQPLFKLTLVDVLQATNNFCKTNIIGDGGFGTVYKATLPDGKIVAVKKLNQSKTQGNREFLAEMETLGKIKKGQAVDVLDSTLLDADSKPVMLKVLQIAAVCLSDNPYDRPTMHNVWKAQIVAVSLLYANN >KZM93168 pep chromosome:ASM162521v1:5:3003702:3006223:1 gene:DCAR_016413 transcript:KZM93168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMESYGYNAPMSKKTGAAYDVPEGVDIRGRYDAEFARILTKDALQFVAGLQREFRNHIKYAMECRKEAKERYNSGGLPGFDPATKYVREGEWMCAPVPSAVADRRVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMRGQVNLKDAVDGTISFHDQARNRVYKLNDQTAKLFVRPRGWHLPEAHIFIDGEPATGCLVDFGLYFYHNYAAFRRNQGEGYGPFFYLPKMENSREAKIWNNVFERAEKMAGIEKGSIRATVLIETLPAVFEMDEILYELRDHSVGLNCGRWDYIFSYVKTFQSHPDRLLPDRVLVGMNQHFMRSYSDLLIRTCHRRGVHAMGGMAAQIPIRDDPKANEAALELVRKDKLREVMAGHDGTWAAHPGLIPAIMEVFTNNMGNSPNLIKTVKREDAANLTEEDLIQRPRGVRTMEGLRLNTRVGIQYVAAWLTGTGSVPLYNLMEDAATAEISRVQNWQWIKYGVELDGDAMGVKVTRCLFGRVVEEEMARIEREVGREKFKKGMYAEASKIFTRQCLAPVLDDFLTLDAYNRIVIHHPKTSSKL >KZM94257 pep chromosome:ASM162521v1:5:20090206:20106822:-1 gene:DCAR_017500 transcript:KZM94257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRPAPDPVTVLRGHRASVTDISFHNSKNLLFTGSADGELRIWDTLQHRTVSSAWVHSGKHGIISVMACDSSGNDDKVISQGRDGTIKLWDIGDGGLPRAPSSMITTNSYHFCKLSVVKKPSSFAKQVDDGNSKVDEPVGDTSNLGSLSGIQGSSSSNPEDDKLNGGHEYVAIAGEESSQVEIWDLNAAVRFAQLPHSSVSSNHASKARGMCMAVQAFVPSQSQGFLTVLAGYEDGTMVWWDLRNPRVPLTLVKYHSEPVLSLCVDGSCTGGISGAADDKIVIFALDQSMGISVVKKEIRLERPGIAGISIRADKKIAATAGWDHRVRVYNYRNGNALAILKYHHAMCNAVTFSANNRLMASSSEDTSVALWELYPPRTVV >KZM95442 pep chromosome:ASM162521v1:5:32166142:32169223:-1 gene:DCAR_018684 transcript:KZM95442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEKELDLTSPEVVTKYKLAAETVNKAMQLVLAACKPKAKIVDICEKGDAFIKEHTGNMYKNVKKKIEKGIAFPTCLSVNNTVCHFSPLASDEAVLEEGDVLKIDMGCHVDGFIAVVAHTHVLQDGKVTGKAANVIAAANTAAEVALRLVRPGKKNKDVSEAVQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNPDTRVDDAEFEENEVYAIDIVTSTGEGKPKLVDEKQTTVYKRAVDKNYHLKMKASRFIFSEISQNFPIMPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRITSHPLQELEPTKAIEDPEIKAWLALGIKTKKKGGGKKKKGKKSEKCDDSAEPGPVDAPPTNGSAPQE >KZM95944 pep chromosome:ASM162521v1:5:36954200:36955715:1 gene:DCAR_019186 transcript:KZM95944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIFRQLPLLLLCFFIPFSAAAPLHRGAPASRWLSATATWYGSPDGDGSDGGACGYGSMVDVKPYRARVGAVSPILFKGGEGCGACYKVRCLDRSICARRAVTVIITDECPGGYCSGGRTHFDLSGAAFGRLAVSGERNQLRNRGVIPVTYRRTACKYPGKNIAFKVNEGSTDHWLSLLVEFEDGDGDLGSMHIKQAGSSEWLEMSHVWGATWCKNGGPLKGPFSVKVTTLATAKTLSARDVIPANWSPKATYTSRLNFFV >KZM93965 pep chromosome:ASM162521v1:5:12928098:12935241:1 gene:DCAR_017210 transcript:KZM93965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSIPQAASNQNKSQSETKQRTTDPGVRVIGGKIYDSEKGKTCHQCRQKTRSFTAACKNKKKDKTCTMLFCHKCLLNRYGEKAEEVEVLEEWICPKCRGICNCSCCRKKQGHQPTGILTHRAKATGYSSVSELLQANGPENFGLVKNVKDTGATPKRPSSSGKQVTSPGKKGKENLLDGRVDMNLEHPTPSKLKEIEKMQGVTLENNEKQKKTKQGKLRTVSAGQKDAAALKLCSSGALPESTPDKSQDSDTVSAGPKDAAAQKLCSFGTLPESNSNKSQDSDISIHMHAEPNEKVNDPKLGDGCMKKVSAEVPADTFGNKKRNANKDIEVIDQEKTKKRVKHDTGMPFVKDNNSGLQFQSQEVGNDIPLPHGTELITIGDLELPPEDVGNALQFLEFCAAFGKNLNMKKGQSSVILKELMNGQSRILRRTEQHSPVVHFHIQLLSLLRKDYTLRSHKLSPSHGKNELAKEITAKDGSSLSISEHDEIVSQIKCEAAQAHKEMLESMNMAPKDELAKEITAKDGSSLSISEHDEIVSQIKCEAAQAHKEMLESMNMVPKAKKRSQALRTQPLFLDGDGRAFWRLNCYPEESNILVQGKL >KZM93630 pep chromosome:ASM162521v1:5:8625086:8627025:1 gene:DCAR_016875 transcript:KZM93630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFMESVRIFFNGGDHIPWCNSDVVAGCEKEVVESSEEQKAESIMRFSWALVHSRQTDDVQRGIAMLETSLTNTDSPLQNREKMYLLAVGYYRSGEFAKSRQLVEQCLEIAPDWRQALFLKKTIEDRITKDGAIGIGITATVVGLVAGGLAAALSRKN >KZM93834 pep chromosome:ASM162521v1:5:11290480:11290820:-1 gene:DCAR_017079 transcript:KZM93834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASPLNLRTSSGLPLCLSGFTSSLTLSQEIADHDLRREAMKKQKTQSRRASLTDLEALVNGLSFVSLQQQQQQLLPCPAKAA >KZM94718 pep chromosome:ASM162521v1:5:25276210:25276632:1 gene:DCAR_017960 transcript:KZM94718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNYPHYEIGLIYTGPRPTYSSETVRVKNENKESGSYERFTAKKKTVTCEPFVDRYGREGYKDERSESTIYKFGDKDGYTEVTTEKKVKHVDYNKSNCSNNYNNNKAKRSYANCDKYYSYDKSSRNDYKRVKWDYPESD >KZM95025 pep chromosome:ASM162521v1:5:28102288:28109815:-1 gene:DCAR_018267 transcript:KZM95025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGIPKTTPSLPHEKKSRPPKDSQRKPDGISREVYALTGGLAPLMPSIDVNQLKKKTQAENEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPTGDYSFAKYNKSIDVIKYTDEEYEKFLEDPAWTREETDQLFDLSARFDLRFVVIADKLPYSRTVEELKNRYYSVSRAILIARAASPADVSGHPLVKAAQDSELIPISTGGAGDAERDNVNVDDESPSNPQFLSAPPISIAETASASASLRKLRVYLRTYGFEQMVQAASSSAGLRTIKRVEQILQDLGVNMKPKVPTKTVCEEHLELRKEILTLLNLQKQFQYKEAEGSSYREGSYPETPGTPKSFQRILDQDRTFIPDSTCFGGRNCFTSNKSWLMIYTSKEGKRKKLIFG >KZM95162 pep chromosome:ASM162521v1:5:29335246:29338599:-1 gene:DCAR_018404 transcript:KZM95162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAECCRNPPVLSSSSGSGSVIQLAGLKAYVSGSSHSKRAILLVSDGFGYESPNLRKIADKVATAGFYVVVPDFLHGEPFDPHNADQKPFPVWLNIHNTDRGFEDAKLVIDALKRQGTTTFGAAGFCWGAVKVPMAILGAGNDNHSPPELLRQFGEILISNQVKTFVKIYPGVEHGWAVRYQAEDEFAVKSAEEAQQDMLDWFLAHIS >KZM93113 pep chromosome:ASM162521v1:5:2512773:2521016:1 gene:DCAR_016358 transcript:KZM93113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTAPIHAASSPWLPEDDLLLINSLEAGASPESLAKGAVRFSRSFTFREILDRWHLLLFNPDVSPQASSGMMELELSGPSSLSKISRPDNAKGSGVNAKRKRVSIRRQYNAMRKRLRSELFNSNDIGFLEPNMHDSGCVGDFHEHATLNSGPGVTNCMLHDSDHLGLQDSDFEILNQVFPQEGEDVGTTTVVDNPGNVYQTQCQNLIDGNRSVANTREDNLFGFPENVSPLLGDDGRRLFESNVGHKTDSHILTDVSMDFGKITNSNIQVPLQELPNNKHFEADNGHMERSLPFPSTNEPPQTGSGFAGRHIGSPDSDGSVSLQSMGFSSSMPGLPLWKTMEDISAPAMPVHMHNGEISPGVEGTLALPGNNEQRGKSPSGFDIVQSGPLLRDSDNGNCFSSGAISEGEFVDLSETLLNFPTEDEIMFMNVDGKDKMDKSSDDNIHSLIVSSGGDVKEGGSGNIELNELNNVEPCTSLAPTACRGFSSPCAMESENISSPVTDVRQQCILPSVMNIASTSVVDPDYSQLNEENICCVLNTEDREIPCNDDIFLLIHPTSSFAPARKVYTTDPIDPASSADERDNERGVNLANAKDPAGSHAAKGTYKLTESFHNLPSAQVPDIKSLNLKPGEVGKTIRDITQHRSAVATNVPTAYTTDRVPEQDVIKAEPRVSDNPSTSRELALVAEAGSVEIALPNSADNASSSVQDDSESEYDVPYSSDIEAMILEMELGPHDKNSHSIRQDEDAKKKIIRLEQCAQASLQRMMTSHGALAFLYGRHLKHYIKKPEVLLGRSTDDVDVDIDLRNEGRANKISRRQATIKMETNGSFFLKNLGKSSILVNGKAVASEKSLCLSSSSLIEIKGMSFVFEINHKYVRRYLDTIIKGANLRTANTNGRLDRKRDGSS >KZM93319 pep chromosome:ASM162521v1:5:4754112:4755575:1 gene:DCAR_016564 transcript:KZM93319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEPWNAWSNHQVEAKQEFAQDLSFPSQCSQVDVQWDFGMQESEKFTSFPLLDMFEYSDPLYASLDIVPAEENTTLMQDDLEYFFWKLDDEVMGGFRDFPFKYDEPPLLLSYDSSDSNNVTNGDMNGSGDQEEEDKKKRISSCRNVAKGQRSSNVLTKEEISKYFYMPITQAAKELNIGLTLLKKRCRELGIPRWPHRKLMSIQTLIRNVKELEKEEDGGEKLRQAVGMLEQEKKLLEKSPNLKLMENTKRLRQACFKANYKRRKNMMDTESASREMIVDGRDHFDQYSLPFADPVALPXSVLINRPRLQGMALKKM >KZM93467 pep chromosome:ASM162521v1:5:6803609:6803812:1 gene:DCAR_016712 transcript:KZM93467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNNFFSCFHESSPDTRQYVCNGDVCVMRDPKPSEGIEIKKNKRKIIPPFNRLRKNNFKHDDTAV >KZM95962 pep chromosome:ASM162521v1:5:37065957:37066148:-1 gene:DCAR_019204 transcript:KZM95962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGKLNKERPKRQEVGGNFDIKCSWTSKLKRTSERYYCPDSAILHCQFAMVFTQMHGLTCNS >KZM96054 pep chromosome:ASM162521v1:5:37753992:37756172:-1 gene:DCAR_019296 transcript:KZM96054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTACSSSDRVSGDTDFLKPHGITLLDSFVDPVVINHPKGHTIPRLDEKNLELMLGFLEKIQKELTDQEAQEEKCSENALENN >KZM94179 pep chromosome:ASM162521v1:5:17952429:17954506:1 gene:DCAR_017424 transcript:KZM94179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKYDSFKDLRKGKYDWKVLARALNSWKGYTKTREAFKGYNILLLDHKRARIHASVPAKLEEEVDKLVDLGKIYLIQNFTVKNYQPDDKYRFELHTLASDETGQMTIILEDREVRRLIGKTALDLIEEGNKVEIFPIILDNLKNKFYSIKLLIKEANTKYKVDAFLATDIIEGSYTEIKQETERNYPQPIQSLKTHHSESSYHLDSAN >KZM96153 pep chromosome:ASM162521v1:5:38722729:38728919:-1 gene:DCAR_019395 transcript:KZM96153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGPKSKSDYLGGQRERFVRLDELDSRSSSPSAAIEMNKCGFSIEGLGRPSHATNDPSKSYKQGMQKGSEGLMSIGRSLGFGVSRAVFPEDLKVSEKKIFDPQDKFLLSWNKFFVISCIIAVSIDPLFFYLPVYSRVPKCLGIDRKLAIVATTLRTVVDVFYLIHMALQFRTAYIAPSSRVFGRGELVIDSAKIAKRYLLSHFIIDFLAVLPIPQIVVWRFLQNSNGSDVSATKQALLVIVLLQYIPRLLRFIPLTSELKRTEGVFAETAWAGAVYYLLLYMLASHIVGAFWYWLSVDRYNTCWDKACRSSKGCITDFLYCGNENLSGYDGWKSVSDSVLDLKCGVDGEKNPFDFGIFKQALSSGIVYSRKFISKYCYCLWWGLQNLSTLGQGLQTSTFPGESIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPPDLRERVRRYDQYKWLETRGVDEENIVHGLPKDLRRDIKRHLCLALVKRVSLEKLETFSYICST >KZM93215 pep chromosome:ASM162521v1:5:3585233:3588343:1 gene:DCAR_016460 transcript:KZM93215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLSQRSLLALAHQNGTKISSKLNSFSTQVETHNNTSVAREISSFLKQETQVAAQEISRILRQSNWSSVLEASFDIRNKLSPQVVWTIIDQYNQVNDIKRLNYFFHWSIIRIAEPQLLDSFSFLAVNLCNAGLFGPAFGVLELMIKIETLSACVVFENVYKMFVRIKGCDVLVFDILIDCYKKTGRLGDACVVFMEVRGMGSVPSLRCCNDLSKNLLKKNKMRLFWKVYNEMVEAKIDFDVYTYTNLVSALCKVGDYKGAREVLLRMGEKGCDPNTFIYNTVIEGMCRLGAINEAIELKLVMREKGLVPNGFTYVKLINQLCRENKLDEAKLMSEEMLKMGLKPDSFCHNIADGFLHSACPKEVWRENDEKRLYDINKHHAHVMLLVGVCKAGDMTKATEIYKEMISIGFNLNPETYCLLIKGYCKEHNVAKALELLDHMTEKNLIPSPVAYNAVINELCLCKNYHGVHALVGKMISSGLMLDAVTYKALIAVYAAEDRTLDSRRALEEMMKNGFLPDSFSYNHVINCFCKAGKMAEASPYVFEMVEKGLTPNAVTFGAFICGYGKAGNMSEADRFFQYMLDHGILPNNATCTVLITGHFKAGNLMEAYSTFKTIIGLQVLPDIQTCTTFINGLLTNGRLKEAIGIWNELKGMGFSPDMFTYSSIITGFCRQGKVNEAFKYLDEMYSEGVEPNHFIYNSLIDGLCKCGQVTRARKLFDSISEKGLAPDNVIYSTMIDGYCKSQYLSEAFLLFDEMPSKGIQPDSFVYNAVIGGCCRNHKFDKAMDVLNKMKQMGFANKFSFNILIDAFNKLGKLDEAIKLVQEMLSMQIVPDRVTYSTLIDGHCRARKIEVAYQLFLQMEKKNMQPDIVAYTSLMHGYNKLGYTSEVFSMFKKLVACRIEPDEVTYNVLLDAYCKDFNFVEASRLHKKMLRYGMRINANVYASLLRILCRMDNFSDALLLLDEMKGQKLSLNVTNCSTIIHKLYLESKKDEATRFLEKMISLKWLPKKTSISHLMERLTKESNCDDDNSSIKQIA >KZM93562 pep chromosome:ASM162521v1:5:7862719:7874725:1 gene:DCAR_016807 transcript:KZM93562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDQITLLRSNSDSSEEDIEGQNQLHTPTKGVTDLIKRLDRRFSPRRKRGDLVKTHSASASSIEPSAADEILGDGAPPEWALLLLGCLLGLATGLCVAGFNRGAHIIHEWVWAGTPNEGAAWLRLQRLADTWHRILLVPVTGGVIVGMLHGLLEILDQIKQSTSSQGQGFDLLAGVFPIVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGCSAMMENNNERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSSAVLGEKQAFTVPTYDLKSAAELPLYLILGMLCGVVSVIFTRLVAWFTEAFDYIKDKAGIPPVVCPALGGLGTGLIALKYPGVLYWGFTNVDEILHTGKTASAPGIWLLAQLVTAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGCAGQLINSAIPGNAAVAEPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNESKEGEALETKVATGGYSVLAPVVDKDSVGTWRRSDGDGLELSVMGSGGHHEHIEEELYLENLKVSEAMTNKFVKVFLTLTIREALKCMHDGQQNCALVVDTEDHLEGILTFGDIKRSLSKNSSGASSSDSSVPDLRQSLVSSICTRGINYRGKRRGLLTCYPDTDLAIAKQLMEAKGIKQLPVVNRGVDFKEERKRRLIAVLYYDSIWSCIRKIAVTTGQLAFKLPLYLILGMLCGVVSVIFTRLVAWFTEAFDYIKDKAGIPPVVCPALGGLGTGLIALKYPGVLYWGFTNVDEILHTGKTASAPGIWLLAQLVTAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGCAGQLINSAIPGNAAVAEPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNESKEGEALETKVATGGYSVLAPVVDKDSVGTWRRSDGDGLELSVMGSGGHHEHIEEELYLENLKVSEAMTNKFVKVFLTLTIREALKCMHDGQQNCALVVDTEDHLEGILTFGDIKRSLSKNSSGASSSDSSVPDLRQSLVSSICTRGINYRGKRRGLLTCYPDTDLAIAKQLMEAKGIKQLPVVNRGVDFKEERKRRLIAVLYYDSIWSCIREGANGRKLSASRKREEDNSEEKIRNGH >KZM93372 pep chromosome:ASM162521v1:5:5328266:5328775:-1 gene:DCAR_016617 transcript:KZM93372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNWQVAAGEESTEAVILRRVPSDPRLSAAFCRAGDKTDSQTPVIPLISIEEANQQENSASPFLITAAAMNDETMALAMTAITALNKCVSSQGEHTEIDMDSFIQLLNSSKTIRDVIDPSLRNELIALPNANQQSDADT >KZM93220 pep chromosome:ASM162521v1:5:3751516:3752121:-1 gene:DCAR_016465 transcript:KZM93220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFKVVQYNYSPCAACKFLKRKCQPDCNFAPYFPPEELQNFISVHKIFGATRVSKLLNEVLPHQRHETVSSLVCEAQARLRDPVYGSFGAISILQLQVERLQKELDEANAELVNYACGYNHCCCHLDTGGTTAALPAPSAVPENSMCDYAQIPPQIRPVDLIDTGEAGVFNYYQTPSSSTFPWNDTNVPNLGGAAGQGL >KZM96154 pep chromosome:ASM162521v1:5:38734884:38737288:-1 gene:DCAR_019396 transcript:KZM96154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKISGALKFLTLLVSFVLQFSAGTGTLKNIAQAKGSLSKTSDSLPVGYFRSKGNYSSASWCTFYSTVKHNWSHDHNQNRVPRYIVARSEIAGTGYPDAAHQLPELQLVSKVKGICFYAVTASVAIILFMLMLVAHPFVLLFDRYRRKAHHQIARMWAILAVAPFIKVEVEGLDNLPSSDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTSIFLFPIIGWAMFLLGAIPLKRMDSRSQLQTLKRCMDLVKKGGSVFYFPEGTRSKDGKLGPFKKGAFSIASKCGVAVVPITLIGTGKIMPVGMESRLYPGSIKVVIQKPIYGNNTEALCNEARNIIAETLVNDG >KZM93373 pep chromosome:ASM162521v1:5:5336462:5338390:1 gene:DCAR_016618 transcript:KZM93373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIEEELKLTKNQLDAAEHDRDRALDELQESKLMEHEANSRLTEALSPGRSGKVLAELTTVKELLSDSQKDLAAKEKYIESIKLELDKAKQLENKLADKLSSLGRLEDELRIARVSETRAMDLCAESRKRVAELEAELKELKQSKSDVLGSEASLTKEFEAAKIELEESKRQLVSLHDQLQKLQSSSGVSSREQNGIKLSDGIDGKSEPGQKSATSMVVAPQSEIEVLKKQLKAAIEGEEQSTKAMNDLALALKEVATECNYAKEKLISTESELDKYRKLLDEEKKEAELHRNTADRLRLEAEESYLAWNGKEKGFVDVIKQVEEEKVRAQQENVKLKESLEKAENTLKEAENSLHEAENTLHEAENTSRTAREENEKLRDVLKQAINEANVAKEAASIAQQENSELKDSLVDKEKTIDFLARENDQLKFDEAAASERIKELERLLSEAQSEAKTEDKDSGIAFMSPESLFDDHKEDYNKREDYSKKEATALKKGFSFDLHRIKLHNKYQDEDDMLGEEDPIKADALRGSIFDPSTRTPRSEAHTPKQASKHHHHHLPHHRKKSSLSNIDTVHLEDLDHLDVDSQHDSEGTSEESEADRQAHRKKKSVALKNFGYLLMRKSFTQHTGHTKKESSILSPDHE >KZM94740 pep chromosome:ASM162521v1:5:25436134:25437904:1 gene:DCAR_017982 transcript:KZM94740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGTLCCRTGTLNCRQVLSAVDGYTLLSTNRHCYWTTSASRADDCFFLYLYLVFLTLDFNIASALKKELRNKQKEDGWRRKEEEKAQNFAATASSHVQNSLAADGDDMDPVQYFANRIKAVNALKTAGINPYPHKFHVSMSILEYEKKYSGLNPGECLENVEVSLADM >KZM96326 pep chromosome:ASM162521v1:5:40131375:40133006:-1 gene:DCAR_019568 transcript:KZM96326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLATFCTAPPPQSSTVKHHHNHLSPARLATLIDNSKTIKQLFQIHAYLLRNSLETHPILNLKLQRSYSLLGRLDYSSTLFHRTLDRDVFFYTSIILSHNNENLHWQAMGLYVEMLSKKIEPNAFTFSAMLKNSPIVTARGLHGQAIKMGCEMNSYVRTGLIDVYARGGEVELAGQLFDKMPERSLVSLTTMMTGLAKNGDVDGARAVFEGMGERDVVCWNVMIDAYVQHGRANEALVLFREMLSGDVCPDGVTLVAVFSACGQLGALESGRWVHGYMKNRRVRMNVHVGAALVDMYSKCGSWEDARLVFDEIKSKDIVVWNAMISGYAMNGLSREALQLFSEMRQLSVHPTEITFIGLLSVCAHAGLVREGSEIFRSMRKEYGIEPRIEHYGCMINLLGRLGHLNEAFKLVKAMTMSIATRPDQIIWRTLLDACRLHGDSDLGEDIIKFYTDQNPASSGAFILLSNIYAAKRNWNGAKRVRTMMKDTGIQKEPGCSSIEVNNTVHEFLAGDAKHPKRKEIYLMLEELNRCLRDYGNDAQQT >KZM95482 pep chromosome:ASM162521v1:5:32542117:32543276:-1 gene:DCAR_018724 transcript:KZM95482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGMGILSRANSNWFFEIKETKWTPEENKQFENALALFDKDTPDRWYNVAAMIPGKTVNDVIKQYRELEEDVSVIEAGLIPVPGYASDHSFELEWINNQGFDGLKQFYVPGGKRNSSGRVCDQERKKGVPWTEEEHRQFLLGLKKYGKGDWRNISRNFVTSRTPTQVASHAQKYFIRQLSGGKDKRRSSIHDITTVNLIDPKSASSINIKPPFPDKIIAAMQQPQQHSSRTGMEKALYGWNMQNEESIMAFNMSSSTKTGNLYGNSTHDQPTQEQNLPGNFLQGPQPGLNSAIPWA >KZM96277 pep chromosome:ASM162521v1:5:39740546:39742812:-1 gene:DCAR_019519 transcript:KZM96277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPWRIQQHFRKQGVDGPDYQPFYGNTAEMIRMTKEAQSRAISFNHDIVHRVLPDYYQWSVKYGKIFLCWFGTRPRLAVVDPDMIKDVMLKTSDTIERDDFSPLSKLLFAQGLPGLRGHTWAVHRKIANPAFNLDRIKVWVPGMVASVTKMLDNWEAKVGEMGETEMEVHKEFHELSAEIFSRTALGNNYETGKRIFKLQQQQEISTYLAMQNVYIPGFRFLPTRMNRLRWRSEKETRDTMRMIIEASRNTSENSLNFLSMLMSANMNKRGPRLEIEEVIDECKTFFYAGKEATANALTWALLLLAQHQEWQNKAREEVLLVCKDNEHPTVENLQELKIVSMIIKEALRLYTPDNSICRRTLKSVKIGSLDIPAGTELCVPQAAVHNDTKIWGADANEFNPARFEKPPKHLGAYFPFGLGSRICIGRNMAMVETKIVLAMIIKKFSFEVSQSYVHAPMMFFTVQPQYGAQILVKRINS >KZM96323 pep chromosome:ASM162521v1:5:40107795:40108463:1 gene:DCAR_019565 transcript:KZM96323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDHGFEIEFEFCRVAEPGASPNAPADHLFSNGRLVPHDFPCSPKNIFKSLSRSENQRSSSFGSFSSCSHSLSRSGSQRSSSFGSSSSSGSDSCSWSQRSSSSISSCRTSISDASAEKPEFFHAIRAEQTRKPYKARKHDLTRDNGSQKWQFIAPVSVLNGNVRHRSKKSSQRKKSEVADHQKVLKSKNEEKSKGLWRRFLCLFVSTCNEFHAIEPSVREY >KZM94364 pep chromosome:ASM162521v1:5:21460920:21462375:-1 gene:DCAR_017607 transcript:KZM94364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDVSWLNGIQNLCYVALDYEAELSKDTKASFEVASDGLFTLSKERFQTGEILFQPRIAGLLAMGVQQAVALCMDHCLSADIDDEGWFKTIVLAGGSACLPGLAERLEKELRGYFPSMSNGIRVIPPPYGADSAWHGAKLVSNLSTFPGSWCMTKKQFRYKSLHNRIW >KZM94850 pep chromosome:ASM162521v1:5:26460085:26460654:-1 gene:DCAR_018092 transcript:KZM94850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDKYSSLLYNEYVHQTFLLKLIHYSCIFVRKTTWSVNSSMDSSRFNSSPGNSSSGPSRLVKIIKDFQIKLFARFEEIKNEFPMKLLLFLVGFYCATGFATVIGQTGDWDVLAAGLAVIVVEGIGALMYNNSFPLLRKIKNFVSMFNFWKAGVTMGLFLDAFKYKIDDIFVLPVAPINFDIDVFRMFL >KZM95276 pep chromosome:ASM162521v1:5:30729564:30734514:1 gene:DCAR_018518 transcript:KZM95276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSYPGAVKVGASFASQYYHVLKQKPDLVYQFYDGSSSVIRVDGESVEKASEIMHIHELITSLNFTGIEIKTINSLDSLSGGVLVVVSGSVKAKEFAGRRNFVQTFLLAPQEKGFFVLNDVFHLGEEVVQQPLAPMSQENVVDYQHSAPTYSENRVDYQHSAPLYSENNVDYQHSTPAYPEHIVDYQHHPGQTMHDDRDYQHAAPVYYDNGVDSQLTNHSALLESQDISNYEVEASEHFNSVHIEGGGPVDEYNHYDQQPEEPVVEYEYVKAAPEEDFLSASQYVVNQQQESSPVVAEEPIVEPRKFTYASILQASTGKPAPSITVNAYPKKTPAPVTEWDSPPQSDSQQSNFVSSYLPDSSAEVAEDAFSQEGESKSVYVKNLPPTVTTFDIQQEFENFGRIKPDGVFIKNRKEVGVCFAFVEFEDVESVQNAVKASPLQLVGRQIYIEERRPSSNSSSTMSRGGRRGRGRGSYQNDVRGRFGGRSYGRGNSGRGSY >KZM96535 pep chromosome:ASM162521v1:5:41696226:41698134:-1 gene:DCAR_019777 transcript:KZM96535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCCGGAEEEIPGGPPANNIAAPPRGGNTLGAGGSNRGEPRGSGITRTGGDQKVLPIEIPALSLDELNKITGNFGTKALIGEGSYGRVFCGKLSNGTTAAIKKLDTSSEDSDSDFAAQLSRVSRLKNDHFTEMIGYCLEANNRILVYQYATMGSLHDILHGRKGVQGAEPGPVLSWNQRVKIAYGAARGLEFLHEKVQPPIVHRDVRSSNVLLFDDFVAKIADFSLTNQSSDTAARLHSTRATPRLSEDKVKQCVDPKLENDYPPKAIAKMAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGPESQA >KZM96245 pep chromosome:ASM162521v1:5:39487117:39487457:1 gene:DCAR_019487 transcript:KZM96245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFYFYLIVALLYFSGSMSDVDAQKRCIKTLDPNNCVLSSCKQTCFTQYKGNGVCIAKSGGQSYRCDCVYNCGEELSPL >KZM95239 pep chromosome:ASM162521v1:5:30483119:30484602:1 gene:DCAR_018481 transcript:KZM95239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKMGLKKGPWTRDEDQILINHVTLHGHDNWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFSQEEEETIINLHEVLGNRWSAIAASLPGRTDNEIKNVWHTHLKKRINKVPKTTAPTSHNAEQSSDLKFTSPSRFQVKDDISQQNSPQQQSSMTTSSAEDNTSAEPLTDFLEVDDSFWSEVFSSENSSDTSEFLADNGGTATSGFNGDDFHLQFPEFDNDHMNRDIMDNFWYDMLTNSSGPLPEF >KZM93196 pep chromosome:ASM162521v1:5:3428620:3431259:1 gene:DCAR_016441 transcript:KZM93196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGRILSEHQRRELEKRVIGEEILSDWLHRKQLEEEIMTEMFGVEMLQRVKNSIASENPEVKSDTCNKSPTGLQKIINIQDKGEDSGLVPHPDGPMSLNGQERIDQSPANEKEGGLTGRIPIHCPPRAPNTTKPGMFIGLGRTATTPSKGEGSPLVPSAQVPAFLNGQSPVNDTDSGLNCSLPFQRLPRAPNNTNPEKLVDLGKEATTALVNSVTKRTSEGELNVDTPPIGGDNETAGKTTAKRKRWDCDLCCVITSAESVLQAHLKGKKHLAKLKASSETAKPPTSGEDHGKSIQEDNLPK >KZM94043 pep chromosome:ASM162521v1:5:14232452:14232766:-1 gene:DCAR_017288 transcript:KZM94043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMMRYKSAKGRDSTSNIAHFTDFVNPSLSFPATKTQVGRKIERLRKKFQNNFMREVNGKKPTNYSNKHQRKLYRLSEKIWTTEVHVDSRDDDDDDEGVGDDN >KZM92920 pep chromosome:ASM162521v1:5:814309:817194:1 gene:DCAR_016165 transcript:KZM92920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDKATAAAATAGPKLYNNKPKKAQLKQARASMGTISAPPSSNIPPVTPPPPPPPKESFARRYRFLWPLLITVNLAVGGLSLSLSLSLSLSCELQFVGVVFMSPDLLIIVLLYVLDTYIFTRTKKKGIIEEEEEEAEPTKSVAVTVAAPVIETPVVPASVTQPVKLREPIPEDQQRELFKWLLEEKRKVKAKDPEEKKRIDEEKALLKQFIRTKSIPSL >KZM92850 pep chromosome:ASM162521v1:5:286043:287462:1 gene:DCAR_016095 transcript:KZM92850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAIQSPSYFEKFFHTYSLKKSCFFFFIFVVILITALGTLTLMVIFVLKPQKPIFSLRAVSLDAYKLDVSSNSTLFVSLVVSLGLNAQNPNKIGIKYSRSRMHIFSEDTIIGQIRVPEFYQPSHSTNVRILGDIRAHIQVFRITLPTVKIALECDISIDDRSLTLTNAAHSIKAVKTPLILEFRFRKGFGLK >KZM93001 pep chromosome:ASM162521v1:5:1597369:1603335:-1 gene:DCAR_016246 transcript:KZM93001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSKKDQKQYWLNKDEPYRFVTAKEFSEAFQSFHVGIEVGDALATSFDKSKNHPAALTTETYGVNKKELVKALTAREILLIKRNSFVYVFRLAQLSIMAMIYMTVFFRTELDHDTVIDGGLYMGALFFAVMMIVLNGMAELSVVIGKLPVFYKQRDLLFFPPWSYALPSWIVKIPITLLEVGFWVAISYYVIGFDPNVGRFIKHFLVLVLIGQVASALFQTTGAVGRNMVLANTYGGFVFLVILVLGGFMLTRGDIGDWWIWAYYISPVMYAMNSISVNEFLGHKWSHLTGNGTETLGVAVLKSKGFFPHTRWYWIGIGALTISVVLLNLTYTLALAVLNPLGKLRAVVVEAGNTEQDGTELSESTNQNQKKGMILPFEPYSLTFDDIKYSVDMPKEMKEQGVGEDKLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYITGDITVSGYTKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPVEVDSEKRKLFVDEVLELVELNLLKDALVGLPAVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGHRSCELIRYFESIEGVSKIKDGYNPATWMLEVTSPAQEMALGVDFTEMYRNSDLYRRNKELIKELSTPCPGSKDLYFPTQFSQPFITQCVACLWKQRCSYWRNTSYTAVRFIFAAAIATVFGSIFWDLGTQTEKRQDLSNAMGSMYAACLFLGIENAMAAQPVVAVERTVFYRERAAGMYSALPYAVGQSMPVWWRWYSWACPLAFTLYGLIASQFGDIDDKILSDTNTTVKDYIEDYFGFKHENVWLAASAVAGFSIFFAFMFALGIKTLNFQKR >KZM95111 pep chromosome:ASM162521v1:5:28763317:28763625:-1 gene:DCAR_018353 transcript:KZM95111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTEMGVEYPVVIFSKSYCSMSHSIKTLICSFGANPTVYEIDEHPNGKQMEKELTALGRKPSVPAVFIGQELIGGANEVFSLHLEGKLVPLLKKANAIWV >KZM96141 pep chromosome:ASM162521v1:5:38602651:38606908:1 gene:DCAR_019383 transcript:KZM96141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMNSNMNHNQQNQNNSNFQEEPHHSFDQTASHDDFLEQMLNSLPSWPQSSEQNSHKASFPWDSSQGNGLMAASFDDQSVMLASKLRQNQISGGGGGGGGGSSASAALSMLQQQLFMARGLASALQGDDPEGSGLLPLPVSLSNGDDDGDGPFKSVNPGSGDGSVQALFNGFTGSLHASSQTSNQAQHFHQSQGGNMQSQNYGGGVGGMSQAQGNGGGGGTGGAPAQPRQRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAGAVAPMVADISSEGGGDCVQSTGGGGASRNGNGSQTAAASSSSNNETMKVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTSTTTNTRSHHPLLPSTNGAGGPTSPSLSVLSVQSATRGVNGVNNDNTSVSKP >KZM95388 pep chromosome:ASM162521v1:5:31697588:31699448:-1 gene:DCAR_018630 transcript:KZM95388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGVLLEVDPGVVAKATELKREIQRLVKTIVEETDDELLSLGAIDQTHQLLSALKDLKLRKTLSFNVMHQKEGEKIESLSDFPQEFRCPISKKLMCDPVIVSTGQTYDRRFIQKWLNSGNRTCPQTQQVLSHAFLTPNSLIRDMISKWCKSHGIQLPESNQDSSDDGLTKSDRDHFISLLEKMSLSLSDQKKAARELRLLTKRSPSFRALFGESVDAIPKLLHPLSQNKSKIEAHFDLQEDLITTVLNLSIHDNNKKLVAETPMVIPLLLDALRSGTIETRSNAAAALFTLSALDSNKSLIGKSGALKPLIDLLEDGHPLAMRDVASAIFNLCILHENKMRAVRGGAVRVILNKLLNRVRVDELLAILAMLSTNQKAVEELGELEAVSCLLGIARENSCARNTENCVAILHTICFNDRTKWREIREEENTHGTISQIAQNGTSRAKRKASGILERVNRAMNITHTA >KZM92921 pep chromosome:ASM162521v1:5:820039:822738:1 gene:DCAR_016166 transcript:KZM92921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSWTMVATAILFLSFLLVLFRFFGSSRRKCSGGRANETSLPLGAQGWPLVGETLEFISCAYTDQPETFMDKRRRMYGKVFKSHIFGSPTIVSTDEEVSRSILQGDSNTFVPSYPKSLTILMGESSILLINGYLQRRIHGLIGSFLKSPNLKAQITREMQKFVQESMESWDEDRLIYIQDETKKIAFQVLVKALISLDPGEEMEFLRKQFQEFIAGLMSLPINLPGTRLYKSLQAKKKMVKLVQKIIEAKKKDESCKVPKDVAELLLSENVADEIISDNMIDLMIPGEDSVPVLITLAIKYLSDCPAALQQLSEENMKLKNRKDQTGEELIWSDYLSLPFTQTVITETLRLGNIINGVMRKAMKDVQIKGGYVIPKGWCVFTYFRSVHLDENLYDSPHKFNPWRWQDRDTSGCSFTPFGGGQRLCPGLDLARLEASIFIHHFVTQFKWTAEDDSIVNFPTVRMKNKMPVRVKRRSSQQAFSLESKLNSEGSCKQ >KZM96035 pep chromosome:ASM162521v1:5:37571438:37575658:1 gene:DCAR_019277 transcript:KZM96035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPEVLVSLTLLVLVSLSTLVCCKRPDSVNVGAILSYDTVIGKAAKIAMEMAVDDINKDPSILNGTEFKLIMEDSNCSVFLGSMKGKVYLPSLEFIRSTVSAFMADLLFSTVVALSQLNSEPFELGYCVIDREVVAVLGPQSSAVAHMISQIATALQVPLLSFSATDPTLSSLQFPYFLRTTQSDLYQMVAMADLIETYRWKEVIAIHVDDDYGRNCITVLGDELQKRKAKIHYKLPLPPQYDLNDITDVLNRSKPLGPRVYVIHLNPDPLFRIFNIAEKLHMMTNDYVWLTTDWFCTTLGTLSPKNKVPLKMLQGVVGLRQYTPEKTREKSFQSRWIEMQDNGLISSELNFYGLYAYDTVYTVAHSINKFLDDGNNITFAVSDKLQNVKESEIQLGKLRTFVSGDNLRKILLETNFTGLTGKVEFNSDRNLEPCSYEIINIVQMDIRAVGYWSNTTGISISPPEFLKPVNTSFSSWDQKLGNVTWPGGRKERPRGWVIGDDERPLKIGVPKRASFVEFVTELENHTVQGYCVDVFREVLKLVPYGLPFNFVPYGDGLVNPHYDDIVRLVQSEEFDAAVGDIAIVTNRTMIVDFTQPYISTGLVIVAPIRNSDSSAWVFLKPFTWEMWAVTAASFVMIGIVIWILEHRVNDDFRGPPKRQIITMFLFSMSTLFKTNQEDTVSPLGKMVMVVWLFLLMVITSSYTASLTSILTVQQLPSSITGIESLIASNLPIGYQVGSFAYNYMTDSLSISPSRLIRLNTPEDYERALRKGPKNGGVAAIVDELPYVELFLSNQTDFRIVGQSFTKSGWGFVFQKDSPLAIDLSTAILKLAEDGGLQKLHNKWFCKKGCAGXTTLNLTS >KZM94120 pep chromosome:ASM162521v1:5:16062721:16064044:1 gene:DCAR_017365 transcript:KZM94120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYRVPKQRFRAENWMAVWFVEIAQWRRFCDRLDIALCYDILYTTEDAYMDWYMEVSRRRIGRPKPVPESDYASRELFDKYEVFQLIEASIDLVWQLDARLPASFVPDFRQLAAKFVERYTRFMRTVKGPGFTPPVFEHLDRADLSGTGLAGVAEQDIIPVTQPSQHIPDEPASSSRPEKMALVSLRKGKWKMNKPLSFAKQDTIATDWGWKDSVVKLDGGGGCVKNALYELKPVNLLTLAPGAWIDDRIIYAYMLLLRDREEVVASVFERKPTYFFMDPFFIPLAKTKNWKNPESYVKLHNFYCDYGSAEVGPTINNVDFIFLPTCVTDNHCILSVFSVMTWGVVILDPLYDNASYPEEE >KZM93474 pep chromosome:ASM162521v1:5:6931952:6934038:1 gene:DCAR_016719 transcript:KZM93474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLKAHIPFYLYPFFNTTNKSRPFEHLRLTSLGVIGELVKVDDTEVISFLLSTEIIPPCLRSMEMGSELSKTVATFIVHKILTDDVGLEYICTTAERFCSLRRVLANMVAALAEPPPSQLLKHIIQCYLRLSDNRRACEALRGCLPDMLKDTRINSYLQDDPTTRRGLQQLLINVQGPHVAQQAGGGF >KZM93743 pep chromosome:ASM162521v1:5:10076058:10076291:1 gene:DCAR_016988 transcript:KZM93743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVSAMGSCSLSYGGANHRYGGPARLVLMEVVQQVRQGMHMVHTHLLDMVILSGVLRVVISGPDGAHVSVPRGSIC >KZM93524 pep chromosome:ASM162521v1:5:7463524:7466812:-1 gene:DCAR_016769 transcript:KZM93524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRCLQQCCSLPSLFIIFILFFSNFFIIYAQTDPALPSFTPINRDLYHRSDALLEEIKGLVNRHPDKLSMEILNGQNRGYEADLNVVTYCQNRKETDEKSKFRILISFGQHARELITTELALRVISILSEEQFLPKMDQVLLNRTLEKLVIKEPVVNIFYRLFVQLPDKGRGVDLNRNWSVDWGKKEKDYDPYEENPGTGPFSEPESTLMRKLSGSFEPHMWINMHSGMEALFMPYDHKNTTPDGLPSQRMKLMLKKLNYFHCENRCMVGSGGGSVGYLAHGTTTDYMFDIARVPMAFTFEIYGDITASTKDCFKMFNPVDLPTFNRVINDWSGALFTLFTMGARQMDELQSENLSESKLISIDDYLNGYLMERKSRYGKKIEVLDLERVIGHLFMLCPFKI >KZM95974 pep chromosome:ASM162521v1:5:37150691:37165418:-1 gene:DCAR_019216 transcript:KZM95974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDESSTAPRDRRIDALGDLRVLPDETLCSILLLLSPRDVARLSCVSSVMYILCNEEPLWMALCLNNLNLQLQYKGSWKKTTLHQRLYRCYTTLNGFSFDNGNVERKRDLSLEEFHHEYDAKKPVLISGLANTWSATHAWTPDRLMVDYGDKVFRISQRSSKKASIKFRDYISYSQIQHDEDPLYIFDDKFGEVAPGLLKDYSVPHLFQEDWFDVLERDKRPPFRWLIIGPERSGASWHVDPALTSAWNTLLYGRKRWALYPPGRVPLGVTVHVNEDDGDVSIDTPTSLQWWLDFYPLLADEEKPIECTQLPGETIYVPSGWWHCVLNLETTVAVTQNFVNSKNFEFVCLDMAPGYRHKGLCRAGLLALEENALEVVKENALHVEESILRDVRQTSNGKRAKVSESGKEPNSEIARRGAINVHDVCNLEFSYDINFLAMFLDKERDHYNALWSSGNSIGQRELREWLWTLWVGKPGHRDLIWKGACLALNAGKWSACMGAICSFHELQFPSDDEKLPVGTGSNPVYLVADNVIKIYVEDGLEASLHSIGTELEFYSLLCEYGSSLKNHIPDVLASGIVIFERGSYKVKPWNGKGLPDIMDECDLNLDNISRDVDFPFGIWSKKQFEYRNAGTSEPSHFRGHPSVWPYIVTKRCKGKLLSELADRILWEDTVNLASFLGEQLRNLHLMPFPPLCDLFIRENNEYKKDAHYNSIFKSETDGGSIPAEWKVFLGTLNKKRKDVVSRLFNWGDPIPKLLIERVQEYIPEDFENILNIFQCGKGVPEVCRTCTWIHADIMDDNIIMEPSSVGSGSGDTTSGGLGTTYSKGELNLWRPSHILDFGNMSVGDPICDLIPLYLDVFKGDSRLLKKFLESYQLPLMSDAGQKELLNQDSKFYRTSYLAMCYCILHDDNILGAIFSIWKELRMSQSWEDVEEAVWGELNNYTGLT >KZM93910 pep chromosome:ASM162521v1:5:12082412:12084249:1 gene:DCAR_017155 transcript:KZM93910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVPIAYQNSTTSSPDWLNKGDNAWQMIAATVVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVIICWVTWAYNMSFGEKLLPFWGKAAPALGQQFLLKPAVLPATTHYFKNGTVQTALIAPFFPMASMVWYQCVFACITVILLAGSLLGRMNIKAWMMFVPLWLTFSYTVGAFSLWGGGFLFQWGVIDYTGGFVIHLSSGIAGLTAAYWVGPRSKLDRERFPPNNILLMLAGAGLLWMGWAGFNGGGPYAANIDSSMAILNTNICAATSLLVWTWLDVIFFGKPSVIGAVQGMITGLVCITPGAGLVQGWSAIIMGILSGSIPWFTMMIVHKRWTLLQKIDDTLGVFHTHAVAGYLGGFLTGVFAEPSLCSLFLPIPDSRGGIYGGSGGAQIGKQLVGGGFIIGWNIVVTSIICVVISFIIPLRMSEEHLIIGDDAVHGEEAYALWGDGEKYDDTKHGVHSSDSTQLSKPSVGATQVT >KZM94339 pep chromosome:ASM162521v1:5:21215354:21217195:1 gene:DCAR_017582 transcript:KZM94339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTGVLTPLWLPPPIKSNATKTTNPFQACIKPSKFYHSTCLSLKATAASASASSGKNRSRVLSDQAPPPRTPLHPNIDRGSLSSDAIVKCLNPKHLLGDTDLTEEPATKVKFQTSLSLPGCSSTLSLLGTGYREKRFAIVGVKVYAAGLYVDLSILDKLDAMKGKLDASLFDLIFQVPLEKSLQIVLVRDVDGKTFWDALDDAITPRIKSPTTIDESALSTFRSTFEKRALKKGTSIFLTWLNPTKMLVSVSTEGMPSGTDATIESSNVTLALFDVFFGGEPVSPSLKASVANGLATALK >KZM94451 pep chromosome:ASM162521v1:5:22562915:22563157:1 gene:DCAR_017694 transcript:KZM94451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTATVTSCIAFFNIDLLHETKLFFLINVLVVLMHTCGLVRYQIAAAEVREIYIYRKTGVMSNDSQLAIMGRGGKVVIY >KZM93905 pep chromosome:ASM162521v1:5:12036716:12038890:1 gene:DCAR_017150 transcript:KZM93905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGLSSYKSSSISASGNFAPASKGVFKSLRDYAKKLNDLDLFIQILEDWVVENSHADSTNGEMSFRSPFSINDLRTIDYALEGFLFQQLFRMPCSPYDSDNMREDQYLALEDFLHTIADGLWRTFWHKSGSLPYYISCPRHPGSKFYTVEKAILRRRLGALSGAALVSKVGSNERVHWDKVVEFALFKEDILMKNDLGYSPSVICEALFYGVHILLSRSLNKYNTNNSDSVFILVLDSKFGGVVKLGGDLAKLELNPVNPYQSIVEWIQCHAQIDISPVDRVWNKLGNANWRDLGTLQLILATFYSIAQCHGPPRKSITSLASQHSLRLQKRRIECKLYEDENGLLPFEQVNHENKEIIELDHSDHPFHEMKASRLKPQQGEILLLEDQDQGQRCYQICESFVGGTSCTYSAVALDCPIEMLTLYIGAHPSRLEQSLEDMSMWYLVQRQSKVLNIFKDNGISSRYLPEILAYGRILHSGPCKKESPKGLCDHPWCGTSILVTYPFGESLSSTASLDGPLSSEEAVRCCRDCLSALRSAKMANIQHGNICPENIIRVAETEAERESFLYVLVSWGRAVLEERDSPAINLQFSSAHALQNGKLCPSSDAESLVYLLYFVCGGKLQQQDSIESALQWRQKCWAKRYIQQRLGEVSSLLKAFADYIDSLCGTPYPVDYDIWIKRLSKAVDSSADRGKKVEEMLRLTDIAESSGTSKGGNSFVEQEQE >KZM96108 pep chromosome:ASM162521v1:5:38348796:38350552:1 gene:DCAR_019350 transcript:KZM96108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFLEKFFKTVYRKKQHAHESNYCKYNNQGLAAFTSSLYLSGLVATLVASPITRRYGRRISIIIGGLSFLIGAALNASAINIGMLLLGRIMLGVGIGFGNQAVPLYLSEMAPTHLRGGLNMMFQLATTMGIFTANMVNYGTSKLHPWGWRLSLGLAAAPALLMTVGGILLPETPNSLIEQGRKEKGRQVLERIRGTKNVDAEFDDMIDASELANSIKHPFRNILQRRNRPQLIMAIFMPTFQILTGINSILFYAPVLFQSMGFKGNASLISSALTGAVLCSSTVVSIALVDKWGRRPLLILGGILMIVCQIIVATILGLKFGGDKELTKGYSIVVVTFICLFVAAFGISWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQSFLSLLCALKFGFFLFFAGCVTIMTIFVYIFLPETKGVPIEEMILMWQKHWFWKKIVSEHSSDSIVKPENSFQA >KZM96382 pep chromosome:ASM162521v1:5:40626764:40627270:1 gene:DCAR_019624 transcript:KZM96382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGTGNIIIVSVFCFPIIVGVLWYTIMNSEICLAMIYYCSCCCCRSCNKSRNTTAAASSRTTAPQLQSPPTIYPDLEKGQTDSASRYSGGGGYGGDGYSGGGHFGGGGFGGGGGFGGGGDGGGGHGGGGGHGGGGGHGGGGGGGGGDGGGGGGGGGGGGGDGGGGGC >KZM93773 pep chromosome:ASM162521v1:5:10624093:10625158:-1 gene:DCAR_017018 transcript:KZM93773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFPYQMIANLRPHTTTAWRIKVRVSRMWRTLNRHGETVALNLILIDELGGRIHASIPPQNIDQLEIHLNEGETYNVHNFVVRPYSAMQTERCFQNDIYIQMYHMTEVFVTGGVDYIPAHIFQFTDLSAIINAALQNIFLIDVVGILRQFQPIRNFKNKYNQEQSCIRFTINDMHCSAEVTFYNELAHSFHQAIQQADEHPIIVIISSCQSKFIQGEPKLSNLQATRYFLNHNHEAVEDLRNALRLANWRLD >KZM93032 pep chromosome:ASM162521v1:5:1864242:1867312:1 gene:DCAR_016277 transcript:KZM93032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTATSKLTQYDIEEVQQHCNNLFSQQEIVSLYQRFCQLDRSSKGFIFADEFLSVPEFSMNLLSQRLVKMVDGLNFKDFVAFLSAFSAKASMKQKIELIFKVYDVDYNGKVSFKDILEVLRDLTGSYMSDDQREEVLNEVMKEAGYTRESLLLLDDFIKILGNPGVKMEVEVPVD >KZM96384 pep chromosome:ASM162521v1:5:40633055:40633330:1 gene:DCAR_019626 transcript:KZM96384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGNLILLIWIGVVLTSVICTAVYFGGMIGALVRWLSRTCARRSTAITPVDMMMHSSTPPDVYPDVEKGGFDVIDTTSVTSDDEKIKNIV >KZM93599 pep chromosome:ASM162521v1:5:8267895:8275147:1 gene:DCAR_016844 transcript:KZM93599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-24 MVPSPHYSHAPCFSGCVDWILENQLKDGSWGLPQQSVRLLKDDLSSTLACVLALKRWDVGEEHINKGLRFLKSNFASVTDNNQSSPIGFDIIFPGMLEYANDLGLKLPVEQTLLNTVLNKKAQEIKRCSERNSPESEAYLAYLSEGMGNLQNWDMVIKYQRKNGSLFNSPSTTAAYLNHIQNTGCLNYLSHLLEKFGNAVPTVYPIDIYAHLCMVDTLERLGIDRHFKQEIRSVLDEAYSCWLQDDEEIFMDVDTCALAFRILRMNGYNVLSDKLTRIAKEECYLNSLGENLKDTNEALQLYRASEAIIYSNESALEKQNSWSNRFLEHKLSNGSVHLDRCARIIFQEVHDALKFPFHSNLERMVNRRNIEQYEADSIKILKTSYSSPNISNAEYVRLAVEDFNVCQSIQQKELKLLESWVIESSLDKLKFARQKTAYCYFSAAATLFSPELSDARMAWAKNGILTTVVDDFFDVGGSIEELLNLIQLVEKWDVNEETECCSEHVRIIFSALHHTICEIGESAFKRQAWHVTTHIIEIWLELLNSMLKEAEWTRDSYVPKLDEYMSNGFISFALGPIVLPTVYLIGPELSENVVQNGELRSLFKLMSTCGRLLNDIQSFKRESKEGKLNAVSLYMIHTDATTEEDAINEIKCAIEINRRELLQLVLQEKDSVVPRACKDLFWKMCRVVHQFYIKDDGFTSEDMFGAVKDILYKPITRV >KZM93263 pep chromosome:ASM162521v1:5:4168525:4173578:1 gene:DCAR_016508 transcript:KZM93263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSDERVVAVIMVGGPTKGTRFRPLSLNLAKPLFPWQPMVHHPISACKRIPNLAQIYLVGFYEEREFALYVSSISNELKVPVRYLKEDKPHGSAGGLYKFRDLLMEDDPVSPETANEFGELVADSTTNELLHYTEKPETFVSDRINCGVYVFTPDIFTAIQNVSSQRKERANLRRLSSFEALQSATRSLPADFFRLDQDILEPLAGKKQLYTYETLDFWEQIKTPSMSLKCSALYLNQFRHNSPHLLASGDGTKSATIVGDVYVHPSAKIHPSAKIGPNVSVSANARIGAGARLVSCIILDDVEIKENAVVIHAIVGWKSAVGRWSRVQGGGDYKAKLGITILGEAVNVEDEVVVVNSVVLPNKTLNVSVQEEIIL >KZM94555 pep chromosome:ASM162521v1:5:23554741:23555465:1 gene:DCAR_017798 transcript:KZM94555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLALFPDINKFEMNKESTSEPQRDMYGSGHTLHPNKRNFNEAFDRHDSFVSTTDDIPRTLALFSWDNDDAKKDGEDVKPSYSLYVKVKMEGVPIARKVDLGQHQSYHSLATTLLRMFGKSEENMKDYKLTYQDDEGDWLLAEDLPWKSFMESVQCLKWIKSSHPDRDLD >KZM95342 pep chromosome:ASM162521v1:5:31284042:31286982:-1 gene:DCAR_018584 transcript:KZM95342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFYNQNLNFSPARTASPHITANPDADSTQQYLSELLQERQKLVPFMQVLPICTQLLNQEILKLSRLIPNQSFSDYDLMQRGSPNPSNSLELLPYIGGKGLNIWNGNSWKGLQRERVGGPLGLTNDWQAAPGSPSSFIVKRILRLDIPVGNYPNFNFVGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPDKEESLRGRPGYEHLNEQLHILIEAELPVNIVDVRLRQAQDIIEELLKPVDESHDLYKRQQLRELAMLNSNFREESPQPRASVSPFNSSGMKRAKTGW >KZM95860 pep chromosome:ASM162521v1:5:36323961:36326633:-1 gene:DCAR_019102 transcript:KZM95860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVALGLSLNSLSVNFNNTPSSTPPCRFPSHLSRSGICKASQVAELFPTLSPEIVVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRIDRLLAMVMGFSVPNGCQRTCLVAVTGSSDEDAFCIGSEDLKIGGFDGKFSLNKGYVTGILTVDTVADFLPRKGPLRNRRTGIAYVSNVAVRDRYRRKGIAKKLIIKAEALAKSWGCRAVALHCDLNNPGAVDLYKGQGFKSIKVPEGAKWPQPKTAPDVQFNFMMKILNT >KZM94795 pep chromosome:ASM162521v1:5:26014694:26019030:1 gene:DCAR_018037 transcript:KZM94795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNYCKGGVSDKSSLIPRSELKSMAGEKRGFGDVGERSELEQNKRVKMKDLESVFRSEIQGVDNTSYTKSWINRHATEISYDKGNVTMNPDAIQLTEMTKGTSQPIVGSSTTALDLNAKVDMTNLDNDNTPCADDAHDQNTDFKLNVDKSRDVGFDLNVEDDSSSANHDLNLIDDLECGSTCGPMEKKDPLKVWKEMKQNGFMSSSHGGVPIPKPRGRKSKADTLKKKMEIARKEQVDRFARVAAPSGLLNGLNPGIINHVRNSKQVHSIIEALVRSERGDNIQNGNKEENVQMRTERIESTEAREGRNLNLSRMKRYNLCHEEGILNNASRFKQTNDSRISSENTRGINVSSMNMSTQCDEDATDFSSVSSLSFKVANVASQWLELLQLDIKGRLGALRRSKKRVRAVIQTELPLLISRELSHNQENDPYVTRISDPNSSAEMHKARWNALFSQMEKALSEEEIQLENWLNQVKEMQLQCDRGLSQNTGAPGLQQQGISGTDCRIENPKDSERVLAVRAAAAAFYSTCNFSQSVGNSPCF >KZM94091 pep chromosome:ASM162521v1:5:15357437:15362130:-1 gene:DCAR_017336 transcript:KZM94091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFAACKRIIQLSPKSGGINLAVLRGLQVRRLEAQGVPSKQAEAITASITEVLNDTLENVAQSFVSKAEMQKVQMTHDANLAKFKSEVTSSQGHHFSMLQHEAEKLKVDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQGQETSNLTNKLDREIHALRAQVEAAKYDIVKYCIGTLVSISAVGLAVIRILM >KZM94887 pep chromosome:ASM162521v1:5:26749222:26750255:1 gene:DCAR_018129 transcript:KZM94887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASQYSSQASNRQRIKQPASVPFLWEVRPGLPKKDWKPNPSVTPVDPIALPPVKLIASVPFKWEEMPGKPLPYSLREKPKAAPLLLLPPPPSMPADFQSPARTVYSQNYCDNSDDEMYDSYLDAWGFEFDEESISSAPSLVANRLIPTLALTNAVPVEENVPMDTNSGQLQAPGSPAYESDSSTSSYASYATGNTSLVGAPFLERLFPLLTPKVSFLGKHGCNKGIDSTPQAVPGKDVDVESERSLAVKRPSTLGELILMSRRRSYQRKANLMREQDLSMEFMKKSMLGCCRVGSGNKIKGLQEKWKQQLQLKLS >KZM93410 pep chromosome:ASM162521v1:5:5772724:5778381:1 gene:DCAR_016655 transcript:KZM93410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEEKGQIRSDNEGTKDVSVTLTETENNAAGSVDRNSAGSSGVCVRKYLRRKSQRINSGSCLKGIVLQDSRVSTNVSTHDTDKNLKEPPNVCLPGASRVSLVRMNSHDSRNLSIDSPVKDCRKIFLEQLYQSIKETEGGLQDCIQDALLFHSAGDFTSKKEASATPDLHESHFGEGMCGAPNAPKDHVGIILSESRNKPSPSTKTEFCKRALFSVLTSAKFAELCDLLLGNFQGLKVSSLFDINTIQSRVKEGAYESSPMSFHHDIQQVWSKLQNIGTDMAALAKSLSEKSSACSVEGFMLESSGHAKVEQTEGSCQLRASTCRQCKEQAEGENCLVCDYCEDSYHILCIKPAVEEIPLKSWYCTSCRAKGIGSPHENCLLCESINAARPLRTEVDVEEVELEKISNGSVEDLIENGAEILPNCKICGNNLENENYRVCGHSFCINKYYHERCLTVKQHNSFGSCWYCPSCLCQNCLSDRDDDKIIICDGCDEAFHIYCMQPPYHTIPSGKWFCTQCDDGLQRLQKVKRACLKSEYKSSQISASRQGV >KZM96350 pep chromosome:ASM162521v1:5:40288581:40292150:-1 gene:DCAR_019592 transcript:KZM96350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATLKALVPIANGTEPVEAAMIIDVLRRAETVVTVASVEEQLQIDESHGVKIVAEAFISDCANTTYDLIALPGGMVGAARLRDTEILESMVKKHAQEGRLYAAICASPAVVLGPWGLLKGLKATCYPSLMEQLSAYATPVESRVVQDGNVITSRGPGTTMEFGLVLVENLFGKEKALEVKGPLVMRPDPGEAYTVVEINPIEWTYSGSPKVLVPIADDSEEMEALSIIDVLRRAKATVTIASVEDTLDISARRKSRIVTEMFIDDAAKFQYDLIVLPGGLPGAKKFASTETLIGLLKKQKELNRPYGAICASPCYCLEPCGLLEGKKATGFPPMVHELSDQSMIEYRTVVDGNLITSKGPGSALEFALAMVEKFFGRDKALELCKAMLV >KZM95804 pep chromosome:ASM162521v1:5:35760155:35761677:1 gene:DCAR_019046 transcript:KZM95804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKETLVEAALRVLNTADPVEKAKLGDEVATKWLEGLIIHTYNPHSQDLTIPDRPARPDKVKLVASGLVPKLGKAGNLKSRQAIVHSLVHTESWAIDLSWDIIARFGKQESMPREFFTDFVKVAQDEGRHFTLLAARLEELGSFYGAMPAHDGLWDSAMATSKDLLARLAIEHCVHEARGLDVLPTTISRFRKGGDDQTADLLEKVVYPEEISHCAAGVKWFKYICMRSTILDSVGDLTVSAESGVQETDNNIEENEVIKKFHETVRTYFRGPLKPPFNEAARKAAGFGPHWYEPLAVKDVSIE >KZM93435 pep chromosome:ASM162521v1:5:6362221:6362970:-1 gene:DCAR_016680 transcript:KZM93435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNRRFSKKNKLMEGAGHRVGFSALMSMLRYCYEFRVKYVTIFAFSIDNFNRRPEEVQSVMDLMLEKIEGLTKQESLVNQYGVKVRFVGNLQLLSRPVRLAAERAMVATADNSKAVLTICVAYTSTDEILHSVQESCEEKKEELSALEATGSGPYGLLGPNSNDKEHKECFINSKDIEKHMYVTPDPDIIVRTSGETRLSNFLLWQSSSTLLYSPLVLWPEIQFWHLVWAVIDFQRNYLYLMGKLKQQ >KZM92950 pep chromosome:ASM162521v1:5:1159860:1161944:-1 gene:DCAR_016195 transcript:KZM92950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERRRSARLIESPWVYNWPKQAPIYVNLVDEEEESFAGQHNSINQALDPGVIGKTRQDEVFSDEEHDFVAPAEQFGKSKHSRLQTHEPEDMKKMVTFGSCQKADKIIKKRTRQAEGTMKSNMGAAIDEGSQRGSGHKVIAKKGIKLSIGGVGNFAILRYLFKRTEGSKICPPAKNPRIQKDNQKAMLNKLRIFYAHKRVNL >KZM94067 pep chromosome:ASM162521v1:5:14618390:14622297:-1 gene:DCAR_017312 transcript:KZM94067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQQFSQYSFSSILHKRWLLRLFILLSLIAAFAFIIRAAFDSSTHCAQLQPDQRFHSAAPLGPALNPLGFIKSKLVLLVSHELSLSGGPLLLMELAFLLRGAGSEVCWITNQKPAGADQVTYALENKMLDRGVQVVAAKSQEAVKVALKADMVVLNTAVAGKWLDAVLKENVPRVLPKVLWWIHEMRGHYFSMDYVKHLPLVAGAMIDSHVTAEYWKNRTQERLRIKMPKTYVVHLGNSNELMEVAEDRVAKRILREHVRESLGVRNEDILFAHINSVSRGKGQDLFLRAFDESLRLIKEQKLQVPSIHAVIVGSDMSVQTKFETELRNFVSSNNIQHRVHFVNKTLTVAPYLAAVDVLVQNSQGRGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGSTGLLHPAGKEGVTPLAKNIVKLATHVERRLTMGKKGYERVKEMFLERHMSHRIASVLKEVLHHSKNQRN >KZM93286 pep chromosome:ASM162521v1:5:4448112:4450205:-1 gene:DCAR_016531 transcript:KZM93286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSPRVIPESQKDDDETMRSEPNYRGIKAMPYIVGNETFEKLGTIGTSSNFLVYLTSVFHMKTITATNLNNIFQGTCNFGTIIGAFLSDTYFGRYKTLGFASISSFTGMLFLTLTAAVSKLHPPSCGKEETSICQGPTTGQMAFLLSSFALLVVGGSGIRPCNLAFGADQFNPNTDSGRKGINSFFNWYYFTYTFAVMVSLTIIVYVQSNISWSIGLGIPTLLMFFSCVFFFVGTNIYVIVLPQGSPLLAVVQVIVAAIKKRGLESPEQPWLTLFDYIPKSSTNSRLSYTDQFRFLDKAAVRTLEDELKIDGSAADPWRLCTIQQVEEVKCLLRLVPIWFAILIYHVAFIQQNNYLVFQALQSDRRLIKSSTFKIPAATYIVFTMITLTIWIPIYDRIIVPFLRKFTGKEGGITVLQRMGIGIVLSSLSLFVSALIESKRRTWALTRPTLGIEPRKGTISSMSGYWFIPQLIVAGLSEGFFMIGQNELFYKQSPENMKSIATSCLFCASAVSSYLSSFMQTMVQKMTEGKGKPGWLAEDLNQGRLDYFYYIIVALEALNLAYFIICGKWYKYKTASKDTTPDPGVALEELKSEKPLV >KZM92887 pep chromosome:ASM162521v1:5:544419:550238:-1 gene:DCAR_016132 transcript:KZM92887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKFAKAAAELRLVKSMNRQHVVQSQPANGFSRRTTDKETGTRVDSMLVTGKIVSSMLAGDSEGGSGSPSHERLVYLATCLIGHPVEVQVIDGSVFSGIFHATNGDTNFGIILKMARLMKAGSSRGQLDILDSVNKPPSKTLIIPARELVQVMAKGVSVTRDGLANEIQHVKQQEIMTDSNISQSRHVEVERELGRWVPDDDDRECPELDNIFDGPWNRGWDQFEANEALFGVKSTFDEDLYTTKLDRGPQMRELEIEALRIAREIEGEDTQDLHLAEERGLKLQKDYEMDEEARFSSVLRGVDDSGFDEKENLLDIHNSETFGNVSGFVGKSFSDFPSGRSIGAAQLPSSSTMGLVESSYSISGSEYLPVSDKDNFSFDRGSRFEEFGFSDELTDHIKDESEMPNLAEEGQASEANYSHPSTRLKRASSDKVGLSPNAAAYAPTSATPGKNFSSNSSENLPSAKQRETTQSVLTRARPGSSTSSTSDFGNAIPASAITGLSPSSSVGSLASERSTLNPHAKEFKFNPNAKSFSPTKTSFRPASPVSDGSLYYSTNVPNVPHMHGLPVSMGMGPSFSSHQPVMFNHQSLPMQSPQTYYHPNGPQYGQQMLLSQPRQEMQFKGREF >KZM93174 pep chromosome:ASM162521v1:5:3089945:3111292:1 gene:DCAR_016419 transcript:KZM93174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYDNWERLVRATLRQEQLRPTGQGHERVSSGIAGAVPDSLQRTTNINAILQAADEIQAEDPNVARILCEQAYSMAQNLDPNSDGRGVLQFKTGLMSVIKQKLAKKDGARIDRNRDAERLWDFYQKYKRRHRVDDIQREEQRYRESGTFSANLGNLGLRSNETKKAFSTLRALVEVMESLSEDAGPDGVGGLITEELRRLKKTDATLSAELTPYNIVPLEASSITNAIGFFPEVKGAISAIRYTNQFPRLPKDLKITGRRELDMFDLLEYVFGFQKDNIRNQRENVILIIANAQSRVGIPAESDPKIDERAITEVFLKVLDNYIKWCRYLRIRLVWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMARELDAILDHGEASPAASCIGEDNSVSYLQQVISPIYKVISKEAERNNNGKAAHSEWRNYDDFNEYFWSPDCFQLNWPMKKDSAFLLEPKKRKRTGKSTFVEHRTFLHLYRSFHRLWIFLAVMFQALTIIAFNKGTINRDTFITLLSVGPTFSIMNFAESCLDVVLMFGAYSTARAFAISRLVIRFFWGALSSVFVTYIYVKVLDERSRRGSDSYYFRIYILVLGVYAGVRVVFALLLKLPACHSLSEMSDHPFFQFFKWIYQERYFVGRGLYERTSDYLRYVIFWMVIFACKFTFAYFLQANNNNVLTIVCLWAPVVAIYLLDIYIWYTLLSAIVGGVIGARARLGEIRSIEMVHKRFESFPEAFVKNLVSSKTKRMPFGRQTSQASEDTNKTNAALFSPFWNEIIKSLREEDYISNREMDLLSMPSNAGSLRLVQWPLFLLSSKILLAIDLALDCKDTQEDLWNRICRDEYMAYAVQECYYSIEKILYSLVDGEGRLWVERIFREVNTSISENSLVITLLFKKLPVVLSRFTALTGLLIRNETPELAKGAAKAVYDVYEVVTHELLSHDLREQLDTWNILQRARNEGRLFSRIEWPKDPEIKELVKRLHLLLTVKDSAANIPKNLEARRRLEFFTNSLFMDMPSAKPVSEMMPFCVFTPYYSETVLYSSSELRTENEDGISTLFYLQKIFPDEWENLLERIGRGDTGDTELQDSTSDALELRFWASYRGQTLARTVRGMMYYRRALMLQSFLERRSFGEGEYSQSSFPTTEGFELSREARAQADLKFTYVVSCQIYGQQKQRKAPEAADISLLLQRNEALRVAFIHVEESGSTDGSVRKEFYSKLVKADEHGKDQEIYSIKLPGDPKLGEGKPENQNHAIVFTRGEAVQTIDMNQVNSLFQ >KZM93448 pep chromosome:ASM162521v1:5:6508105:6520329:-1 gene:DCAR_016693 transcript:KZM93448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPNMEQFELYFRRADMDQDGRITGPEAVAFFQATNLPKQVLAQIWTHADQNKTGFLGRSEFYNALKLVTVAQSKRDLTPDIVKAALYGPASAKIPAPQINLAVLPMPHPNMRAGTPSQQFAGTAPVTYQNTGTRGSQGFPPQQNQGFLPQQSQGFPPQQNPGFPPQQNQGMRPPRPLPPDNVQSQQGVTTQQWPGGGTMAMSSNLQSSSISTDWLRGGTGGPPAAVTSQVPSSGSNAFSMQGGLGPATSGSVASPLPGVQATTTSLPPSSTKLSDSAPTANEVGAKDLKTITNLGNGFASDPVFGDVFSAASVQVRPNSPVPASSANSLPVSSAIVPASTGPQTTARPSLTGPLQSAPAQVLTGSQNQHLQSSMKVNQQVSVQTANAYTVGGRSSASGQPNVQWPKMTQAAVQKYSKVFVEVDTDRDGKITGEQARNLFLSWRLPREILKQVWDLSDQDNDSMLSLREFCIALYLMERYREGRPLPPVLPNNIILEDTQFPATGQSAAGYGNASLRHNPGMQQIQEMPGPRPVAPAVGGRPPRPVPVPALQPDEENVQRIRQKKKLPELEKHLVDQLSTEEQNSLNSKFKELTEADTKVTELEKEIMESREKIEFYRTKMQELILYKSRCDSRLNEITERVSADRREVEVLAKKYEEKYKQSGDVASKLSIEEATFRDIQEKKMELYRAIVKMDQEGGADKIQVHADRIQSDLEEQVKTLNERCKTYGLRAKPTSLVELPFEQLIHKSQSPDSNGIRGGYLISAVGFSFLLNGQLVMAKGFVCIPCWQPGVQEGAADWNEEWDHFEDEGFEFVKELTLDVKNVIAPPKSKAPLVRKETSQANERIPEPSSNISEKSENLHDGEMNPDHESEHTHKEDNLARSPLHSPSNKNAVKSPSKDFQDSPSKKGFNSDGSPHATDMQSEQGGAEPVLSEDRRFDEPAWGSFDTHYDTDAGWDFNIDAAKDSDIERHSEASLFGPDSWGLNPIRTESNDVDNVFQQKSTYGFADSVPGTPMSIYGATPHTDNLFEKKSPFAFTDSVPSTPMSSYGNSLYSDNMFQKNSTFGFADSVPSTPMYNSVNTPRRFDEGSEDHSFDLSRFDSFNEGGIFPSREFSRFDSMSSTRDSDNDHGSYAPRESFARFDSFRSTADSEYNPVFPAHDSFTRFDSIRSTRDSEYNQGFPSFDDTDPFGSSDPFKISVGSETPRRETDSWKAF >KZM95899 pep chromosome:ASM162521v1:5:36593964:36594905:-1 gene:DCAR_019141 transcript:KZM95899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPNALFPLVFLNTLVSDEEYRLFHCIDIHLIKTLICGLHCSHFDAMNVVAFLLWLERSRICVNAVYKAGNLYWPDNMLLMLANQVAALLKWLKKTTVDWEMLPVISLIEGLCDREVGFVELYQRRLEIFEGMARIVEEMMHHRAFEDEFDSELEFNNGVGFPQQVSNYGSVNQIRVGLIPHPQELQIVSANGEGFPIVHGHQQGQEMDGGRRLRNGPKLQSEIAVGPRAERKMRSYQGQEMGSTSGAGRQTKHPGRSPIPEHLLSVLLSGINVTEEDTNVHCDDRTVFLTFSKGYPVTEHELRDFFTRYLY >KZM94289 pep chromosome:ASM162521v1:5:20622013:20622219:-1 gene:DCAR_017532 transcript:KZM94289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVLCSVILIFYILSSKVDDMRMNTEYLGYSAGFPVIQWFWEVVQSLSKEDTARLLQFVTGTSKVHT >KZM94682 pep chromosome:ASM162521v1:5:24916962:24919209:1 gene:DCAR_017924 transcript:KZM94682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSEQSPRSTVTKSNTATNTNGIHGVVKVKRTRKTVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQGKAVDFLEEFRNTEELRATQEEAAVAYDMAAIEYRGLNAVTNFDLSRYIKWLRPDGNNSTSAAANNLPDLKVETPHTLPNLIHHDHVKTNIVETKFLHHQQPTQPSLVRVTDNNLMALNTPPPAPVTATSALGLLLQSSKFKEMMEMTTAAEYYPINSSDSEPPRSCNFPEDIQTYFGSQDLSGYAGGDDFIFGDLNSIMQPLLHAGSSSSGTIDNYF >KZM95554 pep chromosome:ASM162521v1:5:33289457:33293805:-1 gene:DCAR_018796 transcript:KZM95554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQETKFSGHRVQLRRSSRLQRCNRFSNTADDPIDLEPTKQSEVNNNMEDDQQNSTPTTSEGSRKKRYKHAAKKNKVKPGQKSTPDSDESNEETYSIHELYRAEFQATNTNNQSEATDAQNQSEQTEDARQTEDQTEGNFQPPTEENQHEDQTMDEEHAEENQQSQSQEEAQNKDKSPTAKNKKWKRKKTEENAKGNDKGKDVPNPPLDDWNSHQNDDDLWEEWERAQRQSVQNEIFDPNTVSLNLNNELIPIMEEDVYEVLGLPWSKLSNNMMMDRHHPKRYNWAEFLISYLVSATKSWNENASSHFRGSAIFLTLFYADRVRHKGIKLVERQCPSFKGWTQEKLRERQAIDDFVESIRSMANELIDTKLLFDTELNLALQKYPTNKQLLDIKNIVFNVFHQQGTEKTTNTGPPEQTTKTLPPEQTTNTRPTYSRPSEQCTDSSPPEENTDTEEFQDCYEEEDFQLTIEDVEQLDLLSFVDSAKINAQQTELFETDTNATLDEKKTLATTYKLFAENVDSMLNQCNRTKLDLIDMAFFPICAFEHYYLIVYHLKNWSYEIIDNIDRSKIDPKKCYGEKPKILDGQDHQLIKLRIKYNNAILSSQLNQKRKEILKQGKELYIEAASKKLVDLVINSSQQSQEERQTSTIVAKSQNKKKVTFAKNLITPFNEVSDPQKDV >KZM96408 pep chromosome:ASM162521v1:5:40785415:40787483:1 gene:DCAR_019650 transcript:KZM96408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHGSAVLQDDECSVTRCPGGDEIRFPFHLIYPDKERQLQSDHCVFPVGFELSCGYYYPVVKFEYQVSTPLPGLHLSFSVAAYIDSIDYKSRQLHFISKSTNVSQHYGYYFVNSPFKPFTSSKVKAQFTYTDLRTDFTFYKCSSTKSEMDIDGVEVPSLSGHGFKVYAIYSHFRMTEIVLTSCTKLFNISHVPFNGGGLSWSEPDCGDCESKNQYCKFKPNSTTATECFPIPKGPLSHKLLVTGKVAGIFVLSLLLAAICYAIYSYKQKIIFQQKIEIFLEDYKSLKPTRYSYADIKKITNHFKVRLGEGGYGSVFKGHLSNDVAVAVKVLNDNVDAKGSGQDFINEVSTIGLIHHVNVVRLVGYCADGCRRALVYEFQPNNSLEKYVYSRENQRNGFLGWEKMQKISLGIAKGIEYLHQGCAQRILHFDIKPQNILLDKKFNPKVSDFGLAKLCNKDQSRISMTMARGTVGYIAPEVFSRNFGEVSSKSDVYSFGMLLLEIVGARNHTSTGTENESEVYFPEWIFHQLEQRETITSPIEEDLDSKIRRKLTIVGLWCVNWHPADRPSMKHVIQMLQGEDCPVMPPNPFSSTGSRNELAGGPGRLFTSELEVISELE >KZM96013 pep chromosome:ASM162521v1:5:37413368:37416930:-1 gene:DCAR_019255 transcript:KZM96013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHRQVKRNVGVSDSSNPSVDHVQEGMRRLRVSEKEDDVGDDDSGIFPDRPGEPDCLYYLRTGMCGYGATCRFNHPSKIDLKIGQSGGELPQRIGQPDCELSGANFLPNFVIDACMLQYFLKTGMCKYGSSCKYHHRLDRNGAGPVILNTLGLPMRQDEKPCAYYMRTGACKFGIACKFHHPQPDPAVSVTGPSHYGSTDSSGLSYGGGLPAWSLPKTTYLSASHSGGLQTYMPVIYPPSQELVSAPEWNPYMSSMSPAPSTNVYGESGFSGQIPLSYSGVSHLPERPDQPECRHFMNHGSCKYGMDCKYNHPREKYTQLAAGSLGPLGLPLRPGQAVCSYYSMYGLCKFGPACRYDHPLLGYTYNYGSNLPTLSIHSTSFSYQRTSPAIHSSETSPSKSPNIPNLTEQEAASKKKSDAKPPEDSPEQARSPSASAEVPEAHSD >KZM94885 pep chromosome:ASM162521v1:5:26720829:26725176:-1 gene:DCAR_018127 transcript:KZM94885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGSTEGHSAKSAYPKRLALIVASLVGLTVFLVLTSLFMVSQPIGSTLSGYFYGVSRSENFGSMSVPPDGGMVKDLTKLNLTEGDRTLVPPLENGGSASETVSTPDVNVVEISKNPHSTPQGTNEKLVSQPEVSVTVSENPAVSKSEETVDQPAGKLGSLNEGDFHNTSLPTNVTNSVQTGKDSTPSTDVLSSVSPLEDNISSKVGSGYSDCDLYHGQWVYDETGPLYTNNSCPVLTQMQNCQGNGRPDKEYENWRWKPEHCDLPRFDPKKFLELMRGKTLAFIGDSVARNQMESMLCILWQVEVPKNRGNKRMQRYYFKSTSTMIVRIWSSWLVHRTSEPFDFAPEGLDKLHLDAPDEIFMEFVPQFDVIVLSSGHWFAKRSAYILNNEILGGQLWWPDSSRQNKINNVEAFGISVETILTSLGTHPNYTGLTIVRSFSPDHYEGGAWNTGGSCTGKVKPALASEIVVNGFTNIMHEKQVNGFNNALKKTTNKSKLRLMDITEAFSYRHDGHPGPYRSPDPNKVTTRDKDGKPPPQDCLHWCMPGPVDTWNELVMEIIRREYEGVERCRWDYACTS >KZM95575 pep chromosome:ASM162521v1:5:33552192:33553382:-1 gene:DCAR_018817 transcript:KZM95575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKSKKKFCCSTSRTNDNELLEEGGVRGVVDRRLHGGDCDGGLRIGLEDSLIPGLHDDVALNCLAWASRSDYCSLSCLNTGFNKLVRSGYLYELRKKLKIVEHWVYMVCDPRGWEAFDAMRNKWVKLPKIPCDECFNHADKESLAVGSELLVFGRELFGFATWKYSLIQNSWLKCEGINRPRCLFASGSLGSIAIVAGGSDKNGNILKSAELYDSSTGRWEMLPNMHSPRKLSSGFFMNEKFFVIGGMTSHTDSLTCGEEFDLKTRKWRRIEGMYPNVSKAAQAPPLVAVVENELYAVEYLSNEVIKYDKEKNKWDVLGRLPVRADYSNGWGLAFKAYGKQLLVVGGQRSQEGEAIVLNSWCPKSGVKNGTLDWKVLGVKEKVGVFVYNCAVMGC >KZM96394 pep chromosome:ASM162521v1:5:40681965:40682252:-1 gene:DCAR_019636 transcript:KZM96394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGESCRKREVRVVMINTQYIETDSLSFKSVVQRLTGKDAVVEGEEPPVVKGGGGGCEAAQSNSVLLEAELRRDLNRFLMGMPSSFDNNFQLMID >KZM94198 pep chromosome:ASM162521v1:5:18465326:18467195:1 gene:DCAR_017441 transcript:KZM94198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHIVGSKMEDSRLFDAVNVLLSLQSKNGGLAAWEPAGSSEWLEEITGVFMKNCMLHYAAYRNIYPLWAIAEYRKNVQLPSTSVEVLA >KZM93708 pep chromosome:ASM162521v1:5:9465581:9465979:-1 gene:DCAR_016953 transcript:KZM93708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTVLRTAILGGPQASITNPHHNSFPKLVSFLMSTRKPIWPRSHFVSIKFNSAKFCPEVLKRHRFGLGSVGFASSGESVETQEVEDEVFDSQLQVHWLNTQELYLCLYINGSLRFIMIFFWVSMIVHWEG >KZM95209 pep chromosome:ASM162521v1:5:30196399:30196701:1 gene:DCAR_018451 transcript:KZM95209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVGKVRCSMKANTELQKGSTKVDMGASLMAAATAAAMSSPAAMALVDERLSTEGTGLPFGLSNNLLGWILLGMFGLIWSLYFVYTSGLDEDEESGLSL >KZM94387 pep chromosome:ASM162521v1:5:21809123:21811166:-1 gene:DCAR_017630 transcript:KZM94387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGAASKLSWPTDRFVIQVLDDSTDPTVKQMVQMECQKWANKGINIRYQVRENRKGYKSGALKDGLTHQYVKDCEYVAIFDADFRPDPDFLLRSIPYLAHNPELALVQARWIFVNADECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRAGLRGWKFLYLGDLQVKSELPSTFQAFRFQQHRWSCGPANLFRKMFWEIIRNKTVSWYKKAYVIYNFFLIRKIIAHIITFSFYCLILPATCWVPEVEVPKWGAVYIPVIITVLNAAGTPRSMHLLMFWILFENVMALHRTKATLIGLLETGRANEWVVTEKLGDATTKKSPKKAPKKPQFRLGQRLHMLELAVSAYLFVSACYDYSYGKTRYFIYLYIQSMAFLIMGCGYVGTIVPNS >KZM93260 pep chromosome:ASM162521v1:5:4119069:4120532:-1 gene:DCAR_016505 transcript:KZM93260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNSKRRWKFSLPKLPSSPSSSSSSPKTRIKDPPKEFVCPISGSLMFDPVVISSGQTYERTSAQVCRDLSFSPTLSDGTCPDFNALIPNLALKTTIVNWCRTVGVLPPAAPDYYSLQNKVRALMGSFKPTATPASVPHAPNVMNRNGSSSFCSSSSDESVIANVTVTSSSSMNSVEVLLDETVPSASSLEEEAILRKLRSLDVLDQKKGLIHLREMTRTSEEARVSLCTEAMMFAVRLALFSKFAGVQTNAAAAIVNLSLENVNKVKIVRSGIVPPLIDVLKGGFFEAQEHAAGALFSLAVEDGNRTAIGVLGALEPLLHALRSESERTRNDSALALYNLSLIQSNRVKMIKLGAVSILLSLLQNDDVADRVLLVLCNLARCAECKSVMLHCNAVESMVEMLRRVETESTRENCVAGLYSLSQRNMRFKGLAAECGAGEVLSEVLSKKLSQLGRDRVRLMLSVLRGREAEQEVDWERVMEMGPSCD >KZM94278 pep chromosome:ASM162521v1:5:20405825:20406591:1 gene:DCAR_017521 transcript:KZM94278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGNLHLESMIFSLSSLYGGKGQFVKNTIRRNWVKESSYVLLDKASSPFLRKMNLIQISCTSVAYGK >KZM92981 pep chromosome:ASM162521v1:5:1373752:1378093:1 gene:DCAR_016226 transcript:KZM92981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSGRSSPRNSPSFRKISSGRTPGKEGRTSSAFSASWFRSNRIVLWLLLITLWAYAGFYYQSRWAHGDNKEGIFGNESLNTDEDPESEHVHHRGLIVDEGTILVKSNISRNNADAVKLDVVLTKKGKDTLSPLTVLLKKRNKVKRLRRSSRRGALGKKVKPQHTENNNIEVQEVQEMQEEDIPMSNSSYGFLVGPFGSLEDSILEWSPEQRSGTCDRRSEFQRLVWSKKFVLIFHELSMTGAPLSMLELATELLSCGATVSAVVLSKKGGLMPELARRRIKIVEDKERVSFKKALKADLVIAGSAVCASWIEKYLDHSPASASRQIVWWIMENRREYFDRSKSVLDRVRMLIFLSKLQSKQWLAWCAEENIKLMLRPALVTLSVNDELAFAAGIACSLNTPAFSIENMLDKRKLLRKSVRDEMGLTDDDMLVMSLSSINPGKGHFLLLEAARYMIDQKPSPKDVSVDGLAEKDEYYHSVNNSETYRLGNIGNIKKLFSDSVYKNEENLKVLIGSVGSKSNKVRYVKAILKFLVHQPNLSKSVLWTAATTRVASLYAAADVYVINSQGLGETFGRVTIEAMAFGLPVLGTDAGGTKEIVEHNLTGLLHPLGRPGSRILSNNLQYLLQNPSARREMGIRGRQKVEKMYLKKHMYKMLAQVLFKTLRVK >KZM94705 pep chromosome:ASM162521v1:5:25133636:25137468:-1 gene:DCAR_017947 transcript:KZM94705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGLSTIQQTLTPEAATVLNHSISEAGRRNHGQTTPLHVAATLLASPSGYLRQACIRSHPNSSHPLQCRALELCFSVALERLPTAQSTSPGAPEPPVSNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQLLNSTTPQENVGLRANPSPAPIQMPVSSVHNRNLYLNPRLQQGNLNSNSQQGSSRNEDVKKVFDILLRSTKKRNPVLVGDSEPVIVIKEVLRRIEGGDSSEAVLKNVKVIHVSKELPLDKTQIAAKIEELGGVIESQIGDRGIVINLGDLKWLVEQPGVGAAGQQQVVSEIGRAAVAEMGKLIGRFGNRKIWLIGTATCETYLRCQVYHPSMENDWDLQAVPIASRSMFPRFAGNGILSSSVESLNPLKSFASAGTPAPRLLSENTKPAQKVSCCSQCSESYEKDLETLVSKEFEKSSSEVQPEATRPGLPQWMQNATLGSNIKTMDHLQPKDHQLEFKQKSEELMKKWNNTCLQLHNGHHHSLVPERITPTALSLTGLGLYNPKLLSRQPFQAKTPQGRNIENPLQLNSPQKPSEPSQLKLQQPRSLGESLQLHSDLGCRKPSQDASSPPPSPVRTDLVLGPTKIAKTSPQKTNNEPVVKDFLGCLSSEPQAKFNQLLNNKFADALDADSFKKLLKGLMEKAWWQPEAASAIATTVTQCKLGHGKQRGAGSKGNMWLLFTGPDRVAKRKMASVLAEHVCGTNPVRICLGSRREDEEFDAGFRGKTALDRIAEAVRRSPFSVIMLEDIDEADMLLRGSIRRAMERGRLTNSHGREISLGNVIFILTGNWSAENVRNIDNGCFLDETKIASASKRGWQLRLSMVEKSVKRRASWLHDKDRQTRARKDSGLGMCLDLNQAADAEDEGSYNSSDLINDHETELGLDNTQFSVTSVPHELVNSADAAITFKPANFDHIQREVEKTITKTFSNILDETSFEVQEDALQKILGGLWFGRTSLQDWAERVLLPAFHLLKSRYSSNEPMAVRLEADEMCSGRGCDEDWLPSRISVMLNGVAV >KZM94923 pep chromosome:ASM162521v1:5:27043370:27044968:1 gene:DCAR_018165 transcript:KZM94923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQLNSSPCCECKIDQSSYLIIPDCKNPEPDMLSPLIPNTPTTDSKLQHQHQPHQTHLSLAVKEAFSIANIALPMILTGLLLYSRSMISMLFLGHLGELALAGGSLAVGFANITGYSILSGLAMGMEPICGQAFGAKKYTLLGLSLQRTILLLLVTSFPVAILWLNMRRILLFCGQDEAIAMEAQSYLLWSLPDLLAQSLLHPLRIYLRTQSITLPLTFCATLSIILHIPVNYFLVVKLGLGTKGVALSGVWTNFNLVASLIIYIIISGIYKKTWDGLSRECLKGWKSLLNLAIPSCISVCLEWWWYEIMILLCGLLVNPRATVASVGILIQTTSLIYIFPSSLSFSVSTRVGNELGANRPAKAKLAAIVGLSCSFVLGFSALFFAVSVRNVWATMFTQDKDILALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKIGANINLGCFYLVGMPVAVGLGFYLKLDFQGLWLGLLAAQASCAVTMLIIIARTNWEVQAERAKELTAGAAVFVDQIVEEEKPLKAENKDYSLC >KZM96460 pep chromosome:ASM162521v1:5:41129630:41130892:-1 gene:DCAR_019702 transcript:KZM96460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNLEKPIKKRRCKPEDDTRRNGLDRLPNDIALDILLRLPVISLLQSSFVCRSWHNLRLDQNLATLHLSQAVNRDPLLIFHSDYPLRNELCFAEFPASDDDEKTVRKISTPFSASMPEFTMEGSCNGLLCLSDTLYREAVYIYNPLTRDYKELPKTRQYEDQTVVCGFGYHPGTKEYKVVKIVHHRITNFGSQYVERTKRLAKLRSEVLVFTLGGNAWKNAGRAPFSHVDWRSRQALCTNGRLHWLSFGEYNGEYNNVRGLIIVSFDLADENFYEVPRPDFSVIDGRNYHLAVLRGCLSAAVFEHGLRRDLEIWVMKEYNVKESWTKEFKFKGYVPDSPWDNSLLPVRIRGSEPRRLTLKVLCVLENGGILIEYHFGRLAVYDPEAGRFRIMKFKGMPSLFRTVVHTESLNRIVLPIQL >KZM96563 pep chromosome:ASM162521v1:5:41929065:41930666:1 gene:DCAR_019805 transcript:KZM96563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHI description:Chalcone isomerase MGTVGTEVVMVDGIPFPPQITTSSSSPLSLLAHGITDIEIHFLQIKFTAIGVYINLEDILPHIKNWKGKTGDELVQDDDFFDALVTARADKFLRIVVIKEIKGSQYGVQVESAIRDRLAEVDKYEEEEEAALDQVVQFFQSKYFKKDSVITINFPATAAIAEIGLSIEGKEDSFSKIKVENENVAEMLQKWYLGGSRGVSPTTISSIANSLSTHLCVPPPSP >KZM95905 pep chromosome:ASM162521v1:5:36647911:36652201:-1 gene:DCAR_019147 transcript:KZM95905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAAPKASKTEIAKKQKSVEDKTFGLKNKNKSKNVQKYVKSIEASAIPKPDPSKLAAKKKKDEEKAREKELNDLFKIAVVQPKVPVGVDPKSILCEFFKAGQCGKGYKCKFSHDLNIQRKGEKIDIYSDKRDEDTMDEWDQEKLEKVVESKGKEYNQNKPTDIVCKHFLDAVEKKQYGWFWSCPNGGKECMYRHALPPGYVLKSQMKALLEEESNKLAIEDEIENQRAKVTTTTPITTEIFFQWKKKKVDAKEAGLAAQQAERAKNDRMSGRELFLAHASLFVDDEDAAEYEKFEREEPNIPEQKAPNNASSSQPSTSSVATKSEEVISDIDDDDDELDLDELNELEASLSRTSLQIREPGQQAVS >KZM93509 pep chromosome:ASM162521v1:5:7369956:7370474:1 gene:DCAR_016754 transcript:KZM93509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQGAHYSAPVDECEKPVIVAVVQVETCDEPKESLKEKIHEKHEEVEAKVHELEHKIHEKHEEFKDKVAEKEHELKDKIHEKHEEVKDKEHELEEKIHEKHEEVKDKIAEKHLEKEEEKYLKDHKVDAYLLPTGHCDESVLVVVEPEESLKDKIHDKGHEIKEKIHGHKHA >KZM92933 pep chromosome:ASM162521v1:5:969407:970252:1 gene:DCAR_016178 transcript:KZM92933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIHTTLGVGHDNRVHDSVFQGNNNTDCNGLAITSHTEQVQPNETYSECHNTERVVDEAPPQETVVEQLTPRGKKRGRGRPRKKPLKQLEESYVQTLSISHGGDVVAVQESIDAPKMALNSVKHKRGRPPKNQGTNISNPQGLVAASQVMDKSEKRGRGRPRKKPLEHVGGSYVQNLSISHDRDVDAAQGSIDASQVKVKSVNHVRGRSRKNQDDNISTPQRSVDASQVALKSAKRGRGRPPKSAKRGRGRPPKNLGTDINSPQRLTETPQMILRSAKKA >KZM93426 pep chromosome:ASM162521v1:5:6210181:6212888:1 gene:DCAR_016671 transcript:KZM93426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCTKNQLPGGEGGGSTVKRKASPIKSSTKLSAKFMRSTSPDKENNTLAQPLPPRLAKQPRVLQDAARLPTTELLTHHLHPSTKIQLQLFPINQDTRIQLEKDGYNPFLELTLRARKKISSVITHMDSKWGSSSIATGKLMLVPYTTTLDKVASVKRWTLDDISVTAGDVYAAVDSPDVFRLRYGWFSNLESTCFEGSLGSEGVNGFARTSEVTPNLMKELERTSEDIVKPNHVGTAAEKIVTDQCMDVLANHLDGKKMDNGTGGSSVTCDNNLTIGTGQLLPWDDSILNLSIGGFISELSLLGKADGCNIKSTQSQQGLHQIPISDFSIGGLLNEASLQGKIHSNKLASNSSKSAVQEDIGKGSQFPWDDSLTNLSIGGLLSEASLQEKIQAQPAKPLLRGSHSSFFDAEETCHEFSYQKSSFTKRNLTSSGSGKPGSCSHNTNLNTTKVSSCPEFPNLYFLDKLA >KZM94528 pep chromosome:ASM162521v1:5:23256381:23259816:1 gene:DCAR_017771 transcript:KZM94528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQWGKNKKKTKKSQGEETSDLNLKLSRISEINTKAYDEDTKVFILISQELKDEGNKFFQNGDLEGAIFNYEKALKLLPQNHIDVSYLHTNMAACYMQMGLSEYPLAIHECNLALQVTPKYTKALLKRARCYEALNELQYAQADVDAVLKMEPNNLMATEISNRLKSNFEKKYLKAIDLETDPASDYLETRSSMHLTSPKEKPQMKSDEVKEQLDNHFKEKGGYRKAVEELEENRTQDKFVMEEVVQVMIKEKKAEDELVEDENKTEERQKENSNKDKLVLVNKAGNGNEEKNIKDKLVVQETKSNVNEEKPERKVKLVYGEDIRWAQVPIVCSILTLRDIIRDRFPGSKAVLVKYKDHEGDLVTITTDEELKWAEAAAEQGSLRLYVMDVSPEHDPLFEKVKKNEEVYMHHKNHKDFTDNGYIAIGNNARGRQSCIDDWIVQFSELFKNYVGFSCDAYLDLHEFGMKVYAEAMEETVTSEEAQGIFNTASEKFQEMASLALFNWGNVHMSRARKRVYFTGEDSNESAFVQVKNAYNWAQDEFLNAGKRYEEALRIKPDFYEGVLALGLQQFERAKLSWYYAVAKNDDLDKWSSTDVIQLYNCAEENMEKGMQMFEVLERERLDELSKEKNLSISDGLIEDIRMDEAAELSAHMRSQINILWGTMLYERSNMEYKLGLPVWQESLEVAVEKFELSGASPTDVAVVIKNHSSNSTALEGNNARGRQSCIDDWIVQFSELFKNYVGFSCDAYLDLHEFGMKVYAEAMEETVTSEEAQGIFNTASEKFQEMASLALFNWGNVHMSRARKRVYFTGEDSNESAFVQVKNAYNWAQDEFLNAGKRYEEALRIKPDFYEGVLALGLQQFERAKLSWYYAVAKNDDLDKWSSTDVIQLYNCAEENMEKGMQMFEVLERERLDELSKEKNLSISDGLIEDIRMDEAAELSAHMRSQINILWGTMLYERSNMEYKLGLPVWQESLEVAVEKFELSGASPTDVAVVIKNHSSNSTALEGLGFNIDEIIQAWKEMHEAKKWQSGIRSFRLEPLLRRRALNLYHVLER >KZM93484 pep chromosome:ASM162521v1:5:7031301:7035778:-1 gene:DCAR_016729 transcript:KZM93484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMKVYSTEEKELIMEPTLKWAGNPNVLVVAKAFGLKATVQVVDLQVFASPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADAMSIPGLYSFVQEIIKDQVANMYLWPKVLEVQIMDPAKAMQRPVGILNVKVVRAMKLKKKDLLGASDPYVKLKLTEDKLPSKKTTVKQKNLNPEWNEEFNLVVKDPESQALELVVYDWEQVGKHEKMGMNVVHVKDLTPEETKALTLDLLKNLDPNDSQNEKSRGQLVVEAMYRPFSDDEKPDDAEDSEVQKAPEGTPDGGGLLVVIVHEGQDLEGKHHTNPSVRLLFRGEERRTKVVKKNRDPRWDEEFQFMLEEPPTNDRIHVEVVSTSKRMGLLYPKDTLGYVDISLADVISNKRINERYHLIDSKNGTVQIELQWRTSS >KZM94502 pep chromosome:ASM162521v1:5:23045043:23045489:1 gene:DCAR_017745 transcript:KZM94502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKFEGCSETHKVALTFARLKGEGKDAFGDIWVQILTEMAALVMHWLGERVDCVHHTVAWVRTNEFAEVLQWVVCSRDSKTSDIMNKVIITEDTSGDYMMMDNTCMHTRIKVMTMVDMVERMGHMEIQLHLVLLGFGLVVSLIIHLK >KZM93971 pep chromosome:ASM162521v1:5:13101165:13101662:1 gene:DCAR_017216 transcript:KZM93971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLELGFQLAFKIGKDAIELETKATDALREWDDWRWFTDPRHTRLAEQLNQRISVGALTLNKRVIVPNQNMLARYLAEHGATTKLRAVRIYRPFGRISELWHLDMGLGYIGGNFQVVDEDEYEAMQQQEMMVDVRGGVADACVQASESGGSVAGGLAGHGVENMD >KZM93331 pep chromosome:ASM162521v1:5:4841168:4847129:1 gene:DCAR_016576 transcript:KZM93331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGEMVLGKGGGNNGKGGDGFIDRSKVRILLCDSDSKRLDEAFTLLCKCSYQVTSVRSPRQVIGALNAEGPDIDIILSEVDLPMAKGLKLLKYITRDNTLRRIPVIMMSAQDEVSVVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLPEKNIVNYDFDPVASDPSDANTNSTTLFSDDTDDRSRKNANPETCLSTYQEDNNTIATIAALEAIPPTDISAAYFPDPPVTSNQQRGPKKSELRIGESSAFFAYVKSGLLKSSSQVVIPADENPIEQLRIEKKFSTWSGQMFNDTQGNVDKETHNNHIQIDELQRGKSIPVSFTADTPSPPHQADTSQQRNSKPEGFSQAQMLPPHGAHHDMSRFGHYPYFVPGHMNQVMMSTPSMYQKDLQDPPNSAPSTLLHQNNHVPYCPPHMPGVAPFPYYPVNVCLQPGQMLTPHPWMNYGGSSTDGKPSKVDRREAALLKFRQKRKQRCFDKKIRYVNRKKLAEKRPRVRGQFVRKVNGLNVDLNGQPTSADFDDDEEDEDEYEE >KZM95178 pep chromosome:ASM162521v1:5:29628909:29636854:-1 gene:DCAR_018420 transcript:KZM95178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKRPEQPTTAARKSLKRKLEEEFVEDRDEFCVAEQDLVREVEAQVEILNACRTSTEADRAAAKRAIHVVSEYAKIEEYVNLIVKTGVVTVLVKHLEAPPELEDGQQPYEHEVEKGSAFALGLLAVKPEHQQLIVDAGALPHLVSILKKHKGGQSSRALIGAIRRAADAITNLAHENSRIKSRVRTEHGIPPLVELLEFPDPKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDMSIHYEAVGVIGNLVHSSPNIKKEVLQAGALQPVIRLLSSCCSESQREAALLLGQFAATDTDCKVHIVQRGAVGPLIEMLQSQDAQLKEMSAFALGRLAQDTHNQAGIAQSGGIVPLLNLLDSKIGSLQHNAAFALYGLADNEDNVGDLIVVGGVQKLQAGEFLVQPTRDCVSKTLKRLEEKINGRVLSHLLYLMRVSERPIQRRIALALAHLCSPDDQSSIFVDNSGLDCLLELLLSSDLKLQRVASVALHKLADKARSLSPVDAGPASPISQVYLGEQFVNNSTLSDVTFLIEGKRFFAHRICLLASSDAFRAMFDGGYRERDAKDIEIPNIRWEVFELMMRYIYTGSVGVSLDVAQDLLRAADQYLLDGLKRLCECTIAQDISVENVSLMYDLSEAFNAMSLRHTCILFVLEKFDNLIAFPGYFDLIRRVLPEMRNYFVRALTRPIQA >KZM93536 pep chromosome:ASM162521v1:5:7569233:7569655:-1 gene:DCAR_016781 transcript:KZM93536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNSVVYLLDDSVVSTNLTYSLLDNSMFSKNFIYSVLDNPVISTTFTHFGVVNISASTDQRSCTSDTFFPITTSTRRLVHSWVNFGHQISLDDFSIFAVATQDTVFYSNTAVVIFVCVDWVVYSRAEQETDLKPKPKRN >KZM93434 pep chromosome:ASM162521v1:5:6341010:6342576:-1 gene:DCAR_016679 transcript:KZM93434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPIEADEGDDGNVEGITVQDAENKDGVVEEPTIHTSEKPTLAETHVDVGHSEVSSPNPIDEPQVTSVTQPPFISEILSSTCPTSFFSSFTSRIEDNLILSDVDLPFSHFEEPHSSGSQEPITQSVMDITPPNTEFDQASSRLSSLEEKVTVMNDKLDSHSRSVADGFSKIQAALDSFSKLLHPANLPKGEKNDKSDKGDSDQPRREPQGGASQREIGGASQGEKGNVPEKSQGGATEGKPNKDGSSRKGVQGASALERRHK >KZM96396 pep chromosome:ASM162521v1:5:40697898:40699029:1 gene:DCAR_019638 transcript:KZM96396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSKNGRKKAPLLSNVFNYNTSMMKEHFHPPRNLEDVNRILENYDSEQKEKVKFTQLHSGKENVGTSPNSCRAPDLAPNTPRVILSDMNNSKRLARDESPSPTLFSRIWDHDLGMMSDKSAKKKSRRLPPRYESKTRSPFNASLLQTPLQTPVCQTERSTFTTSRSPLTPLSVNTNILNNDSLIPQKKHPSSASAKKHSVCGINCLHIMWFIVIICLRFIL >KZM94829 pep chromosome:ASM162521v1:5:26280690:26284548:1 gene:DCAR_018071 transcript:KZM94829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFRLASRILNLDSKLEKSLLIPFREIKAYDGELVSFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVVDIPYGGAKGGIGCSPRDLSNSELERLTRVFTQKIHDLIGVQTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAIVTGKPIDLGGSLGRDAATGRGVVYATQALLAEHGKSIEDTKFAIQGFGNVGSWAARLIHERFGKVVAVSDITGAVTNPDGIDIPALQWHKEETGSLKNFSGADAMNAEDLLVHDCDVLIPCALGGVLNRENAGDVRAKFIIEAANHPTDPEADEILSKKGVIVLPDIYANAGGVTVSYFEWVQNIQGFAWAEEQVNKELKRYMKRAFLNMKSMCQTHNCDLRMGAFTLGVNRVARATMLRGWEA >KZM95222 pep chromosome:ASM162521v1:5:30305204:30320015:1 gene:DCAR_018464 transcript:KZM95222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRLGGGHNLGCTATAENIIVGSHVWIEDPEIAWIDGQVTKINGQEVQIDTTKGNSVVAKLSSIYPKDEDAPDGGVDDMTKLSYLHEPGVLQNLSTRYKLNEIYTYTGSILIAINPFQKLPHLYNSHMMQQYKGSQLGELSPHVYAIAEVAYRAMIREGKSNSILVSGESGAGKTETTKQLMQYLAYLGGRKGTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCGGPAEVVEKYKLGSSKTFHYLNQSKCHELAGVNDTQEYIATRRAMDIVGISETEQEAIFRVVASILHIGNISFAKGSEVDSSILKDDKSKFHFETAVELLKCDRKGLEDALLKRVMVTPEEVIKRSLDPVAAVVGRDGLAKTLYSRLFDWLVDKINTSIGQDPNSKSLIGVLDIYGFESFKQNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKAHKRFVKPKLSRTDFTIAHYAGEVQYQSEQFLDKNKDYVVPEHQDLLSASKCPFVAGLFPPLPEETTKSSKSSKFSSIGSRFKVQLQQLMETLNSTEPHYVRCVKPNNLLKPSIFENVNIMQQLRCGGVLEAIRISCAGYPTRKTFFEFSNRFALLVPEVQEGNLEEKVACEKILKKMELAGAQIGKTKVFLRAGQMAELDARRALKLSGAAKIIQRKIRTYITRKYFLALREAAISVQAFSRGKLACKLYENMRREDASIKIQKKARGHSARMSYKNLRISAVMVQTGIRAMSCRKEFRFKKRDTAATTIQARWRGHRCFAYYKKLIKAAILTQCRWRGRVARKELRKLKMAAKETGALQEAKNKLEKTVEELTWRMQLEKRMRTDLEEAKGQEISKMQNSLEQMQAKIDEANALVVKEREAAQKAIEEAAAAVKETQVPVEDTAKIEELSAEVETLKSSLQSEKERADDLERKNQASEESNEEKRQKLEESEKKVQELQRSCRSLDEKLNNLESENKVLRQQALSIAENSKALQDLEAENKNLRQKVLTMAQSHKMLAANRSKSVIQRGETTKAAIDLPTPPIKQLKEQAAEVEERPQKSLNEKQQEYQDLLMRCIAQHLGFSKGRPVAACIIYKCLRQWRSFEVERTSIFDRIIQTIGHAIETQDNNETLAYWLSNASTLLLLLQRTLKAGGAAGVTPQFRRQPSLFGRMTQSFRGTPAGVDLSFASGESTGGVDTVQVEAKYPALLFKQQLTAYVEKMYGMIRDNLKKEISPLLGLCIQAPRISRASLIKGTARTLANAAAQEILTAHWQGIVKNIENFLNMLKSNHVPPFLVRKVFIQTFSFINVQLFNSLLLRRECCSFSNGEYVKNGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPRKSLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSLSPDVISAMRVLMTEDSNNAVSSSFLLDDDSSIPFSVEDIAKSMDQIAISDIDPPPLIRENAGFTFLLPRAD >KZM93236 pep chromosome:ASM162521v1:5:3945242:3947097:-1 gene:DCAR_016481 transcript:KZM93236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLTGISKASWHPVITADTTTPSYWYEGPQNSRNQSRETQQGKASGGVLYEDELWKPCLRGIHPVWLLAYRLVAFFVLLVMLSLNGAADGGTIFCYYTQWTFTLITIYFGLGSLISMFGCYKHHKKVGGDRIVNLEMDGDSEQGTLHSSEPPKFIEAPAFRKEFPCNGQDRELAGFWGYVFQVIFQMNAGAVVLTDCVFWFIIVPFLTRKDYDLNFLLISMHSINVVFLLGEAALNSLRFPWFRIAYFFLWTTVYVVFQWILHSAHSTWWPYPFLDLSNQHAPLWYLSLALLHIPCYGIFVMIMKLKHSVFSRSFGPSYHGVY >KZM96370 pep chromosome:ASM162521v1:5:40525092:40527950:-1 gene:DCAR_019612 transcript:KZM96370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHYASSTWGVLPSHPSSKSSQKVTPFLGFSMAAVSSKPTFSLIKTNNNKKCTLIRCQNADEQEATTALIPREQQWMFDATDFKGPDIWNTTWYPKASDHINTEKTWYIVDATDKILGRLASTIAIHIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRNQKLYRRHSGRPGGMKVETFDQLQQRIPERIVEHAVRGMLPKGRLGRALFNHLKVYKGPEHPHEAQKPVDLPIRDKRIQIQK >KZM93619 pep chromosome:ASM162521v1:5:8544746:8544976:-1 gene:DCAR_016864 transcript:KZM93619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNVINATVRIYFVGNDKHPWTDMIVASNSDSGQTMILTVAHALQVEGMLVEEVEQDLRVKFYEDDEEYSIKICL >KZM94230 pep chromosome:ASM162521v1:5:19686742:19688805:-1 gene:DCAR_017473 transcript:KZM94230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLTRGLVMILGYAYPAYECYKTVEKNKPDVEQLRFWCQYWILVAMLTVTERVGDTFVSWVPMYSEAKLFFFIYLWYPKTKGTTYVYDSFFRPYIAKHEMDIDRNLLELKTRAGDYAILYWQRAASYSQTRVFDILQYIASQSTPRPRPAQATQQASRVRQPTAAQNRQAAATALKQVDEPASTASTSGESQVKMVEKKNPSELPASSAPLVTAINEQKLTATQSIDSSSKPATSNEEVLANDTASSSAKEDANPPPADIVMEESIRVTRGRLRRARVTSIR >KZM96085 pep chromosome:ASM162521v1:5:38111687:38113637:1 gene:DCAR_019327 transcript:KZM96085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFGKLKSKKDSACTKRSNGKVVSVESTDELTADLSRLLIGLKFAQGAHSQLYHGRYKDEPVAVKTTRVPDDTGDGSIGARLEKLFTREVTLLSRLHHPNVVKFKGACRKPPVFCIITEYLSEGSLRAYLHKLGGIIPLPKVISMALDIARGMAFVHSQGVIHRDLKPENILINQDFKLKVADFGIACRDTSCDALADGAGTYRWMAPEMIKSKSCSRKVDVYAFGLILWEMVAGRIPFEGMNPVQVAFAVANKDLRPAIPGDCPAAMKALIQQCWSSEPDTRPEFRQVVKNLRPAIPGDCPAAIKALIQQCWSSQPDTRPEFCQVVKVLEQFEASLASDGNLDKLQSLTCQDHRKGIFHLIQKIAHPCTS >KZM95091 pep chromosome:ASM162521v1:5:28565304:28566778:1 gene:DCAR_018333 transcript:KZM95091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEANQPSQMVEDDAKNLKYLGFVQVAALYAVVCFSTIYEYAKENSGPLKPGVQTVEGTVRTVIGPVYETLHDVPFELLMFVDRKVDETITELDRHVPSLIKQLSSQAFSAAQKVPDVARAVASEVQQVGVVDAASNIAKTMYNKYEPMAKEYYVEYEPVVEQYAVIAWSSLNRLPLFPQMAHVMIPTLAYWSEKYNQTVAYLADEGYSVAFYIPMIPIERIGKVFKAAENGTTDVVAS >KZM93860 pep chromosome:ASM162521v1:5:11595484:11602655:-1 gene:DCAR_017105 transcript:KZM93860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKESNPLLEETTCGSLLQQLQHIWDEVGESDEDRDKMLLQLEQECLDVYKRKVDLAAKSRAKLLQALANARVELTTLLAALGDKTFVGIPDKSSGTIKEQLSAVAPALEQLCKQKDKRIKEFSKIQSQIQTIYGEIAGTAEQVGNPAVDESDLSLTKLEELRAQLQELQNEKSERLKKVLEFVSTVHDLCAVLGIDFSSTVTEVHPSLNDSSGVQSKSISNDTLSRLATTVLALQEDKQQRLHKLQKLATELIDLWNLMDTSEEERRLFNHVTCNISASVSEVTDPGALSLDLVEQAEVEVERLDQLKASRMKEIAMKRQAELEDIFARAHVEIDAEAARGKILALIDSGNVEPSQLLADMDNKIVKAKEVALSRKDILDKVEKWMSACEEESWLEDYMKDDNRYNASRGAHLNLKRAEKARILVNKIPALVDALVAKTRAWEEEQGLPFSYDGVSLLAMLDEYAMLRHDREEEKRRMRDQKKFHEQLNTEQEAMFGSKPSPARPMSSKKVVGPRGNGTPGRRLSLAHHNGSRSVNKDGRRDMRPVAPVNYVALPKEDAVSYVSASEPRPSTP >KZM95047 pep chromosome:ASM162521v1:5:28230040:28230459:-1 gene:DCAR_018289 transcript:KZM95047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEEAENVFHNLVKRCETPFYWSWGLFMSYYLKKQQIDLALRYLEAAISGINNNEWRPFAADITRFMEYFKKEGDVTGAEKLCEILKKANCIDSEAYMLLLEVYVAANMTAPQVRCKMKDDGIEISSEHEELLRRVCPE >KZM95060 pep chromosome:ASM162521v1:5:28285566:28289656:1 gene:DCAR_018302 transcript:KZM95060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGGFAVSRSHGGDRFYNPPAMRRHQQLLLQQQLQRPEKSPAAAAAVAAVPEAAEAQKRSESDGSVSALSKKASDCGSSEAKKVTNESNIDRLMEAVTPNVAAKYCPEVNGRGWRSREAGLRPFYNLGDLWESLKEWSVYGAGVPMIIKETDSVVQYYVPYLSGLQLYADPSKRPANNSEYEADRRKHVADGSHQNAVNLSSQKMKSLSLRDKYPVNSSGEEGGNSNSPGLLVFEYLEYEQPYSRKPLTDKANGGQSQSHGSSCVGKVINASAGSSKISLPVIGLASYKYKGSILSPSAPQDYEHENSLLEAANSWLQGLNVALPDLQFFRSHYSRR >KZM96459 pep chromosome:ASM162521v1:5:41128781:41129224:1 gene:DCAR_019701 transcript:KZM96459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELDKEKRAKFCINDHIDVLIEILKRLDGRSLGVAASVCRLWCSVTRNDSLWEHLCFRHVYPPPLTIRPIVLALGGYRKLYMVCLRPVLSRLGRRRVWTRHEVELALSLFCVDYYERLMVGGSAGKGGGEAAASSSLMFLCKAVNV >KZM94237 pep chromosome:ASM162521v1:5:19839389:19843007:-1 gene:DCAR_017480 transcript:KZM94237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVPEIPQKFCNMQQNTLQGNWILNMRNGYTMHVTYDQQNHRLEGMSDLFTDFRLVGGEVLIFQFVVGTNFNVYIMCEDGSEMEYPSVPHASQLLSPNPVSVSENKGGQKFLKFISNADPTFDEVVLPIAFWRKFSAKIPEWFTYVLKNHFRFGGHFEFTKRKLSGLKKICEGLKLSKFEKFELLVFTYDSGRLFTLTLFDGSSVEVCLDVQAITLGTLFLTLRYPCAFEVQVMPSHMLAHCPGVLPKKGTVKVNVHAVYYDKPLGNGNNSGLGVVVKNSKGQIMIMVSGSIQIMNKRVNELWSMLMGLRCCLYAGNHNIILETEFGDAVREWESWRNFIDPTHSEVIRSLVHRTEDERLNLEICVVKQSRNRLARYLAEDGALSRTMSVIFTRAFGRVRDLWHRDMGLGTTEFGFDLVTEEHYRKMEEGDSKEGMGEIRHDDEGSKFMFHN >KZM93843 pep chromosome:ASM162521v1:5:11382797:11384549:1 gene:DCAR_017088 transcript:KZM93843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQISSLVTPFALILLVFILLKVAKVFRNDKSKLPPGPRQLPFIGNIHQLVSSLPHHILKDLANKYGPLMSLKLGEVSVFVVSSPEIAHDMMKTHDLNFVQRPYSLSTDILSYHSSNIASSPYGDYWRQMRRICTLEIFSSKSVHKFRSIREEEVLNFINSVSQNKGLPINLSKELFSLTSGITARAAFGKRINDSQVFAMTLKEMLELSAGFSVADMYPSLKVLHVISGLRKRLEKVHKDMDKVLARVMTEHRDRNREADPQDLVDVLLKTQKDEFITPPLTDNNIKAVILDVISGGSETSSITIDWAMSEMLKNPKVMERAQAEIRKVCEGKENIDETMLHELSYLKQVIKETLRLHPAAPLLVARECREQCQLHGYDVPVKTKIVVNAWAIGRDPRFWADPDTFDPQRFEDSSVEFKGTNFEYIPFGAGRRICPGMLFALPMIELPLAQMLYRFDWKLPEGLKNEELDMSEAYGITAGRKHDLYVIPVAYTPQKA >KZM93880 pep chromosome:ASM162521v1:5:11815994:11816851:-1 gene:DCAR_017125 transcript:KZM93880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMGLSENQTSYPAQNIHPSQDSQASSKDPTCNQNLTPNHGNLPAMDSQPSNKEHNYTPHLADSEKSDDAKSGTQREFGENNPIKETSQGSRDNDLTRILQGSLQGSRLSTQSQVNPLTPRYTAMTPSMTLSQAEIIIEHQEPNSGEDEVIHLNTNHWIPRIQISTSSVISSKSERDSSDMEEDFLDNEIESLQQELYTGFHNLRVKSKRGRPHKFNPKITNKHFKVPKKKKSRGEGLQQITHFFLNNSHDEVESIYENGMLMGLLPLHSKDKSIDLIKRNLRV >KZM96523 pep chromosome:ASM162521v1:5:41601149:41607635:1 gene:DCAR_019765 transcript:KZM96523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEKMKIAVAAACLAATITVIVATLYRKPRKNKQHLTDSDSFSCYLKTKHKPQHSFKRVLADNSYSPFRHLNLNSSSQTTDNNFNLHPYKSEITQLMKNSKIQLMSNVEGKMIPEMKDSYVWVDTEFKLKELADVLSKECVFAVDTEQHSLHSFLGFTALIQISTQSEDYLVDTIALHDVLPILRPVFANSSICKVFHGADNDVLWLQKDFHIYVVNLFDTAKGCEVLSKPQKSLAYLLETYCGVATDKSLQREDWRQRPLPTEMVHYARTDSHYLLYIAKCLASELIEQDKSCLNDKFHFVVEANRRSNATCLQLFVKDIESCPGESAASSIINRHFNDRGSASSITCHAKARMHDESLKYVLSDQAIVALAADAPTSERDIYDCILQADMSFDSLNVLSTVDSPSAVVCSHIEDLAYIFNNDAGKNEDIFNLILQKHLGPNGSCPLSSKKNICVGCGEENHYLRYRVIPSCYRVHFPEHLKSHRSHDIVLVCVDCHEIAHSAAEKYKRIVAAEFGIPLFLQKVVDPSQAQEKLGLSASSAHLEDAGVSPLELRTAAMALLRHGHRMPSKRHEELTQIVMKYYGGRTISQEDLERALEVGMSPHERRRAAKKRGLSFKHAVTGSEPDITGNGTSSAPENNFDANKEEDDGDKGSSTPGDFLDPNLVDSTHAVNRGADSNSGGNSPTYFTPMVYAEGSITDGMSTNSDKVISRTCLESEATIVDADGRSECNGTLNGTTSESNGTSRTCLESEATKVDAGVDSECYGTLNGTASEFNGTLTGTLSSKSASKMSLLGHGPHGNQVVNHLLKEYGDDGVQEFCQRWRQVFVDAIKPRFLPAGWDVTHSGRRDFGEFSVYNPAKKGSAPVTSHEHI >KZM93492 pep chromosome:ASM162521v1:5:7221250:7222602:1 gene:DCAR_016737 transcript:KZM93492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFKFKSPFRLLGKCGKQQIESEDILVNKVNVDEEYKETLRTKSYTDISSKVEGHLLSKTMETSSSVRPIQLHLFESLLEPGQETVAHIIEGSNLHPLLIDYFEITIKACFICEVLLQSIQQIRANHRVIKNVLDMRQKALFSNDRCCAMFRDLDSFATIGNPLSAISLSEFREMQNGQSDLLDRLTLQCRKIRRRMKIRRGVKKTVGYTIVVAYTALAFTLMVLAFHSIVGLVAAPALFTCSFGFLKKRNKLVKIKASSRERIVAQLDVAAKGVYILINDFNTVSRLVMRLYDEIEHSKAMADMCVRNGKIEVLKEVVREFRIGETCLNEQLKELEEHINLCFLTINRSRRLVAQELMHGANRSKM >KZM94332 pep chromosome:ASM162521v1:5:21146540:21152062:1 gene:DCAR_017575 transcript:KZM94332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKFVMHFTLLLTLPALLSHTYTKDMELKLVIAAIFLGAILWTWRVLTWVWLRPKKVENMLREQGLSGNSYRLLYGDTKEIKHMAELANSYPINICDDILPRVLPFHHHIVKTYGKSSFSWIGPVARVNILEPELIKEILMNNTDFKKPTPNPLAKFLVSGLSGYEDEKWSKHRKIINPAFHVDKLEAHGNSSAVCELNVHPYIEALTSDVISRTAFGSSYTEGRRIHQLQKEQAQLTVQVLQSVYIPGWSYLPTRRNRRLKEINSQLKCLFKDITEKREKTMNLGEDDKDGGLLSLLMKTSRKEIQENGDNSNLGMNTSEIIEECKTFYFAGQESTSNLLTWTMILLSIYPNWQVHAREEVFQVFGTRQPDYEGLSRLKIVTMILYEVLRLYPPATIFTRVIYKETKLGQMTLPPGVQFLLPVLLVHHDTEIWGEDAKEFKPDRFSQGISKATNNRISFFPFSWGPRICIGSNFAMLEAKIFIAMESDIISALKMDAKAISLLSSIAISVVILVIVTVTKKVVNKVWLKPKQLEKFLKAQGFKGNPYRILLGDMGDYVRVTKAEQPKEIKLCGDVSQHALPYIHYIVKKYGKANSYMWWGPEPRLNILDPELIKEIMSKSNVFRKPYPNPIGEIITGGLLTAEDEKWTRHRKLISPAFHVDKLKNMLPAMHLSFQEMLKKWEELVSATGSAEVDVWPYLEDMSGDVISRTAFGSNHEEGRKIFLLQKEQAHLAIHLAWVSFIPGWRYIPTKACRRMNEVCTELQVSIKSIIRKRELAKQRGEANNDDDLLGILTESNSKEIEERGIGMSIQEVIDECKLFYFAGSETTSNLLVWTMVLLSAHKEWQTSAREEVLKAFGSKKPDYDGINYLKTVSMILQEVLRLYPPAAMLIRAIPKEAQLGNMNFPGGIGFVLPILLLHYDTDIWGSNAHEFKPERFSQGIFSATKGRFSYMPFGGGPRICIGQNFAMVEAKMALAMILQQFSFELSPSYAHAPFQIITLQPQHGAKIILHKL >KZM92982 pep chromosome:ASM162521v1:5:1379948:1383103:1 gene:DCAR_016227 transcript:KZM92982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPSASPGKGGNKKRNLPSWMSAREDQKNGGENQKQAKGGKEDNEFSKLLEGVVFVLSGFINPERGVLRSRAMEMGAEYQGDWNSNCTLLVCAFPNTPKFRQVESDCGTIVSKEWISECYDKKKLVDIDSYLMHAGKPWRKQIIASKTIQDQEPSTSKKSQKQHGVASSTEHEYFVPSKVKKWAADDFSKTISWLESQDEKPDPSDIKKIAAEGILTCLQDAIDSLKQDQEIQDISEQWSFVPQVVEELAKLERSQDKPDSLPKKHLYKQALACKDIYEKELKILNDSSPNKKKLKTGETKRTGKERNDTPTRSADYDSDETIEMTEEDIDKAYNSVASALSKF >KZM94959 pep chromosome:ASM162521v1:5:27373500:27376815:-1 gene:DCAR_018201 transcript:KZM94959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDHFEFGINILLWLCISSLVCSLTHPGDFAILDDFRNGLENSELLEWPNKGDDPCGPPLWPHVFCSDGRVTQIQAKNLGLKGPLPQNFNMLIKLENLGLQNNRLNGTLPSFSGLSELQYAYLDFNEFDAIPSDFFHGLSSVRVLALDRNPFNASSGWSISDELQESAQLTNFSCSGCNVVGPLPDFFGTMPSLSLLRLAENRISGSIPESFRESMLQILWLNGQSDGGMTGTIDVIGSMTGLTEVLLHGNQFSGTIPESIGDLTSLQQLNLNGNKFVGLIPPGLANLNLRKLDLSNNMLMGPIPKFKASNVTYSSNSFCQSDPGEKCSPSVDALLDFLSASGFPPNLASQWSGNDPCQQPWLGIVCNSESQVSRIILRGFHLNGTLSPSLANLDSLLEIHLDRNNLSGTVPSNITKLKSLRVLDLTGNNFAPPLPKFHDNVKIIIDGNPQLVAAKGGQPLVAPLAPSPATSPKVSPRSPSSNSSAGNVDSQKPDSPPSPSKASTFDSPDSFTQKNESSKGKKVKYVIVAASIVSSMTLIVLTALLSVYCYKKRKDSKKAPGAFVVHPRDISGSNNIVKITVSSDMDGSYQTGSNYEHRVNGGLQNTHVIEDGNAVISVQVLRKVTDNFAPKNELGRGGFGVVYKGELEDGTRLAVKRMEAGRSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLMALDEQRSEESRYLAEWFLQIKPDKKKLNDAIDPTIDAKEEIFDSISIIADLAGHCTARDPNHRPDMGHAVNVLGQLIEKWKPFDSETEDYSGIDYNLPLSEMLEGWKESETTNSKNSDLQDSTGSIPNRPAGFADSFTSSDAR >KZM95510 pep chromosome:ASM162521v1:5:32833607:32841142:1 gene:DCAR_018752 transcript:KZM95510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGRSGGRFDGARGGGRGDGGRGVGRGDGGRGGGRGDGGRGGGYGGRGGDSGGRGGYAGGAGGRGGGGYPQNAPPPAYGRGGNVPAPVGQPAPRPVVQSPAPAQYTAASSSSAAALSSDVEKMTIGSSSGSSGQEIVVTQQPPAQPPASSKATRLPVRPGYGREGRRCIVRANHFLVKVADNDLHHYDVTIKPEVTNKKVCREIMITLDKTYRQSHLSNKQLAYDGSKSAYTAGPLSFQSKEFIVKLEDKDRGGRKEREFRVSIKFASRPDLHHLRQFLASRQLDVPQETIQLFDVVLRATPSNKNAVVGRSFFNTLFGKGDLGEGLEYWKGFYQSLRPTQMGLSLNVDMSARAFYDPVSVSDFVGKYCKLSDLNRALSDVDRVKVKKALRGIRVEFSHGDYMRRYKVLNISTHPLRQLTFTDETGGTMHVADYFRKKYNINLRYTSLPALNAGTDAKPIYMPMEVCKIVKGQRCAKKLNERQVTNLLKATCQRPIDREASIMEMTRQNGYNGDELVNEFGIQVGREMTSIDARVLNPPRVKYHPTSRESIVEPFVGQWNMIDKKMVNGGVVKSWTCVNFSRNNLDVVHNFCNQLVKMCRSRGMDFNPQPLIPIRAGNARNIANTLNEIERDSRTRLEKEEHLQMLIIVLPDFSGSYGEIKKICETNLGIVSQCCQPKHVFKCNMQYLENLSLKINVKVGGRNNVLVTAPPVVRDCPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGLYSAQGHREEIIRDLYSTRETEKGVVASGMIRDHLMEFYKKTRYQPRRIIFYRDGVSEGQFNQVLLEEMDAIRKGTSRPTHYHVLYDENKFSADGLQALTNNLTYTYARCTRSVSIVPPAYYAHLTAFRARYYMEGIISDGGSSSSDARATREGSSAVRSVPATTANVKDIQLSFKTLNEGNMLEAAWSCKQGSIEPELVEAIGIREALSWVYSRPWPRVVVETDCLVVAE >KZM94819 pep chromosome:ASM162521v1:5:26207224:26207541:1 gene:DCAR_018061 transcript:KZM94819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLQLKNENASMEHKIKSLMEENSELEEKIKLMEIQQANDEAVVEILKNHVEERREFNSFLRDDSNFEPAEIERRAKLREEIISKREDTKRAKASRDVEKKVKD >KZM95881 pep chromosome:ASM162521v1:5:36479233:36486475:1 gene:DCAR_019123 transcript:KZM95881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPSRPNKRFFNDGRCLQIDKYGRDSSRVISGESFSQRDRRGHYWDSAPGRRSNGHASMPAHAIVKSSADDMMAYNSYPHSKIGNNWGQFYFKDQHYNNSVASGQNTRRRFGRKNSAGLNGRKALKWTRSGSLHSHGCGFSHSGSSGSVGLDSGKIKATSNFQNPSPVHSLSGNSATCAFTAPEETNSRGGGIRHSSSPKRLHLNSSACATSAGALETNLSGCGYSHSSIPKICGSDSDEIKVPVHSQNSTSGSSFSEKAVSCAAYAPTQETNSRKRPRIGWGKGLAQFEKIINGSTDTAENDGTIESPHSHSKNCTESVHPHNSVMAPRCSRVQCFTECRSPVTHSHAFCSSPGEDNLSVKALEVDTSNLCSPPGQEYKNRRDDFNLENLEVTGLATLSSSISEILQFDNLHSAESDCMRSCEISKLLVLKDDIAKKVEITESEIDLLENELRTLISASGNASPCSTSSSLLPVKCILKPSEKLCATSNLNARPAPLGHSCSEDMMQMPLGGLEGELAESRNVDIDSLGTTTSQVAESLLAGTLFSEKTHRYDESTWKADEDREGKSIVYSVKEENSGAIFTKQFASRGCMLSVDVKFQKEHMFYDLLIASNQDFARRSAKVFSKLLPTNLLGVDHLSFNVTPCWKKKALVRENLALRKRFLRFKERVLSLKFIALKRLWKEDLLRLFTSKSCPMSQKKMDLSSPVVYCSNPKEGSSIRARFSSRELHGSGFPKSSLIISFAAAYEPEEVELDPDSEIICMSCRSLSLVPTADTINFASKLLEDSRIKAYSSALKMPSLILDDKEKKASRFISSNRLVEDPSTAEKERGMFTPWTSKEKEIFLNRLTTFGKDFRKIASFLERKTTADCIEFYYKNQKSDCFRGTKKKTEFPEQGKYCSTFLVTAGKRRASISSKASLDMLGAASLIVHHVNDKLTDQQKCPRNVFGKCTPKTWSDHEICKKSSSPSILGNEKDCVAADLLAGICDSFLSKTMTSCITSSMDFGEKYQERKSLQLGSSVKRQLTSESTNNANEACSDESFGEIDSTNWTDEEKSVFIQAVMSYGKDFKKISQYVRSRSSDQCKVFFSKARKCLRLDTVMHSNQHIPASDDAKGGGGSDNGNACAVETICNGKSGSRIAEDQLSSNFKEFFFGSQPARTTKMQPDYEHLEQKQIKKVGR >KZM93093 pep chromosome:ASM162521v1:5:2324488:2326194:1 gene:DCAR_016338 transcript:KZM93093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSHDSLSASLFKWDSRNHAANPPPQNRLVEVLAPPQMPPAPPALSGLEELFEPYGIRYFTAAKIGELGFTVNTLLNMKDQELDEMMTSLSHIFRWDLLVGERYGIKAAVRAERRRILEQYHEIHRHPYLHDQNTNHALDAFSQEGLSEEPVQQVHEGTCSKRKQRGKNIPGRLSSHNACEMESEDEEDENVCERQREHPFIVTEPGEVARGKKNGLDYLFNLYEQCRSFLIQVQNIAKSSGEKCPTKVTNQVFRYAKEAGASYINKPKMRHYVHCYALHCLDEETSNALRRAYKERGENVGSWRQACYKPLVNIASRQGWDIDLIFSTHPRLSIWYVPTKLRQLCHAERSSRAAATSSSINYCS >KZM93297 pep chromosome:ASM162521v1:5:4580642:4583992:-1 gene:DCAR_016542 transcript:KZM93297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTSMAAGEVIVEQMSNPNMIPTVDLSPFFTTGDDEGKKNAKHLIHLACLTYGFFQVVNHGVPLELMARAMEVSKTFFEFTDEEKRKSSPASGSPLPAGYNKQPQHLADKNEYLMMFPPQSTFNTSMAAGEVIVEQMSNPNMIPTVDLSPFFTTGDDEGKKNAKHLIHLACLTYGFFQVVNHGVPLELMARAMEVSKTFFEFTDEEKRKSSPASGSPLPAGYNKQPQHLADKNEYLMMFPPQSTFNVLPTNPSDFREVVENLFSSFARTSHSALGALHYFQATEFENTGNSEHQDGNLITILLQDEVGGLEVRKDGEWIPVTPSEGTLIVNIGDIIQVLSNDKYKSATHRVVRTKGRDRYSYAFFYNLQAEKWVEPLSEFSTSIGESPMYKRFLYKDYQALRMRNKTHPPSRSEDVISIAHYLIRN >KZM94287 pep chromosome:ASM162521v1:5:20596802:20598365:1 gene:DCAR_017530 transcript:KZM94287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKRIVCVTGASGYIGSWLVKRLLERGYHVRATVRDPGESCAGNERKVKNLLELPNASTHLSLWKADLTEESSYDDAVQGCQGVFHVATPMELLYHDEPAENEEIESTTVNGILSIMRSCSKAKTVKRFVYTSSTGNIMMQRQPPVDEYTEEHWSDLDLCYELKMFAWKYVVAKTTAERAAWKYAEENGIDMVTVHPSLVLGQSVTPQTSFSTEAATVLYTKDEANIALPKKLHNLSAVHVDDVCNAHIFLFEHPLAKGRYICSTHIFNIFEVGHSLREKYPDKNIPTEYVQRDFFLNFIVLHFSFSELPIYMSGNSILLSRFEGLDKSPKIIPCSSKKLIGLGFEFAHKNKDVGDLCAETIEWCRVKGLL >KZM96315 pep chromosome:ASM162521v1:5:40066366:40069902:1 gene:DCAR_019557 transcript:KZM96315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVESLETELPHVPVETKLEGDILLQKENGILNQDSGITFGSHGTEEPVKKEASNLPENTIPKDAVDEWPEPKQIHTFYMVKYRLYDDQKTKFKLDQADKELRKFNQAQNQIMEKIRAKKADRAQVNSQLKTFIDESKQYRQIIDEKRKEIEPLHQALGKLRNSNTGGREKTFLCSSQEELNEVIKSLENRIQHESIPLSEEKQIIREIKQLEATREKVIANAVVRAKIEDSLGEKEAIQDQLIGSGLDGVWKEKKVVQAKVDQLDKEKKAINLVIDSLEEESKAISVDRQKVYDRIRELRKQLDQGNAPYYQNRTTLIKARELAARKDVEGLRNFASTEVDTFMSLWSSTKAFRDDYEMRILPSLDMRQLSRDGRMRNPGEKPLVVKESPPPAQTEIVAKPQPKQVKEDSTNINQKEKSTKQPKEPTKEVPIPESTVEVSAVKDGEFEKAEKDPLPDREKKAKKKATASGSVATEEPTETTADIVSETGTAEEVVQAAAAPKTKERKEKTTVRSRGKVKGPDPLPKIILKRKKATNYWTWAAALAAILAVLLAVLGYYYMM >KZM95352 pep chromosome:ASM162521v1:5:31364106:31368595:-1 gene:DCAR_018594 transcript:KZM95352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSLGFITTAEIPSKEFGWILSVLASILICIIVYEVTGVVSSILYKGYAKLDSAHKLEWNNRGISTFHALFVAVASVYILIVSDLFKEGSKNDLITYRRSILSDTALGISLGYFVSDLAMILWKFPALGGMEYVLHHGLSMFSIIQSLVIGQAQFYVLMVLFTETTTPFVNLRWYLDIAGQKKSKMYTCNGIALFLGWLAARILWFVFFFHHLFSHFDQLILDELKKLQEEKDELDTMARKQAKSLVLCSGFGFLMCPVFFFYWLKYWKLSPVVMEPIGYRLFLKRQVKLMKKHRFGIVRFMELQRQCNVGVKSWTFKPKA >KZM94588 pep chromosome:ASM162521v1:5:23889420:23891206:-1 gene:DCAR_017831 transcript:KZM94588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNDHPSSKPLASKPPPYPSQNHGGSGPQGHKDSKQMDSQTRCNFLHESPSRFRSDVARAPPRESTAINIGKVGPVMEQNSNTNTGQAATVKHFNANTDAFQVNMTKPWRTKICSKWEIDGQCPFGDKCHFAHGHSELQVPGAHSEAEIMTNAQYFSTKPQPQLLSDALATKAEAILPTQERVNNEVSPKWKLHKKITTIYGDWPEDLTPPESSRR >KZM94847 pep chromosome:ASM162521v1:5:26432909:26434373:1 gene:DCAR_018089 transcript:KZM94847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNVSPVLFSVSNLPHFFIVYTLCLLVFCSLNFNLCYGIRARHFNLSTVATHWSTAGATWYGSPQGAGSDGGSCGYGDLVSQPPFSSMITGIGPSLYNSGKECGACYQVKCTKHPSCSGKPARVVITDFCPGCTTEKAHFDLSGTSFGAMAIPGQEKHLRDAGILEIRFARVACDYAGTNIAFHVDQGSNSNYIAFVVEFEEGDGDIGAVGLMETTSSSKTSSTNGQVITTEWRGMQQSWGAVWKLDAGGELKPPLSIQLTSQYTEQTIVAKNVIPVGWKPGATYRSVVNFL >KZM93815 pep chromosome:ASM162521v1:5:11089375:11093018:-1 gene:DCAR_017060 transcript:KZM93815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVYCCVSISKSLSAAEDLGSTTTSPISNTRPTKIILPKKKPEKWSTGTAPGEYGGPPLTTKLRKSWGGPGEDPITSDDYIWNRDFMPRMKNLFQDPSQNPLFDQPQEESSGFLSLNRVMSLDNMDADFSKELRAPSKILTETKVERAQTSGDLKQKWRPAPTRPRKEVMLREIKKPVGDPEVLAAQSREQYYKLKNKMQLLTLGIGGIGLISAYVSYTPEIAASYGVGFLGSLAYIRMLGNSVDSMADGARGIVKGAIGQPRLLVPVVLVMIFNRWNGILVPEYGIMQLELIPILVGFFTYKIATFTQAIEEALTIVQKKSEVKTQIALRQEDQGKPKGSCS >KZM94694 pep chromosome:ASM162521v1:5:25058778:25059890:-1 gene:DCAR_017936 transcript:KZM94694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNTHHNETVTAPEPQPEAEQVPAPEPEPQPEPEPVPEPEPTPSLKKEETPEITASASEKGSSEKPKNTMRSLANILNLVTVILTLPIMALVAWLLYMRGYDCEYLLRMKKLYIGIIAMLVVLCVANIVSYFMMKKPPLRMPALILIMIPTLVVLIMGIGLVGGFKMESRSMPGSPQRLKLKVYNIDNWSRIKSCLYDKNICQVLVSEAGMIKPYDYATKNLSPVQSGCCRPPATCGMEYVNATYWERSDGSQDMSKGQNSDCTTWANEESILCYNCNSCRDGFRRTIGRKWIILGSFMISVASLLFIVHLIVFVVSMSES >KZM94234 pep chromosome:ASM162521v1:5:19732118:19742702:-1 gene:DCAR_017477 transcript:KZM94234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEKAGRKLPPKQESQVCDSNVITPGTEFMAVLSVALQYYIHLRINNDPGWKSVKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEIHFSILREVVFTPGQQNKCFLCGQMGHMAAECEGKAKRKNGEFDEKCEIVPKKPYQFLHIWTLREYLELEMRIPNIPFTIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLLAVYKKEFRALGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFSKRARLHQRQAERVKRDKAQLKRGDDAEPQIKPDMVPVARFHGSRLASGHAPSPYQHTQSANQRAPKVARLSSEATIGAALVEAENNLENEASDNKEELKTKLKGILREKSDAFNSENPEEDKVKLGEPGWKERYYEEKFSAKTPEELDRVRRDVVLSYAEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLGQLNISFELGSPFKPFNQLLGVFPAASSHALPQQYRKLMTDPNSPIIDFYPADFEVDMNGKRFAWQGIAKLPFIDEARLLAEVAKIEYTLTDEEVRRNSTMYDMLFVALSHPLSPYIFSLDDRCKQLDDKERTQIKEQIDPGASGGMNGYISLCAGDPCPTIFRSPVEGMEDIMDNQVICAIYILPDAHNHIAHPPPGVKLPKKTVSFGDLTPEPVLWHEDSGRKPWENKRNNPPGAISGRQLGDAAHRLVANSLQIKKDRNVDGDHYGHPPYVTTHGPAFHPNQTLRHHGQEQYRMVPPAYPEGRHMLPNSVPGHSQYDRSYNQPYASSSTRHPNNWHRPPLDQSRDYPPQVYHSQGSHQNRHVYQPRGPLHAVQAPPPIAAGVPFYQQVGYQAYGGANYHHQNGGPPTVNPRVGRDYGRHQQFGNQFSALDISRGVRRPPPPPGYRR >KZM95027 pep chromosome:ASM162521v1:5:28118602:28119318:-1 gene:DCAR_018269 transcript:KZM95027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWFYDARNSSFNEVVVFRCSSSFTMLMLGSRIESKALQQTFPSHARLLLWSLYTYRPPYSPLLPEHTRFLPPKFSEVRDGTSLCIGKKCLLYQPLYFSTDKPRLLDSLFRDPGFIFAGVDVLENMRGLHAFCPGTSIDIEELAVERWCWPKQGIYWKQGLKVLAKSVADLDISHKMPEHVRLSSLGGSKVELTSVEQIEYAAVEVYALFRIGRKMLKHGYAVPNMMKSASDDDDDE >KZM96074 pep chromosome:ASM162521v1:5:37984547:37986912:-1 gene:DCAR_019316 transcript:KZM96074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLVYNKRISTVVPARMTPEEKSSHELTSMDLAMKLHYIRGVYFFESKAVEGLVILDLKKAFFQLLNVYFAASGRVRRSEHGGGRPMIKCNDSGVRIVEAQCSKNLEEWMGIMKDDHSINDHLTYKHVLGPDLGFSPLVFVQFTWFQCGGMSVGLSWSHILGDIFTASAFINMWAQILGGHPPRHPAENPSSETDKLPCFTTKSCSLKTVGPVGDYWVAPNNCKMRTHSFHITEQQLHHLLTKICRLSPSEKIRPFECISAIIWKSLAKIRKELMQEFVTICTHNSHDIGNESPRNRQVVSTVEFDAHFDADVLHVANFIAKKQVDEREKIAELIDKEDGNLDCIVYGANLTFVNLEGTKMYEMELKGVKTVYANYTIDGVGDNGVVLVLPEMKDGDKGLRVTMIMSENEVAELKNELKYEWSIN >KZM95618 pep chromosome:ASM162521v1:5:33913496:33918191:1 gene:DCAR_018860 transcript:KZM95618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKNRESMSTDEDEFLDDVFSDEEDDDDDEFNDCDSGAGSDDFDLLELGETGAEYVQVGDQTCSIPLELYDLSGFGDILSMDVWNDVLTEEERFDLTKFLPDMDQEMFMRTLKELFTGCNMHFGNPLDKLFKLFKGGLCEPRVALYRQGSIFFQNCNHYHHLQKYQNSMVTNFHQIKDAWANCKGYSIEEKIRVLNIMKSQKSLMHEDMEDLETDSEREESVDGIWNRRLMNQKVGQKISHYSEEKLSSAFGYSSREKQVALDTAHYERQNPKGTLKVTGSKKSASKESAGCYSAGRQGMKMRSSPYGFASTSSRYDMASGNNSHAAFRMRNRVPDDNTDGEALYKVAVQSNRSFLSTSRKDDPANSKVSEKYENYGGDKYSDIFTGLPLSSKKDFSAYNRNRTVNQLSDIELLTTKPSKSVFSHEYVNNLESPDNMQHLNVGERVKFEKGHSSNLLLKGNRAELSDRGDPFRHGIAKEEHFSTDLSPKYGNLNVKSKSKFEKNSPDIKVNDRSGSGYRENPKHEDRGAISNQDGRRVVPNVKRRRTFTSDSDSSEQYEDDNPLMRSKWAYPGGASGMKSVSYPKQAKFVKGDEKIGGCGDEISNIQKKMGFHGTHSYISEDIFSMKAKQKNKMDDMGRNFPGPGRSTGYNYNDDWGEWSKFGRNGHVQDEQSERISMASMRPYNVGRMEKIETMPGYSMADNEDDSLKSILAKHKNTSGKMQNKGKDIGARDRHFERSDMQLLECNSSMKKRKVKDDMMINVPEPNEIGTPEKGVSDLSSTVVLAGDDVESKSVKKQFTLITPTVDAEFSFSIIHLLSAVRMAMTTILPDDPVDMGKHNGNNNGVLKDDEEESKQEGIGGELSHLNRDIKNTARTTELNLPSLTVQDIVNRVKSNPGDPCILETQEPLQDLIRKLSRXMAMTTILPDDPVDMGKHNGNNNGVLKDDDEESKQEGIGGELSHLNRDIMNTERTTELNLPSLTVQDIVNRVKSNPGDPCILETQEPLQDLVRGVLKLLSSKSPPLGAKGWKAVLKYEKSTKSWSWIGPRSPSDQETIEEVTSPEAWGLPRKMLVKLVDSFANWLKSSQETLRLIGSLPAPPLTLMQLNIDEKERFKDLRAQKSLNTISQSCDEVRSYFRKEEVLRYAIPDRAFCYTAADGKKSFVAPLRKCGGKLTSKAREHFLLKQDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYVVEEVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWMYLHRDREEEDFEDDGTSSTKKWKRPKKEAPESSERGLVTVANNVSIEGGQTQIEPSSNLIVDPTCMDEDKRADHLYYNDGQNEVNLEGLDQPGIHYSSDLIVDPSSMVDHKMVDHLHYDDRHMEVNIGGLDIIGIPSGSGLNVDPSCMDDGRRVDHLHYSDKHMEVSIEGLDQTGIQLSSEVRVDPLCIADNKRMDHLNYNDREMEVNIDGLEPKQGIMDQGPPMVWQAHGLNSIQENSLLCIENSRPGDFDNGNLGR >KZM94550 pep chromosome:ASM162521v1:5:23525425:23526887:1 gene:DCAR_017793 transcript:KZM94550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFHIVILLVFVLGVLRASGLSENFYKDSCRQAETLVRNITWSRAQNDSRLGAKILRLHYHDCFVRGCDASILLDTAGTNAAAEKDARPNLSLLGFEVIDEIKSEIEKVCPQVVSCSDILALAARDAVSFPFKKKMWEVLTGRRDGTISLSSEVTGNLPGASSNFTTLKNIFAAKGLNVNDLVALSDESYAATLKTQCPNPASAAITVEMDPQSSESFDAHYFTALKQHKGLFQSDASLLTDPASAKIVDSLLKPDSFFSEFGKSMKNMGQIELLTGSAGEIRTNCRVVNA >KZM92848 pep chromosome:ASM162521v1:5:266532:267869:-1 gene:DCAR_016093 transcript:KZM92848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEKKLLKKVNFLDWKREGGHRETHVTQRYHLTARDDYKKYNSICRMVQKLVNILKQMDQRDPERLQMTASLLEKLYNMGVIPSRKDLNLCKNMSVSSFCRRRLSTILVRLKFAEHLKEAITYIEQGHVRVGPETVTDPAFLVTRNMEDFVTWVDTSKIRRKVQEYNGQLDDYDAMN >KZM94849 pep chromosome:ASM162521v1:5:26442231:26458864:-1 gene:DCAR_018091 transcript:KZM94849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLVRRAVLNLRSNLTGTRHLWTAGSNATGLYGFHQLKSPQGFQRFVDDAIERSGELVDYIAGMPSSKEILQAMDEISDTVCTVLDSTTLCRQTHPDREFVQEATKASMRMNEYLHLLNTNRNLYNAVAKAEQDKSLPTEEDKRAAYHLRFDFEKGGVHLCSDKLDRVNKLNGDIAQLCMEFSENIDNDPGHVDVPASVIPKHLHHLVKPIKRYKSLGSTSRHKEKEFRIVTEQNTLHSILQHVPDDKVRKIAYARGNSVPHANLAVLDKLIAARHELAQIVGYKSYAEYCMQLNLSSSPNAVFNFLLEMSEMVRPMADEEFEAIWNFKKEKFGQDCGDLEPWDETYLTGLMKSSASELDFSVVSSYFPLSQCIEGLKVLAESLFGMTFRSIPVAPGETWHPDGDLGYLYLDLTSRKGKYPGCAHYTIKGGRRISESDYQLPVVALVCNFSESRNSSTVRLSHSEVETLFHEFGHALHSLLSRTDYQHFSGTRVAFDLAETPSNLFEYYAWDYRVLRTFSKHYSTGEPIPEKLVEALHRARKMFAATELQRQIFYAMVDQKFFGEELSVPKDTVAIFRDLKMQHTSWKHMEGTHWHTRFTHLLNYGAGYYTYLYAKCFAATIWQKICKDDPLSRATGSAIRMRLLQHGGAKDPADLLNDLAGDGILSKFGGDVVPDVSSLYGELGLRKR >KZM95118 pep chromosome:ASM162521v1:5:28850431:28853985:-1 gene:DCAR_018360 transcript:KZM95118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMASAAALPFMLGNLMCDNTTTRTHMDITKLKLMTDNTSLLADSVAKSPDEFNSGKSEDIICDDSEMDSNCVGVSVPRESSVGPAVSDLMSGKENDLISNNAIIQESEEDDFLSVEGNQILDSSFSFAAISDSSSIGADEILAFEALSDMGRPSSIAADKNVGSTENITKPTGFIESNMTANVKDSLAVTLSIEDNLANGADYKPIAVVQLPSEQGSGGPGARSVFEIDYVPLWGFTSLCGRRPEMEDALATVPRFMKIPTQMLIGDRALDGVTKCLSHLTAHFFGVYDGHGGSQVANYCRERFHSALAEELDLLMAKLNDGSTNDDCQEQWRKAFSNTFLKVDAEIGGEANAAPIAPETVGSTAAVAIVCSSHIIVANCGDSRVVLCRGKEPLALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFIPRMREDECLILASDGLWDVMTNEEVCEMARKRILLWHKKNGATVPMERGEIIDPAAEAAAECLSNRALQKGSKDNITVIVVDLKAQRKFKSKS >KZM92877 pep chromosome:ASM162521v1:5:460484:462391:-1 gene:DCAR_016122 transcript:KZM92877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKIISNSILPCCLKPQSSQQIEPENKVSKQISPKRVSLLDMNRNDSTVFSDKSGLPDSLIAWKNIQNFTVEELKLITNNFSSCNYLGKGGFGPVYKGFIDDRISTSGAAHQTVAVKLLDLNSRQGHREWLDFGAKLSDFGLALDGPQGDNTHVTTRIMGTEGYAAPEYIMTGHVTTKSDVYCFGVVLLELLTGKRAMDTSRPTRERNLVSWLRPLLRDSQRLDRIMDPRLDGAYSRKGAKKLATLAYHCLSHNAKTRPTMVDVVMTLEPLLDLNDIPVNSFVYTVPTQGECTIISNQKPDETYTGDEKKEAENDCREQVNQVHKNYILRSSRSRGAVYSDSVLHTTLGNGYDMPINVV >KZM96016 pep chromosome:ASM162521v1:5:37443729:37445831:-1 gene:DCAR_019258 transcript:KZM96016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLTKAIGAVKDQTSISLAKVASSTSLEIAILKATTHDNVPVDERYVYEIVHLVSSHKMYARSCARAIGKRIGRTRNWIVALKSLLLVLRIFQDGDPYFPREVLHAMRRGAKILNLHSFRDDSNSRPWDYTTFVRMFALYLDERLNCFLTGKLQRRYTIKERDRNGRRGQQRRIEVVNEMKPPVLLDRITNWQRLLDRAIGTRPTGVASTNRLILISLYAVVEESFDLYRDISEKLALLLDGFFHLQYHLCVGAFEACVRASKQNEVLRQFYSYCLSLAPSSTSTYNPFLEEPGELAIVPSVAYPTAANAGSLGGFEPEFQTNKAFSAAPTFQATPAPTFQAATSTYSTQDSNENDPFSNQMFRGSISQQNLLSEQQLWLQQQNEIMAKHTA >KZM94178 pep chromosome:ASM162521v1:5:17852127:17857022:-1 gene:DCAR_017423 transcript:KZM94178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEGRLCQWSVFRSILAIVQWWGFNVTVIIMNKWIFQKLEFQFPLSVSCIHFICSAIGAFVVIKVLKLKPLIIVESEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVFLQWLVWRKYFDWRIWASLVPIVGGILLTSMTELSFNMLGFCAALFGCLATSTKTILAESLLHGFKFDSINTVYYMAPFATMILAVPALLLEGAGVLHWVQTCPTLLSSLVIIFGSGVMAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVTCSWLIFRNPISIMNAVGCTVTLVGCTFYGYIRHLLSQQQPGTPRTPRTPRNRIELAPLINDKLDDKV >KZM94273 pep chromosome:ASM162521v1:5:20319658:20325711:1 gene:DCAR_017516 transcript:KZM94273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCFFNSSILIPSSSSSSLCNSDNYTNPNISFPFRKHAAHRCVIRAVQDGAAAGILSPEVVVDDTLVTQVEQVAEEEKVQSTPRKRVSKKKDDDDDDTRFKLRNGREVFEEKAYLVGVTQKGDKDDAFGIEESLKELAQLADTAGLLVVDSTYQKLSTPNSRTYIGSGKVAEIKTAIQALDVETVIFDDELSPGQLRNLEKAFGGYVRVCDRTALILDIFDQRAATREAALQAILSDLKISSLTFGMALLKILSSLQVSLAQMEYQLPRLTKMWTHLERQAGGQVKGMGEKQIEVDKRILRTQIGTLKKELESVRKHRKQYRNRRVSVPVPVVSLVGYTNAGKSTLLNQLTGANVLAEDRLFATLDPTTRRVQMKNGKEFLLTDTVGFIQKLPTTLVAAFRATLEEIAESSLMVHVVDISHPLAEQQIDAVDKVLSELDTASIPKLIVWNKVDKASDPQRIKLEAKKKEDTICISALTGEGMDEFCNAVHDKLKDSMVWVEALIPFDKGELLNTIHQVGMVEKAEYTERGTLVRAHVPLRFARLLTPLRQMCVQ >KZM96273 pep chromosome:ASM162521v1:5:39681408:39684044:-1 gene:DCAR_019515 transcript:KZM96273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTHLSTLRSAIRQGIKSSSTGAKVSIQDSVEVGVSLGKIIGNSRLLHSLSDSCFSKLNVLLEPGTTVNAQSNLHIVNRRKNISVVGAVPRTFSTPSVSGPSFEVCGYHLDHLFIESGHSSSNIHTQKTPMAISISRALVGDCSVPNVTSKHGHSLRQTSKGSSAYRNLSFDNCRKACMKLSNNEQPNNNSSLYGFFSYHVVKSSGNSYPALGFGLRSFHISAPASFSAGTAPDVSFENPVREEQVANAADSSEQKIIADRSLKLNSGSCYLPHPDKEETGGEDAHFICSDEQAIGVADGVGGWADLGVNAGLYARELMSNSVAAIQDEPKGSIDPSRVLEKAYSSTKARGSSTACIIALTEQGIHAINLGDSGFMVVRDGCTIFRSTAQQHDFNFTYQLENGSDGDLPSSGEVITVPVAAGDVIIAGTDGLFDNLYNNDITAVVVHAVRAGFGPQVTAQKIAALARQRAQDKNRQTPFSSAAQEAGFRYYGGKLDDITVVVSYITNADAK >KZM94494 pep chromosome:ASM162521v1:5:23002619:23007966:-1 gene:DCAR_017737 transcript:KZM94494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLFRSEFIYIGSPFNLTFIFPCSSSAAYYHPKDVVGVIDVLPKKSLYQKDNAEKFNVKCTITDGRSYVNVTFFGQIGESLLDAMNTKSDEPVIMIIASAKIHEWKDEVSLTNFPATRVYLNSSHHSVRSIRKSLQDGTFYKTEMEIEDGAGLPKFTVKEILSLKEDYIDKKVQCKLTVKKVDQKVKWFAEYCIACDVDLELSGNKFKCPNCGKMKPYPDKRYQLITLCSDESGTIPVMWSDDEVTRLTGKTVYDFLADDVQDGESAYFPLPLKAFEKISCTFTLILKKENVVEGSNVYNAESVELINDKADMVLETTLCEDKSEEKRIETSATHSPVKNTTLSVKATELTIESNIHDKSPPTGKSSNKTRSRKTTETIDYDLEHAIPLGKRKSIKNEKYKLPIKSTANVNLPNAIKLHPTVSLKLFILTTIGRTDWKVKVRIVREWTGHKISGGNINGYNIILLDARNVRMHAFVPVFLMDKMQKMLHLGRMYCISNFQVKPLTAEDKWRSINIDRQILFTNQTKAKEIEEKDYFIAKNSFDFYDLADMKELSKQTTLLADVVGVVTKRDALRKINNRHGKEQLQVKMMISDGKSTLNVVLWDKMAEDFTRDIHKNKYEEPFILIIASGKVGMFRVCTSCYKQIDEEGGRLICKNCNNRFVPHPEKRFGICVLGKDNTGEINLLLMDRAIRSLFHKDVFELEEEYNGKFPSVFKKMEGGNYTVKLEVASFNIQDTDEMYIANDIHEGFDFQEPMEAEEYLQQPTEFSTGETSITTGSNIHLDNISTIGEGTS >KZM94342 pep chromosome:ASM162521v1:5:21229338:21232185:-1 gene:DCAR_017585 transcript:KZM94342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSITTTLTSLPSRICSKPRIVSTSQPLFCKFASVFVSGSSKLQSKGCVATRAMGSSASSSSKPESSSSQDAGKMDYSSTSEEEWKKKLTGEQYYVTRQKGTERAFTGEYWNTKTPGTYHCICCDTPLYESSTKFDSGTGWPSYYEPIGNNVKSKLDLSIIFMPRQEVLCATCGAHLGHVFDDGPPPTGKRHCINSASLKLKPK >KZM95816 pep chromosome:ASM162521v1:5:35836328:35839638:1 gene:DCAR_019058 transcript:KZM95816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAIRGSWVGQTFALARCHNSGEKKARSRPSKEERKELVVSFIKKYQLSNKGDFPSLTVTKREVGGSFYTIREIVREIIQENRVLGPAKSKIDEQDADNFFDHHPLGSVAMEPDIPTGSSNGPHIVDTSILPNTPQNTVESQFSGISEKCQELDNQKLDDGIIVNGNFYQSERTQGFGGKLENEPPIVRGDDILEKAVVIPKAKTSPLAADIIIETFPIKPVTNTIALDGDSGEPRVIAGTLEENESVNMNVETVNSVDILNTEDVQEKSSKFVDEKTNLNAEDEEADSSPEILNHSAREISTVLRVDDDRTDLEKKDILPAEIHGVSHDCRYITPLGTVLLPPRRIAAIALALFDSICQPKKQHTSVPNGTENLNPTLSGGFQVQSISEEVIATEKKSGIQNSSSSKKSSDPTLDRISLESWEANTDRSGGPQTNNLLEFVKACITAFVKFWSE >KZM95010 pep chromosome:ASM162521v1:5:27901278:27901541:-1 gene:DCAR_018252 transcript:KZM95010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSCCSGMSRCGSTRMVTTPKKEPVVTTKTPKVEVVKPETLKKAEEYQVKPIKVEKPETANATSVKEESVPVKVNVGYGGGAYCR >KZM95337 pep chromosome:ASM162521v1:5:31249417:31249566:-1 gene:DCAR_018579 transcript:KZM95337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHFPSFLIQTVKKNCMTLLTRMPFLSTAKEDPVAGNQMDKKRGQSNV >KZM94579 pep chromosome:ASM162521v1:5:23819635:23822354:1 gene:DCAR_017822 transcript:KZM94579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIGEQVDSIKSIQFRQLLTQAITLGMIVTSALIIWKGLMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHERPDTGEVDILTKGDNNPGDDRLLYARGQQWLQRQHIMGRAVGFLPYVGWVTIIMTEKPIVKYILIGALGLLVITSKE >KZM95981 pep chromosome:ASM162521v1:5:37217603:37221938:-1 gene:DCAR_019223 transcript:KZM95981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTEQDVSSDKEQNIGDNGFLKGLPSTAQETPEAIKLDPQNACALTHCGILYKDEGRLVEAAEMITYAKNLYAYNLSPLKLLRYVTRASFEKALNADSAYKPAAECLAVVLTDLGTICLLPAYYNLGVVYSEILKYDTALNCYKKAALGRPTCLAISPNFEIAKNKMAIASKDLGTKVKLEGDINQGVAYYKKALYHNWHYADAMYNLGVAYGEMLKFDMDNLDKAVECYKLALSIKLNFSQLLNNLGVVFTVQGKMDAAACMIEKAIVANPTYAEAYNNLGVLYRDAGDITLSIESYEQCLKIDADSRNAG >KZM94506 pep chromosome:ASM162521v1:5:23102070:23103433:-1 gene:DCAR_017749 transcript:KZM94506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSHFLAVSPPGFTVRSPENQRQLKLRSNLSPQLNKKQSKKSKASTRNKSSSSSSLGFTTVKKEPVWQCVKSCGACCKLDKGPSFPSPDEIFDDPSDLELFKSLIGPEGWCVHFETSTRTCSIYEDRPYFCRVEPDIFEQLYGIEKKKFNKEACSSCVDTIKAIYGSQSKELDNFNHAIRGKPEG >KZM93729 pep chromosome:ASM162521v1:5:9895403:9902690:-1 gene:DCAR_016974 transcript:KZM93729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAAAVYYHSGLCCCGRSRSSQIVSCSGGLRWQLLEKVDRELEQGNERSALSLVKDVQGKPHGLRCFGAARLVPQRLYTLDELKLNGIETSSLLSPVDTTLGAIERNLQIAALVGGLSAWKVFDFSATQVLYIALGLLFLGTLDAVSYNGGITGLVLDTIGHNLSEKYHNRVIQHEAGHFLIAYLMGVLPKGYTLSSLEAFQKEGSLNVQAGTAFVDLEFVEEVNNGKVSATMLNRFSCIALAGVATEYILYGYAEGGLADINQLDSLLNGLGFTQKKANSQVRWAVLNTTLLLRRHQEARSQLAEAMMDRRSVGYCIDVIENAIPDDDL >KZM92931 pep chromosome:ASM162521v1:5:958964:959484:-1 gene:DCAR_016176 transcript:KZM92931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKQELHTLYCSWYFVREGVPSFIFNTILAEGFMSVYIPCATQKPQVPAGAHSSRSRQVEAECLINEELNETASTSSGATDTDIDKEMESRLFKVDV >KZM94151 pep chromosome:ASM162521v1:5:17158442:17160785:1 gene:DCAR_017396 transcript:KZM94151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKSTAKLTRTQSSLLRSSPTIRSSIQSLSDINEITNEDDYDLEDQKFHKPGFSPTRPGFTRFGPVTALVFLSVYTLYVYHQGDDINTSENLLLVLVFIAVVVFIAGKNKGLIQLGFLIFRKLNHECLRKLGFFRDDNKPVQWFIGDSEKGFKSGVEFEDEGDVVREGVEFYSNGDFYEGEFYKGKCNGSGVYNYFVNGRYEGDWIDGKYDGYGIESWARGSRYKGQYRHGSRHGYGVYRFYTGDSYAGEWFNGQSHGTGVQTCSDGSCYVGEFKYGLKHGLGCYHFRNGDRYAGEYFGDKIHGFGVYHFANCHCYEGSWHEGRKQGFGMYTFPNGDSRCGEWDSGSLKTSLPPLTDATLRAVQAARKTAESAIRLRQVDDHINQAVTAANKAATAARITAVKAVQKRIDGKFCDTDL >KZM95683 pep chromosome:ASM162521v1:5:34541750:34545010:-1 gene:DCAR_018925 transcript:KZM95683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNSGGNSFMKIKQFDEETNSVASFESTSFEDFNWSSVILPFLFPALGGLLYGYDVGATSGATISLQSPKLSGTTWFNLAPFQLGLVVSGSLYGALFGSVLIYPIADVIGRRRELMLAAIFYLLGGLTAAYAPSLIILLLGRVIYGFGIGLALHGAPLYISETCPSQIRGTLISLKELLIVLGMLLGYFVGSFEINKVGGWRYMFGLSAPIALVIGLGTWTLPPSPRWLLLREVRGNGARENYKEEAIFALSKLRGRPPGDKLSAMEIDDALTSLKSQSDKPESEGSFLDVVQGPNFKAFIIGGGLVLFQQLVMTGIAVVQVDKLGRKPLLIGGVSGIASFGPISWLMVSEVFPLRTRGRGISLAVFTNFGSNAVVTLAFPALKEYLGAGNIFLLFGVISLVALAFVIFQVPETKGLTLEEIERKILT >KZM93195 pep chromosome:ASM162521v1:5:3406868:3408214:-1 gene:DCAR_016440 transcript:KZM93195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSIHCSLIILFFVFTIFSVSNAAEVEKTQPTALIFPVRKYTEALQYGVYPCNSCIQYYTSLNISKQDNNINLAMDLGGQHTWFNCDDFDLPTYKSISCNTEKCRKYKGYDCMNCALLIPVPPRCTNNACAVTYSNPFAFQDINNSLAEDALFVESTNGLSVGLTYKSPDPFPFSCSDYLRNLASGTKGMIGLVNTTTSLPAQMAAQFNLPHKFALCLPSTSGPIHGHMFIGGGPYIFRPYSKNIAKKLITTKLISYPVDTDKIYTVTDPYDEYFVHLKSITIDQKLVPLNASLLSINEDGFGGTTFSTRFPFTSLQRTLYTVFVTAFTEAAASRKMKRVDGPFDVCFNATNIPKSKTGPAVPHIDIGFAGGKNEWRLYGANSMVSVNEEVLCLAFVDGGKFPRTSVVIGGHQLENYLIEFDLISSNVGISSSLLTRNTTCSQSRVL >KZM94756 pep chromosome:ASM162521v1:5:25533533:25537730:-1 gene:DCAR_017998 transcript:KZM94756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFFDRKDVRKILKRKDSDPGEQEFTGSHLWCICLSGKELEKQWKTFNLDKHDDVITKVSEVTGNWSVVIMMPYLDPPGVFSFDWSFSNASIILASAVLGFLLQWSGALALRETSAVTHAILGQFKTCVILLGGFLLFGSNPGTTSICGAITALCGMSYYTHLNLRKQQQSIKTSSKQASFSLPKSMLSKENGEKHELVLQDESV >KZM93299 pep chromosome:ASM162521v1:5:4593355:4594542:-1 gene:DCAR_016544 transcript:KZM93299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEQTDSNLRVASFSYYLNSKDENFVFNLAKGIPDSSSPIMSPEETPRLINLGRSRNNEPEFSVFAADRYFNTRKECSDSSKYELEKEKSVDLHLLQQKIRPRTPSISSEASSWNSQSVLLHSLQKNPSQIKHKKGFGLKYFPFFGCQGSCSEKKAVYVNERADHGVLHEIQQSQPQPKMAEQFSFPVLNSGITNSEVQERFKEETVQQVDPRNSIEVFGFARPKKRDNIAVNMERKLSILTWDAIPKVQSLVTTSFGSSALCDDMASDASSDLFEIENISGTDHTVLSRQESCNASCMTSTTQYAPSEASIEWSVVTASAADFSSVVSDYEDASVSVKGRMISGHARNKTDCPKKVHIKEPPKNGPSKLLGCSNHKAVRVAESTHRTKEKFER >KZM93996 pep chromosome:ASM162521v1:5:13528927:13529385:1 gene:DCAR_017241 transcript:KZM93996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHWEKMKGDFQIFKKLKFDESGLGWNEMKKTIEAPESWWTHAIQAIDDSRAKSKFALVFGLSSGLVAILVMSLLVGLYFWYERKKQRDIGKTSRWGGSRGNGI >KZM92929 pep chromosome:ASM162521v1:5:928550:930418:1 gene:DCAR_016174 transcript:KZM92929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYTRNYKFLEVLDLFRVLLLQFDCIRPDNYTYPSVLKCCSELGRIDYGGIVHGSVLKRGYVWDVVVASSVVGMYAKGGLFGYAMRVFDEMPARDVPCWNAVMSCYYHDGQWEKALELFGRMKGSGFSPDSVSYTTAISSCSRLLDLERGKVIHHEMLSSGFPLDSFVSAALVDMYGKCGCLETAIEVFDQMPKKSLVSWNSMIAGYRLRGDSNSGIKLLWRMIEEGNKPTSTTLSSLLMACSNSVTLLHGKFLHGYMIRNNIEADIFILNTLVDLYFKCRRTKYAEFIFRAMTKTDIVAWNVMISGYVSVGCYLKAIGIFNDMKEAGIKPDAFSLASVLAACSQLGALKQGKEIHKSMSLSMIESNQIVVGALLDMYAKCGAVNEAISVFNQLRVKDLVSWTSMIMAYGSHGQACEALQLFKEMQKSNIKPDRVTFLAVISACSHAGLVDEGLYYYSTMINNYRIQPTIAELSCLIDLLGRAGKLQEAYGILQRTPDIKDDIDLLSTLLSACHMHGELELGEEIASLLIERDPNDPSTYIILAKMYASGRKWNEARKVRLKMKELGLKKNPGCSWIEIDGRIKPFFVEDTEVSQQDMIYSCLSILASHMEKDELVVDDSH >KZM93315 pep chromosome:ASM162521v1:5:4700393:4700584:1 gene:DCAR_016560 transcript:KZM93315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPTIKEIIGTGCTPPASTPPNQSAPKPVTRLSQHSNKKETMTRKAGVSKNVKSFMVPRKKQ >KZM94088 pep chromosome:ASM162521v1:5:15316594:15316881:-1 gene:DCAR_017333 transcript:KZM94088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQKFRFGEDGEVSTIAEHEEEVKRLFAVMDMYLKEIEGEEKEKEEVEKKLVEEEEAELEALKEEGTTREKALMEFRALIQSVFDSSDEEKDE >KZM93103 pep chromosome:ASM162521v1:5:2431685:2433456:-1 gene:DCAR_016348 transcript:KZM93103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLFSKAVLLVFMVEFMSQVCSQGGLFDVRASGAVGDGKTDNTNAFLATWTKACQSGGTMQIVDGTYLLKTIQFEGPCKGQVSFTVNAVIQAPQGKSTEHYWINFKNINGLTIQGSGTFDGQGPSAWNFSTCSNVPSCSSRLSPSVVLTKVNNSLVQNVKLLNSKGFQMKIEDGDHVTVNNITITAPADSPNTDGIHTGNINFVNIQDSNIGTGDDCISMGPGTTNINITRVNCGPGHGISIGSIGKLATDQNVFGVKVLNCTIRLTQNGVRIKTWNSTFPLSVADVTFQDIIMDRAHNPIIIDQHYCGGRRDCSGNSHVQVKDIKFIGISGTSASKIAVNLNCSSSNPCSDIELNNINLSFQDGTRAVSACSNAPRILYVGPQNPHACPQRLDPSMMLAYM >KZM96461 pep chromosome:ASM162521v1:5:41131153:41132409:-1 gene:DCAR_019703 transcript:KZM96461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDFNMEKPTKKRDCKEDEHRSGIDSLPDEIALDIFSRLSVTSLMQSRFVCRYWKNFSFDQNLVSLHLSQAGKRDPLLIFHSDFPIRNQLCFAELFGTDAAAEGIVKKISTPFSASMPEFTVVGSCNGLLCLFDSLYKDAVYIYNPFTRDYKELPKTRQYDEETVVCGFGYHPETNQYKVIKIVYYWIVNFGYPRARSRIRTSHNSKSEVFVLSLGGNAWRNIGEAPYFIQRRSQGALFTCGRLHWQTRGGSKNVRGLVIISFDLADEKFHEVPRPDFSCVADGHTYHLANLKGCLSAIVYKYGSKELEIWVMKEYNVQESWIKEFKIGANLPDSPSTNLLQPVRIWRSSVHRALVRILCILENGKILMEYSAGKLALYDVLSGRYKDITFKGMPSVFQTVVHVGSLNQIDVPIIGNM >KZM93725 pep chromosome:ASM162521v1:5:9841834:9855217:1 gene:DCAR_016970 transcript:KZM93725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKRASSNLNTTSVSTATQNNTTTHSTHTTLLPPTKASNMLKLSLLLFLPYFYLIFYHYGNIIDAQLKRSILINAGLSIFGFFVTIRLIPVASKYLLRRNMFGYDINKKGTPQGSVHVPESLGIVVAAVFLVVAILFQYFNFTSDSNWLVEYNAALASICFMVLLGFVDDVLDIPWRWNCKSRKLVLPSIAALPLLMAYAGHTTIIIPKPLVSYFGVEILDLGWVYKLYMGLLAVFCTNSINIHAGINGLEVGQTVVIASAILVHNIMQIGASTDPEYQQAHAFSIYLVQPLLATSLALLCFNWYPSSVFVGDTYTYFAGMTMAVVGILGHFRFDPQTGLLTGTTDGTLVNLSLRLFGRMSEKSLCIVLLVLQFLMGKKKSWLSAVKRLFIPEGKREEDKKSKRWGWFFGGIKTKQLPAISVPQRTLIEANEEQRKHAMVVAVATAAAAEAAVSAAHAAAEVVRLTSTPSNFGKTTRNLAATKIQTYFRAYLARKALKALKGVVLLQAVIRGQNVRRQIITHMKSLQSILKPQSQVHQIHVPTSEERCVHGNDIQSFSPKKMLLGCKSSTTWDYSLFTEEDMEVSWLPKKDGSAKRDRMKKSYSHRERPNNIVSGEYSNKEDGGKRPWFEELQDVKIRAAKKGQKFKEKTESNFIDIVSTGRTQHKLKNVVKGESMEVLNSSLTLPRRSFSRTNKKEYSIVDDTSLPHSPVFPAYMAATESAKAKIRSASTPRQRLAHIDFSSVHNSLYKPVLSSWTSWDGELPEFRGKGATSLHKSQKL >KZM95946 pep chromosome:ASM162521v1:5:36958603:36960631:-1 gene:DCAR_019188 transcript:KZM95946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVIFGWGKASKCKKLLRRVQCRLKLLKNKRSSILRYSRDDVALLLKFGYDQNAFNRVEHIIKDERMFAVYDLLEAFCEFIVINLPYIRKHRDCPNDINEAVSTLVFASARCGDLPELCKLRSLFAKRYGQKMTKIALELLPGNLVNQTIKENLSAKSVSDDARYKLMDEIASSIKEEPLALEYTPEWQQLQTTNPESHSLPKPQESQSSDSETIPESGTYGKHSEYGDSDLQAMIVSKAEGNEEGNRSPLALDYSKQPFLTERREEGGIYEDSTSEMLSDQKPEKIVYLDDIEEFKFPVRKDGNNIQDQRLFLFKSSDSTRKESEVWYDGDIDLHSSMNKKASSKSYIKSRKGDGNKLRKRTQSAENINVNDLESSIYYGGSMNSNLKSYECRDLPKKVQVKDGSDDSESPPLLPKRRIVAVEDSHGVKNKPGSCNGVTTTQPESVHKEKMYVDYLPYEHMSQAKQEAECISTLIRPKQTQHSYTRATTMPPKRPEDDQADSILRSKSFPFQQPTDLWSNAPRSPCIHPKLPDYNELAEQFMALKKAALQKQPQRRK >KZM96155 pep chromosome:ASM162521v1:5:38740620:38740859:-1 gene:DCAR_019397 transcript:KZM96155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSQNDQAGQKLPNSGKQTDGVLHQRSKPPIRPLKIVLGGVAFVYIGYLTLCPFGPLRRKSETTALEAAIIEKKRSRN >KZM95993 pep chromosome:ASM162521v1:5:37292097:37302236:-1 gene:DCAR_019235 transcript:KZM95993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLTNTHCSLNTYKFPPPISRNMISHHFSTRLNLPTITPSKSFQPISLISCSGLICTPSVRQKSPVSQENEKLLSSEAKVENFKGLGKWGVLFAVLGYNVIKCRKALAAEGLVASTGMYFKSNWPKILQVLGVFKEQGLILAVLLGLSAFFSMAETSITTLWPWKVHELAEKEPEDGVFRMLRSDVTRFLTTILIGTTVVNIGATALVTEAATKLFGEAGITAATGVMTVAILLLTEITPKSVAVHHATEVARVVLLGLKAISEPYVTEDELKLMLRVAESSGAIEEEEQDMIENVLEIKDTHVREVMTPLIDVLAIDSDATLLDFHQFWVTHPYSRVPVFEQRIDNIVGIAFAMDLLDYLQKGEVLESTTAGDIAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDIVEEIVGEIFDENDTKEEILKKTGYVVMRAEGVFDVDANTSIDQFSEDLDIVLPEAHQYETVSGFICEAFGYIPKTGDSINIELEKAKREKNSDYSEEESELHDEKITHQAFKLEILAGNCRKVKAVRFTWINHGSTTETKEITRMIPRIWKRKWRDNEESGQSDDDAYNEETPNGAFPEDTVNVEDEVKENIYKQ >KZM93854 pep chromosome:ASM162521v1:5:11534093:11534413:-1 gene:DCAR_017099 transcript:KZM93854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSILVACLMAMMVLLVVATDDKTPTICNVGISELAECLPAITGDKPSWPTKRCCKVMRKVNLPCLCDYKDKFLQYGISPQNAMALPNKCGLTLPVQCGGKY >KZM95364 pep chromosome:ASM162521v1:5:31496456:31498476:-1 gene:DCAR_018606 transcript:KZM95364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSRNIVVLIGISTWLFLVIDFTYGGQSDVNCLRSLKNSMKDPLNSLYNWNFNNNTEGFICRFNGIECWHENENRVLNIKLSDMGLKGEFPRGIVDCSSVTGVDLSSNELSGSIPSDISKILGFVTNLDLSSNLLAGTIPTNLANCTYLNILRLDKNQLSGQIPPEFANLNRIKTFTVTGNRLTGPVPPFRVSITADSYSGNPGLCGKPLADCKGSSKKNNTGVIAAAAVGGVVFAALLVGVSLLFFCRRVVRKKDDDPDGNKWTKSLKGAKRIQLSMFEKSVSKMRLSDLMKATNSFNKDNIIGTGRTGTVYKALLEDGTSLMVKRLQDTQHSEKEFEAEMATLGKVKHRNLVPLLGFCMAKKERLLIYKYMPNGTLYDKLHLVGDGDTVLDWPLRLRIGIQAAKGFAWLHHTCNPRIIHRNISSKCILLDVDYEPKITDFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYARTLVATLKGDIYSFGVVLLELVTGERSTYIAKAPESFKGSLVEWVTELSKESKLQDAIDKPLLGKGCDGELFQFLKVACNCVIPGPKERPTMFEVYQLLRAIGQKYNFTSEDDIMLLSDSGGDADLEELIVSREVRGKQ >KZM93991 pep chromosome:ASM162521v1:5:13421539:13421979:1 gene:DCAR_017236 transcript:KZM93991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRVSIELHHHGDFTPNAFKGNAGYVGGTVDTIDIQDPNKLTMYDLNKYALKYGCSRTDFLYFLCDGHSFNKGIRLLYDDESVRKMISLSLRYKKIKIFVDHQKRFQNRGDKDDDIGGSVSNPGDWVEEEDEGHVDVLTVLLNVD >KZM95423 pep chromosome:ASM162521v1:5:31974288:31977019:-1 gene:DCAR_018665 transcript:KZM95423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKVSREEKGFGLRSGHRIPAVGLGTWRAGSQASDSVFTAILQAGYRHIDTAWQYGVQEEVGHSIQAALQAGIERKDLFVTSKIWCTELSPDRVRPALMNTLQELKLDYLDLYLIHWPFRLKEGADRPPKAGDILDFDMEGVWREMENLVKDKLVRDIGICNFTLSKLNKLLSFAQIMPSVCQMEMHPGWRNDKILEACKRNGIHVTAYSPLGSQEGRDLIHDPLVQMIAGKLNKSPGQVLVKWAIQRGTSVIPKSGNPDRIKENIMVFDWEIPEQDFQALCHITDQKRVLDGEELFVNKAEGPFRSVADVWDHED >KZM95940 pep chromosome:ASM162521v1:5:36929221:36930552:1 gene:DCAR_019182 transcript:KZM95940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEETGSGGSDVSPGWSVMGHPHVGISYTTVRKRPSYRPDVELCLRFPQTQFDPTVFKEIQDFYSFKPSASHILNEISSCPDLDISTASVNNEDLGVVYSEIAPSVVSVCAFDGVERKWHCSGLVIHWSLTDNEATILTSAKVLFKPKDPEFKFHLIIRMGDGTVLFGKEDQVDFYHNLLTLKVKSKVELEVLDLRSRQAQIVHGMEVISLGRSFYSSCLYDSFGNLYEEHPNFGCDELLKSSCGIREICEGGPLVTRDGYVVGINFFGDHPCAHPLPTPIILSCLEMWKSFRMIVRPWFGIRLIDMNQLSKEVSNHVRKFFNISTLKSHVFVKEVFMGSVARKSNVRRYDSVATVNGIRIESYKQYSQLLSEASRAATSCDSSQRLVAVINPFDCRTEDISIEAEYVSVDDGRLYDCWPAAKVEEWKIYKSGPTNPTSYYIA >KZM93514 pep chromosome:ASM162521v1:5:7420746:7422092:1 gene:DCAR_016759 transcript:KZM93514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCCDKVGLNKGPWTAEEDKKLVDFVLANHPQCCWREVPKNAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKLIIDLHAQLGNRWSRIASHMPGRTDNEIKNLWHTYIKKKLSKMGIDPVTHKPILAGPPNGNYQDHDALSQKEAQKSREEMQEQDKGQENCDGISSLEESIISNTDDYRLDKEQVAMDTSNGFSVPEVPWSIPHEFCVSSSDQQSSCSSTTFSDTSAVTLSMSDINSILEDLRFLPSFEDWQSDDNSKNYVEPMGVPYDDDFADWDWLINDFDIDNIDHEIVQSLPDPATA >KZM95779 pep chromosome:ASM162521v1:5:35482170:35486853:-1 gene:DCAR_019021 transcript:KZM95779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMLISVAMCSLLAFTLGFFRKIISKAPQKLPPGPYPLPIIGNIHKLGKHPHRSLNTLAQVYGPIMRLKLGCVTTIVISSSSAAQQALQKQDLAFSNRPIPDVTRGCDHYKYSIVFLSVGSRWRSLRKITTSSILTANKLDANKHIRSRKVHELIEYCKKCGQSSEAVDIGHAAFLTSLNLLSNTIFSKDMIDPYQKSEEGKKLKDLVSNVTKEVGRPNIVDFFPILKRIDPQGINRRNTGYTEKLLKLFDGMINERLELKRSGNTSENSTTDMMDELLTILQTNEIDRTQMTHLFLDLFAAGTDTTSGTVEWAMAEILKNPDTILVKAKAELNEVVGKGKIVKEADISRLPYLQCIVKETGRLHPLVPFLIPRQVQEEVQLCGYTIPKNSQVLVSAWTIGRDPLIWKNSLLFQPERFLDSEVEMNGHFYELIPFGAGRRKCPGLPLAMRMVPVMLGSLINCFDWKLEDTILVKAKAELNEVVGKGKIVKEADISRLPYLQCIVKETGRLHPLVPFLIPRQVQEEVQLCGYTIPKNSQVLVSAWTIGRDPLIWKNSLLFQPERFLDSEVEMNGHFYELIPFGAGRRKCPGLPLAMRMVPVMLGSLINCFDWKLEGGIAPEEMDMEEKFGITLAKLHPLRAVPTQFCLINFRILESSAPLHCPTLLRG >KZM93539 pep chromosome:ASM162521v1:5:7588586:7589670:1 gene:DCAR_016784 transcript:KZM93539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRSKAGSKNDDKEGFRKQFKEKNPAVKGVAAMGKAGGDAWRSMSDEDKAQYVTVAEQRKKEYEKKLKAYDNKQSGEIEEEESDKSKSEVNDEDEEGSDEEEDDD >KZM94188 pep chromosome:ASM162521v1:5:18141508:18141894:1 gene:DCAR_017433 transcript:KZM94188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYKAYKSKLSKINKLHCAIKSYSLDFIHGFAAGFKEPLKACCGYGGKYNYGDNFTCFGIETSKTINGKKIALKSCENPRERISWDGIHYSEAADKIVFDRISTGAFSDPPNTPPSMACHQRSSSKN >KZM95013 pep chromosome:ASM162521v1:5:27987004:27989951:1 gene:DCAR_018255 transcript:KZM95013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAALVSSASPKLSKNGFSIFIKKPSVLCCRGSPLSSLSTSKMFLKYPYASRPHKRIKPFSPVMEWQDSKVKMEIDVPVSVAYDCYLDREAIPRWMPFISSVKVLEDKPELSRWSLKYNAFGRDIEFSWLARNMQPIRNQKIHWRSLEGLPNRSDQYLIYASHVAEELCDFSPKVIRHAWWKNVSVNVSLHIQYKCVIATMNDWIVDTDVEDNTWHFKIHISILQPSVEVGLLCGGKY >KZM95461 pep chromosome:ASM162521v1:5:32321288:32322163:1 gene:DCAR_018703 transcript:KZM95461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLVLAISLIFVSLKSLYSIIWVPWRIQHFFRKQGVNGPSYCPFYGNTAEIMQMTKEAQSKSIPFTHDIVHRVCPDYYQWSAKYGRTFLCWFGLKPRLALADPDMIKDVLSNSIDMIDRLDFNPLARLLFGQGLPGLTSHKWTAHRRIATPAFNIEQVKAWVPEMVASVSKMLEIWEDKGEEKDEVEIEVYKEFLDLSAEILSKTVFGSSFEEGKHIFELQEQQVTLTMRALHTVYIPGFR >KZM93656 pep chromosome:ASM162521v1:5:8961360:8991260:1 gene:DCAR_016901 transcript:KZM93656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPRSFSRRMTRNPTMIDPGSEVVDSELVPSSLASIAPILRVANEVENDNPRVAYLCRFHAFEKAHHMDPKSSGRGVRQFKTYLLHRLEKEEEETQPQLSNSDPKEIQKYYQSFYEKNIRDGQFTKKPEEMAKIYQIASVLYDVLKTVVPASKIDPQTQSYAKDVEEKKEQHEHYNILPLYAVAVKPPIMELPEVKAALRALRDVQNLPILRPLDEGHRSVNDILEWLSSVFGFQKGNVANQREHLILLLANIDIRTKGFENYEQLDNNTVHLLMDKIFKNYRSWCAYLHHPVNIMYPQGSDRKQLELLYIGLYLLIWGEASNIRFMPECICYIFHKMSDEINGILFSNVQPVSGGAYQTTMRGEESFLQNVVTPIYEVLLKGRDQIISGKKKPKTNFVEVRTFLHLYRSFDRMWVFFILSLQAMVIIAWNHNGSLAVIFEEEVFKHILSIFITLALLNFLQGTRNIGVVIVIWTPVVMVYFMDTQIWYSIFATIVGGINGAFSHLGEVRTLGMLRSRFESVHSAFRQRLLPYSKEMVRKEHMDDPMGKKNSAKFAQVWNEFIFSMRMEDLVSNREKDLLLVPYSSTTTVSVVQWPPFLLASKIPIALDMANNFKRKDDAELFRKITADDYMRSAVIECYETLGEVLYGFLEDEEEKMIIRQICREVDVSIQQRKFLSEFRMSGLPLLNNKLEKFLELLLKDYDDEESYRSTVINILQDIMEIITQDVMNNGHEILEKSHQIDTHNEHDKKQKFERINIHLAKNPTWREKVVRLHLLLTVKESAINVPMNLDARRRITFFANSLYMKMPNAPTVRAMLSFSVLTPYFKEDVLYSEKKLNLENEDGITTLFYLQKIYPDEWNNFEERMEDPKFNKDRIESIRQWVSYRAQTLSRTVRGMMYYKDALRLQCFLDYAEDDAIFGGYQTMDMNKDHKNLMDRAQALADLKFTYVVSCQVYGAQKKSSDLEDQNSAANILNLMLTYPSLRVAYIDEREETHDGKKVYYSVLVKGGEKLDEEIYRIKLPGSPTDIGEGKPENQNHAIIFTRGEALQAIDMNQDNYFEEAFKMRNVLEEFHKTHHGQRTPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLADPLRVRFHYGHPDIFDRIFHITRGGISKASKKVNLSEDIFSGYNSILRGGYITHHEYIQVGKGRDVGMNQISLFEAKVAGGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLIVYVFLYGRLYLVLSGLEKRIIDDPNLHVSKSLEDALAPQSVYQLGLLLVLPMVMEIGLERGFGTAVGDFIVMQLQLASVFFTFQLGTKAHYYGRTILHGGSKYRATGRGFVVFHAKFAENYRLYSRSHFVKGLEMVILLIVYQVYGESYRNSSLNMFVTLSVWFLAVSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISPDKSWESWWDDEQEHLKHTSIRGRVLEIILALRFFVYQYGIVYHLDIAHEDKDLMLLSMGRRKFGTDFQLMFRIVKVLLFFGFVTVTVILFLVLGLTVSDLFAAILAFAPTGWAIILIGQACKPCLRRIGLWKSIMELARAYECAMSVLIFMPIVVLSWFPFVSEFQIRLLFNQAFSRGLHISMILAGKKDGDKKSSN >KZM95440 pep chromosome:ASM162521v1:5:32130228:32133605:-1 gene:DCAR_018682 transcript:KZM95440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFHPLNQPTVKTEPGLNLVGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPLICIVGGPNSNDYGTNRVLHHTIGLSDFSQELRCFQTVTCFQAVVNNLEDAHELIDTAISTALKESKPVYISVGCNLAAIPHPTFSREPVPFSLAPKLSNKMGLEAAVEATAEFLNKAVKPVMVGGPKMRVAKACEAFVELADVCGYPVAVMPSAKGMVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIILQPDRVVIANGPTFGCVLMKDFLQALAKRLKHNNTAYENYHRIYVPEGHPLKCAPKEPLRVNVLFQHIQKLLSGDTAVIAETGDSWFNCQKLKLPKGCGSLKYIVVTAQDVSTMLRCGQNQIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTTKVRCEEDLVEAIETATGAKKDCLCFIEVICHKDDTSKELLEWGSRVSAANSRPPNPQ >KZM96404 pep chromosome:ASM162521v1:5:40764333:40770010:1 gene:DCAR_019646 transcript:KZM96404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEGISSSGDPLIAPTPAASSAGASSPAVPTNVGSIDWLGYGQSSKAASVSRIVSRSARPSLSTSAGGSALGSSQPSCRPWERGDLLRRLSTFNPLNWSGKPKAASSLACARRGWVNVGVDKIECESCSANLKFSAPSTWMSTQAESAGEEFAKKLDGGHRINCPWRGNICAESLVQFPPTPPSALVGGYKDRCDGLLQFLSLPIVAASAIEQMKASRSLELERFLDQLQDLTVGDSGLKADVLPQTEANREEVSSVYTHAQKLISLCGWEPRWLPNIQDCEEHSAQSARNGYSVDHKDSGNLNDPGLGKKALSSSTKKDSKKKEVMCSKSNGDSRSPLLDCSLCGATVRILDFLSVTRPARFAPSIVDMPETSKKLALTRGASAASGINGWIAADGMGKEQTEEHDEAATADEGKSMSNVGVDLNLTMGGGLPSAQVHMNVVTDQPQEITIGRDLMIGQPSSSEVGDRAASYESRGPSTRKRNLEEGGSTVDRPQLLVRQADSVEGTVIDRDGDEVNDGEQYSAGPSKRSREAYAFETYQSSYRRDSSGAGPSRSLVFEINRDGNREDLYNQRDDQSFGIPSARDSARASSVIAMDTIGHSADDDSMESVENRPGDMDDVQFPMAFRNPDLNETSELNYSNQAQQSVCLPAVRGAGDVGVSSTNEEEVLNTDTTTARGRDGPSFGISGGSVGMGASHEAEIHGADLSVHRADSVVGDMEPVAEVTENQGQTGEFAPDPGLMGDYVPQEMDREYPHGDSQDLMSGSVARADSGSKVVGSGKVESVESGEKTSDMHLLPNETSNHPSHSCNAILCSGYEASKEEVTQAGKASPTNDSGYPKADDMVANGKELPNGESNYEEAVEFDPIKHHNYFCPWVNGNVAAAGSSSNSGSGSGASAIALCGWQLTLDALDSFQSLGQAPVQTVESESAASLCKDDQLTPGRKFLALNSFSRSHGLN >KZM93617 pep chromosome:ASM162521v1:5:8536248:8536520:-1 gene:DCAR_016862 transcript:KZM93617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARGRGGAKGMGDGGDRGRGWASGRGDGGDRGRGGARGRGNGNRNGRADGGDGDNDGGDDFDYFLDDDVAMLIESLQRKTCKVIITKG >KZM95268 pep chromosome:ASM162521v1:5:30661757:30663396:1 gene:DCAR_018510 transcript:KZM95268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQFDRWEKDPFFPAAEQVQESADRMESAYRTWVHAVKNNSGMLKPDEIKRDLQTALGTTKWQLEEFERAVKSSYKNSTLDDAKDRHREFILAMEGQISKINSALHKSAVSSGKPPNPWVRLDEGECNELELFLTGSTTSKGKNSSKVQGQDQLSSKPLEADKASSLERVKNFSNSVELARMDLKHDKFHGHRRTASAADIGNWNIAVDDSVLLQSSGVVRREQPPPKIPSVSGFLNTMESASKLKWQKNGNRKLKLPDRQQDVDTALLQPNNLPKGVNACYERCKSCLENGDECCDKQLYGWYGAIQRQVQRSQYHMQYSRPVQVVFWITLFLCFIGELT >KZM95739 pep chromosome:ASM162521v1:5:35050361:35051146:-1 gene:DCAR_018981 transcript:KZM95739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKNTNTGRQEAGARAGSTRNLVRGGGASILQLNADVVLIIFSILLKYNFTDFANFFLTWAYSHTKAEINFIIKNLDWSKMHARQEDWHPSHIRVNCWDRWAYSKFFGFFEHCKRKGIYQAIFYDSCRHLLERSEIQNNLNTLQQLSINHSPSILGFYVFKSIYEPLSLEECATAIHNHLKSIHYRADLRWVVKVLRNLYESKTIQRYTPNVGGICPLGKLRLSNHFFVQSWHPIFEEVLSATCPLCRLQMIWCMIFDEH >KZM94021 pep chromosome:ASM162521v1:5:13944682:13956898:1 gene:DCAR_017266 transcript:KZM94021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVLTRNTQSGSALRRPSLLNKHTTNLTNKNSKPIHIEAEDDVTVIVPQLEKMGIPRPGNSVRRSTRLILKSLKRPITGPVYVDLEADDADQVIIDATISENNNHVGDLPETMNNVGDTVIVEENKGPVRGQVTLSDSDNDFVDPAPWSKSKEKRQDNTVETRNVKRKLVLKETEKEIPEAPRLNDNKIKLKAKKGKAATGNDKVMAQQTNREEDGNERHNLITEPNEENGAVENDEGGPETGNEYEDDNGHNYHDVTHEENGSAENEHGGPETGNEGVQDDNGHSKNDDPNERKHFVNYLFENKFELLAQSLKNVKPMYMIMPWQTLGNYKDCGIFLIRHMETYKGEPKNWITDLKAESTIQSAQLIKLRAKYCHAILTSPLNEKRQHVLNESKLLYNKMASDKVMSIVLAASEKKNGAVFRRNDIKGKVLFPEDQDPTEDDTPEK >KZM93667 pep chromosome:ASM162521v1:5:9068724:9071270:-1 gene:DCAR_016912 transcript:KZM93667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAVVGNAVIEKTNVEDTSIDVKKEEGCGQICSGEGGSENGKNLEQVISVSGGEVESSKTLPESTMSKGSKAPVNSSSKTNAKGSTELNRKGKPSLSQSQSFPAKGLRKGMGNSTGSYSVRSNAKIPQTKGLKVEATSSHGKDASVSRMIPASRRASTGVNAKEANTNGGGASSRRSTLASVPNQSRKIVSNGAPRCPPSEGFLPVDQQPKQTKTGLLTDDDDARSAASSTLTPRGASRSSASGFSFRLDERAEKRREFYSKIEEKIHAKEVEKSSLQEKSKESQEEEIKRLRKSLTFKATPMPSFYKEPPQKVELKKMPTTRAKSPKLGRNKSITAGLTNSIGDGSGPSPRVSREHNRSPKIANNDSVVSASKKPLRKSLSSLHRRDSAVSITERMPIKLRQKPTEAEGRDEKNQAEDLKEGEPQPSNPPGNADSSNAGSDHYPIQDDNSFVNAPNPEIPVQAELIVGG >KZM93400 pep chromosome:ASM162521v1:5:5693423:5699480:-1 gene:DCAR_016645 transcript:KZM93400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNVNNTTSSSSHSLVVGKESIQVIAQKIGNNLSPDIFPSLAADVEYRLREIMQEAIKCMHHSNRTLLTSHDVDFALHLRNLEPIYGFGSGDALKFKKATTHKDLFYIDDKQVDFKNVINAPISKTPRDTSVTAQWLAIEGVQPATPEATLFEALASPSDNKKAEYKDDEISADVKYPVKHALSRELQLYFEKITELTVGRSDSILFKEALLSLATDSGLHPLVPYFTYFISDELHQLMPSIMTCLIGKTLSHKLSDNHWELRNYAATLVSSICKRYGHAYHILQPRVTRTLLHTFLDSTKTLAQHYGAIRALADIGPSVVGFVVLPNLEPYLQLIEPEMQVEKQKNETKRYEASRVYGVLLHAVGQCVYKKLKLIPSPLSSPTIVPWKVNSKIMTTMASKRKASMDNIMQQPPLKRVATEGSMGVSSFPVNIQRTAGGYSTGLGGSDVGLSSSMSRQIPTENALGINGRKDDRAKKPSSASTQAWKEVMDAGQSLPLLTECFGESMLTFIPTPLSNIFL >KZM93638 pep chromosome:ASM162521v1:5:8738978:8740564:1 gene:DCAR_016883 transcript:KZM93638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEELEEKNSLLDLPDLALDSILKKLSPADLSRMSGVCTTLRDMCTSDHLWERHMQKKWGGLIRSFTYRDLQWNIDSRKKHLHIEAPKKNRLAALFLGSKLDRRAKMSSTLPVDSVMSLYLSLETGKFWFPAQVFNRENGHAGFVLSCYDAELSYDRHWVNFRARFSFNGKPTMEHGIDWNRIRAPAVECGPHTLYPSDCLDDLKPGDHIEIQWKRKRGYPYGWWYGVVGHLEPVKRCQIYCQCLRSDRVKLEFKQYELGSQWRETSIWRKNHDETGDEVNGYYGGIRKIYDKYEVSRWQQHWPAETV >KZM93888 pep chromosome:ASM162521v1:5:11860700:11862844:1 gene:DCAR_017133 transcript:KZM93888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKFIGLVNRARFLIIKGDDMSFSAGGKDGWILSPSETYDAWSKRQRFVIGDSITFKYTQGVDSVLAVNKNDYDTCNVNNPITKMEDGNSVFKLDKAGPFYFISGNKSNCDQGQKLNLVVISPKNHHTATSPVASPPAPTAMTPLSPVATSPVASPPAPTAMTPLSPEIPAMPAPTVSAEAPMSGPGTGPVAAASTPSGAPPPTSVAAAKLTPSIAAVASIWFVTSVALGSFVMTH >KZM95637 pep chromosome:ASM162521v1:5:34048674:34050988:-1 gene:DCAR_018879 transcript:KZM95637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLTPKLAKSVYGGDGGSYSAWCPDDLPMLKQGNIGAAKLSLNKNGFALPSYSDSAKVAYVLQGSGVAGIVLPEKEEKVIPIKTGDSIALPFGVVTWWYNKEDTQLVVLFLGDTSKAHKTGSFTNFFLTGSNGIFTGFSTEFVGRAWDLDESVVKTLVGNQTGNGIVKLDAGFKITEPSEKHRNGLVLNCLEAPLDVDIKNGGRVVVLNTKNLPLVREVGLGADLVRLDGSAMCSPGFSCDSAYQVTYIVRGSGRAQVVGVDGKRVLETTVKAGNLFIVPRFFVVSKIADPEGLEWFSIISTPNPVFTHLAGSIGAWKALSPQVLQASFNVGSDVEQQFRSKRTADAIFFPPPK >KZM93939 pep chromosome:ASM162521v1:5:12539669:12541374:-1 gene:DCAR_017184 transcript:KZM93939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKSLATPRVFIILLVVFIGSFATLYIRSFSNFDLQNFVVHHFVTISLPEESEALVPSVSNISDTSTTEAVTFRDEAPLMHNMSDTELLSRASSVVEIRDFTNEKQVQKVAFLFLTPGPLPLSPLWEMFFQGHEGLYSIYVHPHPSFNETYPQDSVFYRRAIPSQPLDWGAMSMVAAERRLLGNALLDSSNQRFVLLSDSCIPLFNFTTTFDYLMASNQSFLESYDEDTERGRGRYNKLMWPAITIQDWRKGSQWFELHRDLALKIISDTKYYDIFEQFCLPPCYSDEHYMPTLVNIFYSKMSSNRTVTYVDWSRLGEAHPGLFGAKQITREFLNEIRFGTKNVNVTSTICNLFARKFSLDALEPLLLMAPLMYGFEI >KZM93102 pep chromosome:ASM162521v1:5:2430158:2430469:1 gene:DCAR_016347 transcript:KZM93102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKKAAKDRDASSQSIYSQVPASLESDERMERPIERKAAKKLKRAANEATNEESLELLKTRQKDALAIASSRSELANMSLHLQKEMHWLHWLLLLQGVNRFT >KZM94739 pep chromosome:ASM162521v1:5:25426228:25431181:1 gene:DCAR_017981 transcript:KZM94739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTVEEASKAVAGLSVGKSTGQPESGAMSKNALKKELKNKQKEEERRRKEEEKAKKAAAMPTSNAQKSVAADDDDMDPTQYFENRIKGLDALKTAGINPYPHKFSVSMSVIEYVEKYSGLNSGEHLEDVEVSLAGMSSSSKLFFYDLHGDGAKVQLMTDARISDLSEAEFAKFHGGVKRGDIVGVVGFPGKSKRGELSMFPKSFIVLSHCLHMMPRQKPASSSDNESVKKIDAWIPGSGRNPETYVLKDQETRYRQRYLDLMLNSEVRHIFKTRAKVISYVRRYLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMRLFMRIAPELYLKELVVGGLNRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMEMTEQMLSGMVKELTGGYKVRYHSNGLENEAIEIDFTPPFRRIDMIEDLEKMAGLNIPKDLASDEANKYLAEACAKFEIKCAPPLTTARLLDKLVGHFLEETCVNPAFIINQPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGMGIDRLAMLLTDSQNIKEVLLFPAMKPQDELPVKATQCK >KZM94463 pep chromosome:ASM162521v1:5:22751906:22752406:1 gene:DCAR_017706 transcript:KZM94463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVIDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHTGNISLDDVIEIAKIMRPRSMAKELTGTVKEILGTCVSVGCTVDGKDPKDLQEEIDDGDVEIPQD >KZM95684 pep chromosome:ASM162521v1:5:34549698:34556304:-1 gene:DCAR_018926 transcript:KZM95684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTATSGVYIQVIEDVINKIRDEFVSNGGPGDAVLLELQGLWEAKMMQAGSILGPIERSAAPRLAPGGPITPVHDLNVPYEGTEEYETPTADMLFPPTPMQTPMQTPLPGSGQTPLPGTVQTPGTAELYNIPTGGTPFTPGEYPSENAGGVSEMKPGRPSQFMQPPAPWLNQRPPLDVNVAYDEGREEIERGASHEPTTQDFFTMSAGKRKRGDFPSQYNNGYIPQQDGAGDALLDASKEATAANLEFGRGVSMSSRIPQVDGPTPDPYDDALSTPNIFNYQGVVNEDYNIVNTPAPELQAATPAAVAQNDVLDEEDDDEPLNENDDDDDLDDVDQGEDLNTQHLVLAQFDKVTRTKSKWKCTLKDGIMHINNKDILFNKFPMFICPEDQSLEIQQMESLISNYSGSASNEIAAGHWIASLAV >KZM94084 pep chromosome:ASM162521v1:5:15115819:15119324:-1 gene:DCAR_017329 transcript:KZM94084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGYLRELRQSFSSGRTRDAAWRKSQLRAILRLLDENENQIFQALQRDLGKHPIEVYRDEIGVVKKSVTYALSCIDKWMAPKKNQLPLLFFPSRAEVRPEPLGIILIFVSWNFPITLALDPLVGAISAGNTVVIKPSELSPETSAFLARTIPAYLDRKAIKVIEGGTDIAENLLQQKWDKIFFTGSARVARIVMAAAVTHLTPVTLELGGKCPAIVDSLSATLDLKVAAKRLAAGKWGACCGQACIAIDYILVEQKFSSALIELLKKSVKRFYGENVKNLKNLSTIVNKHHFNRLRNLMEDPAVAASIVYGGEVDEENMIIQPTILLDPPLDAEIMQEEIFGPLLPIITLDNIKDSIEFINSRPKPLALYAFTKDDAFKKQIVAETSSGSLTFNDTVIQFVCDDLPFGGVGLSGFGRYHGKYSFDAFSHEKAVLHRSFYLELEARHPPWNDFKLRFLRFAYMFDYWGLILLYMGLKR >KZM95303 pep chromosome:ASM162521v1:5:31023283:31027056:-1 gene:DCAR_018545 transcript:KZM95303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRNPGDKKPDGDKKEKKFEPAAPPSRVGRKQRKQKGSEAASRLPTVTPLTKCKLRLLKLDRIKDYLLMEEEFVANQERLKPQEEKTEEDRSKVDDLRGSPMSVGSLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVIGLLQDDVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFTIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >KZM95282 pep chromosome:ASM162521v1:5:30791619:30792980:-1 gene:DCAR_018524 transcript:KZM95282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQVELISECFIKPSNIEGKEQVLHRTPWDLCLLNGHYIQKGLLFRKTPLSDDQESSIPGFLERLKHSLSVTLAHFYPLAGRLATQTRENPHLYEVYIDCSNSPGAKFVHSKLNLSVSDILSPAYVPSIVQHFFDHYKAVNHDGHTLSLLTVQVTELTDGIFIGFSSNHCVADGTSYWHFINTLSEVFEKKIDSEAAKISRPPVLESWFPDGQGPFYNLPFTHHDQFINRYERPELKERIFHFSVASLARIKARANADCKNRGITVSSLQALSALFWRCVIRARGLPQNHISICKTSVNIRSRLEPALPQNYFGNGIQTTGAVTTAGELLENDLGWAAMLLTEAISKHDDKAIREGLKSWMQNPVIYQFQRLVDPGLVMFGSSPRFDMYGNEFGLGKAVAVLSGHDNKFDGKGTLYPGNRGGGSIDVDLCLLPHSMSALERDAEFLDALNFS >KZM94393 pep chromosome:ASM162521v1:5:21875416:21876840:-1 gene:DCAR_017636 transcript:KZM94393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGMPNGMFSGINSGMLGLEMSPQQYQHLQAQQNPQNPQNPNLSQQHPHTHHTMVSFGQNEVDHHHTQNQQAVKQGYPYGAKPRTQQLTFSDDDEAGVGAEDSGGKRNASPWQRMKWTDGMVKLLIMMVFYIGDDQGVSEGNDVAGEKKGGGGGGALQKKGKWKSVSKAMMERGFFVSPQQCEDKFNDLNKRYKRVNDILGKGTSCKVVENQSLLDTMDHLSPKMKEEVRKLLNSKHLFFREMCAYHTSCNGGAQHSAEAGADSSHVQQQQQQQQRRTCLHSSDNSPNVHNLGRTDTEGSKMMKGVSVEEEDDDEDDNDDEDEDNDEVEGGARGHEHESDLDVKITSHKRTRNETYAPDSNSFQEFNNELKYVIQDGTKSSWEKRQWMRIRLMQLEEHRMHHQCQAFELEKQKMKWLKFKHKKERDMEAERLANERMRLQNERMVLILRQKELELLDSQQQHQSCNRSSMAG >KZM95290 pep chromosome:ASM162521v1:5:30871284:30885922:1 gene:DCAR_018532 transcript:KZM95290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCHRVVLASLGVGSVVWVEDPDDAWIDGEVVGVNGENIDVLCTTGKRVVVNTSNVYPKDAEAPPCGVDDMTKLAYLHEPGVLSNLKSRYDINEIYTYTGNILIAVNPFRRLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRVMINEGISQSILVSGESGAGKTESTKQLMHYLAYMGGRASTGGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDNKGRISGAAIRTYLLERSRVCQLSDPERNYHCFYMLCAAPQEELQRYKLGNPRTFHYLNQSNCYEIDGLDESKEYAATKRAMDVVGISSEEQEAIFRVVAAILHLGNIEFAKGKEADSSVPKDDKSWFHLRTAAELFMCDVKALEDSLCKRVIVTRDETITKWLDPESAATSRDALAKVVYSRLFDWLVDKINSSIGQDHSSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKDHKRFSKPKLSRSGFTIGHYAGDVTYQTELFLDKNKDYVVAEHQSLLNASSCSFVASLFPPSEESSKSSKFSSIGSRFKQQLQSLLETLSSTEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVMEAIRISCAGYPTRKPFYEFVDRFGILAPEVLSGSLDEINACKKLLEKVGLDGYQIGKTKVFLRAGQMAELDARRTEVLGRSASIIQRKIRSYMARKSFIMLRQSVLQIQSVCRGQLARHIYGGMRREASCQRIQRNLRMHFARKAYKDLCCSAISIQTGMRGMTARRELRFRKQTRAAVMIQSHCRKFLACLHYKELKKAAITTQCAWRGKIARKELRALKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRIRADLEEAKTQENIKLQSALQDVQLQFKEAKDLLQKEREAAKKLAEQVPVIQEVPVVDHGLMDKLTAENEKLKIMVSSLEVKIGETEKKYEETNKLSEERLKQALEAESKLVQLKTAMHRLEEKVSDMKSENEILQQALSTSPVKRGLEFVTTPSTKILENGIHAKEDSRSSEPQSGTPAKNTRTDPDSNFKRPPVDRQHENVDALIDCVMKDVGFSQGKPVAAFTIYKCLIHWKSLEAEKTSVFDRLIQMIGSAIEDQDDNEHMAYWLSNTSTLLFLLQRSLKPAGASGGSSVRKPPQPTSLFGRMTMGFRSSPSSVNITAATAALEGVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKKELGLFLSLCIQAPRTSKGGALRSGRSFGKDSPSNHWQSIIDCLNTLLSTLKENFVPPIIVQKIFTQIFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELEQWCCQAKEEYAGSAWDELKHIRQSVGFLVIHQKYRISYDEIINDLCPVLSVQQLYRICTLYWDDNYNTRSVSPEVISSMRILMTEDSNSAGSNSFLLDDNSSIPFSVEDLSSSLQVKEFLDVKPATDLLENLSFQFLHE >KZM94436 pep chromosome:ASM162521v1:5:22307315:22312338:-1 gene:DCAR_017679 transcript:KZM94436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSAQSVSSSSGTSQAVATPHAQNSFLNLNHLHIQQPPQFDNDVDNDVDDAASQAPVGHKKKKRGQGAIDGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDPNHSLSSPDQQKYDGKNIRRRVYDALNVLMAMDIISKDKKEIQWRGLPQTSLSDIEKLKSERLGLKCKVDKKAAYLQELEDQRNEKLYSSGDPPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELYDDNYILKAMKFCGKPEGNDSADRGESSSIFQPQITDPSKSSIQLRRTPTSPPLPGILKARVKREQH >KZM93223 pep chromosome:ASM162521v1:5:3836050:3836670:1 gene:DCAR_016468 transcript:KZM93223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSVPRYDYTPCAACKFLKRKCLPDCIFAPYFPAEELQKFISVHKIFGASNVAKLLNEVLPHQREDAASTLSYEAEARLRDPVYGCVGAISILQLQVERLQKELDEANAELVSYHCCYHPNMSGTIATLPAPSAVPINPVCDYAQIPPQIRPVDLINTGEAEVCSYYQTPSSVTFPPNDDTNVPDQGGAAGQGLLFNDSASLI >KZM93639 pep chromosome:ASM162521v1:5:8741927:8744490:-1 gene:DCAR_016884 transcript:KZM93639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLSDFYHVLTAVVPLYVAMILAYGSVKWWKIFTPAQCSGINRFVALFAVPLLSFHFISTNNPYTMNFRFIAADTLQKLIVLGVLTIWSRVSARGCLEWSITLFSLSTLPNTLVMGIPLLKGMYGEASGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIAEQFPDNAAMISSFRVDTDVLSLDGKEPLETEAEVGDDGKIHVTVRKSTSSRSEIFSRMSHGPHSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDIYSVINVKNASNVSPRQSNYGNVGLDEESGAGGYGNHTKGNAGYPAPPSAGIFSPLAGPGAKKKANGADGGKDLHMFVWSSSASPVSEGGIHVFRGGEYGNGLNGIHNAKDYDEYRRDEFSFGNKQVQNGVEREGPMLSKLGSSSTTELHPKSMANMENKPTAMPPASVITRLILIMVWRKLIRNPNTYSSLIGLTWSLVSYKWDVEMPAIIAKSIAILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFITGPAVMAASSIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLMV >KZM95515 pep chromosome:ASM162521v1:5:32887321:32888097:1 gene:DCAR_018757 transcript:KZM95515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQDAAAQQAAMVK >KZM96405 pep chromosome:ASM162521v1:5:40776501:40777465:-1 gene:DCAR_019647 transcript:KZM96405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPSVAAARGDKNAGEENGSSSMRKTESMLRLLPMSLCIVSLVLMLSNSQSSDDFGLLSYSNLGAFRYLVQASGVCAGYSFLSALVSALPRPSTMFRAWTFFVLDQVFTYIILGAGAVSTEVVYLAYKGDAAITWSGACGSLGKFCHKATASLAITFAVVICYAVLSLISSYRLFSRYEAPVGYTNKGIDMSSVFHT >KZM92918 pep chromosome:ASM162521v1:5:781724:787060:-1 gene:DCAR_016163 transcript:KZM92918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPASYRDRRSDSGFGGISTSASKRVDYDGVESPRKLDLDGLTPFEKNFYVESSAVAAMSESDVDEYRLMREITVEGQDVPKPVKSFRDVRFPDYVMQEIEKAGFSEPTAIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPFLAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLETHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARKFLYNPYKVVIGSPDLKANHAIHQHVDIVSESQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYSFFTAANARFAKELITILEEAGQKVSSELAAMGRGAPPPLAGGGFRDRGRGYGGARPWHK >KZM94674 pep chromosome:ASM162521v1:5:24797886:24803773:1 gene:DCAR_017916 transcript:KZM94674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSSTSSLFFPSRLTVPNLSFQSNLNPLRLNHLSTTPSSPSPQSLTTTSISHSPITARYGGGGGNFPRSNSGDFRRKGGSNDNDPALNISSIRSENVRLIDEKQNMIGIVPKYDAIQRAEDAELDLVILSPEADPPVVRLMDYNKFKYEQQKKKRDQQKKSAAHRMDLKELKMGYNIDVHDYSVRLKAALKFLKAGDKVKVVVQLKGRESDFRNKAIELIRRFQSDIGELAVEESKSFRERSMTLVMVPNKVVPIQKPPESPKKNETTATEVSAPV >KZM93475 pep chromosome:ASM162521v1:5:6935564:6936211:-1 gene:DCAR_016720 transcript:KZM93475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSCNMSQMLKLSLIASLLVVAPLLSSSLRGTYLYFIFNLLIIALGVEAGLLSSSSSNTPVNYYKKTNTPVEVPQKPTETSGSHALVLKATSTADKKGICTDQLTTVPRKEQEKVVEKCSSAKVFSSVVKVHKIKKCPSTPSIFFIGGVEAEAEELEVSCQNDHLNQVEELDEVVPSGQELYNKAETFIGNFYKQLKMQREESWQRIHGLYKAF >KZM95512 pep chromosome:ASM162521v1:5:32853655:32864484:-1 gene:DCAR_018754 transcript:KZM95512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNSSENGTDGDDERDEEEEDEDSVIEEEEEDEPRLKYQRMGGSVPALLSGDAASCIAVAERMIALGTRAGSVHILDFLGNQVKEFTSHTATVNDLCFDIEGEYIGSCSDDGSVVINSLFTDEKLKFDYHRPMKAIALDPDYARNSSRRYVAGGLAGHLYFNMKKWIGYRDQVLHSGEGPIHAVKWRTSLVAWANDVGVKVYDTASDQRITFIERPRGSPRPELLLPHLVWQDDSLLVIGWGTYVKIALIKNNQNKSTNGTYKHIQMSSMNQVDIVASFQTSYYISGIAPFGDTLVVLAYIPGEDSEREISSSIPSRQGNAQRPEVRVVTWNNDELATDALPINGFEHYKENDYSLAHAPFSEGKITLNYILFATAFTDCDPLNVGSSYAGGQWAAGDEPLYYIVSLKDVIIAKPRDAEDHIAWLLEHGWHEKALAAVEAEKGHSELLDEVGSRYLDHLIVERKYAEAASLCPKLLRSSASAWERWVFHFAHLRQLPVLVPYIPTENPRLRDTAYEVALVALATNPSFHKELLSTIKSWPPIIYSVEPVISAIEPQLNTSSMTDTLKEALAEIYVIDAQYEKAFALHADLLKPDTFEFIEKHNLHDTVREKVVELMKIDCKRAVSLLIQHRELITPFEVVSQLMAAKDDSRYFLHLYLHSLFVANPDAGREFHDMQVELYADYDPKMLLPFLRSSQHYMLEKAYEICISRGLVREQVFILGRMGNSKQALAVIINDLGDIEEAIDFVSMQHDDELWEELIKQCINKPEMVGVLLEHTVGKFDPLYIVNIVPTGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADIINLLIKYYKEARRAIYMSNEEDEVRSKRDDNRASHLTERSLNMKSMDVKSKTRAGGRCCVCFDPFSIQNVSIIAFYCCHAYHMNCLMDSANTYNDKKTPAASTQEAVSYYDYDNGDAEDASSDDDASGAPQMRCILCTTAAS >KZM96402 pep chromosome:ASM162521v1:5:40755730:40755915:1 gene:DCAR_019644 transcript:KZM96402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKIQTLRFVTEVAPPRFISVIRRPMKKLLATIHEEESEITGRSLPASLANSPTDHEKKL >KZM93361 pep chromosome:ASM162521v1:5:5259776:5260168:1 gene:DCAR_016606 transcript:KZM93361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSRAFALLLLLIFITDFQQIAGLMNGPVAPPAINCTASCDTRCSETKRPNLCKRACGSCCGKCKCVPPGTSGNYEACPCYFNLKTHNNTRKCP >KZM93395 pep chromosome:ASM162521v1:5:5672679:5678335:1 gene:DCAR_016640 transcript:KZM93395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVIELIRFQVKKKQFFHNFLTIMLFGVTGVFISSSIVAAGSWWMFPKCGFHGLTIRDYLSIGTIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNSIQKINVDHFNAHAAFTLIGDFFYLFFTSTCLGVATGLLAALILKGLYFGKHSSVREVALMMLMAYLSYMLAELLDLSGILTVFFSGVIMSHYAWHNVTESSRITTRHVFATISFIAETFIFLYVGMDALDMEKWKMSVLSFWTSIGTYSILIFLILVGRAVFVFPLSMLSNFMNRRAQSTASITVRHQFTHAGVTLDPINATMVTTTIIVVLFSTIVFGLLTKPLITCLLPHSDSNSSEPRSPRENMRLPLLSFGESATTNIDRAKDNINMLIERPVYTVHTYWRRFDDAFMRPTFGGPRSTDPC >KZM94793 pep chromosome:ASM162521v1:5:26000676:26001584:-1 gene:DCAR_018035 transcript:KZM94793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGMNEGQAILWAMHVGAMHALSIDKNKVHIELDNDDIYDTVRFQDELFVPEDLVEALTQFNTLYNNHHQEGLTVRRLSLVGVEMNRTAEYLATFGLENFPSFMEVPGSIGNLEFFLARDMGLAPPVQLLEAVDNLGLGEVVDSSPPPTPHPVVEDRPYNPLSLMERPRKRSRKDPFFEAAAKDEALPFKLVINIELKSNSNEISSAGSSSKAGPSAKGKEKVYEGYAFNNDGLLDREAINIIDNGILKHISKEMGKVVINLDASAENGQCLKDILKAEMLKNLHSLNLSRGPSVACSSQWL >KZM96220 pep chromosome:ASM162521v1:5:39271003:39272706:1 gene:DCAR_019462 transcript:KZM96220 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MDSSAAEKQAHVVCVPYPVQSHIKAMLKMAKLLHSKGLLVTFVNTEFNHKRFLNSGALQSLDSLKSFRFETLPDGLPASEAEATQDLLELSRAVIENNMLPAFQSLLSKLNAGTHKVTSILSDGFMPFAADAAHSLGIPVVLLWTISACGFMGFYQFRNLLERGLIPLKDESYLTNGYLDTTIDWIPGMPDIRLRDLPTAIRTTDPNNFIFNFVMECTQRAINGTSHVLHTYDDLEQELANVISSMFTSVYTIGPQQMLLDQMPPDQEQRLKSIGYSLWKEDSTCLQWLDSKTADSVVYVNFGSITVMSAEQLMEFGWGLANSNCFFIWIIRPDLIMGESEVTLGVEFMDAIKNRGLISSWCAQEDVLNHVSVGGFLTHGGWNSTIESISAGVPMLCWPFLGDQTINCKYLCDQWECGMEIPNDVNRDDMEKLVRLLMDGMEGKRMRNRTLEWKRKAKKACGPDGSSSHNLDKLVLHLKN >KZM92853 pep chromosome:ASM162521v1:5:296512:299555:1 gene:DCAR_016098 transcript:KZM92853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANENKSPDFYAVLGLTKDCSDLQLKSAYKKLALRWHPDRCAAPGNSKYVEEAKKKFQSIQEAYSVLSDKNKRFMYDFGVYDSNDDDDNGMGDFLNEMATMMSQNQSNENVVESFEELKTLFEDMFQDDLASINSSSQSGATASCSSSLFDTFGKNPSLPNKRNFEQNSVKPEPENSFNFDTHFQGFSFGVEQEGSLREGGCAPGEFRSRRSGRKQKVSSSHDVSSGNNAGISA >KZM93973 pep chromosome:ASM162521v1:5:13139810:13142431:-1 gene:DCAR_017218 transcript:KZM93973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSSFSARQVPLPQSSISQTTSLLFDSLSSSLALSHSDSSISLYPSFSPLSSLSSSLSFPQTFISAPTSSAAFLPLINPSRRLLFLAVSPSHGGASILLRFWTLKHSNTCFVRAHVECNHKLMHYDAGKSGVVFGVSHGACVKVAVTSNVFVMYCVSSRMVYVFAVRMVGGEGDENESVKLMRTGVVECSVPVFGIGVSSRFLVLGEFDGVRVFPLQILVKGKVKKSGSGRLLNLCDGKGNGGDENLDGKVEKNVGSRRRITSATLSDAQLLNWSIGVLSLQKRQIAAKLRSARLRQDSKEGGACFVPFKGDSDSFNSIKLQMKPVKAIDIEALSPNEFLIMDSASRIHHLRLSNSVIGSEVSCHMNQLSVSINVQKMAVLPGAQTFWISDGEHTVHTISLSDLDTSIIGGERKDDDKRLIQISGFSNTFISSVYHFTCSWFWIMLLLL >KZM95728 pep chromosome:ASM162521v1:5:34910056:34912622:1 gene:DCAR_018970 transcript:KZM95728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSVVVIQDASSEACWEAIRWVLHGLSLKTGDMVTLISVLTRPHNTSSRSYMGTKKSVGYMSREDSPMLGANHETVEDLTAKKMEEYQNSLEHIRITKLYHIQKVLFNVMVLDAGHSAKVVALEAAKQLSATWVILDRKIKKDQRYFLERLSCGISKMKRHNSIEKLRGPKENKISRNLSVIRCSYDEMLPGPEDGDDLFSIELYPLDLSSSEGSTQSRTSSLCEDVTTAYFDLGGSGRIATEANMNYLENKITCNNSTEESIRCLNTNYMSDNSLCSICKNRRPSIFFESEFSYAKIIDATGGFSPSNLISAGEHGSVYRGTLSNAVKVAIKEQKYTSFQWEKKYELEVEVIRSIRHNNVVMLLGSCSEDSKRFLIYEYLCYGSLNQHIEDQRCRTLTWKERLTILLGASRGLYYLHRNNIIHRDIRPKNIFLTHDHEPLLGGFGLERTEYESDPSCNHQVIGTSAYMAPEYAESGHLTTKADVYAFGVVLLELATGRNTTEKTIEKGGLLEWARPHIKESKYAELIDPRIVNPSEAYQFFLMMRLIEKCLREDPHKRLSMDEVTICPGPCFNYFH >KZM94285 pep chromosome:ASM162521v1:5:20582875:20589049:1 gene:DCAR_017528 transcript:KZM94285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKTVCVTGASGYIGSWLVKTLLERGYHVRATVRDPGNERKVKNLLELPNASTHLSLWKADLAEESGYDDAVQGCQGVFHVATPMELLYQDEPAENEEIESTTLNGILSIMRSCSKAKTVKRFIYTSTTATILMQRQPPVDEYTEEHWSDLDLCYELKMYGWMYVVAKTTAERAAWKYAEENGIDMVTVHPSIVLGHFITPHTSFSTEAATALYTTENEANMALLKKLHGSPAVHLDDVCNAHIYLFEHPLAKGRYICSSHTYNVFEIGHSLSLKYPDRNIMTEFEGLDKSQRINPVSSKKLMSLGFEFAHKNKGVGDLCAETIESCREKGLL >KZM96320 pep chromosome:ASM162521v1:5:40096873:40097517:1 gene:DCAR_019562 transcript:KZM96320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSPRLYPKENPSPSHSPNSHNSSTNSNGLSPAVATPPATPKPVSRSENNPYPTTFVQADSTTFKQVVQMLTGSSETAKQAADLHQVKNSSIPPIRTSQKKQSFKLYERRNSLKNGLMINPSLHNFANNPGFSPRKPEILSPSILDFPSLVLSPVTPLRDDHHKSSGSSSEEDKAIAEKGFYLHKSPMSTPRGGAEPQLLPLFPVTSPRVSGS >KZM95246 pep chromosome:ASM162521v1:5:30519661:30521225:-1 gene:DCAR_018488 transcript:KZM95246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMPNEAKVHPTSSDLAETTFYGQELDQEFDIYDDHQAPLVMTISKMKALSEFRCKLEDAIQNNYIYENETSSFTQDAKDEERLLDLSLWGVPLLPSKGHEATDIVLTKFLEATHFKVSESLSMLQRTLIWRMKKGIDEILHENFDPDLGEVGHVSTKKGIKGHSVCYIIYGTYKEKNAYKERFNSYDKYEDFLRWNIQFMERCVQTLDLRPGGTNSIIMIIDLKDAPGPLIKDLPSFDKKMLNLLQNYYPGIIYRNIVINVPLWLLTFHALQILPLTQKTHKFIFVRPFRVAKTLLKFIAPENLPVQYGGLEQETGDLSQGDAKVVHRKIRPLSVECFQIPVPQAGVTAFWSMTVVGFEVSYKEEFIPNDDCSYQILIQKEMRMGKSITSSFYVREPGKIVVSIKNGTPKNKLALCRHWIQSTASP >KZM94728 pep chromosome:ASM162521v1:5:25322676:25328457:1 gene:DCAR_017970 transcript:KZM94728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESFATSLPATLLENRDLLMLLTTSIAVLIGCAVVLVWRRSSLRSVKSVEPPKLIVPKVEIEDEVDDGKKKVTVFFGTQTGTAEGFAKAFAEEAKARYDKAKFRVIDLDDYAAEDEEYESKFKKESFAFFFLATYGDGEPTDNAARFYKWFSEGEERGNWLSNLQYGVFGLGNRQYEHFNKIAKVVDDGLEEQGAKRIVQVGMGDDDQCIEDDFTAWRELVWPELDKLLLDEDDTSAATPYKAAVLEYRVVVYDQLDKPPVDHSLNGQNGHAVFDAQHPCRSSVAAKKELHKPASDRSCIHLEFDIGHTGLAYETGDHVGVYCENLVEIVEEAEKLLGISPNTYFSVHTDNEDGTPLTGGSLPPPFPPCTLRSALAKYADLLSSPKKDEYAQWVVASHRSLLEVLAEFPSAKPPLGVFFASVAPRLQPRYYSISSSPRMVPSRIHVTCALVYEKTPTGRIHKGVCSTWMKNAVSLEESHDCSWAPIFVRQSNFKLPSDPKVPVIMIGPGTGLAPFRGFLQERLALKESGAELGTADFIYEDELNNFVESGAISELIVAFSREGPTKEYVQHKMLQKASEIWNLISEGAYIYVCGDAKGMARDVHRMLHTIAQEQGALDSSKAESWVKNLQMTGRYLRDVW >KZM93067 pep chromosome:ASM162521v1:5:2099724:2100416:-1 gene:DCAR_016312 transcript:KZM93067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVIRCLLIIVLCVQLLIVTSFATENKLSMSVKQNLDDHVVAINPRGEEGSKERADHEIKDEVVVSKKSQGKKGSGGGNNVVRDPAGKKKNDAMSKPAEDSSCVVEVVLNWLDFSS >KZM93680 pep chromosome:ASM162521v1:5:9168580:9169485:-1 gene:DCAR_016925 transcript:KZM93680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIATCYSEHAIKVSNSYCSGPSNHATFSPNMIPSIQDAVTCIYRVKLCSQHQLLISLTWCSSLMDRGFTVTLVQDPSSPFKFHTRRLYLHNIKGTKSFKSTNSKVEIFWDLSFAKYDAGPEPVRGFYIAVFVNYELSLLLGDMEQEPEVKKLMCNVPMSKFSFVSRSEHFSGGAACSTKAQFFTTGTRHDILIKCIVQEKGQKNSILSVSIDDKNVVQVKRLQWNFRGNQIIFIDGCLVDMMWDVHDWFFNPKSGYAIFMFRPRSGMESRLWLEESKVEQNEEEKAGFTLLISACKNPD >KZM94351 pep chromosome:ASM162521v1:5:21328084:21328973:1 gene:DCAR_017594 transcript:KZM94351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRIIVKVAMKKDKDRSKAMKVVAGVTGVISVKIGGDDQNTLTVIGSEVDAVRLARSLMKKFGSASLVKVEQVVKVYRFDQEQYAASMIYEGQRADNYNNNYGQPSFYNTTPLPQYTPQYHYPYEKPNYDSGCSIM >KZM95772 pep chromosome:ASM162521v1:5:35421108:35421584:-1 gene:DCAR_019014 transcript:KZM95772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITTSSLTKLQSGCRCTVCIAEYQPEDTLRILPFCGHSFHANCIDIWLQQHSTCPVCRVSLRDVPEKKRFMQPMFSSAFRSQYAMESLAANSCQCLSNNHRLLTRGHESRRMDSVQEDQFQFRGDGAVARESNPVSIESNAATKDFEIKHMESPSNV >KZM95317 pep chromosome:ASM162521v1:5:31117620:31117808:-1 gene:DCAR_018559 transcript:KZM95317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSREQGTRRLANKYAIMEGRLQHIELGRRYKDKEALNLNLYQFNLLFSLEIVMLYYSNSSA >KZM93160 pep chromosome:ASM162521v1:5:2954086:2957437:-1 gene:DCAR_016405 transcript:KZM93160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVKKQAVAAKDKTPTSTISNLWLATNPSKRWGELFFLLYSPVWLTLCLGIIVPYKLYEDFMELEYLLVGLVSVVPAFVIPLFLVGKANVWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTHVCFLFYHVTSNLTLRRLQHAVAGFPEPVQWVAKAAWILAFSYFIAYLETVAISNFPYYEFVDRASMYKVGSVFYAIYFIVSFPMFLRIDEEPGNQWDLPRVAVDALGAAMLVTIILDLWRIFLGPIVPLSNTNQCLQPGLPWFPGHAT >KZM94706 pep chromosome:ASM162521v1:5:25152315:25154799:1 gene:DCAR_017948 transcript:KZM94706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSETLALSKWGVISITLTLATLSVSTAIYVYSWTKKSRQVNLKLQQLEVSLKACSDKCAAERQGRIRAQQDLRKALLQSKSEKSEWTSYPMSPIATIQSCFSTRNGAPRQPLLVPLARATLVFDSAQAPPASLEGLEEYSHCWILYVFHLNTDLEKLWKHPSRSKFKAKVRVPRLKGEKKGVFATRSPHRPCPIGLTVAKVEEVKGNMVQLSGIDLVDGTPVLDIKPYLPYCDNIEGAKVPKWVEV >KZM95485 pep chromosome:ASM162521v1:5:32566205:32566549:1 gene:DCAR_018727 transcript:KZM95485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNMDEKKGKDPFSGVNEVVKQKYLFGKYEEKEMLTKLKFLALNHDKPGITPNSIRPLWDQTLRVREVMALTNYPLPMSEAVGYIVTSI >KZM96380 pep chromosome:ASM162521v1:5:40613888:40619723:1 gene:DCAR_019622 transcript:KZM96380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPRETDIPALFLFFVVFPLVAYYLLGRWSELSKKKETSSLLANCDAEESFRAESMTAATLIPLVPLTKVGIHECARCYAPSTTRCSRCKSVRYCSGRCQIMHWRQVHKHECQQLEYSSSSSSPKDLSDDKPVRERVLFDDNLASGNMQGRHENATTEYMSHQHVSAASLGTAACITPDASDPYLMERSVGKQVYLNSKKEKLRKEDETILESSEDACRHSGTVSKEAGNQLGENESNEKMKANTSSRRSTSRSKMNDTLINGGRLVREGTTCNDEVLYNCSSEGIPTNRSTRAKSGSHVPGTKMNRLTRSKSRASIELSSPDMERKDQRLDMSEVGRPRGTAPQEGSEVPNTGFSKTSGLMRSTKHERLESTEVKGDTHKKTKMLFPYEDFVKFFQCRTFNLSPRGLVNCGNSCYANAVLQCLTYTKPLTIFFLRRTHSRTCCSKDWCLMCELEQHVAMLTESGGPLSPSRLLSHMGSINRHISYGSQEDAHEFLRYVVTSMQSICLEKLGGENKLDTKLQETTFIHHTFGGRLISKVKCLRCYHESERSEIFMDLTLEIFGWVESLQDALTQFTSAEALDGDNMYKCGRCAEYVRAEKKLCIQEAPNILTIVLKRFQEGNYGKINKCITFPEMLDMIPFMTGADDIPPLYILYAVVVHIDMLNAAFSGHYITYLKDMQGSWFRIDDTEVQPVEMSQVMSEGAYILFYMRSSPRPGSGQTGSLVQHQSSGLPKQRSSKTQKLARLGHSRSGSHFVSSEPSAGHRPEMPNHPYKWIHSSSNSDIRAPYMDNYPRSRTMDFSDATSSDWSIFTSSDDASFTTDSTRDSFSTVDHADAGNMDLSASLFNSFCAPEYPSHKTVSCSRFSGSKFRERFVHEENGNVYDSGNDDKWNQVSFPSS >KZM95492 pep chromosome:ASM162521v1:5:32620778:32626082:-1 gene:DCAR_018734 transcript:KZM95492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLHSQFTGNLASGTTVSDLLQSSSNGISGVPLKTLGRARLGMTKREFAVVAKVRKVKKHEYPWPDPDNADPNVKGGVLSHLSPFKPLKEKPKPVTLEFEKPLVALQKKIIDVQKMANETGLDFTDQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTCLDHIFNITEKFVELHGDRGGYDDPAIITGLGSINGRSYMFIGQQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVMGEGGSGGALAIGCCNKMLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITATELTKLQISDGIIPEPLGGAHADPYWTSQQIKSAIVESMDELLKMDTEELLKHRMLKYRKLGGFQEGIPQDPIKKAHMKKTEKTVVPRPDNSELEGEVEKLKEQILKAKKSSAAPPDSGMNEMIDKLKEEIDYEYSVAAKSLGFKDKIVMLQEEFAKARNSKDQLIQPALLDKMQKLKDEFNQNLHKAPNYESLSSKLGMLKEVSTAKSLSEKNKEASPLQLEIQKKYKDILDQPDIKQKIEALKAEIKNSGASGVDNLDQGLKEKIGQVKKEIELELADALEPLGVRVNKVPVSVKAKVDELNKESNKIIKDVISSSSELRSKIELLKIEVASAGATPSTESKNKMQALQQDIKQGIEKAINSSALKEKFEQIKAEIAEAIESSEEANESFVSGNSNGSTPINLEANRIYS >KZM96118 pep chromosome:ASM162521v1:5:38406173:38407388:-1 gene:DCAR_019360 transcript:KZM96118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFQRFQRFRTGYGSILLFDNPYSLRVQQQFVPVSNRTALTRFRAVRNAVRGVPVQPRFRTGYGSILLFDNPYSLRVQQQFVPVSNRTALTRFRAVRNAVRGVPVQPVRPEWPALQGSRLY >KZM96303 pep chromosome:ASM162521v1:5:39958380:39958628:-1 gene:DCAR_019545 transcript:KZM96303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISCKPVESAISSVDNRMGWAFNIRVVTHGRISSCKAPRPGVPQAVCEYPFALDMLLSSSYNSGIIKQPLELTAATKGVRE >KZM93470 pep chromosome:ASM162521v1:5:6849651:6860474:1 gene:DCAR_016715 transcript:KZM93470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSLVSKARTAFHSAAAKAEKVLTDINTKKSHLTSTDHPDSHAHSDDSPIPLGTSKINRQVKDLKWRPAPIKAKHDWQERFKKITTRNKGVDDNSPDDSAMAFAIFDQNLYLENPGDFSQPKVLESCSLTENPKVVNVDIIPPVAYIKQLAIAIEAGKNYKTIKDLMACSTSSSPVRERASLSFLAMKSLVLREKEEKFASEFSADTKALSLINMLVDAGSFITQDLTFAEGHHAETESGSGHETAANSSLPKEIHGAPPESFIIKLAEAVGSLKTLKKMAFFWLRVVAELRRLWSEGLYIPGIPVDEIPDLNSCLLYQQLQVINCCISRKRRQSIAAESLDSVLLMQARINGEESTTPADALPLNPVFYAKISTGELVLRLGADKQCDNLKMLETGEPMYTPVTQEGPLLTEDLIKENEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWMEPEICNEAKESPAGTDLHSTKGQLSGRMQKEGNLWRELWETSKPVPAIKQSPLYDEDLSVEGILNAFEGISPAELFEQLFVSLLGVGFINAEPRLAANSSISKLFSECKDYIIAACQGKIWVEKIDDICQVYETVELMLSNPDEVLNMSKNADEGSTGGDQKSKFKRLSLIFGGKDRQSKKTPKDQKNSQETPKQQSFASIFSKKPPKHGNASSAEKPTSEKDTGDLQKTDVVRSNTCKSPEYAAAGDDDDSTATPRRREEARALVLNGETV >KZM95067 pep chromosome:ASM162521v1:5:28345753:28346301:1 gene:DCAR_018309 transcript:KZM95067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQINKSNTARRAWNVLRIALLWARKGGVLRRRLMLNFPKYIKTVRHNPGADTFRYGERQLSFDDTPVIHVRMHRPSSLRFKLPHIPCINPAQVDFDFEFNDGNDEGFCYDDIARKSFLKSARDEDEEEEWLGDGDESEEVEGGNDIDMKADEFIAKFYEQMKLQRQISYLEYHETSISAE >KZM93440 pep chromosome:ASM162521v1:5:6406475:6411570:1 gene:DCAR_016685 transcript:KZM93440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDIDRILWTEEALTQRVTQLASQITADFASCPSPPILLGVATGAFIFLADLVREINLPVSVDFIRASSYGSSTHSDGAPKFSLDVKLNIQDKHVIVVEDIIDTGNTLCCLVAHLKKKGASSISVCTLLDKPSRRKVNFELVGNGKYYCGFECPDYFVVGYGLDFAERYRNLRFIGKVELKVFIHCCDGCKKKVKKVLSRMEGVYKTELDPTEPKVTVLGNVETQALIKKLLKYGKHAEIWGYNNQSGHAEERNVKSLLVANQEVSSIPDTEQTKYTEDKLACSIISKEGRDDTAAIKKTDCMENTSSTGLTKSESPTFPKLEETVCTTNPSIFHGSGKPTTEANIQYYYMLEPRPMVLPYCTMYPYTYRQELYDQRFTGQQPLNQAPITSAGDYFSDENSAGCHVM >KZM94399 pep chromosome:ASM162521v1:5:22008565:22010494:-1 gene:DCAR_017642 transcript:KZM94399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKRVLASLLLVAATNLLLAYGQPLVPAFNIFGDSVVDSGNNNNLYTLVKANFPPYGRDFVNHRPTGRFCNGKLATDFTAEFLGFTSYPPAYLTQDATRNSILTGANFASASSGYSNMTANSYHAVSLPLQLEYYKDWQRKIVSMVGRDRGKAIFSGALHLLSTGNSDFLQNYYINPTLSGTYTSDQFSEILLRSYAGFVQSLYGLGARRIGVTSLPPAGCLPAAITLFGGGSNQCVARINADAVLFNSKLKRTSDSLKKQFPDLKLVVFDIYQPLLDIIAKPADNGFFETRRACCGTGTIETSLLCNSRSVGTCSNATQYVFWDGFHPTEAANQVLAQAILGQGIDLIS >KZM92937 pep chromosome:ASM162521v1:5:994361:999153:-1 gene:DCAR_016182 transcript:KZM92937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTISAATKAAALVPRKKILADRNEGFTFCMSPKSLVGSACSVRFSSCPDTDCDDKQNRFDGDSSLREKPYDPLTNYLSPRPKFLRYNPNKKRRIFLCEENEIGEIKDGLDFVSTRSSFESGEVVEERIFEEVCVKKEDVELVKTDDECDDYEDEDVEDLEGSEEFEGNKCWGLLRILKFLLVLGSLILSTSFIYSMNSPDPSLIQEVVWEFRGEFVNQSTVHEESAIRKEYSNSGFEVKYGKAEESHISWLDLVTQQDIIDNEFAEIGIFVGHGTGNGLWDSTVGLPGVSTEKSEDAYNDWLMSKAEASATLQWEDPRKSEANTDDKVVDSEADQEMRSTEEAFNQVQGAETVEIFEYFREDLLPKIEPSTDVNGYGNGVVDSAVGLPEATIEKSEDVYSVESIRKVEAEPIISGANTDIAVVDSKAETLDSSATECKRVQEMESTEEAFDQMQGAEILEVFESFQEDLLPIIEPSTDMNAENVMMESKDIILQFKVLVLAVSLSIIAALGFLYRARKRKTGSKYSCPTRNNSGKEDYLPLDTHHQNAETAKAANRIQNSNAICGTKEEKMKRIESAVSPSTAPFSPMKVEAEEFSRRSQAPTVELLGELVIGGEANRSFRSIKRRRVLDSGVKNGNNHLYTQISLSKDPIVENQSYSPQPGLSNAASTSSQKKKSFSKKEQTEGGKVSSTSSPTPVRRSSRIRKMGVQVKAMKKTCKKKNTLVSIPTELLVEIVARVAASSFDDLFNTKFSCRTLNKFADVNDKYISKRVSLDKFHVVPWTSKTSNQHIVFLDRCIDSGNPEALYRHGIVQYFGKAEINSGIECLRRAANSGHLEATFVIGLILILHGGEDKERGMKIIINMKKLQPRKKIAEIRERFSRTLKSMWVKNTALIGKARPICCTTHRRANSTFHMWKRFADLECEACYCDQEIIHLWEILPKSI >KZM95053 pep chromosome:ASM162521v1:5:28254846:28255700:1 gene:DCAR_018295 transcript:KZM95053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSRWQQNEKTIFGSETLIRQCFQILTTTLLSLLLPLSFLLVARLTTARYLLDYDSSSTSQPLSTLFSLFLATNPTLLHGLVSVVCFLSLVHGLSKERVMIAPSSEPSEPILRPRLYTAWIILCTLQVLVGVGIEGSIGSGADVFNFGYERGVLSKFLFFVGLHETMLYWSRMVVKPVVDDTVFGSTREERWIERMILAASFSTLWWWRLNDEVVSLVVVAEVKKELLTATNLADFVSWWLYYLTVTIGIVRLIRSFIWVGFVLFSSKPDKIADDSCGNDQKV >KZM92974 pep chromosome:ASM162521v1:5:1305476:1308940:1 gene:DCAR_016219 transcript:KZM92974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTMQLHLIAKQLAFYHPSGDSSNQLSSQKKQVFVLKELKFSELFCRTLQNAGYDEITGGRISSRICNCVLDRTGMFLRIMLGSIARFVAAFLQQPVGLVMTVINAVANFCSRTRAFEIVLWLDRLFFNQTTRGFIL >KZM94870 pep chromosome:ASM162521v1:5:26635826:26638284:-1 gene:DCAR_018112 transcript:KZM94870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMRANKNLGFWQLQVFSLSFEGSPRHDSYVPKFEADLAHFCEKLVMDLDRRVRRGRERLDQDVEVPAPPPVPAEKSEQLSVLEEKIKNLLEQVEALGEAGKVDEAEALMRKVDVLNAEKTFLTQQPQNDKLLMLAQEKKMALCETCGSFLVANDVIERTQSHVTGKQHIGYGMVRDFLAEFKEAKEKAREEERLAREKEAEERRKQREKEVESRRRRSGSDDRDRDRGRDRDRERDRYRDQDRERSRERNGRGSREGGRGLDWKYNSTRNGSRDRYRERNRSRSRSPSRRSHRRSP >KZM92891 pep chromosome:ASM162521v1:5:584664:585432:1 gene:DCAR_016136 transcript:KZM92891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLNDGKWNDVPHMRHFVIINLSVKLTTVLDEEEYLQLQEGEWEVEVDEAALVGGEEAAEAAAMVDAGVLAADDPLAID >KZM95600 pep chromosome:ASM162521v1:5:33758626:33762154:-1 gene:DCAR_018842 transcript:KZM95600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTGNGQFPDYPAVATHGGNFIRYDLHGNFFEVTSKYRPPLVPIGRGAYGIVCSIMDTATNEMVAIKKIKHAFDNFLDAKRTLREIKLLRHLDHENIIALTDVIPPPSRTEFNDVYMATELMDTDLHQIIRSNQSLSEEHCQYFLYQLLRGLKYIHSANIIHRDLKPSNLLVNANCDLKICDFGLARPNINDEFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIYMELMNRQPLFAGRDHVHQMRLLTELLGTPTEADLGFVRNEDARRYLLQLPWRPRLSLSRLYPQVHPLAIDLVDKMLTFDPFKRITVEEALAHPYLARLHDIDDEPICSKPFSFEFETAHLGEEQIKDMIYQEALSFNPGMP >KZM96005 pep chromosome:ASM162521v1:5:37367492:37369414:1 gene:DCAR_019247 transcript:KZM96005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSVEDSPRYFKITKSEPVLVHVEIKSPFPEYYYLSNLDQNITSIMQTVFCFKPDGDKKSTEGVGLVIREALAKVLVYFYPLAGNLTLGSDEKLVVKCTNRGVPFVEAVVDYDIDVLGNVTCLDSAMLSKLVHTDSAARDMFEIPLMTAQVTRFKCGGFVMGMTMNHCMADGISAMEFVNSWSEIARGLSPSIVPAIDRSVLKARQPPRITRVHNEFLEIKDISNISAKYQESEMVYKAFSFDQEKLMRLKKLIMEDGTIKNCSTFVALTALLWRARTKALKMKPDQQLKLLFAVDGRSRLVNPPLPKGYFGNGIVLACSVSNAGDLVNKPLSYAVHLVQNAIKMVDDEFIRSAIDYLEVKKEKPSLSGTFLITTWTRLAFNTTDFGWGEPTQSGCVTLPEKEVALFLAGGGNGTTVLTGLPVNAMKSFQELMQI >KZM96144 pep chromosome:ASM162521v1:5:38643617:38646479:-1 gene:DCAR_019386 transcript:KZM96144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGRRAVTPRTGSAWENRMNEVKGGIKVFKDDKSLDDHDESSVKTELQVYRRMRGKQGLAGIAGKRKTWKTESSEGADKDLIQIAAQVSELGKNCDDQECGELSVRVKGDEIKKSGAQTPRLRSKWINNLDEQGRELSVADIKKSPVQTLRIRSDKDLGFDGVERSPRGVRTRSSVSQKGTNVSNDELRKDDKILVESYTASDYTNRRKSVEVLRKLNEVVNESRKSVDVSALRDIRTRPLGITTRLDDKLELRKFGEKVVTSNSGQLSQIESSPKLQVDDENELGEKEDDNADEIKLDTKDNVSIEAPKDDQVVIKDDKIQNSNVKSIPISQSVKRKPPAALKKPKIVPKPTRTKSTNEFKTVSNKHSKLQSFVDLVMWREPSKSAFIFGLGTFIIVSSSYTTDMNISLVSVISYVGLVYLAAIFLFRSILHRGALIVDDTSPEYVVGEEEAIWLLKLILPYINEFLSKIRALFSGDPATTMKLAVLLFVLARCGSSITIWKMVKLGFFGVFTVPKICSSYSSQLAAFGKFWIRRFKDAWESCSQKKGVGFFVFTVVWNLSSIVARVWAVFMLFVAFRYYQQQQQQHDEHHSEEWIEEESREGSVKVKN >KZM93694 pep chromosome:ASM162521v1:5:9314690:9317964:1 gene:DCAR_016939 transcript:KZM93694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSHMIFDGSCFICRDLLPSKPNIRLVLMSATIDAERFSQYFGGCPVIRVPGFTYPVKTFYLEDILSILKSNENNHLESASRLVTSENILLSEEYRAVIDDTITLAWSNDEYEPLLDLVTAEVGFNILDFQHSTTGVTPLMVFAKKGRVGELCMTLSLGADYSLQDNDGATALEWAERENQKEAAEILKKHMEKQVANTEQKECLLDQYLANVNSELVDFVLIEKLLKKICTDSTDGAILVFLTGWDDIQRTIERLKRNSFFSDASKFLLLALHSKVPSIEQKKVFERPPPGCRKIVLSTNIAESSITIDDVVYVINSGRMKEKSYDPSINVSTLLSSWISKASAKQRAGRAGRCQPGICYHLYSKIKEASFLDFQVPEVRRMPLEELCLQIKILDPARKIGEFLEKLLDPPATQSVTNAISILEELGALSPDEELTELGNKLGSFPVHPVLTKMLLFAILLNCLDPALTLACVSSYRDPFNQPMDPIEKKKAISAKLELASLYGGHGDQLAVIAAFECWKIAQEKGQGASFCSRYYLSPGIMKMVSRMRKKFLSELYRKRFIPKDGPCCSLNAHDPGIRNAVLLAGFYPMVGKLPLNKRGQKGIVETAGGVKVRLTSSSSNYMLASDGSEPLIIFDEIIRGDAGLLVKNCSVIGPLPLLLLAKEIMVAPCEEDDKNKGGTAPEFSGKDTEDDNEVHKHKDSPVGQTIMSSPSNIVKVVVDRWLTFESTALDVAQICCLRERFSSATLFKIRNSFGTQSKIADDSIQSVARILSYDGLSGIPSTLKYKNQQTSETSAPEAEQSELVREKGTSSQDFCLTSLMQQYHSQNCMRHQGDEEPHHGVKESKSAKPHHGSKTSKSRKLHHGGKASGSMKRDKSDQPGYCHKQLPPSELTIPKCI >KZM94738 pep chromosome:ASM162521v1:5:25417526:25423710:1 gene:DCAR_017980 transcript:KZM94738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLISSFPKACSFPLSADSSSSHSIDLRVRRCQAWSNIESSFALNCRQPLVMQHAGGIKYNLSLYRRGDTKYIVNAASEQPLESEPLSYQPKSLQGSLQDSLNAFYKFSRPHTVIGTALSIISVSLLAVEKLSDFTPIFFTGVLEAIVAALFMNIYIVGLNQLSDIEIDKVNKPYLPLASGEYSVATGVIIVASFAIMSFCLGWSVGSQPLLLALFISFLLGTAYSINIPYMRWKRYALVAAMCILAVRAVIVQIAFYLHVQTHIYGRPAIFPKPVIFATGFMSFFSVVIALFKDIPDIVGDQIYGIRSFTVRLGQKRVFWTCITLLQMAYAAAIIVGASSSSLWSKLITVLGHMTLSLILWIRAKSVDLESKAAITTFYMFIWKLFYAEYLLIPLVR >KZM92866 pep chromosome:ASM162521v1:5:381436:384306:1 gene:DCAR_016111 transcript:KZM92866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDALISQIFEQFTMVTSQKIAKEYKLVVGVEKEIHNISQKLKLVQDVIESAERRQVSDPLVKRWLESLKDVAYDVDDVICEWNTATRKMKIKRSQDKAAEKKVCYSFLLCSCFDVSRVVHRHDIAITIRDINKRLDAIVLEGKGFNLVRIDVGGQESRVRPKSSTTSSIEVPDVFGRGEDKSTLISKLCSENSVQAVKETLQIVSVVGLGGMGKTTLAKLIYNCDEVKNHFTEWIWVCVPEPYDDVRVAKAIVESVEKRAPDVTELETALQLVKNALNGKKFLLVLDDMGRCKHKSWDKLVCNLKVGAPGSRVLVTTRNQTVAKTVGSSYIHQLGQLSDQDCWTLFSRIAFNEKTEDEKEALEEVGREISRQCKGLPLSAYTIGGLMRSKSSLADWRKVSKSEFWEVTGAEEDLSPPLMLSYYDLPSKLRQCFIYCAKFPKYYYIEADNLIKLWIAQGYLTEKNKEETALGADMEEKGRSCLDELIMRCFFQNMEKDKESGIVTRFKMHDIVHDFAQYFTKNECAIVKPDSRKKQVCSASANIRHLTWIRGDDAPFPESFEKTEKLHTFWVQSFYDSPPIVSQVDAVSPELFNQMKYLKALDLSHNRLRVLPDEVEKVINLRYLNLSFNPLEKLPESVCDLYNLQSLKLVACNHLTELPQGIGKLENLRHLEIDKTDNLSVLPGGVSKLQALRTLSKFVIRGGGDPNGAACKIKDLRDLNSLQGRLDLEGLGNIADATEAKMAELKKKEDLVILSMNFKPLAQTDQIMCNVAEALQPHTNLVRLEMKFYGGSQFPNWMVSLSHLKKLVVLECQSCEQLPALGELPMLEKLHLESINNLKCIDQRFLNSNPEFSKERGAFPKLKKLEIVRMRNLEEWNMSSAKSEGDESNMQVMPLLRHLKISECDKLQALPETLLHLSTSVRRLSIKNCTILQQRYRRGGDERKKISHIRKIKLS >KZM95433 pep chromosome:ASM162521v1:5:32083659:32083947:-1 gene:DCAR_018675 transcript:KZM95433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSMYASVTNIIPKLDEQQSAISGHIVEREKKVVQNFEIDPLKMSPYDTCNHIWKMINP >KZM95719 pep chromosome:ASM162521v1:5:34812137:34815141:-1 gene:DCAR_018961 transcript:KZM95719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTELKLDTVICQDIEELEFRASNDKVNVVHKLLDTFLWQGKWSKNNITTPKERRTSSENRFSRANRAEADTNAGTNSTEGKREHGSGRSTGPLLSGTAYCISSCSMILLNKIVLSSYAFNAGISLMLYQNLISCILVALMSLFGAVTVEKLNWKLIRVWLPVNIIFVAMLVSGMYSLKFINIAMVTILKNVTNILTAIGELYIFRKRQNQQVWAAMFLMIISAITGGITDLTFDSVGYAWQFSNCVLTASYSLTLRRVMDKAKLLTDSGSLNEVSMVLLNNMLSLPFGIFLVLLFNEWDYVINADVIKMPMFWVVATASGVLGLSISFTSMWFLNQTGPTTYSLVGSLNKIPISIVGIVLFKVPVSLTNLFSILFGLFAGVFFAKAKMA >KZM96120 pep chromosome:ASM162521v1:5:38435551:38436009:1 gene:DCAR_019362 transcript:KZM96120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYNSCYQDQDYQPEDEETISLSDFQLISDENNLHETADQFCKRQDLPSQAETNEIFEFSSYPSSTMSHAEDIINCGKLVPFKEQDHGSQNLYGRRCRSEPLPEFKISGRQSNTTNMKMRNSRSFDYQKLSRDSSTSSDSPDSYRNNSPGL >KZM95678 pep chromosome:ASM162521v1:5:34470718:34516916:-1 gene:DCAR_018920 transcript:KZM95678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGLVRQLIVGYLGRYIKDIHKEQLKITLWNEEVKLENVELILEAFDYLQLPCALKEGRVGRLSIKIPWKKLGWDPIIISLDDVLVCACQRNDEEWSKDAVERREFAGKKAKLAAAELAKLSKRFSDDQAGTSFISFITAKILDGIQVSIRNVHVLYRDMLTDGAHVEFGLKFSSLTLMKQSPAGVSSGKVRGNQVAKLVEVQSLEIYCRTFQRTLDGIRIGNDGTEHVDSTKNLHEGHINVLAPVDVSISLLVNRPGNLESDAPQYSINFTLTGLVISLDEVQLQEILNLYDYLSTCRLRERYGQYRPWASPLSKKVKGWQKLWWQYAQQSVLSDVCKRLRKTSWKYLGERLSRRHKYVKLYKTKLECLRQELLLDDDVLWELEQIEKEADIDEILDYRSVAECVIEEFLEDSSSSFGAKVADVAADNSVDDEHSSSKPRGWLNWLSRGMLGAGGTDDSSQFSGVVSDEVIKDIYEATKFYSVPSLGGETASDEIFLSSVKFNINQITATLRSMKHNRAIADLLFEGVFIECKMWEKSALVTSTINSAQIVNPSNKQVILVIRRAITSKHAPELMETSVNIQVDLSPPNHDNELSVKVMLQSLELTFDLDFILNVMELYQIIRSFTFQQERVLLSLNGIDDDKVRLLSKAEYMLSSRKRVMWDVQIFHNLIGVIWQDTNADTYKMVLESQALIFRSIRDMGSASSDMSYQPRLFEFQLSDLYDCFEIKLDDFEINLLLPLNSQMLSILDKLGVSLTLSSCILPDEPILKSSEVYIIISSLLAHFSLSRYCAILELISNFSALKPAADPAMLKMAASSQKQFNRARTSNLNCFSVVANLGSASLLVDLENGTEHSCILKLSLHELNFRLSIAKLFEWWIGSKALKVITCPIKNEDEIRTLCSAKATVSNSYAQAHGADGDKNIVIDRDGTSDEKCLLLYYQGRRNSDLNHHKYSIWFNDVDLHFYPYIIGQLVGFSDKLAKCGASHVTMASAAVESCLAKGSGFEIQRFGFSNYFGRNADDLDCIPVEQFPFITSNNDGPLLNLESSLKYAVPEWRDLPKSKERKIRSPRFSFKKQFEQIIAQPVDPRSDDEVLPTSLPVDRADMFAVELNLSSVRLHFHDSASLIGTVTVPTSISSIVICDGCFDVLFSCEGVTLSSAWCNQHHSNILWGSLLPDLPSILNIRVRKGMNGSLGSMMELSISVQHVSCILPPEYLAILIGYFSISDWRPNAKQHSVAETFELANTETNINLKFEVLDSALFVPAGNDDFHLLKLNIQQLLCSFIENCSSEIVLNDIPVDCLVPVHKVDGKNDCWNISARDLSLQLLLLKDDASDFLVSDKSATKIIATLISELSADVWIRVPCKSVLLSVGSSPLCIMARICHIQFTVEGVHVLAGYEILIDIINQFSSVDADSRGFTSDVEQFLQFKRSTKERKGVLAESSSVQLTEIKCSFNSVSLKLYTSKCDLPASVPTFEAETQFLCYASLRIGEPHYLDISFSTVSLFSLTNSVRLVECCSSSVVSEFNILTAAVAEIEARVSLPPLDIWFYSSDWSEFIDLCSSYFKHTPENPIVKVSSRKSINDLVEQAENEVDVSNPPQSDSVSSTFASSNMKPGTSLLHLKSENITTKVHIPVRVSGELFGEFTELQVHKDSSDMYEADHYGFIVFTLESKSSELVFDGKSAKVKSNIGKINGTVELCKEISERVWRFFQILQVYLEANISTQVDLMQITGEVRCDSIDVWLSHHIFYICRCIMHGSSSQGSSQFAFGKTDLNLNLRRLSILLIDGKQSSNGPLLEVLLRHSILCAVCNDNNIQTSFTGDLQVNYNNFHKVLWEPFVEPWKFNLSLKWRNQNSVLLNTALMADMSLSSTSELNLNVTEPFVEVVFRAIDMIADAWGLQGLTSHSASPSFLKNQTKDSIYNGRYAPYRIQNLTSLPLAFRVIEGPLSTYYSDASASEDEIVVQPGSSIPIYIDESPEEQLFRYRPAHSSERLGDKQFMYTAHRYVTIRLEGTSVPSTPISMDLVGLSCFHVDFSKSAKSLKGDAPDGLKTNSVGKNKAELDSGYVVPVVVDVSVQRYTKLIRLYSTVVLSNATSTPFEVRFDIPFGVSPKMLDPINPGQEFPLPLHLAESGRMRWRPVGNSYLWSEAYNISSIIGHENNVGVLRSVVCYPSHPSSDPFRFCVSVRDMCLPASGRLKDGTSQYSDNTVKQSFENSRQVMPAMDESKKYFLHLVTISTPLVVSNFLPVSITLEIESGGVTRSAILTEVENSFFHIDSSHDLAMVFKIHGYGPSVLKFPRAEKFGAMAKFSGTKFSASETISFNSDLLSEGNLFVSIELIMDAVSGAREIYISVPFLLYNCTAFPLVVSNCVSDMKVRGCTLPSCFNLEEDLPPSRKDGLSLLISDQDFQITLHNGNLRKSSDNHIVSTRKLLEPHSSTLPGTSYKEIRTVESTARSSANLSGSSSQSNSKFPDFVEFDHKRVGGCMYSPVSNSSASDIKVQVSRGQPKGHKDSSQNCIWSNPFSLAPSTGSIRVPVPYPSPNSGYIIAVTSTVVTGPISGRTRMITFQPRYVISNACSRNLYYKQKGTDSISHLRVGQHSQIHWADSTLRDLLVSVRFDEPSWQWSGCFLPEHLGDTQLKMRNHVSGALNMIRVEVQNADVLIGDEKIGGNYSGNSGTNLILISDDDTGYMPYRVDNFSKERLRIYQQKCETFETIVHTYTSCPYAWDEPCLPHRLIVEVPGERVLGSYALDEVQEFSPVYLCATSEKPERTLLVYVQAEGAVKVLSVVDSSCHSLNDLKGPRVTSSNVRGKQDKQETSTHDKEKLSIFIPFIGISVMNSHPQELLYISARNMTVDLGRSIDQETFSIQLSSLQIDNQLPSTPYPVILSFEYEIRNNPVGQIRRKDDNTRLTSDSEVEIVDGSCDPVFTLAAAKWRNTDTSLVSFEYISLKVADFHLELEQEVIQSVSSFFKTISSRFNGDILPDGVSMLNLTSEIGLVDITSTYAQGHEGAESADQISASNIPIVTGNHKSCSLLPSVVPIGAPWQQIYLLARTQKKIYVELLDLAPINLTLSFSSSPWMLMNGVLTSGESVIHRGLMALADIEGAQIHLNQLLIVHQLASWESIQVILIRHYKGQFLHEIYKVFGSAGVIGNPMGFARSVGQGIRDFLSVPAKSLVKNPTGLFTGMAQGTTSLLSNTVYAISDATTQFSRAAHKGIVAFTFDDQSAERMEKQQFGISSHSKGVISEILEGLTGLLQSPIRGAERHGLPGILSGIALGVTGLVARPAASILEVTGKTALSIRNRSKLYHMGSHRLRVRLPRPLSRESPLRPYSWEEAIGTSVLSEFDSGLKLKDDILVLCRRLKQGGKFVIITERLVLLVSCLSLLDLGKPRFRGIPADPEWVTEAEIGMDSVIHADADNEVVHIVGSSSDTLSRQKQYHLNRNDRRRWNSSSTPIPLYQTNLEFTCMEEAENFLQVLLATVRKGQEQGWGYVNLLHQSNLK >KZM93518 pep chromosome:ASM162521v1:5:7437930:7438787:-1 gene:DCAR_016763 transcript:KZM93518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIATTKVSAHSRSISLPSRSHLLTATVEEHLCRLRTPEGTSSSTVSKCDKLSALQDLYECVEDLIQSQAAQQDRLSCGEDILCGSIRLLDLCSTSKDALSHMRDSVQDLESSLRRRQTDVSSRIASYLVCKKKANRMLSKCFAGSKKSKINKSIETPAIVSLLREVEEVSISVFESIFSSICPAKEASTKSTWSKVFKSTQSKRVHCEEDTEEIINQVHNMDMALEAISKKSSKKSDITETQDVQKCLTALDMNMQECEEQLDCLVRSLIKTRVLILNVLNH >KZM93782 pep chromosome:ASM162521v1:5:10677788:10689134:-1 gene:DCAR_017027 transcript:KZM93782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTESDSVEPTPSTSTPPPTHNAQTYIHPRREPFEHGLIPIPKLIFTDAFQTLASYKLKLLSINRRVNSAAIAETLQISVQEARLVIETVASVLFHDSDPLVNAKADEVENVGVDVYDLVLFLYAQSYKRLLPRGHKDSAAVADVWPSTSAFDGFLSALTPLQLVRNNSRRFMPSQADEEAHQLLYIQKHLGNIVSLMADSTEVEGEDSLVLTMENFEHLGYLIYFGENGSEKIPLSQSAPFFANSDPDMPAVPVPAPQVHDWLLENISSALGHIAETVSTKENGPTSSADQDIPMADVSHSPIKATTSARGHSFIEGISKSSCMKQASDLKGSSIKVVNCHESVIYILAPLRYAAVYGCSDATIVLGAVGKAVRIEHCERVHLITAAKRICIANCRECIFFLGINQQPLIVGDNHKLQVAPYNTYYSQLEDHLNQVGIDTTINRWDEPLALGMVDPHDSLSHPVGISDVQAESASRIDPDQFTNFLIPNWFEGESSGCTKDNPFPLPDAYLKSQQKNVLEDGLICKFYLTIFGQYENLGEIKQTLKETQLEDSKKRELSSALHGYFKDWLYGNALPQFLFF >KZM95278 pep chromosome:ASM162521v1:5:30772287:30772883:1 gene:DCAR_018520 transcript:KZM95278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRISDALDHRIRADLYKMSTDSWTVIDCTSFAGRNTVFLCSLFGDESPVFLNGSFHWGSWKYIVSFNLKDEELGLISLPPYLDEYRPDADPGVDKLAVEEEEKSLMYWRMGDDKLQIWVRTDYDFDDSWCLRLQVNMSHHLTSSSYWKDYLIITDPTSTRYLYDLCTGNKMKIPATETWDLLGFCNYVETLCPVDM >KZM95741 pep chromosome:ASM162521v1:5:35073767:35076287:-1 gene:DCAR_018983 transcript:KZM95741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFPVSANWGHPSAHRHMYTRNDVNRRHLMNSVPNNPAMYHLQPPQPQPHPHFEEPSQPQPLQDQFWIPFQPEPFPEYGVPFQPEPYQDQFGIPFQPEPSQVLGIPAQWVPLYYGAPPQWHPYQPLQPQPHQAPQPQPLEHFEMPQQPQIEMPRDPGEDVLVLNPNVSLFTAKTYIRWTGELEERFSRAVQELGGPFRARPKAILKKMKVQGLEHDHIKSHLQKVRSKARPKPVDQEPAVVQSSSDNAQLPPGEASSDTGLNDCIIFLNATCANSSGDVQSFLEDIEYYGRLLGSPETPSFMQFLEVAQPDHLQQEEGGVAQPDHPQHEEHQEDGVAQPDHPQHQEDGVAQADHPQHQDGVTQPDHPQHQEDGE >KZM95491 pep chromosome:ASM162521v1:5:32612966:32614533:-1 gene:DCAR_018733 transcript:KZM95491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNINFNGEPFLCQDHNLSDNIIMASDNYVIDGTDPHLVNLYHGKLYDIIGQEETARKVSFLIFQYTHAASRMRRWLGSLSALAPLNVARTYCVSPVKALISRPYMYIGSVQIRIQATQRVVDKPCLIIDQVSAQTFDAWNSSALNEEDLTLFFRSLFSAVSMFHQDGKYFGNIRAGIRISGNLPTLAFPAVFEAIQCEQGIRNDLKELHKMVLESKVSGACERKLFDELCQKYVGNHGSSAFLRDESFLITHCPVVWTSSDRYNFIRKLGEYRDFNKRYYESLVSDTLEQAIPIDFWTRIQTATPSSSASKLQRLYYHDNADTRTYLSTESIRHFHRCGYEHKTPGYPSNLDMEKLLYSVFPEAPAFLYEMMINKLYVRGHMMPSIINFLQIGPYKFNF >KZM93396 pep chromosome:ASM162521v1:5:5680457:5682530:-1 gene:DCAR_016641 transcript:KZM93396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGQGGAGGMVSREEQEIARGVESVLSAASPNLSSLQDVVEQVESKLGFQVSHKIDFIRTHIHYLLRPPPQPQPQHLYHKDHFALEQLPIPRPLAQQHTTLPPDHLPFSQQLQFEPYAASPPPPTTSPSSGLASPPPQPPPQPQSSKKSAQKVKRKRGGPGGLNKLCGVSPLLQPIVGHPTLPRTEIVKQLWIYIRKNNLQDPSNKRKIICNDELRLVFETDSTDMFRMNKLLAKHIIPLEPTKPTNRVSKKSKASVELESKCAPKSERSPESKTDSKCSDIVPIVIISDELASFFGTDEREMSQAEVLRQIWEYIKVNQLEDPSNAMVIQCDEKLEELLKCKSVSALGIPEMLAHYHLCKKSDTQSH >KZM95586 pep chromosome:ASM162521v1:5:33621356:33631472:-1 gene:DCAR_018828 transcript:KZM95586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSSATTDLESKGTAISDSSSVKRKEPEDNHQIEKIRCPCGSSLRNSLIQCVDSRCNIWQHLGCVIIPEKSMEGVVPVPPETFYCELCRLSRADPFWVTVAHPLYPVKLNTSNFPTDGTNPVQSIEKTFQLTRADKDLLAKPEYDVQAWCILLNDKVSFRLQWPQYADLQVNGVPVRVINRPGSQLLGANGRDDGPVITPCTKDGVNKISLTGCDARLFCMGVRIARRRTVQQILSLIPKECDGERFEDSLARVRQCVGGGAATENADSDSDIEVVADSILVKLCCPMSGLRMKVAGRFKPCLHMGCFDLNWQCPICLKNYSLENIIIDPYFNRITSKMQTCGEEVTEIEVKPDGSWRVKPVNDRRCLGDLKQWHFPDGSLCLETVEEAISKSEIIKQVKEECPSGGHIGLKLGIKKNKNGIWEVSKTNDSSVSRRQDNIENIGHIIMSSSITGSGRDGEDASVNQEGGSTNNGIELDSVPANVGPIYEFTGQIQNAPAGDADVIILSDSEEENEPIISSVPISKSTGTNAGFTYEIPAQAVPDSYLENSALGPDGGSHLGYFSNNDDEFGLPLLSADQLFGPEPDISDAMLDLPRDSLPMMDCYSLNAETASGSSSILPDSSLHNSRADLNDSLVDNPLVFGNDDPSLQLHSSRPADSAVQAGMNDQPIMSNNIPTEDWISLRVGNCRGRVYDEPPPVIGSSSQKQLHSKEGALASLANTASLLSDTNDNRSSNLPTNGSTPAKTSRQESGDPFTFPRQRRSVRQRLYHSVESESE >KZM94976 pep chromosome:ASM162521v1:5:27598107:27600924:1 gene:DCAR_018218 transcript:KZM94976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELADILSITVHVACCGTSTAYLLAEMLAIFGLGLIVSRQRLSSQYCKEKDEDHDNNHLHIFWAPFLLLHLGGPDTITAFAPEDNELWLRHLFYLASQCIAVAESINEKEALQKKACEAILNISTEDEMLKDKQIFFIASALAKKLKAVPSDKKWLIISKLWVEQLSYAASHIRSYAHAVQLSKGGEFITIVWLLMAHFGLGDQYEINLGNPE >KZM93237 pep chromosome:ASM162521v1:5:3955281:3956739:-1 gene:DCAR_016482 transcript:KZM93237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDPCFREDHVHQPVLLPNNYIKPEIDHDFSLDICSPKGFFQDNCQVLDQLSFSGTSFYNFSNKICAPNGFCEPYDPFLLASAKNFDFYDQPKPFEENGSSSFLQNSSYLHVDGLFNTYKASPELLNASNYPNSAKMPGVVPDEGSCVTTENKILGTKKHFGKKYSASSSTSTKPSKIKKKLKSSKGQWTAEEDRLLTHMVKKYGVRKWSHIATMLKGRIGKQCRERWHNHLRPDIKKDLWSEDEDRILVEAHAKVGNKWADIAKRLPGRTENSIKNHWNATKRRQYSRRKCRTKWPRPSSILQNYIRSLNLDTAKPSNYRKKSATATTPNLNIPAMKTQNLQETKEFCPSYSDGLKELCEFDFVENPFEGVSIDALLDDLPTIEAPIVLEDNCFNMDVNLHDQVPSLMQVKKDLDLMEMISEINI >KZM95865 pep chromosome:ASM162521v1:5:36366069:36370580:1 gene:DCAR_019107 transcript:KZM95865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGEDTDISDTEIEEYQEKSYEELKNGEKCVKTSDTTFACPYCPSKKRKRDYQYRELLQHAGGVGKGSSKRTARDKANHLGLAKYLEIDMTDASGPSQALAKVDSPAEYDGDEVFVWPWLGIVVNIPTEFKDGRYIGGSGSKLRDQLAARGFNPTRVSPLWNYRGHSGTAVVEFNKDWSGFTNAMAFEKAYDADQHGKKDWKAKGQKSGIYGWVARADDYKQGGIIADHLRKIGDLRTISEILEDEANRTSKLVLNLANVIEVKQKHYEEMQTKYAETTNSLNKLIEEKDKLNQSYNEELRKIQDNARQHFQKIFKEHEKNKQLLETQKRELETRGQELEKRETQNEYERKKLAEDIEENSVRNSSLQLAADAQKRVDESVMKLAANHKAQKEDLDRRVLQLQTQLDAKQAVQLEIEQLRGNLNVVKHMVGDDGDLEVLRKVEDIHKSLREKEGEYEDLQALNQALIIKERKSNDELQEARKELVTALSDISKNSHISVKRMGELDPKPFLEAMKRKYSEEEAEDRASDLCSMWAEHLRDPDWHPLRVIEVDGKHKEIIDEQDERLKSLKKELGEDAYNAVTAALREVNEYNPSGRYITSEVWNYKEGRKATLEEGVNFLLKLWKDRMEKGL >KZM93409 pep chromosome:ASM162521v1:5:5766958:5768990:-1 gene:DCAR_016654 transcript:KZM93409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIKRVPTVVSNYQKEDGEEASRQTTGGCGRNCLNNCCLPGSKLPLYAFKKETAKELAAEEIKQQPQQPPVAFLESLLLGEWEDRMQKGLFRYDVTACQTKVIPGDHGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGSKFNFTKVGQEEVIFQFEASEDGEIQFFPNAPIDVANSPSVVAINVSPIEYGHVLLIPRVLECLPQRIDHESLLLALYMAAEAGSPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKTSTKNITTLSGGIEISQLLNYPVRGLVFEGSSLENLSAAVADACICLQINNIPYNVLISDSGRRIFLLPQCYAEKQALGEVSSELLDTQVNPAVWEISGHMVLKRKEDYDEASEENAWRLLAEVSLSEERFQEVKDIIFEAITCAVDECLVTTRSSDEDQDVEGRSHEKTVNLAIVPGKPECLVQN >KZM93252 pep chromosome:ASM162521v1:5:4060325:4061900:-1 gene:DCAR_016497 transcript:KZM93252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTCPGPPEPSGKWPLIGHFHLLGANKILHHTLGDMADRYGPVFSLKLGINRTLVVTSWEVAKECFTTQDKVFASRPTSVVGKVAGYNNRVMIFQEYGSYWREIRKLAVIELLTNRRLEMLKHVRESEVNVFIRELYEQWSSNGNGSKVVVEMKERFGDLTTNIVVRTVTGRRSCPGITFAIQVLHLTLARLVHGFKLGTVLGSRVDMTESSGVSNPKATLLEVTLTPRLPPAVY >KZM95095 pep chromosome:ASM162521v1:5:28635820:28648026:-1 gene:DCAR_018337 transcript:KZM95095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLVVAEMKADEVEGVRSFSRLDFPLPPHFVFGSSTSSYQVEGAAFEDGRKPSIWDTYAHSGLEVQLILSGYTNGANGDIACDEYHKYKEDVHLMVETGLEAYRFSISWSRLIPTLFFLDGTGAVNPKGLQKAFVAYANICFREFGDRVLHWTTFNEPNVFAHYGYDLGLFQPSRCSSPFGTNCVGGNSSTEPYIVAHNILLAHAATARLYFKKYKCLVFLLSMEGLEVQLILSGYTNGANGDIACDEYHKYKEDVHLMVETGLEAYRFSISWSRLIPTLFFLDGTGAVNPKGLQYYNNLINELINHGIEPHVTLFHYDTPQILEDEYGGWLSKKTVKAFVAYANICFREFGDRVLHWTTFNEPNVFAHYGYDLGLFQPSRCSSPFGTNCVGGNSSTEPYIVAHNILLAHAATARLYFKKYKAEQHGLIGLDVYAYWYVPYTNAPEDVIATQRAFDFFIGWFLNPLVHGDYPDVMKKNAETRIPTFTKLESRLVKGSFDFLGLNHYSTIQVEDMSINLQMDVRDFTEDMAVNLILNDTDVPQGQLPYNPSGLRELLEYMKNYGNPPIYIYENGQSTIRNETLHDVPRLRYIQGYIGSLLDALRSGSNARGYFIWSFLDVFELLDGFESGYGLYYVDLDDKNLKRYPKLSAQWYSNFLKGRSHQIEGLTDFTRLDFPPPPNFVFGSGSSAYQVKRIKEYREIARLKYLKMRAKRWKVQHLKMEGLLASGTPMLILEDVHLMAETGLEAYRFSISWSRLIPNGTGAVNPKGLQYYNNLINELINHGIEPHVTLFHYDTPQILEDEYGGWLSKKAVKDFVAYANVCFREFGDRVQHWTTFNEPNVFVLFGYDSGLLPPNRCSSPFGTNCTRGNSSTEPYTAAHNILMAHASTAKLYMKKYKAVQHGFIGLNIFSYWYIPYTNATEDVIATQRANDFFIGWFLNPLVYGDYPEIMKKNAGTRIPTFTKIESREVKGSFDFLGVNHYATAQVKDMSISLQMDSRDLLADMAVTIKSGQLNQILGADNFLYFSAVNESDFPPGQFPLNPAGLKELLEYLKGYGNPPIYIHENGLSAIRNGTLDDIPRLQYLQGFIGSLLTAIRNGSNTKGYFTWSFLDVFELLDGYNSGYGLYYVDLDDKNLKRYPKLSAQWYSSFLKGRSTSSDQKIEVSANISDFKESHFSQ >KZM95818 pep chromosome:ASM162521v1:5:35854522:35856060:1 gene:DCAR_019060 transcript:KZM95818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSLPFPTRHSTRLTRFFSSTPSKPQLIPSLQPSNDADLISQILLQHHNPFHSMESSLQLHGISLSPTLLHQTLLRLHSFSKVAFAFFSWAKTQPFCVPDAVAYNIVIDILGKVKQFDVAWQLVVEMDQVGRRPGRNTFRVLIRRLIAGGFTRQAIRVFEDMGCFVVGEDDEGCSDMIYLVDTLCKYGYVKVATEVFNRKKMEFGVNERVYTVLIDGWWKVNNRKMAERFFGEMIEVGIEPNVVTYNVVLNGMCRRRSLHPEERFERTVQDARRVFEEMRDRGVEPDVTSYSILLHVYSRAHKPDLSLDMLKEMRREGICPSVASYTSVVKCLCSCGRVKEAEELVEEMAGNGVAPSAVTYNCFFKEYRGRKDADSALALYRKMKGISLSLPSMHTYNILVGMFVKLNRMEIVEEIWDDMKVSGAGPDLDSYTLLIHALCEKDKWKEACQFFVEMIEKGILPQKVTFETLYKGLIQSDMLRTWRRLKKKLEEESISFGSEFEQYHLKPYRR >KZM95732 pep chromosome:ASM162521v1:5:34942958:34943635:-1 gene:DCAR_018974 transcript:KZM95732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMIDHKIYTCEFLRCPHRELCHGFSDRLSRDNHQLNCPYRSGSLDFGVSNFHMNGVKPVFNHSFVKTNPESTVANSVPPAFDLTGLGVPEDGQKMISDLMSFYDNNIQGNRNTNVGNIPITKDQFLHQPNIHCQNDNFLHNQRIVMEDNLFGETNIPNNHSSFIQDHQFDQSKVLTGPSSVQSADSFHLMYGSSFNGSSNGYMGNPAGVSRDALPKQDVPIWY >KZM93703 pep chromosome:ASM162521v1:5:9399939:9401022:-1 gene:DCAR_016948 transcript:KZM93703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGAVEMEGGILVVSAPDGPMPQTKEHILLARQVAESYRQTAWKPKFDVKKGKQKAEEETSCVSDSLKGFQNHKFKILDLIEKAERQPNITIGVLVSIVVATPKVSVKPAKEEVTEASNNGEDSSEEKERNEYTTAAPRRRTRLED >KZM93403 pep chromosome:ASM162521v1:5:5716347:5719458:-1 gene:DCAR_016648 transcript:KZM93403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRSCYCPIYNAPMSCRSTILSSPITDKKSLELTPDDSLHIEGFALNVFAKADKQDRAGRADKSTAKTFYAASIFFEILNQFGPLQPDLEQKQKYAAWKAADISKALKEGRAPVPGPPGGDNDLYSPNTEPSNTDPVMKSANTDLGMNSAPEYNSSTQSYDRVDPQQSSTTAPLPPSNILTPPPSNPTPSPYPSNNFQSHSFHQPPANDYTSHNFHQPPLDDDYPSHNSHQPPSLISRSENNTYAQPYQQQPFPQEPQQHLPHYQSHDTSYTYPNFQTYPSFTESSLPAAPSHHPSYHQGPDTSYNITSASDASNYPSTAQQSSNGRNGTNLDPARASVQEFVYDSNYQPPPEKIAEAHKAARFAVGALAFDDVSIAVDYLKKSLELLTKPSGGQ >KZM94449 pep chromosome:ASM162521v1:5:22449952:22451572:1 gene:DCAR_017692 transcript:KZM94449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMECTGIVAEKFCIQLNYNDTMSNELMIPNHFCSKYSSLLTEFMELKLVTIGDAGWRFVVARADGDGINDEIHPLVAFVERCGFALPMQIKYVLSNGKKFVGSYDSENSKFSGLSSMLEILGEAALYGVHHFVFTFDGTLMVLISAFDSELNEILFPGTPMSKDANGSCPVVWKCFKIKVEAKHMFDDCYVIEILDDFMRLAEVWKNFQCINIYSGSCCWRLLARNREENFCCTIVDGWQKKCDGLGLEIGDICIFQCPIDSCDQFKIRVLNFDA >KZM93235 pep chromosome:ASM162521v1:5:3939359:3944365:1 gene:DCAR_016480 transcript:KZM93235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGDGEKSSDHVVGGFLPWQGQQFDESVNLSPVSSRYSSCGESEFDRYCSANSVMGTPSMCSSVGPFREAESEFGSFRSLDGFSLGGSFERKIDDKKVPEFGRRIESSGTDIGLERRNGLGFGQGFVRSDGEVNRHDDFSGCMDFGEQSRVGGIPDLSTALDDGLYDEDGGGRVLKWDCATSRVMQSSDDYDGKLQKESAEVDQEIVSTSGVAMLSGDSHCSPSTERVDGCLVNTGTDMGCNMIDGGRCSDEGEASSRYEYSEGEDSSFGYGTGDEKQINLYDKRNIGYSEDKVRREENALLMNSSVAFGSDDWDDFMQETCANPITSMAQDDLWVQKQINGGSDADNLHSTSASNVGLQNICLPVQQEGAENILRNGSQVQDVNELTLDMGTCSTDGLLEFGDAGEDYMSAEKYISKISQPADLPQQCSERNVYVKEHDLLVEDLDIKAGSNIGENKTKDGHSCTSTKDKHRIVHSSKSINYEKTKQQLDSPSNESLSHLHSTLKEVSEVMVKESLEDQVSNSLQAQIIENRTTKEFPASNHPAPVEAGTHKINEYYDEIVHEMEDILLDFNEPHGARFVQSNRSSLSQISMPLRDGGSTASTSSSENAYLLMNRPVRIDKVDVVGARQKKGNVSLSERLVGVKEYTVYKLQVWSGEDVWEVERRYRDFCTLHRRLKTLSAEQGWILPSPWSSVERESRKFFGNASPAVIEERSVLIKNCLQSLIDSQFSSSHSGPLIWFLSPPKDDPCFLASGSEMLQSTAPTGDGAGNISAFGTTISLVVKIWPNKSKRQILEEQHYTCWGCHKHFDDGKTRILDLVQTLGWGKPRLCEYSGQLYCGACHTNETAVLPARVLHSWDFTQYPVSQLAKHYLDSIHDQPMLCVSAVNPLLFSKVPALQLVTNVRKRIGAMLPYIRCPFRRTIFIGLGSRRYLLESNDFFALRDLIDLSKGVFAALPVMVETVSKKILEHITEQCLVCCDVGFPCNARQACEDPSSLIFPFQEGEVERCRSCKVVFHKPCYKKIATCPCGAHLKPDKTKRLASEGSMTTEGDAYGTLELLGRQDDSWSSANFLSGLFSKAKLEKLLVHKDHDNIISMSSLPSSSL >KZM94758 pep chromosome:ASM162521v1:5:25541727:25550138:-1 gene:DCAR_018000 transcript:KZM94758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHSMSWNQVTMDRFNAFLGECSRIRVSHALNYNACNNLFVNNQVNDNMYLLQVLARHDQFSFFAFNIFRAFFYNCVFEQSAEEMFFRSGEDDHYSPYSWPPTVDRIVDEEGRDFEITVTRKWSDTARDCRVQGIYLIVVDKYENRTHCWIKSNSAFAIADKLEEGHAYIISRFSVEAINLKFRCFEGDVHVLLHKQTIITPLQSNVSGIPQDIFKFTNLSKLADYADDDSCLVDVVGIVENLRPYQTIDGPRDEKHFYKEFYLSDLIFWDGFAMHFEKKYNEGNSNPRIITISSCKILKNQYTGELTIRNVQPTRLFVNFKTGKEDSIMKRIQILVMMNTMQFIKHSPITDLNTSTIKWTVRARAQAIWKGISRDTKEFRGINILLVDDSRSRIHGFIAAKLAPLFENELVEGDIYEISNFIVQDYTGLEFHRCVRFDKHIFFAQYTKMEKCTSPGLTIPKLVVDLFSLKDLQPMEEDKRFLCDVCGVLKNPQEFRDYINDNDEPKQQKKFTLTDGCSEIGVTLFDDMAKSFEEELQKSGVGTKIIILSSVKVGKFQGDVNLTNYPASRFYINADHHAVKKMEKRATTTSFFHPEEVVVKQLESVPMKEMCIADIKKLDYETKVTARVIVKKIREDLNWFFYICTKCNSELDYVDGRYKCPQCNRFFPWPQKRFRVFVLCSDKTGVLPLVFGDREIRRLTGKMVFDVELDLTEEEDGKFPPLFNNFINKEYVLTIDVSEENLKLNSEVYQISDVQMDVEEKLSDLENFDNLSEEAEDDLTIQDIELKTQSSRTAAKKKKLITTEDLPKSDEEAKRIKAKHDPLRKLKNIKIKKEKIVIREKKKSNSNSQPRSSEKKKGRKLIDVISESDDEEMTLNTYQLKNKKQAHTTGKSRLEFYDIGKEYQALVMDGKESTFSYWMISSTFQNFRMHAFVPGKVIEKDETKLSDGNLCIISNFTIKNYENTEKFRVVNHDKQIILTTYTHIEKVEEDGFIQKNMFDFYDLGQLDDIADKNIFLTDVVGIIENDTPIADLVNRFGKKQKQVKFNIVDGRSSVNVCFWDNMAEKFNETIQNVEEHPTIIIISSAKVTSWQPQKMNAKQYELANVTATTFYINYQDESVAALRRMYARGLFGKYNFVNYVKPKYEEITVKEVKKLQMHDAEKQIICKVQIKEVLETGIWYRYHCTSCYKSVEMKNGNFKCYRCADRNVPEPDLRWEITVIAEDKTGEIHIVLFDREIRSIFNFAVTDFDDEVLQSGRVPTILKALEKQNFYIRLHIKEANILGKLNSYYANGVSVCQSAITNDTEETTTPLSAVANTLTQESGPSYHLEDFSDPNIKTTEVDKRPQRKKKLTKKFSY >KZM93012 pep chromosome:ASM162521v1:5:1676883:1677821:-1 gene:DCAR_016257 transcript:KZM93012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVTAAVPQQADNKNPNLSIESSQQQSMTSLSSSTSSSSSPSSSSKSLSAILSAKLRKCCKSPSPSLTCLRLDTENSHIGVWQKRAGARSDSNWVMTVDLGNKNTTTQQEQGLMAAEQPPEPTATSRKSNGGLDEEERMALQMIEELLNRN >KZM95793 pep chromosome:ASM162521v1:5:35639120:35646481:-1 gene:DCAR_019035 transcript:KZM95793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSTQSPSFHSLKPSILSKSNSPQCFQTLNFTKQACNTKLVVACSASSNLDKQHVNDNNNSSNSNKTFTTIDPASPRSKVRRHSISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKVLQQVMEQLQKLVNVLKVEDLSQEPQVERELMLIKINADPKYRAEVIWLVDIFRAKVVDISEHSLTIEVTGDPGKMVAVQRNLSKFGIREIARTGKIALRREKLGESAPFWRFSAASYPDLEETMPIDTRKVPKKETHYVDSDTFLGGDVYPVESNFEASVNQVLDAHWGVLNDEDTTGLRSHTLSMLVNDVPGVLNIVTGVFARRGYNIQSLAVGHAEVEGLSRITTVVPGTDESISKLVQQLYKLIDLHEVRDITHLPFAERELMLIKIAVNATARRNVLDIASIFRAKAVDVSDHTITLELTGDLHKMVALQRLLEPYGICEVARTGRVALIRESGVDSKYLRGFSYPY >KZM93209 pep chromosome:ASM162521v1:5:3536302:3536919:-1 gene:DCAR_016454 transcript:KZM93209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDDHEATATNDETNHHVDEQESSSKAESKSNERFLIKIMNEETETVKLWYVPIINGILDIDMNELRNRITGLFGLPRYSKLDVRCHDEEGMLVIITDDSDLQYVMAKKPEQLKLYFRDINNPATSQVGGGAGIEPICTSKLTNTPKFQEHPEIEMDDWLLT >KZM93591 pep chromosome:ASM162521v1:5:8174017:8179645:-1 gene:DCAR_016836 transcript:KZM93591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVQKIQILTPNIGVVYSGMGPDSRVLVRKSRKQAEQYHRLYKEAIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGQPYSISNSFVYISPRFEGQISGKNIEIGIIGADKQFRVLTPAEIEDYLQEVE >KZM95998 pep chromosome:ASM162521v1:5:37329391:37330646:-1 gene:DCAR_019240 transcript:KZM95998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYINFKNIGDPSQPEGSGAKPAGNFSLAGQSSLYSLTFDELQSTFSGAGKDFGSMNMDEFLKNIWTSDGAQPIASSSGFGEGISVSSGNLQKQGSLSLPRTLSQKTVDEVLKDLLKEGDRNGNGSGGANLLQRQGTLGEMTLEEFLSKAGVVGEATLPTERSNNGGYYGEISQENNNNAGLAFSFQQPARNHASLNNQIIENNNVASHLSSNIALSASGIMSQQQVQQQQRQQPLFPKQATVAFSSPNNLVNNSQLASPGTRGPAVGMTDHSISNSLAQNRVIQSGAMGMANIAPRATTIAIGSPKTQLMPNVITKSDVDTSSFSPSPYAFNEGSRGRKPSGVLEKVVERRRKRMIKNRESAARSRARKQACLR >KZM93464 pep chromosome:ASM162521v1:5:6773004:6779671:-1 gene:DCAR_016709 transcript:KZM93464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGQNLPADVTQLIDQLERHCLAPDGSLVSKSAHYDLQLAREEMARERLRYLEAMAVYCETNAMVEDYQQALSVASLGSTRDVQSLYPQLGLKSSPQVYETLEHRLTVAEAAQRLRLPMISKDGEVNEEEIEKWSAMSRSSLDSSNTSVTITSSSNSTNYTNLSAIGPGGPSGSVFSSNATDTGQPEVGGVPDRFLGITPSYLWRTQLQQEPLSNDMTEYQMPLLREIESRLKAKCDKLADAFIDDIDSSSGNSSARLPERVKLIIEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHKYDKLQKTWLCKRCETMSAKLRVLEHILLLDTYTNESIPALHKIRKYLVEATEEASLSYNKAVTRLREYLGVDPHFDTIARQYHDIVQKLENMQWTIHQVEMDLKRLPSN >KZM93362 pep chromosome:ASM162521v1:5:5265113:5266425:1 gene:DCAR_016607 transcript:KZM93362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSIRRVTRSQALASANNSTNIPMSKKNDGTEKGVVKSKQRTGQDRSALFDITNDSPIVGLAMGSLETPSSFVSKRKNCGSMAKNVGTPGSGEALLRGQVKTLLQKVEEEAEISKILVDGVNRPFYGEVVLGLPAPTPNNTPQVSNVSGNGSVENKGLESVVSSPVSEQFAFSQIITDLFDGQKKEESVESEKSLVTRSLLFDFSEKSEGSVDSSSDCTSVLTFDGGSGASKVKSSTDDDDASIWSIQVNASTKDDEDDDVEDEVYGEEEEEYDEQEEEEYCDDAILDGLCEGLSSVSVNGVEFAGKHTRFVYNSDGELEGEEDCDAGNGSPDGALKLKGLPTPKGKHVRFPMED >KZM93678 pep chromosome:ASM162521v1:5:9151394:9152670:-1 gene:DCAR_016923 transcript:KZM93678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGYAYPAFLCFKTVEKNKVKIEQLRFWCQYWIIVALMTALERISDIFLSWVPLYAEMKLALFLFLLYPKTKGTRFVYETLLRPFVMKHEKDMDSNLQELRSRMWDSAIYCYHNCSELGQTMFFEVTEHLASKQGKFGKAGSEVYIVFGSMLFL >KZM94845 pep chromosome:ASM162521v1:5:26423811:26425950:-1 gene:DCAR_018087 transcript:KZM94845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGMSLKGDEVGQYNYSKKITLFVVLSCMVAAMGGILFGYDIGISGGVTSMDSFLKKFFPKVYRRMKEDAEVSNYCKFESQLLTLFTSSLYVAGLIASFVASVITDSFGRKPTIIVAGIAFLAGSGLGGWSYNVYMLILGRVLLGVGVGFANQSVPLYLSEMAPPSLRGAINMGFQFSVGIGVLMATLINYGTQKIEAGWGWRISLAMAAAPASVLTVGAIFLPETPNSLIQRGTDHEKTKKMLQKVRGTDNVQAELDDLIEASNTSKTTRKPFKNILQKKYRPQLVMAIAIPFFQQVTGINVISFYAPILFRTIGLGESASLMSAVVTGSVGIVTTFLSMLSVDKLGRRTLFMSGGIIMFVSQIMVGAVLAAKLGDAGGISKGWSYIVLILICMYVAGFGWSWGPLGWLVPSEIFPLEIRSAGQSITVAVGFLFTFIIAQTFLAMLCHFKSGIFFFFGGWVLVMTLFVHFLLPETKNVPIEQMERVWQGHWFWKNAVRGDASNEVI >KZM94433 pep chromosome:ASM162521v1:5:22251238:22252176:1 gene:DCAR_017676 transcript:KZM94433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVGHVAPGFGFFVIGLWHLFNHIKLHALYPNSYTSLPWFPTSKYRYSELFLIMAGCTMSIAMELFIGPDRHQPFDTDGTIPSNHLHNFEHASISMTFFIYAFFSVFLDKLETKTKHGLTQLLGAVAFGQQLLVFHLHSADHMGVEGQYHKLLQIVILISLATTLFGINYPKSFLISFVRSLSILFQGLWLMVMGFMLWIPRLVPKGCFLNLEEGHQVVRCSDNEALHRAKALVNIEFSWYIIGLMIFAMTVYIGLLKLYPEKVEYESLTKLIEQEQGYDDIEARKENKFSGSRSFLEVGKSFAPIDMER >KZM93289 pep chromosome:ASM162521v1:5:4483381:4483689:-1 gene:DCAR_016534 transcript:KZM93289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENNEVEKDKQEKKEKHADEDGKGEKGEKKDKDKKKKDKSGKEEKKKKSPEDKKDPAYLKSKLEKLDVKIKDLTTKKEEILKMLQEVEANPAAAPPAAA >KZM95658 pep chromosome:ASM162521v1:5:34199168:34210557:-1 gene:DCAR_018900 transcript:KZM95658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLISSPSFTSTPLRHGFRALPPRRFVSTRVNFSLNEFPPIESLRSGVDLSAIVSRTESLLYTLADAAVAVNSGDAAVVSGDAAVQKSGGWFGFISEAMEVVLKVLKDGLTAVHIPYSYGFAIILLTVLVKVFTLPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYKQAGVNPLAGCLPTLATIPVFIGLYQALSNVANEGLLTEGFFWIPSLGGPTSIAARQSGSGVSWLFPFVDGHPPLGWHDTAAYLVLPVLLVASQYFSMEIMKPPQSDDPSQKNSLLVLKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQIWLRKLGGAKPAVSEDASGIISAGRAKRSFSQPSESGDRFRQLKKEEKKKKSNKALPAELEISASTSDSEEESNEETSKDKDVLEEAYVSGSSKPVPNYSGPRKSKRSKRKRAV >KZM94355 pep chromosome:ASM162521v1:5:21368979:21378108:1 gene:DCAR_017598 transcript:KZM94355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPINPNQQHPQPTGPLPQFFNPIQNPNPNLTSSPPVAASYPPPSGPYAYPPPQQQQFHHQRSIPHSPPPLLPPQQPFPNSNHGARLMALLTNPNSEAPMPPQLQPTSSGGSDISMTQNLPPLQVVANASNVNVNNIVNNVGGLVHSSPVMRMQSSKLPKGRHLAGDHVVYDIDVRLMGEMQPQLEVTPITKYGSDPGLVVGRQIAVNKSYICYGLKLGAIRVLNINTALRSLLKGLSQRVTDMAFFAEDVHLLASASVDGRVYIWKIIEGPGEEEKSQITGNLIMAIQIVGEGDSVHPRICWHCHKQEVLVVGIGRSVLRIDTTKVGKGEVFSADDPLRCHVDKMIDGVQFVGNHDGEVTDLSMCQWMTTRLVSASLDGTIKVWEDRKSQPIAILRPHNGLPVNSATFLTSPHRPDHIVLITGDPIPRLKILSVPHFPRGPLNREVKIWTSTSEEGWLLPSDTYSWQCTQTLELRSSAAHNTEAAFFNQVLALSQAGLLLLANAKKNAIYAVHLEYGLNPAATRMDYIAEFTVTMPILSFTGTNDLLPQSEQIVQIYCVQTQAIQQYALDLSQCLPPPLENVLERSDSSVSRGVTSTEGLTTFEPSVSKPVETLSTSSQPGQAIHEISSESVTSTIRNLVSSSEVANLHEVSICSTESRPHPLSVVNSDNNIASISSSPPPPLPLSPKLSPKLSGLRSPSSSFVPGSQPNDFSMDQNSNEYTIDRQMDAHQLNASDSIVDDDPKGDGKNIPQEDMSNVLNDPIKFKHPTHLVTPSEILRATSSTETNHIEYKGEEEPDIQDVGVNSNAHNAEAEVKVVGETHISENEELASPGELDGFATDRREKLFYSQAADLGLEMARETQTLPLEPYIIEESRQVDGARESDVVDLPSSKQEEVQDSLEDISGKDVESSMPVTVATPAQSTKRKKQKTKSAHGSSPISSAPSVSNSTDSYHEPGVSSSAPSVEAAFSQLRTMQETINQLLNMQKEMQKQISVAAAVPVNKEGRRLETALGKFMEKAMKANSDALWAGLLEENAKQEKLLRDRTQQILNLVTNSLAKDLPAALEKMVKKEVAAVGAAVARTLTPAIEKTVSIAITEAFQRGVGDKAVNQLEKSVNSKLEATVSRHIQTQFQTSGKQILQEALKSSLETSVVPSFEMSCKAMFEQVDTAFQKGMTEHTSVVQHQFESAHSPLALALREIINSASSVTQTISSELVDGQRKLLALAVGASSSSADLLVRQQSNGSIGALRGEIEGPLDPRQELSRLISEQKYEEAFTIALQRSDVFIVSWLCSQADLSNILLRKTLPLSQGVLLSLLQQLACDISRDTSRKLVWMRDVAMVINPVDLMIVQHVKPIFEQVYQILNHHLNLPTTPPHELSSMRLVMHVINSLLATCSK >KZM96504 pep chromosome:ASM162521v1:5:41478805:41481715:1 gene:DCAR_019746 transcript:KZM96504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTYQYLEELTPNKEDSKIKVRVTREWESRNPNTNHLINKNYILMDEQGMLFHVLLMLNQIDEYTRRIQVGNLYLISTFAIACANDTYRPVKGDKVINFTRKTNIKKLGDDSSIPRHGFELATFDEARSRVGATTTLIDVVGKLKSFTRIQTLPRNKEKLDITLQDDTICFTLILPTAKPTLSATSATQYFINIDYPAVNILRKKGGQEKLVPVIIQPITNPRQLLLDNIDHISIETLLDIMLPDGKKVPVQHVLDNDESADPSNIPSILNNIIGGTFKFYLKITAYNTTGVRKEGYTVVKVEEMECEENQGDKPVKEKRPPSTSTKQMYDDSNIDSEVGIYNCKYVPETELNKGKRTHEKKGLHTLNHQENQKKHKVNSPVDNKLSENKGDEGITRPDKKSDQRQKTVPTAYKNKKPPPATTKQNTNKPQIPVNEPTNKEQVPSKNDEAIKRNSTSNKTIQKDLNGGKEKKTNPKVPLLSSEPHTPDNKRKHRTSTATKNAKKPKFTDSCINKATEDKIGNKPHKLYARDDTLKTKKITSDKKTTAKLLQGTNKKNDNQ >KZM93602 pep chromosome:ASM162521v1:5:8300460:8300720:1 gene:DCAR_016847 transcript:KZM93602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSGWASWMSISRNTSTIVRHFFGREASRTRPHGQASPTTQGALSPIITIQEVPGPRGRASPATQAALFTSIQEAKQKISIIQD >KZM94964 pep chromosome:ASM162521v1:5:27432292:27433150:1 gene:DCAR_018206 transcript:KZM94964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGHSRFWIRFKAAALGQEAINFQADMSQRMRCRSTNVVPVWIQSVYPLPPSAKSIEAEGVGDMTEGDGAKLMVMKKEMMVKRQRNQTLRKEDEKIPFREINKRIKRRRVAQLLMEQKIHVAD >KZM93593 pep chromosome:ASM162521v1:5:8193268:8195949:-1 gene:DCAR_016838 transcript:KZM93593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKSVGSLKEGDLRGKKVFVRVDLNVPLDDNLNITDDTRGRPKGVTPKYSLKPLVPRLSQLLGVEVKMANDCIGEEVEKLVSQLSDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLMQKELDYLVGAVSNPKKPFAAIVGGAKVSSKIGVIESLLAKVDIIILGGGMVFTFYKAQGFSVGSSLVEEDKLDLATSLLEKAKSKGVSLLLPSDVVIADKFAADANSKTVPASSIPDGWMGLDIGPDSIKTFGEALDTTKTVIWNGPMGVFEFDKFAAGTEAIAHKLADLSGKGVTTIIGGGDSVAAVEKVGLAENMSHISTGGGASLELLEGKPLPGVLALNDA >KZM96359 pep chromosome:ASM162521v1:5:40397216:40406571:1 gene:DCAR_019601 transcript:KZM96359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVKISPFRLSSLIRLEPDPKLALKLFLNPNPDPDPPSNPNVKPKPFRYSLLSYDLIITKLGRAKMIPEMEQIVEQMKRETTRFIPTEIIFCNIINYYARARLPDRALRIYDQIPSFRCRRTVKSFNTLLNGMLYCKRFEKVNELVRDINVYARPDVCTCNILINAAVNFADLESAREVFDEMSKRGVLPNVVTFGTMVNGLCRNGELGEAFKLKEEMVEVFNLRPSVSVYVALIKGLCKVGEVDSAFGLKKEMVENEVKLDSSVYSTLIAALFKAGRRGEVEGLLDEMRLGGCKPDVVYYNSLISGYCNDKDFDSGFGVLKEMVEEGVKPDVVTYNTIIGAYCRQGKLREANDLFEEMPSRECKPDIITYRMLFDGLCGDIQLVEATSILDEMVFKGLYPRDTSVSNFMDKLVEKGEMKLVWKALDTLAKGNLIDVGTWSRVISMVCEQEKLKTGKHATVVKQEPEKKKEDVKGNEEAKEEKKGDEGVGRDGEKVGEPAAHMEKRRRKKAGRKRRQARGDCATGRKTRGENGDGRRESIKTAEENTGTSCKTSPEFRQSILTAKMVIKLSTRQPNLESWMKVLKAITSENGISPQVEEEFSMTIEVFVASRLNVPGTWQMPQVLGQGISLQVEQESSMTIENSGAKQQTAHGMTASPLLLMKISLRSLKMSKIYFAEIPLNVLGQGISLQVEQESSMTIEERKKYKDIADAAQAAFESAAYAAAVQMIKIV >KZM95018 pep chromosome:ASM162521v1:5:28024143:28024373:-1 gene:DCAR_018260 transcript:KZM95018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMLMLEALVRGQQDTAIDSDSAEKCEESLQENIRDEIGDETRNWCSVYHYALGSSGAEKEMRTWKIAQICIWP >KZM95141 pep chromosome:ASM162521v1:5:29089146:29090723:-1 gene:DCAR_018383 transcript:KZM95141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIATCVGITLPSFLLVNCELSTRTICKPHLASASTQWISSNCRKRIELLRKLQCSVTVRSYASTSVDSSLSKSDAILSGRKQGKSSSLYTHPSLLDMKNDRIENRARVYEFLRGIGISPDELDGLELPVTAEVMRERVDFLHKLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLAPVVTYLQGMDIKPNDIPLVLEKYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGVLTRYPEILGMRVGRVIKPFVDYLECLGIPRLAVARLIEKSPQILGFGLEERIKPNVKSLIEYEVRETSLPSVIAQYPEMLGIDLPPKLLHQRTFLNTLIDLRPAEFGRVVEKMPQIISLSSTPIVKHVEFLKECGFSLEQVKKMVVGCPQLLALNIDIMKLNFNYFQSKMGRVLDDLVTFPAFFTYGLESTIKPRHKVVAKRGLKCSLAWLLNCSDEKFDEKMSYDTIGMEEMDMDSSFDMNSLMQPRSDDSASDFDEDSGDDEYV >KZM95230 pep chromosome:ASM162521v1:5:30409923:30410294:-1 gene:DCAR_018472 transcript:KZM95230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEREALGFRTPQHPSLGTNHWGGASPMLARNVPKESLEQRYEQLNTTRKRDEIFQSLDHEFFRSINMSAYGEAAKRDQACPTPRRRGLLFELIWPHKNHGSAKKKRWFRKWDAKKKWPQGW >KZM95161 pep chromosome:ASM162521v1:5:29331162:29333485:-1 gene:DCAR_018403 transcript:KZM95161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPQCCENPPNFLSSGACGEGSVVELCGRKTYVSGSSSSASAVLLISDVFGYEAPKLRKLADKVACAGYYVVVPDFFDGEYFRAPGDNRSLADWVNAHPTAKGVEDTKPIIQALTSKGISAIGAAGFCWGGKVVAELAKSDHIQAAVLLHPAMVTVDDIKEVKVAIAVLGAEFDNLSPPELIKQFEPILSTKPKVDSYVKIFHGTKHGWTTRYEDDNKMEVLSAEEAHQDMVAWFNKHLKLKRIAKI >KZM96432 pep chromosome:ASM162521v1:5:40915793:40920254:-1 gene:DCAR_019674 transcript:KZM96432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPADSIKPRDFQFILHLTFIRSCADVCIVGVARTPMGGFLGTLSSLSATKLGSIAIQSALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGLPNTVVCTTVNKVCASGMKATMLAAQSIQLGINDIVVAGGMESMSNVPKYIAEARKGSRFGHDSLVDGMLKDGLWDVYNDFAMGSAAEICAEKHGVSREDQDNFAIQSFERGIAAQNEGAFTWEIAPVEVPGGRGKPSTVIDKDEGLGKFDAAKLKKLRPSFKENGGTVTAGNASSISDGGAALVLVSGETAVKLGLEVIAKISGYGDAAQTPELFTTSPALAIPKAISNAGIEASQVDFYEINEAFAVVALANQKLLGLNPEKVNIHGGAVSLGHPLGCSGARILVTLLGVLKKKNAKYGVGAVCNGGGGASALVLELV >KZM94510 pep chromosome:ASM162521v1:5:23125907:23126944:1 gene:DCAR_017753 transcript:KZM94510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMASSNLPFYNHAEDMYIDMELSCSSTSVFFSCPQARDFEFQMCDSTHLEKETISSPADELFYKGNLLPLHLPPRLEMVEKLLQNSTTKNFSDDQECSIVLPSTTDTTPLDQSCNISPSESYRVSCELNPADYIEWSSELSGFISGTDQPKKSWSRYKLKLIKKSCLGQKLKASRAYLKSLFSKSGSDEPSAKTTCKDEESEGNKDYFSRYIKVAKKTPYGQLMKGMKIPTLVSVMSSIEKNGFDQDNNITSHRKSFSATKQRPSTIKSSTSCSSGSSSLSSSFSLYSNGVYDPQLLKRSNSATSEIESSIEAAIAYCKKSQQLFTTRNTASEAGFCSFSVVA >KZM94281 pep chromosome:ASM162521v1:5:20484669:20486869:1 gene:DCAR_017524 transcript:KZM94281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLPEDSSLNSTAGHLGNINNPEDLDCYARRWYFSKQEVEELSPSRKDGIREDEESYLRKLYCAFIQELGMELKVPQLTIATALLMCQRFYMRQSHAKNCWQTIATACLFLGCKVEETSRWLNDVIVAAYKLMYKWDPSASKRIQQKEVYDKQKELIIKGERFVLATLAFNMNIEHPYKPLVWALKKLEISDKELTKVAWNFVNDWLRTSTLCLQYKPQYIAAGSIYLAAGIRKVNLPKGWRRLFDISPKYMEAQYSQVVNDISIVYPHSLSYCRTENVYISVETLLLPALLLSGA >KZM96230 pep chromosome:ASM162521v1:5:39373414:39375348:1 gene:DCAR_019472 transcript:KZM96230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPGSLCLSTNTSSDSSSSDSSLNGAKAPRDVNKPEKIKGPWSAEEDRILTRLVERFGARNWSLISKYIKGRSGKSCRLRWCNQLSPDVQHRPFSQDEDETILAAHQKYGNRWATIARLLPGRTDNAVKNHWNSTLKRRQLDSVQTRFNENETNDVQINRGDSKCGVSGSLDMNVGPDEIDPMTTLTLAPPGMGSGSDRGGENGPEVFWDVMRNVIAREVRDYVTTSFQEGSGYR >KZM94820 pep chromosome:ASM162521v1:5:26208597:26208908:1 gene:DCAR_018062 transcript:KZM94820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEADKIILDTINENTKMKETVTLPEGENKRLKDKIKLLEIHHSNNERMIDLLKRHKDEQQALGLHMIDPTKFAPPQIGKKRKLEHGEGSQVPRGEGEQKNE >KZM92867 pep chromosome:ASM162521v1:5:385697:386164:1 gene:DCAR_016112 transcript:KZM92867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMNQTTSSESELAEKASRQGEEEEEEELVVTCDCCGLTEECTQTYITMIRQRYQGRWICGLCQEAVGYEMRFFNTEEAFIHHMSFCNQFKSSVQPPNPAVDLISAMRHILRRSASPGKHGRLKQSEISEAALETSSQPHQNHQDINSTSASSRH >KZM95916 pep chromosome:ASM162521v1:5:36738877:36740922:1 gene:DCAR_019158 transcript:KZM95916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLPYSDADSSLRALAGKAEGFGRSAIGGLRGSLHFVTSLNDDGPGSLREACRKTEPLWIVFNVSGDIHLSSYLNVSSFKTIDGRGSRVRLLGKGLRLKECEHVIICNLEFEGGRGHDVDGIQIKPNSKHIWIDRCSLQDYDDGLIDITRESTDITVSRCYFANHDKTMLIGADPSHTGDRCIRVTIHHCFFDGTRQRQPRVRFGKVHLYNNYTRNWEVYAVCASVESQVYSQCNIYEAGEKKKAFEYYSEKAADKDEPDTGLIRSEGDMFLNGAEGCPSPKQNDGSVFHPSEYYSTWTFESAADSLKHLLQASAGWQSVARPAALCCGELGEKPANQCCGSSENTDQFSNLSTNI >KZM93672 pep chromosome:ASM162521v1:5:9125411:9126037:1 gene:DCAR_016917 transcript:KZM93672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNDEPCSHHDKKLKNRHFVIAGLVILVIIGITCLSVWLGLHPEKPRFEIPDATIHNLTLNSSPDLLSSVFQITIKSRNPNARLAFYYDNLNVFATYRDQQISLYTSIPPTYQGHEESNIWSPLLMGINVPISPWNVYALAQDQAGGTIPLVIRIMGEFRWRLVSTAIKSRATHLHVSCPAYIPCSRSGIQGGTSIKVPLLVDCEVS >KZM94238 pep chromosome:ASM162521v1:5:19843424:19843900:-1 gene:DCAR_017481 transcript:KZM94238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTKHIEVSTTVRSIKRKRGSQDVEESDYIDHSACGDLGRQKVSRPSRLLNAEKDKGKAKLFEVYSFNKGGLFSAKAIKMMESEKLGQFSWYFKQEVIDLNVALKSGIYARDVLHHAVEGTLDEFMTWKTSQWDYLFYQVSGLMEVEEVLKSMEFNT >KZM95677 pep chromosome:ASM162521v1:5:34467454:34469964:1 gene:DCAR_018919 transcript:KZM95677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQYADFSDVLNGFEFGDGILLPGFDSSPDLGNGFKFSDEIALPGFNSVVNSFNGIKFRDELDFGFLDIPNPPDEPGYATTISPTGSSELDSPDENELSDGMLKFLDEILMEDVIDERPSMFHDPLALQASEKSFNEVVSKKYPSSPYGQMDIYQGVESPDDYFSRSPSENSTSSGGTSSENYFEPLQSVADSGGPESSNVQNLTQEDFTSNPGSRLPWSVIPDNSFSNNINGDMNAAVSTQAVQSIFSERESILQFQRGMEEANKFLPDISQLLVNLDNYKLPTKAKEVPPAIQVKIEKDEEISPSSSRRRKHYLRQDSMIEDERSSKQSAVYDEEVELSEMFDKVLLYEPGCGKEEPIEWNPVSPLENGPTHEYDGSRSHSTITENYSEAVDLRTLLINCAQSVACEDRKTAYEQLKLIREHSSASGDASQRLGFIFANGLEARMTGTGSQLYAAALSSRRRISATEMLKAYQAYLSACPFKKTSVLFSNKMILEKASNATTLHIIDFGIQYGFQWPVLIQLLSKRPDLNIHGLKSWALGS >KZM92829 pep chromosome:ASM162521v1:5:66684:66992:1 gene:DCAR_016074 transcript:KZM92829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRVHYRPDILRQKADPAAIDGDKFTSKPGNKRKATAVTASGRKRKVNDAPTSKPGTSFCNA >KZM93894 pep chromosome:ASM162521v1:5:11914023:11914383:1 gene:DCAR_017139 transcript:KZM93894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSGAAFARKFKQDDPVLDKIDKELLHRKRGSFTPGGWCSGNPPCSKVGNLNKLKPGPGAQRLQHLVAFLPVGGIIEYTYFSSQAS >KZM93714 pep chromosome:ASM162521v1:5:9602435:9605796:1 gene:DCAR_016959 transcript:KZM93714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMETIVEDYEANNSHLYDLISTTDDDEDDKYYDETSEEDYDSSSTDSSYRCYGGNKNLSGSRLSWLREWDRVFPLLSALCLFIDPTFLYAISLNGDSLCFYVDGWLALGVSLFRFITDGLHVWNIWRRYFSKDYKRRSFSATDAYLRSIYGDFKSQNFKGKLDFFIYVFTVLPLPQILIWMVIPALLKKYEQTRVMTAMLIMFLIQYLPKIYVVICLMRRMLFQYIFGAAWWGIGLNVVAIFVASHVVGACYYLLGVQRSARCLMGKCMEIESCGLDALSCENPLFFGSKYKQTDNMRMLWGNNDDARSWCLQSSHNDQYDYGQFMWITLLVGNDNRVEKMLLPLFWGVMMLCTFGNLGSTDDWLEIVFMMVVISCGLLLVTMLIANIKVFLIATSSKKFARKVNITNVEWWMRRRNMPEELRQRIRNYERHRWIAMRGVDEREMIHSLPEGLRRDIKHYLCLDLVRQVPLFQHMDSLVLESICDRVKPLVFPEGEIVIKEGDPVQRILFIVRGHLQCNQTVRSGVNSCCILGPGNYSGDELLSWCMRKPFIEILPPSLSSVITLEATEAFGLEAEDVKYVTQHFRLNEKVKMTARYYSSGWRTWAAVAIQLAWLRYRHRRTLTSLPFIVPRRPVAQSALVEVERLRLYTALLTSPKPRQDDDSP >KZM94272 pep chromosome:ASM162521v1:5:20314487:20318566:1 gene:DCAR_017515 transcript:KZM94272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEFFFTLALYGFVTTIGLILILDPTNIPSFGIKGKLSFDQHDLASVSHDFGGQSQAEPLAVFHPDSAEDIARLVKAVYESTQGLTVSARGHGHSVNGQAQTSNGIVIRMSGSPTKPARINEKLKYVDVWGGDLWINVLNSTLGYGLAPKSWTDYLYLSVGGTLSNAGISGQAFRHGPQISNVYELDVVTGKGELKTCSEKQNSELFYAVLGGLGQFGIITRARIALEPSPQRVRWIRVVYSNFSAFTQDQEYLISLHGQSPDVEKLDYIEGSVVVDHAGLINNWRSSFASPGNVSSLVGVANGGVLYRLEIAKNYQQSNANSVDEEIEALLKRLHYIPATTSTTDLPYVDFLDRVHKGELKLRSQGLWEVPHPWLNLFVPKSRVEEFDKGVFKGILGNHSQGPILIYPINRNNVGTTALRVQNFRNVADYWVAAYF >KZM95411 pep chromosome:ASM162521v1:5:31911176:31911334:-1 gene:DCAR_018653 transcript:KZM95411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHFSDYFSNVLCSDQTSNPVAKTSNRQVIAPESAVDGPKIISEVEHYLHS >KZM93697 pep chromosome:ASM162521v1:5:9341303:9366288:-1 gene:DCAR_016942 transcript:KZM93697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGRDLLKTLCKSSRQQMNESERYSFNPSLHWNPEVQAYLVNAYGAHHFARISKALTQPSCYSCIRVNTLKTTTDAVIDKLSKILQETGVPNDVGKHNDLFKADAQIGPIFKCQLPGLDYVLFVRGSGPHDISYQFTEDRPLKEVIVSRKCAEAVLRGAQVFVPGVLACSAHVEEGDVVAVSVALEQSTSEGKWGTGITRGTVMQGLQTDPHYIERSGLYIGQGKTMMSRAGIFRALQGIAVDMNDRVYRLPSFNDVLEGEIFLQNLPSIITAHALDPQQGEKILDMCAAPGGKTTAIAILMKDKGEVIAADRSHNKVLDIQKLAVEMGVHCVTAHKLDATKSVRLKDQSIDEGPCSKEDTCLNIQNSGEESLKTNTLSTDVLQDMETQSHHVIREQAKNGVYTSKAEQRKIDRRLKNGSGRKSIGDRVEKSKGFAPDSFDRVLLDAPCSALGLRPRLSSGEETIESMRKHATYQRRMFDQAVQLVRSGGVIVYSTCTINPGENEAVVRYALDTYKFLSLASQHPKIGGPGLVGRCVSSDGYAEEWLRPGEEDLVQRFDPSSSLDTIGFFIAKFNVGPKYT >KZM95556 pep chromosome:ASM162521v1:5:33307630:33310681:1 gene:DCAR_018798 transcript:KZM95556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGDYFDGMLSDNAGGKAIKLRVQKSTSGRLVTVLTCLQFTFAVYATLLLYHMSPSLDSKASPDFSWATRIAHQWKHLIVQPHVVSRYQQALKQTTVCENENIDFVQKRSNDEVMIRMRRELYEEVLDYQSKSFGRETLTELMAMKSKWDLKGSNIPKVTVILNHFRRRTLCAQLESLLHQTLPFHHVWVLSFGSPKEVILKRIVESYNDSRISFISSSYDFKYYGRFQMALQTEADLVYILDDDMIPGTKMIQILSHVAGTEKYKNSVLGSIGRLLPFRQKDFSFPSYRKFRSKEAGLYLPDPAYNITVEKMVQVDFLSSSWFLSADLVKTLFTETPITFMTGEDLHLSYQLQKYRNAGSFVLPVDPKDKETWGDSEHRLAYVSETTVIFKDIVEVRDDQWWRALSSGYVTQWAAMYPQRSDALFYAHSVEEAKALAQLFIKFRSTIGKKAYIAISGGKFCACEDAASALSWSKEVCKERRFKIFDLGIDTILGISNSEVPVVQAVYASMKGLIKIHNPSVVIAVSDIDLNVLKALKMATETNSKSTLILLPRPSVSKVTWIADLRATALSKWNCMRISINIITQDRAHSLARLLKSLSTAYYLDDEIPISFNMDNKVDEATLKLVQSFKWPHGPKILRRRIVQGGLIRAVSESWYPSSDNDFGLLLEDDIEVSPYYYLWIKYALLTYHYDPQAPLPELSSISLYTPRLIEVVKERPRWNGTEYFKHIHPNTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTADAKKNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHINAKDNVLDHSKSDYEVPLLQDHFINYLPDGKLPPASKLPSLSLFNQAVSLRGLKAAGAKLRQDVLQCNITQTVIVDHQTGLPSHCAVI >KZM93502 pep chromosome:ASM162521v1:5:7311120:7314003:1 gene:DCAR_016747 transcript:KZM93502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGETAWNMREVSRSDGSLLKFVKKVNPGVTSPMVYSAILFDWLAWHVEDHDLHILNYMHMGVGETWYSMVTEAAPAFEDVERASNVAEMPIWASPDDLKYVTGDLSLNLVQAHCFNSSIDCEEDSAEEKRQRQIVLGVMPSRRIICQKISPVRAACILVALHPSNIASALVEGNSDVSKGLEWIRTWALHVAESDRDRNCNTLDSKLNSEFCTTPFLGQADGS >KZM93406 pep chromosome:ASM162521v1:5:5743593:5744222:-1 gene:DCAR_016651 transcript:KZM93406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRASKPAAAAAAAQGGEIAGSGSQEIRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAAVNFRGPKAKTNFPFDPRFFNPNLSPFAPDNHLIVAAHRPASSGMSSTVESFSGPNRPAQIAASLPSRRFPRSPPISPDDYHSDCDSSGSVVDNRQGVAHADVGSSSVCKPLGFDLNFPPPDDDDGGDLDCTALRL >KZM93625 pep chromosome:ASM162521v1:5:8576612:8576815:1 gene:DCAR_016870 transcript:KZM93625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTMSALLIKIRIRPVSQSRNNLQQQRCKWQSPNEGTLKMNVDQSRNQEIICSNKDVSGKVQMKVP >KZM93647 pep chromosome:ASM162521v1:5:8862819:8870495:-1 gene:DCAR_016892 transcript:KZM93647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIARSWRLDMKEFFMMSGSRHRPHVKGPKWIVVLVCMVSIFLIAAYTYPPRSSAACYLFSATGCSVIKNLQPVPVRELTDAEQAAEVVIREILKTPLIQSKNPKIAFMFMTPGQLPFEKLWDLFFQGHEDRFTVYVHASKEAPPHVSRYFIGRNIRSEKVEWGRISMVDAERRLVSNALKDPDNQQFVLLSDSCVPIHNFDYVYNHLIFTNVSFIDSFEDPGPHGVGRYSEHMLPEVEKKYFQKGSQWFTLKRQHAILITADSLYYNKFRDYCRPGMEENRNCYSDEHYLPTLFKMFDPKGIASYSVTYTDWSEMKWHPRLFRAQDVSFDLLKTMGRTVLTRPCMWNGMKRPCYLFARKFDPDSLDSLMKIFTNHTTI >KZM94011 pep chromosome:ASM162521v1:5:13692884:13694638:1 gene:DCAR_017256 transcript:KZM94011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKESSSSSDPPKINKYACACAIVGSIISIIFGYDTGVMSGAMIFIKEDLKISDTQVEVIAGILNLCALVGSLCAGRTSDYIGRRYTIVLASMLFLVGSVLMGYGPNYAVLFTGRCVAGLGVGFALMIAPVYSTEISSPSTRGFLSSLPELCISIGILTGYVSNYFLSKLTLKVGWRVMLGIPTVPSICLAFGILAMPESPRWLVMQGRLGDSQKVMLKITNTEQEALLRIKDMKRAAGIDENCKDDIVKLPEDTNGGGKGVWKDLILRPSPPVRWMLLAALGIHFFQHATGIEAVVLYGPRIFKKAGVTSKNKLLLVTVGVGVTKTIFIFIATLLLDKFGRRKLLLTSVGGMIVAHSALGAGLTVVRQSDEKVTWALVLCIAATYMYMMFYSLGLAPITWVYSSEIFPLKLRAQGASIGVAVNRLTNAAVSMSFISIYKAITIGGTFFLFAGISVVAWLFFFFCLPETKGKSLEEMEQVFSKRSSRKSQNSSVEVQPE >KZM93512 pep chromosome:ASM162521v1:5:7383471:7385826:1 gene:DCAR_016757 transcript:KZM93512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYTSYSKSPLFSLQFQRIIAPKSSKPSILTIPISQKFQISVQSKRNLQIPSCSLSSNDEVPSSNIVLVKGLPLSVLEGRLKTAFAHFGEVRPSSSEYLA >KZM95917 pep chromosome:ASM162521v1:5:36741447:36743847:-1 gene:DCAR_019159 transcript:KZM95917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRTKSKADLLAQLQELKAELSLLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVMQQKQKAALREVYKNKKLMPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEKYFPMRKYAIKV >KZM94906 pep chromosome:ASM162521v1:5:26915986:26920308:-1 gene:DCAR_018148 transcript:KZM94906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITNPYIKQYHDMVSATKVALLLDPGAINGDFLVACQISYWRHYINTIAIAATIFFLPSPCYSEPAPFASFAKNSVSAPPVAYYDYIIVGGGTAGCCALAATLSEAATVLLLERGGLPYNDPRISNVAGFAYSLSDISPGSPSQLVISTDGVITHRARVLGGGTALNAGFYTRASSEFVTRSRWDPKLVEESYEWVEKVVAHEPQLQQFQSAVREGMLEVGMMPDNGFTYEHIQGTKTGGSTFDRNGKRHTAADLLQYADPAKIAVHLHATVQRILFKNQGKWKPRADGVEFRDSLGASHTAYLTKDPRSEVILAAGAIGSPQLMMLSGVGPAEQLRSKGIDIVLDQPMVGQGMADNPMNALIIPSPNPIEISLIQPVGITPFGSYIESASRSIDLTWALKLPEGITNKTKQYGVDPNALTSTVKGFVDRILRSPLQAGIILEKIMGPVSSGHLELLTLDAKDNPLVTFNYFKEPEDLEKCVKGMEMVIKVAKSRAMARYRYPLVPAQALINTMLALPINLRPRHLFSTLSLEQYCKDTVMSIWHYHGGCQVGKVVDYDYKVVDIDALRVVDSSTFTFDSPGTNPQATVMMLGRYVGQRILQERGSRKKI >KZM94330 pep chromosome:ASM162521v1:5:21128352:21131306:-1 gene:DCAR_017573 transcript:KZM94330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLLHHVCIVLLVLWLLSTYNWFHPVAYFISLIYLYLVHERYVMRLRKRVQFEERRQANQRRVLSDSETVRWLNHAVEKMWSVCMEQIVSQKVLLPIVPWFLHKYKPWTVKDAAVQHLYMGRSPPMFTDIRVLRQSCDDDHLALELGMKFLTADDMSAILAVKLRRRVGLGMWAKLHLTGMHVEGKNLDNLLRMSNCNGVVSLGFLVRNYLRQSSILQVLVGVKFLRRWPFISRLRVCFVEPPYFQLTVKPLVAHGLDVTELPGIAGWLDKLLAIAFEQTLVEPNMLVVDVEKFASPEPVFIRRNGDTATVEGQDAMADAMALYVK >KZM96038 pep chromosome:ASM162521v1:5:37616913:37617392:-1 gene:DCAR_019280 transcript:KZM96038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNNVQTGRQGAGPSGVGSSQNQPGIVNQDAAAAAFFGAGFPSYCAAPGGAFVDYNAEYAGGVYAGYGAASAGGSFSNYGASAVSAGGSFSNYGAASAASAGGSFSNYGTAYDASAAGYFGGHDAVDALAAASPGSSSQSYKGSKRHTEQQVVAMNE >KZM93482 pep chromosome:ASM162521v1:5:7021940:7022215:1 gene:DCAR_016727 transcript:KZM93482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQHGLHLVSRLNLFPPSTRHLKAALMSSSQLNHDDYDTGVKSEETDESYEHQDDDNGDAHGTCSLVHPGILLISTTELDTAGNIKGTRT >KZM95311 pep chromosome:ASM162521v1:5:31071953:31074500:1 gene:DCAR_018553 transcript:KZM95311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREWSRSMRAQGKTIGLVPTMGFLHQGHLSLLEEAHKHTQVFVVSIYVNPGQFSPNEDLSTYPSDFNGDIKKLMSVPGGVDVVFHPHNLYDYGMGSVGNSEGGIERDGVVSCVEDGGLGHETWVRVERLEKGLCGKSRPIFFRGVATIVTKLFNIVEPDVAVFGKKDYQQWRIITRMVRDLDFSIKIIGSDIAREKDGLAMSSRNVHLSPDEREKALSINRSLSKAKAAAGMGQMNSRDLINTVIESVQEAGGKIDYAEIVDQESLKAVEKITSPVVFCIAAWFGKVRLIDNIEISG >KZM95827 pep chromosome:ASM162521v1:5:35924940:35939810:-1 gene:DCAR_019069 transcript:KZM95827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEVSSQVTLEQSRAYWVSKSLIAWNVDAVDGSCYLYSSRRASLSAVDNGIEGHDMKIKLELCTDGLPEYVIKKFPHLREYKAFKVPPDLVAEDRLKCQLSVAIFSSAGMLISATGMQLPGVIDELFSYTGPLGAVFSDEAITFYLWAPTAQSVHVLVYGDSVGEEPLKIVQLKETNGVWTAYGPRSWEGCYYVYEVYVYHPSTLQIERCLANDPYARGLSADGKRTLLVNINSDAFKPEGWESLADEKPDIVSFSDISIYELHIRDFSVSDNTVDPEFRGGYLAFTSQESAGINHLRKLSKAGITHIHLLPTFQFDGVKDEKDKWKSVDTQMLESLPPDSAQQQEYITAIQNEDGYNWGYNPVLWGVPKGSYASNPNGPLRTIEFRKMVQALNRIGLRVVLDVVYNHLQGSGPFGENSVLDKIVPGYYLRMNTNGQIENSACMNNTASEHFMVERLIIDDLLCWAVDYKVDGFRFDLMGHIMKHTMVKANNMLRGLSKIKHGVEGAKIYIYGEGWDFGEVAKNGRGTNSSQFNISNTGIGSFNDRIRDAVLGGSPFGHPLQQGFVTGLGLQANGHDHGSKSDAVRTLAVSKDHIQVGMAANLKDYVLTDCDGEEVKGSEVKTYDGVPVAYASCPSETVNYVSAHDNETLFDIISLKTPMDISVDERCRINHLATSIVALSQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFSYSSNNWGVGLPPKEKNENHWPLIKPRLADPSFKPCSRHILAAVEDMLNLLEIRYSSPLFRLRSANAVQERVRFYNTGPSWIPGLIVMGIEDGHNGVPGLSQLDSIYCYIVVVINVCPTEVSFSSHALRAKSLQLHPVQMNSTDTIVKKTTYDASAGRFKVPSRTTAVFVECRD >KZM96007 pep chromosome:ASM162521v1:5:37380847:37382366:-1 gene:DCAR_019249 transcript:KZM96007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTFLLLGLSLAIALLISSEVSARDLAETENNNVAATIDGHGGGGGGGHGGCPHGWCGRHCCKDAAEAEEAQDTEEKDVAGYGGGGHGGGGGGGGGGGHGGCPHGWCGRHCCKDAAEAVEAEVANIKAEENPMQYHGGGGGGGGGSHGGCRRWCGRHCCSYADEVEDAEQNSLADTDGKYHGGGGWNQGGGYHGGGGGYNQGGGYHGGGGGYNQGGGYHGGGGGYNQGGGYHGGGGGYNQGGGHGGGCPHGWCGRHCC >KZM92863 pep chromosome:ASM162521v1:5:360914:362082:-1 gene:DCAR_016108 transcript:KZM92863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKLHSGKETTKSNSTSTNNKLIQETKNEEFNDWSNGMLTIGTFGTNDLPDNRERQRLEDDDQSLSQELAAEFTPEEVEKLQKQLTNLLSRKPAAKVDERIANLPLDRFLNCPSSLEVDRRLSSTVCNDLIDEDNEDEEDIDRTIKVILGRCRDACMESNKKSIGKKSVSFLLKKMFVCRSGFAPTPSFRDTLPESRMEKLLRTMLSKKISPQNTSRASSMKKYIEDVQMPNMRKQEEKEDKPNVQKSKWDKTDSEYIVLEI >KZM96004 pep chromosome:ASM162521v1:5:37363730:37363918:1 gene:DCAR_019246 transcript:KZM96004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLIDASHGKSTCKSKSLKRSEPEPKIHTKLNNQFSKDYYFGQFKQQAEFHKTNQNSTYMP >KZM96390 pep chromosome:ASM162521v1:5:40660726:40661349:1 gene:DCAR_019632 transcript:KZM96390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCHIRHSEQNFIRRHRHLYILSPKTTPIFVINLFKHITLLISRSHGNFSFSAAMDRTIAIIILLLSRVFLYTNFSMRILGEFDKSGAFDMELLNKTFMKDDYGRRTKLHEVHSGSNPISNSLPVKGTET >KZM95279 pep chromosome:ASM162521v1:5:30776498:30779313:1 gene:DCAR_018521 transcript:KZM95279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCHSQITLPYDTRELSKATKDTAAIYLACGIDTSKASVFVQSHVRAHVELLWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQKQHLELTRELADRVNHLYGGRKWKKLGGRGGSLFKVPEPLIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDSKDVIANKIKRCKTDSFPGLEFDNPDRPECNNLLSVYQLVTGKTKEEVAQECQNMNWGTFKVVLTDALVAHLNPIQVRYEEIISDTGYLDGVLAEGAEKAANIADTTLKNVYQAMGFLQR >KZM95382 pep chromosome:ASM162521v1:5:31632925:31634002:1 gene:DCAR_018624 transcript:KZM95382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDVKNGTTTKANTQVAFSSLKPMVYVEAQKANDAVLFYKTAFGAEEVNRVSQPKRKADQELPVVVSAEIKVAGSTFIVKDLSDDTPAPVKGGTGSVFILETQDLEAALEKAVKAGAVKEGEVAEADGAFDGGRVGKVKDPYGNLWVLSSPAAAKADVEA >KZM95120 pep chromosome:ASM162521v1:5:28887584:28888772:1 gene:DCAR_018362 transcript:KZM95120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLINLGTVNVDEVGSSSFRETIIEMLKNFPVCKVNSKLVVVDYVVPPLLPKYYSITFKLMNFKPVDAIYHGNYMYLFAVVVENVVYQLDTNFESLRCPEVRDFVGSGFEVEDFDRRYPSRRVMKLVHSWGKISQAVWDKNYPYYLKYEDDSLDGYMVIDGDGADQVIADGSDEGDVAQDKINCSEPDVKGGGKADQRKRISDEEAVGVEGDVAEDKINCRGDDVEGGGGADQRNGDEIEKEMGLVIENGWAIQKFGESKIENVILLVKVDERDKKEEPKPVKSAKRKAISPKYGKKGPKLCRGICTSSVFRSSRFGRGMCASVFLSPAVVRCATMRRNGVVV >KZM94605 pep chromosome:ASM162521v1:5:24081380:24082225:-1 gene:DCAR_017848 transcript:KZM94605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAAKRREEVPEKPISKPSSPIKDTTVVHPDVNFHDEPIMPKEEPIDLEDIPIPAFLVQESSKPKKKVKSVAKRMANPPKPPKEPENPDDYLIIANIEEISELELELDDLQEVRGIEATSKLPERLVFSYKNKGDVIWPLHRVLNSEGFSSLTKIYGSMKRTGGFTPPAKQMVLKRILEIRKEWNSDASLQRRLKIPYTGKKIHHEPTPVMEFRDNQGVRRFFRPKDQLKVASLNTLKTLQSKLNRQDSDEEWFYRIFQKQINILEEKLKSRRRRSSRNK >KZM95686 pep chromosome:ASM162521v1:5:34575616:34576773:-1 gene:DCAR_018928 transcript:KZM95686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISSMDECSSSQPTRPRWADDDDDNDDDLILPPRQVIGPDQDGIKKVIEHKLNDENKLVRITTTTRVRKVADARLSKRGVERRAWRKFGHAVNEEAAGAKLTVVSTEDILLERPLPYGSKREESKHQNEKEGTTLMICRSCGRKGNHWTAMCTYKDLSQPIESFSEQDVTSAKDGTKGTYVPPSLRRGAERSSGSDMKRRTEENSVRVTNLSEDTREADLNELCRAFGPVTRAYVATDHSTGMCRGFGFVNFLNREDAEKAIKVLNGYGYDNLILQVEWAAPRTNQI >KZM96159 pep chromosome:ASM162521v1:5:38767947:38771097:-1 gene:DCAR_019401 transcript:KZM96159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYNGGRYDRFVMRRDDMMEREMEKERIRREILEEEAARRRELEAEVRRDLRIEREREEREFRRRGGDQWERIALPERCYVERRLFEKRVALPVRERMYNFSPFEGKEIRVYEEDSPCQPIRSRVKASLDDVKVEELPFQRASQPVRTFVDVVEVEELPFQRASQLVRNSVDVVEVEGLPFQRASQPVRTCVDVVEVEELPFKQALQPVKSGGKSYSDLVIAEELPFQRAQPNKTEVKTPLDVVKAEEKKQNMFLAKPDANHPGKKRKDMASPVEGHSEVTKKLTSEWSCALCQISATSEQGLHDHLKGKKHKKQVGQKAGMIGLCPNKIINPVNPSQVAKKNVETRVENKNIDIVKKKESGGAVRKISKRKAMNFKFYCHMCEVGAFSEEIMNSHKTGKKHMLRLHQLGAHI >KZM95414 pep chromosome:ASM162521v1:5:31926490:31926987:1 gene:DCAR_018656 transcript:KZM95414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTSQPDYQLKQDDKLFTKLVSKETSIANPSFRGVSVAVPFNWETQPGTPKHKLFPDHSSLPPTLTPPPSYYFQNSTSSSKKHSKAKLLNILFLRMIHLRKGTTQITMSPTSPSPSSSSLSSSSIESKVNSKRKRLLSMGSVLDDDFHVSVPRSVSKSLLCFRS >KZM93301 pep chromosome:ASM162521v1:5:4601921:4604239:1 gene:DCAR_016546 transcript:KZM93301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAVLPKHVAAVVKYQKDPKRALEMFNIVKNEDGFKHNLLTYKSMIDKLGYHGEFEAMEALLEEMRMNIDNGLFEGVYISAMKHYGKKGKLQEAVDVFERMDFYSCEPSVQSYNTIMNILVEHGCYNQAHKVYMRMKDKRVVPDVYSFTIRIKSFCRTSRPHAALRLLNNIPTQGCEVNAVAYCTVVGGLFQEHFELEAFDLFDEMLRLGIVPDIFTFNKLLHTLCKKGNVRGSERLLDKVFKRGVSPNLFTFNIFVQGFSRRGLIHEASRIMDGVTKAGLCPDLVTYNTLICGLCKNGKVVEAECYLHQMVNMGYEPDAFTYNTIISAYCKLSRATDADKILNDAMYKGFKPDEFTYCSLINGYCQEGDIERSVNVFKQALVKGLQPTIIIYNTLIKGLSQQGLILQALELMTEMPEKGCDPNVWTYNLVINGLCKMGCVSDASNLVNDAMAKGVLPDIFTFNTLIDGYCKQLKMSNAIELVNSMWEHGIVPDVITYNTVLNGLCKTGKSADVIEMFNAMMEKGFVPNIITYNTLIESLCKARNLGGALDLLKDMESNGLTPDIISFGTLISGYSENGDLEGAYKLFQRIEKQYKFCHTAATFNIMINAFSEKLKLDMVEKLYHEMISKGYSPDKYTYRCLIDGFCKVGNIDVAYRYLLKNIDAGFIPSLTTFGRVINCLCVKNRVHEAVGLIRTMVSMGIVPDAVNTIFEADKRVVAAPKIVVEDLLRKSHITYYAYELLHDGLRDKKQKMENDPNKGSKKRRTTSKKA >KZM95073 pep chromosome:ASM162521v1:5:28385260:28387214:-1 gene:DCAR_018315 transcript:KZM95073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLASSVLKCGKGKVWLDPNESNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHAGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNVYKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARRDERLAKGPGEKAPEAPAAAAAPASQAQVSKKSKK >KZM94177 pep chromosome:ASM162521v1:5:17846061:17847300:1 gene:DCAR_017422 transcript:KZM94177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTSFLRQLSGKDAWKSTSKRWGGSNNNKRNNVGGQGKFWKQQMDENNIMYGSESGGLVMKKRVMVVVDQSSHSKHAMMWALTHVTNKGDSLTLLHIVPPHSSEVSFESPHLASSLASLCKACKPEVEVEALWMQGPKLGTVMSQVKKLDVSVLVLGQKKASPLFTCLCGRSSREKFVEECINNVECLTIGVRKQSQSIGGYLISTRWQKDFWLLA >KZM95696 pep chromosome:ASM162521v1:5:34631726:34632404:-1 gene:DCAR_018938 transcript:KZM95696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSASLHFSESDESRSSGSDEGSLETDGSFRLCLRNEGNVELDLESGEVKMAVKNTGKINCNEILEERECRICHLSLMESCCGAAIELKCECKGDLAAAHQHCADTWFNIRGNRSCEICGAIARYVSKEDKRKDEVNDSGGGVAEVTIGPEEIQYETRRNNRGRRIMNFLLSCMILGFVISWLFHFHVLP >KZM95597 pep chromosome:ASM162521v1:5:33724224:33726828:-1 gene:DCAR_018839 transcript:KZM95597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASNHLIGLLNFTTFLLSVPILGGGIWLSSRANNTDCLKFLQWPLIVIGVAIMVVSLAGFAGACYRNTFLMYLYLWTMFLIIATLIGFIIFAYAVTDKGSGRAVLNRVYPDYYLEDYDGWLKERVASESYWGKISSCIRDSKVCGKMGRFVGGVPESADMFYLRKLSPIQSGCCKPPTECGYVYGNETIWTPGGGLVGNSLDCSKWSNDQSQLCYSCDSCKAGVLASVKKSWRKVSVINIVVLIILVIAYVVACAAFRNNKRMDHDEPYGATRMEKAQPSRIHF >KZM93197 pep chromosome:ASM162521v1:5:3442907:3444021:-1 gene:DCAR_016442 transcript:KZM93197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGGQHTWFSCDDFDIPTYKSISCNTEKCRKYKGYDCMNCALLIPVPPRCINNGCAVTYANQFAAQDINNSLAEDALFVESTNGVSVGLTYKSPEPFPFSCSDLLDNLASGTKGGGPYIFPPYYKNIAKKLITTKLVSYPVDTDKINIITDPYDEYFVDIKSINIDQKLVPLNASLLSINKDGFGGCSSQEDERVDGPLDMCFNATDIPKSKTGPAVPHIDIGFAGGKNECRLYGANSMLSVNEEVLCLAFVDGGKFPRTSVVIGAHQLENYLIEFDLVSSKVGTSSSLLTRNATCSQSRVL >KZM95704 pep chromosome:ASM162521v1:5:34693005:34702659:1 gene:DCAR_018946 transcript:KZM95704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSIPPGFEALATFTMKREEDIERTSSCSVSASAVDSQTANMETEIDCSDDVKTARSLRRRPWINYGVYDHNLGDESDSEQQVDQSHPLRPRLPIGVIRGCADCTNCQKVNARWRPEGACRPEVQDAPVFYPTEEEFEDTLKYIASIHRKAEAYGICRIVPPPSWKPPCPLTQKDRWDTSKFSTRVQRVDKLQNRSSMSKILKFNNQKRKRRRCTKTGIDSGPHCTGTHDASEAVNYEAGFGFEAGPEFTLDSYQKYADHFKSQYFRDNSAKMEGNKIPLQEQQEPTLENIEGEYWRLVEKPTEEIEVLYGADLETGVFGSGFPKVSHPSISPSDEKYVKSGWNLNNFPRLPGSVLSYESSDISDQHVEDHHLYSLNYMHWGSPKMWYGVPGKDALKLEAAMKKHLPDLFEEQPDLLHKLVTQLSPSILKSEDVPVFRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQNAIELYRQQGRKTSISHDKLLLGAARDAVKAYWEINLLRKNTLDNLRWRDVCGKDGILSKALKARVEMEQVRRDFLCKSTQSLKMENGFDATSERECSVCFFDLHLSAAGCHHCSPDKYACLNHAKQLCSCSWGAKFFLFRYDITELNILVEALEGKLSAIYRWARLDLGLALSSFVSKDSSRVPGQVGSLSGSLEGQVPREMSPMQPLVNMKHLNLKENFADTSNPTRAFDGTSSPKKEAVREFAQMKNMMGLSTNRPFHAIEVTKPTLQVNRENFVHVPPNSGKLNIGSVGTEKQDMKKPAVLDINEVILLSDDEGDESPPVKEQMMSTSHTEVGEQLSGSRVISNPSNGTGLPLLNPLRPNAVLVGANDGSCLHGARIQGTLTSDSTKNECQRVKEAVLSMEPLNVRESDNCKIENAEGSLQSSQPYDGHKPNKEDAHVAESSSRLGDNVTSSSTENNLDRYFRQKGPRIAKVVRRINCVVEPLEYGVVQSGKFWCDSRSIYPKGFRSRVRYISILHPSTTCYYVSEVLDIGRDRPLFMVKIDRFWPKGFKMRRQKMSRDALCNYLKFRFLLRIHQGKYLPTFQPPDAGKWFLLRIHQGKYLPTFQPPDAGKCGNLGRNNVESCKGDQLPAGVDTVLCSLFRKANPQELQSLLTVLNNNKSSHDRDVAVRLLNDEIHRRPR >KZM95221 pep chromosome:ASM162521v1:5:30295233:30300068:1 gene:DCAR_018463 transcript:KZM95221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSERGLGKVSNALEFSDDDDDFVTPAEHFGKSKPASVQIDEKKKMSDNAADMLAEESKKNTKKRNRGTEDMKVSNMDAHLVEGSRRRTINKAVNGISTARPAGRQVTKPMQEGKHDNAGNEPKKSKGRSHEGYLQKKFTPAIISDLFVKLSEQQVQWVKKTGFGELLNFESSRYPHKLGYNLAQAFDVGNCALVLKCGTIEINDKEVNNVLGLPMGNLVLTTDRTETNSTVWAGQFEEKAGCEISPTMLRDSMVLSKDADVIFKLNFLVMIYNFFIEGHQNRYLNRDVLKLELDLDACGRYNWCRLLIDKLRTSHTYWAAEKEKRSFTGSLTFLTYLYVSRIRNEKYAYVPQVFPAFKGWTDTLIRERQKNEATDGSFGLGEIVAFVEDNEKDAVLVNNLRDKMMNPDDQFIKDNAQLKSNSDDDKSATNELDDIEGHNDLETEKNLVSDLVKNVGDQILHIIQSVNDNNEESVDGGADHMFQEIGNINTNLNPASCAKHTVAEMGLGASLETNGTSGSMKKDPLLQGPQGTDEEDAIDNHFREDIYIKEFRKNLVEFGNSYEKCVNNLEVALALYPSSEELAELKEEHKRFFKFFEENSHLSKKLLAGKIIEKNKSVEGVVDDGSYVPSFSLGITQVIPRNLGDMMDEKDNAGGEIDEGGNQLVMRPRRGARMTEICRSPFVSRVVDISGHKLTNEEKYVWEWLFENRRNRSENLFEWETRMCTKAHLQSLQVNCMVDSSVIDAWSYVLNANEALRANTSPYRVFMTTETTSGPMNMVEDLSDPEWTTRRHAVFHENMDVVMETITLLNNRLYDVQAFDLFVFPIYNASHHYIISYNMRKPAWDIIDNRVPDGDIEQVYGDLPYQLENQKSLLNKLRVVYGHKILTWDLNNTKESLMRAATYLAKGKKIAT >KZM95188 pep chromosome:ASM162521v1:5:29741787:29743287:-1 gene:DCAR_018430 transcript:KZM95188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQHSLPSPTNQTTPIPLLFGSPRFFSGSFRKGTSSIFDSETMMSPTSILDSKKVSTLISPFGYDQNLSKPQNSSSQENKHSPEKGLGLALVDSLNDDKNPENFNFIKPKLKIQIPTLPLSVCSPTLSPKSPADFGIKTPNRNSPFLGSKSSFKGLNSIVQASDSLNSPTAALTLSEMELSEDYTCVISHGPNPRTVRIYDNCVVESCNGVAGMTDLKKESSLESPSQSFLNLCPSCKKDLGDGKDRDEDALSSNKCLCHEMILSEVKNKEMNSGM >KZM95176 pep chromosome:ASM162521v1:5:29619880:29620881:-1 gene:DCAR_018418 transcript:KZM95176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVVCQGFPSGLEPRLVEPCASRHRMSPPIMMPTQNSPDNENEDNDNDYDDSEPESVKNSKKGGWNFIQALTDPSYNATKEGTEQNEQVYVHPLVKLSASALSSKSLEMCTESLGCETGSDISSDEISSFSWEREKMQLPSDDFSSLDTEKSQKLHENSSSPSVNNRSSYRKFTKLSSPKGGFPPPLTSISGSEGVEVRHHREGGRLIINAVAVSSCRTFFEADRTNGRLRLSLRRECSANCDCMTSEKDEEVFEEVHETKGDEQVLELDTFGELAEDEVDQVGEDCWDEDTDEIERKIDCLGEFPRPSRCKEGGRGGKGMSNWGPFWVAIS >KZM95113 pep chromosome:ASM162521v1:5:28777039:28777347:1 gene:DCAR_018355 transcript:KZM95113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVSRLTSENGVVIFSKTTCCLSYAVNILFHELRVEPVVHELDQDPEGREIEKALLRMGCNSPAVPAVFINGKLVGSTNEVMSLHLTGSLTPMLKPYQNMS >KZM94291 pep chromosome:ASM162521v1:5:20642630:20644467:1 gene:DCAR_017534 transcript:KZM94291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRTVCVTGASGYIGSWLVKTLLERGYHVRATVRDPGNEKKVKHLLELANASTHLSLWKAELAKEGGYDDAIQGCEGVFHVATPVELINQRQDGEEEIEATTLNGILSIMRSCSKAKTVKRFVYTSTAGTITVQPQPPVSEFTEDLCSDIDLCYLHKMYGCVITLYNSPVLSSSFVIGFNNVACIDM >KZM94533 pep chromosome:ASM162521v1:5:23325912:23334619:1 gene:DCAR_017776 transcript:KZM94533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDSGPAIDDVKSSLLLRERGRFSPTRYFVDNVITNFDETDLHRSWIRAQATRSPQERNTRLENMCWRIWNLARQKKQLEEKQARRITRRRIEREIAHREAAADMSEDFSEGEKGDAGNDFSPVGESRKSRFSRINSVDAIDALTSQQKGKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQVSSSEVDWSYAEPTEMLPPRDSEDSMSEMGESSGAYIVRIPFGPKDKYIPKELLWPHIPEFVDVALGHILQMSKVLGEQIGDGHPVWPVAIHGHYADAGDSAALLSGALNVPMLLTGHSLGRDKLEQLLRQGRLSRDEINSTYKIMRRIEAEELALDVSEIVITSTRQEIEEQWRLYDGFDPILERKLRARIQRKVSCYGRFMPRMAVIPPGMEFHHIAPLDGDVEGVIEGSDDHPASPDPPIWTEIMRFFTNPRKPTILALARPDPKKNLTTLVKAFGECRPLRELANLILIMGNRENVDEMSSTSASVLLSIFKLIDKYDLYGQVAYPKHHKQAEVPDIYSLAARTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVADKQLWAKCKQNGLKNIHLYSWPAHCKTYLSRIASCKPRHPRWLKDDSEDENSDSKSDLLRDDSLRDIQDISLNLKFPLDVEKNGGRESVINSVKPDQKSKIENAVLTWSKGGLKGTQKIGVTEKIDQTSSTGKFPTLRRRKHMFVIAVDSDSIADLFRSVKETFEAVAKEKTEGLIGFILATSFTMLEVQSFLVSEGIRPIAFDAYICNSGSDLYYSSPQSEENPFVVDFYYHSHIEYRWGEEGLRKTLARWAASITDKKGEDIENMGAEDENNSTGYCYAFNVGKPEVVPPVKEVRKLMRIQGLRCHVIYCQNGKRINVIPVLASRAQALRYLYVRWGMDLSKTTVFLGESGDTDYEQLIGGVHKSVILKGVGCGSRKQLHANRSYPLADVVALDSPNIVQTSEECKATSIRTALRTLGVLKC >KZM95759 pep chromosome:ASM162521v1:5:35327907:35329204:-1 gene:DCAR_019001 transcript:KZM95759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLYSSSLSVDEMMKNQRAKGPATILAIGTAAPPNCYSQAEYPDFYFRVTKSDHKTELKEKFKRICSKTMIKTRYLHITEKTLEENPSMCDYSAPSFDARQEILRMEVPKLGKEAAEKAIKEWGHSKSEITHLIFCTTSGYDMPSADYQLTKLLGLNHSVKRHMIYLQGCFAGGTVLRLAKDLAENNKGARVLVVCAEITTITFRGPHLESLLPQALFGDGASSVIVGSDPDPLTERPLFQIVSSAQHILPDSEDTIRGKLGESGLMFFLKKNITTLIASDIEKLLKEAFEPIGISDWNSLFWITHPGGPAILNQIELVLGLKEEKMWASRKVLSQYGNMASACVLFVLDEMRKKSMKDGMATTGDGLDWGVAFGFGPGLTVETVVLRSIPVTSFT >KZM93793 pep chromosome:ASM162521v1:5:10789587:10790138:1 gene:DCAR_017038 transcript:KZM93793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPISILISALILTHLLTGESQDFTKRIPKNSLGLRKEKLSHLHFYFHDIVSGRHPTAVRVASAAMTNTSSTLFGAVVMMDDPLTVGPEPDSKQVGRAQGIYASASLNELGFLMVLNYAFTEGKYNGSTLSILGRNPPLSAVREMPVVGGSGLFRFARGYAQARTHFLYPLTFCLHVGKNGTG >KZM94547 pep chromosome:ASM162521v1:5:23508566:23510770:-1 gene:DCAR_017790 transcript:KZM94547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLEGKVQLFVLWLKLPSWSPEQNLNQVIQGFGSAGPILKAVNKKSKEENIWVQIFRTDREFSTKLDLELILSQPEAKYLFLPFLSTQKIRCANGKFDGCGSGMEEVEKNKKKLNPIFVFKYPGLPHQYRNKTLPRKNRNVQVKGLTYYVIGYTPEPERWKFP >KZM96272 pep chromosome:ASM162521v1:5:39674077:39678751:-1 gene:DCAR_019514 transcript:KZM96272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTPEAPASGSCYKKKHEASNMLENFVDCFDDFVQASVDQHKARFINTIRKNCNNFPPYLFFELLVNISMKKENSRDNILLSYSARRTVEGRSALPVVSSDDIDFEDVFGGPPRRFSYHETTRYSSDGTMDGIETARSRSLSSGTPVFGERSGVNRRTQQSNKFFDDIFQGVKSRSGSGSAIMGLSEPFGTSLPAQFSLPSATLNKDVDNTTLASTGNFRKKEDTSNGISSSKYSLSRFSSQAEPGHDLTQSNRPRPTSDSVSPRNKESSYVTKSDDVGLKRSAKRDSDITEAPNGIEHSHFSIYNWAGGEAPLVRPSAVGIGLEVDENPSYLPEINISEKIKKEVPVVTDKTPKPVPKTLAQDDEEITTKTGGKVYEAKATKKSGSNVGTSNNVKKYDEKKIDLNSTEVNKGSTQRSSKIPEGNVKRSGVRGKVKNFVKKFNQETSSKPKSNLGSGSQSFRSERKANYIEVDSSNLGTSNIDAVMQLSNLNAMLDALFELDENVEQPEKHHSPMKSTVFTTIKTSLLQNDTSPSSESIPDDSEVRSDKLDDHFQAKNMVQDLSDNQVEFQPISKDQEANKTLDAEILKWSTGKEGNIRSLISTLQYILWAESGWKPVSLVDIIELNAVKKSYQKVLLCLHPDKLQQKGADPHQRYIAEKVFDILQEAWDHFNSLDPL >KZM94464 pep chromosome:ASM162521v1:5:22756163:22756454:1 gene:DCAR_017707 transcript:KZM94464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLVTIPTTGTGINLALCLQSSMEKRKPGMIDYVFLELDSLPKRLRPSLVAVQVLGSFRSDVPLIF >KZM94662 pep chromosome:ASM162521v1:5:24682803:24683273:-1 gene:DCAR_017904 transcript:KZM94662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAVPRSVEKEEDPQGCEGKQIETLNAFHIISLSQGFDLSALFENEKNEGKEEMRFATTKTPSSLISKLEELADSAKLSVKKSDSSVRLQARENGRKGKLGIAAEMFELAPSLMVVEVKKCGGDTMEYNQFCRKELRPALKDIIWTSKEHDSRSD >KZM94137 pep chromosome:ASM162521v1:5:16909440:16911260:1 gene:DCAR_017382 transcript:KZM94137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSKPKKTTKQNHKISNQTKAPKQNQTNTSLPKPEKPASWAVVRSLFTCKHLQAQQQQQPQIQENEKQKQPPPPPPPPPPQQQQQQQKQLKQEQLNEDNKKNKKMNCSGSSLCSNTKVMHRPETSSSTSPENMKKRAAAAMNIDGSSSSRSMKTPLKEFNGSSSSSLSSPLRGIPFRKLSGCYECRMVVDPVLGFTRDPSLRATICSCPQCGEIFMKPENLELHQTVRHAVSELGPEDTSKNIVEIIFQSSWLRKQQSPVCKIDRILKIQNTPKTISKFEEYRDSIKTKATKLPKKHPRCIADGNELLRFHCTTFMCSLGLNGSSNLCNSIPHCGVCSIIKNGFKVAGNGILTTATSGKAHDDSGVGPENEKRAMLVCRVIAGKVKKGEEGGSEEYDSVAGAAGVYANFDELYVFNAKAILPCFVVIYGGF >KZM95891 pep chromosome:ASM162521v1:5:36541504:36543055:-1 gene:DCAR_019133 transcript:KZM95891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRFEEIVKEVSSYLKKVGYNPDKIAFIPISGFEGDNMIDRSTNLDWYKGPTLLEALDQISEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEIQTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFMSYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAIKKK >KZM96428 pep chromosome:ASM162521v1:5:40894325:40899624:1 gene:DCAR_019670 transcript:KZM96428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRILQTTLISTFTQSPYQKHKTFAYPSSQKLIKRVTTRCLATTTDEETKKRASGGFENITWGCEMDSPENASGLQKWLYSSGLPAQKMGIEKVEVGERGLVALTNIRRGEKLLFVPPSLFITADSEWSCPEVGDILKRENVPDWPLLATYLISEASLKDSSRWINYISALPRQPYSLLYWTPSELDRYLEASQIRERAIERINGVTGTYNDLRRRIFSKYPNLFPEAVYNMETFKWSFGILFSRLVRLPSMDGRVALVPWADMLNHSCEVETFLDYDRSSQGVVFTTDRPYQPGEQVFISYGKKSNGDLLLSYGFVPREGTNPTDSVELSFSLKKSDKCYKEKLEALKKHGLSTPQCFPLQITGWPLELMAYAYLAVSPPSLNKQFEEMAAAASNKTKKKDLRYPELEEQALQYILDSCESSISKYSKFLQASGSMDLDVTSPKQLSRKLFLKQLAVDLSTSERRILYRAQYILRRRLRDIRSGELKALKLFDGFRNFFKSD >KZM94797 pep chromosome:ASM162521v1:5:26029125:26031945:1 gene:DCAR_018039 transcript:KZM94797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGLILLNHLFLIISIFPLQSDATSITLFLRRLAANSRPLPHPRSPLQISPSPSPSPAAPAPLNLPYKEPAATKTSAEPVVTKPSPKPAVTKPHGQPAVARPSTEPVATKPDNKPVATKPDTEPVVAKTCDKLSKKCHINMVTACLGVEGSLLLVLNEDDLSLKVHVTVSPAITYKDIEIPRHQAKKDGADLFNEIETSSDSVVCNSLYQINISDSLRGSSSIVLHAGNENCTIRLGAVVPHDTINPQFPTPGTYITPINGAYIIFASALIIGGTWICFKSWKGRHTRVDGIPYQEVEMGQSDLVSSVNVETDDAWDQSWDDDWDEEKGVKSTYGNFGKSSARNGNITRSVSGGWQNDWDD >KZM93020 pep chromosome:ASM162521v1:5:1778439:1778693:-1 gene:DCAR_016265 transcript:KZM93020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSLLESKRSWQNICICSDSREVVHRLKEIKQQKSWQELDLSGMSRDLLGAMNFKYMGREYNSEANGLAKAGLFKTYIIKGWI >KZM94457 pep chromosome:ASM162521v1:5:22654745:22656504:-1 gene:DCAR_017700 transcript:KZM94457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVERGAGRNKRKWSEEEDEKLVESLIELVNNGAYKADNGFKPGYLGFLENSLSVKLPTSGLKGKPHIESRIKTLKKDFNMVYDLRYGSTSGFGWNSENQLVTASRDVWAEYAKSHVGVLKWRSTPFPFFDDLAIIFGKDRATGHNAESAMETEENINLEEAAQGKNDDSSMQASDESTSRRSSKRKKFDAEQMAEVMYNASKMIAAEFANSTKMMAAEFASSTKLLIAAETDRLEKKEKLMDELSKISDIDVVQRFKAAKKIADSENLMVLFFGASDAEKKQLVDAILAREI >KZM95691 pep chromosome:ASM162521v1:5:34606553:34607394:1 gene:DCAR_018933 transcript:KZM95691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQGKKVQKRLVLVPFPFQGHMTPMLQLGAALEQKGLSVTIAHTCHNAPDPSQYPRFEFRPLADNLTSLDTPKNIGVLNFIKKINTNCEAQLQEFLVQKIEQEQEGAYGQVVGIIYDTLMFCAEAVADKLKIPSMVIRTSFSTYVLATIAMPRLQAEGYFPLQGASARALPSAIQRSTIFRRKYRGNISTICNHI >KZM96356 pep chromosome:ASM162521v1:5:40389549:40390434:-1 gene:DCAR_019598 transcript:KZM96356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSFQRYSEKWEEELRQLVKNLKNSRKQTATAEENYTRLLRVVEKVIAHLTEYYRVKSIQTHKNVLSVMPLPSSTSIERSLYWMNGWRPTDAGRIIYAEAIRLENKNDILHRLYTRANLGNLSPLQFRSIAFTHCEADRTQDRISADLFTWQAYSLLQISIKDVSKAVINMEEGVDFDNKMLERLVDLQQSADELRVKTIEGMVEALTPPQAVDFLLIVTQLHLGLHVFGLSHDLHLTN >KZM93330 pep chromosome:ASM162521v1:5:4825660:4829695:1 gene:DCAR_016575 transcript:KZM93330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSQDRSEIAFFDVETTIPARTGQKHAILEFGSILVCPRTLVELDNYSTLVKPSDVSLISLSSVRCNGISGKDVASAPTFAQIADRVFDILDGRIWAGHNINRFDCPRIREAFQEINRPAPEPKGTIDSLTLLTQKFGRRAGDMKMASLATYFGLGDQSHRSLDDVRMNLEVLKYCATVLFLESSLPDVLTANSWVSPNAITRSRGNRKASPEVTGSNNGTPSSSSLVKDHQVTPPQNNSFVDNHPILSLMTPGLGDCELNLAEPGSEPAPDPFNMSPLSNLVEGGCIRPDDTIMETSSESLNLSTANSSNTFRDCIDFLKPDEISVPSITVVLAPGFNGLHKLQILHKNSVFHVCCNHMKVRFGISTKFVDYAGRPRLSFVLDASPDLCQILDACDNLAQKRSLDSGSSSDWRPVVARKAGFVNSPTIRLHIPTVWDGNNARLSTEIYQKESSSTQRVVFSRYDIAELDALLAPGTFMDVYFSLDPYDYQQNAGIRLVAKKLVVHS >KZM96424 pep chromosome:ASM162521v1:5:40878079:40878249:-1 gene:DCAR_019666 transcript:KZM96424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSSKTRAYKRGVLLRKKHAPSVSIYLFINLMGRNREISGSHDGTVRPAHKWLQ >KZM93501 pep chromosome:ASM162521v1:5:7308965:7310300:1 gene:DCAR_016746 transcript:KZM93501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPAESHPEEPTSKKLKTSPSYKTPKYKRRKIALFFGYCGAGYQGMQKNIGAKTIEADLEEALYLSAAIPEQDRGHPKRLDWSRSARTDKGVSAVCQVVSGSFYIDPPGLIERLHRHLSSQFRVFGYKRVAPSFSAQRFCDRRRDTVVVDGIEFVKCQVVGQSFMLHQIRKLIGFAVLVMRNIVPESLIKIALQKDVNITVPTAPEVGLFLDECFFTSYNKKWKEVHGELSMKDYEKEAEEFKMKHIYSHIALTERKDRVVGLWLQSLNHENYSELSVASSSKIANLKDSEVRRTTNDKSSELEIAADLKSPEAKVTTDALALKLKTAEVEVVVD >KZM96367 pep chromosome:ASM162521v1:5:40514084:40516170:1 gene:DCAR_019609 transcript:KZM96367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHTVLFLLLLLLHQATSLHQEANEKKVYEQRKLYRSCNLFEGSWIYDDSYPLYDGSICPFINAGLNCQKNGRPDKLYLKYRWNPVGCSLARFDGEAFLERFRGKKIMFVGDSLSSNQWQSLTCMVQNAVPSSNYTLVQRKSFYSLTFPEFGVSIVYMKDGFLVDLEFRDIGKVLRLDSISINRSKQWKDTDILIFNSYHWWTHTGRLQTWDYFQVGDKVIKEMDHMEAYKIALSTWANWVDSSINPTKTQVFFQGITAVHYKGRDWNEPMANSCDGQTQPIPGSDYPGNRYPGEPIVKSTLARMAKPVILLDIALLTQLRKDGHPSRYADGGIDCSHWCVAGVPDAWNEILYTILVDM >KZM94532 pep chromosome:ASM162521v1:5:23294665:23298896:-1 gene:DCAR_017775 transcript:KZM94532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVYKVFLRRAVVLLSFLLLVQFPAVFSSRLIQPASCGTYRVRSLSNSGQELFYINDKLVDRQLFCKTLKLYVSNHCFIGTKIVNRYCVLNLALDELPLEAARKLLQGSITVETSKHHKSLPVEENEHKPVLNPKSLAMAVPGMFVLCCAFLCPCFRARRKESEHTVLSKEPVSIDSMSSLEMNSVSEKVPASPMRVPPSPSRFSMSPKLNRVGSVHLSMSQAATATQNFSPSLRLGEGGFGTVYKGKLRDGQVVAIKRAKKVITCKTNSSTLMENPYLMCTNMVEYNFQNPRKRLAFMKDITPYYNAGYEHFEAMKLEFKTEVELLAKIDHRNLVKLLGYVDKGNDCLIITEFVPNGTLRDHLDGLRGNPLDFNQRLEISIDVAHGLTYLHQYAEKKIIHRDVKSTNILLTESMRAKVADFGFARLGDMESDKTHVSTKVKGTVGYLDPEYMKTFQLTPKSDVYSFGVLMLEILTGRRPVEPKRAPEERVTIRWAFTKYENGNMKEILDPSMGATVDRDTLKKMFDLAFRCVAPTRADRPEMKTVGEQLWGIRMDYLRSKRIGNY >KZM93110 pep chromosome:ASM162521v1:5:2497366:2502177:-1 gene:DCAR_016355 transcript:KZM93110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYWVVSLPLQNTPASSLWSRLQDSISKNSFDTPLYRFNIPNLRVGTLDSLLSLSDDLLKSNNFIEAASHKIRRQIEDLERVSGINSSSLTVDGVPVDSYLTRFVWDEARYPTMSPLKEIVDGIHVQVAKIEDDLKVRVAEYNNVRSQLNAINRKQTGSLAVRDLSNIVKPQDLITTEHLVTLLAVVSKYSQKDWLSSYETLTTYVVPRSSKLLHEDNEYALYTVTLFSRDADNFRTKARERGFQVRDFEYNSETQESRKQELEKLLQDQDTLRSSLLQWCYASYGEVFTSWMHFCAVRVSVESILRYGLPPKFLSAVLSPSVKSEKKVRSILEGLSDSSNSTFWKVDDEAGMGGFGGDADAYPYVSFTINLI >KZM93505 pep chromosome:ASM162521v1:5:7332519:7337132:-1 gene:DCAR_016750 transcript:KZM93505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVDSAFLLSLCVALVGIVGAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLDQPFLLSWSGIQQRRNSFVDGVYGTTCPIPPGRNYTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFDEPAGDYTVLIGDWYKANHTDLRKHLDAGKKLPFPDGVLINGRGPNGLSFNVEQGKTYRLRICNVGLEHSLNFRIQGHKMKLVEVEGTHTLQTSYSFLDVHVGQCYSVLVTADQPSQDYYIVASTRFTTQILTGIAILKYSNSAGPVSGPPPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGMIPTSRTIRLSSSAGQVGGKQRYGVNSVSFVPADTPLKLADYFNIQGVFRVNSISPNPTGGGLYLDTSVLGADFRAFVEIVFENTEDIIQSWHIDGYSFFVVGMDGGQWNSGSRNNYNLRDAIARTTVQVYPMSWTAIYVALDNVGMWNVRSEFWARQYLGQQFYMRVYAGTNSIRDEYPIPKNARLCGRAAGRHTRPL >KZM96250 pep chromosome:ASM162521v1:5:39509779:39514695:1 gene:DCAR_019492 transcript:KZM96250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINAIKLLNIIAVLVLLVSVLAQAHVSTKCQQSCGSAKHVPFPFGFSSGCEIQLSCVNDTVLIGDFPVQKITSDKILVNIPAKCGRPMKTLQQFFNLNYAPTRDNGILLQNCTTPVTGCLIPSTLVQTNLEFLDCGSSKNKDKISCYSVQNNETLFIDYANVTNTKCDYLISAVSTQTFSNSSAVSLEVQVVQLGWWLQGPCSCSSDATCTNVTSPINGQPGHRCSCRDGFHGDGFSAGIGCRKGRFFRGHCGGSDKIVMLIGGTAAGVLLMGCIGLVLRRRKEELADFMILXVFPYKEIEKATHNFSDKRRLGTGAYGTVYCGKLRSDDQWVAIKRIKRGADRESIENVMNEIKLLSSVNHPNLVRLLGCSIERNEQILVYEFMPNGTLCQHLHRERGNGLAWSVRLTIAAETAQAIAHLHSSINPPIYHRDIKSSNILLDYNYKTKLADFGLSRIGMTESSHISTAPQGTPGYLDPQYHQNYHLSDKSDVYSFGVVLLEIITALKVIDFTRQKNEVNLASFAIDRIGKGLLNEIVDPFIVSTLDEATFSSMHKVAELAFRCIAFHSETRPSMTEVAAELEQIRLNQRVTTEEINMSSSGGQSPLRVVTKISVLNNKVLSASLNSVDSEKNFSPNSVQDSWTTDRSSPSSNGLLSHTTQ >KZM95526 pep chromosome:ASM162521v1:5:33010330:33020167:1 gene:DCAR_018768 transcript:KZM95526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPSAFDALMSKARAAAKKKPQSEASPAKKRKTQELVSVKEVENASLDDAHQVFDKKSKQENVVKCGDNVGTEVLANGCKEGKVNLSLDEKLAVKKAEAVSLDESIAQLKNKPANFDPKKAAFWGEGKKVPFSFVTRVFDAVDKESGRIVITEIVCNMLRTVIQTSPDDLLPVVYLLANRIAPAHEGLELGIGDASIIKALAEACGCNEAQIRKQYKDLGDLGLVAKASRSSQSLMRKPEALTVTKVFNTFQLIAKESGKDSQEKKKNHIKALLVAATDFEPLYMIRLLQTKLRIGLAEQTLLAALGHAAYYSEKRFKPPANIDSPSEEAAKIVKQVYSVLPVYDKIIPAILTGGVWDLPKACCFTPGIPIGPMLAKPTKGVSEILDKFQDMEFTCEYKYDGERAQIHYLENGSVEIYSRNAERNTGKFPDVVSALSRLKKSSVSSFVLDCELVAYDREKKKILPFQVLSTRARKNVMISDIKVEVCIFAFDILYLNGQTLLQEQLNVRKEHLYKSFEEEPCYFQFATAMTSTDLEEIQKFLEDSVDASCEGLIIKTLSRDATYEPSKRSNNWLKLKKDYMESIGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDSSSEAYQTICKIGTGFTEQVLEERSTSLRSKVIPKAKSYYRYADKISPDVWFETTEVWEVKAADLTISPVYCAAIGIVDSEKGISLRFPRLVRVREDKAPEQASSSEQVAEMYKAQKHTQKHYEDDDKDE >KZM93874 pep chromosome:ASM162521v1:5:11747662:11748622:-1 gene:DCAR_017119 transcript:KZM93874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIDVSSTTSHREPPEPEDLLEECWFFGNLFVDSKSKMSNSSADLGPSSNCNTQGEMSVTRSEGSQTKGDDFDLRKLARAPSLPSYMEPKVDMFDQGKDGSRGSNSRPQNNLTREQSLPACFGRQEEDEDEDEESEFMLGRLIRQASVKSSHVLPPRHDSKSLSKNSPRKTTDEVESNNTGIRGRYVSDGTKIRRSRSDIPRKELRSFKTLASVEEECRDETKEKMTNKYSPRILNWGDNEKRSSQDMKSEIKFWARAVASNVASPSPLIVNRN >KZM95346 pep chromosome:ASM162521v1:5:31327158:31338537:-1 gene:DCAR_018588 transcript:KZM95346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTIASRCIRARKLIFHPQKSIMNMSPSSPFKHPQLKNHTFFTEFSTIQIPWQRPRTNPSFFKPHNAFLATPSSSKRGFMLNAVALVSTSSGSENTSGSKNTVLTKGKGEDSEEQISNSRILATLAKSLWMKDNVDFRMRVIAALALLIGAKVLNVQVPFLFKLAVDWLTTASGNASGLAAFATANPTSVAVFATPAAVLIGYGIARTGASAFNELRTAVFSKVTLRTIRSVSRKVFTHLHELDLSYHLSRETGALNRIVDRGSRAINFILSSMVLNVLPTILEISMVSCIVAYKFGAPFAWITSLSVTAYVIFTLTVTQTVKYFNNEHYEVEKYDEFLKRYEDAALETQRSLAFLNFGQNVIFSVALSAAMVLCSTKILSGEMTVGDLVMVNGLLFQLSLPLNFLGGVYRETLQGLVDMKSMFQLLEERPAIKDVVDAKPLKLDGGCIEFDNVHFSYLPERKILDGISFDVPAGKSVAIVGTSGSGKSTILRMLFRFFNTHSGTIRIDGQDVREVTLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATHEEVYDAARNAAIHETIMNFPEKYSTVVGERGLKLSGGEKQRLALARAFLKAPAILLCDEATSALDSSTEAEILNALKFLSNNRTSIFIAHRLTTAMQCDKIIVLENGKVVEQGHHDILLSKAGRYAQLWAQQSNTVDGAADIAVKLTI >KZM93878 pep chromosome:ASM162521v1:5:11791995:11806591:1 gene:DCAR_017123 transcript:KZM93878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDNSNIDTAELIAAASDFANYPASHTDTSAKDFLTRFPLLVILRALQTREEVPGLENTLVDCQERLFKTKYGASLIPHSMPFVVVGLGADSQRVRGLACKTVSSLLDNTHDTATAIQLVLEYKVYPLLLTSLIQGVVGYECGVWTQVSVHLSCSWLYGSEIGHQRHGCGIRDEQVSAASMDAIKSLAITPGGVDIVFPAETNEATDLKCLLSRSSSLGRVRILALIVKLFSISSSVASVVYNSNLLSLLVEEALTGNDMLKTLSVIELLYEAAEVPHGTEFLSKTTILELLSSIISNPMAESILRSRSMMICGRLLSKENIYMFVDESSLKTVTSAIDGRFNVGESLEPDECECALEALGNIGSSIQGAKMLLSSSPPAARHVVDAAFAFHGRGGKQLAGLHALGNIAGEPRPEDDVILDGAAEESLQRLIYATASKTSKLTPSGLLLSVLQQDSEIRLAGYRLIKGLVIRPWCLMEICSRQEMINIITDSYTETTKIGMEARYDCCLAIYKAFTSSSKLIRDPSLAAVAAKLQEVVKNGPYLARKHSEAQPIVVTEDRF >KZM93519 pep chromosome:ASM162521v1:5:7440766:7441623:-1 gene:DCAR_016764 transcript:KZM93519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIATTKVSAHSRSISLPSRSHLLTATVEEHLCRLRTPEGTSSSTVSKCDKLSALQDLYECVEDLIQSQAAQQDRLSCGEDILCGSIRLLDLCSTSKDALSHMRDSVQDLESSLRRRQTDVSSRIASYLVCKKKANRMLSKCFAGSKKSKINKSIETPAIVSLLREVEEVSISVFESIFSSICPAKEASTKSTWSKVFKSTQSKRVHCEEDTEEIINQVHNMDMALEAISKKSSKKSDITQTQDVQKCLTALDMNMQECEEQLDCLVRSLIKTRVLILNVLNH >KZM96361 pep chromosome:ASM162521v1:5:40417904:40419226:-1 gene:DCAR_019603 transcript:KZM96361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVNIDVVTPSRSRLSRTFAKVLHIRALTGISPAGGQNTKEQMTSRCKSFDEDEERRRKEVTEAFLAKLFSSISSIKAAYAEMQFAQSPYDSETIQSADEMVVSELKILSEMKQCYFTKQVDESSPGTTQLLAEIKELKSVNKTLKIMEKTLDSEHELKQSELTLAREKLKEVKRENKLMEKRLNSSGPLSPPNNLQISIISTSHFITILRQTVKAIRSFVKLMINEMESAGWDLDAAANSIEPGVVYSSDVHKSFAFESFVCKELFAGFNYAYFSLSKESVSEKKRQRQFFDRFVVMKSQKPKEYLAWKPNSTFAKFCRTKYMRLVHPKMEASLFGNLSQRKLLTSGGFPETEFFSLFSEMAKKVWLLHCLAFSFEPEASIFRVDKNCRFSEVCMECVNEEAFLSAAGTANADPRVAFTVVPGFKIGKTVIQCQVYLA >KZM94006 pep chromosome:ASM162521v1:5:13607093:13610967:1 gene:DCAR_017251 transcript:KZM94006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARYKCVLCAKYIPYPDKRFRLGTICNDSTSILPVLLPDDEIQCIIGKDVFDVENEEEERNVEKSSNIYHATEISEPVEKLGSHSPTASETNPTMDKSITSVTENAEEERINTPTVNGKAHDVTKSPLTRARIHAFVPRSVADELEANLQIGDIYFFENFIVKEYKPSDKFRPHRKPIQIPFNSEIVITPMQDNEVNIESCWFDFYDLVDLEPLTKQTTYLADVIGIMEEHDPVGKIKNRNRVIQQQFKFEITDGSTSTNVTFWDGFAVMFEEKLKEEKEYPLILIIGCGRIQMWGATTTYLNCGHHSVTEIRKTMSEKDFSQSKLSTPRSRCTNVLKLTTLNMLGADYTDKTSLIENEETCMTCQRIVPYGEEIFEIYMEAVDDTGSIIIILQDREVRTLVGKRALQLIEEGTKEDILTKFFQPLENKYYTVKLLVSENNVVQKDECYLARDVMHGLYSQGKHKQQESYPYPIDDMNAELQPSGSSCQMGSVTMYNLASDS >KZM95967 pep chromosome:ASM162521v1:5:37102619:37104293:-1 gene:DCAR_019209 transcript:KZM95967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIEQCPENKPKTMSFPGPKVFILISASIWIIYFTLSLFLVPNSKFLHFSVSIEDMIPPTSLEHIVFGIASTDKSWPGRKDYVRLWWKPRLMRGCVFLETRPPANQSLDQDYENSLPPVCISRDTSQFRYTFRGGPRSVIRVARIVSETVALNHTNVRWFVFGDDDTMFFADNLVKVLSKYDHDLWYYIGSNSESYTQNTVFSYDMAFWGAGFAISYPLAKVLANVFDSCIQRYPHLYGSDGRIFACIAELGISLTKEIGFHQMDIRGDIFGILASHSLTPLISLHHLDVTNPIFPNMSNLKALEHLNEAVKHDPHRIIQQTVCYDRWFSWTVSVSWGYAVQVFGKRVHMSDTLRAQQTFVPFQTGNVLNTLFDINTREHDPDACRRPLVFYMEKVSSAEGEIKSIYKQMTADNCTRDMASPRRLEEIRVSSRKLDLDNKQLLAPRRQCCDILPPKQRNVMELAIRECKGEELIYMHP >KZM94321 pep chromosome:ASM162521v1:5:20961925:20966070:1 gene:DCAR_017564 transcript:KZM94321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAKILTAAVSCATALTLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRHQNPVGFTVPIQLPVINQAFSTNRAVKISPNSPVARLRPLSGKYMPGEVVAVRVPLLHLSNFQIYDWPELSTKRYALMVLMLPSGSARQWHVHEMELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETDLTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLELDIGTFNLHALFREVFNLIKPIATVKKLFISLSLASDLPEFAIGDEKRLMQTILNVVGNAVKFSKEGSILISAFVAKPDSVRDPRAQDNFPMPADSNHFYLQVQVKDSGSGIDPQDIPKIFTKFAEGKALATNNSGGTGLGLAICKRFVHLMGGHIYLESEGIGKGCTAVFVVKLGYPQRLSDSKLPFAPGAMLPVSQGRTHFPGLKVLVMAENGVSRSVTKGLLVHLGCDITIVGSGDECLGALTPEHRVILLDMSVAGTDSYELAVLIREKYSTRHDRPFVVALTGTADRVMKENCMRVGMDGLVLKPFSVDKMRSVLSELLEHGCVFDVQ >KZM95039 pep chromosome:ASM162521v1:5:28164282:28168213:1 gene:DCAR_018281 transcript:KZM95039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHFVLLSLALISTIRLVNSHEEKGEWSCNSPSHIRVNAEFRPGIVTLDGHADDWKTIDGYEFSLLIAVDPDAESEYRGGKMTVKSLHDGHDVFFLLQVDGEYVYSKGKNTECPSVALMFQIGENASYHRMGGCGEGPDTCTRKNCHGHEVDIMHFSLGTAIPGRLYGGNLVDNSLGYGGGDRSGHLVDVYAWNPHCRYLDGAGPSGNDSSAQNNWSGAWWHSSLTVHSGFVEEDSPYADANKKGTYNFEFSRPLRTMDRLQQDAQFAIGKSTNFSVAFWYPENKKPWHGSGHYSINCDWIPLNIVSGKTGAPKEAESSSWNTVTVLSFLFSLAAFSTSIFVGYRAATSPKTIPFTPMETL >KZM95672 pep chromosome:ASM162521v1:5:34415440:34419607:1 gene:DCAR_018914 transcript:KZM95672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKSLEPDYPIIDSNFQQFCASHHIFSVEDFLLHDIYALELSTKQHFDSKRLEEQGISVVRTIMEGLHQPWLNGMELLQKAQGNKHGISTGYERIDVLLEGGLFQGNLVELVGPSSSGKTQLCLKVAANVAVNNLGSVTFFDTGNSFSPKRIDKLLDQSSEPAKIKTEEVRRRVMDKIVCQSVFDIYVLFDLLHQLKSTLKPVTGSSVQMLIVDSISSLVTPILGSGAHGHALMVSLGFLLKELAYEHNLSVLVTNHMVGAEGGHLKPALGESWKNVPDMRLHVSRDCKSNICSMSILRHPYITAGKAVMFSLK >KZM95892 pep chromosome:ASM162521v1:5:36552162:36552893:1 gene:DCAR_019134 transcript:KZM95892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKSSACLMHQDSLSDEEGETLSLRDLPIYGESDYAEDEHGSSSDEHFLDHFEFFSEEWSSPVSFPADNIVFCGKLIPNKDYKERRGGKHAVRLGSKTSRSCDQKGECGNANKGSGLGYAQNFNSVAASNCMNKLGKCEDKNDVLAYKITIIKSPTRSRWFLFFFGSGKGPRDMEIKDMRRRQIKKSLSQQSLGGGSAKISSRKSRGMGWWKFIRALGCDSQHHADSVIKASYNCAPLIRE >KZM95872 pep chromosome:ASM162521v1:5:36395605:36397193:1 gene:DCAR_019114 transcript:KZM95872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSGVIVEKFMKFLTADDTKKDEMKVSTEILRKYGSRIQPSFFLKFRNGYEKTVVFNEETGTFYGLFSIFYDFNLEGGEMLLFEFNGSRDFNDLLIGLVLREIEYPNIVHYMQKKRPRVVSVRNGGLKFVHIVRDEDPLFDECEPPYSFKKALPMLPGYQSFIFSNGKKIVGGYNHGREKFHSLRKFCAIVGLENFREFNVVLFSYEENGVSTVSVFDDLFVEFIFPGTPVSMGLNSENINVHHRIEINVQACHMYKYSYGVILTELFECTLASFHHFSVGVLKNEVA >KZM95931 pep chromosome:ASM162521v1:5:36878024:36879196:1 gene:DCAR_019173 transcript:KZM95931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPPSSAMPPPSTAVVWRSVGLTLRVVTVISLLVSLIIISTNTATLSSNFSRIEIRFQDVKAYRYVLATIVIGLVYSLLQTAFTIYHVTTGNRIAGDALYIFDFYGDKLISYLLATGTGAGFGVTVDLKDANSGTNTGIDKFFNKANAASSILLLAFIFTAISSVLSSLALPKKA >KZM93105 pep chromosome:ASM162521v1:5:2437425:2445322:1 gene:DCAR_016350 transcript:KZM93105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDNAMEIDDQKIVSDQTNPNPKRYCTARLRRLYKSLKFTHGRGKYTKRAIQPSMVTEVRFLHVVLYTSERAWSHAMEKRQLPDGPNARQRRYLIGRLRKAVKWATFFAELCAMKGDSRTSLEAEAYASYMKGNMLFEQDQNWDIALKSFKSARAVYEELGKYGDLENQVLCRERVEELEPSIRYCLHKIGESNLQASELLQIGEMEGPALDLFKAKLEAVMAEARSQQAASMTEFNWLGHSFPISNAKTRVSILKAQELEEDLHGPKRESVPAEKRLAIFDKIFTAYHEARSSIRNDLANAGNSENMKDDLSGLDKAIGALLGQRTIERNQLLVSIAKSKLNKSRDDKNDRATKPEELVRLYDLLLQNTADLSDLVSSGRDRKPEEVAFAEECEVKSSIFRAERCFYLAKSYSLAGKRTEAYSLYRRACSLADTALKRLQSLTTAEQDVLKDLKALYNESRSNSCIEHALGIMELEKAPENLSEKISTMSLTAKGTKPGNLLLEKLDAYESAVGDPNTRGAPRIETFPPAFQAFPRNPIVRDLAYDSIDFPTLEHRMKKDKKGLLSRFWG >KZM95907 pep chromosome:ASM162521v1:5:36660703:36661377:-1 gene:DCAR_019149 transcript:KZM95907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLLSTPFPSSPHTLSSQTHFKNPFYSLPVKPQTPFKPSSIRSAISRTKKEETVETVKQQLENCHLLAGIKYKGFTVQQFQQLRATLPEDTSLIVAKNTLVLKAIENTEWEALKPCMKGMNAWMFVHSEEIQGAIKPYRVFQKEKKLENDFAGAVFEGNFYKPEEFKALETMPTRAEVYGKVLGALKSPASAVVATLQAPARDLVMVLQAYVKKLEEESGGQ >KZM94041 pep chromosome:ASM162521v1:5:14196613:14197047:1 gene:DCAR_017286 transcript:KZM94041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEGKSYNDLMHELQQQFLLHREKTSQKLQKIEQLMKEAVQKLYLFRIMQTKVKKEKFVNPFGRMNSEKYRTSRVDVEIPKENLNHLKLSFPKFVEGSQAVEWLEDCETYFEIYKVVEHKKTTIAGMHLDGNAKSWLQVKIGK >KZM93002 pep chromosome:ASM162521v1:5:1608653:1612186:-1 gene:DCAR_016247 transcript:KZM93002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMRMRDHGWNVFGGLFMCIAFASAYYAEGGFETKSGVTMTYDYDRIDEVKKACGFVLNDASELKPDDNRIYSIKQELSFLNGDWWQESNGAPLMPFDSRDQPEVSLDEQSPLHLTSFWVTDVDRAHKSKKSVSVNGFLQMGITLENLFMDKPDERNPHFDIWPDINGPNLVQKASVPLHLQFGTMTMLPARHLDPSDPWEWVRVSGYTNQPPLLQDDQVLLVLRYPKKISLTNRAVRGSMKSQHLKSNPKFFDEVHISSWLGMSAEYEFGSEKLVSKACSPYPYKDNAVNSGIDIYKGVNFCSILDRFTRGESFTIVPNWRCNGTDAFCTKLGPFMSSKEIKDTDGSFKNVRLALQDLRCEKETLLGNVNSNRVSAVFRAIPPLENKFTATQRTGLDNLTLSAEGIWKSSSGQLCMVGCLGFVDTEGRSCDSRICLYVPLSFSIKQRSIIVGTISSIKANNGSYFPLSFEKLIRPAELWNQYAASHPSYSYSKIDSAGILLEKNEPFSFGTRIKKSLLKFPKLEGTESRLESLSLLSEDLTLQVSAFPDPVPRSLPQKTNIQLDILSLGSLFGRYWSSRNNTRAEDEAPYHAKAEYTEKQLLLNVSAQLGIDGKSYHNFSMIFLEGLYNPLVGKMYLIGCRDVRATWKILFDSMDLEAGLDCLVEVVVSYPPTTARWLVNPTAKISISSQRNEDDPLYFSPVKLQTTPIMYRRQREDIFSRQGVEGILRVLTLSVAIACVLSQLFYINDNVESVPYISLVMLGIQAIGYSLPLVTGVEALFQKKASESYESTSYDFETSQWVRAIDYTVKILVLVAFSLTLRLCQKVYRSRVRLLSRSPDEPHRVPSDNRVIIGTLIVHIFGYVSVLIIHFVNKNQKPIQNTQYTDSTRNHILSAWETELEEYAGLVQDLFLFPQVLANIMWQINCRPLRKFYFIGMTVVRLLPHIYDSLRSPEPNPYFPEEYEFVNPNLDFYSKVGDIAIPFLAVLLAFAVYVQQRWNYEKLSQALTFGQFKLLPSRSQVYERLPSISYESELVSTATRDPVQKKDHEDE >KZM95436 pep chromosome:ASM162521v1:5:32099772:32099966:-1 gene:DCAR_018678 transcript:KZM95436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSPNAISPDGAPEPPETMVITLDPSYVLDGKIMVFSIVGIFALAMIYLLVSYICRKARRR >KZM96041 pep chromosome:ASM162521v1:5:37645748:37648483:-1 gene:DCAR_019283 transcript:KZM96041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRKDYGDLVSHDLSMMENKEPKLPYTIEDLMLEEDEHGGCVCLKISFCHKPRFNSAFGNKVKGWCYFQISMAKSRRYMQLIESKSMTQERGKEPVQQHNVEDKRKIILKVKNTNKKEAALRGRRRGIQGNCEMARQDKLSELKLSLCRNVGHGSYRAVKRKIS >KZM94884 pep chromosome:ASM162521v1:5:26714599:26718591:-1 gene:DCAR_018126 transcript:KZM94884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVHIDPMLQVETTCGSLLYELQIIWDEVGESDSERDEMLLELERECLEVYRRKVDTANRCRAQLRQKIADAETQLAAICSAMGERPVHIRQVGVINLSLCQSDQVPGSLKAELKTIYPELEEMQKRKAERRNQFFEVVEQIQKIRNEIYESNKQADICNVIDDADLSLRKLEELHTELQALQNEKNDRLEQVVGYLSSLSLLCQVLGMDYEETISEVHPSLGDPKGTSISDDTIESLSVAKQRLQEVKIQRMQQLQDLATSMLELWNLMDTPVEEQEMFHNVTSNVAASEQEIVEPNILSVEFISYVEAEVFRLEGLKASKMKELVFKKRSELEEICRKTHMIPEFDSAMEAAIDAIETGVVEPASILAQIEIQIGKVKEEALGRKEILEKVEKWMAACEEECWLDEYNNDENRYNAGRGAHIILKRAEKARALVSKLPGMVESLAMKTTTWECEKGIEFTYDGIRLIYMLEEYNILRQEKEQERKRQRDQKKLQGQLITEQEAIFGSKPSPMKSQNLKKGARYSCGGVPSNRRLSLGGAMLQTPKAHMLHSIKGTPNTRQNKRNERPHQDNPLNCRRDDEVAALSAGRRGLDIDGLPVRKHSFNATSNANEVEMPSTRKPFSPISSSESSKAHADNVSEDLNKKHDGNFQKTVTSNITPFTTPTKLTSTAYEENRTPAKTMIPPVPCTPKTVSVPMQTMMTPAPQAFACGTTPIKEFYEEIEYSFEERRAGFLLTRLHPQSVLQV >KZM96169 pep chromosome:ASM162521v1:5:38827606:38830562:-1 gene:DCAR_019411 transcript:KZM96169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLVHGNAIVDGVVGDLFVGSCLVDMYLNFGKVGYACKVFDGIPERDAVLWNTMISGGGWRMGCGVVEYDDFWVGEEGVVGGWDAVLWNTMISGLVRKGWLEDGIRVFCDMVVRGSLFDSTTLAVVLSAAAELQELGAGMMVQGFSIKNGLDGHVYVLTGLISLYAKCGEMVPAKLLFEQIEQPDLVSYNAMISGFSSNCDIASAVSLFGGLLLSGEKTFAKVSGY >KZM96353 pep chromosome:ASM162521v1:5:40349770:40353308:1 gene:DCAR_019595 transcript:KZM96353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSGVDKLTDRLRKSLTSDDETEVNKPDFREIDLGSPITPLRAKVTTSSSSSSSSGSGSGRAASNPLPKKSEFAGNSHSGELCGSVESSPTRFTKPGHRRSGSSGSANPNPSPFVYSGGGSVSSPPANAMPAGNICPSGKILKTGMAGRVTRPDVLGTGTGHYGHGSIIRGGAAMKSAVGEGVGNANSRGLATGGVSRNVKSSVDAEELKRLGNESYKQGNFTEALSYYDKAISISPGNAAYRCNRAAALMGLKRLGRAVRECEEAIRLDPGYWRAHHRLGSLYLSLGQVELARRHICFPGLQQDPVELQKLQTVEKHLNKCTDSRRIGDWKSVLRESDAAIASGADASPQIFASKAEAFLKLRQLNDAELSLSNIPKFETYSVSCSQTSFFGMLSEAYPHFVRAQIEMSSGRFENAVTSAEKAGQLDSRSSDISVLLNNVRAVARARARGNDLFKSERYTEACAAYGEGLRLHPLSSVLYCNRAACWYKLGQWERSHDDCNQALDIQPNYTKALLRRAASNSKLERWADAVRDYEVLRKELPNDNDVAESLFHAQVALRKSRGEEVHNMKFGGEVELVSGLEQFKAAISSPGVSVVHFKKASDLQCKQISQSLDNLCTQYPSINFLKADVLESPAIANTENVRVVPTIKIYKNGSRVKEMICPSPEVLESSVRHYSF >KZM94810 pep chromosome:ASM162521v1:5:26151387:26155190:-1 gene:DCAR_018052 transcript:KZM94810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIHGRYFPSNFSAKLSNYKRKTPKQSPLPTFISTNPSDINPFHLRDLYSQCNRSPHRFPLRDSENQVEPVEVNKLSIALSNSTVVVSVFTRPELDLPGELEGLEGVEEGGDWFRKLMPLSPANGRLVGFGRAVSDFCLTASIYDVMVLPALQGRGIGRKILQKIIRSLTNRGIYDIAALCSEEESREPIFLRERLQGTAAIVLKEFLDKYSKNSEVSKPVEEVGSFQLRKRNSNKPQGFVDVSIRISEKEEELNSYPDLAGDGEGFRLHDRRNSNAMANPYGPAQSYPPKLPLAPSSQQPENESQINVPYKHPMSFPTNYSNSSAGGPSYQSSAGPSYQPQVTPRPPPSAVGPGYQPPKTPPPPPPPSNVGYISTFNPRTDNLPPSYINMPSSGAAPGHNSRPGLGLGLGAGALAAGAVIFGDDFMSGYDLPSASFNISTDLPF >KZM93596 pep chromosome:ASM162521v1:5:8213516:8219327:-1 gene:DCAR_016841 transcript:KZM93596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDCNVLGDEEGSKKIRMFLFPVNDLNDTKSGLTSSGGDSEVKYVGADNQRNVGPRGDATRHGLANPSATNMDEPGVQNVARGNSGVASVTAAPTINQYSQPILSKSSNALETQPQSHSHQGQLHHDGPKKILQPISKSDSSFHTPGPGGQRTLPLASSAPVTREGGLSKDQPAGALVGSPSMLKQEVKVNVRSDAPRNQETEYELIRSMGKYADRLPVKEASPIMPPLGTNSSRSSKKEGSSHLKSVPVTSSYVNNPMRDLKASDKELLTSNSAIASKHVISHNDLIDLSCSEPPLPPQSLSHSDRYNREQGESLNRSTKSGDLGSHTRSNIRQQDSVEEPGKRLNNVNPAAKTEQYVSRTKLSKHGISDNELPKFQNINSTETHSNYGKNGTDDQVLNPGDKTSFIKGNMNSVINESTRGNYDKDPASCLPNYTSLDRSASDITSNHSPGKSQSSERTGKAASLENSSGISLQKGVSHDQFSQKDVSLIDQDHIDLSSRLPKVEEKPKAYKLTPPGGIRSSHSLKPSFDNLDISSMQIIKDEDLEQGAELGSGTFGTVYYGKWRGSEVAIKRINKKCFTGRSSQQKEKMITDFLREAEILSRLRHPNVVTFYGVVQDGSTGTLATVTEYMSDGSLGHALLLKDRLFDHQKKLRIAMSAAFGMEYLHSKDIVHFDLKCDNLLVDLNDPARPKCKVTDFGLSKIKRKTFVSGGLNGTLPWMAPELRTGKKVSEKVDVFSFGIVLWEILTGEEPYKSIDNDTFYGGIFNNTLRPAIPSYCDPEWKKLMEQCWDSDPTVRPTFTEIANRLRVMHEACQAGTHVHKASK >KZM93928 pep chromosome:ASM162521v1:5:12304659:12306841:-1 gene:DCAR_017173 transcript:KZM93928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGYIAETSRHLEKQNELLWETYRSMSHELQKLQVEEEMLMRKFYEVMSAHGLTKKKDANSFLDELLEKKNDTMEDFSHQQSQEAVDQTSSDEEQ >KZM96527 pep chromosome:ASM162521v1:5:41649246:41649907:1 gene:DCAR_019769 transcript:KZM96527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLASKENISEDEGSEESGWTTYFDDFFAKQEQERNSGGRINENSSLVSDAASFVVDQKKFSISGQLIPSTSTLTKLSKTLDIKKRNKEILYDDSLEDTASSPVNSPKIMQVGGIPDLRLEGRSDEKSVGTVMEGEKNVCRGLRKPGLCLFSMPMAEKYFG >KZM93711 pep chromosome:ASM162521v1:5:9496489:9496994:-1 gene:DCAR_016956 transcript:KZM93711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIWAGNASGMTEDYCYGKDGKPKPRIPDALFHACMQLERFKARLTISWPPIVQGVPAVNLWRHKYNTHGVCFEDWTPSDYLNFVDRVSLNNITKLLDTNGIKISTTVKYSRSAIEKAIRSKIGDGFNMYLGCQQDETFFT >KZM95096 pep chromosome:ASM162521v1:5:28649327:28652788:-1 gene:DCAR_018338 transcript:KZM95096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKLSYLPLSLPLLCFLVLMMNSGELRVCSLDQKFSRDDFPSDFVFGSGTSAYQVEGAAFEDGKKPSIWDNFSHSGNWQGDNGDIACDGYHKYKEDVQLMMDTGLEAYRFSISWSRLIPNGRGLVNPKGLQYYNNVIDELIGHGIQPHVTLSHFDLPQVLEDEYEGWLSRKAVRDFTAYANVCFREFGDRVFHWTTFNEANMVAMAGYDMGMMPPGRCSISLFGSNCDKGNSSYEPYTVAHNMLLAHASAARLYKKKYKAAQQGSIGINVFSYWFVPYSNSVADVIATQRANEFYVGWIVNPLVFGDYPGIVKKNAGTRIPAFTNAESKYLKGSIDFLGVNHYATTYIKDRSSSLDTDNRDIMADMAIEMAFGRNQDGRSPYKGLQELLEYLKHVYKDTSIYIHENGKATPSTGSLNDTSRVELMQGFIGSMLDALRNGANVKGYFSWSFLDVFEIMIGSKFGLYYVDFDDKDRRRYPKLSAYWYSSFLNGRNKNKYNVVEIKDISLDSQQSYIS >KZM94484 pep chromosome:ASM162521v1:5:22940830:22942022:1 gene:DCAR_017727 transcript:KZM94484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTKGSGKGAEGSSRKEGVQPVHQGQLVHQGSYPQGVIYGNSQVPLLSYAVAFRVGDYKYHGKYVENGIGKVIFL >KZM95453 pep chromosome:ASM162521v1:5:32260311:32263455:1 gene:DCAR_018695 transcript:KZM95453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKCSRNIRDSKANVLGEPRSNIGASPSHGSYGTPGSKCSSKKRSVNKQMNDLDWSSKLIQCPVYYPSREEFEDPLVYLQKVAPEASKYGICKIASPLSSSTPAGTVLMKENRGFNFTTKVQPLRLAKWDMKDKVTFFTRKKNYTIRDFESFASKSMAGRYSISGCLPPDFVEREFWQEMGSGNKRTVEYGINVEGTAFSNHSSDQLGSSKWNLKGVSEPMLYIGMLFSMFAWHVEDHYLYSINYLHCGAPKTWYGVPGHAAVDFEKVVQQYIYKQEILSTNGEDGAFNLLVEKTTMFSPKILQEHNVPVCKAVQMPGEFVITFPKAYHAGFSHGFNCAEAVNFATGDWFSFGAAASQRYSLLRRMPVVHFEELLCKEAMLLSNHSSDEDISSEDLVSVRCIKISFACLIRLHHRARWCLSKLQPSLSFSFNSKGTKFCSICKRDCYVSHTVCSCHTDPVCLFHDAEAFKCECQSNRVLNLSKEILDMEALAKKFEQDEEILQDVEQKSKEDIELLIQKTNPSVQDQYIPYSEIKPVEEHIGDIKTSGVKHNSSGKPKKLFGKNTAAAKFPEYKVHARPNRVELAEEHARQVAIEGKKRPRQLQKPKDKDNVPFNVGCGSQKSPSSSVTKQMTLNRLNVSNKWRL >KZM92949 pep chromosome:ASM162521v1:5:1150129:1151184:1 gene:DCAR_016194 transcript:KZM92949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASDMFVGNYFAAGEPQFLSENYYFNGNQQVDNNNQFAIDDLLLDFPKEDDVMNDAFFHSIAADSSSPVTAVHSCNSSGSGNISSGSFTDAQFSGSELCVPYDDLAELEWLSSFVEESFSSDDMQNLQFIPTSVTTNYKNSPIFNADVSVPGKARSKRSRAAPCDWSSRLLHLSPAKAHPRRRENSAEASGSGRKCLHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFVSAKHSNSHRKVVELRRQKDVREAQQQHQHSHPQQHQHQMINQRTMFGASNGDEYLIHHPQFNHML >KZM92970 pep chromosome:ASM162521v1:5:1272676:1274243:1 gene:DCAR_016215 transcript:KZM92970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNKSESDATSLAPSSPSGSPKRAAYFVQSPSRDSHDGDKSSSMHPTPNFTTPVDSPSHPSLGRHSRNSSSSRFSGIFRSSSGRKWGRKRNEKGWPECDVILEEGDYEDQERFMRRIQAFMILLSFVALTTVFCLIIWGAARPYKPEVHVKSLAVNNFYIGAGADFTGVPTKMLTINGSLHLSVYNPATFFGIYVTSTPVKFIYADIVIASGQLKKYFQPRKSRRTVVIDVEAYRAPLYGAGSGLVASDDNVIEVPLVLKFEIKSDGHVVGKLVRTRHQKHISCSLVINSTRNKPIKFNKNSCSYT >KZM94253 pep chromosome:ASM162521v1:5:20042959:20048979:1 gene:DCAR_017496 transcript:KZM94253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEERAKSAGLISGAHSIIEDIKVLKEMHQDHSGHKKHISSELWHACAGPLVTLPQVGSLVYYFPQGHSEQTARPAVAAPWSYSGVVESEKEIIIWCTFMVAVSTNRTATSQIPNYPNLPSQLLCQVHNVTMHADKETDEIYAQMSLQPVNSEKDVYPVPDFGIKGSKHPGEFFCKTLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSMFVSAKKLAAGDSVLFIRDEKSQLLLGVRRASRQQKALPSSVLSADSMHIGILAAAAHAAANGSQFTVFYNPRACPSEFVVPLAKYRKSVYSTLMSKGTRFGMMFETEDSGKRRYMGTIVGISDLDPVRWPGSKWRNLQVEWDEPVSRDKQNRVSPWETETLESLFIFPSLTSGLKRPAQSAFWGSQNEWEEMMHRPFVRGNDNLNAEFPNPVMSSVWSEQLIKTLLKPQNSNCPGSIAHVQQDSSANGFNLQGAKTWLQGMVNQKAPPIASEHKHTQTEDPSQCQRINPISAEPNLLQSDMPLKLEPQNVCGNQAQQRSESGLTKGEPEFASGQLSQYTYSGQCNEEKQVSRATNPQNVGNSVLPINQSHDSPQFQSSPWLIQAHMDPIQSSQADASNLSSLIPYPDANDWNSSPFNCQPVAGFIKPPGPGLLTDATDPVLPSMGHEVWDHRMSNIESLAETRLYDMLPQEDSCKRHCSTNSFGLKDISDESNKPSEFYHCLNFENSNGGSTVVDPSVSSTAIDDFCSLKDGELQNQSDYLVSNFSSCQDMQSQIMSASLAESHVFSLQEFPDSSGGASSSNTEFTDSGLLPNASWQQVAPRVRTYTKIQKAGSVGRSIDVSSFRNYDELCSEIERMFGLEGLLNDSKGSGWKLVEFVGCVRSIRILSPSEVQQMGEEGMQLLNSAAASLL >KZM96420 pep chromosome:ASM162521v1:5:40851141:40853422:1 gene:DCAR_019662 transcript:KZM96420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAEKVILLFEDSDGFAASISDGLQPNPTSSLQTLKECVDLSLEQYGIKEKISVNITHFVDSNGVYQVSILLVQKCEPPVLACAVNEAIASVDGESPSSMPTLIIPFLLPESKLKLDDKILLKNVNAALHGIHTGPDTDTIKALVNRTQKLPSSLQINHEALACSFQLARVMNLPTFLLVGPSDKSKSHKSYEEDLKLAELVGFSNAKQEVNSCAH >KZM95252 pep chromosome:ASM162521v1:5:30553473:30556325:1 gene:DCAR_018494 transcript:KZM95252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEEDDDSFEHTLLVVREVSVFKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSSDLFAACFIAPGQRENAVESVLDSSRYFVLKIEDGTGKHAFIGLGFAERNEAFDFNVALSDHDKYVKREGEKEVGESSSDNHIDIHPAVNQRLKEGETIRINVKNKPSSGTGMLSAAGLSGGVSAAGKPKLLALVPPPNAAGKLRTPLPPPPNDPAAVRMTSSSPDGALKGPKEASRRSADTFADLSQLERNLPSTTGSSTKSTGAGWAAF >KZM96224 pep chromosome:ASM162521v1:5:39293484:39297729:1 gene:DCAR_019466 transcript:KZM96224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMSSDPATPQAVVAAKVAPAPQWMPMQYPAMVMQHHMMAPPHYVPYFPLQQQQQHHPAPSHQQGSGGSSENQTIWVGDLHNWMDEDYLRNCFASTGEVASIKVIRNKQTGFSEGYGFVEFHSHAAAEKVLQSYTCIPMPNTDQPFRLNWATFSMGDKRSNNGSDLSIFVGDLASDVTDTLLHETFASKYPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSQAMTEMNGAYCSSRAMRIGAATPRKSVGYQQQYTSQGGHVSNGESDGDSTNTTIFVGGLDPSVSDEDLKQPFSQYGEIVSVKIPVGKGCGFVQFANRNDADEALQKLNGTAIGKQTVRLSWGRNPANKQFRGEYGNQWTGAYYGGQMFDGYGYAMPAHDPSMYAAAAYGAYPVYGTHQQQVS >KZM94671 pep chromosome:ASM162521v1:5:24771120:24775203:-1 gene:DCAR_017913 transcript:KZM94671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRAGLVFFILCSRVIFNVAAETSKEQELDRITSLPGQPPVKFSQFSGYVTVDEQEGRALFYWLTEATGNSLNKPLVLWLNGGPGCSSVAYGASEEIGPFRVNKTASSLYLNKHSWNRDANILFLESPAGVGFSYSNTSSNLLDSGDKRTAQDALVFLIKWMSRFPQYKHREFYISGESYAGHYVPQLAEKIYDYNKATSQPAINLKGFIVGNAVTDNYYDNIGTVSYWWTHSVISDATYKWIMKSCDFKADKSSKECDKAVSYALDYEFGDIDPYSIYTPFCKPNNSSAGSLRLKNTLLRRRASGYDPCTENYAEIYYNRPDVQRALHANSTGIPYKWTACSDILIRNWNDSATSMIPTYKKLIAGGLRIWIFSGDTDSVVPVTATRFALSHMNLTVQTRWYPWYTGGQVGGWTEVYDGLTFATVRGAGHEVPLFEPKRALIMFQTFLGGKRLPSKS >KZM95903 pep chromosome:ASM162521v1:5:36629451:36631810:-1 gene:DCAR_019145 transcript:KZM95903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNINDTAQTSSSDTSISSVVNGSPAIPADNALITRQLFPVSGLEAEKTSHGTEAESQWLNLSVPRAGAYTGGLVDEKAIMSATPPLMKAKKSRRGPRSRSSQFRGVTFYRRTGRWESHIWDCGRQVYLGGFDTAHAAARAYDRAAVKFRGPDADINFTASDYEDDLKQTKDLTKEEFVHIIRRQSSVLSRSSSKYRGVTLHNNVQWGTQLDNFLGKKDSNKGDGPVAETKFVPRTCHNNVSLANKNLDSAETHHNLDLNLWISPPSHSHDAASHLSGVKGSKDERYAPAGRKSPYYFSSWPGNHPGLAPNYEVTSYKEMEVQVVPSRGNSNRVWQANRQVVDTLPLFSTAASSGFPCTSRTFQPPSYR >KZM93934 pep chromosome:ASM162521v1:5:12471829:12474190:1 gene:DCAR_017179 transcript:KZM93934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSVILPRVLIVSRRSLRKNKFVDFVGEDIDPSHYEAEASGLLPEEGAQVLNVACGGTLYQDIGKELGRNCPEYRRVVHIDYEIYDGHRHVVRVVENTPLSEWFRDSLDGGKVEIRGFYDPDAYNPEEGKFIMGLQFHPERMRKPDSDEFDYPGCPAAYKCFGIGPCPPAEKRVIVNNFCGLPPE >KZM94039 pep chromosome:ASM162521v1:5:14186692:14187441:-1 gene:DCAR_017284 transcript:KZM94039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKTQQVAGGLPNMYKNLLQTYAQKRKLTLPAYSHEVVGGLYKSKVTVDGKSFETQEYFSTLKNAEQGAAKVAFESMSLQLTPEARFLSNSCLFSDEVLYKNLLQEHAQRTGLLPAYDIVKSGPPHMSIFVSTVEVGGKSYQGQEAKSKKMAEANAAKVAYICLTKCVVGDSADGFCVVGEGHGAGKVHGSKEGSH >KZM94118 pep chromosome:ASM162521v1:5:16025629:16025976:1 gene:DCAR_017363 transcript:KZM94118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKELADSEKGQASRNGSSSKRAVITSHNRLSSSGEFVEGRSSRLVTSLGGLSAWQRIQSGSSESKPPAYSRHTAAKGSR >KZM95343 pep chromosome:ASM162521v1:5:31289932:31290816:-1 gene:DCAR_018585 transcript:KZM95343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESPTKLSARLLDSILQDYAFRALDHRPRTGIVVDGNVPSNLNGIQVAALRLRSGSLRKRGFSFYKEFEIPAGVIEQPFVERLALVYHDLGNFSSLYSLPGYTFLAPVVGLLAYDASNLSATNLSELDIRASGEPMLVKFMDLQASNYGVSPMCVFFDLYGSVEFDNVVRGNECRATEQGHFSIVIEFTAPAPAPSAETHPLLSGDNAGRRTKRERFIIIVGSVVAGGFLVMLLAVWLRKCRRRDRIQKLEEAAQSGVPLSTTTVGTMKVSVADVTRTRPKLENDYILDTDFL >KZM95596 pep chromosome:ASM162521v1:5:33717433:33722033:1 gene:DCAR_018838 transcript:KZM95596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDENFCEAAALVKRTTRDVPPADFTLRIDDFPLLLTEMEDRRRDHHLSKCFKAGGYGWRLSIYPLGDKKTRRDAEYMSLYLVLDEQISAPVDVVIKFFAYDHIRERYLMVEDANVQVHRFDKAKDAQGISEFISVAAFSDASNGYLLDEICCVFGVELYFIKNRMSKTVDKVYYSQAFEAGERKWKLIIYPRWENKWLALGLALEQTDSLSISRRGFLNFRGPKRNGDHKLYAKYTLVVKDQLNHRDISKEVTRSNRDVPPSDYLLKIESFSLVSKAMDNYLSNYFEAGGYKWRLSIYPNGDKKADQGRSTRSDDRCISVYLMFAEPDILENGLAIDVNFKFFVYDYIQKNFLVFEYAYEQTKKFHKLKCAHGILNLMSTAEFCDASAGRYLVNDSCTFGVELFVKKNMATKAVCLSNVRMPKNDFCMMRAHIWKFDNFSKRKNKLYYSNSFMAGKRKWRLLICPRGDNQCLAAGVELADTYGMFGLNKWLKKANYNPKVYAMFALIIHDQTDVSDLSTNFRNDCEYQF >KZM93796 pep chromosome:ASM162521v1:5:10882761:10886088:1 gene:DCAR_017041 transcript:KZM93796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESILAGWQVTILCGIACWIYASSFLHLTQKLRSLTQPWVLARVRSDIPIILAIQRYQNGALDAFFSALSCFVSVPFYTAFLPLLFWSGHGKLARQMTLLMAFCDYMGNCVKDLVSAPRPESPPVRRVTATEDEKENALEYGLPSSHTLNTVCLSGYLLHYVLSYIQCKDASIQIAGFAIVFLLVGLIGCGRIYLGMHSLIDVIGGLGFGLTILTFWLLVHEYIDSFVVSGHNVTSFWSALTFLLLFAYPKPENPTPSFEYHTAFSGVALGIVAGVQQTYHQFHHESVPRIFTSQLSIPLYAGRVVVGISTILLVKFCSKTIAKWTLPISANALGIPIRSTNYIPALVYSPTGKKPAEAKQTGYLQKLLFFVNQDSFDVDTGIRLVQYAGLAWSVVDLVPSLFSHLRL >KZM93142 pep chromosome:ASM162521v1:5:2759450:2761024:1 gene:DCAR_016387 transcript:KZM93142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSKRLADRKVQKFEKNIKKRGAVPESAVKKGSSYPMGPIAIGFFIFVVIGSSLFQIIRTATSGGMA >KZM93559 pep chromosome:ASM162521v1:5:7809576:7810340:1 gene:DCAR_016804 transcript:KZM93559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDNLNFSLRYDLRLSVNQRRFDVSIHGYWCAILKSNSPFVSHLVNVQPTFADFTRAEQEINALDPVALEKLKLMWPSCGSKSTEDLMMHQFAKHALIAENNYKVNYFKKTLDVSTPFFLGDKDIRAFLRDNYAPGVKYNTHLVNTDIDYFVGTRTKIGYASYGPLTLDSISVLHDEFDQPIHHPDRNLAHQNPRPRDMIVVRR >KZM94762 pep chromosome:ASM162521v1:5:25561677:25562417:-1 gene:DCAR_018004 transcript:KZM94762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSIKSNAPLILLDIELLLKICSLLQEDGFIDLFFLIQVWFPFQTTETVNMVLNNLDWSKVHEVVEPFKNLECRVFNNFVKHCVKIGVKGGLCYYACRKLIRGKNPTHHLQVLRDISSDDNLSFLAYCVFQSLYDPSTLRPNANLLHQKVSTDPDFRSGLKNNCITLKGRYRKYNRTFGRPDVFPQDGICSSHVSGLEHNMDPHRLGCRFRQIISASCSECMILMIISKFLEVIRSIKYVFLLLK >KZM93620 pep chromosome:ASM162521v1:5:8547202:8550183:1 gene:DCAR_016865 transcript:KZM93620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGYSWATIFVLYLGVYIVEGIGVNWGTMASHNLPAKVVVKMLKDNGIKKVKLFDANDTTMNALTGSDIQVMVAIPNDQLVAMNTYKRAKQWVQRNVTRYIYNGGIHMKRSIKHCVSNALSSISQYCIEIELYVAVGNEPFLTSYNNSFLDVTFPALRNIQNALIEAGVGDTIKATVPLNADVYNSPDTNNPVPSGGRFRQDILGQMTQIIQFLAQNKAPFTVNIYPFLSLYGNDNFPIDYAFFDGVSSPIVDNGIAYQNVFDANFDTLISALKAAGYGDMAVIVGEVGWPTDGDKNANINNAYRFYNGLLPKLAANKGTPLRPGFIEVYLFGLIDEDIKSVAPGNFERHWGIFKYDGQPKFGMDFSGRGQNKLLVGAQNVQYQAKRWCAFNPNAKDLRRLAENIDYACTFADCTTLGYGSSCNGLDAYGNASYAFNAYFQVQNQRNLSCDFQGLATETTQNLSQSQCNFSIQILLSGSCQTGPSVVVLLVFSVAIFVGEFLV >KZM93785 pep chromosome:ASM162521v1:5:10715827:10716783:-1 gene:DCAR_017030 transcript:KZM93785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLCLPNNSASERCNNNDKQVLLGIKEAFKNPHLLASWVPSEDCCDWYLVKCGETNNRIVSLTIQDDDNLTGQIPPQVGGLPYLETLWFYKLPNLYGAIPEQISALTSLKSVRLSWTNLSGPVPIFFAQLTNLTYLDLSSNKLNGVIPSQLSTLKNLSALHLDRNHLTGEIPAAYGNISGSPDIYLSHNHLTGFVPQTFASSDPIRIDLSWNSLEGDISFFFGTKKRLETADFSRNTFSFNFSKVQQFPPSLIYLDLNHNQISGNLSTALAKLQLQTFNVSNNKLCGMIPAEGNLERFGNTAYLNNTCLCGAPLPKC >KZM96528 pep chromosome:ASM162521v1:5:41663331:41666241:1 gene:DCAR_019770 transcript:KZM96528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTYQYLEELTPNKEDSKIKVRVTREWESRNPNTNHLINKNYILMDEQGMLFHVLLMLNQIDEYTRRIQVGNLYLISTFAIACANDTYRPVKGDKVINFTRKTNIKKLGDDSSIPRHGFELATFDEARSRVGATTTLIDVVGKLKSFTRIQTLPRNKEKLDITLQDDTICFTLILPTAKPTLSATSATQYFINIDYPAVNILRKKGGQEKLVPVIIQPITNPRQLLLDNIDHISIETLLDIMLPDGKKVPVQHVLDNDESADPSNIPSILNNIIGGTFKFYLKITAYNTTGVRKEGYTVVKVEEMECEENQGDKPVKEKRPPSTSTKQMYDDSNIDSEVGIYNCKYVPETELNKGKRTHEKKGLHTLNHQENQKKHKVNSPVDNKLSENKGDEGITRPDKKSDQRQKTVPTAYKNKKPPPATTKQNTNKPQIPVNEPTNKEQVPSKNDEAIKRNSTSNKTIQKDLNGGKEKKTNPKVPLLSSEPHTPDNKRKHRTSTATKNAKKPKFTDSCINKATEDKIGNKPHKLYARDDTLKTKKITSDKKTTAKLLQGTNKKNDNQ >KZM94932 pep chromosome:ASM162521v1:5:27151638:27154874:-1 gene:DCAR_018174 transcript:KZM94932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDFEMDGGYEDEPLEPELDEGAEEDVDADANKEDDVADPLLADGEEKQEEEPAERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >KZM94377 pep chromosome:ASM162521v1:5:21681931:21684047:-1 gene:DCAR_017620 transcript:KZM94377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLTVSAMDAYEKLEKVGEGTYGKVYKAREKATGKIVALKKTKLLEDSEGVPPTTLREVSLLRMLSTDPHIVRLMDVKQGQNKEGKTVLYLVFEYMDTDLRKYIKSFRHTEENIPSKTVKSLMYQLCKGVAFCHGHGVLHRDLKPHNLLMDEKKSMLKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTKKALFQGDSELQQLLHIFRLLGTPNEEMWPGVSKLLNWHEYPQWKPRQLSAAVTNLKEDGLDLLSQMLQYEPSQRISAKKAMEHCYFDDLDVAALQD >KZM95548 pep chromosome:ASM162521v1:5:33203196:33206883:-1 gene:DCAR_018790 transcript:KZM95548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANAISTASILSSPTQVSKRVNQLQGQKVNYRPSNGGKNRGRRLAVRAAAKDIAFDQKSRAALQSGIDKLADAVGLTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASILAREIINLGLLSVTSGANPVSIKKGIDKTVLALIEELENKARPVQGRDDIKAIASISAGNDDIIGTMVADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKMIVEFENARVLVTDQKISAIKDIIPLLEKTTQLRAPLLIIAEDITGEALATLVVNKLRGIINVAAIKAPGFGERRKALLQDIAIMTGAEYQASDLSLLIENTSVEQLGLARKITITKDSTTIIADAASKDEIQARIAQIKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSEFVPAIKAKLEDAEERLGADIVQKALVAPASLIARNAGVEGEVVVEKVKASEWEQAYHKVCLYDD >KZM95569 pep chromosome:ASM162521v1:5:33503057:33503521:1 gene:DCAR_018811 transcript:KZM95569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQDKTYITHQLLNSTALMSPLLLLIGFALLSITIAQDQSRSPHGLTYSSPEAFSPAAFEFFHPNNHQHPSIENPCAASGCPPLHLSATVQSSLAYDSRFTPDHYSRGRRLTAAGIAGIIFGFVCVVILAMGIYYVMTKRQANMSKSNTAQAEA >KZM94002 pep chromosome:ASM162521v1:5:13588819:13590075:1 gene:DCAR_017247 transcript:KZM94002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLALRRVRSFSSATAAAVTSTAPATDAVSDATAASVLTISRAKYKLRTEYDPDKALEIYATVSEHYTSPLSSRYAQDLTVKRLARARRFKDIEKLIESHKNDPKIKQEPFLTTLIRSYGLAGMFNHALNTYNQMDELGTPRTAVSFNGLLSACNCSKLFGKVPQLFEEMSEKHGLKPNKFSYGILIKAYCEIGSPELGIERMREMEEKGIEVTAVVFTTILHSLYKRGNVEEAERLWDEMVRKKCELDVGAYNVRLMHAHNGEPESVKKLITEMSDAGIKPDTISYNYLMNCYCENGMMAEAKKVYEGLEGNCCNPNAATFRTFIAHLCNKEMFEYGYKVFKKSVSLNKIPDFGTLKPLVEGLVKKSNKKDAKGLIRTLRKKFPQKILKAWDQVEVELGLISTDSAEDNTKAPRT >KZM94839 pep chromosome:ASM162521v1:5:26391569:26392933:1 gene:DCAR_018081 transcript:KZM94839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMGAKRGRTSNQLSLVSCKESSMMASAVNVASNEDLLIQILLHVPIKTLMAFKSVSKHWLSLITSPGFVHLRNPLPSAASLFFATSFCRNNPSYQFIPLGATDGCPAPFKTLDFVHDPLGSGISVLQSCNGLLLCASYRARELKRRYYVYNPTTKQSATLPQIRREYAKKVCGMNLAFDPVKSPHYKVVCVRRSETNRQLFQIEIYSSETLSWRVSGQPFAAPMYTSFQNCTYWNGSVHWWRGRVDPWHRFIHTGVRIDGPYTLYLKVDEERVEQLPLPMRNIATVNLNEQDEVKTYFTASYIGESEGHWHIIEEVPRHILGEVPRHRNNPNLSLCNVYEVARDYSGWFVKYQVDLSAISNVFPEIIKYRYNRCSGYILNILSVVRRGREEEDASFLVAEIPGGKVIRYNLVDKSMTKLWELTPIGYKFYNDDGLRRDCVSAFSYIESLACV >KZM93106 pep chromosome:ASM162521v1:5:2456937:2461493:1 gene:DCAR_016351 transcript:KZM93106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEVSSKGNAAQAESLSSGYSDRNDADGGSRAVPAASGGNQVDAETALYTELWRACAGPLVNVPRERELVYYFPQGHIEQVEASTNQVAEQQMPAYNLPSKILCRVVHVHLKAEPDTDEVFAQVTLMPEANQDENAVKKDPKPPPPPRFHVHAFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTKQPPTQELVAKDLHGNDWRFRHIFREHLDDVDILYHLYEMYLLKGENGDLRVGVRRALRQQASVPSSVISSHSMHLGVLATAWHAIQTGTMFTVYYKPRTSPAEFIVPFDQYMESVKNNYSIGMRFKMRFEGEEAPEQKFTGTIVGTEESDPKRWPESKWRFLKVRWDEISSIPRPERVSPWNIEPALTPPAINPLPMPKPKRPRSSMLPSSPDSSVLTREGSSKLAVDPSPASAFSRVLQGQEKPTLRGAFAESNESDSSVKPVVWPHSTDEEKMDVLSASQRYRSEKWSPLGRAESSFTDLLSGFGGQTNSSNDFSTASSTQTVATAHSTKVQLPNQEGKFNLMSSPWSMMSSNLSLSLSDSVTKSHLQNDASFQARGSARYGNYNGYTMYPSGQMDDHQQGNWLMPPPLLSYSHIPHSREQIPKNMSAQQQGVKPSDGHCKLFGIPLISNPTTREPEALHRNTIIDVADLGVQLHQSPTLESDQRSEKSKVPEKLIENCEQEKAIQSQPLPRDGQGKVQGSLTRSCTKGILRHGS >KZM94974 pep chromosome:ASM162521v1:5:27559061:27560935:-1 gene:DCAR_018216 transcript:KZM94974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGEEKLIAVARHIAKTLGHTDNTADDILQIFSNFDGRLREKLSEKLIDDDDVLKNSSLSSLDQNLKSLDRRISRYLSADRLIWSDSADSAAFLDAVDDLIAVIRDWTPMAAEKSVASSLDRAEDLLQQSMFRLEDEFKSLMERGGESFDVTRYSNGESTGFDSDEEIDDDENDDDEEIPVAHRISDFNIVIDALPSGTINDLHEIAKRMVIAGYGKECSYAYSSYRREFLDESVSRLGLQKLSTDEVQKMQWADLEEEIERWIKAANVAVRILFPSERRLCDRVFYGFSNASDLSYMEVCRGGMTQLLQFADAVAIGSRAPERLYKVLDVFEALRDLIPHIQMVFADQYCLFMCNEAISIWKKLGEAIRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYIRVACQSRQTLEQVFEDGVSSPVDRPSSSSSLSVQMSWMMELLESNLEAKSKIYRDTALASVFMMNNGRYIVQKVKDSELGSLLGDDWTRKHTAKVKQYHVNYQRSSWSKVLQVLKLDNNSSSPTVELRAMKEKIKLFNNQFDEICKIQSNWVIFDEQLKQELRISVFSNLSMAYRSFLGRVQSVPDTGRQMERHIKYTVEDLESKINELFRGNGRRK >KZM94648 pep chromosome:ASM162521v1:5:24589781:24591709:1 gene:DCAR_017891 transcript:KZM94648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWRRSTKTGEEFKNFNLILLDHNGQRIHAFVPTKCAEDIHNKITVGRIFNIKNFTVQLYNQTDKFRFLRLDKQLVFSKDTNIQELADDGVSIPQDAFDFYDHSQLEELSNQTSSAVNVTFWDNFGVSFDQMLSTTVQKPVIIIISGCKVGKWNDHKVRRISITKKVIVAELKCLGITAVEFKSIDESMGWSYNACTSCDKETKNEVPCHICESCNRCVPYPQRKFKMHFVAEDSTGHIQVVLADREVRTLIGRRALDLVAEATLDLQCARNLRYQLDLYLI >KZM96452 pep chromosome:ASM162521v1:5:41088379:41089453:-1 gene:DCAR_019694 transcript:KZM96452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQYRGVKMEKSLLLFILIVTSACVVESYVNETAALYAFDEMPSSVSCDSMRDVPVELRKELRKKMRSSLVALRKKLSCSICSHYRSVKPKTENGKMLNKMNDLDKVDQPPVTELTQR >KZM93076 pep chromosome:ASM162521v1:5:2165991:2183098:1 gene:DCAR_016321 transcript:KZM93076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKFPIGPQNYTLYEEIGQGVSASVFRALCIPHNEVVAIKILDFERDNCDLCNPHGLNENVSFVFGVSKNSVSREAQIMNLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPEGFQEVVIATIMREVLKALEYLHHHGHIHRDVKAGNVLIDTRGAIKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQVHGYDFKSAGNVLIDTRGAIKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQVHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRKFSKSFKQMIASCLVKDPSKRPSAKKLLKHTFFKQARSQDYIARKVLEGLPDLGDRIQELKRKEEDMLAQKKIPDGQKEEISQNEYKRGISGWDFNLEDVKAQASLIPDEDIIHDKEVGESSSAPEQEKQLQCQNSSPGLSSEAAESEDNTQIQNRIPAPSVDSTGAITNNSEKPDDDMSLASSYQGLHVSENNSPSNDNITENSLAGKPDSENNGKEITHPHSRKGSSYSIMVPDPVLSATSSEDLDEKVKPVVQQRGRFKVTSENVDLEKVVAAPPSPALQKSISMQVIPQHPSICLPSPSTATSLNHLGHSLFPTLQSVLQTNILQREGILSLMKQLSDGDPSAVHVVDGGVITSTTGTDKSSLEAAHDREKELLSEVTDLQWRLICAQEELKKHKAENAQYLLYGPLVAEFIYSIYREEKQSRSWCMHIFIISMLRNIIHQLWSSYDGMLFLNRDRRLSQSGLDFKQIDSEWHWDNFIILQAIVGSVLCLSFPSLVALPIWDNRGIICCIILHVVLAEPLYYLMHRFLHLPELFKHYHWLHHSSKVPHPFTAGHSTFLEHLILCVIVGIPTLGTTLLGYGSTSMFYGYIFIFDFLRCLGHSNIEVIPHQIFDILPPLKYLIYTPTYHGLHHIHKSSNFCLFMPLFDVLGNTIDERSWNLHKETSSRAGRKGRVPDFVFLAHGVDVVSSLHAPFVFRSFSSMPFTAKVFLLPMWPFSLIVILAMWGLSKTFPVSFYKLRGSLYQLWLVPRFGFQYFLPFAADGINKHIEDAILTADRLGVKIISLAALNKNEALNGGGVLFVNKHPHLKVRVVHGNTLTAAVILKGIPEEVEEVFLAGATSKLGRAIAIYLARRRVRVLMLTQSTERFAKIQKEAPKDCRDFLVQVTKYQAAKHCKTWIIGKWTTPRDQRWAPPGTHFHQFVVPTIIPFRRDCTYGSLAAMKLPDDVEGLGICEYTLERGAVHACHAGGVVHFLEGWKHHEVGAIDVDQIDVVWEAAMRHGMKPC >KZM93262 pep chromosome:ASM162521v1:5:4153441:4165448:1 gene:DCAR_016507 transcript:KZM93262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDIPAATAEDVDIAVEAARNALARNGGKDWASASGAHRAKYLRAIAAKITERKTELAKLECLDSGKPLDEAAWDIDDVASCFEYNADLAEALDGKQKSPISLPMETFKSYTLKEPIGVVGLITPWNYPMLMATWKVAPALAAGCAAILKPSELASLTCLELGEVCKDVGLPPGILNIVTGLGSEAGAPLASHPNVDKIAFTGSTATGSKIMTAAAQQVKPVTLELGGKSPIIVFEDVDLDQAAEWTAFGCFWTNGQICSATSRLLVHENIAEKFLDRLVKWTKNIKISDPLEEGCRLGPVVSGGQYEKVMQFISTAKKEGATILSGGERPKHLEKGYFIEPTIISDVTTSMQIWREEVFGPVLCVKTFKSEDEAIELANDTRYGLGGAVISNDLERCERVTKALQCGIVWVNCSQPCFCQAPWGGKKRSGFGRELGEWGLDNYLSVKQVTQYISDEPWGWYQSPSPSKL >KZM94747 pep chromosome:ASM162521v1:5:25484687:25486525:1 gene:DCAR_017989 transcript:KZM94747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNTQITSSLPTQTLIKQLASCNKSTRDKALKLLKLYLQSQQQVSHEELKKIWKGLFFCVWHADKSPVQSDLINSLSSLLYKMPLLLSLEYLSVFLITMRREWTRIDGHRLDKFYLLIRRFVNACFVALKRNNWDLGVVRSFVEVLEKRVFFAEDKCLGNGVNYHVASVFLDEFKGFVPVGKEVSWALFGVLFDVMGKCQDKVLIGKIKTNVFEVLVNKGRELLERKKLGKEVDDETLRVGNLALSMGFAEKFFELGSAAECVQVNRKVLFGLHQEFLKLEKDLEVSGVDISVPDVGIEDDEEVPNLIPIDSVENGVKMSSEMEIGASVSKASKKKKKVKKEIDGRSNKKKKNGVSSENEDEDMGIANGFETNMNRSVACGENELDMSSTELIPTSVEMVNGTSASKSSKKKKKIKKDSNGSSKKKGVVSTDEDMIIANGFDSVIETANNENLIDFNESVVSNLRMQFEKVASEVGLDKDGLSSLDSPEVEIAPVSKKRKRARSADGKKKKKPDLTSVEQMDAGAETSEKSAKKVRFSVKNNIVWKPNSPMPPQDLRLPPSATPRGSALKKGLSPGPIREMPPATKKPMLKKRGAKGKRVVRVARMIRKIS >KZM93393 pep chromosome:ASM162521v1:5:5655071:5656897:-1 gene:DCAR_016638 transcript:KZM93393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHLPLVILIIVFVCDMGSIMIKLAKYLLETPLSIHYIQCFKRRSANPSDDFQEALKQAMQAIKKKETKQGIDSS >KZM96546 pep chromosome:ASM162521v1:5:41740824:41741496:1 gene:DCAR_019788 transcript:KZM96546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGQGTLASVMEAAANVAASAKSGLDKTKAVVEEKAEKMSTRDEVEKDMATLRKEEKIDLAELRKCKAYAHNAIAASAAAPRFTDGGEFTTIGSFDQPAAANSGGQHEVVQYCRVPTHNVQDVVSLHRPVVTEQAQANTNANVGGADTNPTHTGMPAPVVEPDGVASGFKPDTNTGSGTRFSIN >KZM93038 pep chromosome:ASM162521v1:5:1882162:1886485:1 gene:DCAR_016283 transcript:KZM93038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSHFSLTNPFLPAARRTPPWSGGAGRRVCFCTNSLETHQAAPPKKDVLTSKDVMEADKKVIVGTYARTPVVFSSGKGCKLFDVEGQEYLDLTSGIAVNALGHGDPDWIRAVTQQANTLTHVSNIFYTVPQVELAKRLVSCSFADRVFFSNSGTEANEAAIKFARKFQRFSHPDEMHPPSDFISFSNCFHGRTMGALALTSKEHYRTPFQPVMPGVTFLEYGDIQAATELIQSGNIAAVFVEPIQGEGGIYSATKDFLQSLRDACDAAGSLLVFDEVQCGLGRTGYLWAHEDYNVFPDIMTLAKPLAGGLPIGAVLVTEKVAEAINYGDHGSTFAGGPLVCNAAIAVLDKISDPSFLASVASKGQYFMKLLSQKLGSNSHVKEVRGLGLIIGIELDVPAAPLVDACLNSGLLILTAGKGNVVRLVPPLIISEKELEHAAEVLLKCLPALD >KZM93047 pep chromosome:ASM162521v1:5:1955401:1956039:-1 gene:DCAR_016292 transcript:KZM93047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGARARTNFAYNASESQSSTSKLLSATLRAKLQKCHMASLQMTRKSAGRDTNQEAQSPVSDAGGEGIIVPRDRDNTVGCSNGDKGENVQQFTSLEDDDHIEQMIQELLHYGSVELCSVMDC >KZM96431 pep chromosome:ASM162521v1:5:40911878:40914018:1 gene:DCAR_019673 transcript:KZM96431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGYVPKLMIPMMGFLLILVQQVVGIRFVIDREECFSHKVEYEGDNVHLSFVVIKSDAPWNYGDQGVDLVIKGPTGDQIHDFRDKTTEKYEFMAQKRGVYRFCFTNKSPYHETIDFDVHVGHFAYYDQHAKDEHFNPLLEHISKLEEALYNIQFEQHWLEAQTDRQAIVNDGLSRRAIHKALFESAALIGASVLQVYLLQRLFERKLGTSRV >KZM93701 pep chromosome:ASM162521v1:5:9383019:9385161:-1 gene:DCAR_016946 transcript:KZM93701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGSEFNPTDPIDKSRVLDIKPLKTLKPIFPSQMGTSPFSSPQSAPFTCVPPMGPFPPGVAPFYPFAFTNQSQGTSDFENTIPSPVPLNSFRTPKSQYSNGDAGTSKKGRGRPRKDRTAQVFTDNSPSVGVDVEDFSDGKKQGGSSQKRAKGPINLFSVEVNVDDTVNQILRAFKLTGLEPYQQADGNRDLVERMVLTYNLLRRRLFQISEAKEGTPGVNIRPDLKSSSTLMTNGVKTNSQKRIGNVPGIEVGDIFFYRIEMCTVGMHAPTMAGIDYLNVKASADDEPVAVSVVSSGAYEDEGDDGDVLIYSGHGGVKDGQGCDQKLVRGNLALEKSLHRANVVRVVRGVKDVMSGTGKIYLYDGLYKIHESWAEKGKNGFNVFKYKFVRVPGQPEAFTLWKSIEQWKAGSGTRSGVILPDLTSGVESLPVSLVNDVDEEKGPAYFTYTSTLRYDKPFDLPIPSSNCSCRGGCQPGDANCPCVQRNGGFLPYNTLGVVLNYKALIHECGPSCLCPPNCRNRMSQAGLKVRLEVFRTKDKGWGLRSWDPIRSGQFICEYAGDVIDTSSAMELGSEHEDFIFDATRMYAPLDYSSDGSAEVPFPLVISAKNSGNVARFMNHSCSPNVFWQPVLRDNGEKTYLHVAFFSIGHIPPMQELTFDYGIPKSDKAEQRKKVCLCGSLDCKGYFY >KZM94114 pep chromosome:ASM162521v1:5:15953644:15967129:1 gene:DCAR_017359 transcript:KZM94114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRGHGKSSTENELDLSIETLCGDVLAVLKTMYGNSPPAIVLVGHSMGGSVAVHVAAKKVLPSLAGLIVVDVVEGTAMASLMHMQKILSNRMQYFPTIEKAIEWSVKGGTLRNVESARISIPSTVKYDDSKKCYVHRANLMETEQYWRGWYEGLSEKFLSSPVPKILLLAGTDRLDRALTIGQMQGKFQMVLVRHTGHAIQEDVPDEFATIVLNFISRNRIGPNGVEIEWSVKGGTLRNVESARISIPSTVKYDDSKKCYVHRANLMETEQYWRGWYEGLSEKFLSSPVPKILLLAGTDRLDRALTIGQMQGKFQMVLVRHTGHAIQEDVPDEFATIVLNFISRNRIGPNGVEKITRKQIRSSKKIYNRGGNDHVLDVRKDHVTGR >KZM95622 pep chromosome:ASM162521v1:5:33934506:33935426:1 gene:DCAR_018864 transcript:KZM95622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHHSSMIMKIPDMLLTLTMILALVLYNVDAVNSNLFREYIGAEFKTVKFSDVPINRTTNFHFILSFAIDYTTSSTPSPTNGKFNVFWDTDNLSPAEVSSIKKSHPNVKVALSLGGDTVGAKFAYFKPSSVDSWVSNAVSSLTSIIKQYHLDGIDIDYEHFKADPSTFAACIGRLIMTLKKNKVISFASIAPFDDDQVQQHYMVLWRRYAHLIDYVNFQFYAYDQQTNVSQFLSYFKTQMSNYKGGRILVSLTSGGSGGLSPENGFFTACKMLKARKQLHGIFIWSADDSKSQGFKYEKQSQALLA >KZM93908 pep chromosome:ASM162521v1:5:12069959:12071641:1 gene:DCAR_017153 transcript:KZM93908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKIPCSVPTFCFLCFLINIHFSLGADSISAYQSLSGDQTIVSSGGNFELGFFKPGNFSKYYIGIWFKKVSIQTVVWVANRERPITDKYSSVLKVVNGNLVLFDETDTEIWSTNIEFRSSSVVAVLLDEGNLVLRNGSRNTTVWESWDYPSDTWLPRGKLGYDRRANRTQILTSWKNSQDPSPGFYTYGLDPVEKQFLIRWNRSRKIGTSGAWNGQTFSNVPEMTGIPLFNFTYVSNTDGDYLTYFLENSPSFISRFIMDSSGQIKQLGWLADQHKWFVFWSKPNAQCQVDAYCGAFGVCNDISSPFCDCLPGFKSRFEKSWSLGDYSGGCARYMELEYGNANTTSRKADIFQIYSYMKWPDNPQAFSAANAAGCKSNCLSSISCTAYAYYEKTCFSWNGDLFNMQKLSVNDNTGRVIYIRLHSSDFSKTHKRIIYGVVGGSIAILLIFSGLLLIAIRRYKSGRGTKIPSAAEGTLVAFSYKDLQTATKNFFEMLGKGGFGSVYKGTLTDSTVIAVKKLEGISQGEKQFRNEISTIGNIQHINLVHLRGFCSEGNISC >KZM95474 pep chromosome:ASM162521v1:5:32425602:32426438:-1 gene:DCAR_018716 transcript:KZM95474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWNPVTRENRYLPKPNIDAPDSQSYPLIVFGFVPESNESKVVRFNSYYTSGKILPLPDDVFVMQIEVYKMSTNSWTVLTSNAIPCNGPGHMSLENDSFLLLKFDPPSRTLFLKGAFHWLAVNPKNVWDSCAAVVAFDLKHEELKLISLLESHRILYAKEGQLEIINDLLGLIVPCSTYSPNFEIWAMNDYGKREPWTKQFHVELSTWFVRPCGYWQDSFLLMGEFEGGRQKSLFLFNIHTQERQYILKSGSFFFEYFCSCVETLVPVSKGMQLLECR >KZM93139 pep chromosome:ASM162521v1:5:2728441:2729270:-1 gene:DCAR_016384 transcript:KZM93139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTLARLSRKIVTASTHRNQLPQRAFSTESSSAAADRVKWDYRGQRQIIPLGQWLPKIAVDAYVAPNVVLAGQVRVWDGASVWNGLR >KZM94490 pep chromosome:ASM162521v1:5:22980999:22982497:-1 gene:DCAR_017733 transcript:KZM94490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGLVAEKFCHILKYADTVPNELTLPREFCLKYGHLLHSTVNLQLRNGCLLTVEFDRSKGLLNGVSNLFQYLELKGVTVGNGGWRFICFFDKTISACDEIDPPPAFLERCGRFLPDNITYLISNGKKFEGNYSRSSKKFSGLVAMFHMAEAYVLDSVHMLLFAFDGKKTIEEISNKFRKLCNTWEKFQTINVYHGDGRWMLDVRKRDDYYCSTIVDGWQIMRDCLKLNVGDKLVFQCPEHSLDHFSLRVVKNHV >KZM95634 pep chromosome:ASM162521v1:5:34038830:34040189:1 gene:DCAR_018876 transcript:KZM95634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSSMLSSLLLFTVILSLQEMYRGKLASTELFTILGGFTSSLLFLMLLTFIGNYQESSGVKTGWGAGEIIISS >KZM93157 pep chromosome:ASM162521v1:5:2919331:2920470:-1 gene:DCAR_016402 transcript:KZM93157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIESLPPGIFADILSRTPIKTIVYSKCVCKKWRSLLSERYFVDLHLSRSHTCLVVQQEGSLPRCNMFKLGELEDKLDRFDIYHDPVMKVKFKLGFRCSVVHLSGTVNGLICVWHYSGTDETYICNPVTREYALLPERKSIRKPLPAVSYGFGVIAARNQYKVVRFYQGGYPSSQNLYKSDCEVYTLGTGTWRSLGKLPFALGGCQNGVFYNGNLHWLAHDQNHITSDIVCTFDLEKEFSELSASAPLVDENGSFTYRSLGVLGDCLCICDNTSESEFVIWVRQDYGLKACWVKEIVINNDFHRPLYKTVHVLTVLRDGSILMVAHNGHMFTYHHGNKTLQQLDLSWTSDFSICNVMVYVPSFIRLRSFMSERVLIF >KZM95159 pep chromosome:ASM162521v1:5:29322091:29322444:1 gene:DCAR_018401 transcript:KZM95159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLNRVAENLKFLHIQFMILFGADSEVHITSKCSPRVVQIMIKKVEPTKLLTALLEPCSSKNGGAGMLEENPSYDNERCVQVWLLEHFSGSRSIFKHQRKDRGYKITLKISEMNYY >KZM93868 pep chromosome:ASM162521v1:5:11690147:11692195:1 gene:DCAR_017113 transcript:KZM93868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLRKAIEAKETAEKKMLIDDFQGGRISAMEANKLFPQLQNISQILAVCDVHCAAQKIVFGSEKDWYEILQVERLSDDATIKKQYRKLALLLHPDKNKFPGAEAAFKLIGEANMVLSDKVRRASFDIKHRAYKTAPLPKAPPRQRKTNAVVKKAEVKNMKPDASDYQFNKNYSTQSTIPKMFSNLGTFFCHLCKRQYPIKPLNRVSSCPNCFKENVFNRQGAAPESKQDNFRPQGGNAVPQRTQVPVQGFRVGVQYTGRFPSSELRSEVNPGRKFGSEHVKQTGCTIEVGGQGTKFTNTNMGCEANFVPCFGAEQMKHTAGTNKINGSVKSKAEDVSSKANMSNAESAKAKVSSISKDKSRKRRKSEADITDNYENRSSIREELTSKERRGNPTASCNIEESINSTRKSSRQRKDVSYNESIDDDDDFMSPYNKISEDDKVKAAHAAVPPAVVDEYPDPEFSDFDKIRNQNSVTVNQIWACYDTVDGMPRFYAKIKKVDLLKSKLRIAWLEADPEKQEEIDWVNEELPVSCGKFMCVYPEETLDYHTLSHLVGFEKGNNKHSYIVYPKKGETWALFTNWDIGWSSEPDKHRENKMEIVEILSDFCLNSGIIVGYLGKVKGFVSVYQRKSEGAASVLIPSSEMLRFSHQIPSFRMTGSEGVGVPQGSFELDPAALPVDPKGV >KZM93086 pep chromosome:ASM162521v1:5:2268094:2274529:1 gene:DCAR_016331 transcript:KZM93086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKMNRKERAKQERENRRHNISLLRTIPYPDHQKWWSSETVALVTGANRGIGFEIARQLLLQGVTVILTSRGTEVGEAAAKVLWETGLKVVFRQLDVTSTSSVQTCADWVQQNYGGLDILINNAGVNFNASSENSVEFAEQVIATNYIGTKNMIKALTPLMRPSAAGARIVNVSSRLGRLNGRRNRIGDVSLREPLECVDSLTEEVIDRTVDAFLEQVKDGSWATGGWPQTFTDYSISKLAVNAYTRLMAEKLSDRPEGKKIYINCCCPGWVKTAMTGWEGNISAEEGADTAVWLAFLPDQSVSELFGPFVRKINCEMEKKSCCSGRSCCPSTRAVRPVATPKEEPGVTVKTPKAEDVKPEIITEVEGHHATAAVKVERKEAGNVAAVKKEEAVKVNVGCGGGACN >KZM94817 pep chromosome:ASM162521v1:5:26202698:26203009:1 gene:DCAR_018059 transcript:KZM94817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEADKMILDAVKENTRMKKTITHLEEENKRLKNKIKLLEIHHSNNERMIDLLKRHKDEQQALGLHIIDPTKFEPPNIGKKRKLEHGEGSQVPRDEGEQKKE >KZM96225 pep chromosome:ASM162521v1:5:39308274:39317252:1 gene:DCAR_019467 transcript:KZM96225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSPVPLSLSTSSSPSLQLLRHRNFLSLKFRSPSSPPSTCVVSCSKADVVVTRERGKNAKLINALAKHGVNCLELPLIQHKHLPDLERLSFVLRDTTFDWIVITSPEAGLVFLEAWNAAGNPNVKICVVGNGTASIFNNVTRSSKQMLDIAFAPSKATGKVLASELPHTGNKKCTVLYPASAKASSDIEEGLSNRGFEVMRLNTYTTVPVDHVDPTTFQQALCSPVIAVASPSSIRAWVNLVPDLERWTYSVACIGETTALAAEKMGLQNVYHPTNPGLEGWVDSILEALRVHDRFQKV >KZM93499 pep chromosome:ASM162521v1:5:7286743:7288068:1 gene:DCAR_016744 transcript:KZM93499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAIKSCYSIHNCRKIHGRVIKTLSYRDGFIGDCLVSLYFNLGCFDDAHKLFEEIPDRDLVSWNSWISQLSRSGGFGKSLEMFNRMRLEMGMEPNEVTLLSLVSACTDTGGFDEGAFVHGLAFKMGLLSEVKVVHSFINMYGMFGYVGLACRLFETMVVQNLVSWNSMIKIYAKNGFGEEGFKFVKAMRRAGIDPDQATVVTLLQGCKDVCVGNLVEALHGYIITAGLVEDVTIMTTLMSVYTKSGRLDASQEVFRAMKEPDTIAWTAVLAGYALHGYGREAIELFDFMVSKGEKPDHVTFTHLLSACSHSGLVEEGKSYFEIMSRVYSLEPRLDHYSCMVDLLGRSGHLREAHLLIRSMPMEPTAGVWGSLLNACKIYSNIELGKEVAERLFVLNPTDSRNYIMLSSMYSEAGQWPEGQQTRILMKEKRVVESAGCSSI >KZM96209 pep chromosome:ASM162521v1:5:39189364:39192375:1 gene:DCAR_019451 transcript:KZM96209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSFPPPSSAAGSDLLRRRNSDPDSQKSDSVGTEWTDEKHNEYLQSMEASFVDQLYNSLDLHGSQSHKEHLSDPKSSRQMHVSTRIPSGQFKVLRDGCWTKVNFRRGEAPSRIANDPRDISVNPWVRHYRSGHRHQTHQTVASPSIQGKTILDSKNPFHMLQPNLCHHDTADSNTEVTDQNFVDEDLDKEVASQTEIKAAVLASTSSDQVVPCREFPATEDAGNINSSSVKD >KZM96081 pep chromosome:ASM162521v1:5:38072164:38072521:-1 gene:DCAR_019323 transcript:KZM96081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARSESPPSAPATNAGVKPEEVSPLKRAWVNMSATLQEHFRYFKASLYGLGKKVTARSEKEAAEADLQAAKMQVEAADAAEESKNKISQ >KZM95999 pep chromosome:ASM162521v1:5:37333641:37339161:1 gene:DCAR_019241 transcript:KZM95999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFQAACKGRIFLESRIEKCTHDRLCSNVAGCVQVSSSRIKRIASEIDSELLFRSCNNTRLTKCLHALLIASGKARNVVVSTKLVNHYAQIREISLSRRTFDFISSKNVFAWNSMMSSYVRNGCYRDAVNCLYTMLMTSDVRPDFYTFPTVLKACANLTNGSQIHTWVFKLGFHWDIFVASALVHMYCKVGSFPAAREIFSGMTYRDKGCWNAIISGLCQNGNAVEALEIVDKMRMEGVAMDSVTVSTILSVCAQIDDFCRGCLIHLYAIKHGLEFDLFVCNALINMYAKFGLLGNAQKVFDLMEERDLVSWNSMTAAYEQNNNPDTALNLFREMQLHDVEPDFLTLVSLASSVAQTKDSQNSGSLHAFILKRCWIQEHVVVGNAVVDMYAKLGITDSALKAFEELPMKDLISWNTMITGFAQNGFASEAIEVYSMLEKCEDIVPNEATWVSVLPAYAHVGNLRGGTKIHAHTLKAGFNTDVFVGTSLIDLYGKCGRLKDAMSLFYEVPRRNPIPWNAVISCHAIHGHGETSLQLFRDMLDEGVSPDHALNSFILRYSGDIPYTNMKFYILFWVFSYDDRLHGGEWKSQGRPGGPGQVWCRGTKALDALAFVVCE >KZM95555 pep chromosome:ASM162521v1:5:33299544:33306560:1 gene:DCAR_018797 transcript:KZM95555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPARAPVLPAYEIVKPGCIPTCGGLVVPYPFGTSKGCYLENKASSFFINCSDHTPRLGKSSIPVLNITLDDHHIHILIPAARICYNDITAKFREYSVALRLSKFQVNSTLNKFTVIGCSTLALITGKRAQNYTTGCASVCDRVESVVDGSCSGIGCCQTSLPIGARDFEVTFQVYNNSILADIPCSSAFVAEQGFYNFSSSDLITFEKRSFPVMLDWAVGNQTCAIAKKNKASYACVAPDSTCIDSEYGKGTGYRCYCPSGYHGNPYLINGCIDIDECATSNPCQPPATCHNESPGSFQCQCPHGHKLTADGKGCEKKSRDAGSGIAIDFGASKLVPRDHAEIATLVQGTFGYMDPEYFHSSELTEKSDVYSFGVVLAELLTGEPAISFDRPEKNRSLSMYFISSMRTGNGMPSIIQKSLVNDEKNIQQIKQVSMLAARCLQMTGDKRPSMKEVATELEGLKAMVEHPWLDKDSTTDHTETEYLLNQSVLSDAIGSTSFDHNSMVGFDNTSIQMTVKENLCIDTGTTLEVILTIVRSLNDAFYMIQIYVRFRTAYEAPSSRVFWRGELVTDYWLIAKKYLFKDFWIDLIASLPLPQVLIWIVIPSLSGSTMANTRDVLKFIIIFQYLPRLFLILFPLSAKIAEVTGSVTETAWAGAAYNLLLYMLASHFVGACWYLLSIDRQEACWRSVCNLSELCKNDYFDCHKANDAVRSNWFKSSKIKSICNPRASSYPFGIYGDAVTKYVTTSRFCNKYFYCLWWGLRNLSSLGQGLGTTTYAGEICFAVIIAVLGLLLFALLIGNMQTYLQSTTVRLEEWRMKKTDTEQWMRRRQLPEDLRQRVRKYNQYKWVALRGVDEEALLKDLPPDLRRDIKRHFCYDLVRRVPLFDQMDELMLEAICLRLKPVLFTKHTFLVYEGGLVDEMLFIIRGKLLSCTTNGGQSGFFHSFGIGPGDLCGEELLTWAFDPRPSVIRPSATHSVQATSEVEAFALIAEDLKVVASRFGRLHSKQLRPKLRHSHQWRTWAACIVQAAWRSYRIQKITAELKAKESLRTVAALTEDMKVHSDKNVPSPSSGFSICVQQG >KZM94654 pep chromosome:ASM162521v1:5:24623511:24627152:1 gene:DCAR_017896 transcript:KZM94654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWDEGAVYYSEQAQFPRGAGDPEQAASRHTFETAAAEALPSLRSRVSGESGEMEEPETEENVKVIPCRPGLGGAIVLHSCDHVPQDTRRQANENGETAAVPITVRQLKAIVRLSEALAKMQLSYVASDNYVMEAIRLFNNATMDIAKSGINQQINLTPEMANDIRRMGIASHISERRLIDELSRMGLDESIVRVYLVVRRALLIMHQRDEVEYERERRVILRKA >KZM93060 pep chromosome:ASM162521v1:5:2058098:2061136:-1 gene:DCAR_016305 transcript:KZM93060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNKKIFVADRSWREEDNSIFAKQENETYMKDTQYGGSKTHNTVADRRQDQKPLLAKQGEGSKKHISVVDRPWREDHKLNFAQKGAGSHIKDSEYGSKTHNTVADRRQDQKPLLAKQGEGSKKHISVVDRPRREEHKLNLAQKGAGSHIKDSGYGSKKQIQETVRSTKEEGSFSEKQGKGPQIKEDKQGRQGHSDECDKDSMRAKKNQIFIYAEESGKCAEVYNLHRSTVEDMIVCCECKMEVERVWVYLTVKGVPTSKVHGGLEQMQRDEAFHRFKDGNVHVLIITPKLLKLLRGLPNKFELQLVNYDLPVDYGEYKNRMLIAEKVVTFLNQESPRSSLSKLLVDKELDVPDLVQMKLEECRAEIVWGIKPEKEIWDH >KZM93176 pep chromosome:ASM162521v1:5:3150310:3150522:-1 gene:DCAR_016421 transcript:KZM93176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVPPSVLEDNAIGNKGFYLYPLGTSNNAEPQLLDLYVSHISGTQAPELVSYISRFLNSYGHGQNVDVA >KZM93233 pep chromosome:ASM162521v1:5:3927982:3931145:1 gene:DCAR_016478 transcript:KZM93233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSIFVVLLLVFISLANAASEDQSEEPGVYIVYMGGKGSSTPGTLRDDQAQIMNSFLKRDAVVQVYKHGFTGFAAHMSKDEADLIAQEPGVVSVSRDKMIKLSTTRSWSFLKLQHYLDNPIPETEFHRSKVHGAETIIGIWPESRSFNDKGMGPIPKRWKGTCQETEDFRASSCNRHIYANVVLGTNQVIKGGGFQFSSLSASPIYPLIDGVSAKIRSHNITDRLARNCQPGSIDSSKVKGHIILCFNEIGDDPFDRIEDMINKGALGAIMVDDDFKIQSIDLLYENKTFPRTFISSKDGNAVLSYIKSNRNPTATIGRSATSIGFKPAPAVAYFSSRGPSVLVGIGITFQTCWSVLLQPDITAPGVNIIAAWTRASTEFGIPGQAPPDYVVVSGTSMATPHIAAVAALIKSQHPTWDHSAIRSAIMTTAVQTCSDGSPILKFPGSQVATPYDFGAGHVNIGQVMDPGLVYETSMTDYYFFLCNYGYNLSTIKLIAKTIPEGFSCPKNANTALISNMNYPSIAIANFKKNTERKVTRTVKNVGDEDEAVYEVTVDAPEHLNVQVIPETLHFTQEYQKLSYDVKFSTKYSFDSDIFGWITWSNGKYRVRSPFALSLTERSIR >KZM92865 pep chromosome:ASM162521v1:5:374326:380099:1 gene:DCAR_016110 transcript:KZM92865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGVTSIGVRGKDSVCVVTQKKVPDKLLDPSSITHLFAVTKYLGLVATGTTADARTLVQQARNEAAEFRHKYGYEMPADTLARWIADKSQVYTQHAYMRPLGVVAMVLGIDDEVGPQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKNDPAFTYEETVQTAISALQSVLQEDLKATEIEVGVVVKGNPIFRALSTDEIDEHLTAISERD >KZM94851 pep chromosome:ASM162521v1:5:26464312:26464824:-1 gene:DCAR_018093 transcript:KZM94851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLVRRAVLNLRSNFTGNRHLWTSGSNATGLYGLHRLKFPQEKPVSCLVRRAVLNLRLNFTGTRHLSTSGSDAAGLYGFHRLKSSQGFQRLVDDAVKREKVPKVATYKLASMSFLEK >KZM95074 pep chromosome:ASM162521v1:5:28393411:28394347:-1 gene:DCAR_018316 transcript:KZM95074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILRDLIPRNISRRVSSADIWPSSSSTTKLDDFDSDFNDFCQEQPHYTLKRSHHPYTGEEHHENTVKKQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTYNTAEEAARAYDREARKIRGKKAKVNFPNEDTNDHEVHFSDSNKKFTPELKQEVKEEESVESNHKEELDEVKKLSEELMAYEVYMKFYDIPYLDGTVEAQVNEVQEVVVDCELMNLWSFDDVV >KZM96105 pep chromosome:ASM162521v1:5:38310204:38311544:1 gene:DCAR_019347 transcript:KZM96105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEPWTLLTHRNPQHGWAPYNPRTMRNPPLTPHTKHVKILSYNVNGLKRLLELDGNYLVQLAQREDFDVLCLQETHLQEKDVEAIKCSLIDGYEDSFWTCSVSRLDYSGTAVISRIKPLSVSYGLGVSDHDSEGRLVTVEFDTYYLVCAYVPNSGRYLKRLSYRVTQWDSCLSNYVKELEKAKPVVLAGDLNCAHEEIDIHNPARSLKKAGFTIEERQSFEMNFLNKGFVDTFRNQHPGVVGYTFWGWNDGRGTNKGWRLDYFLVSESVLDKVYDSYILPDVDGSDHSPIGLILTL >KZM94081 pep chromosome:ASM162521v1:5:15000941:15001837:-1 gene:DCAR_017326 transcript:KZM94081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGQVISCHTVETWNEQLQKGKNDNKVIIVDFSASWCGPCRVMSPYFAELAKSMPSGIFLKVDVDELKSVAEEYDVEAMPTFMVLKEGKVVEKVIGAKKDELQQTIAKHLNVSA >KZM93624 pep chromosome:ASM162521v1:5:8571471:8573252:1 gene:DCAR_016869 transcript:KZM93624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARTGVFVDDYLEYASTLPAELQRLLNTVRELDDRSQAMINQTRQQTKYSLGLATQSTKKVNYEEDDATLKKDIEASQDNALSLCTEKVLLARQAYDLIDSHIKRLDEDLNNFADDLKQEGKLSPDEPAILPPLPLVPKSEKRKPLYVTPQSRKYTYLDRAWERDRERDFELMPPPGSLKKDYAPIEIDQPIDPNEPTYCVCHQVSFGDMIACDNENIAFPIQL >KZM93651 pep chromosome:ASM162521v1:5:8920356:8921071:1 gene:DCAR_016896 transcript:KZM93651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAVQLVVRITVVFVVALFVKCAWRVWDSVWVRPRQVEKCLRKQGLRGNSYRFLYGDVKDNLEMSKKAMSTPMNLSGDVATRAIPFIHQTINKYGENSFIWFGPTPRLLITDPVLVKQVLNQTFRFKKLRQNPIVRMFANGLASIDGDQWTQHRKLLSPAFLSSKLKVFFTILTLLLKHNL >KZM93080 pep chromosome:ASM162521v1:5:2215950:2220822:1 gene:DCAR_016325 transcript:KZM93080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEGSGEGYLKKHFHHHQHHHSDTEVMSTEKLAFSGPLNQRGGRKSARFSSNPDDDYVEVTIDVRDDSLAVHSVKTAGGGELEDQELTLLARGLEKKSSFGSSVVRNASTKIRQVSQELKRLASLTKRSHAGKLDRSKSAAAHALKGLKFISKTDGGAGWAAVEKRFDELTSATTGLLPRALFGQCIGMNKESKEFAGELFDALTRRRNITGELINKAELKEFWEQISDQSFDSRLRTFFDMVDKDADGRITEEEVREIISLSASANKLSNIQKQADEYAALIMEELDPDNVGYIMIENLEMLLLQVPNQPVRGGESKLLSQMLSQKLKPTHDHNIVRRSIRDFKYFLLDNWKRVWVLALWIGVMAGLFTYKYVQYKNRAAYEVIGFCVCLAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGMAVPFDDNLNFHKVIAVGIAIGVGVHAISHLACDFPRLLHASEEKYELMKPFFGEDQPTSYWHFVKEIEGVTGIIMVVLMTIAFTLATPWLRRGRLNLPNFLKKFTGFNAFWYSHHLFVIVYTLLIIHGIRLYLTHEWYKKTTWMYLAVPVTLYAGERLVRVFRSSIKPVKILKVAVYPGNVLAIHMSKPQGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSEVCQPPPTGKSGLLRADHIQGENNPNFPRVLIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIVNNMKDMEEDETALEDGTTSSIANNNPLTTASSPNSSKGRSGSVSGNQFKTRKAYFYWVTREQGSFDWFKGVMNEVAETDKNGVIEMHNYCTSVYEEGDARSALITMLQSINHAKNGVDVVSGTRVKSHFAKPNWRNVYKRIALNHTNSRIGVFYCGAPPPVKELRQLAADFSHKTSTKFDFHKENF >KZM95846 pep chromosome:ASM162521v1:5:36202323:36202649:1 gene:DCAR_019088 transcript:KZM95846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTALFFTALLLLLLVLSPPVLVPHGLNRVSGVVCAATRPVGKKSRDAYVTFNPGDKRYTGKEGTQGRGYENCMPKGFRRSSAPSRYINDHTFGSSLCSSSKDESKP >KZM92968 pep chromosome:ASM162521v1:5:1266628:1268405:1 gene:DCAR_016213 transcript:KZM92968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQISVLMTYPMFTNLEKLLEKHYNLLRLWELKDHEQEHFLKANADTIKAVVACAEAGINKKMINLLPRLEIVSTFSVGYDKIDLIKCRERGVKVTNTPDVVTEDVADLAIALALSTLRKICGADGFVRKGLWKMGDFCISTKLSGKSVGIVGLGRIGSAIAKRAEAFNCPVSYYSRSRKLNNDYKYYTNIIDLAANCQILFVACALTEETRYIINREVLDALGREGILINIGRGLHVEESELVSALLDGRLGGAGIDVFENEPDVPDQLFGLDNVVLLPHVGTSTMETCTEMADLVIKNLEAHFKNEPLLTPVL >KZM94529 pep chromosome:ASM162521v1:5:23266564:23278351:1 gene:DCAR_017772 transcript:KZM94529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVTYKSDALTLQKPIASVFVQALVVDTVLTAQKALICFLVLDDSTTTGTVSVDGRNAIAVVDDDYVCATLDWWPPEKCDYGTCSWGKTSLLTLDLSNEIFLKAIKAFSPLKIRLGGSLQDKLIYETEDHAQPCTPFVNKSSEIFGFAQGCLPLHRWDELNLFFQKSGAKIIFGLNALNGRSIRSGSAVGKWDSTNAESFIQYTVKKNYRIQGWEFGNELSGTGIGVRVAADQYSLDTISLINLVQDIYKGEEFQPQIIAPGGFFDANWFKEFVSKTPKLNAVTHHIYNLGAGVDQHLVERILDPSYLDGIADTFKQLQSIVKSSQASAWVGESGGAYNSGHDLVSNAFVYSFWYLDQLGMAATYDTKTYCRQTLIGGNYGLLNTQSFVPNPDFYSALLWHRLMGRKVLSTSFSGTKKLRAYTHCAKQSNGITMLLINLDNSTTVQITPTFSKTSSQKSKQGFQYQRSKLLMQLTGDEIDRRKTRKEYHLTAKDGDLHSQTMLLNGRPLTLTSSGDIPPLVPVDVKVSEPISVAPYSIVFVHLPNVLLPACK >KZM93739 pep chromosome:ASM162521v1:5:10021293:10024163:-1 gene:DCAR_016984 transcript:KZM93739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKYIFHFTIIIFLCSISASWSLEDVLQVHDDIYRFGDYHDGVYDRETGYDSRAYPSEFLSSDGKYYSDGMSPDLPDVGTGIESYLEKLAAETSAKTVSVQSYGAKGDGKSDDTMAFEKAWKEACSSSGAIFMVPKNKKYLVKQITFEGPCKAALTVQVAGTVLASNNRGDYKNDKRHWLMFENIKNLVVQGGGVIDGNGKIWWENSCKIDESKPCTDAPTALTFYRVNKLTVKNLNIQNAQQIQVSFERCETVQASDLRVTAPGDSPNTDGIHITRTKNMQLSSSVIKTGDDCVSIEDGTKKLKITDLTCGPGHGISIGSLGDGNSEAHVSDVTVNGAKLSGTMNGVRIKTYQGGSGSASNINFKNIDMKDVENPIIINQNYCDQSKPCKQQKSAVQVKNVLYENIKGTSATDVAINFNCSKNHPCQNIVLRDIKLTGQDGEKVKAVCKNVELEESGTVSPRCPDN >KZM94325 pep chromosome:ASM162521v1:5:21056476:21056802:1 gene:DCAR_017568 transcript:KZM94325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELCMCRLLVVERTAWTENNAGRRFVSCVKGRNGCNFFRWTDPPVCARGRAVICGLLRRIERNEEELEKLMLLQEEKSNCRVQKFRCLNVKMFVFIVVVIWFVWTRF >KZM93618 pep chromosome:ASM162521v1:5:8543584:8543805:-1 gene:DCAR_016863 transcript:KZM93618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSIHIKYINSALRAKVFERNLILPLQELIAQKFLTDTDFFRGGEGNGGASSSGDGGGASSSGHGVRRHPRG >KZM94881 pep chromosome:ASM162521v1:5:26705975:26706853:1 gene:DCAR_018123 transcript:KZM94881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHQKRPAVFVAEAKSKADTENLDTESSESELEFDEDDEVRQSEPSENAIYNRDGLLDKLGDISWPENVDWIHKLSFDVNQEQEVDANDDLTRELVFYTQALEGTKEAFLKFQSMGRPFLTPTDYNGAMVKNDTHLEKVKDQLLAEKNRVEEAEERRKARESEKIAKEAQAQKFKEKAKQEKEEKKSAKKLIKERQESGISEPEEDGDIGLADEDDSTFDESDHSEGKAKEITGKGKKEADKRRKNRDYRNSKHGLGVKKGIRKQNKAETTNDTRRGFKSTGFAKNKRRKT >KZM94586 pep chromosome:ASM162521v1:5:23879552:23879821:1 gene:DCAR_017829 transcript:KZM94586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPETQSITEKANLPVLRPLKDIMGESLPTLIVSDPSKAKDSNVAANDQVPGGSEVC >KZM93654 pep chromosome:ASM162521v1:5:8942975:8944682:1 gene:DCAR_016899 transcript:KZM93654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAFVSEIIGLLSFLALLCISDSSKVTPDKFFDVRRYSAVSDGKTDNSQAFLKAWREACNCDGKCWVSVPKGTYKLGNVGFVGPCKGVTGFLIRGAIVAPTDPAQFTERWILFQYVENLLIKGGGTLDGQGASAWPHNDCRINPHCKPLPATLSFDFVTHSRIHHLKSLNSKSVHFNLFACNNITINKVELTAPADSPNTDGIRIGQSNHIKISQSVIGTGDDCIAMINGVRNVVISNVSCGPGHGISIGSLGRASNEQVHDIKVLNCNISNTQNGLRVKTWAPSFSGMVSNLTYQNILMNEVSNPIIFDQNYCPNRSCSKKAQSRVKISNATFKNIRGTSRSKIAVNFQCSGVEPCDRVTLDNINLAYHGPGGPAESLCRNVKGTSTGTQRPPACL >KZM94032 pep chromosome:ASM162521v1:5:14111907:14114049:1 gene:DCAR_017277 transcript:KZM94032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSSYHVYLLGYKPKSVRKRKNFAEKENVSGNSSKRFSFPLTPLTPNSLGYKPKSVRKRKNFAEKENVSGNSSKRFSFPLTPLTPNSLGESQTANATPETLNSRTSTLEDGGLGKRTIFNRTVQNQIATPTTPLSNITNVLGSPQLANRSTIKTSILEDGGLANRTIFDRTGQNQISTPTTPLSNITNVLGNPLLTNRSTANLHLGNGTQESRKKLFSSISSHNGKLNVGANLTRTGNAVKKRFEETTKTLFPEKASQNEEKTRYLQDDDIECSIATDCVLSEDSDSEFGCG >KZM94602 pep chromosome:ASM162521v1:5:24037239:24043611:1 gene:DCAR_017845 transcript:KZM94602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVPVICGTELEREIELSRDGSHYSLTTGILPSLGARSNRRVQLRNFIISPYDRRYRFWETFLVILVIYTAWVSPFELGFLHKARPPLSVLDNVVNGFFAIDIVLTFFVAYLDKNTYLLIDDRKLIAWKYASTWLAFDVISTIPSELALKISPSPLRTYGLFNMLRLWRLRRVSSLFERLEKDRNFNYFWVRCAKLICVTLFAVHSSACFYYLIAADYHDPSKTWIGASITDFKNQSLWIRYVTSIYWSITTLTTVGYGDLHAQNTGEMIYDIFYMLFNLGLTAYLIGNMTNLVVHGTSKTRQFRDTIQAASSFAHRNRLPVRLQDQMLAHLCLKFRTDSEGLQQQETLDTLPKAIRSSISHFLFYTLVDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLVVLKNGVEQASILEYHGMFLVLSSCIMVVGEAKTGDLCGEIGVLCYRPQLFTARTKRLSQLLRLNRTTFFNIIQANVGDGTIIMNNLLQHLIEEKDPMMEGVLLETEHMLARGRMDLPLSLCFATLRGDDQLLNQLLKRGLDPNESDNNNRTALHIAASKGNENCVLLLLDYGADPNSRDSEGNVPLWEAMLSNHEQVVKVLADNGAVISSGDTGYFACIAAEQNNLDLLKEIVHRGGDVTRPKSNGATALHVAVCEGNVDIVKFLLDQGCYADKADDHGWTPRNLAEQQGHEDIKLLFQSPKPERTQSADVQLPEEKHGVRFLGRHRSEPTIRPFSHDRNGEGESLGRARRRRGNNFHNSLFGIMSSATGEENDLLLSVNQNRSALNVAHYTARTTVSCPQKGDVTGKLVLLPQSFQQLLEICMKKYRFVPTRVLIKDGAEIDEINLVRDGDHLVFVGDLTVNGGHMR >KZM95216 pep chromosome:ASM162521v1:5:30272217:30273761:1 gene:DCAR_018458 transcript:KZM95216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLEFSTSLLYCIATFLLFYLVKNFSSILKGLFPSKASKLPRSYPIVGSYFSIIRNIHRFVDWSANIVNSQPSSTFILHRGVGQRQIFTANPANVQHMLKTKFHVYQKGIFGSRVMHDFLGHGIFNVDGESWKFQRQISSYEFNTKSLRKFVETVVDTELSDRLVPILNKAARTEEVLDFQDILERFAFDNICNIAFGYDPEYLLPSLPEAKFAVAFENATTLISKRFRYIMTLVWKVQKAFDIGPEKQLRKSTEEVREFARKVMMEKRQELEEKSELQSVDLLSRFLSSGHSDEVFVTDIVISFILAGRDTTSAALTWFFYLIANHPEAESKILAEINDKNFEKNSESSAYNEVKDMIYTHAALCESMRLYPPVPTDGKQAMEDDVLPDGTRIYKYDRVSYHPYAMGRSEKLWGSDWQEFRPERWLEKDSVTGKWCFIGRDQYTYPVFQAGPRVCLGKEMAFLQMKRVVAGVLPAFRVIPVIEKGKEPVYISFLTAKMQGGFPVRIQKRIF >KZM96378 pep chromosome:ASM162521v1:5:40591468:40592581:1 gene:DCAR_019620 transcript:KZM96378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNFKDLPPLKRFRLIQQQQELELKSLPAKKRKHFTVSSSPPPSYSLPAKKRVSAFRPFDLNLDYPFPEDDELGQIKNPLEKNQENLDDPFSEDDILGQIKTPLEKNQENLDNPFSEDDELGQIKKPLVKNQENQEPSDNVSDDDGIVCAVCQSTDADPSDPIVFCDGCDLMVHTTCYGTPLTNGVPEGDWFCSKCLVSKKDNTKVISCCLCPETEGAMKRAGDENWAHIVCALFVPEVFFQDSEGREGIDTTEVPSRRWGNKCYLCDLVKGCVIDCSEPKCPLAFHVTCGLKQELCIEYREGKSKGAIVAGFCKTHTNLWTKQQQSGKFKIVARDEHKRN >KZM93192 pep chromosome:ASM162521v1:5:3386234:3386518:1 gene:DCAR_016437 transcript:KZM93192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVNSVTKRTSEGELNVDTPPIGGDNETAGKTTAKRKRWDCDLCCVITSAESVLQAHLKGKKHLAKLKASSETAKPPTSGEDHGKSIQEDNLPK >KZM95427 pep chromosome:ASM162521v1:5:32014089:32016949:-1 gene:DCAR_018669 transcript:KZM95427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKWQKKKAQFPVLAFVFLIFILCSIFYNERYIHQIHQENSNNNHQITNLVDAYDNNPFNHFNHTLDELPPVALDRSSKCESTVKYSGQEAKWAVGKQEVTGRRESLERCDVFSGKWVFDNSTYPLYNESDCPYMSDQLACHKHGRPDFDYHYWRWQPYGCDLKRWNATELWEKLRGKRLMFVGDSLNRGQWISMLCLLQSVIPANKRSITPQAHLTTFRAEEYNATVEFLWAPLLVESNSDDPVDHRLDERIMCPDSLLRHSSEWKNADILIFNSYLWWRQGPVKLLWSTERNGVCQEIDELRGMNLAMEAWAEWIDSNVDPLEKKVFFVTMSPTHQLREEWEPQGKGNCYNQRTPIDNTSYWGSGSDLPTMQMVDKVLNRLGSKVSVLNITQLSEYRKDGHPSIYRKFWEPRTPEQLANPSSYSDCIHWCLPGVPDVWNELLFQFL >KZM92898 pep chromosome:ASM162521v1:5:634148:636459:-1 gene:DCAR_016143 transcript:KZM92898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTAAYKLLSSVFSTSISLFSKINITHRNCEKSFNVDIYDNEYHYFRIVWTTGTEMLKTRKVAGRGDAISSHYAFGQLEDDTIIKHRLLTRTTTTRGEPPLKKLQKKFTSFALEIEKDADNSNDCERLAKAFLQELTTFELPLLKSKAVIDANLREKENFNELKAEINRQIIQAEDDIEDLKKQLEESKVERQHKEECETIRKLIAMQPPRSETQRFLTDLEKEIALLEAENISGSRTLELRKKQFALLLHVVDELQNTIEEEPRSLMEEMRNAMEDHKNGVEDASCGPDAMAVD >KZM94641 pep chromosome:ASM162521v1:5:24533568:24534164:1 gene:DCAR_017884 transcript:KZM94641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSNNSPNFDNLFLRTLMGRVHTRPTFPLQPPRSLNQTLEDLLLDSLNLDNSDDEQDCHNNKTQLAKEESKLEKDIIQIILSKKTESLKPNSGQAVAIGENYVCVGFHEERDSEYRDGGDEVGNVGGLKELIDQGDLGSRRILHRNVTAKAPRFA >KZM93083 pep chromosome:ASM162521v1:5:2246184:2256081:1 gene:DCAR_016328 transcript:KZM93083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAMAKPMLRGVVKAVPSGDTMARRGRQQTTDEPFSWQSREFLRKLCIGKEVGFQIDYTLPRKGLEFGTVFLGDKNVACWVVAQGWAKVREARESEKDKGECSPHLKMLRNCENMAINQGFGLWSKAAGAVRDLPPSAVDDPDNLDAEAFLDGNKGRPVEAIVEYVPNGSTLLVYLLPDYEHVRVFVAGVQVRIVFEDYDRTALIGSVYYQDGELIKDLAVQLLENGLAKYVEWSASFLQDKVRLQLKNSELAAKNNRLRMWNNYMPPPTTSQAIKDQNFTGKVVEVASGDCIVVKVDSLPVTSSAAGRRVYLSSIRCPRLVNGRNEDRGKVSFYAREAREFLKKHLYGRQVHVSMEYSRKVNTAEGPEERVMEFGSVFLESQSESTEDVLLALPTAGSQHHRTNIAELMVARGFAEVIRHREFEVRSNYYDALVDAECRAKVAKEGMHSDKKYGEKQAVSNGQAIRRTQKKESQIRESSVNGQEVSSTQAIKRTPKEEFQVVVKEVLGGGRFYVQTAADQKEASIIQQKLASLKLREAPAIGSFKYNKGDLVIAQFSQDNCWYRAMIVNVPQGAIRSAKAKYEVFYIDYGTQEFVAYSRLRPTDCYSVPSSPGLAQLCSLAHVKVPSWEEDHGKEAAHCLSDHILNRQQLKAIVEERDTSGGEARGHGTGTNLIVTLMDAKANISINAVMLKKGYARVDKTRREDAAAEQTTEEVKPCREDAAVKQTIKKLEAYQDRGKVNAKTQSERREDECDEAVLLKCGFKMLGADLFNDTKMLEINNSSKELNFPYSHANRKLVASDNGGLQNPSYLIFNPEWDSKEAPSPNKRFNYPSVPGVQKPISDEDIAFMTVLELGHLFKTKQISSEKLTEIFLKRLKRYNPVLEAVITFTEELAYKQAKEADHLLSQGVYLGPLHGIPYGLKDIIAVPQYRTTWGSTSFKDQVLDVEAWVYKRLSTAGAVLVAKLVTGSLAYNDIWFGGRTRNPWNIEEFSYGSSAGPASSTSAGLVPFAIGSETAGSMMYPADRCGVTALRPTFGTVGRTGVMSVSDSLDKLGPFCRSASDCAIILDAIRGKDPDDLSSRNIIFDDPFMVDITKLSVGYLEDASMEVVHVLQSKGVKMVPFELNYTVDSVQGILNYAMDVEMLVNFDEWQRNGLDDEYEVQDQWPLVLRRARVMTAVDYFQAQRARGKLIREVRESFTVDAFVGSVTERDRVCMGNLVGLPVVVVPTGFTEISDPPSVRKTAVTTGIYAPPDRDHIALALAMAYQSVTDHHKQRPPIDDIGSHVDI >KZM95750 pep chromosome:ASM162521v1:5:35183481:35189619:-1 gene:DCAR_018992 transcript:KZM95750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLSVEEIMKNQRAKGPATVLAIGTAAPPNCYPQADYPDFYFRVTKSEHMTQLKEKFKRICNKSKIETRYLHITEETLEENPNMCHYSAPSFDARQEILRMEVPKLGKEAADKAIKEWGHSKSEITHLIFCTTSGYDMPSADYQLTKLLGLNNSVKRHMVYLQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITTITFRGPHIHSLLPQALFGDGASSVIVGSDPDPLTERPLFQIVFAAQHLVPDSEDTVRGKLGESGLMFFLKRNITTLFAGNMEKILKEVFEPLGITDWNSLFYITHPGGPAILNQVLSEYGNMAGACVFFVMDEMRKKSMNDGRATTGDGLDWGVALGFGPGFTVETVVLHSMPVTH >KZM94593 pep chromosome:ASM162521v1:5:23909640:23910260:-1 gene:DCAR_017836 transcript:KZM94593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNMAQSPLERNSLISLEQRLATAKRCSREGVVAGAKAAVVATIATAVPTLASARMLPWARANLNHTAQALIISTVAGAAYFIVADKTILASARRNSFNQSASANLEA >KZM94414 pep chromosome:ASM162521v1:5:22099958:22101951:1 gene:DCAR_017657 transcript:KZM94414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAEAFVKEEGHQSDDEDSFYFKGVKHLCETGVTHVPSKYILPVSDRPHLINEQDDVQELNLKLPIIDFAELHGSNRSRVLDSLRSACENHGFFQLVNHGISGDVISNMMDVGRRFFELPFEERQKLMSTDMRSPVRYGTSFNQTKDGVFCWRDFLKLVCHPLSDVLPHWPSSPLDFRNKGVAYANESKAVFLKLVEAILESLELTTMGKRTRETEEILKEFEDGSHLMVLNCYPPCPQPELTFGMPPHSDYGFVTLVLQDEVAGLQIMEQNIWHTVQPVPNSFVVNVGDHLESRISVASLHSLPFESTVRPWPKLIDEKNPRQYMDTHFSDFQNYLDLCDAKKKNFLESRKLTKLKIADNTSLES >KZM93551 pep chromosome:ASM162521v1:5:7691670:7693060:1 gene:DCAR_016796 transcript:KZM93551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSSKPLLFLSFFLAAAFATSVLARDFSIVGYAPDDLTCIDKLINLFESWLEKHGKSYESIEEKLHRFEIFKDNLMHIDETNKKSSSYWLGLNEFADLSHEEFKDKYLGLKVDLSTRRESNPEDFIYKDVVDLPKSVDWRKKGAVTNVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELVDCDTSFNNGCNGGLMDYAFSFIMSNGGLHKEDDYPYIMEEGTCDEKKDEFQVVTINGYHDVPRNSDESLIKALANQPLSVAIEASGRDFQFYSGGVFDGRCGTELDHGVAAVGYGTAKGGDYIIVKNSWGPKWGEKGYIRLKRNTGKPEGKCGINKMASYPTKSK >KZM95972 pep chromosome:ASM162521v1:5:37144370:37144786:-1 gene:DCAR_019214 transcript:KZM95972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNLKRSASSQSPPVTKQSLAITPVPPPQEPTKAYACDFCPMTFSSSMALRGHQNRHKKPRASTKKAETDPVPEHCVFCAHWKLMALKMAYRDFLGVGVPSAQETADPEASGGMGIVINLEDDEDEAPELDLELKL >KZM95545 pep chromosome:ASM162521v1:5:33152138:33153908:-1 gene:DCAR_018787 transcript:KZM95545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTEGSPGSSMHGVTGREPVLAFAVASPVVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKSDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFVIMLTAPTVFCMSFVSSASGYIAVRFMIGFSLATFVTCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLIMPILFEVIQKFGATPFTAWRIAFFIPGWLHVIMGIMVLTLGQDLPDGNLGALQKTGEVSKDKFSKVLWYAATNYRTWVFVLLYGYSMGVELSTDNVIAEYFFDRFDLKLHTAGIIAATFGMANFAARPFGGYVSDLAAKRFGMRGRLWTLWILQTMGGIFCILLGRSRSLPVAVAMMIIFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSSQFSTGTGLSYMGIMIVCCTLPVTLVHFPQWGSMFLPATKDVVKGSEEHYYTSEWSEEEMKKGMHQGSVRFAENSRSERGRRIASVTTPPNLTPDHV >KZM96521 pep chromosome:ASM162521v1:5:41581338:41584592:-1 gene:DCAR_019763 transcript:KZM96521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRAWSLMLVALLLVECASGEDPYRFYTWNVTYGDIYPLGVKQQGILINGQFPGPQIDSVTNDNLIINVYNSLDEPFLFSWKNLTASGPRPNPQGSYHYGLINTTRTIRLANSAPVINGKQRYAVNGVSFIPADTPLKLADYFNIPGVFTLGSIPDNPSGSGGYLQTSVMAADFRGFVEVVFENSEDSVQSWHVDGHHFFVVGLNGGEWSPASRETYNLRDTVARSTVQVYPSSWTAVYMPLDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDEYPIPSNALRCGQAVGH >KZM94860 pep chromosome:ASM162521v1:5:26528775:26530849:1 gene:DCAR_018102 transcript:KZM94860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQVTLDRALHARNCTTRLGNECGSKRKKAAWDDCNRLLGDTIFQLNRTVQGTRRNTSRKGCSSFDAQTWLSAALTNLETCRSGSFELNVTKFIAPITTNNVSELISDCLAVNRAFLSHKKYIENDEDPEFPSWVREGDRKLLQSSPWAWRANVVVAKDRSGQFTSIQAAINYAAKVRRGHGRFIIYVKRGVYWENIEVGYNLNNIMLVGDGMRYTVISGSRSVARGFTTYSSATAGIDGIGFIARGITFRNTAGPQSAQAVALRSASDFSVFYSCGFEGYQDTLFVHAQRQFYKYCYIYGTVDFIFGNAAVVFQNCLIFVRRPLHGQVNVITAQGRIDPNQNTGISIQYSVIKAAPDLKRVARHFRTYLGRPWQQFSRTVLLKTYIDSLVSPQGWLAWENTNFAWDTLYYGEYKNFGPGSSTRNRVKWKGYHVIRSANEASKFTVNKLIAGRTWLRATGVPFSSGL >KZM94326 pep chromosome:ASM162521v1:5:21058083:21058358:-1 gene:DCAR_017569 transcript:KZM94326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRVSKTKETPEPTKTPDPIPQIEVQGYNQGGVFTSYTQPSRVENAPLGIQPQRFLVRGQYMTTLKQIEAEASARKSALGKGHHGETELG >KZM95168 pep chromosome:ASM162521v1:5:29515426:29516403:1 gene:DCAR_018410 transcript:KZM95168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSMLTCKQIAPSLSIPDGRQVLPFLRSSRPPKEKVVVVMGATGTGKSKLSIDLATRFSGEVVNSDKMQVYEGLDIITNKITEEEACDVPHHLLGIIDPNVDFTSTNFCSMASLAIRSIAGRRKLPIIVGGSNSFIEALVDDETHEFRSRYECCFLWVDVSMPVLHRFVSERVDRMVENGMVDEARQMFSLDADYSKGVTKAIGLPEFDQYFRVEPYVDLETRAKLCQEAIDEVKNNTCKLACRQLEKIYRLRNNKGWKVHRLDATDAFLKNGKESDKAWNELVAAPSMVILSRFLNNFGPNIYMNPTTVRGTAMETAMVTATH >KZM95401 pep chromosome:ASM162521v1:5:31807605:31836359:1 gene:DCAR_018643 transcript:KZM95401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKGDAARSKARPSSSSMAASLLPTAGASVGFGGYVGATRLDTSASNQQAGVPLVDIDSEVAQHLRRLARKDPTTKLKALTSLSRLMQKKSPEEVSPIIPQWAFEYKKLLLDYNREVRRATHDTMANLVTVVGRGLAPHLKSLMGPWWLSQFDPVNDVSQAAKQSLQAAFPAQEKRLDALVLCTAEVFMYLDDVLKLTPKTMFDKEVASDEAEEIHHQVISSALLSLATLIDVLLSPEAKHSSKAKEKTIYCAQKLLVTHKCFIGFLKSANPATRSSTYTVLSSYIKNIPQVFDEENINNISGSIFGAFQEKNPACHSAMWDAVLQYLQKFPDSWTPINQKNVLNQLSNFLKNGCFGSQQVSYPVLVLLLDFLPPKAIQGEKFFLNFFQNLWAGNSLSHSLNAWVALLSAIRECCLWLIKNASRFCGDGADAINHFQYTIFEKIFLKLFWHDYLNFINANYPGRLISRDSTELVGSIKDNPDKNIIEVLDRNYPNYPMGFQEEWGKCIVEILSGLYSLKIDLLVSFCATFEENCIYIFKQTASNKSPGSLERVNQFLLLVDEHAAQKGESWPLDHLVGPMLAKSLPLIKSLDSPSTVYLVEAAVSIFGSRQIVQKLLSADEHTCGHQSKASSKELDLDQFLRFFEENFVAWCLQESSYSTSARLDLLLALLDNECLTQQWDVIIRHAASFSHVESGTHTQDSKHIAVLALLLEKTNQTIKKRKLGVELISHPGPQPDYWHHQLLDATAITVARSCPPFGSSDPRFLCAVLGDSIVGDRTIFVARDTSFLIYNELLRKLLSFIGDSSFISVRDVRKLLIAEECDFKHGFESSEDVLAMAQFSLDVLEGSFFGLSIFTEESELVSSILAAILVIDFESSLAAVFREEQNDESKQELNARLSFCQRVHAFRCKVEKQFCRSLSINNKKRLESVLVQFVMGSLFEEVMLEIDQIALLCCVWILEILEILSQDQAEEQYILDQFLNEGNIGSLQILPVNLQELKNLKFIALVEQLINKLGFDRVFAAQISPTLPQSVELTVKVMSPETHHQRAWLAAQMLCTWKWPGGSVLSSFFPQLTAYAEHDNNSSGGYLLDSIVDILLDGALSQEGICESSACDVLAASCEEFESISEPHLKALVSLFNTLFEKNIWGAEKAAFYFKVLVDRLSIGGSVNLHCLKILPPIIGIIIIPQCMFCDESSVGVKSDSFDGIQLHNTVEDWLQKTLSLTSLTAWQTDMDDWLQLVISCYPLKNVGGTRPLKPVRDISSVERALLVELFRKLRHMVSASPATKKLPMVQMSLSKLIVVLVGYCWNELKEDDWEFLLYQCRLWIEALVVMMEEMSEDVDDAITNQSDSNNLEVIIEKLKQAVSLVKLSATKYARNALVSFSIFCRLVKLHMTGDLDASTTLKSDKWDLTMHRIYEGILRIFFSTGVAEAIASSYHYEASLIIASARLVHPHFWELVASHVVDSSPHSRDRAVKSVEMWGLSKGPISSLYAILFSSKPVSCLQFAAYVMLSSDTVLHMAFVKENPSPPVDEGTTDTHDNTHLTSSSEDNDLLREEISFMLIGSPRDILDSDLMSEKRVNVFLAWSLFLSYLLSLPSSSPARERLVQHIKDFAHPAILDCLFHHIPLESCMPRGLKKKELSAVLSSIGPAAASAITTGSAMSGVELLWPLGPETMAKLACTVFGLMLCILPAYVREWFGNIRNRSTLNAVESFTRVWCSPPLITNELSQINKANYSDENFSVVVNKSANEVVATYKKDETGMDLVIRLPDSYPLKLVIVNCTRSLGISEAKQRKWEMSMMSFVQNQNGALAEAIRIWKSNFDKEFEGVEECPICYSVVHTSDHNLPRLACRTCKHKFHSACLYKWFSTSHKSTCPLCQSPF >KZM94200 pep chromosome:ASM162521v1:5:18522478:18523563:1 gene:DCAR_017443 transcript:KZM94200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRRGGYDINDKWDACLDLGIRRFVYSSFAGAASALLFFRTPATRWASVAFGAGLGIGSAYTECSIKFDGASTKVWPVLTLTLITICYCEDSDLNHAIRSCVFFADVSCNIAYLLMLVFEVQNL >KZM95223 pep chromosome:ASM162521v1:5:30325485:30325667:-1 gene:DCAR_018465 transcript:KZM95223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDFASASAATIALPSLTPHHQHHHYQKRSIIKHQKHRNFITKLYLYVCIYLNGNKIQS >KZM93879 pep chromosome:ASM162521v1:5:11807431:11810759:-1 gene:DCAR_017124 transcript:KZM93879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLCCFRNHIFKHLACTNSLVRREFSLTKLLSVKAVPLSTCTNASLTSPFLSVHIQCRKDFADMLSEALLCFGASSTSIDEQDSHVQNEEICISSIYDISKDVRKSILHAADSIGLKDLPTYKIVKGYHSDWIKDIQDSFHPVEVTKGLWIVPEWRTPLDLQATNIILNPGLAFGTGDHPTTKLCLLLLHEIIKGKESFLDYGTGSGILAIAALKFGADFAVGIDIDPQAITAARHNATLNNIGPDILQLYLVPSEEINSPSENNSTGFEGQGSYEPEASFDEIVKYDIVVANILLYPLLNLADQIVSFAKPGAMVALSGIITEQLPRITGRYSEFLEDITVSKMDDWACVSGKKRRI >KZM96260 pep chromosome:ASM162521v1:5:39586165:39587944:-1 gene:DCAR_019502 transcript:KZM96260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDIDYISNLPEHITDKILSCLSLRDAVRTSILSRKWRYKWISLPQLVFDNQSLLISSQDQTLIRNKLINIVDHVLLLHSGPIQKFKLSHRDLQGVNDIDRWILFLSRGSLKEFILEIWKGHRYKLPASLYSCQKLIHLEIFNCMIRPPTPFNGFKNLKSLDLQHITMEQDVFDNLISSCTLLERLTLMNFDGFSQLNIHAPYLLFFDIGGVFEDVNFNATNNLAIVSIGLYATAGYDQNPALGSTGNMIKFFAPLPRIQRLEVQSFFLKYLAAGKVPERLPTPCIELNYLSFRINFCDRAESLGALCILRSSPNLQELEILVRPDDQAVDGRDARISEEYFHNCPFNQLQLVKLVGISYAKQELNFVNFLLANSPVLEKMTIKPAAAIGGCELLKELLRFRRASVRAEIIYLDP >KZM94574 pep chromosome:ASM162521v1:5:23726716:23727567:-1 gene:DCAR_017817 transcript:KZM94574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWSILDIHRLRITEVTTIYYRGLPILILKTRVLDFPLSLGGVDDKKGLLNVENKKDFVRHMLHLEFEESIKDAFSNIADGFDDVLTYSLMRLFFQIIRLDDLDLILRGISNLDVQQWRSHTCVGDMSDEEKLELFSFWTSTRWLPAGGFGELEHAPTIGMVTTTAEDKLDQRPPVARTCFNLLSFPPYQSLEMMRQLLYEITHIYSNAPFYLS >KZM94262 pep chromosome:ASM162521v1:5:20151465:20157071:1 gene:DCAR_017505 transcript:KZM94262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRHLNIPTYTRALLPHPPTTKRATKLFTITNTTNNTNSFSASKWADRLLSDFQFLPSSTSSSNLLEHSPPSLPYPPKPSSPERNVSIPIDFYRVLGAETHFLADGIRRAYEARVSKQPQFGFSENALISRRQILEAACETLVNPRSKREYNQSLVEDEFDTIITQVPFDNVPGALCLLQEVGESEVVLQVGESLLRERLGKSFKQDVVLAMSLAYVDFSRDAMALSPPDYVRGCEYLERALKLLQEEGASSLAPDLQAQIDETLEEINPRYVLELLALPLGEEYRTKRAEGLQGVRNILWAVGGGGATAVSGGFTREDFMNEAFLSMTAAEQVDLFVATPSNIPAQSFEVYGVALALVAQAFTSKKPHLIRDADNLFLQLQQTKVTALGNSMSVYNDGENSEIDFALERGICSLLVGEVDECCRCLGLDNENSAYRDPSIIKFVTENSTVDEENDLLPGLCKLLETWLMEVVFPRFRETNDIVFKLGDYYDDPSVLRYLERLERVGASPLAAAAAIARIGAEATAVFDNVKISAIQALKKVFPLGPEEATVRRQGYDEASRSEIAVELDEYGRQLYPDVSADIVINAEEDRPYDLLEQEMITHKIKDASVKIMVAGVVVGFLTLAGLKYLPFRNRYVVSPVDEGSAVASDVINVGSSTDEILEEVPKMDARLAESLVRKWQSIKSQALGSNHFLGNLSEVLDGQMFKIWEDRAIEIAINGWSWDYSLTNLNIDSVTISLDGRRAIIEATLEESAQLIDATHPENNDAYNTSYTMRAVMNMLCMTTVLIIMVLMPGTSMVAETTGTATKSESSVPSPPPVSQTEQRAEMVAAAVEVSDYGIWDPAPYTPFYGRDGGSRIPHGKV >KZM95936 pep chromosome:ASM162521v1:5:36910957:36911636:1 gene:DCAR_019178 transcript:KZM95936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMPSQAFNYYVGGKDGWSLNPSKSFNDWASQNRFQVHDSLENCNKGQKIIVLVMAPRHASPAPAPSPAPLQGSHSPETSTPPGISPAASVPSEAAAPFPQGPSRAPPPDDGKSASAPALGGDLVTAVLLFWIAYVNCNIVM >KZM95296 pep chromosome:ASM162521v1:5:30939649:30943390:1 gene:DCAR_018538 transcript:KZM95296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIQNGRTRNLEKPFPGCLGKMVNLFDLSAGMPGNRLLTEKPYRDGSPLSRSCSDVSGMSPIQDLVEDKLIISELRRTSSSNKSNSKPIKMLVAEQMAKEAASTDNAPNVVARLMGLDALPCQQPPALRRSHTRSSSQFDVPLNYWQQGHQPLEAEERPEIRQHLEPNEFKDVYEIWQSHNIRVKDNSSQRDRYGGGESDKKMDLVREKFTDLKRLGTDEKLRQSKKYQDALEVLSSNKDLFVKFLQEPNYMFSQQLYDLQSIRPYSDTKRITVLRPAKIVDSEIVAGSQKQFPMRKTSKTEQVNRDKRSGFSTPENCKFEDTRVQTTRIVVLKPSHEKSHDIKNVLLPCSSLPSALSIEDHHGECEEDNAREFSKEITRQMHEDLARHRRDETLPSVFSTGYIGDESSFHKSEDEFAVENISEIELMSPTFRHSWDYINRTDSPYSLSSLSRASYSPESSVCREAKKRLSERWSMMASNGSCQEKRHMWRSSSTLGEMLAISDMNKPPRPEEDSSHRQEGRGSTSSLITISNGKDNSDVSPRNFSRSKSVPVSCTNYATRPDLEVSDPKMGRTEIIKEVTKAKSVKSSLKGKVSSLFFSRNKRSSKEESSESNCATDSGLPKFTRIDSYASEQGSDIAPSNKASSSDGFSMEPKSTPIPAKAGFSVSKSFKNDSCNENQEQPSPISVLEPSFDEDDHSIPEFYNNLKPDSNGAELSSHLTKLNLIDKSPPIGSIARTLSWDDSCRETATPSSLKSAPLPIGAEEEKEECFFLVQTLMSAAGLNNEVQSDKKFPRLYSLEGPLDPSVRENYIDLNDWETQHEVRRRPSRSTQKLVFDCVNSVLMDLASYESATCQGGKSRSGSPYKLQDHASSTIVDNVWDKIKDWFSDEVRCVFGDYGYNSLVVERVVSKEVVGKGWVEHLTTEIDDIQKDIEKNLLEELVQEFVEEFTGGL >KZM93947 pep chromosome:ASM162521v1:5:12656608:12660252:-1 gene:DCAR_017192 transcript:KZM93947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGAKFPDISDKVQRFLDANMDHVANRRRAREAFKDVQLSIDHCLFKVLHVTSVVQSYKVNSRGFEIFSKRWLPKKSPLKAVVCFCHGIARKLASSGYGVFAMDYVGFGLSEGLHGFVPSFEKVVDDVIEHYRKVKENPEFSALPSFLFGQSMGGAIALKVHLKQPNAWSGAVLIAPMCKIADDMLPSKFVTRVLISLAKVLPKKKIVPQKDLAGLAFRDLRKKDLAAYNVICYSDKPRVGTALELLQTTQQLENQLQEVSLPLLILHGKADRVTDPMVSQVLYEKASSSDKKLNLYDDAYHCLLEGEPDEDIFRIFEDIISWLDEHSKR >KZM95675 pep chromosome:ASM162521v1:5:34451354:34454018:-1 gene:DCAR_018917 transcript:KZM95675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLVAYGFVTMLVLLARPATTSDIKNAIAKARVITKPGCKSKCGNLTVPYPFGIGVGSGCSINSSTWFDVNCNTSFNPPRAFISDGNIQIFDISDTQMRVANVLATSCYTSTGELTVNRYAYTNLLDTPFSFSNLNTFTVVGCDALALIKGSEQRNFSGGCISVCSKPEDILDYGCSGIGCCQTAIPKGLSVYSTILASLRNHTRVWSFNPCSYAFLGEKDSFKFRGASDLSDPTFLNRTVDSVRIVLDWVIGNQTCDEARKSNVYACQSNTYCTNSDNGFSGYFCSCLKGFEGNPYLSPGCQDIDECAHPNKNLCEKICINTRGSYNCSCPPGYHGNGKKNSQGCIAENSKFPVIKFSLGTGCGFLALMFGALMIHDAEESTDLYTVSLDTYSSIRDFSGQDSVMDTKHLLFTANGPR >KZM95358 pep chromosome:ASM162521v1:5:31421201:31424492:-1 gene:DCAR_018600 transcript:KZM95358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKPAGDSSNVKRYAPPNQRSLSLSLSLSLSLSLYDSHPCFMMNRLGRRKSAGGEKLERASSYGSEGDKAQVAASRNFPAADRKDASSSMLVNENAPARLISLHGCCESEAFQLLNDLQCESSVQLLKDRWAAAMDAYNSPSIDVGERPIMYSGNGASAWGHFRLPHQMDFLNELKRAMWKANGSSNT >KZM95344 pep chromosome:ASM162521v1:5:31292519:31300158:-1 gene:DCAR_018586 transcript:KZM95344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQGQGLGRDWKPTSFKEENNETSSSSTPTSPSLVAKAIRASSSYKDSSLSSAYYPSLSSPVSHSPASPPVIKDSPTYQSSSSKQSFWGVLARKAKGIIDDNDGGGGGINSPRAPLSESTTPKAQYYHTTEAQTRRKPDNPSIQKGLDAITSSLNYLGGTIGNAFEEGLTMVENRTADIIQETRKIQIKKKDRNFDVQNQNSNIYGQRQQLSVQTHTQPQTPADLEIQLKASRDVAMAMAAKAKLLLRELKTVKADLAFAKERCGQLEEENRVIRESSAKGGGPEDDDLIRLQLETLLAEKARLAQENSVFARENRFLREIVEYHQLTMQDVVYLDENNEEVTEVYPIKVPSVLNAQTSSTTATSLPSPTPPPAAQLTASPQASKEVSPFQVPP >KZM93699 pep chromosome:ASM162521v1:5:9372203:9374458:-1 gene:DCAR_016944 transcript:KZM93699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEMALVKPISISKLGNYPKPRIPQTKFFRISMSTTSSTPTTKSSSNKGPKKGIKESLLTPRFYTTDFDEMETLFNTDINKNLNEAEFEALLQEFKTDYNQTHFVRNKDFKEAADKIQGPMRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKTNPEYQLYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRSAFYEGIGLDAKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINTELLAIGDTDDSGFLKNLKRIPLIAALASELLAAYLMKPIDSGSVDLAEFETQLVY >KZM94425 pep chromosome:ASM162521v1:5:22182719:22185414:1 gene:DCAR_017668 transcript:KZM94425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDTGRRIEEGYGNRPLSIDEKQLEVKGISNQHATTDSHVESIKEEGATQRDEQPLIKSSPKPKRIATLDVFRGLTVVLMILVDDAGGAYARIDHSPWNGCTLADFVMPFFLFIVGVAIALAFKRVPKLGSAIRRIISRTLKMLFWGILLQGGYSHAPDDLAYGVDMKLIRWCGILQRIALVYMIVALIEALTTKIRSSVLSPGYFSIFTAYRWQWLGGFVAFLIYMITTYALYVPDWSFVAEHHHKSERFTVKCGMRGHLGPGCNAVGYVDRAVWGINHLYSGPVWSRLKACTLNSPSSGPLREDAPSWCRAPFEPEGLLSTISAILSGTIGIHYGHVLIHFKGHAERLKQWVSMGFGLLILAIILHFTDAIPINKQLYSFSYVCFTAGAAGIVFSAFYVLIDIWGMRTPFLFLEWIGLNAMLVFVMAAQGIFAGFINGWYYKNPDNNLVNWIQEHVFNDVWHSERVGTLLYVLFAEITFWGVVSGILHKLGIYWKL >KZM93903 pep chromosome:ASM162521v1:5:11966430:11968229:1 gene:DCAR_017148 transcript:KZM93903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCANLIVICLLICLASEQINAQARWLNAHATYYGADQNPTSLGGACGYDNTFHAGFGVNTAAVSGALFRSGEACGACYQVMCNFKLDPKWCLRRALVTVTATNFCPPNNNGGWCDGPRHHFDMSMPAFFRMARRGDEGIVPVLYRRVACKRNGGVRFTLKGQSNFNMVMFSNVGGSGDIKNAWIRGSRSRRWVQMHRNWGANWQSSVDLRNQALSFRLRLVDAKFLNFYNVVPSSWNFGQTFSSAAQFT >KZM93438 pep chromosome:ASM162521v1:5:6392532:6395568:-1 gene:DCAR_016683 transcript:KZM93438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKGSEKSPGDTGSSGSISSHSERYSGEQDTLKEYSNHFLQPEDTSKMAAPNGEFDDYIQILTEKLSAALVNVGVKEDLVKQHAKVADEAIAGWEKAENEVAILKQQLEAAVQKNLGLEVKVNHLDGALKECVRQLRQVREEQEQRINEALAEKTNEWETTRVSLESELLGLRNQTEELKAKIPVHTDLGVHIRLESIVKENAVLKLELTYLSQELDIRTIERDLSIQAAETASKQQLENIKKVAKLEAECRRLQSELRKSSLVNEHKSPAASSLSVESLADSQSDSSEQIKNFEVDIFKMKGIDAYGGELKRSDSWAMSESTSTKNQTSCSFDMDIMDDFLEMERLAALPEAKNKICAIGSEFVACQANTSESSLRAELKATSQRVTELETNLQQIEAEKNCIACRATDIENTLRAELDTMSQRVGVLNDKLEKIEAEKAELENALIVSTQSVEAANTQLIITENKLKELQKELTMFNESKNLLECELVGMEVETRTMSKELCTSELDAEKEQTLSAELVAKCQEMENEPTIRTQEAEVYQTTNLDGELTAKQEDVAAAADKLAECQKTIASLGRQLKSLPTLEDFLTDTPIVLGTIGGGI >KZM95160 pep chromosome:ASM162521v1:5:29327132:29327467:1 gene:DCAR_018402 transcript:KZM95160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMGFCFG >KZM96002 pep chromosome:ASM162521v1:5:37347707:37352737:1 gene:DCAR_019244 transcript:KZM96002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLVFVADFSFLITCSCGLKCKNVRTVTGAVLVIVPLSAYTLHAIFYANQYQAISNITQLYNTRKTERASFTEIMDLKSCCVGCKLGYLPKEKADASFGSAKADKEPTIVRSMESSKYYPDLNLSYARRKYDIIMTSSLSSDIPVGYFSWAEYDIMAPLQPKTENALAAAFISNCVSRNFRLEALEGLKKANITVDSYGACHRNRDGDVNKLETLKRYKFSLAFENSDEEDYVTEKYFQSLVAGAIPVVVGAPNIQDFAPLPGSILHIKEKGDIDSVAKTMTYLADNPDAYNQSLRWKFEGPSDSFKALIDMAAVHSSCRLCIHVATMMREKEEKTTERPCKCTQGLETVYHVYVRERGRFKMESIFIRSGNLTLKALESAVLLKFKSLNHVPVWKEERPKSLRGSDELKLYRVYPLGLTQREALYTFSFEGDDELKIHIEKNPCAKFEIKAVP >KZM93205 pep chromosome:ASM162521v1:5:3511137:3516288:1 gene:DCAR_016450 transcript:KZM93205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSKFRCLLSVIWKVSLIFPLQILDKEAVEEVRAHREIPDIKPGYIIQLKVETPENKRRISTLKGIVIARRNAGLNTTIRLRRLITGIGVESLFPFDEMIIMIHLCTHSFEYDLHLDSAAHVNHGVWLMTEKQDGGELDNIMKNQECHSAYHSHSPPDSPSDNRAHVLLENQSLNDINLGDDKLIAERRECLLLEQQRELDELKKKHKQIASDLLKELPLETHKRIGSRCLPRTLLQFLQESAMTIFTDYHLHETETANEPKPVALVSKGLGKLRLQHMLELVICNQVHMQNAKQ >KZM93188 pep chromosome:ASM162521v1:5:3292208:3296671:-1 gene:DCAR_016433 transcript:KZM93188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPNPPSYKVIKDGFTGLLLLDPFPHRENVDVLKLPTRRGNEIVAMYVRYPMATSTLLYSHGNAADIGQMYELFIELSIHLKVNLMGYDYCGYGRSTGKPSEQNTYADIEAAYKCLKENYGAKQEDIILYGQSVGSGPTLDLAARLPQLRAVVLHSAILSGLRVMYPVKRTYWFDIYKNIDKIPLVTCAILVIHGTADEVVDCSHGRQLWALCREKYEPLWLKGGSHCNLELYPEYLKHLKRFISHVEKTQSRRNGSRNSVDGRLEHSRSTDYVEAPRKSTDRRDKRRQSTSKNEKLKFYEYKFDNDNKFAAKLKIPSDQIEKSRRSVEYHEKSRKSVDKQFERARRSVDWVDRIQAA >KZM94828 pep chromosome:ASM162521v1:5:26266023:26267679:1 gene:DCAR_018070 transcript:KZM94828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDHQQSKYNSKVIENGVYIFVLCGLLYVVFFNQSTIKSFFPALQQSPPQSTNTLHLKPKTYKDELDAALAKASGQNKTLIIAIVNRAYVEGYKPMLDIFLDGLWLGEDTQGLKDHLLVGAMDQTSYRRCIFHGLHCYKLKTDGVDFVAEKLYMSDEFIKMMWRRTLFLGDVLKRGYNFIFTDTDVLWLRNPFPKLIVNGSIDLQISTDRFNGDQWSEQNLINTGFYMVRSNNRTIALFDSWYAQKNNSRGLKEQDVLANLMHQGLFRNLGLKVMFLDTVFFSGFCENSRDARLVSTVHANCCRSIVAKVADLSTVMADWRRFKSLPANESSTFVWSLHDRCINSWRP >KZM95244 pep chromosome:ASM162521v1:5:30507688:30509938:1 gene:DCAR_018486 transcript:KZM95244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCEKSKIMIFGATGYFGTYMVKASASSAHPTFVYVRPVKPHHPPSKTQLLSPWELLFLWENWMSTKSLLSPLPPFQTCCDKKKLIRRAAEESGIPYTFVSANSFGAYFVNSLLHPYDQNLKKVTVYGTVGCNYEKDIAEYTVKAATDERAENGLIIYRLPKNVITQLDLISRWERKTGRTMEKTFISDKEMVKLSQSSPFPEAVGIAIFHSIFVKGEQMNYELKEDDLDAVELYPDYDYTTVDQLLDIFVVDPPERGVAAFQ >KZM92883 pep chromosome:ASM162521v1:5:494898:506596:-1 gene:DCAR_016128 transcript:KZM92883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVVNSALEEICAVGSKGLTLPQLWPKLHSSLLSNGLPPCGNVKRALWASLLNVPGLHLESPGGVCYNCQDSVIQSVEEAEKLNLKIVAAENLRNCFVGMYDIKASNAGISVQGRRALERIAIARTNGITQSELGKEFGIPGNKIFYVLRKLECQGLIARQSTILRKTEVSSDRGQKNSSIVSTNMVHLYRFAKHLGCQQRLEVLKEDKLAANDAEENAPYASGMVEESVTEDVQVKDFLPELKSICDKLEEADSNVLIVSDLKKDLGYRGHSGHRRWRNILHRLKDACVVEEFTAIVNTKEVICVKLLKKFSPKHFEPKSLKIGLDDPDAEEQVILGKRGQINDQLVELPIEHQVYDMIDAEGSKGLTKTEISCLTPFACLYYCKGTLQAESQNKGVAYRVWSHGNFNREALSSFIDKPDVVLNDNETSVPNSGKRFDECSTEYVPLEDDLAKRGDTVIEGENENVSTELEIYKGLPAVELHIVDKAPVSDASISAPNKRKSDPKYLCLTANALSKQREHRILALLQEEKFLIKAELHRHLESLDKDKQTTMDRKTLERSLNKLQREGLCKLIHVGVPAVTNCGRSRTMDIVLHPSLDNITPELLSQIYERVRSFEMQIRNHQSSAKLKKSQKAPILDGVQRILPSAKDDQSERIEAMRENGYVIAKMVRAKLLHIFFWSHLTKLPGWSDALQSGMHGYDQKNPHSTCKMIELDTAIKAMPLELFLQVVGSTIKFENMTEKCRTGMCLSDLSVQEYEHLMGTQETARLSNLVQILRGLKLIRMVSSENLEDAAAVLDTTLTYSLELKPYIEEPVSLAPCSAAFVPSDIRPQYRHDFVLSSRTAVDDYWNTLEYCYAAVDREAALHAFPGSAVHEVYLTRSWASARVMTADQRAELNKSIMKNGPDKKLSLSACEKIAKDLSLTLEQVLRVYYDNRQKRNTRLKEALNQEEIQPLQSKHASSSRKRKRSSNENMKSTEEFGVQEKDFGDQSFISECALSRLRRTHQRKFSWTEIADRQLVIEYVRERATLGANFHRIDWNGLTNLPASPAVCKRRMTVLNSSIQFRKAMLKLCNILTERYAKHLSKLQNKSVLDGECRVMVRNNAFAGNNSGKDSDGQINLEDQWDDFNNEDVKMAFDEALRHKRTAKLDVHRETHSVCDAFSNLQEDGEHNGPILNKRKRKRGMRNNVSGRLQRKYIKFLNGGADVSKQAFKSLAISNAVELFKLVFLNASTAPEVPTMLAETLRRYSEHDLFAAFNYLRDAKIMVGGSGASPFVLSQKFIHGISSSPYPPNTGKIAAEFRRWLHGKEKNLMEEGIDIPANLRCGDVVYLSALLSSREIVILPCLPDQGVGEAEDLRTAKRKRVDEFYSADKAKKTKHAIIGEGEICSRREKGFPGIRLSLTRATISRVDIIDLFKERDIHSDEFFCGRNEQKLSSHVGSTKTDHLKEIDDLGTAVPLKISVDDTPWEAMARYADNLEYIACNQVRESPFCPQIFRTVYSAIQKAGDQGLSMEEISMVTNIQGDKISEIIVEVLEAFDRALKVNAYDTIHVVDSLYQSKYYLTSLGDPRQYRKVDPSTNSNVILDYQHAILQPDNHENDSTNVLSTSINDDVHRVTVLNLPEEIQPSSEVQKDTETESCQQHSILPETHQVNDMFKFHNEDSYLCRPILSWINGDGTINEVVYNGLVRRVLGILMQNPGMLEVDIIRRMQVLNPQSCRKLLEIMILDNIIIVRKMHQATSCEPPAILGGLIGNSFKKPKSVSREHFFANPLSAATLL >KZM93468 pep chromosome:ASM162521v1:5:6804703:6810966:-1 gene:DCAR_016713 transcript:KZM93468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIVKRKKKGRPSKADLARRSSDSPPAETERQLRRSGRRRNVRYTFDFDDYIDEDLDYYDDDDENDRRDKKLMMLLKLQSCESPPSGSRRASSSEGKAAKKRKIDDGDDDVEEEEEDIVDSCEDDNNNNNDGDEVLTQHNYILLLICVCVCFAFGSLRSHKLDEAKGIDSFPASPVDNSSGLPLPQKKTLELILDKLQKKDIYGVYAEPVDPEELPDYHEVIKNPMDFSTVRKKLGSGKYTTFEQFEHDVFLICENAMQYNSSDTIYFKQAFSIQELARRKFQRLRTELSYPEKEPKIEEKTTSDSFPKKPIKKPINRTVQEPIGSDFSSGATRAMAGDSAYGSNPAQAIVCEKPKSVDKIMEGNMPSVDDNMDRGEELVSGKGLLSRPVRKTSILEENRRATYNISIPLTTASESIFTTFEGESKQLIPVGVNADHSYAWSLARFAATLGTVAWKVASQRIEQALPEGFKYGRGWVGEYEPLPTPVLMIENRPLNNPVPQRFQCNSNVTKECRAIRAPLSGQETLIAGSTSAELPLSDVAEARPTNSSFSVQVPVKEEPLRVTSSDGKSSFVSSPRTADTDHRATYQQQHMQSRNFAVPVKQNLNHVGQSSPLLANHRLAEVVGGKQILRTPETPGKSPEAVLLNRSSLQPAASKQRNVNGVFAGGVFNGQARTSVMDGRMATTTSCNPKQKGGTEIYFPHGQEQGLSDPVQLMRMLTEKDQLQHSLNHSPNNVRSANPSNPCVKKEDSSNAAAAAARAWMSIGAGVCKPAAENNNAHKGQLSADMLYNGRDHQPQLSRFRGEYPATTIQFQADKSNFPIHAFVQQPVRVSNEAQFQHQPMVFPQLVSPDLSRFQMQGPWRGHNPQSQPRQKQESLPPDLNIGYQSSGSPARQSSGVLVDTQQPDLALQL >KZM96561 pep chromosome:ASM162521v1:5:41911996:41912645:-1 gene:DCAR_019803 transcript:KZM96561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMVYQTLIRSSSSSSSYSFMCMSPRILTTHRHGSNQSGKQPQLIEVDLDSSSSSQNEDAEVLGIKKLEDVIHNIIVKRSAPDWLPFIPGSSYWVPPPRTRRRNQGVVDILGKLSHSHPHPPAAGLSHEESLSLSTFHGWPSSSFYFFQVILVQTLSQSGSEA >KZM96426 pep chromosome:ASM162521v1:5:40882709:40884421:-1 gene:DCAR_019668 transcript:KZM96426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRPALSRTGSFRAENLGQTALALISNLCFTMFVLVVLIFTVIAATYKPEDPLFHPSSRITMFLTSDSNATFVADSTVVRTGEDFMAVNQSAFGGFINVTDVDLGVPLRVDVNADEVTAFECEGQIGAPVDCSDPGVFHLMMTSAIEQFRDIHFYRFGKPVRGDNDSSCHMAWRFRPKEGKAAAFYKDYRSFVIDRSGNCTLSVVGIGEYHSGGNARKRKKNLRPGFEKPQADQEQRAVVPVVGEVVSDALPAIESEGSFSSGKYLFYTGGGERCKSMDHFLWSFTCALGEAKYLNRTLVMDMSICLSSVYTSSGQDEEGKDFRYYFDFEHLKDSTSVLDQTQFWVDWNKWNQKDGLALLPVDDFKITPMKLAGAQETLIMRKFGAVEPDNYWYRVCEGDAESVIQRPWHMIWKSRRLMEIVSAIAAKLNWDFDSVHVVRGEKARNLELWPNLAADTSPEALISTLQDKVDAGRSLYIATDESDTTFFDPLKDKFATHFLDEYKDLWDENSEWYSETMKLNNGVPVEFDGYMRASVDTEVFLRGKRQIETFNDLTRDCKDGVNTCTSSS >KZM96333 pep chromosome:ASM162521v1:5:40169731:40171305:-1 gene:DCAR_019575 transcript:KZM96333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSSDRATTHHRSHDLFICFTSTKTSTSSKSPTRQGSAMFNTASRKRGSGAESNPEPTSPKVTCIGQVRVKTKKQGDKMRNLSRRSAEMSFRKVMQRQSSFNSIHHQQECSSHRNQRWVHLPLTLCETLRSEFSCLFPCKSSCLSQSTTHCRDDLEEWMNDEEDYEDEKVVRRHVFDEIEIKDCFEQGGRVSLCVPPKNALLLMRCRSDPVKMEALAKKVYEPKLHHHEHDHDDEDCNDENDDEEEDCNDENDDGNVDVDHAVSFDKVKVVEVFEERRASISYNIVNQENLSDVKVNDLLISEGDDEICEPSYREEAQELKCAQDGAIEGEGDGQVLERDDLEIKNVEREGTQRLPECLLLMMCEPKLSMEVSKETWVCSTDFVRNRGQKKKLHAPNAPPCAKTGDGDGDAVVERRKSVDSRCGDNPFAALPPLYPAARDVQPGRASCCLPAARGGVSMASMIEQKLGDAVAYEPFVLTRCKSEPMRTASKLAQEGCFWKNKALGPHGRAPFGVGAAEAGC >KZM94413 pep chromosome:ASM162521v1:5:22089123:22089472:-1 gene:DCAR_017656 transcript:KZM94413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTLGSQEQGTPAQPQEVPTNSWYPPSVVGSQNSPSRPPAPSSSSSNSFVHMHVDRPQSSVNVPPAEAAAIIHSLKDKR >KZM96299 pep chromosome:ASM162521v1:5:39943435:39945067:1 gene:DCAR_019541 transcript:KZM96299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLVSIIVFVFDVIAFGLAVAAEQRRSTATTTQDSEQNYNYCVYDSDISTGFGVGAFLFLMASQAIIMVASRCFCFGKASRTGGSRSFALLLFILCWVTFIIAEACLLAGSVRNAYHTKYVTIFSQNPPSCQTVRKGVFAAGAAFTFFTCILSQFYYIYYSSSREAFAPYGGEAGVGLGTFK >KZM95021 pep chromosome:ASM162521v1:5:28043590:28043802:-1 gene:DCAR_018263 transcript:KZM95021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNVEVKNMLIQREKVLFIHVQENCKFKEMRAKAEERGQELRKEVVLILKMEKNINAKKHVCRRRKERW >KZM94637 pep chromosome:ASM162521v1:5:24514804:24518090:1 gene:DCAR_017880 transcript:KZM94637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRRLRELQSQPGNKTCVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLKKMEAGGNDKLNNFFALYGVVKETDIVAKYNSNAASVYRDRVSALAEGRPWRDPPVVKESVGKKPPLSSGGSKGNWDSWDSFEEKSGGDVRRSGSVGEFGRGGGGGGGGHVVRSKSNQEIYSRAQLEASAAGKEDFFARKMMENESKPEGLAPSQGGKYVGFGSTPVQAPRRDQQGDVLSVVTQGFGKLSMVAASAAQSAATVVQAGTKDLTSKVREGGYDHKVNETVTVVTAKTSEIGQKTWGLMKGVMALASQKVEEYTKEGSSGWMNDGWQRNETQGNGSYKEFEQESKGWNSSASSGQHVSSASPGSWDDWDATDPPRKEVSTKGTSSHEGDNWAGWDDDKDDRYDSFYQSAPSSNKTEGRNGKSDSGWTEGGFI >KZM94803 pep chromosome:ASM162521v1:5:26083288:26085555:1 gene:DCAR_018045 transcript:KZM94803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEIAGMQPHFVLIHGLSGGGWCWYKIRCLMENSGYKVSCIDLKSAGIDQFDADKVLSFEEYNRPLMDFMSALPENDQVILVGHSAGGLSVTAATQKFAKKISLAVYVGATMLQEGFMTPQDLKDGVPDLSDFGDAYDFVFGLGQDQPPTSAVVKKDLQRKIIYHMSPIEDSTLAAMLLRPAPIQALMSAKFKEEDENVTNNIPRIYIRTTDDRVIKPEQQDAMIKRWPPSEAATSLAAV >KZM92961 pep chromosome:ASM162521v1:5:1222588:1225010:1 gene:DCAR_016206 transcript:KZM92961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSDQKEQQQQQEVPKKPKATNFTPLFGAFLSDSYLGRFATIALGSVSSLLGMILLWLTAMIPEARPGPCDPRTPQLCKPASSFQHFLLYASFGLISIGAGGIRPCSLAFGADQLDKRDNPKNERVLERFFNWYYASASLSVVIALTGIVYIQDHAGWKVGFGVPAILMFLSASLFLIASSLYIKQTVRMNLFTSFCQVITVSYKNRKLALPPQDSDGWYHHQKDSIHYVPTDKLRFLNKACIIRRPEDITSDGVASNPWTLCTVDQVEEMKILIRVLPLWSSGIMMSINISQSSFQLLQAKSMKRHLIGGFEVPAGSFGLFTVISLFVWIVIYDRLVLPLASKIRGKPVYLGVKVRMGIGLFFSLLGMVASAIIEHVRRRKAIEQGLLNNPMGLVNMSAYWLVIQHVFNGLAEAFNAIGQTEFYYSEFPKSMSSIASCMFGVGMGVANLLASLILSTVDDITKRGGKESWTSTNINKGHYESYYWLLAIMSSVNLLYFFICSWAYGPCVERLNGIGIETSNGTGKELMKQSSRVKDETAEKSEELFKSRLLVA >KZM95259 pep chromosome:ASM162521v1:5:30608239:30613776:1 gene:DCAR_018501 transcript:KZM95259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGLYRRLLPSPPAIDFASSQGKKLFVEAIQGGTMEGFFKLISYFQTQSEPAYCGLASLAMVLNALAVDPGRKWKGPWRWFDESMLDCCEPLDKVKTKGISFDKVVCLAQCAGTKVKAFHTNHSTLDDFRKYVKACSASEDCHVISSYHRGTFKQFLSIGTLGNSVVHSEGCLRHSISEPHEGQQCFTRSQCIVKFMLISRLHRSPALLYTLSCKHDSWASTAKYLVEDVPLLLGLKEVEDVKNILSIVVNSLPSKFADFIKWIAEVRRQEDVDESLSQEEKGRLAVKEEVLRQVQEIGLYKHVKDFLSSEISCCHSVVSLSSEESLSLVAADICCQGAGLMKGSCRSSISFCCSETGIKCIRTKENKSITMISGKVVNGNDEQQEIHNLVSTENLPNLLQEEVLHLRGQLLALKRCKDNKLEEELSAPVF >KZM96376 pep chromosome:ASM162521v1:5:40574945:40575584:-1 gene:DCAR_019618 transcript:KZM96376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLLNLVDKCKLSIQGAALLFICTAGLVIGLCIVIVVKVKRARKNRLVNADVELGGDIWPEQRRARWGSVKRVLMKSVRWSNASRWEESRSSASRREKVRPLLVSTGLAERGVGWQRTRDLVSPVWQRPILMGEKCELPRFSGLILYDERGRPLEENSLKGTPNHDHQDHIIVKTTLRELL >KZM93292 pep chromosome:ASM162521v1:5:4523849:4533604:1 gene:DCAR_016537 transcript:KZM93292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNVRLIFFPFVLCLLLVLLQSVINSELDKASNKCGCTCIDTDGDGQCEEVCGLQYSSIDQSFTCPIPSPPEWPPLLHVPAPEYRAVRTDFSHGDLPSESCKVTGACPATILITGKNSSLGQGVGKNMFQNTLNQNAGNIDSLANIVLGSESETELTNFLEPAFFSNLPVYYLLSKCTTNSTFPLPLPGATSIGVQQDASCVQGLQFWRNSSSDINDELYKGYRKGNSERKINEILAGYDLLNSDEGNFNASIWYNSTKKNDSGNGPIALMRVPRSVNLVVLSSLVYEKEQNLRIMMKMHGLGDGPYWMITYAYFLVISSVYMFAFVLFGSLVGLKFFTLNDYSIQFVFYMIYVNLQISLAFLVAALFSNLKTATVIGYIMVFGTGLLGGFLFQSFVEDKSFPRGWIIVMEIYPGFSLYRGLYEFSQYAFNGSYLGIDGMQWKDLSDDQVVASGSGVGKGPLYFFQKLQKKLSTSSRKFSLQRQRSQVAVQTEKQDVVQEVFILMLVDMEYCVLDFLVEKARVQQLLLEQSTSHSIVCDDLQKVYPGRDGNPEKFAVRGLSLALPQGECFGMLGPNGAGKTSFINMMIGLINPTSGTAYIDGLDIRTNMDEIYSNMGVCPQHDLLWNTLTGREHLLFYGRLKNLKGSALSQAVEESLKSVNLFNGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKRAKQNRAIILTTHSMEEAEHLCDRLGIFVDGSLQCIGNPKELKARYGGSYVFTMTTSSNHEVEVENLVKHLSPSANRIYHISGTQKFEIPKQDVRIADVFQAVEKAKSKFTVHAWGLADTTLEDVFIKVARGAQAFNVLS >KZM92892 pep chromosome:ASM162521v1:5:597896:598915:-1 gene:DCAR_016137 transcript:KZM92892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVNILISANQLLSSLTTRFPLSLYIDTAGICYIVPASIRIYSSSSYVGGQGFLENNVRIKADLDSLGALGDAGWYCVGGILWAMNNNLPTTVTALPSVVRNSDGVLLSCTASLHWEKEEIVATFFCSFLAHETMDLTISGSNGTLHLEDFIIPYEETSASFKYTSGAKFVDMHIGWNVKPEEVQVDTGELPQEARMVQELSRLIQGIKYSGRLPDQKWAEVSRVTQLVIDTVIRSINYGFEPVYIY >KZM96487 pep chromosome:ASM162521v1:5:41346962:41350713:-1 gene:DCAR_019729 transcript:KZM96487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTTSKTTLLAFSNNDTDLLALRAFNASIEHDPLGALASWNNSIHFCHWNGVKCSSQRVTELDLSSKQLVGTLSSHIGNLSFLRVLSLYENNFHGLIPNEIGRLSRLQIFSLASNSFEGKLPTNLSRCVDITLIALSNNHLQGEVFPEFASWPKLNFFTLAGNSFTGSIPPSIGNISSLRVLDLSENNLHGHIPLEVAHHKKLERLVFANNSLSGMIPLQIYNISSLSDVDLSRNNLLEGTIPADFGFTLPQLTSFIAGGNRISGPLPPSLANASNLVTFDIIENRITGPIPNNLGSLSQLECLNIGDNPLGDGTWRDDDLSFLDSLVNCTKLSRLNFASSGLKGKLPDSIVNLSAVEQMYLSENHIHGSIPREIGKLVNVSTLSFHHNLLTGSIPESIGKLSKLGELDLAENNLSGTIPTSISNITQLVRLRLKGNMLNGSIPAELFNISTLERLSLADNRLGGEIPDKIVFLSHCNSLNLSQNLLSGALPSNIGSLKQLVELDVSNNKLSGDIPASLGRCVMLVTLYMEGNSFQGKIPSAFKELKSLEFLDISNNNISGDINPSFFDELRLINFLNLSHNKLEGEVPKTGLFSNVSAFSVVGNSRLCGGIAKLHLHDCPHKNKTISMRLVLVLVFVPLSILLASLALICYRRRNSRNLDDPVPNPILEDNSYLRISYKDLFLATKEFSPKNLLGEGRYGSVYKGVLKSMEHVVTENLVAVKVLKVEVTGASKSFLAECEALRNIRHRNLIKIITTCSSTDFEGRNTVLYKRDRCTQDSEQNLNWKSP >KZM94296 pep chromosome:ASM162521v1:5:20722535:20723749:-1 gene:DCAR_017539 transcript:KZM94296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLTLKAIEKQDWKIRVRVSRKWYHLRQNKKTAGVSMILVDENEIRMHAWMNSRIMLRLDGSILEGDIFDIENFMVKPYGNNERNQCFTGDKRIFFTDTTIVKPSTGPHDFIPKHVFNCIPLNMVGQHASQDTYLIDVCGIVRDLEPIQHFVTITGKEQIFARFLLADNRSPYRYKYASNKDFIQFPPPNYHCFNGWNSELGFHIAAT >KZM96340 pep chromosome:ASM162521v1:5:40213942:40215003:1 gene:DCAR_019582 transcript:KZM96340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDDRDLDFICAAAEATKTVSSCIAFCTLTPLWIWLTLYMVNQSSVSLSLEDFTIADVQTSNVSAPNQTFIYFKLHLENKKDVMSLDYGNLSLSFSYYRGSDDIVQLANYTIQKFHQGISKKTNQQTSVMLNPGISWREISKNATSVISRVDLAGVVRFSQLHYFKSKKRKIMAWAKVELDPVSGNRMSKKAVRLKHTIKHHPFIMYSSFFFFDHHRSVVHRQFEEFVFI >KZM96072 pep chromosome:ASM162521v1:5:37959476:37971180:1 gene:DCAR_019314 transcript:KZM96072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSETSKDCVRVAVNIRPLVTAELAVGCTDCISVPPGEPQVQIGAHTFTFDYVFGSSGQRSSRIYEECVAPLVDALCQGYNGTVLAYGQTGSGKTYTMGTNYNGEGQNDGVIPNVMETIFSRVEAAKESTEFLIRVSFIEIFKEEVYDLLDPNPVPLSKGEGKQAGPARVPIHIRETASGGITLAGVTEAEVRTKEEMASFLLRGSFCRATGSTNMNSKSSRSHAIFTISMEQKRLSSSAGGDMPDSNCDILCAKLHLVDLAGSERAKRTGADGLRLREGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQAACVSPADTNAEETLNTLKYANRARNIQNKAIVNRDPVAAQMQRMQNQIEQLQAELLYVRGDSGTPFEELQMLKHKISLLEASNAELQRELKERMISCEHLSKRAIDAQVEKDNLIMKLESARSGKSWEEIDSDSNQDIGLVKKYVTKIQELENELLQRQKSNTSKHNELVDYLELEAVGFAPKNLLFAESDTVAADIDGEAEVGVKELEHSSIQEKLDMELKELDKKLEQKEAEMKRFAGVDTSVLKQHYEKKVQDLEQEKRKLQVEVNFIFLFHAFLGDGSRNGPGIQALMHAIEHEIEVTKRVHEVRCEYERQMAERAKMAKEVAELKEEAQALKRSTLSDCPQAMSPGARNSRIYALENMLSTTSSTLVSMASQLSEAEERERAFNGSGRWNQIRSLPDAKTVLNFLFNIASSARCSLWDREVNCREKDCEIRDLKEKVVNLIGQLEMQKAEFIHQEKLWKSALKKPHKEKESNSGNSIQSNDEVHAYDLRMKGSRKSMLYNGAGNFVELLEDMDTSESDHSSCEVDDVDWEYSRIAKGRRRKTKRKMSKSDERHLVSLSNSSHSDDKETVDTIPAAEHDNMLKETFDVRCCSCSKQSFCKTSKCECRVAGGNCGVSCSCNPKKCSNREVSIKDLGAMPQLELHEGTGNVSSIDETETSHTLASHGAMLLNTALSNIPVETKNDTAAKRKPLSDIGNTKVMSDAPKPEQRKKWRKPSFKIVQEAPISSQIECSEVPNNTQSTVSESDVPLKLPRAMRSMSSDTVLKERNFDQPKGPVTSKEVDTQVPRSPCQQARPDDKENGC >KZM93097 pep chromosome:ASM162521v1:5:2379228:2384927:-1 gene:DCAR_016342 transcript:KZM93097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRQMDQKDAAKTSSVFKEIHILSEGETDSQKHNNLADKHVISAKEDAQLQQLTDSGESYEGEPLTETKESEAHSIGNLLPDEDDLFSGIIDKLRCGTRLDGSEDEEDLFQSGGGMELEPENCLNYHHQNGTYTNGVLNGERHSNGSIARTPSYNEQFSRTLIVRNINSSIEDSDLRSLLEKFGDVHSLNTTCKHRGYVVVSYFDIRAAWGARNSLHNKPSGHMNLDIQYLIPKDDPLEKVIKAGTLVVYNLDALTPNDNIGHIFGSFGEIKEIGETLECNKFIEYYDIRAAEVAYRTLNWSTIAGKQIKLELGRDRQFLVPQLHPVLAQDERSHRGSFEDRSSLELAAFAGTLRPSLMSPSRLYGGSVGKLHPKSDMTIGAYNDNAFSQGNFSVPGSFPVRVATGDRFVLHEPRHPMDQENFSNSRPASHNLHSLPDYYNGSAHGISYNSFSSAADSSIDANPRILEGINDRNVYIMDPKGQQAEPHVGVFGSSWTSGCSIPEDYYIKRNSNSFQQFPTSPMGWTNSLPLANGTQKLPGLSRSSTNVLNTVSHSHDMMNKVSHTRLYHDGSLPVSNLSRWNNEHAYMESIGRLGLHNKVSDIAPRNYLHDENHIGKFINSTMSPPQRLSDFVPGVNLVASAPTSFGAPKERMRNQSNWRSEADSCHADKMKYELDIDRVLRGEDSRTTLMIKNIPNKSHFLLEPVSDPGPVNLEALLTTRTIIKQVLQVL >KZM93203 pep chromosome:ASM162521v1:5:3498534:3499255:-1 gene:DCAR_016448 transcript:KZM93203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSAILFVASILLSSFALSCYASGTFSALEKSLLVTSSPKAGHVLKAGEANITVTWSFNKTFQAGTDSTYKTVKVKLCYAPISQEDRAWRKTKDELKKDRTCQHKIVSRPYSPSTNTFTWTIERDIPSATYFVRAYVFDAEDKEVAYGQATDAAKKSHLFEVEAITGRHASLDVASVCFSVFAIVSLAGFFYLEKRKAGSSMQK >KZM94806 pep chromosome:ASM162521v1:5:26120711:26124596:1 gene:DCAR_018048 transcript:KZM94806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNYSSPSPPSLISSFKNSTSISHLFTVPATRRRSFPSFRIKSSLAVESPAASGTSNGGESPKILLQVKDLTAVIAQTEQPILKGVNLCIYEGEVHAVMGKNGSGKSTFAKVLVGHKDYEVTGGSIVFKGENLLDMEPEDRSIAGLFMSFQSPVEIPGVSNIDFLLMAYNARRRKLGLPEYSPIEFYAYIMPKLEVVNIKPDFLNRNVNEGFSGGEKKRNEILQLAVLGAELSILDEIDSGLDVDALRDVSKAVNGLLTPRKSVLMITHYLRLLEYIQPNYIHIMEDGKIIKTGDISIAKLLEKEGYKAVSAT >KZM93526 pep chromosome:ASM162521v1:5:7496339:7497676:-1 gene:DCAR_016771 transcript:KZM93526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVEIISKENIKPSSPTPQHLKIFKLSLLDQLIPAAFAPTVLYYPNNHKATTEHLHVPDKLALLKQSLSQTLTRFYPLAGEVKNDLCIDCNDQGAYFAVAKVNCDLNQFLDNPNLLLINKFLPLSFTEPSSGGGQVTNIQVNTFECGGIAIAVCISHKILDGAALSTFLTGWSGTARGLEKVVCPDFVASLVFPSDDQLWLKDSSTVMWGSLFKKGKFTTRRFVFDGSAIATLKTMTSGENGVQNPTRVEAVSAFIWKCAMDASENKHGAKRSSLLTHVVNLRKRMTPTLSKESIGNLIWIASAKCCDKLGYGDLVEQVRNGISEINGEYVKEMMGDQGAAVMSQSMKEIGDFGSKEEVDHYACTSWCNFGFNEVDFGWGRPVWVSTIGLEGEVLMNLLVLMDTICGGGIEAWLTLDEQEMNILEHDHNLLALASLDLSPLQTC >KZM94701 pep chromosome:ASM162521v1:5:25105937:25107799:1 gene:DCAR_017943 transcript:KZM94701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKSKPDVSDSQSETMDLLSRAWCNFAVHEAFQTELQERSLVLHDSSIKLFDNEPKSPSLMLDPGLKMDSTESSVPAWKSNDVKSWLWMQQAMHPELNYNSCFRKKWMSWKINVPIKKWVKEIKQKRKECKRLQRAEVHAAISVAGVAAALAAIASAAATQSSESDTSKDAAMASAAALVAAQCARTAEAMGAKKDQLKTVIASAISGTTATDILTLTAAASTSLKGAATLKERNVCKNRLKGSAPVLPIEENDESHLEDFQQCLSILAKGADLNIETSNGRCMVRSVSITLDKEDKMVLRLKKLNLMNTFASKKESIVLDQHAELYKDSEGEESETCFLIVLTTDRGIIKLDMMEDYHRYRLWAMTINHMLALSTSLTRYDDLEFQKK >KZM94859 pep chromosome:ASM162521v1:5:26520259:26523314:-1 gene:DCAR_018101 transcript:KZM94859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKKMVFLAMVTLMSMMGKVVIADDVKLDYTEALTKSILFFEGQRSGKLPNNQRLTWRKDSGLRDGFDQGVDLVGGYYDAGDNIKFSFPMAFTTTVLAWSVIEFGNSMDSDLNHALEAIRWSTDYLIKCTNTPGVVYAQLGDAVVDHDNWERPEDMDTPRTAFAVSAQSPGSEVSAEIAAALSASSIVFRRSDRKYSDFLLRRAIQVFDFADKYQGSYNDSIGRWVCPHYCDFSGYQDELVWGAAWLHKATNKTSYWKYVMENIHKVESPQNEGSLYEFGWDTKNAGINVLVSKYILNNKQHSSPFLHWADKFACSLLPESLNPSVEFTPGGLMYKPGMVNSQRVTALSFLLVVYARYLKQSDRVIACDRFVATPYRLTYFARTQVDYILGKNPMNMSYMVGYGEKFPERIHHRASSLPSIDQEPHLGHGWDYFWSNNTNYNLLIGAIVGGPDINDQYNDTRHDAGHSEPATYVNAPLVGLLSYFKANYGS >KZM94447 pep chromosome:ASM162521v1:5:22439718:22441850:-1 gene:DCAR_017690 transcript:KZM94447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKKIDSFKDPKTGKYDWKVPGRMFSIWRGSTRTGVVFKSFNMLLMDNKRCRIHAFVPTSVAPNIENIVSVGDMFLFQNFTVKVCRNEDKFRPLQKQIQIQFTNVIGVMEEHEPAGKIKNRNGVIQNQIKFTITDGHSSVRVTFWDDFAEIFSEELKLPTECPRILIIRSGRIQLWEEAVVITNVGATTAHINCEHHNVAKSRKRISNKEIDPLTLDKRARLCTVIYPVEVIKNFGPENINTEILCLVQLTKFKPLKMWFNATCTSCYVKTQTVGTEEFCASCERIVPYPDMRFEIFCEARDETGSCHIILQDREVRSIVGKAVSQLIDEGIEEETVWQIFHSIENIRCTVKISLTEMNITQRNDYYVATDICEGLFNPTPDMEEESYPHPIEDSIAEMSGTTQQTESINTAHLASNS >KZM92947 pep chromosome:ASM162521v1:5:1126621:1134251:-1 gene:DCAR_016192 transcript:KZM92947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRTGVRRGRPPKHTKKEQQVQTDIDLNRVGEGEGIATRTRRRRAAAAAAAAAEPVVNENVAVAPQPVQPVEPVVEAREPVGREKQEVAGKAMDVLNGGKSGAKDNAGDDDGVNAPVPDTVHVGGYSSYRVQKKLGKGGFGQVYLGQRIVSLFNNDKAPTDVAIKFEHRTSKGCHNGPPSEWHVYNTLSGSHGVPRVHYKGMQNDYYIMVMDKLGPSLWDAWNSHSPVMSTEMIACIAVEAISILEKMHSKGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLATRWRNSSTNKHVEYDQRPDVFRGTVRYASVHAHLGRTAGRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMGTSPEVLCNLIPDPFKLFVEYVVNLKFDEEPDYAKYISLFDGIIAPNPDFRPLNTDGAQKLIHQVGHKRSRLTSDNEEDQQPKKKIRTGMPATQWISVYNARQPMKQRYHYNVADMRLAQHIGKGNEDGLFISSVASCQNLWALIMDAGTGFSDQIYELSPFFIHKEWIQENWEKSYYITAVAGANNGSSLVVMSKGTQYSQQSYKVSDSFPFKWISKKWREGFYVTYMATAGNKWGIIMSRGAGFLDQVVEVDFLYPSEGVHKRWDAGYRITAAASTWDQTALRGSSLVANIQQLVYRVKCCVIEVQLVGHGIWNFL >KZM93825 pep chromosome:ASM162521v1:5:11201969:11203716:1 gene:DCAR_017070 transcript:KZM93825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGAMKKKATSNSNKTNSRKKQKKEIELPNPKIQEKVVDDDISGSDVDSDVDDDMLVEQHRDDSDDSASDALSDGDQPFTDDFLQDDNVDGEKSSDSDSDSDHSDIERKSKAIDAKKEKEEKEAEAELVDNIKEQADEVTLPTPQELKEEAQGPPDLPRLQRRIKEVVRVLSNFKTLRQEGASRKDYVKQLTMDLASYYGYNDYLIEVLVEMFPAVELVELIEAFEKPRPMCLRTNTLKARRRDLAGILLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGFYMLQSASSFLPVMALAPQEKERIVDMA >KZM94774 pep chromosome:ASM162521v1:5:25735365:25735574:-1 gene:DCAR_018016 transcript:KZM94774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVTTLYVCDEEERELGRQQASGACPYCGGKVEAIDVESKWRFCFLPFCSIVKRKFLCTLCSRRLVLYS >KZM95169 pep chromosome:ASM162521v1:5:29517183:29517680:-1 gene:DCAR_018411 transcript:KZM95169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGVDIPKIALFIMLLVLSPQIECQTWRQPNTPMSPFPPIQPTPLCASQFALANHACARLPFNPISPPSPHHHLHDSPSANSDAPESSPTPSHRHRHSHHHHSPPESPVVQECCRWLQQIDSGCVCDLLVRLPIFLAKPGHQYTVKVDSSCTVMYSCPGRIISP >KZM94644 pep chromosome:ASM162521v1:5:24546501:24548288:1 gene:DCAR_017887 transcript:KZM94644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKVFRRAKPFLAVLFLQFGLAGMDILSKAALNQGMSNYVFVVYRHAIATIVIAPFAIILDKKVRPKMTKAMFGKIMLLGLLEPVIDQNLYIMGMKNTTATFAAAMCNVLPAITFVMACIFGLEKVKLKSIRSQAKVGGTLTTVGGAMLMTLVKGPIIDLIWTKGRTGTHISQTNGGVDLQHSVRGSLMITAGCFSWACFMVLQAITLETYPAELSLTAWICLMGTVEGSVVALVMERGNAAVWSLNLDTKLLAAVYSGVFCSGLAYYVQGIIMKDRGPVFVTAFNPLSMIIVAVLGSFILAEQMYLGRVIGAFVIVAGLYLVVWGKSKDYKSDTKTPSMDEQKTANFGQMRDASNTNDEENHKVITINESTMK >KZM94783 pep chromosome:ASM162521v1:5:25836620:25836769:-1 gene:DCAR_018025 transcript:KZM94783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVMDRSVSFDRSQIILTAGTTSAIEILSFYLAYLGSVFLVPSPYNPE >KZM92907 pep chromosome:ASM162521v1:5:681800:683690:1 gene:DCAR_016152 transcript:KZM92907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVKGMMISPEEQSLIEENMSNLTSASGDQTSVSSGGNHYFVHPASRDLQPQEPPKKKRNLPGNPVQPHSFNTNLLTSYNHPHAQSMKQEQQSFSTSTPMNQLGVGPVPLANHHYGGNPNPNPSPSDHNQLGGPVHFLAGPGLMNPTTTGGNSPHMSATALLQKAAQMGATMSSISSDSTAMMMPHHQAHVTSNTTTGFGLHLSSRDHHQLSSAFLSPFGNKAAPPAPADQYPHVSSANSFLQDMMMAQGFVDDQDYGFTQAPANLLNHKKTAAAANHQPNDQLVRIISNDDGMTRDFLGLRPLSHAEILSITALQGECNAPPADDH >KZM93660 pep chromosome:ASM162521v1:5:9027450:9029450:-1 gene:DCAR_016905 transcript:KZM93660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEEDPSSTNLVHCPSDSGSSGTIMNRSFSGTLFGPARRKFHELEEEKGFPLSGLDITHVAKVEISNECVALREMVTKQQQSIMDLSIELEEERNAASTAANEAMSMILRLEREKAEIEMEARQFKRFAEEKMAHDDHEIAAMEDLLYKRDQTIQSLTCEVQAYKYRMMSYGISEEEADGEKEGDKEAGITRANSVETISDFQCEIPTYEYPPLKCKLNENQASFETDNDIVDVEKYAFGETPRSRDHLKDLENRINQLEQTPKQSQPDVDFFGTKTVLEKVIVGHSPRRSRHIRRFSTDSTNSYFATVKETASDGATVSPELGTAKRMDCIHSEEFSHLKKVENASEVEDDMSDRVYTIDSVHNVSYNDVTDPKAFIRNCEDYTPEESVNTADVADPEIKKLYLRLQALEADRESMSQALISMRTDKAQLVLLKEIAQQLCNDISPGRGAAKKSSGKGGSSFMSICKWIVSFVLWRKKEFRSRYMSAMSPNNVGLLSLLDKGPRVGQWRCLTRTQV >KZM93677 pep chromosome:ASM162521v1:5:9146502:9149456:-1 gene:DCAR_016922 transcript:KZM93677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCIAAAAIVSAGSNPKALVNISPKTKLTPFSHTSFTRLNTSFHPLSVSSAPSLSRRSFVVKASELPLVGNVAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFDKINTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLVSDVTKSISKKFGVLIPDQGVALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQFVQENPDEVCPAGWKPGEKTMKPDPKLSKEFFAAI >KZM94909 pep chromosome:ASM162521v1:5:26935021:26938457:-1 gene:DCAR_018151 transcript:KZM94909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNAASSKRPAGFTNDHSKVPRRNTNFNNKWGRVHGRAAKKMDGVCNFWIQGNCKFGDGCKFKHSWCTGPFSLLTQLQGHTKVISGITLPSGSDKLYTGSKDETVRVWDCQSGQCAGVTNIAGEVGCMLNEGPWIFVGLPNGVKAWNAQTATDLSLNAPGGQVYAFVVGNDLLFAGAQDGTIFAWKYNVSMNSFEPAASLKGHSSAVVTLVVGANKVYSGSMDTTIRVWSLETLQCVQTLTEHSSVVMSVLCWDQFLLSCSLDKTIKVWFAAESGNLEVTYTHSEEHGLLALCGMLDAESKPVLMCSSNDNSIRVYDLPSFSERGRIYSKQEIRSIQIGPGGLFFTGDGAGEVRVWKWLTEATSTA >KZM94138 pep chromosome:ASM162521v1:5:17000659:17004551:-1 gene:DCAR_017383 transcript:KZM94138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCVGISLFSDIYDEDHFINILQGHVNVVRELPKDLMEKYDNVSNMKYLKVPAWASASFYLEEVLPVLQKRRVIRIAPFANRLAVNLPPQIQFLRCLANYEALKFVDPILMLARKLVKRMTKNILSSGGEFISVHLRFEEDMVAFSCCVYDGGVAERIRMELVREEGWGDKFKRNNRVIEPGLNRINGKCPMTPLEVGMMLRGMGFTNNTPIYLASGKLYQAEKYLALLRKMFPLLETKESLTKPDELASFQGFSSRLAALDYMVCLSSEAFVTTQGGNFPHFLMGHRRFLYNGHAKTIKPDKMKLARLLYNTSISWSSFKDEMKLMLAESDRRSVMVPRSVNSNRRDSLYSSPFPECRCVWEAWNSTLKLPHAVNMQGH >KZM95404 pep chromosome:ASM162521v1:5:31845698:31847842:-1 gene:DCAR_018646 transcript:KZM95404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPRNSDCPSSSSSSSSSNFGFATASNFLHSPLSSLLEFSGVLHTHDHPHSSDAQGLHESWSGSNNNNNISSNSSSSSHDISSSSQEEVSIRIIGATGEQEENQTHSPTHSSSPEPDHLSPDESHNAERNAAAAAAPFYHNRDSAFYQRYDLQQAARWMEQLLPFSFLLLLVFIRQHLQGFVITIWVAAFMFKSNDILQKQTALKGERRRSILFGLSLVFTLHVISVYWWYLTDLLHLLVMIPPKAIPPFWQAIFIIVVNDTLVRQAAMVFKCGILMYYKNSSGRNYREQGQLLTLVEYSLLLYRSLLPTPVWYRFFYNKEFGSLFSSLITGLYLIFKLTSIPEKVQNFFASLKALSHTEIKYGTNATSDQIIAAKQYVISVLMETVQMIYDQVLSEDAMYFKDHIVVLRLLDN >KZM96287 pep chromosome:ASM162521v1:5:39806752:39808690:-1 gene:DCAR_019529 transcript:KZM96287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYLCMYRPDPCRSETDLRFGYGLSLFGAGDLDVMVVSDGPICDSGEFTQSEAFQVYSKCTQMKLSGLLLVMGMCLIPASLDYAAGMDDACSEIYEVKKPFKTQFAVKWIHFSWWLKVFVLHLYLFDRNFAYASLIRWNYLKYVPVLPSSSQSASYLSF >KZM96348 pep chromosome:ASM162521v1:5:40279650:40282105:-1 gene:DCAR_019590 transcript:KZM96348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSTIKASLRRVNGRSFSSGSAPHRKVAVLGAAGGIGQPLSLLMKLNPLVSQLSLYDIAGTPGVAADVSHINTRSEVTGYVGEDQLGKALEGCDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAIAKYCPHALVNMISNPVNSTVPIASEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANANVAEVNVPVVGGHAGITILPLFSQATPTANLPHDVLTALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPDVVECSFVQSSITELPFFASKVRLGKNGVEEVLGLGALSDYEKQGLEALLPELKSSIEKGIKFANQS >KZM94595 pep chromosome:ASM162521v1:5:23932269:23933653:1 gene:DCAR_017838 transcript:KZM94595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGAWVADWWPWWRGHRRLVGRRVVQMLGCCTLEQLKYFCKHTKNHRTGAKDRVLYLIYLAICKQLDPKGPFHRTVNGTVGVNKMAIVDSLANQDVEISLLDLNPKTRYNLHEKLVEPLSPAEPPKAEERAQVIIDNVYEIIIY >KZM96073 pep chromosome:ASM162521v1:5:37972540:37981299:-1 gene:DCAR_019315 transcript:KZM96073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENTKKRKRGFISEEDIAIVLQRYSPTTVLALLQELSRVADEKFDWNEMVRKTSTGISNPREYQMLWRRLAYNTSLVEVLDEEVEPLDDDSDLDYEIEALPNVTPEASAEAVACVKVLAASGMPSSSTVPNGSTVEAPLTIKIPSGQAARVSSESLHPSSFVRGRTNITVPVSVQKQPTSTEGLDTDGLAGGNPPPRRRRKPWSAAEDLELIAAVKKCGEGADKAEQCEKLIDLNCIRKLSKESKEESHDLYGFVVLIFNQACLKYIGSRTSIKQLRWNIIRKRKGNSNLGRNSQLSEAQLAARRAVSLALNMPMTDKLKASFSSGSTSLAIMPSNSIHCDAETSAGIKSEPQSEVDSLPTVTPRSNISYPDSVPTIAQRSGILGPAAKQDLVKTATQQSIAAGVSSKARINLKAQSMKHSPGPDGELVKAAAVAAGARIATPSDAASLLRAAQAKNAVRIMPAGGASVGAGSGNSLPSNVHYIRTGLATTFSTYSTVPPSVSRSTSSQHIQGHSVKQAIVQGIQSQRHASPKLNVSSERNKAVTSGPVIKIEANILENVIPKSVDASQKPSQEDEDSDRGCTMREKERNHFGNPGQAQRQVGDGGPEEKIKKDQDLISSGTLLALTSKDLAKPVPVSHQSDTANSKSLRAEVSGDGDCIDNNQKMGFSDKEKYVVDVDICEDHNVGKKID >KZM95923 pep chromosome:ASM162521v1:5:36802627:36805601:-1 gene:DCAR_019165 transcript:KZM95923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLIANSIASPGALPQVGAVSRQIKPIEHCSLRCSSLFSDNDPLKFCSLSTNLSDGRRAISLSSQRSASVICAAALNARCSAEQTQTVTRQSSTITVAPIQGKEKSPDLDDGGDGFPPRDDGDGGGGGGGGGGWSGGFFFFGFLAFLGLLRDQESESPYKD >KZM95679 pep chromosome:ASM162521v1:5:34520823:34524942:1 gene:DCAR_018921 transcript:KZM95679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGARPDIENGLSGVLPDRRAMRVQPRPINTNSLAFLISDYETLRSLDSNNPPNTHSMSEEEINALPVHKYKSNSHSDGLAKQKGSSSSSLVQSKQETEVDKNSESPEDELTCTICLEQVTAGELVRSLPCLHQFHATCIDQWLHQQGTCPVCKLMVGSGWQESRESETDGDDMV >KZM96566 pep chromosome:ASM162521v1:5:41950960:41951704:-1 gene:DCAR_019808 transcript:KZM96566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRHMSLRHPGSLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKLLLEVPENQRADAANSLVYEANVRLRDPVYGCMGAISALQQQIQSLQVELSAVKDEILRYNKYYTATRKTTGVLPTHVGDFISSGAAVSVINTAPLPIPTSQSQLLQQPTSAPTTTSSSSNSMYTVTTSTATNYSTLSTDNVSYFG >KZM94652 pep chromosome:ASM162521v1:5:24613932:24617183:1 gene:DCAR_017894 transcript:KZM94652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILMLVSLILAGNHKDRGTSKLVSPNVVARLMGLDGLPSPEVSHISQKVPADNKGKIALPKISDRDAHWRELRSYKKSYMEQSEFKDVFEDTINKSSSNKNLGTQFLQQSDSLFLENLPMQKAPSSYEYNRIAVLKPSHSTNQKAKDKGWQDEREGPMKHGFVRHTCNNHGSQSLYKSSTISPDRAGESDIMAKRIVILKPNYVKAHNAFNPVSLSSPDSSQFNFPVYSEYEQSEDSYREGYYRNMDIFKDVDFSRRKSREVTETVMKATREMRNTYSRMKNRRGTAKELNGETVEEFGYVMNNFPSPFRGSPDISGLYDFSGNVTASESEETKLTYDFTESFASSEAKKRLLERLKKAYKYEDKRNMTRDVYQYAGMDGKKITLGEMLSTSDKKVRNLDVTMGGISSKDGWKDSFSKYSSNSRSICQLPGVVSEKNNAGGECRVDDKLLVPKEDTYQYQRKVVDKNCKKKENSSLNSLKSSKRRSLSDRKKYAYNTDSSLEIYPSQDQTETNFNKEGSPDKQLGASQTSGNITSIADADIIQNGSRNLSPRSSDEMPQEPSIFMKNSDDSSVGNQENSTPQRTKAEAESLESSKEADHPSPVSVLQVPLREDDALSGPESFEQVSADLRELQKQLQLLRRESRSDEGDSTPQDDDYDVQQGSVTDSDHRRENKPECWESSYIADVLIESGFADTDTDMFMTICYSTDCPLGPWVFDNLESKHYSEVNRLKHDRRLLFDRINIALSGMPKSFAEPLPWVKPSAVGIRFKWQACEIRDELYKLLEVQVREASEEDSEKLLDKEMHWMGSRDCIDAIGVEIEKLLTDELLTELLNDVKFSN >KZM93511 pep chromosome:ASM162521v1:5:7376709:7377401:-1 gene:DCAR_016756 transcript:KZM93511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLDFEALKELHNTANNLLHSPVIKQALVSRQQEKHVDEVSEASLCMLDACGNTKDVLLLVKEHLQELQSTFRRISIGETAATENKLSGFYTHRKKLRKELLSCLRTLKGMKNSYVMNSDLGQGDHNLGMVVNVLREVRATNISIVESLMSLMSMPSPVCKSSRGSIRSKFMRVNSLSLWKNCDMKTFQTGNRLLEAVEGAIEDLEVELDCIFRRLIRTRVSLLNIFST >KZM94743 pep chromosome:ASM162521v1:5:25452901:25458728:1 gene:DCAR_017985 transcript:KZM94743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLEDIKNETVDLEKIPIEEVFEQLKCTREGLSGDEGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGDGKPPDWQDFVGIICLLLINSTISFWEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRNPHDEVFSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNQVGHFQTVLTAIGNFCICSIAVGMVVEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDKEYVLLCAARASRTENQDAIDAAIVGTLADPKEARAGIREVHFFPFNPVDKRTALTFIDSEGNWHRASKGAPEQILTLCNCKEDQKKKVHAIIDKFAERGLRSLAVARQEVPQKSKDSEGGPWQFVGLLSLFDPPRHDSSETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSAALLGQNKDASIASLPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFATGIVLGGYLALLTVIFFWLIKDTDFFPEKFGVRPIRNKPDEMMAVLYLQVSIVSQALIFVTRSRSWSFMERPGLLLVAAFLVAQLIATFVAVYANWDFARIHGCGWGWAGVIWIYSIVFYIPLDILKFGTRYALSGKAWLNLLENKTAFTTKKDYGKEEREAQWAHAQRTLHGLQPPADSNIFDDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KZM93338 pep chromosome:ASM162521v1:5:4909371:4910354:1 gene:DCAR_016583 transcript:KZM93338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTSKIIRSSIYIFLSNYHYFTISAILALPFSASVLLSQALVPSSTLLPPIQIRLQALLNAAGLPSSSEIFNIFSLKLSQTITSTVLVLPFTFSFLILAKASVIKALSQQKPSQIKIFSFISILSPIFSTQIWTSLLILSANATSFSILTIAYNFLDVYKLLSTSTSIVLFSAAAAILYSIILANAFVISNLAVVLSGMEAKGGCISLLKACVLIKGRNSKAISLALPLNLALAAIEALFQYRAVAVLSSNGFPNFSMTLECIVIAYLYSIFIVLDTIISCMFFRSCRTAFLVEDQNRYSHCIDISKYNQDHIISCAKLKSIEELP >KZM96302 pep chromosome:ASM162521v1:5:39952065:39953185:-1 gene:DCAR_019544 transcript:KZM96302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFHKAFANPPEELNSPASHKTGSEQPRLPEETLQEFLSAHPENTFSMSFAQSAVLAYVKPDQPSGLRHQRLFCGFDDIYCLFLGSLNNLYSQIKQYGLAKGANEAMFVIEAYRTLRDRGPYPADQVLKDLEGSFAFVVYDSKAGKVFTALGSDGGVKLYWGIAADGSVVISDDLEVIKAGCAKSFAPFPKGCMFHSEGGLMNFEHPMHKMRAMPRVDSEGVVCGSNFKLDVYSRVNSIPRVGSQTNWTEWSTQC >KZM95298 pep chromosome:ASM162521v1:5:30973784:30974591:1 gene:DCAR_018540 transcript:KZM95298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHELVPGSQKKDMATTLGETADHLLQVWIRDQTLREELKQLRQAMVMMHQSIQNFRQSPLGTPANMSGYTQLGGMGFRPPVQPSMNLFDSSISARMNMPVLLQWNSPAAASRLFVPPTVPGPSQQHRIGRDQTDDTQPTI >KZM94522 pep chromosome:ASM162521v1:5:23189485:23190258:-1 gene:DCAR_017765 transcript:KZM94522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSQRMNVVPTVTVLAVIKARLIGATRGHALLKKKSDALTVQFRQILKKIVATKESMGTIMKSSAFALTEAKYVAGENIKHVVLENVQSASVKVRSRQENVAGVKLPRFEHFSEGETKNDLTGLARGGQQVQACRGAYVKAIEVLVELASLQTSFLTLDVAIKTTNRRVNALESVVKPKLENTITYIKGELDELEREDFFRLKKIQAYKKREIENKLKAARQLANEQVSSQTGISTKSSSNMLSAATQKDEDIIF >KZM95064 pep chromosome:ASM162521v1:5:28313611:28314693:-1 gene:DCAR_018306 transcript:KZM95064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIMKFSGFFSAAMIMIVLSPSLQSFPPAEAIRSSNLQFSGQIATAGNKITRLPFKKASVFVNSDKCRSKSKRFSVCDESLIHIAITLDVEYLRGSIAAVHSILQHSVCPENIFFHFLVSESNLETLVSSTFPKLNFKLYFFNPDLVRTLISSSVRSALEQPLNYARNYLADLLPRCVSRIIYLDSDLVLVDDVSKLWITSLGTKTIGAPEYCHANFTNYFTAKFWQNKRYSRTFSGRSPCYFNTGVMVIDLVKWRRVGYTKRIEKWMEIQKSERIYELGSLPPYLLVFGGRVAPIEHRWNQHGLGGDNVKGSCRDLHPGPVSLLHWSGSGKPWIRLDSKRACPLDSLWAPYDLYGYST >KZM95505 pep chromosome:ASM162521v1:5:32767539:32784807:-1 gene:DCAR_018747 transcript:KZM95505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGMRGLSVFISDIRNCPNKEQERLRVDKELGHIRTRFKNEKVGYIVTSSLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLFRKNPDVVNVDGWSDRMAQLLDERDLGVLTSSMSLFVALVSKDYDSYWSCLPKCVKVLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNTRRSLFEVLQRVLMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLATADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAALKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCSPKEIFSMIHDKLPTVSTPTIPILLSTYAKILMHSQPPDPELQNQIWAVFSKYESCIDVEIQQRAAEYIALSRKGAALVDILAEMPKFPERKSSLIKKAEDTEADTAEQSAIKLRAQQQTSNALTVTDQPIGNGVPPEAQLGPVKMPSMSNEGHSTADQVLTRSNGSATNLQASTPSPDLLGDLLSPLAIEGPPAPASVESERNPVSGTPTAADALALAPIGGQTNTIQPIGNIAERFQALCLKDSGVLYEDPYVQIGIKAEWRSHQGRLVIFLGNKNTAPLVSVQALILAPSHLKLELSEVPQTIPPRAQVQCPLEVVNLRPSRDVAVLEFSYKFGTDMVNVKLRLPAVLNKFLQPIPVSAEEFFPQWRSLSGPPLKLQEVVRGVKPMLLMEMANLLNSFRLMVCPGLDPNTNNLVASTTYYSESTRAMLCLVRIETDPADRTQLRMTVASGDPALTYELKEFIKEQLISIPLASHAPQPLPQQAPPSNPPIAQSDPGAMLAALL >KZM93078 pep chromosome:ASM162521v1:5:2186665:2187372:-1 gene:DCAR_016323 transcript:KZM93078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWEKMVCPVRKVLFAVAARVRSRKNGASLLKLHSDIQTCGYADVQVMWEMLQRSESEFMSQRSKQNQRSFWRIFIWHNHDSASSFSINHA >KZM93969 pep chromosome:ASM162521v1:5:13046504:13047036:-1 gene:DCAR_017214 transcript:KZM93969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNKDDSCVQNVPHVESSESERSYKDSIQDTCESDEDGDGEVQINNQASLS >KZM95082 pep chromosome:ASM162521v1:5:28503117:28507639:-1 gene:DCAR_018324 transcript:KZM95082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQHKTIQANNINIHIAEIGQGQPILLLHGFPELWYSWRHQLLSLSSLGYRAIAPDLRGYGDSDAPPSPSSYTVHHVVGDLVALLDHLKIDKVFLVGHDWGSFIAWWFCRIRPDRIKALVSLSVVFSPRNPTRRPLQSLRAAFGDDYYMCRFQEPGVAEEDFARVDPAVIIKKMLTLRDPALLRLHKDGLVRPDEVFILPSWLSEEDVNFYASKFKQTGFTGGLNYYRAMDLNWELTAPWTGVQVKVPVKFIVGDLDITYNTPGVKDYIHKGGLKRDVPFLQELVVMEGVAHFLQEERPEEISAHIYDFIKKF >KZM94639 pep chromosome:ASM162521v1:5:24525330:24525554:-1 gene:DCAR_017882 transcript:KZM94639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITNCCDGDSSAVVKEEVGRCLTDYEEPAVTVVKPSTPSVTKPFTAVLDTSNPLTSSRRANRNCSIAVRLLMN >KZM93207 pep chromosome:ASM162521v1:5:3532655:3533475:-1 gene:DCAR_016452 transcript:KZM93207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILALITTVSFLANFILLWFLCAYVFCITYSSTGESEPETRPEPEPEPTIKYEENDHAELKSDESPHTFLFKIKFGKTLKRLSVPIFDGNLNTNMDNLRDMITYIFDLPRYTELKMSYIDEDEEEITMTDENDLMDIMTQKKLQYRLWMYVELKNIQASTKAIRVSRTERCNKTTAPVGLAGLKPIRVSNTFGSEYDETETETDVLSPIDIELVMTLAGVSRNKAVTAIKTHDGDIVSAIMELTA >KZM93212 pep chromosome:ASM162521v1:5:3558525:3560676:1 gene:DCAR_016457 transcript:KZM93212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSSEDIQYYNHQMMSPEIVELGEDIKSSICSREEGSADVFVAVGKNDLDVITWVLNHAALPGTRVFLVHVYPPIAYIPTPVGRLSSSQLSNEQVQIYIKEENNKRRNLLQKYITLCTDARVPVDTMLVESNAVTKAILDLIPVLNITNLVMGTKRPPIRLLKKSVGKAEYVQKSAPEYCEVTIVHEGKKVVDGKQQQLNENGPSIVASNPGKLKSAQQPPRTFLDCICFSGKFS >KZM92946 pep chromosome:ASM162521v1:5:1124762:1126232:1 gene:DCAR_016191 transcript:KZM92946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLESLRDFKAIEFRAPPPSPVGPGHRSSVANDDVLSEFLEHSLRVPDLVLPDRVFPRQKPAQKPPKLDFKSLEFMDHDSFISVVESIGQIGCFELVNHGVPKELIESVTNAGAGIFEISDEKKAELLRSSERPYGFVESHGDQEEKERSEEFVWCLDKAMKLEMEGFMPHQHSNFRNWKYEERGRRKEQEQIGSICYLYKHCHNLPENQNEGGSSSLKYEVIRMLIRGSEYPHALCFHICHGSSEFHLYSKKGWLTFSPDKDALVVTVGDQLQAWSGGNYKHVIGRPIFSSEVERNSDVSMAFLYSPPTESFPAEEDEQGKAISLKQQALFALLLMVFYHFFF >KZM94664 pep chromosome:ASM162521v1:5:24728108:24730558:1 gene:DCAR_017906 transcript:KZM94664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKTSQVHNFSNPVCSWQGVFCSNQENVVKFVASGLGLSGLVSDNTIGKLRNLQSLDLSRNKITGLPSDFWSLGSLKILNLSYNQISGSLSSNIGNFGVLESMDLSFNNFSGNVPESISSLANLQVLKLQHNGFEFVIPRGIINCKSLVSMDFSRNQLNGTLPKGFAAAFPKLISSNLAGNEIHGRSSDLSGMVSVTFLNISSNLFQGSIVDVFRGPLEVIDLSHNQFQGHISQVNFSSSFSWSQLVYLDLSENQLSGAFSENLNKAQNLQYLNIAYNRFSRQKFLQIDNFPKLEYLNLSKTNLVGHIPVEISLLSNLRTLDLSKNHLSSRIPPLSTKRLQTFDVSYNNLTGNIPLSILKKLPRMGKFNFSYNNLTLCATRLSTKTLKSAFIGSLNSCPIAANPSLFKRKSSTHRGLKLALVLAFSLICLLVGLLFFAFGCRRKTRMWAVKQYSYKKEQIISGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLNFTFADLLSATSNFDRGTLLAEGRFGPVYRGFLQGGIHVAVKVLVHGSTMTDHEAARELEYLGRIKHPNLVPLTGYCLAGEQRIAIYDYMENGNLQNLLHDLPLGLQTIEDWSTDTWEEDNNGIQNVGSEGLLTTWRFRYKIALGTARALAFLHHGCSPPIIHIDVKSSSVYLDMNLEPRLSDFGLAKIFGHSIEDEIARGTPGYVPPEFLLPESGSPKGATPYSDVYGFGIVLFELVTGKKPAEDAYEDKETTLVSWVRGLVRKNEGSRAIDPKIRGTGPKSQFVEALKIGYLCTADHPSKRPSMQQVVGLLKDIEPSMN >KZM95115 pep chromosome:ASM162521v1:5:28806952:28810289:1 gene:DCAR_018357 transcript:KZM95115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCSQVRDGKIQENSSNKEKPSLCDFCGDSVAVLYCKADSAKLCLTCDREVHSTNQLFTKHTRSVLCDECESSPSSIFCSTHCFVLCQNCDWESHRISESSNHDRRPLEGFNGCPSVVEFLANFGFDDLGKKKSKRSEGVQESENFGFSDYLVWETPSVISLDDLISSDGSDHNFQVTGFPPLPKNRNTVCGQRKEEILCQLRELAKLEPGIDQNKQDVEPSPGFQSLLPYDDFQHQNLNFESKTEPTFFATYEGSTFQWCNDIGKDPEKILPNASSQSYFNTDYQVPAKDPDIDPKLHHIDNKNETPAQNIVVANASQIFPKVAPHEFCSQHRDIAITRYKEKRKSRRYEKHIRYESRKVRAETRARVKGRFAKIDR >KZM94519 pep chromosome:ASM162521v1:5:23166233:23171958:-1 gene:DCAR_017762 transcript:KZM94519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRLFTTSRATCRLVDSEARLGAVARLGAEATLVDAGKDGEKNMDVSDPIVLVSSGNAQIFAYVDEKPLMEPQRVKYTYEYSAGLGLDDTPHRGLGFSEELETTPNVPLSSLNLEQQEDSCLDSPSSDEMETDVTHVNELSEGDDLLATTPSGEKNSGYLSIGGMKLYTQDISCGESEDDNELSYGEESSESEESCGSSESDGSSDSDSSIDEEVAEDYFEGIGGSEKVVDADLLVGKVRKINNDGVSGGNYVDTIQKFGGIDLQDASREYGLKKSQSGNKQRFKPGTSGTSGFAWSSALDDLMEVKDPRTSFGKKKHVSRFPQSWPSDAQKSKHLRRFPGEKKKLRKETIAHKRRERMINRGVDLQDINLKLQKMVLDGDDILSFQPMHSRDCSQVRRLAAIYCLNSGCQNSGKKRFVTVIRTERTCMPSSSGRVRLEKLIGAGDEDADYTNNDIISTKGDRRTGKRGSKGFTQGSAPKNSFKSSADRFGTKDVRRKKKNEDKLSYAAQPMSFVSSGVMHSESEIKTLDTAETDNTIHDKKDVSSSYGAFELHTTGFGSKMMARMGYVEGEGLGKDRQGRAEVIEVVQRPKSLGLGANVPELSIESSVKGAQLPKKSSGPGVKGPKTRNKMPGIESQQFAAFEKHTKGFGSKLMAKMGFVEGTGLGRDSQGIVNPLLASRLPKSRGLGAKG >KZM95606 pep chromosome:ASM162521v1:5:33803705:33806068:-1 gene:DCAR_018848 transcript:KZM95606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLGFTNIDATIMIPPEQDREEMHESFSSNTDNGCESSNAGGENGYSMNQSEFVTRQLFPVTDYDHLAECSFASDKPISSVSVSEVPPQRQAVAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDRAAIKFRGIDADINYNLRDYEDDMEQMRSLGKEEFIHILRRQSTGFARGSSKYRGVTLHKCGKWEARMGQFHGKKYIYLGLFDSEVEAARAYDKAAIKCSGRDAVTNFEPSAYEQEMVSERRNEGNDHNLDLNLGISTPSSENGPKENENSGHLQFISYDRMMEDRRAQIENAVTATGGNPNLTGLPVSQPPYMWNPANPNFFPSHEERATGKTIQVGSTIVAQKWAWQVDDGEVPTNPMRINSTAASSGFLSPAINVISPASIKPPTHYYVSSASNFPHYFYQMKPNPQ >KZM95391 pep chromosome:ASM162521v1:5:31713483:31715573:1 gene:DCAR_018633 transcript:KZM95391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEVKKEHINAIVNEIKKDEHVKVETAAAVAEEAKDQEKKEEKKAEEKEVKEKEEEKKAEEEKKNEATKEPKKEQKKMKAIQYSSYGGGASALKHVEIPVPIPKKDEVLIKTLAVSINPVDLKVQSGLFRPLYPKAFPCVPSSDIAGEVVEVGFEVKNFKAGDKVVAMLNAFVGGGLAEYVVAKESMTVQRPPEMTPAEAAGLPVAGLAAYQALTVHAGIKLDGSASETNILVTAASGGVGLFAVQLAKLGNIHVTATCGARNIELVKSLGADEVLDYKTPEGAALKSPSGKKYNAIIQCAPPIPWSTFASNLTSHGKVIELTPGPKAYWAYAVKKVTFSKKQLVPMFVAPKLDHFAFLVKTMKEGKLKTVIDSRFPFSKTEEAWAKSAEGHATGKIIVEFE >KZM94697 pep chromosome:ASM162521v1:5:25075663:25077407:-1 gene:DCAR_017939 transcript:KZM94697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYESLKPVVVMILLQFSYAAVALSTRAALLEGMSPRVFVVYRQAIATLVITPLAFFTRSKTSKSGLGLRSFSLIFLASLIGVTLNQNVYFEGLYLASSSMASAMSNLLPAVTFVITLIVGLEKIKIRSLRSIAKIVGTVLCVAGAIAMALLKGPKLLNAELLPNKSVLGSAVDNYWLIGCFLLFASSCCWSFWLILQVPVSASYPDHLSLSAWMCFMATLQSAIVALFVERDLETWKMDSYLQLACCFFSGIVGSGISFYAQAWVISKRGPLFSAMFNPLNTVIVTILASIFLHEEIYTGSVIGAVTVILGLYTVLWGKAKDITGMNTEKHQSTQNVDCKIVSVRIDDSVDKTRCKNDLEEPLLLDKATKVDSVDV >KZM94890 pep chromosome:ASM162521v1:5:26770310:26771770:1 gene:DCAR_018132 transcript:KZM94890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPISDPHSPEKPKTPTKSSLDRKNSNSFSFSHIQTLIYHPRSCLILLILILQILILYASLSLPISTFSLHAPISVKSGGPNSTELCPDGRVYVYDIPEQFNKELLQNCDKLSPFGSRCGTFMNNGFGEKFTSVANVVPKSVVNAWYWTDQFALEIIFHDRMMNYKCRTMEPESATAFYIPFYAGLAVGKYLWSSNFTARDRDYHCEMILRWVREKPYFNRSSGWDHFITMGRITWDFRRSNDGDWGSKCIYLPEMRNISRLLIERNSWDYFDVGVPYPTGFHPSSANDVKIWQNFVNGRRRSTLYCFAGATRGFIKNDFRGLLLKQCYADSEKCRVVDCGGSKCSNRTAAILETFLSSDFCLQPRGDSFTRRSIFDCMVAGSIPVFFWKRTAYYQYEWFLPEEAGSYSVFIDRNEVENGTSIRSVLEKFSQEEVKKMRAKVVEYIPKLVYAKPNTGLKGMKDAFDIAVEGVLKRVKEREGGYKWK >KZM96094 pep chromosome:ASM162521v1:5:38216759:38220559:1 gene:DCAR_019336 transcript:KZM96094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQAFYTEKLEDADLAKNFDDDGRSKRTGTLLTASAHIITAVIGSGVLSLAWAIAQLGWVTGTAVLMIFSFITYFTSTLLADSYRSPDPVSGKRNYTYMDVVRSHLGGFKVQLCGLAQYGNLIGVTIGYTITASISMVAVSRSDCFHNKGTHDHKLCSVSNYPYMSVFAVIQIILSQIPNFHKLSWLSILAAVMSFAYSSIGLGLSIAKVAGGGAHPKTTMTGTTVGVDVTGDQLISVAHILKLKICIDELSSLDSSLDTLRSPPAENKVMKKASLAGVSTTTMFYMLCGCFGYAAFGNQAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFAQPIFGFVETRCNKRWPDSKFITSEYSVPIPFLGEWNINLFRMVWRTTYVVITSVIAMILPFFNDFLGLIGAASFYPLTVYFPIEMYIARAKIPKFSFTWVWLKILSWVCLAVSIVAAAGSIQGLVTDLKTYKPFKNVGD >KZM93112 pep chromosome:ASM162521v1:5:2509700:2510575:1 gene:DCAR_016357 transcript:KZM93112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTNKPDEEARHSKMNKPISPENGDDVAEKVGAKRQRRPSVRLGEIGGYVSSDSNRKVVKSQGNGKQVAVSKIKESNKNVGAKKSGGDGVAEKVGGGEKEGKKSFTKRIRSNLVSVEDKAGDEFRVSDSESPVDSGENLEIEKQGKSDRVEIGRLSKIAATKRVRTDRVSVEKFSGGKDVEFRVSDSESSEREESPVNLEIENGDQVGNGGRGVRKGGDGPLENGDEERNGVKGWLNQLGLGRYGPVFDVHEVDEEVLPLLTLEDLKDMGINAVGSRRKIFAYIQKLRE >KZM93784 pep chromosome:ASM162521v1:5:10703118:10704116:-1 gene:DCAR_017029 transcript:KZM93784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIESSFCPILCICMIFLCLPNLSASQRCNNNDKQALLQIKTALKNPTITDSWVSDDDCCGWDLVECDETSNRIISLIIQDDEALTGQIPPQVGDLPYLQALWFRKLPNLFGKIPEEISALKDLKSLRLSSTSLSGPVPLFFPQLTKLTCLDLSFNKLLGVIPPQLSTLPNLKALHLERNELTGEIPDIFGNFAGSPDIYLSHNQLTGFVPKTFARADPIRLDFSGNRLEGDISFLFGPKKRLEMLDFSGNVLSFNFSRVQEFPPSLTYLDLNHNQISGSLSSELAKLDLQTFNVSDNNLCGKIPTGGNLQRFDRTAYLHNSCLCGAPLPEC >KZM95107 pep chromosome:ASM162521v1:5:28737096:28740416:1 gene:DCAR_018349 transcript:KZM95107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVATLAELKPSISGRRTLRPSASTRNFVEWPISDVSSDLTVEVGTASFSLHRFPLISRSGRIQKLLQEAKDAKICRLNVTGIPGGAEAFELAAKFCYGVNIDITLSNIAMLRCAAHFLEMTEEFSDKNLIPRTEGYLKEMVLPSISNSISVLHHCEKLRPLSEEINLVGRLISAIANNVCKEQLTSGLSKLDHNFSTKSVPTMETENTSDWWGKSMTVLSLDFFHRVLTAVKTKGLNQDLISRILIDYTHHSLQGLLARDPQLVKGSLSDTELQKKQKVIVETIVSLLPTPSRKSTVPMAFLSSLLKSAIAASTINSCRSDLERRIGLQLDQAILEDILIPTNSHGNHHCPIYDTEAILRIFSIFLNLDEDDDEDNYMRDESDMVYDFDIPRSPKQGSIIKVSKLLDNYLAEVALDSNLTPSKFIALAELLPDHARLANDGLYRAVDVFLKVHPNIKDSERYRLCKTINCQKLSQETCSHAAQNERLPVQTAVQVLYFEQIRLRNAMNGGHNQFFFSSTNAQFPQRSGSGAGSGCISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKPHSASRLLRSFTRKLSKLNFLFRIKEMKNTNGGATSESRFFFQKRRRYSVS >KZM95441 pep chromosome:ASM162521v1:5:32146475:32162107:1 gene:DCAR_018683 transcript:KZM95441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTQADLPTMYTLLSNSLSGDDSLRKPAEAALSQSENRPGFCFCLLEVITASDLAAQADVRLLASVYFKNSVSRYWRTRRDSSGISTEEKLHLRQKLLSHLREENYQIAVTLAVLISKIARIDYPKEWPELFSTLSHQIQSADVLTSHRIFMILFRTLKELSTKRLAADQRNFAKISSQFFDYSWQLWQRDAQSILHGFSAVAQSLGADDSEMHNDLYLTCQRWFLCSKIIRQLIIAGFPGDAKSMQEVRPVKDVCPLFLKAIESFLPYYSSFQDKHPKFWDFFKKSCVKLIKILITIQKCHPYSFGDKSVLPPVTDFCLNMIVDPRPELISFEQFLIQCMSMVKTILECKEYKPNLTGRVMGENGVTFEKMKENMSSLVAGVVSSLLPSDRVVILCNVLIRRYFVLSASDVEEWYQNPECFYHEQDSVLWSEKLRPCAEALYIVLFENYSQLLGPVVVSILQEAMNGCPSPVSEITQGLLLKDAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPNMRIIHRKVALILGQWVSEYCNVYYVPSLTPSVFYAFISYVKLKKESIEKTVIKDDTRRAVYCALIRLLQDSDLCVRLSAARSLYFHIEDATFSEQEFSDLLPVCWDSCFKLVEEVQEFDSKVQVLNTISALIAHGNGVAPYAKKLVEFFQKAWEESSGENLLQIQLLTALKNFVIALGYQSPLCYNMLLPILQSGLSENSPDELLEDSMLVWEATISHSPSMVPQLLGLFPRLVEILNRSFDHLKVAANITEGYIILGGTEFLNLHASSVAKLLDFVIENSNDKGLLSLLPTVDLLIQCFPLEVPQLISSTLQKLIVICLIGGDDHDPSKTAVKASSAAILARILVMNTNYLAQLTSQPVLLALLQQAGFPIEENILLCLVDVWLDKIDNATLIQRKTLGLALSIILTVRSPQVLDKLDQILSACTSVILGGSEDISEEESSSDNMSPTRPQFPSKEYRKRQIKLSDPVHHSSLENSVRENLQTCAAIHGESFNTAIGRMHPAAFSQLKQALNMT >KZM96373 pep chromosome:ASM162521v1:5:40540636:40542319:-1 gene:DCAR_019615 transcript:KZM96373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSAETEHPVKAFGWAARDSSGLLAPFKFSRRKTGEEDVRFNVLYNGICHSDLHNIKNEWGTATYPLLPGHEIVGVVTEVGSKVTKVKIGDHVGVGCLIGSCHTCKNCSNHLENYCPKLLLSYNSTYYDGTMTYGGFSDTMVCNEHFIVRWPENLPLASGAPLLCAGITTYSPLKHFGLDKPGLHLGVVGLGGLGHVAVKFAKAFGLTVTVISTSTSKKEEALKHLKADAFLVSTDPAQLQAAAGTMDGIIDTVSADHALQPLIDLLTTDGRLVLVGAPSQPLQLPIFPLLYGRKIVAGSLIGGMKETQEMIDFAAKHNITADIELVPMDYVNTAMDRLAKNDVRYRFVIDVGNTLEAA >KZM93450 pep chromosome:ASM162521v1:5:6544699:6558503:1 gene:DCAR_016695 transcript:KZM93450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRRGVGGLAKRLETCYPFLNSYLRPTTAVTTTTTTHLPGEHSISPTYFDDSRSAFSWIFLAAPSVLFLGVNSTTVLAEDVSVGLKSEDEISSETISGLRKIEDGSVVSNIHTSKWRVFTDTGRDRFMQGKMEDAERLFQAAIQEAKEGFGERDPHVASACNNLAELYRVQKVFDKAEPLYLEAVKVLEESLGLEDIRVGAALHNLGQFYLVQQKLDKACVSYERALKIKRRVLGEGHTDYADTMYHLGTVLHLLGKGKDSEALIQDSIRILEDNGQGESVICIRRLRYLAQIYIKSSRAEAAMNIQRKILQKMELTKGWNSLETVIAAEGLALTLQSVGSSREAQELFERCLHARKMLLSKDHIQFV >KZM95007 pep chromosome:ASM162521v1:5:27871978:27873159:-1 gene:DCAR_018249 transcript:KZM95007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKADVDYEKIVRETCRNIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTDVRKNGTCAWLRPDGKTQVTVEYLNENGAMVPTRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILKIVKETFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQA >KZM94940 pep chromosome:ASM162521v1:5:27233487:27234036:-1 gene:DCAR_018182 transcript:KZM94940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHLGAPPGVYGLVSQIDILLKDMHWTCVIAYAFPARNHMLLAFLLGLVKMYQTCYHPDWVLIPDGAQDPVNFDVVLGIADQMHGLTLEQEVVQGATAHTEEAIPNGIPNVQAMENGGMHPGAQFIAAIGEETVGDHEESYPSGLVDGSFSQETLPLKT >KZM93145 pep chromosome:ASM162521v1:5:2788730:2790080:1 gene:DCAR_016390 transcript:KZM93145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRKRLRLSSDEGSDLFDSLPDDIVFCTRLNRLALQPAVLKEAGTNTVAVRAKNWSDSAHRFLKQCVRAGSTEATYFLGMIRFYCMEGRKFGAALIAKAAIRNHVPALYSLAIMQFNGSGGDKSSKDLLAGCALCARAGDLGHIDSIRELGYCYQDGYGVPRNLKGARSLLTRAAAMEVACCVRASSEDVIRKCETRLDAIMSFKTVPGANPGLGHAYWAGSGLQFSPRETHPANRFLLEWFAIQADSGSDPGRVGGVKLCSDLNCGRSETRENEFRRCSGCANVRYCSRGCQAHDWKVRHKFECSPMPQLVHHAVDEGF >KZM95700 pep chromosome:ASM162521v1:5:34673357:34674283:1 gene:DCAR_018942 transcript:KZM95700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVAEAPGYIQVFSDGSVKRFAPDIIAPSVNPTHGFMSKDVVIDSSKPITGRVFVPENISSKNAVPVLVYFHGGGFSIGSTTWSGYHYFLGGLSVTCKCVILSVDYRLAPEYKLPIAYEDCYSSLAWLCNAVSHEPWLQKADLSRVFLSGDSAGGNIAHHVAVKAIRDKACPIRVKGILPVHPYFGSEKRTKLEQETGDVGEVAMNDFFWGLSLPEGSNRDFYGCNFENGDLSKDEWARFPAVVVYVGGLDFLKERGVMYVEFLQKRIKGVKLVEAEEDTHVYHIFYPDSDASHLLQGLMSEFINSF >KZM93601 pep chromosome:ASM162521v1:5:8285926:8290980:1 gene:DCAR_016846 transcript:KZM93601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASELYNHLKSVEPFFVLAGPNVIESEEHIFRMAEHIKAITSKLGLPLIFKSSFDKANRTSSKSFRGPGLAEGLKILEKVKLAYDLPIVTDVHEASQCDAVGQVADIIQIPAFLCRQTDLLVAAAQTGKIINIKKGQFCAPSVMTNSAEKVRLAGNQNVMVCERGTMFGYSDLIVDPRNLEWMREANCPIVADITHSLQQPAGKKLEGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLSAPVDGPTQWPLRHLEELLEELVAIARVTKGKQQFKIDLTPFRD >KZM96030 pep chromosome:ASM162521v1:5:37536895:37539967:-1 gene:DCAR_019272 transcript:KZM96030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTAPEFKGLTRITAVEAESLKLVSEGCNPKSEKDVKRETEDILEEVSKSDNAIKKLDKTISNLEMELAAAKAAHESIRSGSPLSEDLNITGQSSGRRKYLMVIGINTAFSSRKRRDSIRATWMPQGEKRKKLEEEKGIIIRFVIGHSATVGGILDRAIEAEGRKHGDILRLDHVEGYLELSAKTKKYFATAVSKWDAEFFIKVDDDVHVNIATLGKTLVRHRKKPRIYIGCMKSGPVLAQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAVSKDLATYIAVNQHVLHKYANEDVSLGAWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWTCAGICRSVDRIKDVHRRCGEGENAVWKAAF >KZM93555 pep chromosome:ASM162521v1:5:7721789:7726843:-1 gene:DCAR_016800 transcript:KZM93555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGIVCVTGAGGYVASWLVKLLLSNNYTVHATVRNPDDAKNSHLKKLEESSDRLKLFKADLLNYDSILMAVKGCNGVFHVASPVPSSSVPNPEVEIVEPAVKGTLNVLKACLEAGVNRVVYVSSGAAIMLNPSWPSGKVKDESCWSDKEYCKATNNWYCYSKTEAESEAWEFAKKNGINLVTVCPNMVWGPMLQSTTNASSMALVKLLKEKCEQLENKMRLVVDVRDVAESLLMVYEKPEAEGRYICTAHTVSTQDLVEMLKRLYPDHEYPKSFTGAAVGVNTSSEKLQTLGWSFRPLEETISDSIESYKQGGILD >KZM94360 pep chromosome:ASM162521v1:5:21431029:21431502:-1 gene:DCAR_017603 transcript:KZM94360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLSDISDILTHVSDTLSPSEEALIESWFRSWSTPSDNEMLDIIERLDNDMVDINDRFALDGSSYANGFLIEASVVEEEDSTYTLRKKKLNEREDGTKLVQGLLVEKWRQRQGDARHRISFVLGFCDETLWTKTSVSFHIIGMEAKLSIANKLLR >KZM95079 pep chromosome:ASM162521v1:5:28442466:28445314:-1 gene:DCAR_018321 transcript:KZM95079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKIKEASRNEVFPGYGRVEGGHPMWLSREDKAMVDATTEVKYDLVVAKDGSGNFTTIGEAVAAAPDRSTKRFVIHVKAGAYYEYVEVESKKTMLMLVGDGIGKTLVKGNRSVVDGWTTFRSATVAVVGNGFIAKGITFENYAGPSKHQAVALRSGSDLSAFYQCSFVGYQDTLYVHSLRQFYRECDVYGTVDFIFGNAAVVFQNCALYARKPNENQKNIFTAQGREDPNQNTGISIINSKLAAAVDLIPVQSSFKSYIGRPWKLNSRTIIIRSNIGDLIEPAGWLEWDGDFALSTLYYGEYSNRGPGSNTSSRVTWPGYRVITNATEASQFSVGNFIQGGEWLNSTSVPFFLGLS >KZM93580 pep chromosome:ASM162521v1:5:8011641:8016396:1 gene:DCAR_016825 transcript:KZM93580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMEEEIDVAEEPKRPPKHKGKHDKPKPWDDDPNIDRWTVQKFDPSWNEDGNSLTEDTSFSTLFPQYREKYLQEAWPIVRGALKEHGINCELNLVEGSMTVTTNRRKVRDPYIIIKARDLIKLLSRSVPAPQAIKILNDEMQCDIIKIGGLVRNKERFVKRRQRLVGPNNSTLKALEILTGCYILIQGNTVSAMGSFKGLKQVRRIVEDCMTNKLYPVYHVKILMLKKELSENPELANENWDRFLPKFKKKNVKQKKVKSKEKKPYTPFPPEQPPSKVDIQLETGEYFLSDKKKSARRWQEGQAKQAEKTAESKRRREEAFVPPKETGMQNTSVSNDEDNNVTAMAKSLKEKAKELGKRKSFEKIDPKTYIAAPGEPSSQKKSKKSKK >KZM95706 pep chromosome:ASM162521v1:5:34714448:34717158:1 gene:DCAR_018948 transcript:KZM95706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDNALAGDICATVITAAVASCVLRLWEETAKRGIFEQKVNRKMVHISFGLVFMLCWPMYSSGHQGALFAALIPGLNIVKMLLMGLGIWKDEATVKSMSRFGDYRELLKGPLYYVLTISIACTVYWRSSPITIAAICNLCAGDGVADIIGRKFGSQKLPYNSDKSIAGSVAMLIAGFIASVGYMHYFSSFGYLEESWEMVLGFFVASFASTLVESHPLSTVLDDNLTVGLATLVVGSFVF >KZM95875 pep chromosome:ASM162521v1:5:36417257:36418486:-1 gene:DCAR_019117 transcript:KZM95875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSLMSCGVAAVYPSVLSSSKSKFAAALPMSMVSANGASSKFSMSAEWMPGQPRPAHLDGSTPGDFGFDPLGLATVPENFERFKESELYHCRWAMLAVPGILVPEALGLGNWVQAQEWAAIPGGQATYLGNPVPWGTLPTILAIEFLAIAFVEHQRSMEKDIEKKKYPGGAFDPLGYSKDPKKFEEMKLKEVKNGRLAMLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIVIPRGL >KZM93968 pep chromosome:ASM162521v1:5:13029999:13037208:1 gene:DCAR_017213 transcript:KZM93968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSSPGTSVNRQVKTCHQCRQHRSSATACKGQGKKKPCTLLYCQKCLLNRYGEKAEEAEASEVWSCPKCRDICNCSICRTKRGHLPTGNLSHKAKAAGYSSVSQLLHAKGPENFGLVKNANDTGASLKKRRASEKCPQHRSSATACKGQRKKKPCTLLYCQKCLLNRYGEKAEEAEASEVWSCPKCRDICNCSICRNANDTDASLKKRRASEKEIAAQGKKGKELVIFEGTVLNAQLLTAIPLQQYTMEELSYPSEDMVDEVGEVAEDVNAENDCSP >KZM95208 pep chromosome:ASM162521v1:5:30178642:30179715:1 gene:DCAR_018450 transcript:KZM95208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITACISATQVGLANKAPILRASSPILGLPVMPKVGKVRCSMKANTGSQKGSTKVDMGASLMAAATAAAMSSPAAMALVDERLSTEGTGLPFGLSNNLLGWILLGMFGLIWSLYFVYTSGLDEDEESGLSL >KZM96430 pep chromosome:ASM162521v1:5:40906289:40909985:1 gene:DCAR_019672 transcript:KZM96430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPPAGDIFDLEFRCSDDDAWYSVRAILVSGTLTIQFENFPEISFGVTEFDSLEHLDEFTRRIRPVSTQLQDSECYKIDKGMKVCAYSSWREDDLRYYDAIVEAIEFKDHLFEKGEEECLCTFVLSWLHGPNAGNMSSSGVASICLVNTNAPLNSTILTFLKLAKENIKRASLRTRSVSKSYSTPTTTPDPVASFQIDEDRDIGGVPFNMNKVEELGNHHYILIDNLEKDLSPTSVIEFIRKQTSITAEAYVFPSLLAESYTRGAIVLASKRSLEEIYKFLINPDHAIVSSTGRPWVITEEKMRRGYFKSVGSLMPRSQGGIVEDKLKVVRAGTEEFRKAARLKNLFKDFTDHQRQLHERLTLEHNKNLYPSSASYPGAG >KZM95407 pep chromosome:ASM162521v1:5:31883738:31886850:-1 gene:DCAR_018649 transcript:KZM95407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPRKSTRRSSILPKEVWSYEHIDLARPLLSVFTFPRVCRWGNIKSSLREGFSLMFQELNINQVVWELKITSEESKIDIIQEISRRPESVDINIQDSPFPNVSVHNGNHISSRYESEHMVVERRSESDMELVDETCEGISKQSRASIVSIDSAKSRDQSHHEEKKEQEKSSCVAQVTSTIILSSDEEDILDSTDHGREDSNNILKKNAELINKIKYLKKQLLVYSNVHEQNAELRKEVEELRKENLHLRSAHSAALCFEERLQRLVIDDSLHTNTEEQTTGNCKQKKQPTMRD >KZM95969 pep chromosome:ASM162521v1:5:37114417:37119917:1 gene:DCAR_019211 transcript:KZM95969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSKDDIFQLIKKFGAFLTLKFNNLFHNLDSRSIGAIAGLAVAILFTWRLLRSPSEPQRRQPKRETTAPSSSGPTNHSNPNQISSGVSSQDSGAQNVIDEFFQPPTLGQIVKQRLSEGRKVTCRLLGVILEESTPEELQKQATVRSSVLEVLLEITKYCDLYLMERVLDDESEKKVLAALEDAGIFTSGGLIKDKVLFCSTETGRTSFVRQLEPDWHIDTNPEIIHQLSRFIRYQLQIASVKPERSAGNILSSPSLEEFFGCV >KZM96447 pep chromosome:ASM162521v1:5:41040768:41041946:1 gene:DCAR_019689 transcript:KZM96447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFATLDDQEEFSLETPMIRFYRLLYFVNVTIGNPPVQQYLEVDTGSSLTWVRGGTYPPRRDDYVPSKSYSFRQMSCGDPICTSKNTFQCLTQKINQCGYKIYYADGTESAGRIGYDQFGFVNYEEPRSHSFVDNVVFGYLGNITNGTPSTKDKNFNGILGLGPRSISLVNQLPGPKLFSYCVSNLSSADASEGYIHFGEANDYTGDLQTTPIIQGYPQYIIEIQSICLGNVCLPIDPSVFKHIPGVKSGVSIDTGAIYSFLPDIAYAAVEDAVIKMMKSKNKTYVPGLYKNNSMLCYDGKLDDDESSYPSLIINFAGGGGATMEITRNVYLHELRSGLHCLSFRRSSRFGGRYKKYTVLGLLSQQYHVFEFNLDSWSVGILGDKFCNDPIL >KZM93267 pep chromosome:ASM162521v1:5:4269016:4270358:-1 gene:DCAR_016512 transcript:KZM93267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAWKHGTKVDAQNETGAQKGTKIRCNYCFKVLTGITRLKLHLANVGGGAASCPSVPETVTAEFRACFETFPSRSAVKTKRKYDMGESCHAAGGQSSAPAEDGGSHNNAALPHQNIVDLDPETTIHIGVTNEPQTVQKNLHLDEKAFKEFEENVKSKKEQHRKILKTTKQQLKEKVQEVEEKNSELEILRAKLKAKEMDLIAKDDEMDSLRARAIAAEAKRYLEGRNEIITKAKQVGLNHKLLLPSPYDPTENTQ >KZM95476 pep chromosome:ASM162521v1:5:32453809:32455074:-1 gene:DCAR_018718 transcript:KZM95476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVREILNISGGDRSLCNLPVELLSEIFLKLPVRSLLACQCVCKSFDRLIKSPAFTASHVNHNSTSSTNTYCSVNILVIDEDFKDRCEQILINNEGGSVISTSHVRQSNPLATNISVIGSCNGLFCAYLNIFGEPWASRIMLWNPVTRDNRFLPKPKIDAPHRQFFPVNAFGFVPESNEFKVVRIVSYYTSGNIELPSPGDVFVMQAEVYKMSTDSWTVLNASAIPCYGPQHMFLEIESFLPLKFNRPTRTLFLEGAFHWLAVNPKNVYDLCAAVVAFDLEHEEFKIISLLESHRILHSKKGQLEIINDLLGLIVPHRPGFSPDFDVWVMNDYGSKESWTRMYCVKQSTGFARPCGYWKDDLLLMVENGRRNRLFFYDLRTKERQNILNSGYFYYEYFCSYVETLVPVSRRNAVVENADD >KZM94036 pep chromosome:ASM162521v1:5:14132044:14134478:1 gene:DCAR_017281 transcript:KZM94036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRWRPQNLQQIEAVEQADKVKELRTALGPLSGHGLKFCSDACLRRYLEARNWNVDKAKKMLEETLKWRSTYKPQDIRWHEVAHEGETGKVSRADFHDRSGRTVLIMRPGMQNTTSSDGNVRHLVYLLENAILNLPEGQEQMSWLVDFNGWSLNTNISIKTTRDIIHVLQNHYPERLAIVVLYNPPKFFEAFWKVVKFFVDPKTFEKIRFVYPNNKQSAEVMKSFFDAENLPSEFGGKASLKYDHEEFSRLMAEEDVKTAKYWGSDNMPCNDMNEKSGSELTPVV >KZM95306 pep chromosome:ASM162521v1:5:31039029:31042151:-1 gene:DCAR_018548 transcript:KZM95306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVQSSSNTTLRAYKHEHAVDDPESVATMVNMAIRNSTERRKLGFFSCGTGNPIDDCWRCDRNWERNRKRLANCAIGFGRSAIGGRDGKYYVVSNPNDDDPVNPRPGTLRHAVIQDRPLWIVFRRSMVITLKQELIMNSFKTIDARGHSVHIANGACITIQYVTNIIIHGLNIHDCKRTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSKCTDGLIDAIMGSTAITISNNYFTHHNEVTKRVDLRTHRWRHWNWRSEGDLMLNGAYFTSSGAGAAASYARASSLGAKSSSLVGSLTSHSGVLLCRIGRQC >KZM95638 pep chromosome:ASM162521v1:5:34054930:34057276:1 gene:DCAR_018880 transcript:KZM95638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLIIDCMLNRVDKNCGGQRKISLDFLLDIAQILHYKRGHCSCYSELGRKTEVDLTPKFAEKVFGGDGGYYYSWSPDELPMLKEGNIGAAKLSLEKNGFFLPHYSDSPKVAFVLQGNGVAGIVLPGKEEKVIPIKTGDSIALPFGAVTWWYNKDDTELVILFLGETSKGHVVGSFTDFFLTGSTGIFTGFSTEFVGRAWDLEQSVVQILVHNQTSKGIARLGLNANMTEPKEEQRDGLVLNCLQAPLDVDVKNGGRVVVLSTKNLPLVGEVGFGADFVRLDGSAMVSPGFSCDSAYQVTYIIRGRGRAQIVGIDGTRVLETIVEAGNLFIVPRFFVVSKIADPDGLEWFSIITTPQPLITNLAGRTGAWKALSPQVIKASFNVGDDIEKEFRAKRASDAVFFPPPQ >KZM94035 pep chromosome:ASM162521v1:5:14125999:14130669:1 gene:DCAR_017280 transcript:KZM94035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNKFDSIKSLTTTQFDWKCRLRLQSLWKGVNYKTKEFWGLNMIFIDDSNDRIQAFASTKYCKDVITNLKEGKIYILTNFRVKDYVGDETFRPVRNNKHIYFTTHTKLENDVEGDLKIDRHAFDLFWLGDMEKLSNDNRYLVDVVGMVKNVRSIKSNKNESEKLLTKFDLSDGSCAVAVTLFDDFGVEFQQTLTCCKEPEVYVILCAARVTIYEGMPNLTNYPATRYYINPGHYSVQQITQRLFAKKTEPVESPPPEEMNYETMTVKEIQSLASDSKEMKVKCHVKVTKVEVDTAWYYATCTKCPNEIQRNEGVFKCLDCNRIIPYPDKRFRVCTLCSDSTGSIAVIFLDEEVSRIIDKTVFDLEAEAIQEKNEDKFPKVLKQFENKLYNITLKVTDNNLKKGSRVYEADEIVDKIESGASFDPSAKIDSEMRDESAINLADDNTNTPHTAKSSTKIRPRVDIESVTFDPKEEIPEKARHTEKKMKNKMSSRYTALASITTGSNEDKIRIKARIIRLWRGMTKTGELFTSFNILLLDNKNGIIHAFIPAISAHDFERQIKVGTVNIITGFTVQAYKASDKFRVVHGPVQLIFSKDTKIQQVDDKGTNIPTEIFDFYDYSQVKDHADRTDFLIDVIGIMTDHEVHVNDITNRHGVRQEQAKFVITDGRSNLKITLWDQYAKDFVKAVWEKMETPVILILAGCRVQLWNNEPIITHVAATKYYFNYNHHSVKQLRMMLSEPEFAKAVRSTNPTRIAELLTIEAIRALGPDYIQRQVLTHVNIIQVDENQIWNIMVCTSCNLEVEAKGILYFCSACQRIVPYPEIRFRLVVLASDSSGTIQVVLHDREIRQLIGKRARQVVQENSLTGKFPQCFQLLAMKPYTIKLTLNEANLVMKSILYFATNICHGFKMEETREIVQQTTTTNDFQATTSSTQIPGLSNLKCESSTATKE >KZM95785 pep chromosome:ASM162521v1:5:35523338:35524714:-1 gene:DCAR_019027 transcript:KZM95785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNPSTFETILPSRFITFTYPSPTLPQTLLRVAVLDSPLTQPSTESPQLAAMFVPTHRETDWIFSTQSGHLQLLLNFAQFSRLILIGYVPISPNLVNSFTRPECETGHAQLVNLEEKLTPLLFALAPKSCFVKGLPEVVFLRYEDDLIGSVVVEVCDGGLVGEMVVEDVELEGFEGREFRRRLRFKRMPNFVQTQVRIFPKGGRGFVGLEGVEFGLDFGVLVHSYLVPMVAGVSLIGGYLDERIQCGFRPKALCLGVGGGALLGFLSRNLGFEVIGVEADEVVLRVARQYFGLDDCDGLVRVCLGDAIEFLDEIDSKAKRLNIECLDNRNINGNDAKFDVVMVDLDSSDVNMGISAPPLEFVQKDVLLAAKRILCDQGILVINVIPPAASSFYETLIMKLKVVFEELYEVDVRNGENFVLIAAASTVGISSSGTKNVFASKLKQAISAEFIDSIKKL >KZM95987 pep chromosome:ASM162521v1:5:37255420:37259774:-1 gene:DCAR_019229 transcript:KZM95987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSLEGEEKLILVPYMKEHVPKYHQWMKDPTLLAATGSEPLTLDQEYEMHQSWTHDPSKHTFIVLDKDLILPQFVHGQAHVEAMVGDVNIYMNDLEDSRIAEIEIMIAEPKSRGKGLGKKSVLMMMVFAVEKFCIHTFRVKIGESNEASLRLFRKLGFVDASYSKIFQEVTLELVITKLKMEELHLLAGTTKKRRREYVPGEKLGPRSQRYINTSVRHMETRILGTEAATASDVPDVVTGNG >KZM95873 pep chromosome:ASM162521v1:5:36397516:36402959:-1 gene:DCAR_019115 transcript:KZM95873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFCIDLTGALILSIPASTTKSSSFPYKNHRIHAFIAATLTDKFKKDLEEGALYEISNFSVKFYKGDETYRAVRSGKHIYFNTDTLCSKVVDTCLKIQPLSFDLYCLDDVYALKKDNRFLIDEVSLANYPATRFYLNNNHHCVKRIRTRYEFCMLCADHTGTVPILFTDDELTRFIGKTVYDILADETQVGDGDKFPPILLQFEKRTYNFTLHVTKENVVGGSNVYTAVKVSADEEISANDDPPETNSVLIKQTEISNSRTTLNNTSPATGESTNKSRARKKIDVVPCDLPEKSPEPKLKNVKTKINVRMEAFVPLFLMEKLQKVFILGKMYAISNFQVKNYTETDKWRCVTTDRQIQFTNQTRAKELGDNDYFIAKNCFEFCDLGDIKSFANQTKYLADVVGVVTRREDLKLVHTKQGVDKYQIRMTIADGRNYLNITLWNNLAECFQSDISSSKYEEPLIVIIAAGKVGIFQDEYDMCNFSPTAYYINYNHHIVAQLRKMSTQPEFKIEHRSIVQTKKEPELKTIQQIKTLGEDYIEEEVICQVQITAVQESNPWYYSQCTTCYKQIDQVESTYRCSKCNNRIVPYPDKKFAIIIVAKDETGEINILLMDRPIRKLFGKTVLEMEEEEKGKFPATFKTMEKGIYTIKLEIREFNIKEKEEMYIGNDIYQGSYMVPKMKERKFTVQQATEISQAQSSGTSIHLDNISQL >KZM94357 pep chromosome:ASM162521v1:5:21412810:21413957:1 gene:DCAR_017600 transcript:KZM94357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPCCEKDNVKRGQWTPEEDNKLSSYIAQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLNHSQFTADEEHAIVKLHSVVGNRWSLIAAQLPGRTDNDVKNHWNTKIKKKLSGMGIDPVTHKPFSHLMAEIATTLAPPQVANLAEAALGCFKDEMFHLLTKKRIDFQLQQPGAAAYLNDNSAADFNSTKQEAKNDIINRIRPWDPEGATSASFGFPASLSGFHCGASSFGNEADGSPWSQCSGSPCPGGDEQGRLLKDDKRERCQGKQIRHGSNIYNSDSVLWDLPSDDLMNPVV >KZM93771 pep chromosome:ASM162521v1:5:10614215:10614793:-1 gene:DCAR_017016 transcript:KZM93771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSHLKSPYSFYVFSLKFPSSTSPVALRSIKLKSLVHSFISSHLRRINRAASRAKSILIELTKEIQLTVLFKEPSKSQNSKNKLSFSSFRRQNSWCTSSHVLPVPSITSQLYHDATWNSIISPGCKEIEASQLSGYLQWLEHKVEDDSKVEDMNEIDKLADKFIANCHEKFLLEKQESYRMFQEMMARSL >KZM93044 pep chromosome:ASM162521v1:5:1939913:1940377:-1 gene:DCAR_016289 transcript:KZM93044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLNISSTHLTTETGIADVLNICRGLRSLQIAGCSAISSLGTGPKLPELEVLSVAGSGFSGKGLKTISQRCSGILRLDLEGCVAATTQQVEELVTMCGRLREINLRGCHSVEVFTVANRIVSLRRSYLKVIPPSTLPFSDCRKHLCSHGCLLE >KZM96189 pep chromosome:ASM162521v1:5:38986863:38987075:1 gene:DCAR_019431 transcript:KZM96189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVSSIFKFRDWRNTFFFRLSSTLQKIKLRRKRNQSNRPPKSRAREGDLIIKAKKIDIVDRQYNKETTR >KZM96455 pep chromosome:ASM162521v1:5:41100439:41102122:1 gene:DCAR_019697 transcript:KZM96455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEMQENMYDLCNLPYQKFASSIYSCLKDEYTNTHLKEDDGRMRIEVISGLSKDIWLDKNKQPDISFT >KZM93881 pep chromosome:ASM162521v1:5:11817935:11818711:-1 gene:DCAR_017126 transcript:KZM93881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGKRIRATRNSNQIGGPAKLKSQSTETTTKAAEEVYKYWFLLENEMVGAIRKGNSSLIPVAINRINFLKQAISIELLNEGLAGDEEALWAIYRTLHNNGWWVRAMNLPHTNPQMYTKQEANSETHLLNFIWPNDRLVHPNTQLKVREGDQEGIRMAFNQIHYGSMLKPLPENMPQQKSGNQMNIPWNDQLNITHQFIKGYANLIEPSVLAAALQGDDKALSMALGQIHHHTMPDDHATVDHRNQSTTPYKEALLH >KZM94270 pep chromosome:ASM162521v1:5:20301872:20306388:1 gene:DCAR_017513 transcript:KZM94270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLWSFLVPTLLLCIPCIYSSPTSDLFEAWCISHGKTYSSQQEKLHRLKIFEENYFYITQHNNNHIMAANSSFLYTLSVDNAFADLTHQEFKASRLGLSANGLIRMNLGGSSKGSDGVANVPTSLDWRDKGAVTNVKDQGSCGACWSFSATGAIEGINQIVTGSLTSLSEQELVDCDRSYNNGCEGGLMDYAYQFVIKNKGIDTEDDYPYQSRDTTCNKNKANNLFFLKKHVVTIDGYIDVRENDEKELLAAVAAQPVSVGICGSERNFQLYSKQTGNYTLVKEFKNKKSSGKLSGWIPLLGDWDL >KZM96565 pep chromosome:ASM162521v1:5:41939090:41944906:-1 gene:DCAR_019807 transcript:KZM96565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRTLVTKTSLRSSFEQIRGIQTTPFTLPDLAYDYGALEPAISGEIMKLHHQKHHQTYVTNYNKALEQLDAAVSRSDSTSIAKLHAALKFNGGGHINHSIFWKNLAPVAEGGGEPPKGSIGDAIDTQFGSVEALVQKMNAEGAALQGSGWVWLGLDKELKRLVVETTANQDPLVSGGSNLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKFASEVYEKEFPKT >KZM94335 pep chromosome:ASM162521v1:5:21189697:21190404:1 gene:DCAR_017578 transcript:KZM94335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQYPLYLYFFSLLSATIFFYKWFTLRKTALRNLPPSPPRFPIIGNLHQVGPDPYISLRAWAKKYGPLMLLKFGSVPVVVVSSAEAAREILKTHDLVFADRPFISVANRLTYNGRDVAFARYSEYWRQVKSICVTQLLSSRRVQSFHDVREEEVALLIRNIEHPPSKIVNLSDLLAELTQNVVSRVALGRKYGSGENGNSSYKILLEEIMELLGYSRSMRDYFPLLGFVLCSNF >KZM95594 pep chromosome:ASM162521v1:5:33705948:33709735:1 gene:DCAR_018836 transcript:KZM95594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPVKKVTDIAFKASKSIDWDGMAKMLVSDEARKEFFSLRRAFDEVNTTLQTKFSQEPEPVDWEYYRKGIGSRLVDMYKEAYDSVEIPKYVDEVTPEYRPKFDKLLVELKEAEQQSLKESERLEKEIADVQELKKKLSTMTADEYFAKHPELKKKFDDEIRNDYWGY >KZM93802 pep chromosome:ASM162521v1:5:10935697:10954267:-1 gene:DCAR_017047 transcript:KZM93802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEEESSSSSSSSSNYKSRSPPHYLAKSVLRGTVVLQVLPGHFRSPSSNDVVFGKETSIELVIIDDDGVVQSVCEQPLFGIIKDIAVLRWNGNRHQQSLQVFTTVTNSTLKSWELEASNCGYFIAVSAYEFQVAFFKISMSSGNDIIEKRISYPSETEGDTNTSGLVSSIGGTIWSMCFISNDLRQSYKEHNPVLAILLSRRDSVVTELLLLEWDIEEDNAHVLSQYSDAGSLAHNITEVPGLYGFAFLFRVGDILLMDLRDAHNPCCVFRTSLCSLSTAVEELNDAEESCKVHSVEEECIFDVAASALLELGDIHKDKDDDPMNVDSECGNVNSTSCHVCSWSWEPENVKNPRMIFSVDSGELYMVEIYSDSSGPKVNLSDCLYKGLPSKALLWVEGGLVAAFVEMGDGMVLQLEEGRLLYKNPIQNIAPILDMSVVDYIDEKHDQMFACCGMAPEGSLRIIQNGISVEKLLKTPPIYHGVTGTWTIKMKVTDSYHALLVLSFVEETRVLSVGVSFTDVTDSVGFRPDVCTLACGLVGDGLLVQIYQKAVILCLPTTGVHPEGIPLSSPVCSSWVADNMNISLGAVGHNVIIVATSNPCLLYILGVRFLSAYRYEIYQLYHVQLQNELSCISIPEKHSILKPSTPHSSCPDSTFSVPVDIGNTFVIGTHKPSVEVISFIPDKGIKVVAIGTISLTNTMGTTISGCIPQDIRLVLVDRLYVLSGLRNGMLLRFDWPSTSMMSSFESTLQKPCSGLSVPPSIAHTNRNSSGPVSSERMKENCPVYLQLIAVRRIGITPAFLIPLTDSLDADIITLSDRPWLLQTARHSLMYTSISFQPSTHATPVCSAECPNGVMFVAENSLHLVEMVQSKRLNVQKFPLGGTPRKVLFHNESRLLLVMRNDLSSDSYSSDVCYVDPLSGSILSSFKLDPGETGKCMELVKVGHEHVLVIGTSLSVGPAMMPSGEAESSSQGRLIVLSLEHRQHSDADSMTHGSKASSSSQRTSPFRDVTGYVAEQLSNSSMCSSPDENNFDGIKLEETEAWSIKLCYSTKLSGMVLAVCPYLDRYFLASAGSVFYVCGFPNDNYQRVRRLAVARTRFMIRTLTAHFTRIAVGDCRDGILFFSYHEDARKLEQLYCDPVQRLVADCMLMDADTAVVSDRKGSVAVLSRPSHVEDNASPESNLTLNCSFYMGEIAMSISKGSLLYKLPADDAFRGCDVANTVFNVSCNSILISTLLGSIISFVPLSREEYEILEAVQARLVVHPLTAPILGNDHNEFRSRESAVTVPRILDGDMLAQFLELTSMQQEAVLSLPLGSPKTVMLSLKSSPPPITANQVVRILERVHYALN >KZM96269 pep chromosome:ASM162521v1:5:39662849:39663592:1 gene:DCAR_019511 transcript:KZM96269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKPPSSKPTQRDFLTHLEAYLAKRDGVDKLLKISRYATKVILSSSSLPLSSTQKTHLKSFESSVGLSRKAFRLGKFIQDVNSLRNSVPESNQDLFLTLLAYGGEGVYYFIEQYVWLVKSGLIDGKNLIFLQKISAWAEFLGYFGSVGLKIRELRRIRDEESCLVSSIRIADLREISVADDRERLRKLREKKLLKRLSVVQDLADGLMALADIRDGKGRFTGPLVLSSAGLLSAVISTHKNWLSC >KZM93671 pep chromosome:ASM162521v1:5:9121994:9123652:1 gene:DCAR_016916 transcript:KZM93671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNRIDRDSLKPGDHIYSWRSAYIYAHHGIFVGKDKVIHFTRHGQEVGTGTVLDLLLASSGPPRSNVPCDSCTPPQEGHGVVSSCLDCFLRGGILYRFEYAVSPALFLAKARGGTCTLAVSDEAEAVVDRANCLLSNGFGCYNLFKNNCEDFAIYCKTGLLILNQSTMGQSGQAVSIIGGPLAAVIATPLTLVTTNVYGMAATAIGVYCVSRYAADIGMRNDVVKVSAKDLTERLAIGALQVIEPSLLALPSPVTFLLPG >KZM94732 pep chromosome:ASM162521v1:5:25349872:25350177:1 gene:DCAR_017974 transcript:KZM94732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISEEPIINPYTLPHTLTAHKRAISAVKFSDDGALLGTSSADKNVKTWSPHTGALIQDFFGHDLGISDLAFSPDNRFLVACSADKTDRLWDLNATTPSKP >KZM94079 pep chromosome:ASM162521v1:5:14985251:14987772:-1 gene:DCAR_017324 transcript:KZM94079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDENSLDSRWTKLCSKGKLDKKCSFLAANIECDIFGAVRVPAAFHDRYGDFLPSQLGIRCKKYLWPTKYDKEVRKIYDIGKFMRYYGLTVYNVALFEYYGDGLFEVKIFRDTAVECLYPNMHPTEFFKTTGKYYDEEDYILDTKSLEFEKQLSLFCFNACANKTDFVEMCLAEQNLHSDLQNLELDLSWEKFYNKWDDSSKVVLRLERMYWEVQGHPMLNIHSTKWYIHMLKRKDTLFFQDCLARNIAVGCVELGNLRLMIEADLEEASEDRSDSSGGNAGHDDDMERMICSSPSQSLFLPVVIHPPNRAWKNGDEVELRTEKGSWRLGMVLHGNRA >KZM95437 pep chromosome:ASM162521v1:5:32103459:32103977:1 gene:DCAR_018679 transcript:KZM95437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYFIYGNNTNYNETQQLEKKKGKKKGKKQACGSKEVKLSTDPQSVAARERRHRIREKFKILQSLVPGGSKLDTVSMLEEAILYVKYLKSQVWWLMHHPHQSQYDQNINIDNTYNIDQLVTNEHVQASAMVNDVNGLHLQNVNDYENQMRQQDEGAQQVMKVDDACLEYSW >KZM92858 pep chromosome:ASM162521v1:5:334813:336663:1 gene:DCAR_016103 transcript:KZM92858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGVLLKLLHSIDSNIKVRGEHRSILLQVISIVPALSGSELWPNQGFFIKVSDSSHSTYVSLSRQDNELILNNKLQLGQFFYVDKLEAGNPIPVLVGVRVVAGRHPFVGNPKDLMQMLDSSQESIREGFNSSKLNELAEARDESATQKIVIKKEKTAVASRYMQGVSTCSSKLSRTDSTGSENIGSESGSASKKVGTLKGNDHELKEQTRTTPLLNRITSQAAKQNSTINETKEVLQLKKDLDTKRVTRKQENVNSNYILGRREKKQPLGAISWPSLPSRLLKPGKEILRRANIASLVAAEAQKESAQAATLVTCLRKFGDLSASASLNDPHLCLTKFFALYQLIEHPNFTTQPKSSPPHNNACKPYRDKSNKREVRKQGKSMVKSPKPTIQISEADKLEWAKTDGLTNIEELREILLRETQIWFVKFLEEALDAGFRVSSRDKKGKANVAQQTEQNSHIAVTLSLLKSANGWLDQLGTDLFVEKNGLRETVDRLKQKVYSCLLLHMDSIASALENQPGRA >KZM94017 pep chromosome:ASM162521v1:5:13840127:13846149:1 gene:DCAR_017262 transcript:KZM94017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYNDSFMRNGANVQGRVKNQNQNRADVLEAKALASGHPTGLTNNLLRLFEPRPPLEYKPPLQKNKCPPYTGMAQYVKDFAEPSQPDYAKPLEKSETPAQRRARVHQIRLVAGAKKAAEELEKYDPSNDPNVSGDPYKTLFVARLNYETTESRIKREFEAYGPIKQVRLVADKETNKPRGYAFVEYRHTRDMKAAYKQADGRKLDNRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEDVNQMYSARAQHRSAGPPRSEEPRVRDDRKRSFRDREKSRERGKDRVREHDRHRERDHRDDRHHRDRGRTRERDIGRDRTRDRDRGREREDRDRGRDRYREERDRGRDNELTEDRGLSHDKNYDHDRVEVLSVDLQRGGW >KZM94876 pep chromosome:ASM162521v1:5:26670103:26673092:1 gene:DCAR_018118 transcript:KZM94876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSFGSGDGAGNKGGAMMRSIHRTVRAGGCGGGATQDSLSRPTSPTGNTTPPRPSSNIFKPKGLNTLSVSNSNATASSPFCNPFHAPMSTWAYASDSSEADEWEYVDSCDVVEEKDVGLCCDENLVFGSVPSVEEVQHAVSSLQQVLDPVLFQQVLTGDAVNDLDKKLVDQVTSPTSFLRRVPSYGSEVDWMEPSLPLCNASLLKNFGSDGLYDAFHLLRTEPHVQKMVISLSSDKAVWDAVLNNEVVREIKQSIAQAESNASLSSISSDDSHDSGTSVDILSWIFVNMKAKFVDLVDKITNMMNGLVLPSKSANETEQVVDSFEEKLKASFMLSIVVFLIVVVSRASRA >KZM93303 pep chromosome:ASM162521v1:5:4612168:4614546:-1 gene:DCAR_016548 transcript:KZM93303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGGRLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKLKEKVSAKS >KZM92972 pep chromosome:ASM162521v1:5:1277236:1284730:-1 gene:DCAR_016217 transcript:KZM92972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRNPQRTFSGSSDLFAEPLTPPGNARGQRKNGGDDPNEFSPGLLDLHAFDTELIPEMPAPAMVDASMYRSSRGRSFDDSEPYYGASKPSSRARNMPENNTLKPFVPDKEKASNVAKIKVVVRKRPLNKKELAKNEEDIVTIESQSNFLTVHETKFKVDLTEYVEKHEFVFDAVLSEEVSNDEVYSETVEPIVPIIFQRTKATCFAYGQTGSGKTFTMKPLPLKASRDILTLMQRNYRNQGFQLYFSFFEIYGGKLYDLLNDRKKLCMREDGSKQVCIVGLQEYRVSDVETIKELIERGNATRSTGTTGANEESSRSHAILQLAVKRSADGSETKPAKVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGNKKDTSSSTLNLRESTTIPLSSSLPRVSTYEDDIADSWPEQADGEDYDASEEFYEPEKPTWKKNMKPEVSSYASEDKMRRFSGQTKLKEPAKFDTKNSHPDDDLNALLKEEEDLVNAHRKQVEDTMDIVREEMNLLVEADQPGNQLDDYVSRLNAILSQKAEGILQLQNRLAQFQRRLKEHNVLVSSSGF >KZM95878 pep chromosome:ASM162521v1:5:36453093:36453902:-1 gene:DCAR_019120 transcript:KZM95878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSKGVILCRASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKAYLARYREGDTKGSARGGEGSAKKDPIGSQLSSQQYAHQGMGYVNSQV >KZM96300 pep chromosome:ASM162521v1:5:39946138:39947278:1 gene:DCAR_019542 transcript:KZM96300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGKKRKHAETIPEPKKELVAEERPKRTLLGWKDKPVVNNENEATFKNKEKVLITCTRRINYRYRQLMLNVVSLLPHCKKDSKVESKSTKGATLNELVELKSCSSCLFFECRKHKDLYLWMTKSPSGPSIKFLVNAAQQSAP >KZM94087 pep chromosome:ASM162521v1:5:15274173:15274954:-1 gene:DCAR_017332 transcript:KZM94087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGRLLLIFLAVVLSPFLVSGIGKYEESMRVKEQYNVSSSTTRKFSPGFHVEMTEARALSSNEDVGDSKMKINGGGSRGGSNGSGGGGGGGNGGGGGGGGGGNGEGGGGGGGGGGGGXADGDGEEEVEVEADVGGGDAVVLEEALTEELILHRPINNLP >KZM95766 pep chromosome:ASM162521v1:5:35378652:35378819:-1 gene:DCAR_019008 transcript:KZM95766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFASLGLVLFAGLMADLTLGLVSLGLVDLEVFIKSGRPQDRKHDGEYYLLLIS >KZM93316 pep chromosome:ASM162521v1:5:4730284:4731427:-1 gene:DCAR_016561 transcript:KZM93316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQQTQEIHFSRHITTTKSESVIEHSAAARFNTPVQMGATNPFATTMENPWLGMNHMFLGSNEMVIQAQTTPTTSTHSGPANSPPADENRKRPRVSMENLFYNLNVNIPIEDSPALESIKNDMTVLLETDRMLSQQTKRVRTQFAEKQSQAVGLLVDSVRNSLQKELKEKDEEIVNMRKTKMDLIEKGNSLLHLTKEWKKYATTCEIKLMSLRNDMEELMAENRRLKELVWACDAVAGPPVEQDGKSYWGSDAQECIEVKVEEAGETVAKKVQTGAAETGEGSGENMCKSCGKREMTFWGMPCSHACASPKTASPKK >KZM94203 pep chromosome:ASM162521v1:5:18563449:18565939:-1 gene:DCAR_017446 transcript:KZM94203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTAYSFCTYLGNCILQVWSHGPTLQPCLCPLCRRQITLIVPTESSLQQLHDPEVSEILGKVQTYNRMFGQRSDGLIQMIISAIYIVSPIDIIPEGVLGVIGLLDDFIIALICFLHVAALYRSVLVFRHGGS >KZM96284 pep chromosome:ASM162521v1:5:39789407:39790806:1 gene:DCAR_019526 transcript:KZM96284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLYPNFDNEDGLETVLEVPLPEEVFTSTDGNAYLRWHNMRSRIKAQLEEKQAPDDLFLMLLKIVSSPVIPYQPPFFQAHIALPSVKEGSFQAATAKYIVQQYIAATGGQAALDTIHNICAVGEVYMVSSPMQLGDAEDTPSNRDSGGFVLWLMNPDLWYLELVVSNCKISAGSDGKIGWSQSSHSSIATRGPPRPLRRFFQGLDPRSTINLFNKATCIGEKTIDDEECFILKHETDKVVLKSQSTENTETVHHTIWGYFSQRTGLLTKFEDTKLVKMKTKTDDHVYWETSMDSVLEDYRYVDGICVAHSGKTKCTVFRYGHMHNHKGKIEETWRIEDVDFNVHGLTKDSFLPPAEQVQDVEF >KZM94379 pep chromosome:ASM162521v1:5:21732183:21734922:-1 gene:DCAR_017622 transcript:KZM94379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLITGDDEFNLDQLLNDPDFLNDVNLFDELPGQISGPDPYPNEKFAEIEQLLMNDDFDQSKGDELLFDVLLDSPVESEASRGEVLDDSKTSSPETVVQNGDKDANDGEEDDKNFNEESGDGEKDDPVSKKRKRQERNRDAAVKSRERKKLYVKDLEMKSRYFEGECRRLGMLLNCVIAENQALRLSLHSSKAFDASMTKQESAVLLLESLLLGSLLWFLGNMCLLILPDSLQSTKEEVPLENVDNGNQGSLALVKAGRVEHGLHQNMLGKRCKASRSRMRPSYLVPEVIA >KZM94076 pep chromosome:ASM162521v1:5:14968719:14969896:1 gene:DCAR_017321 transcript:KZM94076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGQASQHQTRVGDGRKRPLSPSSSTSGVVLRRRGEESGLDAFLSARCINTQGQEGYVGISRHQNATSTSAAQQTPENCHTPYDARKYNNSNSNLTFENIMSDGQHSVNQHANSVYGEYISSNPPIGKGYERQKNSSVEQSTAHSGLLTNKNSTKFQKFSASKHSRIPSFVQLNTPGTGRRVPLKEIDLNQTSSFQVQGASSSATHATSEVSD >KZM93084 pep chromosome:ASM162521v1:5:2257206:2259340:-1 gene:DCAR_016329 transcript:KZM93084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGIDTVADNESTGSKKRVGGAALNEFLENRIRSMQNVNTISTTGRTPPANLDTNVSRSANLTNVDAVTKEFNPTRPTLAGNASLEGKGRRGRGPSFDKLYGHIRFDFENKLSNVKENLQPRKGRRGRGPSFDKLYGHIRFDFENKLSNVKENLQPRKGRRGRGPSFDKLYGHIRFDFKNKLSNVKENLQPSTDTPISERATQGPTSSTVCQNKRYNQGPNTSQQSPSNTVASTLYAQKRRGRGPGVNNIINSLFSMPKQTSQFAPGLGHTTNVSQQSLSTISAGKSSNQKRRGRGPGTHNIINSLQPSAEQTSGSASGLYQAADTPQKYNSNKSSTQKRRGRGPGINNVINKIQTTQGLDQAADKQFSQG >KZM94375 pep chromosome:ASM162521v1:5:21647583:21651317:1 gene:DCAR_017618 transcript:KZM94375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTLFVASSMPVLKVLLVTAVGSFLALDYVNILTEDARKHINTIVFYVCNPALITGNLAKTITYDSMVKLWFMPVNVFLTFLIGSFLGWLVNLVTRPPPHLRGLVIGCCAGGNLGNILIIIVPAVCKEKGSPFGDPSVCSTYGLAYVSLSMAIGAIFLWVYVYNIVRISAEASSIDVSGSSVSEYTSETSTVKPESITEPLLSSKEYNTYGDSADSLPCTRFDETEQLGLTDKLKQTVGKFSSKLNVKRLFAPSTNGAIVGFIVGLVPVLRNSMIGDEAPLRVIEDSTMMMGDAAVPVLTLIIGANLLKGLRASGVQKSMVIGIIFARYIALPMIGTVVVRAAVRFNLVHADPLFQFVLLLQFAVPPAMNIGTITQLFGKGESECSVIMLWTYVCASLSLTLWSTYFMWLVA >KZM95468 pep chromosome:ASM162521v1:5:32378754:32380512:-1 gene:DCAR_018710 transcript:KZM95468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDLQDEEETKASDMTNQSKTVRVRFQLQRECAFGQQFHIVGDDPVLGQWDPSGAVPFNWSDGHVWTTTELDVPIEKCIRFKIILKEGPENIIWQPGPDRILQTWETEKTLTICEDWDSADCQKISDEESTFKQIEESIINAEEITEPNKEVHIDESNTIPGRGLVTDVKESPVALSDEDISRLMLGPNGGKMNVEDSKLTTDESLSAKGLPLLVPGLEPLPTTLPEEKLMNEVDNKIFADATMEAENIKELNIPELKSEQVIDIDYQEQHQHVEVENPRFAEDEGERNSKSYDLVLENNDRWGLSSLQKLFANFLFE >KZM93867 pep chromosome:ASM162521v1:5:11680827:11687039:1 gene:DCAR_017112 transcript:KZM93867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKSWESDDDQVSDVENDAAASFGVNGVDRYWSDMSERNMSYREPLIKRRMNTTSQIAIVGVNVSPIESLDYEIIENELFKQDWRSRKKIQIFQYISLKWAFALLIGVGTGLVGFFNNIAVENLAGYKLLLTTDLMSEQKYYKAFLAYAGCNVVLATAAAVLCAYIAPAAAGSGIPEIFGSIFGVSAGFVVGKEGPMVHTGACIASLLGQGGSKKYHLTWNWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFIEYCGTGKCGLFGQGGLIMFDVSSATASYTVPDILAVLFLGVIGGIFGSIYNYLVDKVLRTYSIINEKGAIFKVILVIAISLLTSCCAYGLPWLAHCIPCPEHLKVSCPTVDGSGNFKRFHCPPGHYNDLASLFLNTNDDCIRNLFSTSTEKEFHISSLFIFLVAIYFLGIITYGIAIPSGLFIPVILAGSSYGRLVGRLFAPFSKLDMGLFSLLGAASFLGGTMRMTVSLCVILLELTNDILLLPLVMLVLLISKSVADTFNKGVYDQILKLKGLPYLESHAEPYMRNLVARDVVSGPLITFSGIETVGAILHALRTTGHNGFPVIDEPPLTDVPELCGVVLRSHLLVFLKEKYFSGVKVLSGKEILQRFDASDFAKAGSGKGLTLEDLDIKEEELEMFVDLHPITNTSPFTVVETMSLAKAAILFRQLGLRHMCVVPKAQGRPPIVGILTRHDFMPEHVPEVHLWKSIVPFSIEGNNDSRTKITREPEKAECQVRFNENFLRK >KZM95876 pep chromosome:ASM162521v1:5:36430813:36431958:1 gene:DCAR_019118 transcript:KZM95876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNYYNGQSTHDSEGAVPYPLRGGERGSYKRKCPAEPTFYGPFERGSASRSSYGAGSSSSSSQLQVEKPNFDYQGISSGPIGLPHHRGSGLPFSHEDSLRNVRSRSRLDVHPMAVRAHFSSHPSQHYHSATFQTYPPGRANLASSNADQARQEWNRIPISSVAAFTPGRGSIAGHSGVSPTADQYIVGGSTSNVTGGHHNYTSSGHPVSSSHYFNGTSAQVARGSGTVQSRSSISAYRNGLSFSSARHEAVGSAVNSYVGSSASTYTGSLLTSGVHNNYRNVRSSLALERVQPIPGLVDTQHRIGAEFIEDFATVTVFGHTSVYADQ >KZM93056 pep chromosome:ASM162521v1:5:2019834:2020130:-1 gene:DCAR_016301 transcript:KZM93056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSVISRLEEVAKATQFSVKKSESRVRLQGMECGRKGKLAVAAEMFAVTSAFMVVEVRKDGGDTLEYDQFWSKKLRPALGDIIWNGEKSIAIESLE >KZM94560 pep chromosome:ASM162521v1:5:23623705:23624435:-1 gene:DCAR_017803 transcript:KZM94560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTHISANFCSETGYDRKDPGSEEEHLSGSEVTMSSSETGSEHNTTRVLGMTNFNITKDGKSFLSSLGQSPLAWRSKPDASAEIYDERLGSQSEWSGGSLLDASTDDSLNSPREALLGERSQDTSEI >KZM96055 pep chromosome:ASM162521v1:5:37760198:37760511:-1 gene:DCAR_019297 transcript:KZM96055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLKPQGTALLESFADPVVIHHPKGHTIPRLDEKSLELMLGFLEKIQKELTNQEAQEEKCSDNTL >KZM94991 pep chromosome:ASM162521v1:5:27726677:27727876:-1 gene:DCAR_018233 transcript:KZM94991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSILLFLFSLVHLSNVFLTCNASNFSSILIFGDSLLDTGNNNYIPSFFRANHKPYGVSFPGGTPTGRFSDGKLMSDFLAEALGLKETVPPFLAPDLPESQLLTGVCFASAGAGYDDTTSLTQVIPVTQQYQHYFKAYKERLIRLVGKPRAAEILAKSLVFSTSGSNDMVLNYYANPLTIHGSTDQYQDFLIGNIEKFIKALYAEGCRKMAIAGLPPVCNPLQIAGLLGCLSLENSDPNVYNRKLQAMLKQLQSSLPGSRLVYADIFTPMKELALNPFFHGILLPFGTCCGDGILAMGPACNSLVPRCSNPSNHFFWDAVHPTETVYRYMSDYLIRNVLPQFH >KZM93360 pep chromosome:ASM162521v1:5:5257369:5257593:1 gene:DCAR_016605 transcript:KZM93360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAASPVAPPAINCTASCDTRCSETKRPNLCKRACGSCCGKCKCVPPGTSGNYEACPCYFNLKTHNNTRKCP >KZM96075 pep chromosome:ASM162521v1:5:37994685:37996052:1 gene:DCAR_019317 transcript:KZM96075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLLLRAFIADSYLALSTIIVASFLYVLFHLNHLKVGADIMIQRAPYQVNNNYMSKKSRCVELNFGLLARRQASKVFMLTILNQKLIPCMLKRRMKLRVRQGISVLKMFEIWF >KZM94305 pep chromosome:ASM162521v1:5:20792667:20795996:-1 gene:DCAR_017548 transcript:KZM94305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSMAPLIILNVDIVLFMLSLLQQDYQEEGFLDLFFFIQVWFRHQTPQAVDYLLQNLDWSKMHEVVEPFMNLPHRLFCKFLEYNISIGVKGALCYEACKNLIQGVNPIHYLHVLESIADEDSLAFLANQIFRTLYDQTLLHNSALCLQEKISVSSDFSCDFVKNCQTLRGRYRKYSMMWEGPQEIIPQNGVCSSNSSGEGHIMDGMGTFGKKFQVLDLFDVLCFSCSRAETLEVLHGLDWSWMHEADNSWVPLVVTRFTAFLDKCIQIRVSDAFCYVACKNLFVGINPMYHLNVLRELSTTHHLSFLSYHIFMSVYDIESVQFCARSIACQVSRSGHFKEYLLKNCRILNVRNDYSRVGWVRDPSLPPPLPLCALFRSDSDGHFNPYRWPALYKDILSTECSLCSLQILLLIIFR >KZM94068 pep chromosome:ASM162521v1:5:14641813:14644531:1 gene:DCAR_017313 transcript:KZM94068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMYLVEVNEGKKLSDLVQQHCVHSYVVHTDSVWALASTPTFSHVYSGGRDLSVAKIKCDEREDLKVLATGLTAALVARKSLTGNVAPHTNEPAGGRIKSADRKLERTESLSAAAKSVENQKQGEKYGNKTLHEDALRVFGKASLKSEGKATLKISLAAGSLSIPLVTAETFQHDPYEGR >KZM95908 pep chromosome:ASM162521v1:5:36661905:36667177:1 gene:DCAR_019150 transcript:KZM95908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMELKTLVTKTLEKKGVLAKIRAELRASVFEAIEEEDRVVEEEEGLPPALLGSCNDRAKKLHSSPSGRLLTALICEYLDWAQLNHTHKVYLPECNMQKDSWKSELKDFSNKNGYDLNRNGDSGPLLLDVLEGFLKYENLTQAMNTGRRSTPDTESYSSIESRNSRRPPSSTVAGGLAPLGRPGPVSQSSDRRGGSSMSGYRKDEYNWRYDSNEHPDEVDGASSALENLHLDRKARNLTNSWWHSRDGVTEDDGRPDHM >KZM93685 pep chromosome:ASM162521v1:5:9216740:9222066:1 gene:DCAR_016930 transcript:KZM93685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVIIRHDEAEAARKALRGKVGRLRICQICGDDVGLSAAGELFVACNECAFPVCRTCYEYERREGNQICPQCKTRYKRLKGCARVKGDEEEDDIDDVDNEFNFYEGEGEDSYHALTEPGFCQGHGLELSYGTSNEPDVYSPPIEFPLLTNGQMVDDIPPDQTALVPSHIENGGRRIQPLPFSEHSTLVRPRPMDPSKDLAAYGYGSIAWKERMESWKQKQLQLMNSENGGGDWDNDKDGPDLPLTDEARQPLSRKMPISSSQINPYRMIIIVRLVVAGFFFHYRVTHPVKDAYALWLVSVICEIWFAVSWILDQFPKWLPIERETYLDRLSLSIEPRAPEFYFSQKIDYLKDKVLASFVRERRAMKREYEEFKIRINSLVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVRVSAVLTNAPYILNLDCDHYINNSKAIRESMCFLMDPLIGKRVCYVQFPQRFDGIDKNDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPKTKKAPLRTCNCLPKWTYCGCCCSGRKKKKSTKLKLDKLKHPGKDDEAHEGVKEGNEVTLYSGDKEETMPMKTENELEKKFGQSPVFVASTLIENGGTITTASPASLLKESIHVISCGYEDKTEWGKEVLRWALGSIEIFFSKHCPIWYGYGGGLKWLERLSYINATVYPWTSIPLLAYCTLPAVCLITGKFITPELSNSASMWFMSLFISIFATSILEMRWSGVGLDEWWRNEQFWVIGGVSAHLFAVLQGLLKVIAGVDTNFTVTSKGGEDEEFSELYEFKWTTLLIPPTTLLLINLIGVVAGVSSAINNGFETWGPLFGKLFFALWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLGKSSGPLLEECGLDCD >KZM95114 pep chromosome:ASM162521v1:5:28781810:28786511:1 gene:DCAR_018356 transcript:KZM95114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEEGIELKFRIFDGTDIGHRTYPPSTTVATLKQRLVAEWPQDKSVIPKSAGDMKLIHAGKFLENSKTLSESRIHIGDLPGGVITMHVVVQPPVAKKKTDKNKDEKPKQGTCSCTIL >KZM95195 pep chromosome:ASM162521v1:5:29941776:29943273:1 gene:DCAR_018437 transcript:KZM95195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGLPWNDDDSSSDESSADDTDTETVENDGSTKNAKSGGTSKKNKPEVKSKGKGIDFDALSRHGYKGGLSVLKVPPPKDSIEYNLSWSTGKERRITADESEETFEEREKTRAALREGEQLKTAQTQREKNQSFSQKEKRKRDLGQASRGKNYVEEEKRLLRDNGVYSGFDS >KZM94744 pep chromosome:ASM162521v1:5:25461195:25463497:-1 gene:DCAR_017986 transcript:KZM94744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLLQNPIVKCAAGSFLSKHKSFLGGQFHSSQLLSFRLIRPRRQHTAGNVLSPSCVLPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMDVEQILDTETGLELNEENVEKILGEIRPYLTGTGGGVLEFVQIDDFVVIVRLSGPAAGVMTVRVALTQKLREKIPSIAAVQLIE >KZM92838 pep chromosome:ASM162521v1:5:168710:188807:1 gene:DCAR_016083 transcript:KZM92838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSRSLSTNRWRYINPAYYLKRPKRLAFLFIVFVCLSFLIWDRQTLVREHELEESKNVKDALGEKSKIGGEDTDASKKIGIEDDPVSVQRREKVKGAMLHAWTSYEKYAWGKDELQPQSKNGVDSFGGLGATLIDSLDTLYIMGLDEQFQRAREWVANSLDFNKNYDASVFETTIRVVGGLLSSFDLSGDKIFLEKATDIANRLLPAWDTTSGIPFNIINLAHGNAHNPSWTGGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVILQLNKTFPSDGLLPIYINPHKGTTSYSTITFGAMGDSFYEYLLKVWIQGNRTAAVKHYREMWETSMTGLLSLVRRTTPSSFAYIAEKMGNSLTDKMDELACFAPGMIALGSSGYDRDNSQKFLSLAEELAWTCYNFYQSTPTKLAGENYFFHAGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFEAFEKNSRIESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPTSVISLDEWVFNTEAHPLRIVTRHGQGVINEVLDEQHKPKLHARKQGRFGHL >KZM93730 pep chromosome:ASM162521v1:5:9905897:9907903:-1 gene:DCAR_016975 transcript:KZM93730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSEEMYVVEPKNNPQAVFDYFYNSDESGKSRSVRDVQMQAKQHLAAMGWSFHYIIKKPGKRELRYCPPDSKKKYISLRMACKGFLDSQSQLGASVLGPKNVERTEDHDVLESVDNAVELESGKANVDASTSKKRRLSSGDVYGERMLACMKGRVEDDPLLEDTLSEEENFAEFYKELCRESEPDSEKVSGGTESQETSSNDLFVPERKDTKVSKRGRKRKAGGRGKSGGKLALFLKSGMIKPLAKVYYRKKDGSVMGEGRVTSEGVDCSCCEGVFNLSHFESHVKSTYHRPAAHIFLEDGRSLMQIEQEMGALDNKVKGIKTKGNESKNGSVSETMHQKPHDDFCLVCREPGDLILCDQCTSAFHPKCLGLSEVPASEFWYCPTCCCTICGHKGPGDDSEPTNTCDQCEQQFHVKCIGQYGVADSERKKWFCSEKCKGISAGLGELLGQTFVVGDNNLSWTILKNVENVINVKTTRKLNQALTVMHECFQSVKEPWNGRDVAEDVIFSRSSEVKRLDYRGFYTVILEKRAEVVTVATVRIFGDKVAEVPLIATRFQYRRLGMCKTLMDVLEQKLSNLGVEKVVLPAISSVVDTWTSPAFGFTVMTTYERSKLRNYTLLSFPDNVTCQKLLGGSGQSFPGNCSQDQDSLFEVGICSLVDKNQMPSL >KZM94442 pep chromosome:ASM162521v1:5:22388879:22390505:1 gene:DCAR_017685 transcript:KZM94442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLLVLTISLPLLFLFKLTNYYVRKLNNRPPGPRGLPLIGDMHNFDTLNTHLYLYKLSHKYGPLMSFQLGSVPILVISSARAAKEVFKYHDLCFSSRPSSVGLQKISYNGLDIAFAPYSLYWKDMRKLLTLHLFSSQRSQSFQPIREGKVARMVKAIRDEAATSSSTVNLSKIITTLASSVMFRIAFGKRYDEEEEYGARNDTKNKISSKFHWLLTETQANLSSLFLADCFPVMGHLIDRLSGTWSRLEKSCHEIDAIYQQLIDEYLHTYSGTASTQDGSILDILLQMKRDSSDFTFDHIKAILMNVLVAGTDTSAAAVVWAMSLLIKNPTPMKKVQQEVRELIGEKGFVDENDVQKLVYLKAVVKEAMRLHPPSPLIPRETIDKCVINGYEIEAKTRVYVNAYAIGRDPECWNNPDEFLPERFENSSIDFRGQHFELIPFGTGRRICPGISMGVATTELALANLLYSFNWELPPGEDRKDIDMAALPGMTVHKKNHLCLVPVIVN >KZM93126 pep chromosome:ASM162521v1:5:2630747:2631271:1 gene:DCAR_016371 transcript:KZM93126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECEKVNGGRKNLITKTWQRCRSIPASRGRSLATLPSLPRSLSTKERKTNCKMAPHGCFFVYVGPEKQRFVIKTKYVNHPLFKVLLEDAENEYGFISDGPILLPCDVNLFYKVLAEMDDSKCENEDYMRSKSCGLAYGSYSPFNPTRRSYKNGVMGKGFGSYGLLTPSRLIRMN >KZM94942 pep chromosome:ASM162521v1:5:27246460:27249797:1 gene:DCAR_018184 transcript:KZM94942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLGCSASGERLVSAARDGDFVEAKMLLDCNPCLAKYSTFGGLNSPLHFASAKGHIDIVALLLDTGADVNSRNYCGQTALMQACRYGHWEVVQTLMLFRCNVTRADYLSGRTALHFASVNGHVRCIRLVVADFVPSSPFECLNSQVKGDKRSASAVKGKHDQSALAKFVNKAADGGITALHMAALNGYFDCVQLLLNLQANVSAVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLASGASRLTLNCNGWLPLDVARMWGRHWLEPFLAPNSEQILPVFPPSNYLSLPLMSVLNIARELGLQSSTTSDDTDVCAVCLEGACSVAAEGCGHQLCVRCALYLCSTSNFASELLGPPGSIPCPLCRHGIISFCKLPGSPTKEMKLNTSLSLCTPCMLHSREHSLDFVQSTPACAPEIRKNRVASVSSDIFCPVTCSPFPSVALPLCTCNQGTCPSFEHEEGEARDESPRSQSASIDQDKMSGIRLEKTSCSSMFWSRRSCSREQRCNSEINA >KZM93592 pep chromosome:ASM162521v1:5:8183301:8191386:1 gene:DCAR_016837 transcript:KZM93592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSIAGEPVVSAQWLHRNLQHPSLKVLDGSWYMPTEKKDPLQEYQKSHIPGALFFDIDKISDPTTELPHMLPSEEAFAAAVSALGIKNEDGVVVYDGKGIYSAARVWWMFRVFGHENVWVLDGGMPKWHDLTFDVESSASNDAIVKASLAHEAIEKVYKGELVPPFTFQTKLQPHLVWTHKQIQNNMEERSHQHVDARPKPRFDGVAPEPRKGIRSGHIPGSKCISFSEMMDDSQTLLSLEKLKEKFELKGITLDAPIMISCATGVTACILALGLRRLGKTNIPVYDGSWTEWVTHPDTLNIVEVAETL >KZM94008 pep chromosome:ASM162521v1:5:13614823:13615062:1 gene:DCAR_017253 transcript:KZM94008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQNFYRSILAGAENPSMSWLCYWRLRGSRNRRALILGWAYYLDAFSSNPLRTWLDSRVHEGVCTLLLKPQQDTLFVQ >KZM94037 pep chromosome:ASM162521v1:5:14135064:14137638:-1 gene:DCAR_017282 transcript:KZM94037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSSSFKIILGSSSMARRKILGDMGYEFTIMTADIDEKAIRMDKPEDLVVTIAEAKADAIIARLKTMDQSVEDASSKEPTLLITADTVVVYEGTIREKPSDKKEARHFIKGYSGGQASVVGSVVVSNLTTGKRTRGWDRAEVYFHEIPDEVIDSLVEEEIMLKVAGGLTIEHPLTLPHIDTLAYYPNYDLYDLLTVGTYDSVMGLSKSLAEKLIQEAL >KZM94866 pep chromosome:ASM162521v1:5:26598365:26600057:-1 gene:DCAR_018108 transcript:KZM94866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIMDSAEVKRCGKPPGIRFLEYTKKSNLSFKTHQAIVLIVTFLAYTSYHATRKTTSIVKSALDPQSPDLSLTSFFKRAEANNLTASSKQSWVLGGGWAPFDGQDGTALLGQLDVAFLFVYAMGMFFSGHMGDRMDLRIFLTIGMVGTGVFTALYGVGYWANIHVFYYFLVVQMLAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSLGNITGSLVASTLLKYGWGWSMVVPGIMIIFFGLVLFLFLPVSPGAVGCDNSEDDLYVPQKAGEGVREPLLSSDTDGDKSAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYVSHTAIAGEYLSNEAAGNLSTLFDVGGVVGGILAGHVSDRLDARAITAASFMYCAIPALYLYRNYGHVSMTVNIILMLITGVFVNGPYALITTAVSADLGTHSSLRGNARALATVTAIIDGTGSIGAAIGPLLTGYISTNSWSAVFTMLMTAALVSGLFLTRLVVAEVGAKIHQSRRVIPTSRTPAALEV >KZM95478 pep chromosome:ASM162521v1:5:32482907:32484738:1 gene:DCAR_018720 transcript:KZM95478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHRHFEPITKCNTAGRSNQTVAADLDGTLLVSRSAFPYYMLVALEAGSIFRAILLLLSVPFVYVTYLFISESVAINVFIFISVSGLKIGDIELVSRSVLPKFYAEDVHPDTWEVFNSFGKRYIVTANPRIMVEPFVTNFLGADKVLGTELEVSKSGRATGFVKKPGVLVGEHKKAAILKEFGTNVPDLGLGDRETDHDFMSVCKEGFMVPRTRREPLPRNKLLRPVIFHEGRLVQRPTPFVALLTFLWMPLGIILSVVRVYVNIWLPEKIVRYNYKILGINLVVKGNPPPAPRNGQSGVLFVCNHRTVVDPVITAVALGRKISCVTYSISKFSEMISPIKAVALSRDRERDASNIKKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSLFYGTTTRGYKCLDPYFTFMNPRPTYEITFLNQLPSELTVKSEKSSIEVANYIQRVMAGSLGFECTSLTRKDKYAMMAGTDGVVRTR >KZM94515 pep chromosome:ASM162521v1:5:23147220:23147660:1 gene:DCAR_017758 transcript:KZM94515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRFTGLATQDWDPIVLNKPKPKAQDLKDPKAVNKALRTGGQVETVKKHTAGQNKKTAAAPVVDARKLDAAAEPAALERVATEVRQAIQKARIEKKMSQAELAKQINERTQVVQEYENGKAVPNQMVLAKMEKVLGVKLRGKNHK >KZM94493 pep chromosome:ASM162521v1:5:22990322:22992052:-1 gene:DCAR_017736 transcript:KZM94493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSGIIVEKFIKFLTDADLMSEEMMLPEKILSKYVNVKSGGLKFIKFLKEEETMFDEFEHPRSFKKAFHLLPGYEEPGVTTISFFDYDFVEYIFPGTPLSSGLNSHALPVFGRIEIIVQPHHLYKYVYGVDISTDYIAITGLWKKKDYISIYSEEKGWKLQVGNRGGKSNRTTIHDGWIQFRDDLGLHLGDVVVLKCARNSIQHFALQVLRNHVDKMKKYCSAIMCLRYVEVVIFEIVSNGSEFCENVAYYCRTFVKLSNIELA >KZM96306 pep chromosome:ASM162521v1:5:39997719:39999973:-1 gene:DCAR_019548 transcript:KZM96306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLETLAIPRASTFPAISSPSVCSLSGHRSSIKLPQSRGLKIQSVRVTGSVSTSSRLVSRAGRIVSEAQDTAVVVPSVLDATWQSLVLECDSPVLVEFWAPWCGPCRMIHPIIDELAKEYAGKLKCYKVNTDESPSVATRYGIRSIPTVMIFKCGEKIDAIIGAVPKATLATSIDKLL >KZM93454 pep chromosome:ASM162521v1:5:6588339:6589211:-1 gene:DCAR_016699 transcript:KZM93454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAQTVEIGGKQELSVVEKAAESLKRLQRGQWIRAAVLGANDGLLSTTSLMLGVGAAKEDQWSMVLSGLAGAIAGACSMAVGEFVSVSTQRDIEGSLNDECNSREKHDGDVEIKVTPITNPSLEETKHNVFGMSPSINHPSSTPTPHPNYSNFLSPARSPMMKIVMSDARKSTVEEPAVMSDDRNERLPNPYKAAAASAVSFLSGSFFPLMAAILVHDNVARNIVVVIVASIALALFGVIGAFLGGSSIRLSALRVLLGGWIAMGVTYGLLKPWDKDDKAGKDKSLHND >KZM95143 pep chromosome:ASM162521v1:5:29102598:29105537:1 gene:DCAR_018385 transcript:KZM95143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCRLSIWFYLSKILDQHHLFDSWHVIRPHHCCKRISLGPNSPSTRDGEHTFMDMSEDFIVCLRAKAEPEVLSTTVREFGVHKTSFAIGDSGYLLPALSSASNMEFFIQFQFRLINNHWLRLHLPQLVNNWIVVYIASXPCHSW >KZM95502 pep chromosome:ASM162521v1:5:32701821:32705842:-1 gene:DCAR_018744 transcript:KZM95502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSANSFMPETFQGTTVDLTSQLGLLWELIRVPLIVPLLKFAVVVCLAMELMLFAERLYMGIVIVLVKLFWQKPEKRYNWEPMKEDLEAGSSTFPLVLIQIPMFNEKEVYKISIGAACNLSWPADRLVIQVLDDSTDPIVKELVEKECKRWAAKGLNITYQIRETRGGYKAGALKEGLKRDYVKDCEYVAIFDADFRPEPDFLRRSIPFLMHNSKIALVQARWRFVNSDECLMTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTGGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRYQQHRWSCGPANLFRKMVMEIKVNFWKKVYVIYSFFFVRKIIAHMVTFFFFCVVLPLTIMVPEIDVPKWGAIYIPCIITTLNSVGTPRSIHLLFYWILFENVMSFHRTKATFIGLFEAKRANEWVVTEKLGDGLKNKNSKSNKKFQFNFNIGDRIHVTELGFTAFLFFCGCYDYLYGKNNYFVYMFLQTITFSIVGFGYIGTIVPT >KZM96253 pep chromosome:ASM162521v1:5:39526913:39546029:-1 gene:DCAR_019495 transcript:KZM96253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNSSSIAINVSDEDSDDISGKLKLRLRKKRKKSGPRGKSESARRIFRLLRRWWPVLLFLPAAGLLFFEASRIGRKPSQLINSEVVTQKTRELAGEKKSSGNLNRLDPTRCLKLLPPEELQHLDIPIRKEPVGPIKELVYVSETDGVQSRPQMDITRSNLFTGNQSLEQREQSFNSVNEISRAHCGFYSKDGGFIVSDDDKSFMQTCKVVVSTCTFGGGDDLYQPIGMSETSLQKVCYVAFWDEITLAAQAAAGHKVGEDQYIGVWRIVLVTNLPFNDQRLNGKIPKMLAHRLFPHASYSIWVDSKSQFRRDPLGVLEALLWQSDSVLAISEHGARSSVYDEANAVVKKHKASPEEVEIQLSQYRQDGLPEDKRFNGKKALSEASVIVREHTPTTNLFMCLWFNEVVRFTSRDQLSFPYVLWRLKVLKNINMFPVCTRKDLVNSMGHIRKAKPLVFCAMQFQSSNSHHVITMRKAVHSCLGRCNTEYSFLVKDQLCRVKYSSCSICTGKYIRSSTIHVCLGKGTRENEWNGTTENLDQIEKTIVEKMAKSSADDGELRRACEAAIEGTKQKIVMSIRVSKSQGVWGKSAAKLAKSKGQRVQAFLRVLKYSTGGVLEPAKIYKLKHLTKVEVVGNDPSGCTFTLGFDNLRSQSVAPPHWTMRNIDDRNRLVMCIFNICKDVLGRLPKVVGIDVVEMALWAKDNTPSVSNQQNAQNGSQVEKVVGVEESDMKVTVERELVSQAEEDDMEALLGTYVMGIGEAEAFSERLKRELQALEAANVHALLESEPLIGEVGNITGPLNLLYAGLWVLQGLEAASNCVEDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNKSLIDELDKLLERLRIPPEYAACLTGGSFDEARMLQNIEACEWLTSAIRGLEAPNLDPCYQNTLAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSGQSVNNADTSNVSEAYAKMLTIFIPLLVDESSFFAHFMCFEVPALAPPGGPNLKNGSNDDDANDDDLGILDIDDNDSKNGKRTSELAALNESLRDLLDGIQEDFHAVVDWAYKIDPLRCISMHGITERYISGQKADAAGFVRLLLDALEDRISTQFARFVDEACHQIERNERNVRQLGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFATLDKIAQADPKYADILLLENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISVIIYYNFERLFQFARKIEDLMYTITPEEIPFQLGLSKMDLRKVVKSSLTGVDKSIMAMYKKLQKNLTSEELLPSLWDKCKKEFLDKYDSFAQLVAKIYPSENIPSVSEMRDLLASM >KZM95304 pep chromosome:ASM162521v1:5:31031044:31031467:1 gene:DCAR_018546 transcript:KZM95304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKNAYIGFFLAVLFLSLDTISWASPVLVDVGPCIRCRPNCRCYCKGAGYIDGICMPTIPGEPDHCYCLKPDSPRTPQPLDPECQNV >KZM94446 pep chromosome:ASM162521v1:5:22437661:22438441:1 gene:DCAR_017689 transcript:KZM94446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSGVILEKFIKFITNADTLSDDMLGDVVVLEGADHFRTHFAVQVIRNDSA >KZM93611 pep chromosome:ASM162521v1:5:8426722:8427679:1 gene:DCAR_016856 transcript:KZM93611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADKCSIGSGPQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIHHLTNTKRIGMRKTLVFYTGRAPHGLKTDWIMHEYRLEDDNDIQEDGWVVCRVFKKKTHTRSYESEIHSHEEDQAASVRRLMEPKQSDQNLHTLYHDHHNGTIFHGSMQLPQLFSTESSAAIHHHHQHPSNFLCPQLGSLNNNINEDKDCSQSLLRLTSTASPYFVPQEKFTTDWSFLDKLLTSHQTMDHQNRSSCNPSSQPIDHVGSSMSVPRLPFQYLGCDASDNFKI >KZM94220 pep chromosome:ASM162521v1:5:19465748:19467181:-1 gene:DCAR_017463 transcript:KZM94220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESSTTTNESTPLAITSSINTGSNSPWASSNCSGNVGVSLHPGLGSTVAKIGKEIGDTNLYIGYLPPTMDDNELIRLFQPYGDIVMAKVIKDRVSGLSKGYGFVKYSDVAQANQATASMSGQRKDGRVIAVRVAGKPPPPVLPPGLPAPPVSSYPGHGYNGYPPPQMQPGAPPETGPPETYMVDIDDLPLNISRELFQRRKILKVVRKNLVKKCIELFNEIAEKASQGLTIKNVAIVPDYFNDLQKQATKDAWIISSLHVLRFINEYTTAAIADGLDKKASGIGEKNALIFDLGRGTCDVSLFIIEGGIFEVKTTAGDTHLGGSMKIPKVQQLLQDFFVGKEPCQSINPGEAVIYGTAAQAAILSGEDSEKVQDLLLLDVTPLSLGMAIIQVFEGGRTKTRDNKFLGRFVLFSIPHASRGGDTNYPTNDKGRLSKDDIKRSSKKQSGTYLMTRNTRRMWKLRIRFGQITSLRTRML >KZM92956 pep chromosome:ASM162521v1:5:1191355:1193674:1 gene:DCAR_016201 transcript:KZM92956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLHLNSHGLAASFSVSHNFNRINSIASDKIVKVSNSRCFSRGRIRAVGTIPENQAETATTSEDPPSVKFAFVSSVLLPDGTPDVHFRTACGGQKLRDIMLDTNIELYGPYSRPLLNCGGGGTCATCVVEVVDGKEILSPRTDKEKEKLKKNPKNWRLACQTTVGTSDSDGVIIRSRRAR >KZM94438 pep chromosome:ASM162521v1:5:22330452:22332196:-1 gene:DCAR_017681 transcript:KZM94438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIALLLAISLPLLLLFTLRNHYVRKSSRRPPGPRALPLIGNMHQFDSLNTHVYLYKLSQKYGPLMSLQLGSVQTLVISSAAAAKQVFKYHDLCFSSRPTLVGSQRVSYNGIDVVFAPYNEYWRDMRKLCNLHLFSSKRSQSFQPIREQEVARMVKTICAAAADSNVVNLSKILKTLTSSIIFKITFGRGYHDEDGDHNMSSRFYWLLSETQAIFGSFFVADYFPLVGRLIDRLSGACERLEKSFIALDAFYQQLIDEHLHASSVSGQECSILDILLQMKKDSSEFTIDHVKAILMNVIIGASDTSAAAVVWAMTLLIKNPAKMKKAQKEVREVTGEKGFVAENDVQKLVYLKAVVKEAMRLNPPIPLLIPRQTIEKCVINGYDIEAKTLVYVNAYAIGKDPECWENPNEFLPERFMMSSIDFKGQDFELIPFGVGRRICPGMAMGVATTELALANLLYPFNWELPPGEDRKDIDMAALPGITMHKKNHLCLVPEVIT >KZM95789 pep chromosome:ASM162521v1:5:35610652:35611085:1 gene:DCAR_019031 transcript:KZM95789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYVHVSETDCGSNSQINKLMEPHIIYKAKVDISGVPRIFCSLDGGGGVYKYPEDDDELLSLCSNRSSPRMRCEFSMFYS >KZM94778 pep chromosome:ASM162521v1:5:25764279:25766768:1 gene:DCAR_018020 transcript:KZM94778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEDFTLYWETHRYLQTEELDSLVLDETFSAYYDSSSPDGAQQSRVAPKNIVSERNRRKKLTDRLHALRAVVPNISKLDRASIIKDAISYIQELQEQETRIQGEISQLESMASNKTGNSFDDTMIPLTMSKKKRTDQQQLFCDYSGGSRSLPSPVQVTELRVSYVGRKTVVVTITCSKERDTIVRLCEAFESLKLKVITANITSISGTLWKTVLVEAQEEEIDILKAKIENAIAALNFPDSPISY >KZM92973 pep chromosome:ASM162521v1:5:1290092:1297184:-1 gene:DCAR_016218 transcript:KZM92973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLREFYNGLFHEFVAGGFGGVAGIVSGYPLDTVRIRQQQGGGGSRTALSIFRNVVEKDGLNGLYRGMGAPLASVTIQNALVFQTYALLSRACDSSVHPTDPPSYKGVLLGGFGTGAIQSLILAPVELVKIRIQLQGNDPNKAVQVQKLEGPTSVAKGIYRAEGLRGIYREQLHPGCRKSGQETCKTMLMAGGLAGVASWICCYPLDVVKTRLQAQSPSSPLMYNGIVDCLQKSVKQEGYNVLFRGLGTAVARAFVVNGAVFTAYESALRLFFNGNDNKHETVLSDHTI >KZM94517 pep chromosome:ASM162521v1:5:23158987:23159139:-1 gene:DCAR_017760 transcript:KZM94517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQYLNNPSFQYRSKHLVKDPKNLAPIIQTYAKTKQIRKGNQLHTQLIA >KZM93379 pep chromosome:ASM162521v1:5:5522540:5525698:-1 gene:DCAR_016624 transcript:KZM93379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPRAESPMNENEIRITTQGRMRNYITYATTLLQEKGSDEIALKAMGRAISKTVMIAELIKRRIAGLHQNTAIGSTDITDMWEPLEEGLLPLETTRHVSVITVTLSKKELDTSSPGYQQPIPIDQVKPLNEHELEGEGSPRARGRGRGGSGRGRGRGRGSNSNGVVEYNGDGGRGYGVYDGGRGGYGGYDGGRGGYGGYDGGRGGYGGYDGGRGGYGGRARGRGRGYRGRGRGYGSGEMQQEFGGYNDYGGPAQGRGKLPSDLVLRIVNVMYASLI >KZM93564 pep chromosome:ASM162521v1:5:7893830:7895909:1 gene:DCAR_016809 transcript:KZM93564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSRKTIRRIESNGHMDSLPSSLLIHDILSTLDFETLCSVSCVSKPLRLAASQALSLFSSLDLSAFSPDAHLITQIFPRFTNLKTIALNCLRLDDIYVTNILGAHVEELKLLKCSSLSSHVLASIGTCCPNLRMLVLELADTDHPKIFSRNLAQLLRGCSHLESLSIKIRGVQDYADSFRSIELHLPQDSSVFNLQSLSLVLDVISDELIFAIANSLPFLLELDLEDRPMSQPRLPHDLTNNGLQSLSSCRRLISLSLIRSHRNRPVYFKNINDMGFFLLLEGCKNLESVRVGGLSIVSDAGFGSILQSCLNLKKFEVRNALQLSDLALAESLGGSCSLVELKLVSCSLLTSAFIEDINSSSTLEVLDLSGCRSVADACLSSVSFINTLNTLDLSGADVTDSGLAFLSKGNSPIARLCLRGCKRVTNEGISLLLNGRGQIRKTLSTLNIGGIRGISDEGIQTISTAALALSELSIRYCHSVTDASIEELVSESRYQNDGKLLRKLDLCHCIGLSAHSLETLKSPYFRALQWLGVGSTRLTEKGDAALAEICRGRPCLTLCQEGCEVGCDDGWQFHRSTRG >KZM95277 pep chromosome:ASM162521v1:5:30739414:30742337:1 gene:DCAR_018519 transcript:KZM95277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVIGICLSFSAASQGFATGKINLGEIEVCQVTNFEFIWGCKLTSDSKSGISFYKPCIVPNGYFILGYYCQSNRKPIRGFVLAAREAVTRRKEDSCSNNPDYIPALIKPLSYSLVWCIDERREDDLNGGVYIWLPQPPDGYKSLGYIVTNKLEMPNIEEVRCVRADLTDNCETHHQILKIVPTNLQLPLEVWSTRPCLRGMYEKGVAVGTFFCRSDCNSGETIACLRNLNFNLHAMPDLSQVHALIKQYGPTVFFHPSEVYMPSSVSWFFQNGALLYRKNDSHSEHIDNEGSNLPSGETNDGEYWIDLPNDARRETVMRGNLASAKLYVHVKPALGGTFTDIVMWVFCPFNGPGILKFEFMNVSLGKIGQHVGDWEHFTLRISNFTGELWSIYLSQHSGGRWVEATDLEFIEGNKAIIYSSKYGHACFHHPGTYLQGSSKIGIGIRNDAAQSDYYVESNNQYVIVAAEYLGDGAVTEPDWLQYMREWGPKITYNSKIELDKIINRLPSKYRNSLKNVFAKFPNELSGEEGPTGPKEKNNWIGDERC >KZM93826 pep chromosome:ASM162521v1:5:11209386:11211764:1 gene:DCAR_017071 transcript:KZM93826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKVLGQNSADRVLLDAPCSGTGVISKDESVKTSKSLEDIQSCCHLQKELILAAIDMVDANSKSGGYIVYSTCSIMVAENEAVIDYALKKRDVKLVPCGLDFGRPGSKLRLYILKTLQFKKMSNSKAAPAPTTEQPEGGDEVIEPIDSVIKKKAEDKVVHHRIHESSTKEKVHRENGIAQHGKAENTFSGKKRKERKRFSREEISKSREEKRAALRTAAKGKPFSKEKKFAKEARTTK >KZM95511 pep chromosome:ASM162521v1:5:32842728:32848739:-1 gene:DCAR_018753 transcript:KZM95511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRISIGGCTATLTLLAVLLGTTTVVLCGFPATLTLERAFPTSHGVELSQLRARDSARHGRLLESSGGVIDFPVDGTYDPYRVGAFPTSHGVEMSQLRALDSLRHSRILQASSAGGVVDFELAGTYIPSLVGLYFTRVQLGSPPREYYVQIDTGSDVLWVSCSSCNGCPTSSGLDIQLESFDPSSSSTASLVSCSDQRCALGAQTSDSACSRQSNQCSYTFQYGDGSGTSGYYVADLMHFDMVVGNSVISNTTAPVVFGCSTSQTGDLTKPDRAVDGIFGFGQQGLSVISQLSTQAIVPDAFSHCLRGGDNGGGILVFGQIVEPNLVYTPLVPSQPHYNVNLLSIAVNGQSLPIDPSVFSTSGNRGTIIDSGTTLAYLADQVYDPFVNAITQTVSNSVNPFLSRGSQCFFVSSSVSQIFPSVTLNFAGGASMFLKPEDYLLKQNSVGGATAWCIGFQKLQGQDITILGDLVLKDKIVVYDLGGQRIGWADYDCSLSVNVSTTSSSGTREFVNAGQIGGSSSLQDSFMELISISIISLILHLSILYSFPVL >KZM96157 pep chromosome:ASM162521v1:5:38744758:38745689:-1 gene:DCAR_019399 transcript:KZM96157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSRTLFSCLAFVVVVLSACEAREFVVGKEKEWKIPSDPLSSYNVWASSQRFLKGDHLVFKYDGKKDSVVEVNHDGYQNCDVDKPYVLKSYNNGDTSIELKGEGPFYFISGNKDNCEKGEKLEVKLVKKNHHGHHVSHVSPAPAPSTSIIQTPAPAPAPASGGSSLKNGVVGIGVLVFGLGFWF >KZM92834 pep chromosome:ASM162521v1:5:96591:136537:-1 gene:DCAR_016079 transcript:KZM92834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSVVATLTLSTTGSLIRDSPPYTRIRCCSDSKRKRGFGPQQDDKDANKVSGDGSVSGGRKSTFQQSGTTSYREPWLSSKSNSIQSNVSLDLQFEDRLEAIKRSTLDQKKAEQIDKFGKIEYDAPVESAPSKTELGTKIGLGLAVVVFGFIFALGDFIPTQSSSEDGVNVEMSEEDKADLQAQMQNFEETLKISPKDSTALEGEAAILAKLGEYPRAASLLEDIIKNNSSSPDAFCLLGEVKYNLKDYEGSAAAYKSSAAMAKSLNFKVLRGLTNALIAAKRPDEAVQILLVLRERLHSEESQGVANKVDDSAVGELVEVDPIQVELLLGKAYADWGHVSDAVSVYDHLISSHPDDFQGYLAKGIILKENGNVGAAERMFIQARFFAPQNAKVIVDRYSRQ >KZM95146 pep chromosome:ASM162521v1:5:29136176:29138301:-1 gene:DCAR_018388 transcript:KZM95146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPLILLPGQEFTFTIRRGVGTTDCVSVNYDDFVNDVDAGNMLLVDVVKCKDEDLVKCEVVDGSELKSRRHLNVRGKSATLQSITGKDWDDIKFGVDNKIDFYAVSLVKDDEAMVARGDLGAELPVDEVPSLQYGKSFYSGNKYVRKHDCSSTPTRAGY >KZM96183 pep chromosome:ASM162521v1:5:38932512:38934342:-1 gene:DCAR_019425 transcript:KZM96183 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MANIANHNIHVVFLPYLTSSHLIPLVDAALLFASRDGVKVSIVTTPCNAAMFQSSVDKSTNSGHQIAIYSVEFPSAQVNLPEGIESMSTATSIEQYLKCIRHNIKLRTDNLVKPGTETFSVPDLPHCIEMKKTQLPEYYMTRTQFGEYIKVIDKSQTQSYGTVHDTFFELEPAYVDLYKNTICQKSWHIGPLFHFSRRNEVQISGENISSNQDCLNWLDTQEPKSVVYVCFGSMVKFSDAQLREIVRALNASNQPFIFVTKTSVSVLGGFDENKGVIINGWAPQIKILNHVAVGGFMTHCGWNSVLEAMVAGVPLITWPLFAEQFFNERFIIQVLGNGVEVGSDVWNSGMVIKSPVIEKRKIERAVVRLMGGSDESKMIRRRAEELSVMARRATEEGGSSCEDLAALIQDIKDLAAKKKCCNC >KZM94727 pep chromosome:ASM162521v1:5:25316330:25317061:-1 gene:DCAR_017969 transcript:KZM94727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYNSCYQDQEYQPEDEETISRSDFQLISDENNLHETEDQFCKRQDLPSQAETNEVFEFSSYPSSTMSHAEDIINCGKLIPFKEQDHKSQNLYGRRCRSEPLPEFKISGRQSNTTNMKMRNSRSLDYQKLSRDSSTSSGSPDSHRNNSPGLSRFDGNSTRIPKPRWYILMFGIVKFPTEMDLQDIKNRQVHRTPSKSLFPSIEVVKKPPANKKSSWGVLKVLSCRGDASLSVTAPLACMPQA >KZM94632 pep chromosome:ASM162521v1:5:24467124:24468172:1 gene:DCAR_017875 transcript:KZM94632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGHSAATPSSCFALDVKELVTAFKRTKDLSLLMLPNYGIPAEVNAYLYTIKYSSAVVNAGDAQSRDKFKQFPNHCRILLGDPNNLHFVMAASILRAEMFGITILDWAVQPKALVEAIDRVLVLKLQP >KZM96401 pep chromosome:ASM162521v1:5:40744511:40750659:-1 gene:DCAR_019643 transcript:KZM96401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDDDHWLDPLLITDVSCQQNYSLIQLEGSRMGDVDECYSDFTPGPSMVRLSMASPDLVVCEESPDFRGGGNKDSLELLMGASTEVSLENGINGSEMGGNPEVLTAKKISAEDEYTESSFELFPQPAIEDSLLEDAIPIISINSGSADTSVICGETKFIEDTCFDGGDTTNADAMIGDKQEFSLYRTARFGNFFYYFRTPESGVYIVDLHFAEIVFTEGPSGMRVFDVFIQEEKVISCLDIFARVGANSPLVIPDLQIFVKGDEGLTIRFEGVVGSPIVCGISIRKNSSAYNRELELLTRMGISQTAHCVPAKCCEDYKVEGSLLNSISAFECQDINPVTDSEDVFKQMGIPQIAHSAPPKCFEDYKVEGTLLNSISEYKCQETEIDECQKNELAETKRMLEVLKRENEQKSKECQKALNSLQELQNELMRKSMHVGSLAFAVEGQVKEKSRWFSSLGDLTRKLKILKLEQINLAEEALSYKKCVADMNEMSSIIMSKFTHQAKLNEDLKVKYVNGAKERKELYNKILELKGNIRVFCRCRPLNSEEIADGASMAIDFGTDSELSVKSNGALKKSFKFDAVFGPQADQVDVFEDTAPFATSVLDGYNVCIFAYGQTGSGKTFTMEGTDEARGVNFRTLEELFRIIEDRKNQIRYEICVSALEVYNEQIRDLLVPGSHSGAAAKRLEIRQVGEGIHHVPGLVEASVKNMSEVWEVLQTGSNARAVGSTNANEHSSRSHCIHCVMVKGENMLNGERTRSKLWLVDLAGSERVAKTEVQGERLKETQNINRSLSALGDVISSLATKSPHIPFRNSKLTHLLQDSLGGDSKTLMLVQISPNENDLSETLCSLNFASRVRGIELGPARKQIDSPELLRYKQLVEKTKQEMKVKEVQAKKMEDTIHGLDMKMKEKDLKNKNLQDKIKELESQLLVERKLARQHVDTKIAEQQQIRQQVEETNHVIRPSVGTRPLGIQKYSSEGKDQAHLSRPLAEYNNYKYPAATTYLDGSCKYSEMAEKENNPESAEQFHLPKRTGRASICTMQRIPTSSAPRRNSLIPLPGSVKAPPPLPALTPIPAIDEENKDLVEPKFIPEVESGSKKLTNILRRSFQKKMLLKSPKQQHARRGGGVNVGMEKVRVSIGSRGKMMAQRVLNGNGRRVAKDTPQKQQGHREKERGWNTGTAVRAAI >KZM95699 pep chromosome:ASM162521v1:5:34671792:34672799:1 gene:DCAR_018941 transcript:KZM95699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFERPSRSDARLSSEEASKIEGETRDYFDGIAPQRHAKPQRSEYSAKYNDAQFDGHENDVIPEYLEFQHLEKDDPQKLVYKGSAVPEEFVETEYYKDLSGIDKQHHTTGTGFIKMDNSGGKSFSLDSDSITDELHASCKGNPATNDWIPDSGKMADFVSDKPGRSEN >KZM93525 pep chromosome:ASM162521v1:5:7468531:7469868:-1 gene:DCAR_016770 transcript:KZM93525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVEIISKQNIKPSSPTPQHLKIFKLSLLDQLIPAPFVPMVMFYPNNYGVIDNKHHDVQERLVLLKQSLSHTLTRFYPLAGIVKNDLWIDCNDQGAYFAVAKVSCDLNQFLDDPNLLLINKFLPLSFTEQSSGGQVTNIQVNTFECGGMAIAVCISHKILDGAALSTFLTAWSGTARGLEKAVCPDFVASLLFPADDQLWLKDASMVMWGSLFKKGKCTTRRFVFDGSAIATLKKMTTDKNVVQNPTRVEAVSAFIWKCAMVASEKKGGAKNLSLLTHVVNLRRRMKPALSKESIGNLIWIASAKCYDKLGYGDLVEQVRNGISEINGEYVKQMMGDPGAAVMSKSMKEIGDFGSKEEVDHYACTSWCNFDFYEVDFGWGRPVWVSNVGLEGEVLMNFIVLMDTKCGGGIEAWLTLDEQEMDILEHDHHLLALASLDPSPLQIC >KZM93674 pep chromosome:ASM162521v1:5:9133951:9135458:1 gene:DCAR_016919 transcript:KZM93674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKYAFQLILMLALLFNVALCKTIKRDVKALNEIKASLGWRVVYSWVGDDPCGDGGLPPWSGVTCTTQGDYRVVTALEVYAVSIVGPFPTAVTNLLDLTRLSAIGVYQNS >KZM94856 pep chromosome:ASM162521v1:5:26500106:26500714:1 gene:DCAR_018098 transcript:KZM94856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLIKITSAKAFLLVIISFNIHINSSVAMDYGAEKASTEFIKTSCSKTIWPSLCFTSLSSHTGAIQTSPRLLAQTALSVALDQVVSTSAAMQRLSKAPGIKPGEVGPMNDCLEVLTDSIDELKNSMGEMSQLNGSPNYALLISDIQTWVSAALTDEDTCMDGFAGKAGNTKNVVRGRILNVVHLTSNALALINNYNSLHG >KZM95080 pep chromosome:ASM162521v1:5:28497098:28499795:1 gene:DCAR_018322 transcript:KZM95080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVALIALSFSISSAQNSSSSKSPCNSTPYPSLCRSSLPNNKTATVYDYCRYSVRNSLFGAQKFLSLIEKHLRDPSLSTGAARALEDCRLLAGLNIDYLTTSFKTVNKTQSVSASVADDVQTVLSALLTNTQTCKEGLQEIASSWISSKSDVSTPLVNDTRLFSVALALFDNGWVHKNNRGKTHPSRKLLGLRDGRLPLKMSDENKAIFESTGNRKLLQSTVVNDQIEVSKTVTVSQDGTGNYTTINEAVAAAPNNSVASSGYYLIHVTAGVYEEYVVVAKNKKYLMMVGDGINQTVITGNHSVDDGWSTFNSSTLAVTGQGFVGINMTIRNTAGAIKHQAVALRNSADLSTFYSCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAVVLQNCNMYPRLPLAKQFNAITAQGRTDPNQNTGISIQNCNITPADDLASSNGTTQTYLGRPWKEYSRTIYMQSFLDSFINPAGWREWSGDFALNTSYYAEFSNTDGRPFGTSSSLITEACSETPKKDLCEKIVNLDHMNPRNNLNDIAFIAFDQTDKAATSNIEFISQAKAIAGTTSNEVNKAFTVCDKEYERASDAIDNAISGLSSKTKGEEIEKFLKDASTAIETCEVSTKEQAGNALQLEHMNQDLLDLLKNALGVFHVYMKNG >KZM92852 pep chromosome:ASM162521v1:5:290479:292010:1 gene:DCAR_016097 transcript:KZM92852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAASPTTRFQLRALLLFISTAAVLCQGISSELLGAKKVSCDTNNNKAALFVFGDSLYDPGNNNYISTTNTDFQANFWPYGESFFSQPTGRFCDGRLIPDFICEYAGLPLIPPYLQPGKHQFVYGANFASGGAGALDETYPGLVVNLNTQLKQFKNVANKLKQQLGDEEASDLLSQSVYMISIGGNDYLSPARGDSQQSYTQEQYRGMIIGNLTSVIKGIYKTGGRKYSFLTLPPLGCWPSVKAQNAANKTYSGDLCNKDLAALVQEHSEAVSENLEKLEKALEGFKYSFFDLHTTFNDRMSNPSIYGFKNGDSACCGSGPYRGVYSCGGMRGIKEYELCENASEYLFFDSNHPSEVAYQQLAKLMWEGSASVTGPHNLKSFFHVSKSS >KZM95807 pep chromosome:ASM162521v1:5:35772963:35775317:-1 gene:DCAR_019049 transcript:KZM95807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGSGESFMKYSGVKWPDTRSSWYNMSLNLEDCESLCLKNCSCTAYMDADIRKGGSGCILWFGELMDIKYYTDGEDMYVKMSSDFDYKLGSKRSRFMKAIVIVLLTSATALLFLLLHLLRMRIQKRRDFLLQTPNIKEAWTSYKEDKLLGLVDEAISESCNHAEVFRVIQIGLLCVQQHPADRPSMSHVVLMLSSSIALPHPKQPGFFMERTFHDPDSSSNQLNITVLEPR >KZM94426 pep chromosome:ASM162521v1:5:22187334:22191687:-1 gene:DCAR_017669 transcript:KZM94426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSVTYISSSQLLRLKPRPNIAVIDVRDDERNYDGHIAGSLHFASDTFREKLPKLVEAVQGKDTIVFHCALSQVRGPKCARKFVDYLSEINEDVGTKNVMVLERGYNGWEASGRPVCRCTEVPCRDECA >KZM93495 pep chromosome:ASM162521v1:5:7246955:7259828:1 gene:DCAR_016740 transcript:KZM93495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMRFPFSPAEVAKVRMVQFGILSPDEIRQMSVVHIEHGETTERGKPKPSGLSDPRLGTIDRKMKCETCMANMAECPGHFGHLELAKPMFHIGFLKTVLSIMRCVCFNCSKILADEEDYKFKQAQKIRNPKNRLKKILDSCKSKTKCEGGDEIESQSQDTDEPLKKSRGGCGAQQPKITIEGMKMIAEYKIQKKKNDDPEQLPEPVERKQQLSAERVLNVLKRISDEDCMLLGLNPKYARPDWMILQVLPIPPPPVRPSDDLTHQLAMIIRHNENLRKQERNGAPAHIISEFAQLLQFHVATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYLKKSSDHHLELGYKAIFSSIYVQVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLMRQSAWHADGETGHITPGDTQVRIEKGEVITGTLCKKTLGASTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQQGFSIGIGDTIADAATMEKINETISTAKNAVKELIRSAQDKDLEPEPGRTMMESFENKVNQVLNKARDDAGSSAEKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKNEFDKVFRYEIDDGNWNPSYMLPDHVEDLKTIREFRNVFDAEVQKLEADRFQLGTEITTTGDNTWPMPVNLKRLIWNAQKTFKVDLRRPSDMHPMEIVEAVDKLQERLKVVPGDDLMSMEAQKNATLFFNILLRSTFASKRVLKEYRLTREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPNVSSSKEKAKNVQCALEYTTLRSVTQATEVWYDPDPMSTIIDEDVDFVKSYYEMPDEEIDPDKISPWLLRVQLNREMMIDKKLSMADIAEKINLEFDDDLTCIFNDDNADKLILRIRIMNDEAPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQQKTNRFDENNGFVTEPEWMLDTEGVNLLAVICHEEVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGDCSLYLNEKMLKQAIDIQLPSYMDGLDFGMTPARSPISGTPYHDGMMSPNYMLSPNLRLSPITDAQFSPYVGGMGFSPASSPSYSPSSPGYSPSSPGYSPASPGYSPASPGYSPASPGYSPSSPTYSPSSPGYSPSSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPSSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPSYSPTSPSYSPTSPSYNPSSAKYSPSLAYSPSSPRLSPSSPPTSPSYSPTSPSYSPSSPTYSPSSPYNSGASPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQFSPQASNKKSNKENGNTDEMIMYLTAVSGRLKHHLMLICLMNHQFMDIVLSSACSINLVLDIEAIHGGKLDPHMEKSGVKSKNRLLKLASSAVSFQNPPFSPNREKTQARKGFSGPMFPAEARYKSKNSSYVSHEPTSPKVSCMGQIKHKKKIREPNKKKAESLPPRDNHNISHSTPLEQVNPSKNQKPKLFKSFQSFTEVKKKHSAPVQAFSREETDPDTDKRKKKSGIRSIFGKPNKRSDYDAPLTVPSDKTAPVLGQLQRFSSGRNSLSNFDWTKSQISPAETRDDESDGEWDEADAKISFSAPLSMSSCSVANAEPRKEINLWKRRTMPQPKPLQLDT >KZM93818 pep chromosome:ASM162521v1:5:11109524:11114563:-1 gene:DCAR_017063 transcript:KZM93818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSYDLIYQLHNDNKTLSATTREREMERDKDYGAFMERFTVQPTPSSQQRLLDGLTFAVKDTALATAPAVLAVLRAGATCVGKTVMDEMAYSINGENVHYGTPTNPCAPDRVPGGSSSGSAVGVGASLVDFSLGTDTGGSIRVPASYCGIFGFRPSHGAVSTAGLVPMAQSFDTAGWFARDPVILSRVGSILLQLPDNGLVRPSKIIIAEDCFKLLSIPSARIKNVLVEAVKQIYGDNTLSYVNLGDNVEHNVPSLKNFMRKDIQSQEFNIEPLVALSNAMRLLQRYEFNNNHGEWVKTVKPHLGPGIAERVWEAINARGDNIDACLLVKTELQAALRGLLGDLDVLAIPTVPGPPPKLQTEAASLETFRVRAFSLLSVAGVSGFCQVSIPLGMYDNLPVSVSLLARSGSDKFLLNTVETLYGTLKEQAEIAEIPSS >KZM95894 pep chromosome:ASM162521v1:5:36558727:36562088:1 gene:DCAR_019136 transcript:KZM95894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEEKNESFIGVNDIKLIDFLNEDDFLVDQRYRDSLEDLRLSVTFNDITEHAKAFKRVNQLKVAKKESVKPQVDEKLSNSGSLEARERITRPNAFGPSAGVSDLKGLSPINEELKGQGSVWSEDTSADSDFTFETFDGDAFSLERLEDEVFEDVRASIYRSCGTPIFSSASRDSGVAKAGKLNALSSNKGHVSSQNPRKSGANSTRQSPAIRKSKAVTTSSLNSQTFQQIKGGYDSTLTGQSKRGLSGYGSVKNRKTGEGLMAHKLSASVDSPGFMNLRTPPTKQSSRTATRGTRSPALARSSCDQFSSTLSAKSISSQGARTKGTSSSKIASSSSISKPPLPNSGRSRLSNHASPIHSLSMSQQFNSSPASSIGRWSSQSSSSTLRANAKLRAGNSEAVFHLLIKTPPAIKVDRFSRPQNFQRHSMTYQPFPLGKVLRRRISRPHKLFRVQVSIFCPLPSRWSCGRIYRILP >KZM92902 pep chromosome:ASM162521v1:5:654134:655508:1 gene:DCAR_016147 transcript:KZM92902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNKQKVKRGLWSPEEDEKLVAYITSYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIDLHTILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLISHDISNKLPPSSTSYLNLPNTTFHDNVILNTSLNPNLPEFSFNSNINYPHVLDDNPDPLYLPSTPSSLTLQAGFDHHGDNDANRDYLHKLERMMIPNYSFDDTNFSLNIPVVPSVPLAQLNYFSTSYHDHDYNANQNQKQKKSHDHDHPSAFGYQPQILDNLDLLDHGHSKHEATNFMARKMKNVPSSSSTYCHDANALMVPKLCHEEIINMPPLSSTIHSGSSTTLAASQMEYSNIDTTTVILSSAASSSSSLKLSPASFVVNLGGLPPNSWPGTPNKT >KZM93976 pep chromosome:ASM162521v1:5:13152122:13158305:-1 gene:DCAR_017221 transcript:KZM93976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSGHILTLLLFPILIAAFSPENPTDRRILVLLDDLSLKSSHSIFFNSLQSRGFLLDFKLADDPSLSLQRYGQYLYDALVLFAPSTERFGGSLDLAAILDFADSGHDVIVAADENASDLIRNIAAECGVDFDEDSSAVVIDHISYAVSETEGDHTLIASDDFIKSDVILGSTPIEAPILFKGIGHSLNPANTLVLKVLSGSSSSYSANPKLKLSSPPALTGSAISLVSIVQARNNARILISGSLDLFSDKLFKSSVQKAGSPTRYGKSGNEQFVTELSKWVFHERGHLKAVNVRHNKVGESEEPAMYRINDELEYFVEIYEWSGTSWEPYVASDVQLQFYMMSPYVLKTMLTDQKGFYSVSMKVPDVYGVFQFKIEYQRLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFSTMAGFFIFSIVYLYNK >KZM93425 pep chromosome:ASM162521v1:5:6188920:6192769:-1 gene:DCAR_016670 transcript:KZM93425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQQEEQANGSVNNSRDEEHKQSKQKPKWKNFLSYVGPGFLVSLAYLDPGNLETDLQAGANHRYELLWIILVGLIFALIIQSLAANLGVTTGMHLSELCRAEYPLHVKYCLWLLAEVAVIAADIPEVIGTAFALNILFDIPVWAGVLLTGFSTLLLLGLQRYGVRKLELLITVLVFVMAACFFGELSYVKPPAVDVIKGMFIPKLKGQGATGDAIALLGALVMPHNLFLHSALVLSRKIPDSVQGINDACKYFLIESGFALAVAFLINVSIISVSGTVCSSNSLSAIDVDRCSDLTLNSASFLLKNVLGKSSSTVYAIALLASGQSSTITGTYAGQYIMQGFLDLKMRTWARNLLTRCIAITPSLIVSIIGGTSGAGRLIIIASMILSFELPFALIPLLKFSSSATKMGPHKNSIYIIVISWILGLGIIGINIYYLTTTFINWILHNGLHIIANVFIGIVVFPLMAVYIISVIYLMFRKDVAITFVEKTKLDNVVQTRMETGDRDTEMIDQVPYREDLADIPLPR >KZM95413 pep chromosome:ASM162521v1:5:31919841:31921202:-1 gene:DCAR_018655 transcript:KZM95413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILYFSCSKLHMFKKECLVLVHHYIPLFFVEISSIQPRDFCREMGLCKQIALISQHIPKNSCDLCQYTIAEALIKLKDPDTELDIIEVLLKACQAVKGYEKKCKRIVFEYGPMILLNAEHLIESNDICTILHACNSPKADVK >KZM94267 pep chromosome:ASM162521v1:5:20266392:20268403:-1 gene:DCAR_017510 transcript:KZM94267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYLLTISLLSLLLSTATATATATATADDPIIRQVVPEPGQSTHLLNADHHFSLFKTKYAKSYRDQNEHDYRFSVFKANLLRAKRNQLLDPSAEHGVTQFSDLTPQEFRQRYLGLRVPKFLQEAQKAPILPTGNLPEDFDWRDHGAVTGVKNQGLCGSCWSFSTTGALEGAHFLATGELVSLSEQQLVDCDHECDQDGDCDAGCNGGLMTSAFEYTMKAGGLELEKDYPYTGKDGKCKFDKQKVAASVANYSVVSIDEDQIAANLVKHGPLAVGINAAWMQTYIKGVSCPYICSKNRLDHGVLLVGYGAAGYAPIRLKNKPYWIIKNSWGERWGEDGYYKICRGQKYDTCGVDSMVSTVAAFHSD >KZM93856 pep chromosome:ASM162521v1:5:11539813:11540856:1 gene:DCAR_017101 transcript:KZM93856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSELSNFVKIWMTAILCICYCYYISARFPKGILRLLTILPLIYLFNILPFSLSSMHLAGPTVFYLVWLSNFKLILFAFDDGPLATSPPLSLLHFTCLALLPIKSSTTSSSTFTSQLNKSTLVATKVLLLVLIIYAYDLDLHPYARLCLYCCHVYLGVELVLAMTGFPVRAILGLEIEPQFNEPYLATSLQDFWGRRWNLMVTSILRPTIYNPVLAFSSRCGLGPMWVRGPSTFATFLVSGLMHEWIFYYLTRVAPTWEVTWFFVIHGVCTAAEVVVKKAAGDRYRLPRPVSTVMTVGFVAVTGVWWFFPQILRNGVEQKAIGEYAILAKFVRDKFMFFICMCGLGC >KZM96247 pep chromosome:ASM162521v1:5:39491765:39494236:-1 gene:DCAR_019489 transcript:KZM96247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCRILKRFISPTNLCRAAVPAPLHPEVPGLPIIGNLLQLKEKKPHKTFAKWAVTYGPVYSIRTGSTTLVVLNSAEVAKEAMVTRFPAISTRKLSKAVDILACDKSLVAISDYDEFHKTTKRHLLTHILGPSAQKGQRFHRDSLIENVSNQLHALSKNCPLESINFRELFELGLFGLALKQTLGEDVESIYVDELGTTMSRHEMLKCLVHDPMVGGIDVDWRDFFPYLKWIPNRGFENRIRQMDIRRMAVMKSLVQRARKQIASREGVQCYLNYLDSEGKTLSEKQILVLLWEVIIETSDTTVVATEWALYELAKDLKRQERLYEEISRVCGSDRITEEKLPQLPYLYAIFQETLRVHSPVPIIPLRYVHEDTQIGGYFVPSGSEIAINIYGCNMDKNVWENPEQWNPERFLDETSDTMELQRTMAFGGGKRVCAGALEAMTISRMAIGRLIQEFQWSLTDDQADDVDIVGLTARKLQPLLAILKPRGRLFE >KZM93858 pep chromosome:ASM162521v1:5:11551662:11556365:1 gene:DCAR_017103 transcript:KZM93858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELDRQIEQLKKCEPLKESEVKSLCLKAMEILVEESNVQRVDAPVTVILSPSLSLSLAEICGDIHGQFYDLKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVYGFYDECLRKYGSANVWRYCTDIFDYLSLSALIENKIFSVHGGLSPSISTLDQIRTIFRKQEVPHDGAMCELLWSDPEDTVDGWGLSPRGAGFLFGGSVVNAFNRTNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKKFRVFEAAPQVLVAGDD >KZM95236 pep chromosome:ASM162521v1:5:30444277:30444933:1 gene:DCAR_018478 transcript:KZM95236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTFTRYSSPDFSPESSLGSPLESIESNCLDFNFSSLPFDFNDSEEMLLFDILSETAAAPHFCDEIKEEEVSSSPKSGKVKKEKAYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDDAETAAMAYDQAALSMRGSTAILNFPVERVKESLKEMKCGVEEGCSPVMALKRKHSLRRKSVSRKSKQKIEESENIVIFEDLGAEYLEELLGSSLSGGFW >KZM93147 pep chromosome:ASM162521v1:5:2805910:2811303:1 gene:DCAR_016392 transcript:KZM93147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILLSLVAVLIFIRSCESVIQGEQPLSKIAIHKATFALAHSSSIRAHPPFLGLKGEDTQWVNVDLEHAEPSEDDWVGVFSPAKFNGSECYYENDPKEQSPYICSAPIKYTYANQSTSNYTKTGRASIKFQLINQRADFSFALFSGGLSNPKVVAVSDPIRFINPKAPVYPRLAQGKSWNEMTVTWTSGYNIDEAISFVEWGMRGQSRRRSPAGTLTFTRNSLCGSPARTVGWRDPGFIHTSFLKDLWPNIMYTYRMGHMLSDGSYIWSKTYSFKSSPYPGQDSLQKIVIFGDMGKAERDGSNEYSNYQPGSLNTTDQLIKDLENIDIVFHIGDLSYANGYISQWDQFTSQVEPIASTVPYMVASGNHERDWPGSGSFYNTVDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFHFCIADTEHDWREGSEQYLFIEKCLASVDRQKQPWLIFAAHRVLGYSSDKYYGLEGSFEEPMGRESLQRLWQKYKVDIAFYGHVHNYERTCPIYQNRCVNSEKSNYSGTMNGTIHVVAGGAGSHLSEFSEVQTSWSLYKDYDYGFVKLTAFNHSSLLFEYKKSSDGLVYDSFTISRDYKDVLACVHDSCEATTAAS >KZM95384 pep chromosome:ASM162521v1:5:31642208:31643544:1 gene:DCAR_018626 transcript:KZM95384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFKKSHVPAFGSWDCNDDLPFTQCFESARQAGLLRYSYSQDRDLYVTGDLYQNDVVTPAMIVVPRRRAKGGYPHVKEGKKEGWVVCECEYEYEHDVKEPPSPVPNAPPPRKAPKAVDEDLYKISPDLLYASSKRKRGFGLFSCCLRPSCDL >KZM93298 pep chromosome:ASM162521v1:5:4586722:4590072:-1 gene:DCAR_016543 transcript:KZM93298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAEAKKAKIDEENAEEQIDAQLAVSVEKLQDIQDDLEKINEEVVEKILEINQQYSEVRKPIYDKRQDVIKSIPDFWLTAFMSHPLLCGILSEEDQKIFKYLSSLDVEEFKDVKSGYSISFNFNPNPFFEDSKLTKSFTFPDEGLTKVTATKIKWKDGKGLPNGVDHDKKGNKRPHEEDESFFSWFSETLKEDDVDEIDDEIAEAIKDDLWPNPLTYFSNETDEMDFEGDVSDQEEDGNDEDEDGDGEDDGGEEAEGN >KZM95038 pep chromosome:ASM162521v1:5:28138684:28157384:-1 gene:DCAR_018280 transcript:KZM95038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILHHLLLIACIFISLNPIIPAIADSILGCGGFVEASSALIKSRKATDAKLDYSHITVELRTVDGLMKDRTQCAPNGYYFIPVYDKGSFVIKIKGPQGWSWDPIQVPVVVDHNGCNANEDINFQFTGFTVSGRVVGAVGGESCSHKTGGPSSVTVELLHHPSGAVASSVLTSTDGSYSFSNIIPGNYNVRAAHNDLPIEVKGSTEVELGFGNAVIDDIFYVPGYDIHGLVVAQGNPLLGVHIYLYSDDVLEVNCPQGFGDPPGESKALCHAISDADGVFKFKSIPCGLYKLIPYYKGENTVFDVSPPFLFVSVQHEHATVPQKFQVTGFSVGGRVVDGNNKGVDGVKIIVDGQEKSITDKEGFYKLDQVTSKRYTIEAKKEHYKFDKLHDFMVLPNMALVDDIKAISYDVCGLVETVSPGYKAKVALTHGPENVKPQVKQTDNNGNFCFEVLPGEYRLSSFAAKPESSPELLLLPPYVDVNVNRPLLNVRFHQAQVNVIGSVMCLENCGSSVYVTLVRLGGSSKKERKTVSLTDQSSEFLFPNILPGKYRLEVKRQFPGLSEDNWCWDQNFFDVDVGTEDVNGISFVQKGYWVNVVSTHAVDAYFTQPDGSHVNLKIEKGSQKICVGTPGVHELHFVNSCIFFGSSPVKIDTSNPSPVSLKGEKYLLKGQISIESSSLDGLDTSADTILVDILNSEGLFVEGTSARFVGARNGQSDASIFEYSLWANPGEKLTFVPRDARDNGERKILFYPRQHKVAVSQDGCQAAIPLFLGRLGLYIEGSVSPPLSDVYIRIIAGANKENAPMKPAEVVLETTTGGDGVFVGGPLYDDITYNVEASKPGYHVKAVGPYSFSCQKLSQISVHIYSEENPNELFPSVLLSLSGEDGYRNNSVAGAGGTFLFDNLFPGSFYLRPLLKEYAFSPAAQAIELGSGESQKPKEGVLVEARSESKGYYEETLTDSSGSYRLRGLLPNTVYVIKVGKKGDLDNQIERASPDSVVAEIGVGDIKDLNFLVFEQPHMTIISGHIEGNRIKELREHLRVEIRSANDPSKVESTLPLPLSNFFQVKDLPKGKYLLQLRSVLPPNIHRFKTEVIEVDLEKHTQIHVGPLNYTLVEEHHKQELTPAPVYPLIVGVSLIGLLLGMPRLKDLYQGTIGASLSGSMASAKKEMRRPTIRKKTY >KZM95019 pep chromosome:ASM162521v1:5:28025221:28027375:-1 gene:DCAR_018261 transcript:KZM95019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQTPNLMRNKKTCRAVGIPTIDLSMDRTLVAQLIIRACEEHGFFRLTNHGVSSEIISRIEEQSYGFFAKSASEKQAAGPPTPFGYGCKSIGFHGDKGELEYLLLDANPSSIPESTKAISNDPEKFSCVVDDYVQAVRDLTCDILDLVAEGLGLEDRATFSRLIDDVESDSVFRVNHYPPFDQNQKVLNPVSKFQVLDTNVANNGTPSRVGFGEHSDPQILTILRSNDVGGLQICSCDGLWSSVPPNPSEFCVLVGDTFQALTNDRFKSVRHRVMANSNKPRLSMMYFGAPSLNAMVSPLPQMVSSHSPTLYKPFTWCEFKKAAFSLRLAGRRLDQFKYSYNYNDDKMTEC >KZM94983 pep chromosome:ASM162521v1:5:27658137:27659603:1 gene:DCAR_018225 transcript:KZM94983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLKPVTTAHLVAVPFPGRGHINPLINFCKVLAIKRPDIIITLVVTEEWHGLLISEEVPHNLTFGTIPNVLPSELVRAADFSGFFEATLTKMEEHVARLIDHLALRPMLIIYDFFLMWIPEVGNRMNIPVASFWPMSATVFSMYQYRHLLVQNGHFPVTNLSEQGNIEIDYIPGVPPTRVLDLPSLYYGRGQDILHRLFEALSQAKKAQYFLFTSVYELEHQVIDALKVEFSMPVYTIGPAIPYFKIKQSCSADNYQDIPYYIRWLDSRPNDSVLYISQGSFLSVSGDQMEEIVAGVLDSGVSYLWATRMEASVIDSAKGLVVSWCDQLRVLCHPSVGGFWSHCGWNSTKEGVFSGVPMLTLPILSDQFTNSKTIVEDWKTGWRVKRRTAVEVLVTRAEISRLVKGFMDLESDEGKEMRQRAKKLEQICRQATAKGGSSENAIDALISNILPAHN >KZM95227 pep chromosome:ASM162521v1:5:30390221:30390529:-1 gene:DCAR_018469 transcript:KZM95227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASALLADGVYGISINIILDSARRALSSWDIISAMLKSLVFGLIISIVSCGWGITTSEGAKGVGESTTSAVVISLVGIFVADFILSYFFFQGAGNSLRNVV >KZM93007 pep chromosome:ASM162521v1:5:1659038:1659657:-1 gene:DCAR_016252 transcript:KZM93007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQDTSYKAGEAKGHAQEKTGQMADTIKDKAQAAKDKASELAGTARDRTAESKDQTGSYVSDKASAARDKSCETGQAAKEKTGGVVEATKEKASQMGESAKETAQAGKEKTGGVISSAAEQVKGMAQGATEAVKNTFGMGGGNEEKSTTTTRTTRSD >KZM96295 pep chromosome:ASM162521v1:5:39917712:39920012:1 gene:DCAR_019537 transcript:KZM96295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQRPMFRFRLPWMMSAPAPPPAVTPSPPRAVAPSTTSQPQTRVEPNVNPTTPARRPFRLSGMAPAPPPPPPIQPIVESQSRSEPITITSTPQTLPQASPIWSPKISARQDPRPLAEVPPETKSQSENSFQAALPPPLSPQLSVVSRPPSPRQLPSQLPSPKSSPQPSSPGPISSKSRDASRPSSPVHAQQPHSPRQFQSSGRKSPVTSSPSLTAPIVQQTEGTATKSPPRAESPNIEPNINPTTPVRRPFRLPGIAPAQPPPSIQPTVQRESQTEPLAVPSGPAFPLQAPPLWSPKTSAVVDSDPIPQPEVSVETKSQSQDPSKPALPSETHSQTTSQHPSPSSSPTSAQPGATPQLPSQLSATPQPPSPWRILSKERDTSQLPSSKSSPQPSSPNRQQFQKSSQAETVQQPRSPSQLDFLPPKKTSPITSSPSLTATDAQQTERTTRLPSPPMMSTHLKSPQPSATSDKPLSPVSVKPHVAVSPPQSPEDQSKTKLTSTVTFKSPTKVAKSPKGDIEMVENTSLVAQEPKERPKTVHFPGASGLSPNSKEKLKVSSQTEQKQLKDQHMVSGKEAKIPKATSFEEKQTYTMPSQQTDIKSTVSESRKKPVISNKDQIPFQNSLRNDISMFAQKMAMAHTENSKVEQLASVVALVGKNKGASMKLGFESPKDEGSLKTEPVDGTKEKERNKRAKRKRSSNENDQENQITKAFINNNVQDINNSILMNSFITERNPGVHVTLIYDPTEPILLYDGKGSSEARKDTI >KZM95213 pep chromosome:ASM162521v1:5:30253682:30256763:1 gene:DCAR_018455 transcript:KZM95213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKREAIVACMTCPLCKNILRDATTISECLHTFCRKCICNKLSEEELECCPICKIDLGCVPEEKLRSDDPLKMQYSVFFIVNRSRPDHILQDLRAKIFPYKRRKLDPPEAISSIALPERRKERSLSSLVVNTPKVSPKTALTGKRSKATARKSLRGSSFSVQKHVKKEEDYESDSESFNSRETLTKFSQTIRQNFSNGEPSSHSIPNIGTDSGASTGEGKADLWKPLNFLVEVANRSKSSKSTSQEPASKSEPTNVAKTKGLSFRNKRKTKDKRNSTGLNPPESERQNLLSLGQKKADTSGKSNVSLQAVLDANSIRHDRRLSPVWCSLVASKDLEDYRSLPQIPASFLRIKDGSTSVSFIQKYLMRKLDLPSEDEVEIRCRGEPVIPTLQLYKLIELWLQTVSTSEKISATIGSSAEEFVMVLGYARKPASA >KZM94255 pep chromosome:ASM162521v1:5:20077846:20078996:-1 gene:DCAR_017498 transcript:KZM94255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSGHRENGRHKPPQGQWLMQNQPSMKQIMAIMAERDAAIQERNMALSEKKSAMAERDMAILQRDSAIAERNSAIVERDNAIATLQYRENSMSNGNMSTCPPGCHISRGVKHMHHPQQHVHDQSHLSEAASFGTRDMHTTEAIPTSPLVPEPAKSKQTKRTKKATPNKKTPRPSKKVKKESEDVNITKWKGGHEMSGGVNDLNRELVVSKLDWKDQDLGLNQVPFDETTMPVPICSCTGVLRPCYKWGNGGWQSSCCTTTLSMYPLPCVPNKRHARIGGRKMSGGAFNKLLTRLAAEGHDLSNPVDLRDHWAKHGTNRYITIK >KZM96167 pep chromosome:ASM162521v1:5:38819005:38820339:1 gene:DCAR_019409 transcript:KZM96167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSRSAIESATRQLGSILSTTSQTVIKPAFSTHPDLKRYNLSSRDVCTPDIYIPMVLFFPSSSVSPRGSMNKISHAERLKRSLAQTLTSYYPFAGRLSGSGNYVNCNDHGVEFVEARVSCGMSEILENPADYSYAEDDSLGLLFPPGSVWDGLNMNNNNSSPLMVVQLNHFDCGGIALAVSITHRITDGCGVNTFLTHWAAVASQSGKYAQPHYASWPSVDSDLPLVESCSLQKSWITRRFVFHNSSLARIKAMVAKQGLVENPTRVEVLTSLLYKSSIAASHSGFSPSVLIQPADMRARLMVPETAVGNFYWRYFIEVNQESDTKLPTLNNQIKKGKMELKNMDLSTMDDAKILGLTVMEYMKKNYNIYICSSLCNFPLNKVDFGWGKPVKVSLAEGGCTNNFLLMDTPGGDGIEAMVCLDEETMSRFETDEEILRATSTLQ >KZM96207 pep chromosome:ASM162521v1:5:39167384:39171125:-1 gene:DCAR_019449 transcript:KZM96207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNYNKNFENEPPGDGGGRLQGSLSLARQTSIYSLTFDELQSTIGGSAKDFGSMNMDELLKSIWSAEETQTHNVGTSSGVQEGVPAPGGYLQRQGSLTLPRTLSQKTVDEVWRGFSKDYGGGLRDGTSVPGGSNMPQAQRQPTLGEITLEEFLVKAGVVREDVQPMEKPSTNNMFGNFLQSGNNSSFGIGFQQNGQNLGMMGNRTPGGGGLGMVGLGAGAVTVAMGSPAVSSDGIGKSNGDTSSVSPIPYLFNGGLRGRKSSAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEIAKLKEENEELRQKQEKLEKQKNQQQDLEVDHQQGPKRRCLRRTQTGPW >KZM92854 pep chromosome:ASM162521v1:5:314556:315339:1 gene:DCAR_016099 transcript:KZM92854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLHKLWDETLAGPAPDAGLSKLRNSSHSRGLSASHVLDDDVPVTRSITILRSNSDIRHVNLSPDSGSVPSSPTTPATPGSPFSPTSPRGEIKKLTRRKSTPETLQRSRPRSPTGYDWYMPHISFLIEYVNVIVNPVQIIF >KZM94877 pep chromosome:ASM162521v1:5:26678589:26679638:-1 gene:DCAR_018119 transcript:KZM94877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSHIHVHPLFTLALLSIFFISTSTAWRPWPTHNATKSELQFGGSKKYEGSSDFVKLRYHMGPVLTANITVHIIWYGKWQTPQKKIIREFISSISDPGPTRGPTRKPNRPSVSKWWRTVQLYTDQTGANISRTVHLGPEKNDRFYSHGKSLTRLSIQSVIKSAVTARTRPLPVNPKGGVYLLLTSDDVMVQDYCQNVCGFHYFTFPSIVGYTLPYAWVGNSGKLCPGMCAYPFAVPDYIPGLKAVKSPNDDVGVDGMISVIGHEIAEMATNPLVNAWYAGSDPIFPVEVADLCEGIYGTGGGGSYTGQMINDKDGATYNMHGIRRKFLVQWIWNPLLNYCTGPNALDL >KZM94865 pep chromosome:ASM162521v1:5:26589169:26596135:-1 gene:DCAR_018107 transcript:KZM94865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSYVTISLFSTALSYAGLRYWTILSPEKLLLDGLISQSFVLSENVVEALESLLDSYTSIALVANFVFNIFVLAILSLKTIFFAELHSSESRKLVERLVNYVIYKGTFLPLVVPPTIYQGSLWTAWLVILCSLKMFQALARDRLDRLNASPSATPWTYFRVYSVLLLVFIVDLLWIRTCLMLYGTIVSSMFFLLFYEPLSILFETLQAIVVHGFQLLDIWLHNSAKDSTSQGSLNEWKGILVRNLGFVLDMMTLLMALGHYVHIWWLHGMAFHLVDAVLFLNIRALLSAIVKRNKGFIKLTIALSTLHGALPDATHEELQAYDDECAICREPMAKAKKLSCHHLFHLACLRSWLDQGLNNNYLCPTCRKPLFVGRPEDDVNPRAREVSSDEQLARQLSSRLDRLPPAPNLPAGVSPDQTVNPLDGGDWRGGGTDSAWLGFDGAGPSTTMRSVGLGRVQMMMRHLAAVGETYAQTALEDNAWNLWSASSSQASTSSSTVTSPGSVRYSGNAGGVHVRTSRAANENLASILAMAETVREVLPHVPDELIFQDLQRTNSVTVTVNNLLRA >KZM95166 pep chromosome:ASM162521v1:5:29391160:29393076:-1 gene:DCAR_018408 transcript:KZM95166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLCLVGPPEIKNPKPSSSLATPESANTGNPFLDLLNSNFNSITKPLNPPITKTENSSVAYSTSGNPCLDFFFHVVPDTPEGSLVQSLEKAYDHSPLTALKLVCNLRGVRGTGKNDKEGFYRSVLWLHKNHPKSLACNVGTISKFGYFKDLLEVLYRILEGSDVREVARKAHSRRKVEKQKKIKRLRDYGSSGSGGIERPFGSKRWGDGVNKKKSKGESEVSKAASREARVLASRKRDAIEKKEAKRRREEERIEKAKRAITRYVKDPDYRFLFERVSDFFAECLKSDLVLLESGKLRDISLAAKWCPSLDSSYDRVMLLCERIARKLFPKEEYEDGLLDAHYAYRVRDRLRKQVLVPLRKALLDRQKKPAKELKAGGEGQKKKTGEKGGFTKGKVSDGWFPHEIIGGVNYGSGKLAENQWNRMVERLSRKGKLKNCLAVCDISSKMNGVPMEVSVAFAVLVSELSEEPWKRNILTLSSKPELLKVEGQDLRSKIEFVRDMKLGGNPDLQKVFELILQSAMIGNLKKDQMIKRVFVFSDMEFYQAGNQPNHRETDYQAITRNFAEKGYSLPEVVFWNLRNSRATPVVANQPGVVLVSGYSKNLMNLFLEDDGADMNPEKFMEKAIAGEAYGELVVLD >KZM95820 pep chromosome:ASM162521v1:5:35875168:35878280:-1 gene:DCAR_019062 transcript:KZM95820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTQSSCSNEKQSLTCSISSNDGKNPPEKGGSSPGFINHAAIAWHESRREWIGDSSKRLQRTPKDPVISWTMTYEDLLSTTDPFTEPISLTEMVDFLVDIWFDEGLFD >KZM95870 pep chromosome:ASM162521v1:5:36392698:36393583:1 gene:DCAR_019112 transcript:KZM95870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPKSRWTPDEDIMLVSYIQEHGASNWSLVPGNAGLNRSGKSCRFRWMNHLRPGINRGKFTHHEEQIIIHYQALLGNRWADIAAHLPGRTDNGVKNYWHTHLKKKLDIVNGHVDEAMGDPIAAPVYQVSPIMVTVPAPNFAPGCEGFDYPSIARAPSFAPAPNACTRT >KZM93586 pep chromosome:ASM162521v1:5:8094085:8094981:1 gene:DCAR_016831 transcript:KZM93586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLAVSLAMFSSLVLLLVVGSDAGSISIYWGQNGQEGTLADTCATGNYEYVNLAFLATFGNGQTPMINLAGHCDPYSNGCTSLSSDIKSCQAQGIKVILSIGGASGSYSLVSAADAREVATYLWNNFLGGQSATRPLGNAVLDGVDFDIEGGSGEYWDDLARYLSAYSKRGKKVYLTAAPQCPYPDAWVGGALQTGLFDYVWVQFFNNPPCQYSSTATSNLEDAWKQWTTDIPATKIFLGLPAAPDAAGSGFIPVNDLTSQVLPAIKGSDKYGGVMLWSKYYDDQSGYSSSIKSDV >KZM96251 pep chromosome:ASM162521v1:5:39519823:39521542:-1 gene:DCAR_019493 transcript:KZM96251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNELVPYADAWSWQKSIVEERKKLIDSDEDLCDSLVILQHPPVYTLGAGSSEDHLKGIKNYYRTERGGEVTYHGPGQLVMYPILNLRYHTMDLHWYLRALEEVVMRVLSSTFCIKASRHKGLTGVWVGDQKVAAIGVRASKWITFHGIAVNVTADLTPFKQIVPCGIQGGQVGSIKELLRESLSSEECGEIHYTDYELVNTTYESLIKEFSKVFQVELCIKPISLANFLEKKTSPVTV >KZM94771 pep chromosome:ASM162521v1:5:25687610:25701819:-1 gene:DCAR_018013 transcript:KZM94771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEYKTSPYRRHKNDLETGPSGFDDEEDSGPFDIFRTKSASVDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQIIAKIRTHAHVIRAAYLFQAAGQKEKDAPKLPAPPPSGDGCSPQQLSEMTRDHDFSALQNFGGVNGLADTLNTSLEKGIKGDDDDVLERKNVYGSNTYPRKKPRSFWRFLLDACRDTTLIILMVAAAASLALGIKSEGIKEGWYDGGSIALAVIIVIAVTAFSDYKQSLQFQVLNEEKQNIHMEVTRGGRRVEISIFDIVVGDVIPLKIGDQVPADGVLISGQSLAIDESSMTGESKIVHKDQKAPFLMSGCKIADGYGTMLVTSVGINTEWGLLMASISEDNGEETPLQVRLNGVATFVGMVGLAVAVLVLVVLLTRYFTGHSKDTKDSPRYIAGKTSVSDAIDGAIKIFTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNVMTVVETSVCGEKFDPSENRSLPPKVASLLIEGIAQNSTGSVFMSEGGEVEISGSPTEKAILQWGVNLGMAFDTVRSGSSIIHAFPFNSEKKRGGVAVRLPDSAVHVHWKGAAEIVLACCTSYINVDESLVPMEEDKRTLFKKAIEDMAARSLRCVAFAYRTCEKTHVPDNEEELAHWNLPEDDLVLLGIVGLKDPCRPSVKIAVQLCIDAGIKVRMVTGDNLQTARAIALECGILQSDADATTPNIIEGKTFREYSEAERLIAAEKISVMGRSSPNDKLLLVQALRKNGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFATVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMKRSPVGRREPLITNIMWRNLLLQALYQVTVLLVLNFQGKKILNLERENEDHAVKVKNTLIFNAFVLSQLFNELNARKPDEINVFKGVTKNRLFMGIVGLTLLLQVLIIMFLGKFTSTVRLSWKLWLVSIAIGFISWPLAAIGKLIPVPDKPLSEYFTRKSTSRTTRGESQTPRLQET >KZM94853 pep chromosome:ASM162521v1:5:26485139:26485726:1 gene:DCAR_018095 transcript:KZM94853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKSTLVFSALLVILAAAAVSAAPANQFIKTSCTLTTYPAVCEQSLSAYAKTIQNNPQELASTALQVSLTRTQKAQTFMKRLNKFKGLKARQYAAIHDCLEEVEDSLDRVSRSCDEMKNLSHAKGNDFTFRMSNVETWVSAALTDETTCMDGFAGKGMDGKIKESVRAQVVAVARVTSNALALVNNFAAKHKH >KZM93603 pep chromosome:ASM162521v1:5:8303045:8304354:-1 gene:DCAR_016848 transcript:KZM93603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLHNLSPPHALLQLQSKDKPTSSIQSCSISSPLALTNKPISSVTKLDSPPSQVGQINQASPGDQKQQNDDFYVNLGLAVRTLREDMPSLFTKDLNYNIYRDDITFVDPLNKFTGIDKYKLIFWAVRFHGKILFRDISLEILRIWQPSENVILIRWNLRGVPRVPWEAKGEFQGTSRYKLDRTGKIYEHKVDNLAFNFPQQLRPAASVLDLVAASASPNPTFLWSPADSYSSSWLHLYRAVRETLDNESFSLVAQDCLVSCS >KZM93324 pep chromosome:ASM162521v1:5:4778979:4780227:-1 gene:DCAR_016569 transcript:KZM93324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAILSFSKIIKPNAPTSCLQTTRLDLNSLSSKSLRQSYSSSGFSHGCQKIEGSKNKRAELQKMRALPDLTLMAVLVEHMEGQRDMITHKSIWHLSDVAMKNVYTFYIMFTCWGCCFFGSTKDPYYDSEQYRKDGGDGTGHWVYEKQEDIEETARGALWREELIEEIEQKVGGLRELEEAGKKEEELVR >KZM95458 pep chromosome:ASM162521v1:5:32303443:32305868:1 gene:DCAR_018700 transcript:KZM95458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLQSWPEPIVRVQSLSDSGIQIIPDRYVKKPTDRPSLSLTAPMAANHTNIPVIDLRNLFSEEPALRAATVAAVGKACKEWGFFQAVNHGVSHRLMADTREAWRSFFHLPVEEKLAYANTPTTYEGYGSRLGVEKGAILDWSDYFFLHYLPTNLRDLNKWPKFPTSCCELVEEYNSELAKLAEKLMKVLSANLGLQENQLQQALGGEEVGASLRVNFYPKCPQPDLTLGLSPHSDPGALTLLLADNNVSGLQVRKGENWITVKPVPNAIIVNVGDQVQVVSNGNYKSVEHRVIVNSAAERVSLAFFYNPKGDKLIQPIKELVTENSPLYLPMTFNEYRTYIRTRGPSGKSQVDSLKSPR >KZM94761 pep chromosome:ASM162521v1:5:25560527:25561178:1 gene:DCAR_018003 transcript:KZM94761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNAATQAAKRKRYQDMKNNVVDEIYNLLIASDAFVTEVETASAEFSSDFENMEKRQNRLINEAVSINEKYGRIADFVDEKKKPKIGETSSAFQTLPKSYSMSTSRFLDDSESSLQKHIQTINTAVENLINKFNESVEAWKKKFEELNGQANILANNRTQHRMKVDKFRSILYGYIPGVDSSDSDVSG >KZM94755 pep chromosome:ASM162521v1:5:25529169:25531669:1 gene:DCAR_017997 transcript:KZM94755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGKDIYDVLAAIVPLYVAMFLAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFIAADCLQKVVILAALFVWQFFSKNGSLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGNLMVQIVVLQSVIWYTLMLFMFEYRGARLLISEQFPETAGSITSFHVESDVVSLNGREPLETNAEIGDDGKLHVVVRRSNCSSRSVISYNKSHAMNEMTPRASNLTGVEIYSVQSSRELTPRASSFNQNDFYAMFASKAASPKHGYTNSYGGGDVYAMQSSKGPTPRLSNFEEDMLKMGNSISNNKNKRPGGRSMSGELFNHGSLGSSYPPPNPMFSGSTQAKRKDSSGGTSNTPNKELHMFVWSSSASPSSEGNLRNAVNRAAATDFGVVDSSKAVLQKEIDASRANALHDPMEHASSSGKNGEQREVQMEDGTALKFGTKSSSPYDTSQKKAGIEGQRSHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLIWSLVSFRWNIKMPTIMSGSIAILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHADILSTALSSYPVHKSLGSSYIRNADCTAHNDIILHTSGSITAEKSREMDGIYDERVEVDSWIGKGSNAIRLNIGQLHRCN >KZM96512 pep chromosome:ASM162521v1:5:41531577:41536178:-1 gene:DCAR_019754 transcript:KZM96512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSTLPLYERVHLKNSIPRAVELLILSLLISLLAYHLISLKQHGIPTLLAFLCESCFAFCWILVINCKWNQVKYIPYTDRLSKVLVTTSPVACYTDKYDMLCQKIEAASQTNVPSEVFSKVDKKNHPSILKTRVSGLMTNAPFILNVDCDMHVNDPQVILRAMCIFFGVENEKDCAFVQFPQQFYDGLNDDPFGNQMVVLFKYMAQGLAGIQGPFYGGTNCFHRRKVIYGLLPFHKATAGKLTDEDLEKRFGKSNKLKESAEQILSASPHSQRQGGLSSSVEAAKLVAGCAYEHDTCWGTEVGWKYGSATEDILTGLGIQEKGWRSIYCTPDSPAFLGCAPSCGPSTMIQTKRWATGLLEILFSSRSPIISTINGNLQFRQCLAYMWVLIWGLRSIPELVYSLLPAYCIITHSHFLPKVNEPAILIPVTICVTYNLYTLSEYLRIGLSTRAWWNNQRMARTNCTSSWLFACFSIFLKLIGLSQTAFEVTQKNQSQDDDGDDQKNKNYGKFTFNESPIFIPGTTILLVNLTALAVGVVDFRHTSYDESDVGVTNKEQGQVYEYEASTKYGSFVFDKLPIFVPGTTILVMMTALYVRVVGFQPPVDSVDGVGRAEKRYELHSYYTSGHFYKDHLG >KZM93872 pep chromosome:ASM162521v1:5:11732123:11733853:1 gene:DCAR_017117 transcript:KZM93872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSINRSTTTTTTTTSSSKSSSSSGSELFICFNTRRRLTTRISARPSILSPARDPHISLSTCRSISSSRRLRSSGSTARNRGRSSPLFAGAAKKRGSGFDNPEPSSPKVTCIGQVRVKPKKKGIKIRSLPRRQSGEVSFRTLDQIQRQSSYNNALFQNPRNQRWVHLPSFDCLIPCKSACVCENDKGDGKEVVNQVVVVQENAKKEQDQLPLRSARRHVFDRIEIKDEGLKDMEEKNLSIPPKNALLLMRSRSDPVKMDEEKNVSVPPKNALLLMRCRSDPVKMEALVNRCWEPQLPKQVEEDDDEEVVEDSDENILVDVKNVEIEDEKVSEEEETEGVKRLVVDEESEEEDDEGIEATRDKNEDESRVSYSNASFSLQSDDDLSEPDDDDDEEIATLYEEETSSHVKILEEKTEELERENEAKTMVKRESEEVVKKEETRGSKLPDCLLLMMCEPKLSMEVSKETWVCDRGFVEEKGKVDKVSVECNAKQHWPLTKPSCTFPASMAAMIEHKLLNAAAYEPFVLTRCKSAPIRTAGAIQLVPDGWFWKNEGLQKHRRGGFGAAELVAFQLSR >KZM96254 pep chromosome:ASM162521v1:5:39549202:39550212:-1 gene:DCAR_019496 transcript:KZM96254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLVGMSEFGRNEMRFELLREYLQGNPLWIQYVKVPLATLGYESSYDVFVKAHGGGLSGQAQAISLGIARALLKVSEDHRVPLRKEGLLTRDARVVERKKVGLKKARKAPQFSKR >KZM94776 pep chromosome:ASM162521v1:5:25741879:25745452:-1 gene:DCAR_018018 transcript:KZM94776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYGNGITTRCRDVLATEFDYCSDLNTTRFLKRMIEAEKPDFVAFTGDNIFGTSASDAAESLLEVFRPVIESGLPWAAVLGNHDQESTMTREELMSFISLMDYSVSQPNPLAGDTREHRRNDIDGFGNYNLQVFGTFGSPLANSSVLNLYFLDSGDRAIVDGIRTYGWIKESQLVWLRGVSKQLQGQNQDCDQLFGTSSHVVPPSLAFFHIPIPEIRAGPLESIVGEYQEYAACSSVNSGVLQTLVSMGDVKAVFIGHDHTNDFCGYLKGIWFCYGGGFGYHGYGRAGKPRRARVVLMELEKGDKEWMGVERIKTWKRYDDEKLSKVDEQVLWDIRSSR >KZM94299 pep chromosome:ASM162521v1:5:20728953:20729318:-1 gene:DCAR_017542 transcript:KZM94299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQHCLCGSWAVEKTSWTEYNPGRRFLTCVNGRCNFFKWTEPELDPRSKKIINGLVRRFKLKDDEHFAEMIKAKEEYQEFYKEEMNAAKKEARNWKCFAVLLLLYVFRCYFASVGVDDNKA >KZM95480 pep chromosome:ASM162521v1:5:32511445:32511831:-1 gene:DCAR_018722 transcript:KZM95480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVSFSCDSMAEDPMMFNKYAQNHYNKKRVAIGVWNIEIQSPEKTSSKRFSAEKFLKRVGAKVARALSFVSLKRSSRSKVSSSSLVRSRSYAESALALDSQRAEAVEDCIEFLNSSSCLQKSNSVS >KZM94624 pep chromosome:ASM162521v1:5:24384464:24387242:-1 gene:DCAR_017867 transcript:KZM94624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVLKRKRGETSRWDKTPEVDSDGATPMTWDAMSTPTPKRQRSWWDDSDGATPWDAVSTPTPNRQGSRWDDSDGATPAVVTPVGGVGLATPTPGAINFLSDEQLDSMKLIATPTPMGTPLYAIPEENRGQQFDLPKELPGGLPFIKPEDYQYFGALLNEQEQEEKLSPDEQKGRKIMKLLLKVKNGTPAQRKTAFRQLTDKAREFGAGQLFSQILPLLMQPALEDQERHLLVKVIDRVLYQLDHLVRPFVHNILVVIEPLLIDQDYYARVEGREIISNLSKAAGLPTMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPSILPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSFVGIVEHGLNDENQKVRTITALSLAALAEASAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMIILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRSEILPEFFRNFWVRRMALDRRNYKQLVETTAQLGNKVGVADIVGRIMEDLKDESEPYREMVMETIEKVLAELGASDIDDRLEELLIDGTLYAFQEQTGADAHVMLNGFSAVVNSLGKRVKPYLPQICGTIKWRLNNNSAKVRQHAADLITRVAVVMKQCQEEQLMGHLGVVLYEHLGEEYPEVLGSLLGALKAIVNTIGMSKMTPPIKDLLPGLTPILKNRHEKVQENCIDLVGRIADRGAEFIPAREWVRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLVDALMDRDVVHRQTAASAVKHMALGVAGLGCEDALVHLMNYVWPSGM >KZM95585 pep chromosome:ASM162521v1:5:33614590:33619075:-1 gene:DCAR_018827 transcript:KZM95585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVKFAVYRNPALSAALDTTSLRPSKYAFLVILSLSTASAFSLLTLITRENGIIDGLKLGFFSQGVAYLFTKAIQIVLGLILMGAMIALAKAVSLWRIKITTGVTVNSPSKGLDEQMHLTNRQLGLLGIRPRSEQVASESSKKLSKTKSSTVPPSSPLIPLHQPMVSSSRLSRGSSDKSNSSGGSKFHSFSTPTKSTASQSLFLMNVPTTPTQSLSVSNSPGPDQLVASPWSNKRASSTKEITTEEEFEQFLADIDEKISESASKLATPPPTSSGFGVATPNTISSSTNTSGTTRSTPLRPVRMSPGSQKFSTPPKKGEGDLPPPMSMEESIQAYEHLGIYPQIEEWRDRLRQWFSSVLLNPLLSKIENSHIKVMQAAAKLNISVTISQVGTDLPSSGTTTAASPIKRTNDWQPALSVDEEGLLHQLRAALVQALDASMPKLPIGNFQQSPQQNSSIPILQECIDAITEHQRLHALMRGEWVKGLLPHSSVRADYVVQRIKELAEGSCVKNYEYLGSGEVYDKVNKKWTLELPTDSHLLLYLFCAFLEHPNWMLHVDPTPYAGAQSSKNPLFLGILPPKERFPEKYLAVVSGVPSVLHPGASLLAVGKQSPPIFALYWDKKAQFSLQATMWDSMLLLCHRIKIAYGGIVRGVHLGSSALDILPILDEDDEN >KZM94678 pep chromosome:ASM162521v1:5:24868049:24870013:-1 gene:DCAR_017920 transcript:KZM94678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVKTKIDDHEVHDQEEEGTPVSPLGQYLNSSVVSLSLYAVLEFQVPVNDLPTSLIQEVFLPINPRFSCIMIDDKKSVKKWKPVEVNVYDHFFDPQFPEGLSPEEYEECLHSYISKIALEPLPQSKPLWQIHKFRYPTRNGAGCLIFRFHHSLGDGYSLMGALLSCLQRADDPSLPLTLPSRQSSSTKAKKPNVSLLKSAAQFSYTVMTSLLDFGRAMLRSSILEDDYTPIRSSSEGVEFRPLAITTMAFRLDHIKKITTNLKVAEESKSSSANSTSLGLLNTRDIQGYKSVSEMLKPKASMPWGNHFAFLHLPMPKLTDPRNSLDFVFQTHQTIKRLRNNYAAFLTGQLLDISLKVAGPEATSKLLYNTMKNSSLTISNMIGPIEQMALANNPINGLYFTASGLPQSLTVGLISYVGTLRATIAAEEGLIDPNKVKAFIQKAYDDIFEAAILSGC >KZM94659 pep chromosome:ASM162521v1:5:24651884:24657767:1 gene:DCAR_017901 transcript:KZM94659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLRCDQSGSDPVILANATDVSHFGYFQRSSIKEFIVFVGRTVASRTPPNQRQSVQHEEYKVHAYNRNGLCVLGFMDDHYPVRSAFSLLNQLIDEYQKNFGESWRTVQADNAQPWPYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >KZM95307 pep chromosome:ASM162521v1:5:31049994:31050545:-1 gene:DCAR_018549 transcript:KZM95307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGENIHNTAEDSTAAGAARSFPCLFCSRKFHSSQALGGHQNAHKKERTAARKAKRASSTYNLASTFQTPPLFFTPNHQFGLLNPSLYITAHAANLCALPSPPFSDRLVSNAGAPRFENLVFYRGNYMNNNSYQFEDDDQQSLMNWQRNGFVKESSSQNRLVSTSGNNDSVVRDQKLDLSLHL >KZM94827 pep chromosome:ASM162521v1:5:26257988:26262834:-1 gene:DCAR_018069 transcript:KZM94827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSTNKNKDKVVSEEESETSVDESDVSGSEGEYVSWVSWFCNLKGHEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDKLSEEQNSLVESAAEMLYGLIHARYILTSKGLSAMMEKYKNNEFGTCPRTFCNEQACLPVGQSDIPRSSYVRIYCPQCEDIYLPRTRYQYAIDGAYFGSTFPHLFLMTYNHLKPQRDSRSYVPKVFGFKVHKP >KZM95378 pep chromosome:ASM162521v1:5:31600271:31606197:-1 gene:DCAR_018620 transcript:KZM95378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYFGVLVSDPWLQNQFTQVELRSLRTYFSTLKRESGGLTLADLPSKMSRMKQIGENLTEEDIALFLNQSYKSLQDNVDFELFLRVYLNLQSHAATKTGVGAKNSSAFLKSPTSTLLHTISESEKSSYVAHINHYLGEDEFLKKYLPLNPSTNDIFELAKDGVLICKLINVAVPGTIDERAINTKRTLNPWERNENHTLCLNSAKAIGCTLVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLVELVDDSKDMEELMSLAPEKILLRWMNFQLKKAAYMKTVTNFSSDVKDGVAYAHLLNVLAPEHSNPSALNAKDPLQRAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLEVSPDDAQISREESAFRFWINSFGHSTYINNVFEDLRNGWVLLETLDKVSPGIVNWKMATKPPIKLPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYMWQLMRCNMLQLLKNLRFHSNGKEINDVDILNWANTTVRNSGTQSSMKNFKDKSLSDGIFFLELLSAVQPRVVNWSLVTKGETEEEKKMNATYIISIARKLGCSIFLLPEDIIEVNQKMILTLTASIMYWFLNQSTEERPCVSSDSETGSLSETISNSTMDDTASESSTD >KZM95330 pep chromosome:ASM162521v1:5:31203754:31204249:1 gene:DCAR_018572 transcript:KZM95330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIQAASEMTSNDPNGEQTKKVARYSEQDSPISKHNQDFDKTASSMSRIAFLSNTIELGQQIETEDGNWFMEFLDRTMDKGLKKSKDKVKADARKIPIFQPICWPYWCLYLLAYDSIFPSRGVSLPKKS >KZM95334 pep chromosome:ASM162521v1:5:31235851:31238752:-1 gene:DCAR_018576 transcript:KZM95334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNIIIDLIVPLQQTNLHSEFIQGHLCVKASNSETVNELNDMQKYPPFPLHHAALTNNDDPLVPEITREYQLTMPNIKPQLNRDRSMLYGGQVAESWLSFCLIQLCRDMGETEVVEFCDRVISRTSKKHSSASQRRVLRIILIDADATDCSSDEDYDHDYIVGNKRKRKRRVVKRQVSEINFTPSASATNNRRRREQQEEEEVSDRSAPPPPPARPQRKYRGVRQRPWGRYAAEIRDPVMRKRLWLGTYDTPEEAAAVYDTAAIKLKGPDAITNFPPPPDQVNTNISSPENKLVESATTPAAEPALPAGALSPTSVLPYNDDEDLATFGYGDVDAFGFQIEDQLVPFCLPDIMLSRTYSRTRVDDPDFADFDLLHDFLL >KZM96064 pep chromosome:ASM162521v1:5:37833981:37844971:1 gene:DCAR_019306 transcript:KZM96064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDKSALRWVKEGSLNNKKEVPMSMSSQRPRIDPVTTVRTGTRGFGLPPTSKFRSGHLPDRIPVSRTIPRQYDDSRSESENDMSTDSEEGVYGGRYSLDSSPQDDRASSNAAARRYFNPAERRVQYGSDSVYSEDVSSSRETLGRGRGVVVDRLMKGANRYPVGSNGYTEEESSDSACSSEFSSTQVPRSDKGLPGSKAYLSEGYASSVPSWINKQSASNKNQKLSDDDNPSAPPFSDAGGEIKQEPSPASSRPNGMPSAAVGSETKTTTATLQNNIRQEMPKTSVRTAASAENGVPSGSFPARIPTFHASALGPWHAVIAYDACVRLCLHAWAKGCMEAPMFLENECALLRNTFGLQQVLLQSEEELLLSKRTSELAGEGAIPKPKKMVGKMKVQVRKVKMSLDPPTGCSFSNVRAPKLKLEVIKDRFSNFQSTVSSGWQAVRSVHFAPRVAGNGSFSRQSLAYVQASTQYLKQVSGLLKTGVATLRSSPSSYEVQETYSSLLRLKSSAEEDAIRMQPGSGETHVFFPDSLGDDLIVEIQDSTGKYYGRVVAQVAAISEDPGDKLRWWSIFREPEHELVGKLQLFINYSTTLDENSHLKCGSVAETVAYDLVLEVAMKDQHFQQRNLLVHGPWKWLLIEFASYFGVSDAYTKLRYLSYVMDVATPTADCLTLVHDLLMPVVLKGNCKSTLSHQENRILGEIEDQLEQVFALAFENYKSLDESAPSGMMDVFRPATGSAAPALEPAVKLYTLLHDILSPEAQNKLYSYFQAAAKKRSRRHLTDTDEYVSGNNEGMLADVVSITTAYQKMKSLCMNIRNEIFTDIEIHNQHILPSFIDLPNLSTAIYSAELCNRLRSFLIACPPSGPSPHVTELVIATADFQRDLSSWNINPVKGGVDAKELFHLYIMLWIQDKRLALLETCKLDKVKWSGVRTQYSTTPFVDEMYDRLQEILNDYGVIISRWPEYTFALENAIADIEKAVVEALDKQYADVVSPLKENMAPKKFGLKYVQKLAKRSVGLYVVPEELGILLNSMKRMLDVLRPKVEHQLKSWGSCIPDGGNTAPGERLSEVTVMLRSKFRTYLQAVVEKLAENTRLQSGTKLKKILQDSKECVGEADVRSRMQQLIEQLSNTINHLHSIFETHVFVAICRGYWDRMGQDVLSFLENRKENRSWYKGSRTAVSILDDTFASQLQQLLGNALQEKDIEPPRSIIEVRSMLCKDTASQKGNTYYY >KZM95959 pep chromosome:ASM162521v1:5:37047160:37049538:-1 gene:DCAR_019201 transcript:KZM95959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLNKHKQLHVSLNILKAMHMENKPSFIFYVFFLCFSISNSICFGGDTIYANESISGDQTIVSSGENFRLGFFKTGKASKYYIGISYNKVSTQTLAWVANREHYVNDKYSSQLKILNGNLVLLDESKTVVWSTNVKSTSSNVQAVLLDNGNLILRDGENLKLWDSLDYPSHTWLPGGKIGTDKRTKRTQRLTSWKNMEDPSPGLFSLEHDPEDSRYLIKWNRSIWYWTSGPWDGQRFSLVPEMRTNYIYNFSYVSNENESYFTYTLYNNSIISRFIMDYSGQVKQLTWLESTRQWNLFWAQPRQQCEVNAFCGAYGVCQNSLPFCNCLYGFKESSEDDWSLNDYSSGCKRRTNLNCGNTSTVNGKKDKFWMSSDMVLPANSRSVPVKSAGECESACLSNCSCTAYAYDNKCLIWTGELVDLKQHSGSDGSGDIYIRLASSEFSDGKNSKRIVIGAVVGVVAVVILAGLVLFVVKRRRLVKTTKPEGSLIAFVYRDLQNATKNFSEKLGGGGFGSVFKGTLPDSTAIAVKKLEGLSQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGIKKLLVYDYMPNGSLDSHLFKDKRDGVLDWKTRYQIALGTARGLVYLHEECRDCIIHCDIKPENILLDAEFCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAVTAKADVFSYGMMAFEFISGRRNTKQNADGSITFFPSWAASVTIDGGDVLGLLDPKLDRNADIEEVTNLCRVACWCIQDDERARPTMSQVVQILEGMSEVNLPPNPKNQLKFDR >KZM95857 pep chromosome:ASM162521v1:5:36306136:36309873:1 gene:DCAR_019099 transcript:KZM95857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKWRKLKLALGFNLCVYVPPGATHDSPEKSLLSPTNWSFGSARLSKSFSTSSKKTCSICLAAMKCGDGNAIFTAECSHSFHFHCIASNVRHGNQICPVCRAKWKEIPCQGPTLELPSGRSRINSAVWSHNNAMMTVVRQLPRRPNSNRHATQILQSPEPAVFDDDESLDHKMDITEEISTVKTGADFKSCTTINIKTYPEVPAVAQYSASKNFTVLVHLKAPGSFSGHSHNIDQSNLSPVSQTPRAPVDLVTVLDISGSMAGTKLALLKRGMGFVIQNLGPNDRLAVIAFSSTARRLFPLCCMSETGRQQALQAVNSLVANGGTNIAEGLRKGAKVMEDRREKNPVSSIILLSDGQDTYTINGSDGSQNEPNYELLLPTSIHGKGNPGFGIPVHAFGFGTDHDASLIHSISETSGGTFSFIEKEGAIQDAFAQCIGGLLSVVVKELRLTIDSADSRIHLGSIKSGSYQNHVTPDLKTAYVEVGDLYADEERDFLVSVNVPKELVNKETSILKVRCVYNNPLTKHTVTLESEIVKIRRPEIAGQEIVSVEVDRQRNRLQAAEAMSEARAAAEQGDLAGAISVLESCRNTLSQTVSAKAHDRLCIALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATSRGDSIDSSSLVQAYQTQSMTEMLTRSQATLLGSPLAYRLLQPKPR >KZM96134 pep chromosome:ASM162521v1:5:38545991:38547808:-1 gene:DCAR_019376 transcript:KZM96134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDSRAAEMVAAMAGSDPPVSPLFTDLSLTRTPVGARGNLTRILAGQHRMACDSQATEMVAAMAESDPPVSPLFTDLSLTP >KZM93280 pep chromosome:ASM162521v1:5:4381963:4386491:-1 gene:DCAR_016525 transcript:KZM93280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISLYRGKLHKVPDVPRKWLTPTPTLSAKEFKTLLHRRAKALARLNSSTSNPNPNPDLQFLHHKQPQPHNHASVGDDIDQLLGVDPVGEGHGKKENGGREVELVGEDVIKPKLDDDQMKVIDLNPNSEMISKEERKREIEEKLKILNAKKHDLVQSLKQILNAEEQLKRRSSEQGMATQAQVSLQVDVTFDSGSMSRHPTPRIGSDGILRDGEGREAYDASNHNIHPRNYLRMSSVSPSSDSPHRRPFHSAAPHASRATLGPSASPSRFAPTGQQGNSASLPTVSASGTNYMASSPSPAASGGTSVFKDGRHVSPWK >KZM93962 pep chromosome:ASM162521v1:5:12894575:12895963:-1 gene:DCAR_017207 transcript:KZM93962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVQEARENHVKKKVEEALRSKMKVKALKECDQLAAKYAECATGKTISVIWQCRSQAKELNDCLHQFTNDSVLEEMKKQYTLQQDAQGSLRT >KZM95003 pep chromosome:ASM162521v1:5:27830836:27834749:-1 gene:DCAR_018245 transcript:KZM95003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKLSAIQHRSDYLQGLISQPEALPHECIKANKELRKLSASMDLINELRAKQKEIEDLKMLKSECQEDKSMQDMVMEELGQSMEEEKRLQNVLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYERYSQKSGWKFEVVDITDSDLKGFKEASAAISGADVYGKLKFESGIHRVQVDVHLKTEDLRIDTYRSGGSGGQHANTTNSAVRITHLPSGMTVAIQDERSQHMNKAKALKVLCAKLYELERSRASLNRSKLRMAQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIQDVMEGENLDFFIDALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALLLQQEMNALASFGCKQ >KZM93521 pep chromosome:ASM162521v1:5:7444833:7445690:-1 gene:DCAR_016766 transcript:KZM93521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIATTKVSAHSRSISLPSRSHPLTATVEEHLCRLRTPEGTSSSTVSKCDKLSALQDLYECVEDLIQSQAAQQDRLSCGEDILCGSIRLLDLCSTSKDALSHMRDSVQDLESSLRRRQTDVSSRIASYLVCKKKANRMLSKCFAGSKKSKINKSIETPAIVSLLREVEEVSISVFESIFSSICPAKEASTKSTWSKVFKSTQSKRVHCEEDTEEIINQVHNMDMALEAISKKSSKKSDITETQDVQKCLTALDMNMQECEEQLDCLVRSLIKTRVLILNVLNH >KZM93669 pep chromosome:ASM162521v1:5:9095773:9097051:1 gene:DCAR_016914 transcript:KZM93669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSGSFSRFRNEDDGQSPHHVIEMSSSTPTASVNLDKFFEDVESIKEELKDLESLYNQLHTSHEQSKTLHNAKSVKTLRSKMDQDVAASLKKAKLIKVRLEALDRSNAANRSLPGCGPGSSSDRTRTSLVSGLRKKLQDSMNSFNDLRQLMALEYRETVQRRYFTVTGENADEKTVDTLISTGQSESFLQKAIQEQGRGRVMETIMEIQERHDAVKEMEKNLVELHQVFLDMAVLVETQGEQLDNIQDQVERASSFVRGGTQHLEVARKTQKNTRKCFAVNIYLPIAL >KZM95065 pep chromosome:ASM162521v1:5:28317874:28324371:1 gene:DCAR_018307 transcript:KZM95065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTTDSTEVMITEERKPLLLDNQTPIQQRLVSLDVFRGFTVLLMILVDNAGGAFPSINHSPWFGVTLADFVMPFFLFAVGISVALVFKRVDDKRAATKKVILRSIKLFLLGIVLQGGYVHGHGDLTYGVDITKMRLMGVLQRISIGYMLASVAEIWLVNNIVVDTAATFTKKYYKQWMIAILLGSLYMCLLYGLYVPNWKFEVSSSSWTSLKDEYTSQAQIVQCGMRGSLEPPCNAVGLVDRILIGEHHLYKHPVYRRTQDCSVNSPDYGPLPPNFPGWCLAPFEPEGILSSLMAAVTCFVGLHFGHIIVHFECHKHRVILWSISASFLLTSGFFVALLGVPLSKPLYTLSYMCVTAGASGFLLTIFFYIVDVKKIRKPVALLQWVGMNALTIYALAACDILTAFVQGFYWRLPENNLVDGAESLLQIILQSRKWGTAAFVALEILFWGLFAGFLNKKRLHIKL >KZM93266 pep chromosome:ASM162521v1:5:4191380:4196561:-1 gene:DCAR_016511 transcript:KZM93266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTQHSLPPIFFFFPAKTLIKTPLFPFKKPSFADNRRLLLISSAPLRAKGRGELILGTPSVTVEKGKYTYDVETLIDKLSSLPPRGSIARCLDAFKNKLSLNDFAQVFKEFAHRGDWQRSLRLFKYMQRQIWCKPNEHIYTIIIGVLGREGLLDKCSDVFDEMPGQGVSRSVFSYTSIINAYGRNGKYEVSLELLNRMKKEKVMPNILTYNTVINSCARGGYHWEGLLGLFAEMRHDGIQPDLVTYNTLLSACASRGLGDEAEMVFRTMNDGGVEPDITTYSYLVETFGKLGKLEKVSELLKDMESSGNLPDITSYNVLLEAYADLGSINGAMGVFKQMQAAGCMPNAGTYSILLNLYGKNGRYDEVRGLFLDMKVSNTEPDATTYNILIQVFGEGGYFKEVVTLFHDMLEENVEPNMETYESLIFACGKGGLYEDANKVLLDMNEKGLVPSPKVYTGVIEAYGQAALYEETIVAFNTMNEVGGKATIETYNSLIHVFARGGLYKESEAIFSRMVDNGVKRSTDSFNGVIEGFRQGGQYEAAIKAYVDMEKARCEPDERTLEAVLSVYCFAGLVDESEEQFREMKESGILPPVMCYCMLIAICARNDRWDSVSELLDEMVTQKVSNVHQVIGQMIKGDYDDDSNWQMVEYVFDKLKTEGCGLGLRFYNTLLEALWWLGQKERASRVLIEATKRGLYPELFRSNKLVWSVDVHRLWPLIECFDHCRMSPGGACTAISVWMNDMVKKVSRGEDLPQLATAVVVRGQMEKSSITRDFPIAKTAYSFLKDNVSSSFVFPGWNKGRIICQRPQLKRILSGKDSPLGAIKGEDIIPLSNSPFPPEKRATIDNSNRSQNGNVEKGTKRRSKPELMTSGV >KZM93952 pep chromosome:ASM162521v1:5:12727577:12734957:1 gene:DCAR_017197 transcript:KZM93952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKRAFFKKQSSTQFKRKGGHRKEVWKNTSHEPLKGSTSSETVYRILCHSKKIGSVIGKGGSIVKTLREETQAKITVADSVSGSDERVIIISSSSNKIQSNHNEESNGEEMPTEVMDELMEPHCAAQDALMKVHNRIIEEDIVGGSDTEAGNGIVVTARLLVPNNIVGCLLGKKGDVIQRLRSETSANIRVLPADHLPICAVDTDELVQISGNPVVARKALYVVSTLLHQNPRKDQPPSGLPMSHSHGNSGFHTSRHPTGKISSHGNPIWSQRNASFQGDPPIHWTRAYEGQHARFGPGGLDDIPGGYAEEVPTDFSMKILCSAAKIGGVIGKGGSNVKQVQQETGASIHVEDVSAESDERVIRVSSTEAPWNPRSQTIDAILLLQSRTSELSDKGTTITRLLVPSNKVGCILGQGGHVINEMRRRTQADIRVYSKEDKPKCAAEDEELVQISGNFGVAKDALTEILSRLRARCLRDAKVIGEPAPIRPHTGYGHPGIVHGGPPPGSDSRGFGSPGTYSHFKGRGREYEPPSYPIQPSATSYLDVGRDMEVNIPNDGLGSIMHTGRSNTSSIAGARLKYQDPMSTSSESFIDSRSHHFNNVDTMHHSFIGSGGPSNNPQQGSYRDFNVRGQNYNHQHSSYPDFNDRDQYNTRAQHGTYPDFAAQDQYKKPVHVSHHDLNSQPPAYPNNSAPHNPYNNVTQQQASYQNYQSGSTLQPPYHNISAPNSYQY >KZM94144 pep chromosome:ASM162521v1:5:17068032:17068343:1 gene:DCAR_017389 transcript:KZM94144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIINMDVDVITIENSRSDQKLLSVFREGVKYNAGIGPGVYDIHSPRVASKDEIVERINKTLGVLGSNILWVNPDCGLKTRKYSVVKPALTNMVAAAKHFLG >KZM93652 pep chromosome:ASM162521v1:5:8928304:8929325:1 gene:DCAR_016897 transcript:KZM93652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAASILAALLLLIIQCPHHLILQINGESNVESINKQKCNIYQGRWVKDNSYPLYDPNKCPFLETSFTCQRNGRPDRFYLQYRWQPFACNLPRFSGRNFLRSSKGKRIMFIGDSIGLNQWQSLNCMLHSAVPQATYTLTRNKGLSTFKFTISEELSNRAIKFEFRISRNILTDDNVQNGACVRSEPE >KZM93052 pep chromosome:ASM162521v1:5:1985754:1992293:-1 gene:DCAR_016297 transcript:KZM93052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSGSSGTWVRSCLVVFATVSALCVCGPALYSKFKKDSLSFRGPASACPVCDCHCPPPLSLLKIAPGLVNLSVPDCGKNDPELNEEMQKQFVDLLTEELKLQELVGEENMHHMNITFGEARRLASQYQKEAEKCNTATETCEQARERSQALLTKEKKVTSMWEKRARQLGWE >KZM94027 pep chromosome:ASM162521v1:5:14079054:14080766:1 gene:DCAR_017272 transcript:KZM94027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCTDSGNLMAIAQQVIKQKQQQEQQQHQQHLSPFALSPCSQILSNAPAYGFSAPPFPDPFLPEGTEPVFHFPSLDPHNQSFRFTDFGNGPGGEFDSDDWMESLIGGADSTASSNLQSGCDTWQNSSEFNLYAAAAADPFPPSPDLDSVHVIFPDVSKTAPAPPQWVPLPPQTTVEAVNKNDDVVAVASASSPEQISTKPLLNAVIDCAKLAESESDSAIKSLIRLRDSVSETGDPTERVAFYFTEALYSKLSVSKTPTIFETTSEEFCLSYKALNDAVPYSKFAHLTANQAILEATEEATKIHIVDFGIVQGVQWAALLQALATRLAGKPEKIRISAIPAPALGDSPAAAMNATGNRLREFAKVLDLDFEFEPVFIPVQELNESSFRVEQDEVIAVNFMLQLYNYLGETNDVVESVLKLAKSLNPKIVTLGEYEASLNRVAFFPRVKNALKYYSSLFESLDPNFTRDSPERLEVERLLLGRRIAGVIGQSEDAGTRRERMEGKEEWGMLMKKAGFEAVGLSHYAVSQAKILLWYCNYSSSYSLVDSPPGFISVAWNNVPLLTVSSWC >KZM94322 pep chromosome:ASM162521v1:5:20968593:20973828:1 gene:DCAR_017565 transcript:KZM94322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAEMNGEAAVAAPVADHTSSPETNDSGIGSGGNAVSETHVVKDSDSKSGFRMQHIVNMLSNLKLNPMAKEFIPSYCLNYRSPDQFSGNFFVPADKNSANDGFPNNRKRRNGFSQGKRRLNGRAFRAQREDSIRRTVYVSDIDHNVTEEQLAALFCGYGQVVDCRVCGDPHSHLRFAFVEFADEFSARAALSLGGTQLGFSPVKVLPSKTAILPVNPTFLPKSEDEREMCARTVYCTNIDKKISQADVKAFFETRCGEVSRLRLLGDHVHSTRIAFVEFVLAESAIIALNCCGQIMGSQPVRVSPSKTPVRPRVTRPGMH >KZM93272 pep chromosome:ASM162521v1:5:4303221:4306693:1 gene:DCAR_016517 transcript:KZM93272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQQTQFQSYDNDRNDSGVRAEKEKPQMADALNFLSSIKDGFGSESQEYKYFIDLLIEYKQQRITIPVVVARVKLLFSDRQEFIEGFNKFLPAGYEISLPLSRGPHISYEKARNFVSKVQAAFSQDEERYVSFRQMIVGFLQEGKMSGLELSAKVCEFLEDKQDLLVELFDFICP >KZM95754 pep chromosome:ASM162521v1:5:35221329:35222686:1 gene:DCAR_018996 transcript:KZM95754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIKTGRSENEDACLLAMHIATATVVPMILKSAIELDILNTMAKAGPGNYLTPSELASKLPRSNPDAPAMVQRILRVLATYKVLGCKPNDRSNGEAEWLYCWTPVCKFLSYNEDGGSMAPLLLVNTDKVVIDSWYHVADAVLDGGIAFNKAYGMSIFDYNSREPRFSKVFNQCMTGHSNITLKKILETYNGFQGLSSIVDVGGGSGATLNMIISKYPTIKGINFDLPHVVRDSPSIPGVEHVGGDMFTSVPNGDAIFLKWVCHNWNDEDCLRILKNCHQALADNKKLIIAEFILPEVPGGSDDATKGVVHMDSIMMAHVPGGKERSEKEFEAMATQAGFKSFSKVCCAFNTWIMELTK >KZM95584 pep chromosome:ASM162521v1:5:33607251:33613008:1 gene:DCAR_018826 transcript:KZM95584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLNHVVSEVEEAAVCCLSSLTSLSSSTISTTMTDGGAAAAPMFMELWHACAGPLITLPKRGDLVVYFPQGHLEQAASDLSFPHIEASNFGLSPQIFCKIMDVQLLANKDNDEVYTQLTLLQVPEPEFPATNSDEKQNEYLGLDNEERGATPTKTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFAPLDYTQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWTNFVSQKNLVSGDAVLFLRGENGELRLGIRRTVRPRNELPDSVLGNQKSYHTVLSSVANAISTNSPFHVFYNPRASHAKFVVSYQDYVKSIASQIPIGARFKKKFVKDDSSERRFGGVVYGIQDLDPYKWPNSKWRCLLLSAWGKAIGYDVLDMHQRSKTNFYPLASEGTRNIYFPHNDAHKAVDNYNMGAYTNYANENVLLNPYCHQTGVTNHEAEKLNSSKEPRSECIISSSPKYKANSKIEKDGSLQSTVTDCKLFGFSLTGETSTANSQFSCKRICTKIHIYTQEEVAKMMGGMISDDTPEALQ >KZM94848 pep chromosome:ASM162521v1:5:26437770:26441136:1 gene:DCAR_018090 transcript:KZM94848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGSPSKPKARKVKFAPKGPPPRRKLPVAPKTEEEIDARDEEAAQALFRRANERRGKREPKVDKKSSVHVAFGGRSETSTSLTKWGRPKEGIFSKTEDLVLHDSAQSYKQNTVSSLLNSATDETGGGPLVCFDTSHQKLEKDYIEPWDYNHSFYPVTLPLRRPYSGDPEILDQAEFGEGGPAKEYDEELTNSAAELGLLTENKDTQMLFFQLPPNLPLFRSRAGADATVDNSKISESESALCTGREQETARSLTPLRGADALAKAKGKEKVGQGGTGISHGVSPALKGVEIIGRSMLSRKKNEHLENLPAGYMGKMLVYKNGAIKLKLGDILYDVSAGSSCNFAQDVAAINTVDKECCFLGEIGKRAVVTPDQSLYDNVIDLT >KZM96176 pep chromosome:ASM162521v1:5:38870168:38873186:-1 gene:DCAR_019418 transcript:KZM96176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFIVSGEVNSSQILNDEASLLSFKSRVVYDPNNSLENWNSSKFQVCNWSGIGCNVNKTRVLSLDLSGLSLRGTISPAISKLSYLKILDLSKNFFEGHIPAEIGSLFHLKELSLSTNLLEGTIPRELGSLGDLVYLDLGSNRLSGEIPKSLLCNATSSLEYLDLSNNSISGEIRMNNQCQLRQLRFLLLWSNQLVGQVPPALSNSSKLEWLDLESNALHGNLPSEIVQKMPLLQFLYLSYNNFSSHNGNTNLSTFFSYLMNSSNLQELELAGNNLGGEIPSIIGDLPTCLVQLHLENNLIFGSIPPDISKLFNLTLLNLSGNLITGSIPRELCQMKRLERLYLSNNKLSGDIPSAFGDIPHLGLLDLSRNRLSGSIPDSFANLTQVRSLLLYENQLSGTIPPSLGNCINLEKLDLSHNSISGVIPKEVAGLSSLKLYLNLSANNLHGPIPGELGKMDMVLAIDISSNKLSGTIPPQIGSCIALEYLNLSSNALESSLPESLGKLPYLIGLDLSSNNLSGELPESLQASSTLKEMKFSFNNFSGVVLNRGAFSGLPFDSFMGNPHICGSIKGMHSCSRKRIYGAIILPAVISSVFVILILGILGYRLALKLNFKRKLATSTCPEMLEEEHEGNEHKHPKISYEQLIEATGGFSNACVIGSGQFGHVYKGIFEDDTKIAVKVINTKTAGELSGKCFRRECQILKRTRHRNLIKIITTCSRPDFKAIVLPLMPNGSLENYLYPSCSWNHRLDLVQLVNICSDVAEGLAYLHHYSPVKVVHCDLKPSNILLDHDMTALVSDFGIAKLVKESDQGSVCANDSALCISTDGLLCGSLGYMAPEGSNLHEWVKSQYPCKIEPVLEQALLRYAPHGSVVPSNRLWCDVLLELIELGLMCTQYSPLIRPTMDDVAHEMARLKQYLSGPSEKSGSTKST >KZM93465 pep chromosome:ASM162521v1:5:6788374:6789276:1 gene:DCAR_016710 transcript:KZM93465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAISHLHGKLSSKVCSPPISNPSRSFYRPIVSTSMEQQNKSYWAAVETQIDSHLKKSITIRPPTSVFEPMHHLTFSASKSTAPPLCIAACELVGGSPDDAVTAASAIHLMHAAAFTHQHLPLTDGPMQVGSTVEHVMKPNIELLTPDGMVPFGFELLGLSEQAQNNSDRILRVIIEISRAVGSQGIVDGMYQELVYAKGEGEDEQMVEYVCEKKEGELHACAGACGAILGGGSEEEIEKLRKYGLYVGMIQGMVNGVGKKLGSRLEIANKWRGLAIKELEAFHGRNVHQISSLLEMD >KZM93820 pep chromosome:ASM162521v1:5:11156965:11160118:1 gene:DCAR_017065 transcript:KZM93820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKTKLDAQPELFIHIIPDKASNTLTLIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGEVLGRGTKIVLHLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTTEKEISDDEDEEEKKDEEGKVEEVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLNVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKQNNIKLYVRRVFIMDNCEDLIPEYLSFVKALLTSGFSLEEPNTFGNRIHRMLKLGLSIDDDDEAVEAEDMPSLEEADADAEGSKMEEVD >KZM92884 pep chromosome:ASM162521v1:5:512224:518854:-1 gene:DCAR_016129 transcript:KZM92884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGTSGAGEGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNGTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDVVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTAPLTHVDEKLCYQILHEYKIHNAEILFREDATVDDFIDVIEGNRKYMKCLYVYNKIDVIGIDDVDNLARQPNSIVISCNLNLNLDRLLAKMWEAMGLVRIYTKPQGQQPDFTDPVVLSADRGGCSVEDFCNHIHRSLVKEVKYVLVWGTSARHNPQNCGLSQVLQDEDVVQIVKKKEREGEGRGRFKSHTTGPARIADREKKAPLKQ >KZM94147 pep chromosome:ASM162521v1:5:17103519:17111081:1 gene:DCAR_017392 transcript:KZM94147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHDEAAVVDNTNTNSNSKNKKKKRRSNRKSKHSSASAPVNGICLESPCPLSPGTLDEHNLLKASDVAFTSLPTMHLDEQQEGGILQNNQMLPSDYDGRMLPRSCPEPIACKKSTLYTNVRYDSRSSPLSQCKAQRRIYCTYWSNEAVTRGLESGDIFKALIRVNAHNRLEAYCKIGGVPTDILINGIIAQNRAIEGDIVLIKIDPLSSWTKMKGSSGPSNSAAVSHVCDLVPEPTVLVGNISEGEGIVDIDFEFGNRMNLLEKKLHDEGNTYFGENGSPGPVGTLCKSCVNKNLYIGSSREPKNNASAVRKLCAMTSAYPLKRPTGKVVAIIESSSYRDGVVGILDVKQWIFSREDCTENCKPVNLPLSLYSVEYIPFVPTDSKFPKMMVPVSGLPDCIQKRLEVGDATIEAELVAARVVDWGEEDDVPEAHVIRVFGFGADVEAHIAAILFENAIHSCEFPPEILSCLPSVNWVVPQKEYQNRRDIRDLCVFTIDPASATDLDDALSVEWLSSGIFRVGVHIADASYFVLPGSALDVEAQFRSTSVYLLRRKFPMLPSLLSENLGSLNPGVERLAFSIFWDINFSGEVLDRWIGRTIIQSCCKLSYEQAQDIIDGKFDVENFTASENGLPRVHGKFQWSHVVKSVKSLHAVSKTLPGKRYTDGALSLNNPKLIFLFDEDGSPYDCVISKMKDSQLFVEEFLLLANRTAAEVITRAYPSTALLRRHPEPNLHKLKEFESFCSKHGLNVDTSSSGKLHLSLTHIKQELKDDFMLFDILLSYATRSMQIATYFCSGNLEDCEADWGHYSLAVPLYTHFTSPLRRYPDIVVHRTLAAAAEAEAIYTKNKLLKHEDRTGRFLTGIYFNKDAIESHEGQEALSIAAAGHSVPCTELLMVVAAHSNERKLASRHVKDATDKLFMWLLLRKEVFFSKARVVGLGPRFMSIYIQKLAIERRIYYDEVEGLTAEWLDATCTLILNPSTNKGIQRKGTPAKCRPLEEAVLIVSPHNLMAASDPSSKHCNGAGSSKMEERDALASIMHPEDIEPSVFPLIVRNLSTISVVLHAVGGNGPLDIGARLYASSYLV >KZM93309 pep chromosome:ASM162521v1:5:4657506:4658865:1 gene:DCAR_016554 transcript:KZM93309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCSEKKGVKKGPWTPEEDIILVSYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGSFSDQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLSKSEGHGVGHDDNEHDLNGVSSDSSSSGAPKGQWERALQTDIHMAKAALCEALCLDKSPITTTTLASQAPNFTRASPVQSSPTYASSADNIARLLQNWTRKSPNSWSPEITTCHDQYNSNSFNNYHQVGSTSTGSPSSGFGSLYNNFNYSMNNSSSTDVSMDDAANFTTQSKHDKGKLMLQDYDVTKPIVKNNSSHYDHQQVHEHEELPPLTLLEKWLFDDVTMAAPPQDDLMMNMF >KZM93412 pep chromosome:ASM162521v1:5:5806127:5806384:1 gene:DCAR_016657 transcript:KZM93412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGPIEELLDRDIGLGVDHPDFIDVVLLDEAQDPVKFDVAFGLADQVHGLGLGQVAAQENMANASGNMHNGTMENQVAGNGVPK >KZM96059 pep chromosome:ASM162521v1:5:37792137:37797197:1 gene:DCAR_019301 transcript:KZM96059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLKKLPFDLDFHPSNQLVSVGLITGDLQLYRYAADSTPQRVFEVSAHKESCRAVRFINGGGAILTGSPDFSLLATDVETGTQVARVDDAHGAAVNRLVNLTETTIASGDDDGCVKVWDTRQRSCCNTFSVHEEYISDMTFAADSHKLVAASGDGTLSVCNLRSNKASFELPFVQTRSEFSEDELLSVVIMKNGRKVICGTQTGALLLYSWGFFKDCSDRFIDLCPNSVDALLKLDEERVITASENGVISLVGILPNKIIQPIAEHSEYPVERLAFSHEKKFLGSISHDNILKLWDLDEILQGSKSTAKNSSAADDSDSDEMDMDVDTLAPKPRRGIPADLCTNFVVC >KZM94205 pep chromosome:ASM162521v1:5:18643184:18643622:-1 gene:DCAR_017448 transcript:KZM94205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEEIATFAQTALEASDPAADPATTVQWNTLIGGEMEKQRRLEAEKDYTDPEELSEEDGSDGEGADADVGADAAGATERPVDSDSTVSLD >KZM94878 pep chromosome:ASM162521v1:5:26692808:26695131:1 gene:DCAR_018120 transcript:KZM94878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGRGQNKMNWSDEEDRALIETLQEVAVDINWKSEKGWRDSYLVRVEELMAMKVPMAGLKANPHIESRWKYLKRKYHAIADMRASSGFGWDENSKKIQCDKSVYDEWCKSHKDARDMWGVSFPHFHALAELMGNDRATGSNAENFAEAIENMGNETNDSMFSTSTEEADQDSVSKPGKRKRSKDNPEKNLISMFDDVSSKLGSFMENIDKHLGKLVASERDDMAAKVMEALRQMEGLSGGQVLQAAEILMAEPPKLKP >KZM94919 pep chromosome:ASM162521v1:5:27010524:27012526:-1 gene:DCAR_018161 transcript:KZM94919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYEQRFEEMTTGSIDKGWDFFTYKDWKTFDILMLPENERAFNKMHDIDDFLDDLKLGGQVVDSNIYTKHITRNEPSYAAYGLNNHLKRVLKPTDHQKSPFKIRGIDLNTQRFSKDEEEVWSWINGRKNRAMIEIFLWNNVTCLKHHIQSLQIGKEVLFHVVDAYTSILNEDEKFRAAESPYRFFCSTMVTMGNVVKGSQLVANSTDPNITYMKFKSNMDAILFKHRVDINHVDLIFFPIFSGNHFYLICFNLRKICVDIIDNRSGDRVDIMYDRIPEALGIEQKRQLEKARKIYAAKIVYSEINILKDHMKTEIKFVNQN >KZM93383 pep chromosome:ASM162521v1:5:5562210:5568762:-1 gene:DCAR_016628 transcript:KZM93383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEMRSCYDDLLSAAAATANSAYEFSESLREMGTCLLERTGSSAYGESEGVLQMLGKAQLELQEIVDAYRSHVVRTITIPSESLLSELRKVEEMKSQCDDKREVYEHMLARYREKGKFGIGNGEQPITSQQVKEARIEYDEAARLCIFRAKSLKQGQCHSLLTQAARHHTAQLHLFRNGFKSLVEIDPRVRLVSEKQRIDCQVGLDEGEDYGSEMRNSYENNKHVELDEADLPNPHFLNVEEAARTFRKNHEAQTISQRPRPSSHSAPIYTEKLNPADKGTEIHPSVQKLQTYVLPTPARAKILSAITTRSGLQSSSTSLSTSSTNLRHSFPLHTERNSNSSPIPPPPPDRCSFTQFERHNSFDLNRNKRQAYSDPIPSKPIVSTSGPLTSKELPSGLLSRIPVSQLSTSANVSYSASPAPVSSPRITELHELPRPPDSIALKTLNSSSIAHSAPLAVRNHEHPPSNKSPKLASNIASRLLASPLIVPRSFSMPARSSKAKPFDMGASQILDQVEDFTSPPLSPISISDMKPLSSISKQSPVLGK >KZM93349 pep chromosome:ASM162521v1:5:5141271:5145894:-1 gene:DCAR_016594 transcript:KZM93349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLQLLVLLDGVQLALLLYAFPNEVLDLEKSVRTLDLTHNRLVEIPTEINKLINMQRLILADNLIERLPMNLGKHQSLKVMMMDANRLTTLPDELGQLVRLEQLSISGNLLTSLPETLGSLRNLVLLNVSNNKLSSLPESVGSCYSLEELQANENQIDEIPASVCNLVNLKSLCLNSNNVQKIPTNLLKACRSLQNISLHSNPISMEQFQQMEGFQDFEARRKRKFDKQIDSNVMISSKGLDEGVDL >KZM93414 pep chromosome:ASM162521v1:5:5827226:5828287:1 gene:DCAR_016659 transcript:KZM93414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDSARVQKRRSRWNEAEDSNRGKYAPLHKEPRIHSESVQVSHISLLYGNSGVPSDQAAIINEEKHNNLYVNRSPNRMTIKYLDNNEQSIDEDVPPGFSPPHKASFVPSSASSTASTFCQEKCLFPEYPFEVTVGHPQERFVSRSPVSFGIPMHAVEHFGRQGEIAENWFVAAGIPFHPYPPLPPYPRDRRGPAPALSSMILNSVPVIGEGFQNNATYQSDQITFSTSASCMPDLELSAPVKQHHFQGTGGASNRLERRYFRQQKWINTKSRPPWVRGEAGRGNFGNI >KZM93478 pep chromosome:ASM162521v1:5:6986600:6988261:-1 gene:DCAR_016723 transcript:KZM93478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDTVMNCFKKCFNLKELECLYALMIKNNAVQDCFYMNQFITTCSTFRRTDYAVRAFIQMKSPNAYVYNAIIKAFIHCLNPIQALHFYLSMLRAGVSPSSYTFPSVIKGCVLVSDLGFGESVHGQIFRFGFGSNVFVQTSLIDFYSGFGRLVGARQVFDEIPERDGFVWTTMLSGYSRGGDMGSARRLFDEMPERNVATWNSLINGYARVRDMGSCELLFREMPEKDLISWTTMISCYSQNKLYSEALTVFSEMRMNGVRPDEVTMTTVISSCAHLGALDLGKDIHLYVMQNGFELDVYIGSALIDMYAKCGSLERALVVFFKLQEKNLFCWNSIIEGLAVHGLAEEAISMFGRMEKDNIKPNGVTFISVLSACTHAGLVEVGRRWFKKMTHELSITPQIEHYGCMVDLLCKAGLFEDALELIQSMTMEPNAIIWGAVLGGSKLYKNLDIAQVAANMLMVLEPNNSGYYSLLVSMHADANRWNEVAKTRSAMKELGVEKSCPGSSWIEMESKIHQFAASDKCHPAASQIYSLLHELESQLKLYGHVLEFEFFT >KZM93045 pep chromosome:ASM162521v1:5:1941979:1942755:-1 gene:DCAR_016290 transcript:KZM93045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRVLLSSVIAVLATWLLICSPVSDAKASHHKRVVQVPSLSSAQSWSPLVPSPPPPGPRPRPRPTPWSPLVPSPPPPKPRPWSPLVPSPPPPHKPRPRPGPWSPLVPSPPPPHKPKPRPGPWSPLVPSPPPPHKPKPRPWSPLVPSPPPPRPRPRPRPRPTPYPFPWSPLVPSPPMPRPLPWFSPLFPSPPPPTHNFDDASPFVFPPPPLAFPWSSPPYDHDDNFPAFSTPPDVPDFSAPAPPLVPMRNLITNAPPT >KZM94437 pep chromosome:ASM162521v1:5:22327211:22328843:-1 gene:DCAR_017680 transcript:KZM94437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALLLAISLPLLLLFTLRNHHVRKSSRRPPGPPGLPVIGNMHQFDSLSTHVYLYKLSQKYGPLMSLQLGSVQTLVISSAAAAKQVFKYHDLCFSSRPTLVGSQRVSYNGIDVVFAPYNDYWRDMRKLCNLHLFSTKRSQSFQPIREQEVARMVKTIRGSAAAGDSNIVNLSKTLMTLTSSIIFQITFGRGYDDNMSSRFHWLLTETQANFVSFFLTDYFPVVGRLIDRLSGAYARLEKSFIALDAFYQQLIDEHLHASSVSAQEGSILDILLQMKKDSSEFTFDHVKAILMNVIVAASDTSAAAVVWAMTLLIKNPEKMKKVQQEVREVTGKKEFLDENDVQKLVYLKAVVKEAMRLNPPVPLLVPRETIEKCVINGYDIEAKTLVYVNTYAIGKDPESWENPNEFLPERFMTSSIDFKGQDFELIPFGVGRRICPGIAMGVATTELALANLLYSFNWESPPGKKIEDIDMAALPGITMHKKNHLCLVPEIIC >KZM95847 pep chromosome:ASM162521v1:5:36208954:36210411:1 gene:DCAR_019089 transcript:KZM95847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDSGVGKSCIVLRFVRGQFDPSSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAIAVVVYDITNPDSFNKAQYWVKELQKHGSPDIVMALVGNKADLHEKREIQVQEGIDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSTPS >KZM93931 pep chromosome:ASM162521v1:5:12406058:12406393:-1 gene:DCAR_017176 transcript:KZM93931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYTLDRPVGGLEELLDWDQGMGVHHPAFMDVLVPSGAPDPVDFNVSMSLAEQIDDWVWVRSMLQKLPRMDMDIDELEDIMEGAVEDSFVGSWGPDRSLLAREPPLGDLD >KZM93218 pep chromosome:ASM162521v1:5:3698349:3698948:1 gene:DCAR_016463 transcript:KZM93218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFKVIKYNSPCAACKFLKRKCLPDCIFAPYFPPEEVQKFISVHKIFGASNVSKLLNEVLPHQREETVSSLSYEAEARLRDPVYGCVGTISILQLQVERLQKELDEANAELVSYARGHHPDICGSGTPGGLPATGAVPAHPMCNYAQIPRQIIRPVDLINTGEAGACNYYQTPSSFSFPWNIETDVQDQGGGTGQGL >KZM93144 pep chromosome:ASM162521v1:5:2777027:2780030:1 gene:DCAR_016389 transcript:KZM93144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRDLTIFSTASFLGALVSALTIRFFLNPRKHLSASNGVVGAKSSNQSPFDPAKRKGLVHAWLVKTVLYLVRSDKWYWCLRVLTFEVMLIIELFEYLITCIGYNGFPRGCSDDKLPWSKKSKTGDPLETKYPYVCHAEVNAILNTNHASAAGQRLYVTMFPCNECAKIIIQSGVSEVVYYVEKRLENSESAYVASHKLLSMAGVKVRRHQPRMDQILIKFDNISKSVSSETP >KZM95990 pep chromosome:ASM162521v1:5:37271491:37275042:1 gene:DCAR_019232 transcript:KZM95990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSMEGMGDAISADKLQQGENLDAVFHDSVSNQKGTPHSVIRTREEPLKLNQRQSLFEPVILQVRQESSIDQVKPEKGLTNVKLAQSLDDVADASQPNKDESSISIVHETEPVTLCQRPSLDGTTPTSESCQERSSLSTVPEKELDNLQPTPNLSTVILTSEHGTPVSTMPANVPDTLQKIIDHETYLSASQSDQEKSSLSLKQAKILEKLPLRRNPDVGVQTLPSVQEGSGRSKLPEKPSDDGYNWRKYGQKLVRGNQFVRSYYKCTFPSCPAKKQVERSHEGYITNINCRGNHEHPKPQPSPQTPVSVQASSPEKSSLVGSEVPRSEDETANIDMEKPHQIVLVESPKPLPVQAKSPDVMGVSASKSNRAKDRDDHDGEMDTKRRRRDSSISDDNLASANRANGESRIVIQTTSLVDIVNDGYRWRKYGQKLVKGNSNPRSPVFPVKILACDGAFPFCYTDIHILGGADTDVTPQNASGADTDVAPQNSESRSETEEKEVAGLDMVVHVSETE >KZM94491 pep chromosome:ASM162521v1:5:22985280:22986908:1 gene:DCAR_017734 transcript:KZM94491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTFFGDLAVEFANSVKAIKDDTITVIIASAKVNEYEGIICLNNYPATRFYLNAKHPSVKKLKLRMSDPAYCANNLEPLPEQTPPLFSVEDIKKLPKEFIEKKVRCQITVKKVDEKSNWYDNVCTTCQAEVTTVEGRYRCIICSRNVPFLDKRFRIATLCNDTTGLIAIIFPDDEIQRIIGKNAFEVEDEKDYVITLTINERNVNKTINIYRATDISDLIEVLGNHSPPNLAAVSLTKEPLVTPAKPPVHNPAKAPIVENTNNATATHCHRDQPTYSKLNQQSEEPSEEE >KZM94760 pep chromosome:ASM162521v1:5:25558526:25559669:-1 gene:DCAR_018002 transcript:KZM94760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNRCYCIEDIIEPGMYKARIKVKVCRRWNGNSSPTESVSGISMFLTDSSNSRIYCWIPSHLADRYTEDLEEDKSYEIHNFMISIYISRCKWSVDDKLFMILMNSTIVLPLQIGDCFTTKETFNFQDLSQLDVIEILSRKGTMDFLINKDNEEEVFLDFEITDNIKKISIRFRNGLASLCNNSFNILNDHNLEPTTISISSCKMQFNRYTNDIVLIDMPSTRYFINYESQQDTILRQRYHEINNTGTSLFKDNF >KZM96208 pep chromosome:ASM162521v1:5:39182518:39186383:-1 gene:DCAR_019450 transcript:KZM96208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYSISLAKSLQTHLHKNPITPNQSFSCSTAVSWPFKKAPFGALKARGGNQEAYGSEMLRKPVTSQGKEGESRRELDDDEEEEEEEEKEEDFVDWEDQILEDTVPLVGFVRMILHSGKYESGDRLSPEHEETILERLLPYHPECDKKIGSGVDYITVGYHPDFESSRCLFIVQKDGKLVDFSYWKCLKGLIRKKYPLYADSFILRHFRQRRRS >KZM95506 pep chromosome:ASM162521v1:5:32785655:32791596:1 gene:DCAR_018748 transcript:KZM95506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVKEGDNTEAEQIVNPWEVASSRGKIDYDKLIDKFGCQRLDKSLIDRVERLTGRPPHVFLRRSVFFAHRDLNDILDAYEKGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNLTVEESQRLARENAKDIIACGFDISKTFIFSDFDYVGGAFYKNMVKVSKCVTYNKVVGIFGFTGEDHIGKISFPPVQVNLYVVVCLIINHLPFLGLLGYLIPFATLAFVSLSLSLPSRVLSPLVFFPISTHFTAPPEIPSAPTVLQLDALRPIIPDNACILCITAAAGTELADAYSPDTVIASSPGKEVHDPWAFYLHAALLRQAFAHCGKFPTAASRRSLGRVSVPVWLIILSDQLLIIALAVPSFPSSFPHLFPGKDSLRCLIPCAIDQDPYFRMTRDVAPRLGYQKPALIESTFFPALQGETGKMSASDPNSAIYVTDSGKDIKNKINKYAFSGGQDSIENHRKYGANLEVDIPVKYLGFFLDDDAELEHIRTEYGAGRMLTGEVKKRLTEVLTDIVERHRRARAAVTDEANGRRIYGSKTSSKYVQLKTTD >KZM96372 pep chromosome:ASM162521v1:5:40538036:40539295:1 gene:DCAR_019614 transcript:KZM96372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFQEIVVTSSPEGPLNGYNSMSGAISLKFVGSRSPRNGLCLVGKKLMAVSHVSAETGLGSIHLYNWYCQTPFNTLAVPEPVAPLAATEDGSYLFAGGLSGRIHTLSLPSGDLIQSFPAHRRVVSCLKINDDESLMLSGSDDGTIAVIPLYNLVSSSKVRKREMFLCRFVGHQGSITSITCGFGGGNYCTIMSTALDCTCKIWSLMHKTPIRSIKYPCPMLTVVMDSNESEFYAAGLDGVIYKGALKASSRQAAQEARKIMPLKQKHYGPIVSIRILSNGQNLVTASEDGNVWVWDIQSEQVVRILGHEMKDISSMVVAKLFNDAESRVRAPKFTGGGGGFSTKELQRPVEVLELKERVNVVVNDRRRAVRNLESALGIYETLLKEIVKQARGDKRSDSSSDETEDEDEDEDGTEDV >KZM95470 pep chromosome:ASM162521v1:5:32384980:32387237:-1 gene:DCAR_018712 transcript:KZM95470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYSRFFTRQIPFISDPKNIVSNTMASEITQEEDEDDCFIIKTEAHRNRIRQIIEHQKSLYFSSSASVSYSSTASSSVSSRTSHSLLGLMKGGNTSLRRLFEMEHTSLATHMKEYSGSPIIKPLLLWGSDTDDGICDDPWRTFKKAETVNAFDSPYGSASEGSFIDKDFAYQKSRSKWRRRKLNRTKSFRRLPRFIKWTCRGFRFRFRLRRIRIMICGRIY >KZM92881 pep chromosome:ASM162521v1:5:483860:484261:-1 gene:DCAR_016126 transcript:KZM92881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAAQNAVGAMDSPMLTSLSLALALVLLYFTPLVQPLPVPALLLPPAPCPSRDDTPPITRPPPKNKKHIVPLPQPRVNADQDHQIPPPSRDEHNMGKKIGLLFMGIAAVLQVCVAAFLVLKSRKLFKNQNND >KZM93342 pep chromosome:ASM162521v1:5:4945651:4946457:1 gene:DCAR_016587 transcript:KZM93342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFASNAIAGNNGLKKDVLKANKAPSECSDDEISVNRQREEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWATVKLPTLPIQLPFFISCPWCSLLSFRLVYKGNLKFPCKNYFVLWMVESMNGDRVKSHTSLCNDHQHVWSLNKNVAGNRVNNGNIPGTLATYLRPENESNRNEGRSVGTHFNMERFHLSLRKSLVFFVHLTAKFPLVFIFLLIVLYAIPASAAILALYILITVLFAVPALLVLYFAYPSLDWLVREIIN >KZM93812 pep chromosome:ASM162521v1:5:11031978:11047892:1 gene:DCAR_017057 transcript:KZM93812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSLVSDVHKRPNVDSSVAKAAFEETSHVSANRGVQMLGQIKNGSCRPRAILFKVLADAVGLESRLMVGFPNEGASECVDSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSPKAIFMTHVSAAGESDSAENDSCDSPLEPNSPLYGSSDRLDHESTDKDDSPQYQRSLEASLNAPGPSLRSMMLRSTSIDRNLSPEHPLFRARGRSMLSGDRKSFRDYSDDIATSRSEGASTSETRRLRRRSISITPEIGDDIVRAVRAMNETLKQDRLLRQQGVNRQQGVNRTYPDLLDGTNSGEDLQGSVSEFHLSSRNESSAGTSNLYGFPKEHFSSQKAISLPSSPHEYRSQALGKTGPDEEMISTWNKILESPMFQNKPLLPFHEWNIDFSEITVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEITILSGQKKKLSWRRRLKMLRDICRGLMCIHRMKIVHRDIKSANCLVNKHWTVKICDFGLSRMMIDEHINDSSSAGTPEWMAPELFRNEPFNEKCDIFSLGVIMWELSTLSRPWHGTPPEKVVYTVTHEGSRLEIPEGPLGGLIADCWAEPNERPSCEDILTRLLDCEYSLS >KZM96091 pep chromosome:ASM162521v1:5:38166573:38168883:1 gene:DCAR_019333 transcript:KZM96091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGWRRAFCTTIPRDPQATTSSSSSSLDNNNNKTHYHVVHGPDDSISPAPTPRSFSKLGAIFSGGLGSSSNPSTPRLRVKTTTRSSSFTSSTAATPVKKDTTPKLRCKTMPNTPTSKSLKIPSANHGSSNPSSPRSPFSIFKNSLRLSRNNCGVCMQSVKSGQKTAIFTSECSHSFHFPCISNHLRNQNSLFCPVCNAAWKDAIPLLSIHQPQQPPQVEKNNNLIFKTPTYNDDEPLISTVSSINSIPEILENDDEIEEFKGFFVNPVSSSISINNAKVETRLLPEVAVISTCHTHETYVVVLKIKAPPPRVNGVAQARRAPIDLVSVLDIGGSMTRTKLDMMKRAMHLVVSSLGSADRLSIIAFSATAKRLMPLKGMTSQGQRSARRVIDRLDLHSGSCAGDALRQATKILEDRRERNPVASVMLLSDGQDDQPRQDNNSNNRPVANHGSSTRFAHVEIPVHSAGYSHEPAEDAFAKCVGGLLSVVVQDLRVRVGFASGSDPAEICAVYPCNRPSVFTSGLIRIGDLYAEEERELLLELRVPITSNEGHHVLSVCCSYKDPATQEIIHGTDQPLLVPKPQAFRSSIPKIQRLRNFFITTRAIAESRRLIELNEMSSAVQLLASSRELLVQSGCLGEEYVPKLEAELSEIRWRKEVEEEVMQRRQRMGEEKEDRLPKVSCAKKSTKRVSDLHGFENARF >KZM92868 pep chromosome:ASM162521v1:5:389936:392026:-1 gene:DCAR_016113 transcript:KZM92868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDVAMTPAGEGSTGAGPSSSSKKPKRFEIKKWNAVSLWAWGIECQANQASATSEECTVAWVALRFVLV >KZM92975 pep chromosome:ASM162521v1:5:1322951:1325495:1 gene:DCAR_016220 transcript:KZM92975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGKLEDSSAYYQPLPQSTNPNPNLQNYVVLPIYIPVPHRLNYRRIFTSAAALLLISAAVYVLWPSDPDLSVVRLRLSEFNAHAFPRVSLDIALDLTVKVRNRDVYSLVYDSLLVSIMYRGSQLGFVESEGGDIRARGASYVNATLRFDGIEVLSDAILLIEDMARGVIPIDTVTEVEGRLGFFFFELPLKTKVSCEVDINTTDHTIDRQNCYPQVRHFLSYCRRFAS >KZM92828 pep chromosome:ASM162521v1:5:52352:56346:-1 gene:DCAR_016073 transcript:KZM92828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDDGDDLPIGVSCCFMIISLVYFVIKENNEESAPRITCLIERIVFSKKLVQTYSVFPNKNEISDVVVQPYNSLLTLKRLTLNADCVVLLDNTALNRIAVEHLHIPNPSVSQTNSLVSTVMSASTTTLRYPGYMNGDLVGLLASLIPTPRCHFLMTGYTPLTVERQANMIRKTTVLDIKNIMVSPNAWTKEASQAKYISILNIIQGEVDPTQVALSRKSPYVQTAHRVSGLMLASYTGIRHLFRKCLSQYSLLRKRQAFLDKYRSFPLFDVSCYCRILMNLEIIESLVDEYKACESPDYIKWGMEDPDHILTREGNATGSVAPNLAI >KZM95403 pep chromosome:ASM162521v1:5:31841849:31844028:1 gene:DCAR_018645 transcript:KZM95403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKQGITRASTFKEDLNNPPLYRDTISNATTSSSPSPFVSPRAIRSASRLGSSHHSRSSSLPGLGTYDFTSLKTTNDQDVLWGVLTRNARSFLDENNMSDQFGRRNQKPYTPIYTTNDQFYHPHKPVESSRPMDNTALRKGLDALTSTTGNAVEERRSMVKNKTSDILPDTRKLQIRTGTNQDKSSPAPGMALPEPPNSAKPRMQSNPQTQLKASRDVALATTAKAKLLLRELKTAKADLADAKQRCSQLEEENKILREAYGKDDHPADDEMMVSTFQSQIRVQLETLLSEKARLVHENSAFARENHHLREIVEYHQLTMQDLEYLDEEIDEVTESYPLPDVSRIFSVPPSSNGSPAPEKSSSRSSAVVEEDLLAPPPVQTKSS >KZM95457 pep chromosome:ASM162521v1:5:32286515:32296742:1 gene:DCAR_018699 transcript:KZM95457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVKSSNYSGKDSGRKNKASVEKKLITVLNEVSKENIEDKYMVDKELGRGEFGVTYLCIDKSSREMLACKSISKRKLRTEVDVDDVRREVQIMKHLPQNSSIVTLREACEDESAVHIVMELCEGGELFDRIVARGHYTERAAAAVRIIIAESEQGVAQAIIRGQIDFKREPWPSISESAKSLVRQMLEPDPKIRLTAKQVLEHPWILNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIAEFLSNEEVGDIKELFTKIDTDNDGIVSVEELKAGLQKFGSQLAEADIQLLIEAVDINGKGTLDYGEFIAVSLHLQRMANDEHLHKAFSSFDKDGNGYIEPDELRDALMEDGDDDCTNIANDIFQEVDTDKDGKINYEEFAAMMKTGTDWRKASRQYSRGRFNSLSVKLLKNASVNLGSE >KZM93031 pep chromosome:ASM162521v1:5:1857434:1861086:-1 gene:DCAR_016276 transcript:KZM93031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTQEAEVLLSKRVQEMVLDGEEPPALYICRHGEDTDDYSTVSQIPVIDLSLVSPSAASTDISIEELQKLRSALSSWGCFQAIGHGISSSFLHEMRKVAKEFFKQPVAEKKKIAKTVEEFEGYGADPVPEEGQPLDWSDRLVLEVHPPDNKNYNLWPEKPESFREILEDYTKKIKMVAEVTSEVFAKSLNLDHNCFLDELGDQATITARFNYYSCCQRPDLVLGLKPHSDVSAFTMILQDESGLQIRKDDKWFTVPKNPDALIFILGDYMEIMTNGIFKSPVHRVLSNLQTERISIAMFYNPPEDIEIGPRDDLVNEENPRYLGQSMSSTTRHDDHITAVSIEVRNFVRVLNHTELYPEIMARAEEAEVLLSKRVQEMVLDGEEPPALYICRDGEDTDDHTGNSTVSPIPVIDLSLVLPSAAPTDISIQELQKLRSALSSWGCFQAVGHGISSSVLDEIKKVAKEFFEQPLAEKKKVAKTVKEFEGYGADPVPEAGQPLDWSDRLVLELYPQDKRNYNLWPEKPESLRDTLEEYAKEIKMLTEVMSKVFAKSLNVDQNCFHELGDQATLTARFNYYSCCQRPDLVLGLKPHTDVTAFSMILQDEPGLQIRKDGKWFTVPKLPDALIFILGDYMEIMTNGIFKSAMHRVLSNSQTERFSVAMFYNPPEELEIGPRDDFVTEENPRLFKNVKDYVTTYWQFYERGQRAIHTAQV >KZM95898 pep chromosome:ASM162521v1:5:36587445:36589147:1 gene:DCAR_019140 transcript:KZM95898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSSSQAGGSSESREHDRIFPIANISRIMKKGMPANGKISKDAKDTVEECVSEASEKCQKEKRKTIDGDDLLGAMANLGFEDYVGPLKNYLSCYRESMFLYWLTFYHHEFSLTPCISSYVLVWFMVGEIANRWRVILRDLLGEGRDQLRRIRTLDLISLQISSMLKAWAT >KZM96065 pep chromosome:ASM162521v1:5:37846300:37850471:-1 gene:DCAR_019307 transcript:KZM96065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVEIGVPIGPNYSYSAERDRVRVRRKTLDAVLEQCQRALQFLSDTGYVDDDDDDDVCDGAGEVGCGRAESSSGDAPCQDRETDELCSLLKSRVESPDFLEKLESAQVSVPQNTPEEGSTWDMINEKDVWESGYINSEEEDYVLVRQEDIVDGIACFMAAYLLSLKQTKDLTPNQLQDALSKTFSLKKKKGKLRKAWDGSKVIYNVASWGATAIGSEKSSKQKIYLCFLCQLRFYCAVCNLAKFGIVNVDIPKSSSSQGGFSSLLDLM >KZM94640 pep chromosome:ASM162521v1:5:24527468:24529081:1 gene:DCAR_017883 transcript:KZM94640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHVPLLLLPDLKLHVSADNTIQHLLSDSMTPDLVTHSDVVGINPQQSADAASALGWPQVVCRERRFKIFDLEVGALSGISNSEVPVVQAVYASMKGLIKMHNPSVVITINDIDLNVMKALKMATETNTNGSVLILLPRSSVSKALWMADLRATALPKKFKPKPASLAASRKQLLLPKAPPAASKQECGGSSSALKPQIDDSYIAFLEDI >KZM96009 pep chromosome:ASM162521v1:5:37395900:37397871:1 gene:DCAR_019251 transcript:KZM96009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSVVLLAFLAFLHATSDCLLVFITLSICIAGAFSTSPVIAQVFVSLTFQKTRYKATTAYFPLRIGLLGPKRENHEHDNAKKSQASVIRASHG >KZM96466 pep chromosome:ASM162521v1:5:41160673:41169845:-1 gene:DCAR_019708 transcript:KZM96466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPGTKRAKPRPTTQPPEAASPHTPHHIANRPSTGTPAPWASRLSVLARISPGKKSDKDDEDPNKPVYVGEFPEVVRNEQANLMHKQLHGDAFNSGGIDKATSLAWIICGSKIFIWEYLASAKSRKCVVLDIPSTVSDIRGSSINSRLGNNWSLCIIIRDSMHKSRSKSLKQYSSVGVILCHLKSRAVVYWANIYSEGTTSPVTSIDNTEANFSPGQQKTGSNYYNSFIASAATYPSNVCLALACSSSGDICQFICSPNGIERKVAIHNVLGLSLQGSDINQVNRSKGYPRSLTWHFANHSLEETERQFLLLTDHELQCFTVNLNNFYEVSKRWSHEIISPDGDLGIKKGLAGQKRIWPLDLQLDNSGKIITVLIATFCKDRVTSSSFIEYSLLTMQYKSGPRISSESTEQTDENVLEKKAPLQVIIPKARVEDEDILFLMRLKVGGKPSGSAIILSGDGTSTVSHYWRDSTRLYQFDLPYDAGKVLDASVLPSDDGDDGPWVVLTQKAGLWAIPEKAVLLGGVEPPERSLSRKGSSNEGSAQVERRNFPFSGNIVPRRATSEAWDAGDKQKAVFTGITHRTAQDEESEALLNVLFNDFLLSGQVTGAFDKLNSSRAFERDGETSVFTRLSKSIVDTLAKHWTTTRGAEFVALSVVSNQLIDKQQKHQKFLQFLALSKCHEELCSKQRQSLQFIMEHGEKLSAVIHLKELQNNISHRSSGLGGTYSTMENKVSGPLWDLIQLVGEKLRRNTVLLMDRDNAEVFYSKVSELEEVYSCLDRHLEFIITEEMQLPVQFERACELSNACVLLVRTALNYRNEYHMWYPSPEGLTPWYCRTVVRSGLWSIASFLLQISNESNRLDRPKRLEFYSNLEVLAEVLLEAYSGAITAKIDLKEDNLGLLNEYWSRRDTLFSSLYRQAKSFVEPSYQDLSEGDEEQGEEIFRQLSSRLLSIANRHEGYQTLWTICCDLNDSDLLRSYMHESMGPKGGFSYYVFEQLYNNKQFSKLIKLGEEFPEELLTFLKLHNDLLWLHQLFVHQFSAASETLHKLAFSQNAGFSPESEVLESHHPVIEPTLADRKRFLNLSKIAALAGKSADYDTKLKRIEADLKLLKLQEEILKLLPENEETRKIGERLLPSLELIQLCLGSQHRELSIWAFDVFAWSTSFFIKSNASLLEECWRNAANEDEWGEIYQTSMSEGWSEEETHEYLKETILFQASRRCYGPNAATFEGGFEEVLPLRQENTDSFSLKETGSVEAILMQHKDFPEAGKLMLTAIMLGTFHADLTVEGSPSPMEG >KZM95247 pep chromosome:ASM162521v1:5:30521993:30525354:-1 gene:DCAR_018489 transcript:KZM95247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLKMASSSLTERFKSGTAKMKEILQAPTAESKLVDDATADSLSDPNWGLNLRLCSMINSEQVSGTEIVKAIKKKLGSKNVGTQRLCLELLETLTSNCEKVFSEVASEKVLEEMVRVIEDPKADEEVARRGFEMVRAWGQNDDLMYLPVFHQTYMALKAREILSGGAQAGDIQPEQYTLESYVGNEQITLPPGEYPIPDANDQTSQYNFGSLSLEDNKEFLVVTRNSLDLLSSILDSGTEPALVKEDLTVSMLEKCKQSLPVVQRIAESTNDDESMLFEALNLHDELRQVISRCDELEASLVSGGQLTKVSESTAEVDSPVDASSLGEVNRETSLNGDTMKATSDGTQAESSMHTKTDHLNANPTKVDAAVHVSSQSGESKEEAPPQKPETTEPISDLKKPSSPQAGKLEN >KZM96317 pep chromosome:ASM162521v1:5:40078723:40081173:1 gene:DCAR_019559 transcript:KZM96317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSATFVNNYENGHCVQHPSSRTSGVPVAPSNTMTTRATGRNHGNRKRSRGSTSLAREEGQTSSSEPNAIPTRSRRTTPRTVIEDYITPAEGAILHSPLVRGTSDVPFPPSGGHQSRSGRKASLSRTYEGSRSSSATGDEVPGISSETADREDAGVSEDDLTPAERAISATRLLSGLGKHPSVYARAGRFPENGGTLDNFDLTASRCQLDYVDDESKVTWQPYLDSEKYSSVDIKTSIDLAKSRVPFRSFATWEYYLGERCGRQLGLPCLVPSDPPKKLYRDFTKKSPSGKVKENKEPRTIENAAETLVESENLEYASWFAENSIGKIVDVTRLIGGTAIGRKVISDWMAKHRTGIVLVPKSEVEEITLACDAADAERKKLQEELSQLRRG >KZM96507 pep chromosome:ASM162521v1:5:41494595:41495029:-1 gene:DCAR_019749 transcript:KZM96507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVFMQPLLSSFTTKGGSGERRLYTASTTARCNRLKAMQLERPLEELYHVRVEKNVSSKRLAELGVSRWSTWKTGKCKLPWDWHVDQLVYIEEGEVRVVPEGSKRYMSFVAGDLVRYPKWFEADLFFNDFYQERYRFLAYGEG >KZM93941 pep chromosome:ASM162521v1:5:12549833:12553892:-1 gene:DCAR_017186 transcript:KZM93941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTGSALVVNEKRTQRTGGCVGIFFQLFDWRKRFAKKKFPPNKLLSLDSAQHGSKKFGGDDKLPKLRLMSNEKNGTRNVDTGQKYAMRTPTLVARLMGLDSLPAVQRGKIKKISSDRIEVDTGGKFASDCCQFGGQHKKFKPEGSKHELKPHKLQKTGFSERRAVARFGAERLQFKNVLSRSNKHHHPNFVPSVKSPTRLSKRNAARLIGAATRILEPGLQARNRAKSGLPYSSTTDGLLVEAGTGLSKDQVESATYYENVARFSRGKSSCENCGNIVDIPESGTYMEEQPSCQPSILVNGGCPLSGREICTQRLPLSYLGTEKGIENPKKITAFSAQSPGNVKPGAEDNFKRETLHRGSQIRWQPNQRYRKEEIPSSSICYKQKFCVRNLGVTGRDRTSPRCTDTVHLKKDISLNQSTIDHKCSRVPSKLDKCRYASKRRSTDRLYDPLSSARKRRSVDAARQDDIFSSFGSTIERKSKINCNVLSQTMVSGDIQSAKLACISSNLVSLEKINKTSDTNAGVLPFTFNSKKLKDRTLVKTNDGGFPSECTSSCSKNSSVLDKININEQVLFQNSSPFTVDTLGVLEQKLKEIRSLNDNEMALGGAPLRQTPAIILQELISALTAEGSFNVNNVISMPTETGISSCCEHTISAHPHLQAQQRKEAVQGRHLNVSTSNYMNPSCVLEASISNGSFLSNSLDEGLVHKPQTDFKYLFHNEQVVESGADLLHGTTSTSIGRPDTELMANFVNSISDVLCSMDLVDSRLKGTKLAHAEEVMLNAELAFGNPFPHNSNETKGFSICRFIVNELETLGSVLWTNFGCFSESEDTEGNLLKGFLFDCVIEYLDSRYISSIQQRINSLTNLPIPMNTEMLIREVVEEIRRWTSWSGSVLDEQIEREMRSWTDFDVEAFETSVAIDGDILHSLVDEIVLDLWHIKLTSCI >KZM93961 pep chromosome:ASM162521v1:5:12893707:12893880:1 gene:DCAR_017206 transcript:KZM93961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCVVEESCAQFCAVFVPSKSSCDDLRRPIGAFRQDGKDILFFSTSHHHMDTKWAS >KZM95508 pep chromosome:ASM162521v1:5:32802126:32806158:1 gene:DCAR_018750 transcript:KZM95508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAARVDLDGKPITGITICMIGAGGFIGSHLCEKLMSETSHKVLAVDVYNDKIKHLLEPDSLPWNGRIQFHRINIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSYLPKDSPLRQDPAYYILKEDESPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVMLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTKVYSKVSGEPSIDSPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKQAIAKPIAS >KZM94215 pep chromosome:ASM162521v1:5:19390881:19394501:-1 gene:DCAR_017458 transcript:KZM94215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMNNKIHAFIPGQNVDKFEEKIIVPNLFIVSDFEVQAYKADDKFRCLHNTKQLIFDGETKMKDIEDDNSIAKEEVFDFYDHADLKNIADKNLHLTDIVGIIQDYDKLHPLKNRFGIDQVQMNFSITDGSSNVKVTFWDRMAEILNDEMAKETETPVIIIITSCKVGLWNGAVQLSNTAASKFYLNSSDPSVRELRKILKKPGTVLRTMGKPKRKIPELHSIDSIHTLGKEYIETEVITHVKFVAVDESVPWYRNVCTTCWNEVHINNDQFLCSLCNRIIPHADKKFQLAVMACDNSGELQILLKDRQVRTIIRKRVFDIDQPTTTFPQILKDLLNQNYTVKILISDVNVLKDVKLYLATNICKGFHDLAVHESETKQADHAQGGRIQAYIPRQIRHQFEDHIIEGETYDVNNFVVRRYSDMQFGRCFASDIYIQLNHMTEVLLTGDVDYIPPHVFQFTDLSALMEAASENKFLIDVVGILEHHDPISTFRNRYNQQKSCFRFTINDMHTSAEVLFYDEMAEEFDQAIHDAVQHPIIVIISSCQAQFFRDAPKLSNLPPTRFFINPNHGAVEDLRDALRLVT >KZM95312 pep chromosome:ASM162521v1:5:31075422:31078025:-1 gene:DCAR_018554 transcript:KZM95312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILSEYTEFTGNFTSIASQCLQKLPSTNNKFTYTCDGHTFNYLVEDGFTFCVVAVESAGRQLPMAFLERIKEDFTKRYGGGKAATAVANSLNKEFGPKQKEQMQYCVDHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTQMRRKMWLQNMKIKLIVLGIIIALILIIVLSVCSNHNCSK >KZM93109 pep chromosome:ASM162521v1:5:2480293:2494487:-1 gene:DCAR_016354 transcript:KZM93109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIRNGSPAHSRQWSGGSSSTGSLSPARSPAHPQSRLSPAAGMSSIKRTQNFAAKAAAQRLAQVMASQTTDDDEEEDDLGFRFGAPSFSSSNLKPSNSSNGNSASGFSLAKPNRAPSPALGRNFMDHAPSVRSTSTGRPASVRSAQVVKPSRTSIRTPVAVPPIDPPSNRLRDNRFTADRGQVELKDTGNQREASALRDELDMLQEENEIVIDKLRRAEQKREEAEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGREDEIAALRAEIENVKDETANTVEQLREAESEAKALRTMTQRMILTHEEMEEVVLKRCWLSRYWGLALNYGICADIAVSKHEHWSSFAPLPFEVIISAGQKAKEESWDGGSDSERTNLVRDFSDLSGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRPNLIRQSSDLKSPSDPKFTEAYELSKEETEDVLFKEAWLTYFWRRAKVHGVEEDIAEDRLQFWISRSGQSPTSHDAVDVERGLTELRKLSIEQQLWEASRREFDQQASLNGNSKVPLEPEESSL >KZM94181 pep chromosome:ASM162521v1:5:17975521:17976456:-1 gene:DCAR_017426 transcript:KZM94181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNAENLIADEFSAEIADGVAYVSGYDDESRPVLVGSTGGVYTGGCNTNHGKKCGAICRS >KZM94880 pep chromosome:ASM162521v1:5:26702850:26703631:-1 gene:DCAR_018122 transcript:KZM94880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWSAENATKAYLRTIKMGNTDKEPNVAEFISALSAGNNAQLMVVACATAAGSPALGLVAAADQTGGRVICIVRDEEELHTSISTLGWNASRVEFVVGEPQTLLSSEYRNADLVVIDCKLENREEIFKAVQISAARAKTNTTVLGYNAFCKDSWQWSGSKTQFLPIGDGLLMTTIAAKVEPSGGENGGLGKRSRWIVKVDKCTGEEHVFRVRSSHGRAIIKA >KZM96429 pep chromosome:ASM162521v1:5:40901044:40905408:1 gene:DCAR_019671 transcript:KZM96429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRANPTGLRVSPLHLKPSLHKSAALIRFPRSIGYISGGIYYSSKLPMRSSVRAQQELKDGAEVVSGGSDSEGEEIKVEWDGAKYQDEIAEAQGIRIRRRPSTGPPQHAVGPFEFRLQNAGNTPRNILEEIIWNTDVEVSQMKEKKPLGAIAKTLQIAPPARDFVGALKSSYLRTGFPALIAEVKKASPSRGILREDFDPVQIAKSYEKGGAACLSVLTDKKYFQGGFENLEAIRNAGVKCPLLCKDFIIDAWQLYYARSKGADAVLLIAGVLPDLDIKYMSKICKKLGLAALVEVHNEREIDRVLELDGIELIGINNRDLETFKVDTSNTKKLLEGARGQKIREKDIIVVGESGIFTPDDIAYVQEAGVKAVLVGESLVKQDDPAKGITALFGKDIAS >KZM95593 pep chromosome:ASM162521v1:5:33694941:33699582:-1 gene:DCAR_018835 transcript:KZM95593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQVNESTPEYEDNWKFHAVEFAKGLVEMSVEFGKAVRDVIKQNVIRENSLIVRKLGPPVDKICTKLMFLNEYLPEDRHPMHSWSVIFFVFFFAFAGALEVYADGRRIAYLEQGVPAEMARFSLIAPHAFLSSRLAGIPGLKVSLMQEFGVRLTTYDIPGFGESDPHPNRNLKSSADDMLHLSYAVGVTDKFWVVAYSSGSMHAWAALKYIPDRLAGAFMVAPMINPYEPGMTKDERRRTWNKWTVKRKIMYILARKFPRILPYFYRRSFLSGNLGQIDKWLSLSLGKRNFGKGMWRNQFAKEIQKPYMEEAILQVSSWGFSLVDPKLKKKPKRKGILQWLKTTYGQAEDELTGFVGPIHIWQSGFYQEPWCISSLTMAISHTSTSAMNATDRYSILTIIWGSCFSLCWNTHNDSPQHLYTSACRRHQEDEEEVIVAEELSEVHQINMLLYKYKKPMSDGKPNWGCELMPKHSGKSWGCDFS >KZM93557 pep chromosome:ASM162521v1:5:7761991:7762452:1 gene:DCAR_016802 transcript:KZM93557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRSWRESIENLKSVILIRGARDVSDLTAWIVAGTLVYYLDIVPAQERKQQLKEKAARDACDPNRYIEKCKPVPDPQVTGLIYGNKTRVRSTNKPKNK >KZM95546 pep chromosome:ASM162521v1:5:33157951:33160101:-1 gene:DCAR_018788 transcript:KZM95546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHVGKLYFSGAVISTSITNVTGFSFIYGMAGALETLCGQAYGAKQHKKLSIYTYGAIISLLLLCIPIAILWIFMEKFLILIQQDPEISHVAGKFTIWMIPALFSHAILQPLIRYYQSQYLTLPLLAASVATLAFHIPMCWAFVFKFNMGSDGAALAISLSYWLNTIFLGLYAMYSPKCADTRAPVSMEVFSTIKDFLRLGVPSALMACLEWWAYEIIILLAGIMRNPQLETSVLVTVAILHYLVPYSLGVAASVRISNELGAGNSKAARRTVWVVLVLGVTEVSVSATVLFSLRYVLGRAFVSDKQIVDYVRRMTPFICLTIILDNFQSILSGVARGTGWQTLGACVNLGSYYVVGTPVAILLGFQAHLKAKGLWIGIVSGALVQGILLAIITCFTDWKKEVENTRERVVHLKINADERGKVLPVGSLQVEEMTSS >KZM93845 pep chromosome:ASM162521v1:5:11463362:11463724:1 gene:DCAR_017090 transcript:KZM93845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAVCGTVGSGKSSLLSRILGEVPKISGVIKMSGTKAYVAQTPWIQSGTIVENILFGKEMDRVWYEKILEACCLKLDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDFRCSER >KZM94984 pep chromosome:ASM162521v1:5:27660172:27664391:1 gene:DCAR_018226 transcript:KZM94984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLGLEAALTAHLVAIPFPGRGHINPMINFCKMLATKQPHFLITLIVTEEWLGFLSSETKPPDNIQFQTIPNVIPSELVRAADHPGFIKATSTEMKAPVELVIEGLQPKPVVILYDPFLTWGLSIQGNIPTAAFWPMSATAFSMVLHYHLLCQNRHLPLLNLSEQGETQIDYIPGVPSTRILDLPTPFYGKGQQVLYKCLEAVSCAQKSNHLLFSSISDLEEQVINSLKSQLSLPIYNIGPAIPYFQIKSTTCNNQGVPGYIKWLDNQAENSVLYISQGSFLSLSDDQVKEIVAGIHDAGVHYLWVTRIEASKINGGNSDRGMVVPWCDQLRVLSHPSVGGFWTHCGWNSTKEGVFAGVPMITSPLAWDQVPNSKMIVDDWGAGWRVKRETGVETLMKRDEITMLVERFMDLESVEGKELRKRVKQLKENSQKATAQAMGSILDLEQALTAHLVAIPFPARGHINPMINFCKLLATKQPDILITFIVTEEWLGFLSSGTKPPDNMQFQTIPNVIPSELVRATDYSGFLKATFTEMKTAVEQVIEGLQPKPVVILYDAILNWALSIQGNIPTAAFWAMSATVFSMYLHYNLLCQNGHLPVLNLSEQGDNLIDYIPGVPSTRILDLPTSFYVKDHEEVLYKCVEAATCAQKSNYLVFALISELEQQVIDSLRSKLSVPIYTIGPAIPYFQIKHNFHNDQGAPGYIKWLDNQAEKSVLYISQGSFLSVYDDQLKEIIAGIHEAGVHYLWVTRVEASKINSGNSDRGMVVPWCDQLRVLCHPSVGGFWTHGGWNSTKEGVFAGVPMITSPLRWDQVPNSKMIVDGWGIGWRVKNDTGLETVITSNEIAEVLKRFMDLESAEGKELRTRVKALKEISQEAVAEGGSADSAIYAFLRDITKSQSH >KZM95950 pep chromosome:ASM162521v1:5:36995808:36996317:-1 gene:DCAR_019192 transcript:KZM95950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTLITPTTSTPKTLLPPIRSKLTITASAPATTPLQSRRMFVSLAAGIVLSPILPASPTWAVSDDEYVKDAGEVINKIRNTISKDRNDPDVADAVAQLRETSNSWVAKYRKEKALLGRSSFRDIYSALNAVSGHYISFGPTAPIPAKRKVRILEEMETAEKALQRGR >KZM94861 pep chromosome:ASM162521v1:5:26539467:26539830:1 gene:DCAR_018103 transcript:KZM94861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHVIFLKVDVDELEAVSKEYEVEAMPTFVLIKEGQILDRVDGAKKDELQITIIKHAGAWVGTSCKLGSNFHLAMDYTSFLVA >KZM96097 pep chromosome:ASM162521v1:5:38231951:38232415:-1 gene:DCAR_019339 transcript:KZM96097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQSPSRNQRSKEFKVKHALQICLLLAICIWLLYQVRQTCQRKLASEESFGTAKLSTDFGMLKLGRKGLDPQLQEIVTDIKGTATEDAEVEGKLRESKGDNIGVVNDEIDGQDQEKDDEEESEQLEDLIDEDDTEGKGSVEIDSDGEDVDLIR >KZM94815 pep chromosome:ASM162521v1:5:26196812:26197084:1 gene:DCAR_018057 transcript:KZM94815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSPSNPNQIIANKSVLEEKIKDLEEDNAILQENIRLMENQLAHHQTVIDLLKKHIEERSARGVSIQGEVESRKASDLIQAERKEDKN >KZM95140 pep chromosome:ASM162521v1:5:29080464:29082029:1 gene:DCAR_018382 transcript:KZM95140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLISHTLCNAHLLNLNITKTSFILHSHKCRYKRLIKLYASSSSSNEQQLNTNTVEELKKEASGGGKIQEDDESKGATQVLTSAIDKDLKKAVQKTAATFAPRASTASKNPAVPGSTLYTVFEVQAYISMLLGGALSFNLLFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLVPLLNVAIPFFLKSFAVVWSADTVAFFGMYAWKMGWLQKTEK >KZM95551 pep chromosome:ASM162521v1:5:33238326:33240830:1 gene:DCAR_018793 transcript:KZM95551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNNIPGGGSHVAQHRWRDKLRAQQQNSFDHNFEQLWLHQVHIPDPDDQFRNSKYGTLSPHDQTVFSSQTLNSATKCSQNDCFSNSMISSYLPHPDSSSNLNLLADNYTGNLNASFLADVTNDVCAYPRQPHCYSYQDVAHSSLGESSNNIITSPFDYQNTLVNVEELDMARYSAKDSNEVLLHFLPSFGESNSLSNTPVDQAFRQWNVESVANKSHDPQGLSLSLSVDILESSNLDETRCSKALKYSNYLCSTSKQSCAGSKGGFGVEYGRRNIHNVAGPHGPFTGYASILKDSKYLKPTLELLEDLCGSKQKISEIYPDKIDDEEEFLGSSASESSWPTYHKKMVNLLYLQQEVCRKYKQYQQQMQMVISSFESVEGVSSATPYISVAVKTISMNFRCLKDAISDQLKHTGKELGEALSSPTAGTSSHTILKQKTCASNHRVSRFEKQPVWKPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKHMLAIQTGLTRNQVSNWFINARVRIWKPMVEEIHSLETKALAEASSRMTRDKVREKMVSECASLQYNYDHPANRQSGMDAFTNNDRRSREDFWNEEKRSRTECHIPVSIDGSLVSLVPYQQEQDGQGNVSLTLGLRQNVQQQMHQHGQHL >KZM94928 pep chromosome:ASM162521v1:5:27108549:27110431:-1 gene:DCAR_018170 transcript:KZM94928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGSGSSIPQPSHAAVLRNTRTPLCSVDPNSLFTEPSHQHDPNKTFTGPLDHNAVPKKSAVEFASDSTHNSIAFQNQNDKENIPFSTPQQGSSGPIRKPRGPSVQTILDRKSDALSTSTKTPETKKRGRGPGVNKLFNSLQDKSGSSGGSHQETKKRVRGLGAKTLARQKLAQDAQDAIVQSASQNHYHQSSPDMLGSETPKSALTFQQFSSNGKNSTPEQHSSHTGPNRNGHTQKTSIPSSFLGEFGRDGMFLVAKNSWSSSTSEHGPSRISNRD >KZM96215 pep chromosome:ASM162521v1:5:39242336:39243229:-1 gene:DCAR_019457 transcript:KZM96215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKAASASPPSSSSSPTHEFSFTISLHQNSSIHDRVLNQSPPQFTSSAIDLSPADNIFFHGHLLPLHLLSQFPIISPQNSSTNSLDIFTLPIRDLLIEEEEETTLNETISSDDTENNSKLHENLHDNTIEAQSRVKPKSFSLFSLSKWRKGRQADREKDDQESRHDKKKLKFDLVNQVLKKYIKMVKPLLSFKSPAESRRSSSPIISKRFQNQPHSFSGSTSSRKEDQFRVRRRRRDFSAPASMRTSPANSGPLTPNGAFSPTSDSTMEELQAAIQAAITHCKNSIAMEEKVKWQN >KZM93120 pep chromosome:ASM162521v1:5:2587385:2588747:1 gene:DCAR_016365 transcript:KZM93120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFNFANPWLFAFGILGNLVSFLVYLAPIPTFYRIVKKKSTEGFHSIPYVIALYSATLMIYYALNKSDATLLITINSFGIVIETIYLSIFIAYASKKLRVSTIKLVVLLNIVSYVMIVAVTYFFIEKPKRVQVLGGINLLLSFIVFVAPLSIIKKVIQTRSVEFMPFGLSFFLSLSAVMWFFYGLLSKDVYVAVPNILGFLFGIVQMVLYAVYKNCDSKPVEELKLPEIVKGTPEIHPVDIPKGEENDTKKKDESGAKEKTTVDAADQV >KZM93010 pep chromosome:ASM162521v1:5:1666872:1667141:1 gene:DCAR_016255 transcript:KZM93010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLPQNIKAGEKKNFSVNNNISTASATDVAAAMKVDALPASRLLPERRLFEDINQSAEMFIKNFKQELRIQRLESIASYEEMLARGT >KZM95707 pep chromosome:ASM162521v1:5:34717409:34720173:-1 gene:DCAR_018949 transcript:KZM95707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAEVAPSDANLGRHLAQRLVQIGVEDIFTVPGDFNLTLLDHLIAEPKLKNIGCCNELNAGYAADGYARQRGVGACVVTFTVGGLSVINAIAGAYSENLPVVCIVGGPNSNDFGTNRILHHTIGIPDFGQELHCFRSVTCYQAVVANLEDAHEQVDRAIATCLKESKPVYISISCNLPGIPHSTFTRIPIPFAISPRCSNKAGLEAAVDAAAAFLNKSVKPVMVTCQDISTMIRNDQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGNCWTMRVKTEEELIQAIDTSLGDKKDCLCFIEVIVHKDDTSKELLEWGSRVASANSRPPNPQ >KZM93661 pep chromosome:ASM162521v1:5:9035839:9036084:1 gene:DCAR_016906 transcript:KZM93661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTREEEEDMKEAFSVFDQNGDGFITVDELKSVLASLGLKQGRTEEDCKTMIMKVDVDGDGRVNFNEFKAMMRGGGFAALN >KZM96473 pep chromosome:ASM162521v1:5:41219914:41220412:-1 gene:DCAR_019715 transcript:KZM96473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGTVFVRACALKLEGNWQKHGSYIELLESATFKVSGECQLKGGEDPYVGHFVVGLAKHHNMGKVKTELSPPDEENEEDFTNHLPGIMTPIALGLCDDEYVKFSLTSAEPYWKMQKGLIIYGVTFTPMEMV >KZM94900 pep chromosome:ASM162521v1:5:26862669:26866260:-1 gene:DCAR_018142 transcript:KZM94900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPMSEVVEPPKRCCLSNQIESLVLHSLYVVVAGYEVHIVYMGDLPEGDDSLQATHYNILHDILGSHSLAQEALVYSYQRSLNGFVAKLANAEVVKLRATKGVVSVFPNRKLQIQTTRSWDFLGVPRSQPIKPTDGSVIVGMLDTGIWPESKSFEDESFGAPPSKWKGTCQSNNFTCNNVQPVPYDQDSISIGSFHAMKNGILTSCAAGNSGPIRKQVSNYFPWALTVAASTIDRKFVTKVVLGDGQTFFGTSLNGFTPNATAFPLVYSGDANNVTFGAGPEQSKMCLWGTLSNKAEGGIVLCDYANDGGTARLAKAAGIIMPFSYLEIAFSFPAPAVFISHEDHDKLFDYIRTTEIPIATILHTEAFEDSMAPLVTKFSSRGPNPISPEILKPDITAPGANILAAWSPLGLFSVYRFDKRSVDYNIVSGTSMSCPHATGAAAYVKASHPDWSPAAIKSALMTTATIMDSRKNPDAEFAYGSGQIDPMKAVDPGLVFDASEADYINFLCGEGYNISTIRLISGDASNCSTASKTWDLNYPSFALSLLDGEEKVLELQQGQNYMSILEPLPMTRTTVRSKYSKYRA >KZM94483 pep chromosome:ASM162521v1:5:22938049:22940763:1 gene:DCAR_017726 transcript:KZM94483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPASPCVASPESGGDFRHESGGDQSPRSFREQDRFLPIANISRIMKKGLPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLARYREVKYSSLPNSVLNSL >KZM95929 pep chromosome:ASM162521v1:5:36853948:36859746:-1 gene:DCAR_019171 transcript:KZM95929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKATSKPTIIAKSDDESEAGNVDCLGVVLAVVQSSLGFSRAMASSKATSKPTIIAKSDDESEAGNVENDFESDSDSIDRLSVVQLRQKLRSVGVPVKGRKTELVDALRAYLSSNPGDDCKNMEPKSNSSKENLKRKVESSSVQIQAESVSSTVSKVAVAKRTKKGVNKAPDEETIAESKTKRVAKDKVTSIRSNKATVSKALCAKEDVPAEVDGESKMVDLIENQFEPWTVLAHKKPQKGWIPYNPRTMRKPRLTADTKHVKLMSWNVNGLRALLKLEGFSALQLAQREDFDVLCLQETKLQACCWSGYLIIEGISLAQNSIEEKDVEAIKCSLLEGYKDSFWTCSVSKLGYSGTAIISRSYRVTEWDTSLSNYMKELEKMKPVILTGDLNCAHEEIDIYNPAGNKRSAGFTIEERQSFETNYLKNGFVDTFRNQHPGVVGYTYWNYRHNARKTNKGWRLDYFLVSESISDKVYDSYILPDVGGSDHCPIGLILTL >KZM93781 pep chromosome:ASM162521v1:5:10672662:10675210:1 gene:DCAR_017026 transcript:KZM93781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSSSSTSSDSLNGLKFGQKIYFEDVGGAGGGGGNNSNKSGGSGSVSCSSAGDVAPASPAKKGRFAAAGGQQPPRCQVEGCKVDLSDAKGYYARHKVCVMHSKSSKVVVSGNEQRFCQQCSRFHNLPEFDQGKRSCRRRLAGHNERRRKPPPGSLLSTRYGENSSGTGSFVMDFTSYPRATWRDQWTPTRAFEQVAGNQNHEFGRFLPWQSNSESPQPEIQGSTNNNPSHGTNLSSGGFFTGASDSSCALSLLSRQPCNLKGQSSNLGSNYELDTDNCHMGSPTAVHGTNISHLPSGSWDYKGNESGTSTLHMLSDSSLGQLTQPGNRVYAGELQVNQQSGRPSTSLEPSRAYYSSAHNMHWST >KZM94507 pep chromosome:ASM162521v1:5:23106152:23109156:-1 gene:DCAR_017750 transcript:KZM94507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGGDRYGTTTRLYVGHLSSRTRSRDLEHIFSRYGRVRDVDMKHDYAFVEFSDPRDADDARYSLNGRDIDGSRLIVEFAKGVPRGPGGTREYMGRGPPPGSGRCFNCGLDGHWARDCKSGDWKNKCYRCGERGHIERNCTNSPKKLSRRGRSYSRSPVRSRSRSPRRGRSRSRSFSRSRSYSRSRSPQKRERKTDRVKGSRSPRYSSRSPDIKNSPPPKARKRSPTPEDASPFGRGSLSPGMDKIATEQDGSDYGESPRARTPRSASPVSPIRGSPVANRDESPLEANGGANGMSRSPSPREDRSPMDDVDDEKPQSFRGGSESS >KZM95651 pep chromosome:ASM162521v1:5:34163699:34164563:-1 gene:DCAR_018893 transcript:KZM95651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGKAKKGFGGRKGGGPRKKAVTRSVRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAVRNDEELGKLLAGVTFAHGGVLPNINPVLLPKKTAEKAPKEPKSPAKAGKSPKKA >KZM94875 pep chromosome:ASM162521v1:5:26665655:26668317:-1 gene:DCAR_018117 transcript:KZM94875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYTYVSELWRKKQSDVMRFVQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQILVVSKIADNIPMQGYVVYRVRVKRGGRKRPVSKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLAGLKVLNSYWVNEDSTYKYFEVILVDAAHTTIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHRNHKARPSRRATWKRNNTLSLRRYR >KZM93531 pep chromosome:ASM162521v1:5:7524777:7527351:1 gene:DCAR_016776 transcript:KZM93531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEKDRPSSTHDSAHWTTNAGAPVWNNNNSLTVGAKGPILLEDYHLVEKIANFDRERIPERAVHARGASAKGYFEVTHDVTDLTCADFLREKGVQTPIIVRFSTVVHERGSPESLRDPRGFAVKFYTREGNFDMVGNNMPVFFVRDGIKFPDMVHAFKPNPKTNQQEKWRIMDFFSHVPESLHMFTFLLDDVGIPQDYRHMEGFGVNTFSLFNKAGDAHLVKFHWKPKCGVKCLLPEEAIKVGGTCHSHATKDLYDSIEAGEFPEWELLMQVMDPEQEDKLDFDPLDVTKTWPEDKFPLKPVGRMVLNKNIDNFFAENEQLAFCPAIVVPGIYYSDDKLLQTRIFSYPDAQRYRLGPNYLQLPVNAPRNAHHNNHHDGKMNFMHRNEEVNYFPSKFDPVQHADKYPMPKSVVKGIREKCVIEKENNFSQAGERYRSFAQDRKERFINMVVDFLSAPRVTDEIRKIWISNWSQADESLGKEVASRLKMSS >KZM96503 pep chromosome:ASM162521v1:5:41467264:41474210:1 gene:DCAR_019745 transcript:KZM96503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKQLPHCLVFTISLLLVIIWTKAQAPDYADALTKSLLYFEAQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYHDAGDHVKFGLPMAFTVTMLSWGVIEYGEEIARAREYEHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAHKIDEKNPGSDLAGETAAAMAAASIVFKETNPHYSHLLLHHAQQLFEFGDKFRGQYDSSVGVVKSYYASVSGYKDELLWAALWLYKATDNEVYLDYVINNAGNFGGIGWSISEFSWDVKYAGIQIIAAMLLPEVKHKKQKEIIEQYRSKAEFYICSCMSKNNGTNIERTPGGLLFIRQWNNMQYVSSAAFLLTVYSDFLSNTNQNLSCHGITVTPEELFALAKSQVDYILGSNPKNMSYLVGYGSRYPTKVLVESSGDKDGSVLVDYQGNPADNSRTGGWLAAGLILGTELSERICVMGISMNMVTYLVGDMHLSSSKSANIVTNFMGTLNILGLLGGFLADAKLGRYLTVATFASITALGVTLLTISTSISGLRPPPCDDSRKNTCVQANGGQLAMLYLSLYIIALGGGGIKSNVSGFGSDQFDASNPKEQKAMVYFFNRFYFCISLGSLFSVTILVYIQDNVGRGWGYGISAGTMIIAVAVFLAGTKLYRFRKPQGSPLTTIWRVVFLAWKKRSQSFPSHDSFLNEYNTSEVAHTQRLRCLDRAAILDDQVVSSGKANNPWLVSTVMEVEEVKMVLQLIPIWSTCILFWTIYSQMNTFTIEQATFMNRNIGSFEVPAGSFSVFLFLAILLFTSLNEKLFVPMARRITHKPQGLTSLQLAGMIASAIVEKRRRQMAVEENTQISAFWLVPQFCIVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTLAMGFFVSSLLVTLVVAATGKSWIRNNLNKGKLDNFYWLLAVLGVINFLVFLAFARNHQYKVQKSQVLADDQEKELTHWQGEEIVDIEKKAVVEAKEVA >KZM93706 pep chromosome:ASM162521v1:5:9442380:9448999:1 gene:DCAR_016951 transcript:KZM93706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSMNENLLRKVQTATDVEEKVTLKDRIWIETKKMWIVAGPAIFTRFSTAGVTVISLAFIGHIGSTELAAYALVSTVLLRFANGILVGMASALETLCGQSYGANQYDMLGVYLQRSWLILFLCSVALLPVFIFTTPILIALGQDEIIAEVAGTISLWLIPVIFSYAVSYTCQMFLQAQSKNMIIAYLALLSLATHVFLSWFLIVKYNFGLSGAMISTILAFWIPNIGQLVYLFGGWCPDTWKGFSMLAFKDLWPIIKLSLSSGVMLCLELWYSTILILLTGNMKNAEVAIDALSICLNINGWEMMIALGFLAAASVRVSNELGRGSSEAAKFAIWQIVLTSSAIGFLLFLFFLFFRGRLAYIFTESIDVAAAVADLSPLLACSILLNSIQPVLSGVAVGAGWQSTVAYVNIACYYLIGIPVGVVLGYVLDLQVKGVWIGMLFGTLIQTIALMIITCKTDWEKQVKRLSMSHSVYAVPVLQLSHPTGSFNIRSKLTCFHRKFSSKVSSNVPSTSFISSSHQPDYPASLSPPASQSVAAIVFGDASHSRLYPLTKRRSDGAIPIAGNYRLIDGVVSNCINSNITKIYALTQKNSTSLNSHISRAYSGACLANEGFVEMIAAYQSADDKDWFQGTADAVRRCLWVLEEYPVAEFLLLPGHHLYKMDYQKLIEAHRDNNADVTLAVSSSMRCRDPSYVNVRVGKENQVVEFIKCQGKPPIHSKLEESIMVIDDAHDTFPSMGIYVINRVVMIKLLREYFPKSNHFRSDVIPGAIALGLKVQAYKFDGYWEDMRSIESFYHANMESTKMTDMPYNFYDRDFPLYTLPRHLPPSIITDAAIKNSIIGDGCILSNCRIKNSILGMRTRVGDKAIIEDSVVMGSDIYQSVTDDREGTATSIPIGIGEGSHIRKAIVDKNSRIGKNVMIVNKDNVEEGGSEAKGYIITAGIVVILKGAVIEDGTVL >KZM94221 pep chromosome:ASM162521v1:5:19499433:19499915:1 gene:DCAR_017464 transcript:KZM94221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISKLSVALVCAFLIIHSSLAQTSPQDFVDAHNEVRSSEGVGPIAWDETVANYARNYANLRSQDCLMKHSDTPYGENLFEGGGDATAKQIVDYWASEKQYWDNNTKTCAPGEQCGHYLQVVDPGSVQLGCARVKCKNDDWYWFVTCNYNGPGSARGHLY >KZM94119 pep chromosome:ASM162521v1:5:16028113:16039456:1 gene:DCAR_017364 transcript:KZM94119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSIEVFRLKWKILVDVPENVSFFSLKFLQFTGVKFASYESVEKLLRCCPVLEDLVIENCKWLSGCCLSVCGSALKNFSLDSYSYLDTDVELTILIDTPALETLDIRELTSEGIFIKENLFSITTASIDVAQKVERSVPSSVYGDSVFGLLKKISHVKYLTLCQSTLGALSYASDFSFPTFHDLNQLELIVDAWSGWTLLPSILGSAPNLETLVFPQGLVDKFSSQSQFFRFSWSPPDRVPDCLSSKLKSIEIKNFQGINDEFSLVKYLLKHGRVLEMMSIDCSLLADDAKIQKKLYKFLDRSQPLQQCNISGNGGPKAYSFVRSNLSYLDYKLVGCYNVKVINLSLDFTDPYRLPTQVYQCETLEALTLNSNILIDVPEILTLPRLGVLELCGVVFSSSESGDKLLSNCPVLERLVIQDCSCLSGNRVNIVGSGLKTLRLQYSEFFDEEFELMFVIDAPAVVNLYINRSKGVEIKSRMPFLEMATIDDYVEQRELSTVRTDRLFGIVEMIPHVRRLTLCSDAVVALSGADKHTLPIFHNLTDLELSFNGEAVRAAWSFLPKVLASSLVLVKLVLPHAAMAILKATITIVNTRFRRSWFLS >KZM93327 pep chromosome:ASM162521v1:5:4805817:4808402:-1 gene:DCAR_016572 transcript:KZM93327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRHNRSSNGVVDASDPPGSNDLESRVVEDGGFRHGLNPLRFDSSTHALWAVAEATRTLDDFNPQQNTVTSLESDSSHLFEVWNDEVLGGLLPGGFDAKSCLSRHHSGSYRKESQYKPSSYLLSRLRSYESHLKRCGPYTMSYNITVDKLKAGQQPNPSESDCKYVVWISYSGLGNRILTLASAFLYALLTNRVLLVDRGTDMADLFCEPFPEMSWFLPLDFPISNQFNKFDQRSPHSYGNILKNATLEKSDTPFLYLHLAHDYGDHDKLFFCEQDQAAFQNINWLIIKTDNYFIPSLFLIPSFEQELSSLFPEKETVFHFLGRYLFYPTNSIWGLITRFYEAYLAKADERIGIQIRVFDTGVGPFQHVLDQILACAMKENLLPEVDENNQIIVPSTNQKTKAVLMTSLSSGYLDKVRDIYWEHPTVTGEIIGVYQPSHEEYQQTEKKMQNKKAWAEMYLLSLTDKLVTSSWSTFGYVAQGLGGLKPWILYKPENATAPDPPCRRAMSMEPCFHAPPFYDCKKKTGIDTGAVVPHVRHCEDMSWGLKLVDHVSDL >KZM94531 pep chromosome:ASM162521v1:5:23290062:23293472:1 gene:DCAR_017774 transcript:KZM94531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRINENELFKEDWRSRGKVQFVQYVFMKWILCLLIGFIVSAIAFCNNLAVENLAGMKFVVTSNMMLAQKFASAFAVFAASNFGLTLFACLITAFIAPEAAGSGIPEVKAYLNGVDAPAIFSLKTLLVKIVGSIAAVSSSLHVGKAGPMVHTGACIAAITGQGGSKKYKLTWRWLRYFKNDRDRRDLVTCGSAAGMAAAFRAPVGGVLFALEEMASWWRSALVWRSFFTTAVVAIVLRALIDICLSGRCGLFGTGGLIMYDVTSANVTYHLSDVPPVLLLGVIGGILGSLYNYILEKVLRLYNLINEKGIAYKILLACSISILTSCLLFGLPWLASCQPCPADASEPCPTIGRSGNYKKFQCQPGHYNDLASLFFNTNDDAIKNLFSKDTDAEFQHTSIILFFITCFFLSIFSYGIVAPAGLFVPVIVTGASYGRFVGMLVGSHSNLNHGLFAVLGSASFLGGSMRMTVSLCVIILELTNNLLLLPLIMIVLLISKTVADAFDENIYDLIMKLKGFPYLETHAEPYMRQLTVGDVVTGPLQLFHGIEKVGNIVHVLKTTGHNGFPVIDEAPFSESPVLFGLILRAHLMTLLKKKAFLLTPVRTDISAFKHFSANDFAKKGLSKRDNIEEIKLTAEEMEMYIDLHPYTNASPYTVVESMSLAKALILFREVGLRHLMVIPKISGKWPVVGILTRHDFMPEHILGLHPMLSSSRWRRLRINFSQTDRRV >KZM94590 pep chromosome:ASM162521v1:5:23899052:23899634:-1 gene:DCAR_017833 transcript:KZM94590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDWMCGSCQHLNFKKRDSCHRCRFPKFGGDADMASYGIVREEVMAGDWYCNVMNCGAHNYASRTNCFRCGALKQDFYGCGAGMMGPGGYANENTVPPGWKCGDWICNRYGCGVHNYASRMECYKCKTPKEYG >KZM95363 pep chromosome:ASM162521v1:5:31490263:31494382:1 gene:DCAR_018605 transcript:KZM95363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHESKNFGRGPRERTGAVDLINQYKLLPHHEFFCKRSLPLSISDTHYLHNVVGDAEIRKGEGMQLDQLVQSTSYPRESNVRIQPFDIDVLGEAFQLKETGSVYLPTSEKGTPTVAGKSRSESKDKERKHKKHKDKDREKDKEHKKHKHRHKDRSKDKEKKKDKSGLHHEKKRKHDGDEDINDVHKHKKSKHKSSKIDEMGAIRVAS >KZM96301 pep chromosome:ASM162521v1:5:39948186:39951278:1 gene:DCAR_019543 transcript:KZM96301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGKKRKHAETIPEPKKELVAEERPKRTLLGWKDKPVVNNENEATFKNKEKVLITCTRRINYRYRQLMLNVVSLLPHCKKDSKVESKSTKGATLNELVELKSCSSCLFFECRKHKDLYLWMTKSPSGPSIKFLVNAVQTMEEFKLTGNHLNGSRPLLSFTTNFEKDAHWKLIKEMITQIFGTPKDHRKSKPYYDHVFVFSIVDGHVWFRNYQISCPHTGADKIDRGGLDKLTLIEVGPRFCLNPIKIFGGSFGGPTLYENPLYISPNQIRALEKKKKSGKFAQKVKAKTRRRMHEMSNPLEQDEFADMWKA >KZM93540 pep chromosome:ASM162521v1:5:7592933:7595753:1 gene:DCAR_016785 transcript:KZM93540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSLDGSYDQGSQSDESSQFERYHIEPFYDAFICPLSKQIMRDPVTLETGITYEREAIENWFKDCKENGRKLVCPITLKELRSTDLNPSIALRSTIEEWNARNEAAQLDIARRSLSVGNPETEIMDALRFIQKLCQKNLSSKRVVRNSNLIPMIIDMLKSSSRRVRCKALGTLHSVVEDDSDNKEVMAEGDTVRTIVKFLSHEKSKEKDEAISLLCELSKSRTVCEKIGSINGAILILVGMTGSKSENPLTVEKAEKTLENLEKSESNVKQLADYGKLRPLLTLLLEGPEETKLSMAVYLGELVLNNDMKVFVAKTVGSSLVDLIKVGSMQSKEAALKALSQISSFEASAKVLIDEGILPPLVKDLFNVGKHQPTRLKEVSATILANIVKSGSDFYSIPVGPNHQTLVSENILHKLLRLISNTGPVIECQLLQVLVGLANSQTTVHSVVAAIKSSGATISLVHFIEVPQKDLRAASIKLLQNLSPHMGQELAHSLRGPGGQLSCLIQIISENVVITEEQAAAARLLADLPERDVGLTRQMLDEGVFQLVISRVISIRQGETKGGRFMTPYLEGLVQILARVTFVLAEEPETIRLCQEHNIASIFTEMLQSNGLDNVQMVSAMALDNLSQESKNLTELPELPSPGFCFKFFPCFSKTTVITGLCRVHRGRCSLRDTFCLVEGQVLEKLVALLDHTNDKVVEASLAAMSTLLDDEVDIENGVTMLCDTDAVKPILTILMEKRTETLRRRAVWVVERLLRGDDIAFEVSAEPNIITALVDAFQHGDYRTRQIAEHALRHIDKIPNFSGIFPNLGQ >KZM93568 pep chromosome:ASM162521v1:5:7917015:7922145:-1 gene:DCAR_016813 transcript:KZM93568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGRARLEFSCFLMQPGLLHDIWVEQPGKVLRNKACDLVIFKFQIWKLMASYYCYYCGHGDLGEASFCDGSVKFVLTVKIMLFIGLKRPPLSPLSPSSLRERQRRQCAANDSSDGNGSILCKENQNPIRKAQTCSPGGNTISRNVHKGSLKPSQCSAGSVAESVVTAENQHKYLKNFCPATRKSFLGNRGGRCSTSVMFLCILLDCIRSLFTSVNTEAYALAAMTLSVKKMMFLLKLKWKTSFLRPIIVPKQRQQCVSFYSTIPPALPSSTFRDLCNVVCNGTGSLDDLELSLSKVNIPLTSSLVTRVLESCKHQAATRRLLRFFLWSRTNLESRLEDGDFNFAIRYFAETKDFTSIDILISDFGKDGRAMETTTFAEVAHTLVKLGREDQALGIFKNLKKFKCTQDSATVTAIVSALCAKGHVERAERVIWHHKDAVSCVKLCIYRNLLYGWCVRGNVKEARRIVKDMNSSGIMPDLYCFNTFLRCICKRSLKSNPSALVPSAFNLMMEMKTYNLEPTVVTYNILLSSLSKCRRVKESIKILDTMKNSGCSPDWFSYYLVARVLYLTGRFGIGKRLVDEMVEVGIVPEGKFYYDLIGVLCGVERPNYALELFELMKKSSLGGYGPIYDLIIPKLCRGGDFIKARELWNEATAMGVDLQVSSDVLDPSVTEVFKPTRKVEDKICTVNHTKSKRILAVLNNLKRGRRRRMYKKKHTSKQKNKA >KZM96095 pep chromosome:ASM162521v1:5:38222273:38226976:-1 gene:DCAR_019337 transcript:KZM96095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQLTPAKRQNDRNESNIRGKWQKTSAFNSQNLRIPPGTSFFRVLCPASKGGSVIGKGGGIISQIRQETGAKVRVEETVPGCDERIIVIIESDKENGVDAEQNKGEEEKNDTDKVDNLDEQEKEEKEGEDKESVDTIDKESGAAKDSQCEKGPSAAQKALLLVFERIAEGEPETVGENEENDKASAFSLRLLVLSSQAGGISGNEGSVIKQMESESGAQIRILPSDELPICASPSDELVQISGSLDAIRKALLCVSQQILEHPPQDDELLSLNTSGPSSQSSGHPFSRQEPNYPFSSQGPPYVGSRDSESGILGRNNPSQDILTFRLLCPDEKAGGVIGKGGSIVKALQHESGCEIKVLDGVSGSEDRIIIISGPSHPDDRISAPQDAVLRVQTRIVRAAPESKEKTVIAKILIASNQIGCLLGKGGAVISEMRKFTGAYIRILGKDQLPKCASENEEVVQINGEFDQVQEALLQITNRLREHFFRDAFPSMNHPSNHAFPDQGPPFPSYMGRRELSPPGMYSGLGPSFHKFNAGMPPMHGGFHPHDDRSPFMHNIHRPGFPPHLSERLPPAAPWRPQGPNEGAGGPLGLPDYPGGPQRRMGGFGGGSHQAIITNTTVEVVVPRSAVPAIYGEDGGCLKQIREISDAKITINDPKPGASETAIIISGTPEQTHAAQSLIQAFVISESNNA >KZM96058 pep chromosome:ASM162521v1:5:37788830:37791262:1 gene:DCAR_019300 transcript:KZM96058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSFGFLLPMQRFLQSQIKVGVMAWVSLAVFLIHAVMSWIFVYQFKLGVIGIALALGIANWLIFFGLLWYVIRGGCPQTWTGLSLEAFSGIWDFFKLSAASGVMLCLENWYFRILILMTGYLKDATVAVDALSICMNVNGWEMMIPFAFFAATGVRVANELGAGNGKAAKFATVVSVCQSSMIGSLFSIILLVLHDKFALIFTTSSQVLEAVDKLSYLLAITILLNSIQPVLSVACNELICKFAIQGMWGGMIIGGTAMQTVVLVIMTLQCNWEKEAEKAVMHVQKWSSAHTNPTDEQS >KZM94721 pep chromosome:ASM162521v1:5:25287028:25287567:1 gene:DCAR_017963 transcript:KZM94721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVYSDSDDEYYVLDDYETAYPESMTGNEDCSDNEDYALGDYGTTDSETNSKSEGYSGEQHRALGTYGTTYSKPLTQSDGKGVNVYQQTYRAKNEDKQTGSYERFTAKDKTVAGEPFVDRSGNRGYKDEHTTSATYKVGDKSGYTEHYREDRVKHVHFDKSSSSSNKAVASYPKYKKY >KZM95681 pep chromosome:ASM162521v1:5:34534182:34534988:1 gene:DCAR_018923 transcript:KZM95681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVRFSDYDDRVPEWELGLPTSDELPPLSQPLITPELASAFSISPEPYRTAGDVARASEITFSGLRGQYSSKLVNNYNSFDNSRSAEFDVEEIDADRNDSRKAETIKRQRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGGGASEANDHLFESTPVPPSLKESSVRSGSGSGSGNVSGHVPMHYGAQMVPMPMPMPLPVYGQMSGYPGFEYGMMQQKDWSGNKFDAVNSYQRIPQCDK >KZM96296 pep chromosome:ASM162521v1:5:39920472:39922027:-1 gene:DCAR_019538 transcript:KZM96296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRCLLGKCQGGSERSSNRPADRKCGTNRGRSYVAPERFPSLAGEASKLLLTAKKIRKATKTEFVISLLANDFSQASDAYVGKLRSNFLGTKFVVYNSPPPCETPAEPDLHSCQKVCKKKVSPSVAVGNHKPATIKYELNVLRTRGPRRMQCTMHAIPFASIQEGGTAPTPSTFGHSTDEKYCPCTDLSEISELAHGTRAPLILKNKSPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDISQDIPSAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >KZM94955 pep chromosome:ASM162521v1:5:27341545:27343751:-1 gene:DCAR_018197 transcript:KZM94955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIQLNAVPFTFATHVLGAIAAVMVLVWSIHFRGGLVFESSNKNLIFNLHPVLLLIGLIIIGGEAIISYKSLPLKKKDKKLVHLVLHGIALVLAIFGVYMAFKFHNESNIANLYSLHSWIGIGVVVLYGIQWIYGFVVFFYPGGTPLIRKESLPWHILFGLFVYILAVGTACLGFLEKLTFLQSSGLEKYGSEAFLVNFTAIATILFGTFVVLSALSQGPREDEYSYTAISS >KZM95152 pep chromosome:ASM162521v1:5:29195192:29204005:1 gene:DCAR_018394 transcript:KZM95152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTSNDWAIKWSQCKIVYYTIMVRYQLGKKLLRADAAITYRLVVKITQGRCLSRRERQLLARATADIFRFVPGSMFLAVPSLQFLLPLILRFYPNILSPSFQDKITGQERVMRKLNARIEYAKFLQETAIEMAKVAQNSPSGHVGPTAEEFIRFWNDIKLDRPVPSEEIFRFARLFSDEHTLDHVSRPAMENMCKSMGIASFGTNEHLRFILSEKLKSIKNNDKLIQLKGVEALSEIEVRKACRERGIHVAEDEQQQLRDWLDLSLNHAVPSSFLIMSRGIIETKKLKPEEAMQATLSSLPYELIYIVGVASLPSSDPILDKRRKIKALSLHEKAIKEEEEEEISESQTAIQNDMDLKEMTAFTLEQASARAVDDQEQLCEVISDALFVLTSVLNLSTECDNLLRLFKNEIIFNSSYKGKKGLEGEKEAIKAHGAAQEDNIGDTVSSALLNRANGMLQKLEKETDGVGAKISYRWRVLERYCDGKVSLELVAAATFLKDTLDKEGIQELISNLSKYKEEIEKLGIVAKDIKPRQKELDIAEEHELDWDTAALEQVPYYLTQMQDLPHLIFLKFQRHHFRPNTVTRTAPDMLPFPASALSGLDTESPNSSGDEESYSDNLKAELEEMLQEKSESKDGKPPNTSVGEDKQFLPFISRQLPSSTSLPPAPLSCTSFERKSNPPPSVSRTNTDVDVDLLDVSATCKIY >KZM95705 pep chromosome:ASM162521v1:5:34705625:34706557:-1 gene:DCAR_018947 transcript:KZM95705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGNTHWCYQCGRAIRPRRRSLVCPYCDGGFVQELSEVVGDRQEDYGVDRNDGFEFGSTELIRDPMFGVNNELATFIRQMLMGGNSGFGGRTRSNMFPEFILHGRGPRRMSSDDGFEFFFNGSPLGRGHQHANLNEFLMGPGLQEFIEQLTSNGRRGPPPAPRSAIDAMPTVKISRRHLRSDSQCPVCQEKFELGSEAREMPCNHIYHSDCIVPWLVEHNSCPVCRVELPPVSGSAHGNTRSSHHSETGNNRGNSSERDNSRQSQGRSLLSSLWPFRSSNQGSEAEGSRSRAPYDENSGSNYAAWPFN >KZM95590 pep chromosome:ASM162521v1:5:33655044:33659473:-1 gene:DCAR_018832 transcript:KZM95590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQVNESTPEYEDNWKFHAVEFAKGLVEMSVEFGKAVRDVIKQNVIKENSLIVRKLGPPVDKICTKLMFLNEYLPEDRHPMHSWSVIFFVFFFTFAALYMNSKSDATSPLIKKLCIHPPNATRILLPDGRRIAYLEQGVPAEMARFSLIAPHAFLSSRLAGIPGLEVSLMQEFGVRLITYDIPGFGESDPHPNRNLKSSADDMLHLSYAVGVTDKFWVVAYSSGSMHAWAALKYIPDQLAGAFMVAPMINPYEPGMTKDERRRTWNKWTVKRKIMYILARKFPRILPYFYRRSFLSGNLGQIDKWLSLSLGKRDRDFIEGQMFQEFWQRDVEESVRQGNARPYMEEAILQVSSWGFSLVDLKLKKKPKRKGILHWLKTTYGQAEDELTGFVGPIHIWQGMDDMVVPPSMGDFTERILPGAMVHKLLNHGHFIYFYFCDECHRQIFNTVFGDPQGPVVMYLNDKSVVLKDSDDVEEVTIDSPENKIASLE >KZM93387 pep chromosome:ASM162521v1:5:5597941:5599317:1 gene:DCAR_016632 transcript:KZM93387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKSDHGATYILEKHLIMINVNGMHIRIELGGKLGYYIDVLFCSTKSLTETLRFFQHIIVPAIQTQCRGVTLTENIIRLECVKNLTHPRYRKTQAIPIQQLKQAMLSVPAESMYNYQHTWGSVSDSGRTVLRESFDLARDLLSDDDFREVLHRRCHDLHNLAVELQVPLENNLEGNVPSSSISAQANSNVDPTFVGIAKGVEEVLQRLKIIEQDIRDLKQEIQGLRILEHRLLYELHRKVNYLVNYSVQLEERKVPNMFYFVRTENYSRRLVTTMISGMNALRLHMLCEYRGEMHVVEEQIGCEMMQVDNNSVKCLAPYMKKFMKLLTFALKIGAHLAAGMGEMIPDLSREVARLVESPMLGAGAGAGAAAAAGVVGAAAIGGSRGLNRSKGDNEHDLRLAQQWVMDFLREQRCFTGKQIAEKFGLWRIRYRDDGRIAWVCARHLCTRGNEIVQLPV >KZM94242 pep chromosome:ASM162521v1:5:19886041:19886370:1 gene:DCAR_017485 transcript:KZM94242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSSLRQAVILKQILKRCSGFVKKNLARNNYTSIGEQLGFCWEVPKGHFVVYVGNNRSRYVVPISLLNRPGFQAVLEEAAEEFGFDYGAGIIIPCPEDDFLSRIYSDY >KZM93353 pep chromosome:ASM162521v1:5:5186968:5188271:-1 gene:DCAR_016598 transcript:KZM93353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIQLPAANIDSRPSSYSEVQVVTIDVHATKDLMNSSNHRVKNPNFVEQVLSTFKRDDILIVGCQSGIRSVYASIDLLKSEFKQVYNMGGGYRGWVDNKLAVKIPELEPESEAKEEEL >KZM95560 pep chromosome:ASM162521v1:5:33338194:33354962:1 gene:DCAR_018802 transcript:KZM95560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLPSDRTSVVTLLRNPIDRFFSSYEFSIEVAARYMIYPNISSATKMSKLASKKSKAVTTMDIWPWKYLVPWMRDDLFARKEARKHKSLSYSQISDLYNAEDIVMPLIEYMRHPIALDIIHNGATFQVAGLTNNSNLREAHELRQCVIKYQRLGDHVLEVAKKRLDDMLYVGLTESHNESALLFANLVGAQVISQLVELNLSTELASNNEPAQNVSTVPDSKVYTSLHQMTVEGLHEAFKKCVPELRRVQTNRRNTSFHKIPSLNFRKELTARILFWFEFWSMGEAGGYWDGFVNGDDSFHNVINMLDFPLESVEEDKCVAEENWDAQFPSLGPFSSEIVQEFTPVFRSDFTEDVPYSFIENDAASDRKLLPVTEFSSTNFSLDSFHPRNLSLLQTPSQNSVLESSSSCSAGKNLSTSCELLVPVRARTKIPRSLTFTRWHLLSPLTPPRKILHSEGKEKKNMQPSQHSNEFDMKEDSYPQHMPYKRCTHCQAEKTPQWRAGPMGPNTLCNACGVCYRKRKHLPTYRLISSHSLNTEKCSFTRGKEKKINLSSEIKEDLTNQLPEDCEIAEDLTYQLPCKRCTLCNVTKTPQQREGPVCNARGVRSQSGRLLRESLPSPDPEEINTKKREKKKELSGVPNDSGAMGFATHQPMQVRRCTHCQVSKTPQWREGPNGPRTLCNACGVRYRSGRLVPEYRPAASPTFTPYLHSNKHRKVIEMRKKASSEFSV >KZM95431 pep chromosome:ASM162521v1:5:32046033:32047236:-1 gene:DCAR_018673 transcript:KZM95431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVTTADFYPEIAVLPSTHDGLKFWQFMVAGSVAGSVEHMAMFPIDTLKTRMQVMGSSSTSYSGPVINLRQSLSSILRIEGPAGLYRGIAAMGIGAGPAHAVYFSVYETFKKSLLAHGSPNNSAVHAVSGVFATVASDAMITPMDVVKQRLQLKNSPYKGVGDCVKKVLVEEGFGAFYVSYRTTVLMNAPFTAVHFAAYEAAKKGLMELSPDSVADDETLLVHATAGAAAGGLAAAVTNPLDVVKTRLQCQGVCGCDRFSSCSIGDVIQSIVKKDGYAGLMRGWVPRMLFHAPAAAICWSTYEAAKSFFQELNGKQA >KZM96008 pep chromosome:ASM162521v1:5:37386673:37392365:-1 gene:DCAR_019250 transcript:KZM96008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQDKNDISVTWRAKKFIVPMTPNATLREFGDSLQKLTDVRADTLRLIVRSDKSSKMLYPFSDEHSSLTLQETPLFQVKSIMMMGVPKAEVDQVLQNAKADMRIAGFDEEEKRLRQRISSGPSTPLKLPQGNYIFSEFRTLNIPGLELNPPASEALKLMHMLAADPGIVAVMNKHRWRVGIMTEMAPEGYVGVSPVCILGFNKNQGEEISLRLRTDDLKGFRKYQSIKKTLLHELAHMVYSEHDAKFFALDSQLNKEAASLDWTRSTGHTLSGMGFSREHEEQLSTSGENGNLSRKLGGKGTDQLADARLSSVTAAYRRLDSAFSNLSGTTDVAEKHMQADSELNTQYEAELVHAAAKELSMAELNDTRTECNNGSHAHECLGKGKMEPDPDDSVDMEAMESEPYRMYKEAKNISEPDPDDAEMFERMSPLTTEKLFAETYLHGEPDPDAAGTSNINGFVNKPDQFVDNSTLLESMKPHQLTENSTEPDLDVSQKGVTYAEPDPDDSMVPYQGISRTQTAEPDPDDQELQRIQDPVTVVCNRLQKAIEMLQSEVNPSESVIVLQTLFKIIRNVIEHPNEIKFRKLRKANPIIQRNVANYKAAMEILLLIGFIEDVVLDEIGKSETYLILKRNDPGLLWLAKSSLETRIS >KZM94314 pep chromosome:ASM162521v1:5:20883525:20885776:1 gene:DCAR_017557 transcript:KZM94314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELINIVVTDSERQASYKILRVIGRWGPAFTLPVYEAAVLSPKDNNTYIFFVALKIISSTQEEYFNMAIQDVEASQVLASQINSNILPVLESFKANVEDVPHFCIVLPYDTTVMSLRPLLLSNPRFSGGMDEKMIALVLVRVLAGLDAIHQSGKHHKRITADSVFYNFNAPNFYLAYAASLYERTTHPNLGS >KZM94916 pep chromosome:ASM162521v1:5:26977361:26978026:-1 gene:DCAR_018158 transcript:KZM94916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNNTIFSEYSNSCKLYNLYSLDAASDSSSSTEGSSDSPVMLASSDAKKRCGRKKFRETRHPIYRGIRRRNSNKWVSEVREPNKKNRIWLGTFATAEMAARAHDVAVLALRGRSACLNFADSAWRLRLPTSTNAKDIQKAAAEAAEAFRSTCEDGEVVEETSEVMLPRNVYDVDEEVAEFGMPGQLIAEMAQGMMLPPPHQYYCEGDDNVEFCAEMSLWNY >KZM96407 pep chromosome:ASM162521v1:5:40781784:40784396:-1 gene:DCAR_019649 transcript:KZM96407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNCTIRKAAWVSSGWPNINKTSFLGPRDMVYGMEFRFQYFSCLKCLGTQSQYCRSVVTENQINKCQSDTFSIWSYVRYALDLILGMRIYKGDYNDVSVQKYLVLVVAARFLCGLPFVSAFFVYKSRRRHLSVYGTIEDFLQGQNNLRPIRYNYSQIKKLTKGFNEKLGEGGFGTVYKGKLRSGLVVAVKILNSSRTSDEDFINEVGTIGMIHHVNIVRLVGFCVEGPKRALIYEFMPNGSLDKYIFTEGRGTSTLSCEKIYEISCKVACGIEYLHRGCDMQILHFDIKPHNILLDENFNPKISDFGLAKLRATDQSIVTMTAARGTMGYMAPELFYKNIGRVSYKADIYSFGMLLLEMAGQRKNLNPFENQISQIYFPSWIYDQISQGKEIEMREVTENEKELVKKMIIVAMWCIQMNPSERPSMNRVIEMLEGDVELLVIPPKPLICPQRETLNDDEELMQTSLY >KZM93376 pep chromosome:ASM162521v1:5:5406532:5410772:-1 gene:DCAR_016621 transcript:KZM93376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPLKVPAWSPGASPTRTLLLEFMTSEKDPPQQDIAPDPPQFYHNQTALTNFPFTNGPCPTFVPQTHQIRNASCHEARSLAQYEQKRSGKNRSSRVSDIERVESAAREDGSGIHITWKDVWVTVPSKKGGRRPILQGLTGYVEPGQSLAIMGPSGCGKSTLLDTLAAMRNPSDHFLRTINRDFDTEDEQTLNGGQTAAEAINILVKAFQSSEHSLQVQNRVSEICQMNVGTVETKKSQASFFTQCLVLTKRSFLNMNRDLGYYWLRLIVYITLALCIGTLFRNVGHTYGSIQARGSMLMFIAAFLTFMAIGGFPSFVEDMKIFTRERLNGHYGVAAFVVANTFSSLPFLSLIGLIPGVISYYLVGLQKEFGHFVYYALLIFVCMMLVESLMMVVASVVPDFLMGIITGAGIQGIMILNGGFFRLPDDMPKPFWKYPMYYISFHKYAFEGFYKNEYIGLSFPNEKAGGPPRITGDEILQDIWQVENGYSKWVDMTILLLMVATYRILFFLIIKGVEKFKPVIRDFLSNISIKQQPYSEDSLQHQQESSVRTVRAFSVLDCKCYQVDVTFRD >KZM93522 pep chromosome:ASM162521v1:5:7448473:7451901:-1 gene:DCAR_016767 transcript:KZM93522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTQTALNNKIKKPNSALQDLSTNATLATLLLSSISPQALALNDTVSPPSPAPSTTVLEAENPVKSSPFSQTLSLNAPVTKGASSDLPEGTQWRYSEFLNAVKKGKVERVRFNKEGGVLQLTAVDGRRASVVVPNDPDLVDILAMNGVDISVSEGESSNGLVGFIGNLLFPVIAFAGLFFLFRRGQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGTPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKSLAKDVDFDKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEIADALERIIAGPEKKNAVVSEEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGKENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAVGGAGGNPFLGQQMSSQKDYSMATADIVDSEVRELVEKAYARATEIVNTHIDILHKLAQLLIEKESVDGEEFMSLFIDGKAELYVA >KZM94914 pep chromosome:ASM162521v1:5:26962084:26964037:-1 gene:DCAR_018156 transcript:KZM94914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTMLSNYIEGTSDSPVMLASSNPKKRGGRKKFRETRHPVYRGVRSRKLRKWVSEVREPKKKTRIWLGTFPTAEMAARAHDVAVLALRGRSACLNFADSAWRLRIPTSTNANEIQKAAAEAAEAFRSTCQDGEVVIEKEQVALQDYLDEEVAEFGMPGQLIAEMAQGMMLPPPDQYYCDGDVEFCAGINKTPGKXNFADSAWRLRIPTSTNANEIQKAAAEAAEAFRSTCQDGEVVIEKEQVALQDYLDEEVAEFGMPGQLIAEMAQGMMLPPPDQYYCDGDVEFCAGMSLWNY >KZM93355 pep chromosome:ASM162521v1:5:5198872:5201015:-1 gene:DCAR_016600 transcript:KZM93355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALTAALSLHSSKTPSISTTPSILSTDRLNFTKVPLTFRNVSSGGKMVSIRAQVTTEAPAKVEKVSKKVEEGVVTNKFRPKEPYVGKCLLNTKITGDDAPGETWHMVFSTEGEVPYKEGQSIGIIADGEDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEVVKGVCSNFLCDLKPGADVKITGPVGKEMLMPKDPNATVVMLATGTGIAPFRSFLWKMFFEKHEDYQFNGLAWLFLGVPTSSSLLYKEEFEIMKEKKPDNFRLDFAVSREQTNAKGEKMYIQTRMAEYAEELWELLKKDNTFIYMCGLKGMEKGIDDIMVSLAAKDGIDWIEYKRSLKKAGQWNVEVY >KZM96485 pep chromosome:ASM162521v1:5:41317131:41318659:-1 gene:DCAR_019727 transcript:KZM96485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRPLNFLAFLLVAFLFTDTFVSAEIYQIEELSEADMRHVLGRYGGYGNFEDKWGKGYGHHHGGGGHKGGHGGQPGGGGYGGQPSGGGAQPGGDVGQPGGPQPGGNVGQPGGYGGQPSTGGAQPGGSQPGGDVGQPGGSQPGGSQPGGDVGQPGGTQPGRSQPGGDVGQPSGTQPGRSQPGGDVGQPGGTQPGGYGGQPGTGGAQPGGNIGQPGGSQPSGSTGKPGCDQSGGYGGQPGSCGSQSGGDVGGSQPGGGSAQPGVDVGQPGGSQPGSGVGKPGDDQSGGYGGQPSTGGAQPGGDVGGSQHGGGCAQPSESCAQPGGDVGQPGKSGPQTGGDVGQPGIGGQPGADAGGQPGGSQPGGSQPGGDVGQPSGYGAQPSTTVPQPSQSSGYGGQPTGPQPIQQGGYGGQSSTGGDVGLASGGYGSPPGLGGAKPGGGY >KZM94480 pep chromosome:ASM162521v1:5:22895395:22897762:1 gene:DCAR_017723 transcript:KZM94480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSRPLVSIQTLESDMATDSSTTSLPLPDVMKSSIRPDLVSFIHSEMSNNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTRIWRKWHSRININQKRFAVASAIAASSVPALVMARGHRIDTVPELPLVVSDSVEGVEKTSNAIKVLKEVGAYQDAEKAKDSMGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKSFRNIPGVEIANVERLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGSFDKPSEKKSGYVLPRSKMVNADLARIINSDEIQSVVRPIKKEIKRPPLKKNPLKNLNTMLRLNPYAKTARRMSLLAEAQRVKSKKEKLDQKRKPLSKEEATKIKAAGKGWYKTMISDSDYTEFDNFGKWLGVSQ >KZM95300 pep chromosome:ASM162521v1:5:31001753:31004348:1 gene:DCAR_018542 transcript:KZM95300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMDNSDGNQTNGIEVAANDGSATIEDSSAAMIPPTGDKNLQTADNNSRNWIAQTPGNNASQNNLGNNLDATQTTGSEVAANEGYGTTVDSSAALIPQAGDGNLQTGDDGLWNWDDDQTSQENFYLKDLDIPTPHKQPDQLGNNTSENILSNNLDATQTTGYVTAVDSSAAMIPPAGDENLETVGDEFWNWVDQTPLESFDLEDLDIPTDPHKQPDQLGNNASQLPQDQEIGHGTSSKLPVAQFIRPNALDTLSPELRSLIRWQSENVASKGKAPVMPDNDIMNINSPVGGGMSNNRKRKGGEVDADNNSENNTWFPRRVAGSRASQPVAYHNYHYQKSTASINDNLMALHELVPGSQQKDMATTLGETADHLLQVRIRDQTLREEIKQLRQAMVTMHQSIQNFRQSPLGTPANMGVHTQLGGMGFRPPVQPSMNLFDSSISARMNMPVLLQWNSPAAASRLFVPPTVPGPSQQHRIGPDQVCKHYDYTGGITLTISAYSELLVKLQTDDTQPPI >KZM95576 pep chromosome:ASM162521v1:5:33556426:33557675:1 gene:DCAR_018818 transcript:KZM95576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRKAFIVVAILLVFISMEAATVLGQGKGNDDGNKGKVSGNGNGNGNGNGNGDDKGKGKGDDKGKGKDKEDKGKGNDKKDKDDDNKYDKKDPVPGTGQERGRCKNKGACYNTTLTCPSQCPERKPKKNKKNKGCFINCGSKCEATCKYRKPKCDGYGSLCYDPRFVGGDGVMFYFHGAKGSDFAVVSDSNLQINAHFIGTRPEGRTRDYTWVQALSIMFDSHTLVLAAKRVSQWDDKVESLAVRWDGEEISIPTDGEAEWRIDSEQREVVIERTDDTNTVKVTVTGLVEMDVKVTPIGEKENKVHNYQIPSNDAFAHLETQFKFSNLSDLVEGILGKTFRPGYVSPVKRGVPMPLMGGEDKYQTPSLYSPVCKVCRFERQSSVATM >KZM95167 pep chromosome:ASM162521v1:5:29393972:29395957:1 gene:DCAR_018409 transcript:KZM95167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDTLMTESPQTPPTIYLAGPPEIHNSGENPALDSFNSTSPPLRKGFTRGLSRTYLTSSNPCLDFFFQVVPSTPKHTLITYLESSWGFDPLTTLKLVCNLRGVRGSGKGNKEGFYAALLWLHCFHPKTLVRNVEALVGFGYFKDLPEILHRLVQDAEWFERKEFGLKKKAARAKDGDSVKWRMPRKGTRIGRGGGDPDGWGMDRPFCLDVDEIKRRGDDVLRRRTREARVFAEKKKIEKQMRKAKEVREEKKVVMSRKAVMRYVRDPDYRILYETISGFFADCLKMDMKLLESGKLNGISLAAKWCPSLNSCFDKSTLLCESIARKVFPKEMYDEYEGLEDAHYAYRVRDRLRKQVLVPLRKALQLPEVYMGASEWGSIPYNRVASVAMTNYKEKFLKHDMLRFNEYLENVKSGKATIAAGAVLPHKIIAALNDGDSGEVAELQWKRIVNDLSSKGKLKNCIAVCDVSSNMEGIFLDVCLALGVLVSELSEEPWSGKLITFSTNPKLEKVEGEDLRSKVDFMRRLNVESNTDFQKVFDVILKVAEKGNLKEDQMIKKIFVFSSMEFDNISENIWETDYQAITRKYTEKGYGSCVPEIVFWNLTDSKATPVPSDKPGVALVSGYSKNLMTLFLEDRGTLTPESVMEVAIGGEEYSKLVVVD >KZM95557 pep chromosome:ASM162521v1:5:33312898:33318688:1 gene:DCAR_018799 transcript:KZM95557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIHRSGPFKKSNDSARLVITTIMGMVFGYFIGISFPSVSLTRINLPSSLMSSHDNIKVADRSFPENLGSGNTPTIPKPLVRLKYKIRKGAEGLKIFVPSNPRGAETLPPGIVVSETDYMLRRLWGDPTEDIKKKPKYLVTFTVGFDQRNNIDAAVKKFSDDFQIMLFHYDGRTSEWDQFEWWYAKRFLHPDVVAAYDYIFIWDEDLGVEHFNGDKFIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKNTEERPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGVSEDGKAPWEGVKQRCRSEWALFQDRLTNADQAYFMQKKKG >KZM94731 pep chromosome:ASM162521v1:5:25338894:25345217:1 gene:DCAR_017973 transcript:KZM94731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTSAVGGGVQDVYGEDCATEDQIITPWTLSVASGYNLLRDPRYNKGMAFTHEERDAHYLRGLLPPVVISQQLQEKRLMQNLRSFEAPLHKYISLMELLDRDEKLFFKLLIDNMEELLPIVYTPTVGEACQRYGSIFRRPRGLFISLNDKGKILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNEKLLNDEFYIGIRQRRATGKVYSDFLHEFMTAIKQNYGEKVLVQFEDFANHNAFELLEKYSPTHLVFNDDVQGTAAVVLSGLVAALKSLGGTLADHTFLFFGAGEAGTGIAELLALEMYHQAKLPVDESRKKIWFVDSKGLVVSSRMESLQAFKKPWAHDHEPVTNLIDIIKAVKPTALIGTSGQGKTFTKEVIEAMASFNKKPLIMALSNPTTQSECTAEEAYYWTEGRAIFSSGSPFNPVEYNGKVFFPGQANNAYIFPGFGLGLVMSGAIRVHKEMLLAASEALGGTVTQEDCQKGMIYPPISNIRKISAHIAANVAAKAYEIGVATRLPQPENLVKFAESCMYNPNYRNYR >KZM94792 pep chromosome:ASM162521v1:5:25956498:25959334:1 gene:DCAR_018034 transcript:KZM94792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKDDNSSCSMNFASTSQHSVLGNMYKNSTPTSSFQLRKHPIDANTVFASRTSVKANRSSSLLVTSSETSSFAANKAEDVDNKTARNPINLPTKCTRGTTTSGRYHGEEISLVVDLRCAVVRDVDDYLVNESYSSSKSNIEQCAPTMKTEADDADECSSSEAFIKNSLCDDISEKDIRNFVSRSLGLHREACCIRTSVYPKVSGFNSEFSCMRTCIVCDHPEITLKMLICDQCEEAYHITCCHPPRRKLPRNEWFCHSCLKKKHKIPKKLTAKGPVNYSSEIRRGRSAISKGTLGPIAAMLEDAEPYTTNVRIGLEFQAEVPDWSGPLANEVDNFNEPLELSLADSFQEGNSRKPSKVSSISNWLQCQDIICGIREGVDGVVCGKWRRAPLFEVQSKNWECFHSVLWDPAHADCAVPQVLFGNFV >KZM94175 pep chromosome:ASM162521v1:5:17819439:17821172:1 gene:DCAR_017420 transcript:KZM94175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSFIQTGGELLNEQQSAIEVIDKVCKEILRDYGEVADVEEEIIYKHLKQTIEEFDKVQSQHFRMLSAASKKYRSDKIIELLKSRFENLNSDALSFVLSSTSSTDETNLAWRHDILAGKGLEIHKKILIGNKGYLWDWVHSNENEAGLVFMLNQVITCTKKDLSSLNYGNNVSPTVIDTWCCLLNLMETAKPISFKRRFFCFLDSTVGVNLCKNSGEKDGDGQFFEFCDKLEQTMQTFNLSMSNINLVFFPICSFGHYYTVCYDISQPSMVVLDNSNQDNLSSVLFVGEIETLHKRFTEFLRFKGYQKATDIFWLEPTRLNLPWNTKYNTADSGIFLMRHMETYFGGENLFYAEKFVEESHLQQHQLNKLRFEYVCIILSTTINEVRDNVFKQIEEGREDSTGRV >KZM95549 pep chromosome:ASM162521v1:5:33208598:33209977:-1 gene:DCAR_018791 transcript:KZM95549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPLIHKKDVVLVKPAEPTPSEVLSLSTIDNDPNIELLCQTVYVYKTNNISDLEPALVIRDALAKALVYYYPLAGKLKRENGKLRITCNADGVPFLEAYADCELSSLHYLDGIDTEMARVLAFDWPSESECGYHPLVLRVTKFACGGFTIGMGLSHSVCDGYGAAQFYKAMAELASGKNEPTVKPVWERERLVGAASKVPILPPVDMSTLASSPYLPCTVVAHECFYITGESIERLRKSLLSELEETETTNFTTVEILGAYVWRSKSRALQLSPDGKTMFCMAMSIRNVLNPPLNPGYYGNAFLSSSHLALTVRDLNEFPLSRVAKLIKESKKVLTSSTDFVPKTLDILETIIQQNVKAEISNGASVVLTDWRQLGLLEEVDFGWKEMVNMVPLPGNNFFIDLCMFLPPCKVDSSVKAKGGVRVLVTLPKAAMPKMKEEMEWLNQLGVDHIHIQSKP >KZM95900 pep chromosome:ASM162521v1:5:36598898:36600355:-1 gene:DCAR_019142 transcript:KZM95900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPNTLFPLISLDHTEITNEEYKLFHTIDIMLVKKLIHSFNGTFNDAIDVVAFLLWLEREKLSVNAVYRVLKEWPNHLVGILVEQVVALLKWLGNTELVWERRGDIFLIQELCHDVVTFVYLYQRRFEILEGKNLIKSEMTERAFGEMFPREPDNRNVQDGVGLGIGARVGVRNVGDGAVYGFQNVVDRNNIGVPGPQEVLLDNNVGRVGLGIGRGVGFQNIAGGLVYDPREWSHGNQIRIQFGSIDGPQELESDFAVASAVQQLQNIDLGRAESDVSRRYIHDPRRIMNVNHYGVGAQFAAGSPVHLPQTIRTFVPGHLQYATNHNLLTFGTISDAIQGDQQIEHVVNDGGIGGIGGGFANEASSNNDRILPNIPYGPRMRYMGTNGQIQRSDQVNTRRPIPESELHMLLRGLNIEDEETDVPEDDRTVFLTFSRGDPLTEPEIWAFFTRY >KZM95084 pep chromosome:ASM162521v1:5:28521634:28524104:1 gene:DCAR_018326 transcript:KZM95084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSISPVSDASSVKPGVNVGGLYASANTRRISQRSVTLKILQVRASAQSVPKLNGTRIVVMDGLKCDDIISCSPPPRAFIKEIPDWNMLLAVITSIFLTAEKQKTVLDWKPKRLDMLGDPFGLGRIVNDGLIFRQNFSIRSYEIGADMTVSVETLMNHLQETGINHLKHVGLLGDGFGSTPEMCKRNLIWVVTKMQIVINRYPTWGDVVQVDTWIAASGKNCVRRDWVFKDYGTGEILTKASSCYVTMNKQTRKLSKLPDEVRAELGTYFVDAPHAVDEDTRKLPKLTDSNAAYIQPGLTPSWSDLDVNQHVNNVKYVGWILQSAPQQVMKSHELESMTLEYKKECKWDNVLDSLTSVLQEGMDGFASCGEVECQHLLRLKDGADIMKGRTKWRPKRAY >KZM95697 pep chromosome:ASM162521v1:5:34643697:34661678:1 gene:DCAR_018939 transcript:KZM95697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQEYLDKHLLSRKIEDAVNAAVRAKSSDPVLFISNHMRKSVPSVITKVIARQILDSRGIPTVEVDLHTNKGVFRASTPSGVSSGMYEAIEIRDGDEATYLGNGVTKAVKNINEKISEALIGMDPTLQSQIDQAMIDLDKTEKKSKINLVQGELGANAILAVSIAACKAGAAEKEACNIYLVPLYRHIADLSGRTNLILPIPAFTLISGGKHAGNDLAIQEIMILPVGAKRFEEAVQMGAETYHHLKAIITDKYGAPGCNVGEDGGLAPNISRHVVFREGLDLVKEAISRTEYKEKLKIAIDVAATEFCIGTKYDLDNKNPSRSGQNFKSGEDMIEMYKELCADYPIVSIEDPFDKEDWDHGRNFCSLGLCQVVGDDLLMSTPKRIEKAIGEAACNALVLKINQIGTVTEVVEVVKMAKDAHWGVVISQRSGETDDSFIADLSVGLATGQIKAGAPCRGERLAKYNQVCTIPLDTAKVRLQLQKRTVVGEGTGPPKYKGVFGTISTIAREEGLPALWKGIIPGLHRQFIYGGLRIGLYEPVKAYLSSDYFGNVSLFQKILAALITGAIAISVANPTDLVKVRLQSEGKLPAGVARRYSSALSAYYTIVQQEGLSALWTGLGANIARNATINAAELASYDQVKQTIMQILGFSDNIFTHLLAGLGAGFFAVCIGSPLDVVKSRMMGDTSYKGALDCFIKTLANEGPYAFYKGFLLNFGRLGSWNVIMFLVLEQVSCFFGMLLNVKKSYIIIHCFLLVIQSWSGSR >KZM93515 pep chromosome:ASM162521v1:5:7429087:7429290:-1 gene:DCAR_016760 transcript:KZM93515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSECNLGKLIPSNLAFKPLHGYVHTKTKPYTFQSILSAERLAKIIIHHDLDLYIRYSHVHPPKVGEP >KZM92855 pep chromosome:ASM162521v1:5:318766:320007:1 gene:DCAR_016100 transcript:KZM92855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDDDEIQSHPSPSPRSESPPSPHPNGRITVTVAAAPPPNNTLTLALPIQQQPPQQQLQLLPPPQPHLLQQRIGGNGGGREDCWSEAATAVLIDAWGERYLELSRGNLKQKHWKEVADIVSSREHFGKTPKTDIQCKNRIDTVKKKYKSEKAKIGSGCGPSKWPFYERLDQLIGPSAAKIHSGSGGGGGGSAGTSSALVSPAFVPKGVPMGIPVGVRSLPFYKQQQQKRQIRKRVPVDSESSQSEREPTPDSTDSFPPETYEPIPKRPRYLQPHREMNLRPLAQLGWKGKEKERDRNYNGNANANVNVNVNASANANSDWGNSVRELTRAILKFGEAYEHAETSKLQQLVEMEKQRMKFAKELELQRMQFFMKTQLEISQLKRRRDGGGNTSNNHHHDNNNINNSNNSDSSN >KZM93377 pep chromosome:ASM162521v1:5:5450000:5450200:1 gene:DCAR_016622 transcript:KZM93377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNRVYFAGFPVRRCGKLQPEEPAESLTPVTCQIDEDCVKYNIPQCVKCVDNRCHCDLSEAQIRS >KZM93929 pep chromosome:ASM162521v1:5:12337225:12337441:1 gene:DCAR_017174 transcript:KZM93929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYMSSEEENCYSDRDSFDGLDNEESDSQWVPPKPSSIKF >KZM96257 pep chromosome:ASM162521v1:5:39570424:39572044:-1 gene:DCAR_019499 transcript:KZM96257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKLKLKLVENRRRVQEQYLKNRVPRRPDILRQKAGPDGDKFTSKPGGNKRKAHAAPDSAPRKKVNVDDAGTTFCNRDLELKLKLMENRRRVEEEYSKNRFYSRLDILRQKSGRAAVEDKFTSKPGSKSKAPAPAPAPAGLRVDGDAPEPSMCNMQP >KZM94651 pep chromosome:ASM162521v1:5:24606617:24606944:1 gene:DCAR_017893 transcript:KZM94651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEECMDALAKHANILPVVTSTVWKELEKENKQFFEAYSMNTREMRSLVKEKSAVTDYELETMKQRIHKMLSDLRSSASDGSTC >KZM95489 pep chromosome:ASM162521v1:5:32599889:32600971:-1 gene:DCAR_018731 transcript:KZM95489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESNKQISVFLYNGPSNMNYAVTSISTKTTDEEVQKQSRRSKIPPDKIIRHHGSALVTFGTSLVSVGFIVYCIGGSKRDKSMGILASNEVRKFDTTKPVLGLDFSPPPMNQGRFFPAVVAIGKKIYAFGGLSQTDSNDSTWAECLDTNKPVLEQRWQPLTEPPSRLLLDFPPFAIPYETNTISIGSSDICMGTTIMIGSRHVTQGAILYGVHNGLWEEYRFNPISMSTIQVLNPVSVVCEKTIYWVDERDIYAYDLDKHILYAGNMAESLLHDFDCGFDTTRMGPVLMHLQENNFCCFTVYVENPLGPSKTGVVECTKFRVTKDNPESGHQGVLHLHDMGYQSYRCETMCELSGVVPL >KZM95540 pep chromosome:ASM162521v1:5:33132823:33133644:1 gene:DCAR_018782 transcript:KZM95540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYKWEQDQIANMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTQKVVRDQVLVFRFVDVGKXGYTPDNLIYKNIEFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPNEGMVRRHNHLRIAQFHQHLTEKLDMEMSALQFMISEYPGNEEEKMRAAIGRFGLTGKAQVMPMKNLSDGQRSRVIFAWLAYRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAKEIWVCENQAVTPWSGDIMGFKAHLKKQAGL >KZM93857 pep chromosome:ASM162521v1:5:11541315:11543691:-1 gene:DCAR_017102 transcript:KZM93857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMDSWPREYNEAVKLADDITGMISEKSSAPTSGPEAQRHFSSIRRKITILGTRLDSLQSLLSKLPEKSLSGKEMNRRKEMLANLRSKVNQMASTLNMSNFANRDSLLGPESKPVDAMSRASGLDNHGVVKQDEGLEKLEETVLSTKHIALAVNEELDLHTRLIDNLDDHVDVTNSRLQRVQRTLAILNKRTKGGCTFMCLLLAVLGIVGLVVVIWLLVKYL >KZM95264 pep chromosome:ASM162521v1:5:30639381:30646044:-1 gene:DCAR_018506 transcript:KZM95264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGRIMLSIGQLLSAVNCWLKCMLLDPYTQSDNPECKSRADTGLSAITEIDPGYITGPLSSVWKDWIKWCIEFGVEANAIIPAPYDWRLSPSKLEERDLYFHKLKLAFETALKQRGGPSIVFAHSLGNNVFRYFLEWLKLEIAPKAYIQWLDDHIHAYFAVGSPFLGSVQMIESTLSGVTFGLPVSEGTARLMSNSFASTLWMLPFSKYCRSDNMYSKHLAGNSKKGHKVYHCDTDKLKFNFSGWPTNVVNIEVPFRGDDAYSSFLNAARGNLSSMECGLSNLLSFSAHEVADGTFFKAIEDYDPDSKRVLYQLNNSYHSDPILNPLTPWERPPLKNIFCIYGVDLKTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLYSRYSQYIEVILILAHPFRSGNLVSGNPGATSGDETVPYNSLSWCKTWLGPKVNITRAPQSEHDGSDIQVQLNVEHILEGDVVANMTRSPRVKYITYFEDSENLPGKKTAVWELDKGIPDVAVKERSNMDVNQ >KZM94831 pep chromosome:ASM162521v1:5:26319511:26329142:1 gene:DCAR_018073 transcript:KZM94831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQDSQPHLSPEEAAAAEASLSLYCKPVELYNILQRRAIDKPRFLQRCLRYKMQAKNRRLKMAISLTGSTVLGSQPQSLFPVYVLLAKPLFDIQDTQSKTQSSDVYHYSRMGVLSNYMGSENLNQSHVYFILPEMEKLSAIIDDNAFFVLLISCGNVISDNDSTMNNIDMPSFPCTGGNALXERAVMLTTVDLNPRALKLVTLEGKKCISIQDPSDSGVVSVPLQVPVSGCAEEIWTKDRNPYNSSNYGHSESHLARLRNGNVIFNYKYHFNKLQRTEVTEDFTCAFCLVKGASYEGLKHHLLASHDLFNYEFLVTEECQAVDVTVDTDSLCNSESFKRRKTKNHGQGARNVTALVLDSGELPSTINGHRDTVNGALEYLEHNTSSLNNATGCSSATAHSYADLECAQSAPGSNLALFSAPQSAKSRKLSSERSDPRNRTLLQKKQFFHSHRAQPMALEQVLSDRDSEDEVDDDVADLEDRRMLDDFVDVTKDEKHMMHLWNSFIRKQRVLADSHIPWACQAFTNLHGKDFILAPALLWYTP >KZM95445 pep chromosome:ASM162521v1:5:32186605:32191286:-1 gene:DCAR_018687 transcript:KZM95445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVFVLLVCAGVLEAATIDNSTSHTIMNVQDIAKPGCQSQCGDLKVPYPFGIQPEGNEGPRCFRDFGFDIICNTSTNPPKALIRSWKNIEIFDISDNELRVSNNVAEKCYNQSGLVYRESRGSTEVDGTPYSYSSANLFTVVGCDDDADFVADAGLRKGCGTTCRNAEEVDKDGCFGTGCCQVSFNIYNFFNILLKSYDNHLNVSAFNPCSYAFLGERNKFNYSLLSDLKDPNLKSKIEDTVPLVLDWVIDRPKNCSVAAQDPDTFACKHAYSYCVDTDVRSGGYRCSCEPGYEGNPYLSPGCKAKMYLLLVLLVCALELEGATSECNTATEIDNSHSIMDVGAFAKRGCQSQCGNLKVPYPFGIISKGHEDRNCSYNEWFGITCNTTTNPPKAMMSYWDIEIFDISDTELRVSNDVAENCYDKSGAIYRTYQPSTDLDSTPYAFPLNNVWTVVGCDDYAENYQDAFVPKTCSSTCKNAEVVEKEGCFGTGCCQLSLNAVWFSTIVLKTYNNHTNTSSFNPCGYAFMGEKNSFNTSLLSNLSDAAIKNKIMDTVPRVLDWVIYKESKNCSEAAHDSSFYACISANSTCIDVERSRGYRCVCEQGYEGNPYLSPGCKDIDECADPKKNDCQKTCTNVPGNYRCSCPPGYDGDGRKSGTGCSANQVSIVNSKFPLIKVILGDLLN >KZM96145 pep chromosome:ASM162521v1:5:38650541:38655912:1 gene:DCAR_019387 transcript:KZM96145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRVADSAFLFKGPQLRPSQTHKFSMLKNAPGMSYLKWQSLPQRRMQCLKQFDPLRKSKTVRAVAAPVAPSPADSADYRKQLCESYGFRQIAEPLPDNITLKNVTDSLPQEVFEIDDTKAWKSVLISVTSYTLGLFLISKSPWYLLPLAWAYTGTAITGFFVIGHDCAHKSFSKNKLLEDIVGTLAFMPLIYPYEPWRIKHDRHHAKTNMLMADTAWHPAKVEEFNGSPIFRKLIILAYGPLRPWMSIAHWVVWHFDLKKFRPNEIQRVKISLACVFAFMAVGWPLIVFKTGMVGWIKYWLMPWLGYHFWMSTFTMVHHTAPHIPFKSAKEWNAAKAQLTGTVHCDYPRWIEILCHDINVHIPHHVSSRIPSYNLRAAHQSLQENWGKYMNEATWNWRLMKTILTVCHLYDEEKNYIGFDEIAPEESQPITFLKKVMPDYA >KZM93838 pep chromosome:ASM162521v1:5:11331807:11336019:-1 gene:DCAR_017083 transcript:KZM93838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTADLNKVWEIKTLTRKDKQDEAKAILNRIAKQVQPIMRRHNWRVKLLSEFCPKDPALLGVNVNHGVNVKLRLRRPNRDSEFYPFHQILDTMLHELCHNAHGPHNAVFYKLWDDLRKECEDLMNKGISGSGQGFDLPGRRLGGFSQPAVSSLRHTALNAAQKRARLGSLLPSGPKRLGGDSSLMTALSPVQAAAMAAERRLQDELWCASGSCEIEEAETSNDLLQKQMDRGSGTSRNSTGFDVNKSTSISGKRSRDMSNINTNALSRDTPMEPDFLDSTPDGIVSGSVVCENDTSQNRSDQRKKSFKSFDFQNKSNFIDLSNDSSASASTNYQDATKYAANSCKWECGVCTLANPPLAPVCEVCGTLKPRDLKDKYKIWSCKFCTLENSVDLEKCMACNEWRYSHGAPVAAPAPNIVSAPRGVLTLVNKLNVMPDYIPDWKNCIAILSCNATPEQASAIMDLIEARWGELVGEMPLKLFYPAMESHKWRIITGCDLKITSRSYHNGGSWPVLLWLLTAACIKTGQEEIARRAIQVAESRLMKDDWPEYYDGKLGSYVGKQSRKYQTWSIAGYLVAKMMLEEPSHLHRMALQEDKQSTHR >KZM94504 pep chromosome:ASM162521v1:5:23074114:23078403:-1 gene:DCAR_017747 transcript:KZM94504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLGLLRNVLKSGHLIRVGYQNPRISSLLGDSPRAFSTAGGTTTQNSPSLDPFIRNPNTGLMYGTVIGITKHTTKNDILNLLEDCNLTPEDLKVRYTPFFSPIGMLIQFPSMNVFDTAAKTLGRKGRGYRLSMTRPEIWENITSYNGKALLLQDIPRNALQDDIDRFISGCHQDGTSVKLFTRVIEKVPTRMAIVEFPSAILARLAFLTTIRKFCLNNQVLAQVLY >KZM94680 pep chromosome:ASM162521v1:5:24895111:24909068:1 gene:DCAR_017922 transcript:KZM94680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLYNEDNGNVKVDAKEMERRKYYRLRRRQVKAETEAWESMVEEYRELEREMCDKKLAPNLPYVKQLFLGWFEPLRDAILKEQKSQKSRKHKAAYAPCIDCLPADKIAVIVMHKMMALLMTGREGRFVRVVQAAVQIGVAIEQEVRIHSFLDKTKKSKTGKILTTDEEDASIETEVLRKRVKTLMKKRRTNEVRKIVKNEDFKSWGRDKQAKLGCRLIELLTETAWVQPPISQSVDAPPDIRPAFRHTFRIVPKDPGQSFGKRYGVVECDPLVLAGSENTVKHMIIPYVPMLVPPKKWRGYDKGGYMFLPSYLMRTHGSKHQQDAVKGVPPKQMQNVYEALDTLGNTKWRINRKVLSVVEKIWSGGGNIAGLVNRADVPIPVLHSDDAGAMKEWKWGVRRAKKVNQERHSLRCDIELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGVLEFAEGRPLGKSGFHWLKIHLANLYGCGVEKLSYDGRLAFVENHMKDIVNSADQPLSGSRWWLMAEDPFQCLAACISLSEALKSSSPHTVISHLPIHQDGSCNGLQHYAALGRDTLEAAAVNLVGGEKPADVYSEIASRVHAIMEKDSQKDPASNPNALLAKVLIGQVNRKLVKQTVMTSVYGVTYVGAREQIKRRLMEKGHIKDDRMLFSASCYAAKVTLAALGEIFQAARGTMGWLGDCAKIIASENQPVRWTTPLGLPVVQPYFRTERHIIKTSLQVLALQREGDSVEVRKQRTAFPPNFVHSLDGTHMMMTAVACRDAGLRFAGVHDSFWTHACNVDVMNQILREKFVELYSMPILENLLESFQMSYPKLTFPPLPDRGEFDLQEVLESPYFFN >KZM93027 pep chromosome:ASM162521v1:5:1827333:1838387:1 gene:DCAR_016272 transcript:KZM93027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSGRGKGNRNKAEKKKKEEKVVPTLVDIIVITPYETQVILKGISTDKILDVKKLLAVNVETCHLTNYSLSHEVKGQKLSEKVDVTSLKPCVLKIVEEKYTEEQHAVAHVRRLLDIVACTTRYDKPKNGKPNSPTAHAVGSGKARTRDPVPNADPPPENGEPTAAAAAIESLDMAAIHPIPKLSDFYDFFAFSHLSPPIINLKRVEQKDEQKKPDGDYFEMQIKICNGKLIHVVASVNGFYTLGKQFLQSHSLVDLLQRLSQAFANAYDCLMKAFVEHNKFGNLPYGFRANTWLFPPSLSDSASNYVPLPMEDENWGGNGGGQGRHGEYDLKPWATDFAILRSLPCKTEEERVVRDRKAFLLHSQFVDCSILKAVSAIRQATNSSMNATERLKSSPGSILHESRIGDMSITVRRDAADASTKIESNLFGRELTSTSAKEAAQMSLLKGVTADESVVVNDSLSLGTVVVRQCGYTATVKVVGDVKKDRYNSQNIDIDDQLDGGANALNINSLRTLLPKSSTIEPSGGSHSPHTDVSDIETSRCLVQKVIKDSLSKLEEEPELTDRLIRWELGSCWIQHLQKKDTTTDDMSKSPTNDKAEAVVRGLGKEFKLLKRREKTAGSVDDNDENDYRSSNLDVENSIRDVSNSEYESEAELKKFVSEEAFLHLKETGTGLHLKVELADKLPHIQSLCVHEMVTRAFKHVLKAVIASVENVADLSAQIASSLNFLLGNCKTEGNNHNSRVCPLKLKLEFKSDRLKVNWVSSLELKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALNINVFYVGRYVNRALFLLYFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQKLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGAEDLRAQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDFISPDQDSKGDAHRKRRAKVWPVGDKSQQLQHDERSNDSTVPDGIETTGVTAESSKAEDRPGIVISQAESSTVEDRPAVIVSEEPKDMDFRKNEPIVTEQAVQEASSDEGWQEANSKVRTGNGASRKYGRGRPDLAKLKIGKSEYFNPRDSSYRREAMLQGKKMTPRTNVTEPSKQRQIKILSSSAGEDMPKIPATTSVSKVPATLVSKVSPVSSPLTSMASKSLSYKEVAVAPPGTVLKPLLEKVEDLNEVKTDIQICLSPSEKVTENGSKIPLEEAIPDDEAVKDVHISEDPESEPESEESASAQEDSKLAGSGDQDSSVETNGSKLSAAAEPFSPGAFPLTHPLTSVAVTSVYDVIASQGMLAEPIGFPPLAARVPCGPRSPLYYRMSHSMRMKHGFLKYQLPPPERSGIGSPGIMNPHAPEFIPKKAWLTNPVIENSEVPTDSNSIIDANGELVAEAKAGEGVASKSEDVRTKKRSSDAEKAEFARQILLSFIVKSVQHNPDPVSQPPVTQTKSEFPENSSEAVANDSAIIKILYGNEGKTNLDSQTGGDEELKTVDITNKQGDEGFVVVTKRRRNRQHFTSGVNGLYNRQSMSASVR >KZM92980 pep chromosome:ASM162521v1:5:1363865:1369737:1 gene:DCAR_016225 transcript:KZM92980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVEDELEYESDPEESKMSLIARRREASDDEDERDERDGRDEERDEERVVESESEGAVEEYYEESEGSGEFDEEEVERDDEVEVEIGESEVKVAGGVEEGEPEFSGDKRPVEGEEVVGEEKKKENEPFAVPTAGAFYMHDDRFRDGSGRGGGRHRRNLGGRNLWESRDDRKWGHDKFFEEITTQERRREEGRKASRGRNRSRGKVQGEERSYARDNRPKMFNNDNQNNVPNNQNSRLQNSAPKNPDTAPKNQNNAPKGVRGRGPRRYQSYWNEVPIQKKIHAKSADKGHHATTTKVSERPSTGESDQNSAKRHVSSSLNSASPPFYPSASSKKEISLTQKRDGPAAPLHRNLQSSVSDESFSMSSSSTMRDKNLADVGMNKLYIDDHAPAQTGKPLNSSQLQVSVSPWTNSSQYPHSRVQGRVQTPMEKMAYQSTPHNHIERVPYPTQHRNGQQVPAQTHQGSFQASGRQLGQRTQAYLSPDSALTTNSFEFKETRGSFSYGGAQVIGASGGLPSSHGDVNFPGMPTFLPVMQFGGQHPGGMGVPAVGMAFPGYVNNPGSGNSEMTWLPVLAGPAGALGASYNSPYLSVDGTYQSRPSGQVSSVNGASSKENNMNKPSNGLMSSQKQELATDEFGQRQNKPRRQVLVAFLTKISAFSIYRDEV >KZM96470 pep chromosome:ASM162521v1:5:41197724:41199250:1 gene:DCAR_019712 transcript:KZM96470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSPGHSPRNLSSPSPSLSEHNNSTPNYNNSSASSSSSSNPRKRQAVLDEDSYVAAIEKIIERDFFPDIPKLRDRLDWLQAVRTGDPVQIRDAQLKIIERRAGKVSALDGQGKVKTPGSTFFRNSVSVDIEKTPIVDGVGNDELSGGVGVDDGGIDQSLSLDGFFRRYTSEDNESFSKLIEKVNKKKRERYAFLLEGEKEEGVKSVEDVKRDRITDGYGTSDQPPSSLEGWKYTAKNLLMYHPADKGELALTDEEKNERLSALTKEISRANTRFHGKMIDSVKKDEDDLAVLYTPVAGGTPHPFSLSDRTGDKLKKYDLDDLRKTPNPFYVESNKKADNGYSYVKTPSPAPGVDESPIITWGEIDGTPLRLDPEDTPFDIGGSGDGPQFKIPLPPTRDLKAHSLSRDAARKIRERSKMNQKPPLHSPLRGGSASPGARTLSPAAQKFFRSAIAKSSHSVDESLRASYRGASPGVATPKVGRSMSRLGRNDRTISRSPSIKEGSNPPW >KZM93799 pep chromosome:ASM162521v1:5:10909265:10910290:1 gene:DCAR_017044 transcript:KZM93799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLFTELIPSLPEDIALECLTRLHFSAHRVGSQVCNRWRELLQSKEFYYHRKQAGQTRKMACLVQSLPSPTESTRTKPAGQPSYGVSVFDPVFMSWDRVDPVPKYPDGIPLFCQLASSEGKLVLMGGWDPVSWEPVKDVFMYEFTTGRWTQRKDMPSSRSFFAVGAKDGKVYVAGGHDESKNALKSAWVYDICGDEWSELSPMSEERDECQGVIIGNEFWVVSGYETDGQGEFKSNAEAFDMETSEWRRVEEAWMASQCPRSCVGVGKNGGFMCWAECDSAVRVGACGVSLGDCSLVTGSEYQGAPQAFFLVNGQIGKLLKIEVPSDFSSFVQSGCCVEI >KZM94072 pep chromosome:ASM162521v1:5:14822912:14829063:-1 gene:DCAR_017317 transcript:KZM94072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDLGAKGSCQIGGNISTNAGGLRLVRYGSLHGTVLGLEAVLADGTILDMLGTLRKDNTGYDLKHLFIGSEGSLGLITKISILTPPKLSSVNLAFLACSDYRSCQKLLLEAKRKLGEILSAYEFLDAQSLDLALKHLEGARNPLPPLMHNFYVLIETTGSDETSDKQKLEAFLLDSLESGLISDGVLAQDIQQASSFWHIREGIPEALLKAGGVYKYDLSLPVEKMYDIVEEMRTRLGSAANVVGYGHLGDGNLHLNISVPQYDNTILAQIEPFVYEWTSKHHGSISAEHGLGFTKADKIYYSKSLETVQLMASIKKLMDPHGILNPYKVLPPSSLTS >KZM94244 pep chromosome:ASM162521v1:5:19900728:19902141:-1 gene:DCAR_017487 transcript:KZM94244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENADKDDSSWRLFTSEDMINGYKALKRMKIARNVKKASGFMDREAGVLSVNSAYAANSSTVSSTTSSENIKSTSCKNKLRQKTPLRTPFTDITNKIPRTNDDRDKAKAKYKMRGNARLKYLGRNLLDDELSKNQTASAIVDDENCAERMESTSCKNKMPQKTSLRTPFTDITNKKPRTNDDIGEGKAKYKSRGNARLKDLGRNLFDDELTKDQTTSAIVYDENCGMNFYYYCCNPYFQL >KZM93950 pep chromosome:ASM162521v1:5:12699818:12700939:-1 gene:DCAR_017195 transcript:KZM93950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAIKEKLEVSNYVNSVVADGSTVNEEKLTAEVSDCGPTGQELGICNHPAEFAESGELDKDSRSSYEELGEASYAYLNNQTVSMIFTEEKDDTRHLEISEQADEPHNRSSELGSQENVLVCARDESEISEMVNMLDSTTRELSNMPEYISSQTSDHNNGGKCKENNLSSSSITLMTSEGQEFKSVIIGGREVEETVFEDIKENESKRTSSTGERTSSTGDAHKTLDVEGEPDLQNISSERTPVISEDDIDRDGIACPLIVQKCKSSTTCPKLSTMDIVSFSEV >KZM95642 pep chromosome:ASM162521v1:5:34082091:34083515:1 gene:DCAR_018884 transcript:KZM95642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSARIGRSNSELQTSRNLRYTKSNSQEQGSRTSWANLPPTHHHPKAHRKVPVLYYLSKDGHLQHPHFVEVPLSSDSLRLRDVINRLNSLRGTAMPSLYSWSSKRSYKNGFVWQDLEEDDIIYPAEGQEYILKGSELLEPPALLQDAVVTFPSKPRSGSGSEFPAPARRRNQSWSAIDLREYKVYKSDSAGEPAGKAAADASTQTGEMRNRRHVVEKSTELSREEFSPPPSESSPETLESLIKADGKTIKIVGSGEKRDDVAVSNHPSGRMKASTVLMQLLTCGSIGFKDCGPGYMREHPGLSVVGSYKARLPRGGVEEEQLRANVTGKVRVEEKDYFSGSLLQETNKDEFPALKRSSSCNADR >KZM92934 pep chromosome:ASM162521v1:5:976401:977854:-1 gene:DCAR_016179 transcript:KZM92934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYSCREVLVFILAAAVVNYKGAAQDTTSTTLVPAIITFGDSAVDIGNNDYLPTIFKADYPPYGRDFAKQQATGRFCNGKLATDITADTLGFTTYPPAYLSPQASGKNLLIGANFASAASGFDDKTAFLSHAISLTQQLEYYKEYQSKLAAVAGSTKAASIIKEALYLVSFGSSDFVQNYYVNPYLNKFYTPDEYGSYLVGIYQSFIQNLYALGARRIGVTSLPPLGCLPATRTLFGYHEPGCVSRINTDAQGFNKKINAATKQLEKQLPSLKIAVFDIFKPLYDVIKSPATNGFKEATRGCCGTGRIELTSLLCNPKSIGTCPNATEFVFWDSVHPSEAANQILADSLLIEGINLIS >KZM94769 pep chromosome:ASM162521v1:5:25618880:25619257:-1 gene:DCAR_018011 transcript:KZM94769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEELTAEQKMIEEKVIEILKSSDMDKTTEAKVRKLASEQLDLDLSQPDYKSFVRGVVNSYIEHLEDQENQKEEQEEKGEEEEVVAEENEEEGEQGQGAMEYDDNGDLIICRVSFYSRGILIN >KZM94846 pep chromosome:ASM162521v1:5:26426353:26428425:-1 gene:DCAR_018088 transcript:KZM94846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGMAMKADQVGQYNYSKKITLFVVLSCMVASMGGILFGYDIGISGGVTSMDSFLKKFFPEVYRRMKEDKEVSNYCKFESELLTSFTSSLYIAGLVASFVASIINDSFGRKPTIIIAGIAFLAGSGFGGWGYNVYILILGRVLLGIGVGFANQSVPLYLSEMAPPNLRGAINIGFQFCVGIGVLMANLINYGTQKIEAGWGWRISLAMAAAPALVLTIGAIFLPETPNSLIQRGADQKKTKLMLQKVRGTDDVQAELNDLIDASNNTKTIKKPFRNILKSNYRPQLVMAIAIPFFQQVTGINVIAFYAPILFRTIGLGESASLMSAVVTGCVGIVTTFLSMLSVDRLGRRTLFMFGGVIMLVSQIMVGAVLAAKLGDEGGISKGWSYIVLILICVYVAGFGWSWGPLGWLVPSEIFPLEIRSAGQSITVAVGFLFTFIIAQTFLAMLCHFKSGIFFFFGGWVLVMTLFVHFLLPETKNVPIEQMERVWKGHWFWKNVVGGDKYNEVT >KZM94162 pep chromosome:ASM162521v1:5:17470936:17472469:-1 gene:DCAR_017407 transcript:KZM94162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRFRELKNGVPDDDGLPVYEVVNELVGPRFYSLKIHYGGDFDESFEKYNGGKYTYVDYIPKEEQNIVVLDEIMQELGLEKEFYSIWYHLPQTRLHNTNVMLLETDGDVEHFDAMLADNQDKEMEEVLGFVGEEEVGGLDNSKRTPRCNYCKEVGHNTKTCTAKQLDERNKRKEKVVESQGSQTTHQMDNTSNPTVNSSITGTTHGGTARPFKPPAKVGPLGVEASYVGKKKTFTSLRNLQKAAVIRKYSVGKKA >KZM95915 pep chromosome:ASM162521v1:5:36715899:36731300:1 gene:DCAR_019157 transcript:KZM95915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLRSAMNKAVEVGNKSNLTRAMKNYTDSVVHQAGQAVAEGAKLLQDRIGAKSFKSFKQTVKRLEEASVSFRGQERTLLIKKWLIALKETEEYKERSLEQHQPSDEVKENQKKPSIVLYFDYDMGGEPLNFRDVFLYSQALEGITISMILEPPNEEEVPLLLLLFGFCLTGGKEFHHAIVSSIQDLSNAFSSYKDEVLVKREELLQFAQSAISGLKMNADIGRLKPILYFRFSIPPRIDAEASLLKKKLDQIVARSEAKGESHETASKETTVSTIEDLKEALAHVRICSRLEGLLLKKKSLASGDSPEVHTQKVDKLKLLSESLASSKTKAEKRITEQRVQKEEALKFRVIKTSEVDEIEKEITAEVAELEKQRDELEAALKKVNISLAAANARLHNAREERDQFYEANDQIVAHLKTKEDELSRSVGSCAKEADVLSTWMNFMEDTWALQSSTTETKEKEVRVELERHENYFVNLVIQLLSTYKNGLKPSIDRIEKFVKNLKSLNEGSREPSAVDSKEVHPRKNLEEEYLDYETKIITTFSVVDNIKEQFYSQLGTHSSKEDTIIKELLDNIEKLRVEFEAIERPTLELETPEEAESTVNEAETLAHEKDNLSAENLEGNHSTTMEAAKSPKSKKNEHSDSPSTKSEQVLDAEAELAKLESEFGKDYSADEVNDWELDELERELRSGDTTSSK >KZM93148 pep chromosome:ASM162521v1:5:2825435:2830828:1 gene:DCAR_016393 transcript:KZM93148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILLSLVAVLIFIRSCESVIQGEQPLSKIAIHKATFALAHSSSIRAHPPFLGLKGEDTQWVNVDLEHAEPSEDDWVGVFSPAKFNGSECYYENDPKEQSPYICSAPIKYTYANQSTSNYTKTGRASIKFQLINQRADFSFALFSGGLSNPKVVAVSDPIRFINPKAPVYPRLAQGKSWNEMTVTWTSGYNIDEAISFVEWGMRGQSRRRSPAGTLTFTRNSLCGSPARTVGWRDPGFIHTSFLKDLWPNIMYTYRMGHMLSDGSYIWSKTYSFKSSPYPGQDSLQKIVIFGDMGKAERDGSNEYSNYQPGSLNTTDQLIKDLENIDIVFHIGDLSYANGYISQWDQFTSQVEPIASTVPYMVASGNHERDWPGSGSFYNTVDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFHFCIADTEHDWREGSEQYLFIEKCLASVDRQKQPWLIFAAHRVLGYSSDKYYGLEGSFEEPMGRESLQRLWQKYKVDIAFYGHVHNYERTCPIYQNRCVNSEKSNYSGTMNGTIHVVAGGAGSHLSEFSEVQTSWSLYKDYDYGFVKLTAFNHSSLLFEYKKSSDGLVYDSFTISRDYKDVLACVHDSCEATTAAS >KZM94070 pep chromosome:ASM162521v1:5:14689823:14690792:-1 gene:DCAR_017315 transcript:KZM94070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVIRCKSGYPWPSLYNHLSRKIVGLEEFMKQHMIAIWSILIFDYAGSGEFTVFVYKSCGMETDCSVRKPDLCFLPNDKFNEKEYILSVDSIEREKAMGIFEFNTYQDNSEIFKLLITDHELRRRTLRLQPTMFNMYKYWADGQDINLFFSRTKWSIQIIRGNQYCSFGPSWKLFAIYAVIEEGDMIVFRPTDNCTDVHVCVFKKDTLGVNGEEAGGNQNGSFFQFVDKKTVYCALQSDGNNE >KZM94218 pep chromosome:ASM162521v1:5:19438487:19439878:1 gene:DCAR_017461 transcript:KZM94218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAGVSPSSYTFPSVIKGCVLVSDLGFGESVHGQIFRFGFGSNVFVQTSLIDFYSGFGRLVGARQVFDEIPERDGFVWTTMLSGYSRGGDMGSARRLFDEMPERNVATWNSLINGYARVRDMGSCELLFREMPEKDLISWTTMISCYSQNKLYSEALTVFSEMRMNGVRADEVTMTTVISSCAHLGALDLGKDIHLYVMQNGFELDVYIGSALIDMYAKCGSLERALVVFFKLQEKNLFCWNSIIEGLAVHGLAEEAISMFGRMEKDNIKPNGVTFISVLSACTHAGLVEVGRRWFKKMTHELSITPQIEHYGCMVDLLCKAGLFEDALELIQSMTMEPNAIIWGAVLGGSKLYKNLDIAQVAANMLMVLEPNNSGYYSLLVSMHADANRWNEVAKTRSAMKELGVEKSCPGSSWIEMESKIHQFAASDKCHPAASQIYSLLHELENQLKLYGHVLEFKFFT >KZM94317 pep chromosome:ASM162521v1:5:20910014:20914502:-1 gene:DCAR_017560 transcript:KZM94317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEANGDENMNSHRKNKKPWGWRLNTGIGTMVIAVLLAVALASKHSPNFSLFLDHHKICRCSKDSGELSGIIEDSCCDYETVNRINVAVLNPILQQLVKTSFFRYFKVKLWCDCPFWLDDGMCMLRDCSVCECPDNEFPESFKKPPHGSISSNPLICQRGDPQDTVDRTLATKTFRGWIAVDNPWTNDDETDNDEMTYVNLQLNPERYTGYTGASARRIWDAIYLDSSPKYSSGESCQEEKVLYKLISGLHSSISIHIAADYLLDVKTNQWGENLQLMYDRVLRYPDRVKNLYFTYLFVLRALTKASDYLQQAEYDSGDHAEDLEAQSLMQQLLENPELQDACPLPFDEAKLWQGKNGPELKQQIQKQFRNISSLMDCVGCEKCRLWGKLQVFGLGAALNILFSVDDMHNQGQPLQLHRNEVIALVNLLNKLSESIRIVNEKASSVERLMNGIPIEPPKQNIKLWQELWKTVINFGYRSQM >KZM94126 pep chromosome:ASM162521v1:5:16297595:16297930:1 gene:DCAR_017371 transcript:KZM94126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTQMNLLQLTNENASMEERIKMLQAENSILEHKIKLMEIQQTHDEAVVTVLKNHIEERRAFNRLLRDDSNFSPSEMERHAQIREEIINEHEAKKRAKVSPKVDEQEKK >KZM95357 pep chromosome:ASM162521v1:5:31413904:31420735:1 gene:DCAR_018599 transcript:KZM95357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGENEGTLEYTPTWVVAAVCTVIVGISLAVERLLHYAGKYLKKKNQKPLFEALQKIKEGTIVKICVSRSLTEHLLPCPLSGKPGEEKSSHSKPETTSHLRRLLEESANEGYCAAKDKVPLLPLEGLHHLHIFIFVLAIVHVTFSVLTVVFGGAKIRQWKAWEDAISQESFDPNKGGVSWALANAQFLRHSFFKQFYGSVTKSDYVALRLGFITLLLAVGTKLEHIISQLAHEVAEKHVAIEGELVVHPSDDHFWFNRPKIILFLIHFILFQNAFEIAFFFWIWMVNTAVASHRSIACSAVQESSASASASTVSTADVKEKPKPKAKPPAKAPAKPLPQMMEEDVIPPLKSILETQEDISEIELSFEDNRLYGSFVKKNIRYSFWAFFPDGVLTGPKGFSLSSYGSEVSTLEPFLIDEKKITAKHIVFWVEKRLAAQGIIPVWRE >KZM95938 pep chromosome:ASM162521v1:5:36916559:36916924:1 gene:DCAR_019180 transcript:KZM95938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSSWNLLNSVSFWYDSSVSTPSDSPLWPAIYASMALGLILGLVALLAALAVLVPETLMVWITVLVLLNFCRMPGKTLVLEGKKLTGEMACVVLKVLIREGKFFVAVCAVLAFGLLCSC >KZM92897 pep chromosome:ASM162521v1:5:631453:631713:-1 gene:DCAR_016142 transcript:KZM92897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFRVWAGSSSEKQEADEVSTSEAAGGVGVKEVIGSRPPRCEQRCNGCSPCEAIQVPTNHHVGIQFTNYEPEGWKCKCGKSFFTP >KZM95851 pep chromosome:ASM162521v1:5:36237925:36240055:-1 gene:DCAR_019093 transcript:KZM95851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKATDPFAKKDWYDIKAPNVFQNKNVGKTLVSRTQGTKIASEGLKHRVFEVCLADLQGDEDQAYRKIRLRAEDVQGKNVLTNFYGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDSYTLRMFCIGFTKKRANQQKRTCYAQSSQIRQIRRKMVEIMRNQASSCDLKELVAKFIPESIGREIEKATSSIFPLQNVFIRKVKILKAPKFDIGKLMEVHGDYSEDVGVKLERPIEETMVEGETEVVGA >KZM96165 pep chromosome:ASM162521v1:5:38813242:38814557:-1 gene:DCAR_019407 transcript:KZM96165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKFSVLLLLLAFLICMADGQGLKVGFYKKTCPKVETIVKKTMDKVMAVAPSLGGPLLRMHFHDCFVRLKGPSWKVETGRRDGRVSISTEALTNLPSPFSNITTLIQGWQQKGLSRKDLVVLSAYICFNVCAGGHTIGTSHCGAFTNRLYNFTGKGDTDPSLDSNYIVNLKKKCKPNDQTTLVQMDPGSFKTFDKNYYTLVAKRRGLFQSDSALLNDSKTRIYVKHQAEHFKSSFFKDFGVSMVKMGRVGVLTGSAGEIRKVCSRIN >KZM96554 pep chromosome:ASM162521v1:5:41787294:41792237:1 gene:DCAR_019796 transcript:KZM96554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQDSNNLRNLPIDIAFARLGDIGYLEAKKIYDILLNSTPETRNFFGRLSGHTGTWEAIVRAYEKDFVYLAEAAQLMVQNVNYEIPYEKKQVKKIQQQLAELERREADIKRSASLSAAKYAEACQDLGLQGTNVRLELIETAIGSLPNTFSRILEVINSDSMSKSIEYYSNFVRDAHTDKDNTPTALLTNLINVRENPPFLDVSVGSEVLNSVNAKANIDDLHIEAALIKTRELKKLCETSLSTMFDGRTVNIIGEINTLLLNSSAGA >KZM96456 pep chromosome:ASM162521v1:5:41104317:41105516:1 gene:DCAR_019698 transcript:KZM96456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCHDHERDALLQLERSLFTSSNSSAYLKTAFWKAGGNSSNDCCSWDGVECDDTNGYVIGLDLSSSLITAAIHSNSTLFSLVHLQSLNLAGNNFMNSSIPPEISRLSRLSFLNLSHSSFYGQIPLELSGMSKLTSLDVSKNYLFGDFPIPIFNLPGLLVLNVSRNQNLSGYLPEFNKTSPLRELDVGLTEFSGIIPASIGYLQSVTRLRLRDCHFYGSIPASIGNLTQLDYLSLASNMFNSNSADLSWLQKLTKLTVLNLQDTNLHGKIPFWLMNMTQLIKLDLSLNELTGQIPRSFSQLKNLEYLSLSKNNFTGTVEADIFFGSRNLTILSLSGCKITLNSPLQNNIILPQLEVLQLTFCNLTEFPYFLQFQRNLRLLQLNGNNIHGNIPHWIWNASC >KZM96036 pep chromosome:ASM162521v1:5:37604941:37609571:1 gene:DCAR_019278 transcript:KZM96036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHVLARAVVCIFLLCMQVPVEVGGRIGSVKLDVVNVGALFTVNSAIGRSVKPAIMAAVDDVNSDSGILQRRRLNLIMSDTNCSGFLGTIEALQLMVDDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQFPYFLRTTQSDYFQMQAIADLVEYYKWREVIAIFVDDDYGRSGISALGDALAKNRASISYKAAFTPGAPTSDINDLLDGVNLMESRVYVVHVNPDTGLRVFDVAKRLGMMSTSYVWIATDWLCSVMDSSETVDPEKMDLLQGVVALRHYTPDTDLKKSFSDRWKKIKEKESLNFNSYALYAYDSVWLLARALDVLLSEGDNISFSYDHKLRDTNGSSLHLSTLRRFDQGDKLLQILTTMNFTGRSGQIKFDLERNLINPAFEILNIGGTGSRRIGYWSNHSGLSVTTPETSSMENVTKSANNQHLYDVIWPGETIKVPRGWVFPNNGKPLQIAVPYRVTYKEFVTKDKGPMGVKGYCIDVFEAAVDLLPYPVPRTYILYGNGERNPSFSNLVYDVSQHKYDAAVGDITIVTNRTRIVDFTQPYMESGLVVVVPVKRVKSSAWAFLKPFSWEMWFVTGAFFLFVGSVVWILEHRTNNEFRGSPRQQLITVFWFSFSTMFFAHRENTVSTLGRLVLILWLFVVLIINSSYTASLTSILTVQQLSSQIEGIDSLVSNNVPIGVQDGSFALNYLIDELNIAVSRIKILKTQDEYLNELLKGPKKGGVAAIVDELPYIQLFLSNVNCEFKTVGQEFTRSGWGFAFQRDSPLAVDLSTAILQLSENGDLQRIHDKWLSKDTCSAQSNQVDDNRLSLNSFWGLFLICGIACFVSLIVFFCRVYCQYLRYAPEDADDGLEDPESVGCVKGTSRTTSFKDFVDKKETDIKEMLRRKSNDSRRESRDGKQQTSQNSDVQLSSPS >KZM95426 pep chromosome:ASM162521v1:5:32004682:32005338:1 gene:DCAR_018668 transcript:KZM95426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSQPAILPITNPQTTAVESQAPVTTPAFRAFISNISETVRTGLAARRPWSELVDRSAFSKPDSISDATTRIRKNYTYFKVNYLSVVAVVLAVSLLTNPFSLIILLGLLASWLFLYLFRPSDQPLVVFNRTFSDRETLGLLILSTIVVVFLTSVGSILISALMIGVAIVCAHGAFRAPEDLFLDEQGEQNSSFLSFISGAANNAAASAAPIIATRG >KZM95446 pep chromosome:ASM162521v1:5:32193501:32198404:-1 gene:DCAR_018688 transcript:KZM95446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLLALLVFTGRLEAQELATSGHTANTNYTIMKAGKFTKPGCPTQCGNLTIPYPFGINSKGSGCSRDYLFEITCNHSTNPPKAFVQDGNIQIFDISDSELRVSNFVAKYCYDQGSLDSWNSASFDLSDTPYTFSDANVFTVVGCDDRGSIYQARDDYLPKGCITTCHNAEEVREGECLGTGCCQVSIKVLKYYDIYLDSYNNHTNSTSSFDSCGYAFLAEKNKFNFGGLSDLNDTSFKNKTKATVPVVLDWVIGDNKTCAQARQDSSSYACRYNNSHCSEAGTRFGGYRCSCNKGYEGNPYLRPGCQDINECADPKNDCTMTCNNTPGNYTCSCPHGYDGDGRKSGSGCVVRSSKFPMLKFFLAQASGSGHTATMGTNTNHTIMKVGNFTKPGCPSQCGNLKVPYPFGINSKGSGCSRDSLFEITCDHSTNPPKAFVQDGNIQIFDISDSELRVDNVVAKYCYDKGSLLDSWKPASYNLSGTPYTFSDANVFTVVGCDDRGNIYQAVDDYLPKGCVTTCHNAEEVREGECLGTGCCQVSVKVHKYFDAYLESYNNHTNSTSSFDSCGYAFLGEKNMFKFRGLEDLKDTAFQNKTEATVPVVLDWVIDENKTCAQAAQDSHSFACRYNNSHCRDAGKSSGGYRCSCNKGYEGNPYLSPGCQDIDECADSTKNDCEKTCNNNIGSYTCSCPHGYEGDGRKGGSGCVAKSSKFPLVKFILGNTLLSLQNLIRITTNSSHGGSNKQNIIGIC >KZM94095 pep chromosome:ASM162521v1:5:15396182:15401487:-1 gene:DCAR_017340 transcript:KZM94095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPSMLSPAQKYAAGGLFALSLNQVLINKTNPLSSITDDNDYGPDVINSSFVDPVLWLQHYSHLLRLIFKSLDIEEKAWPGLEEAFRNDSSSRNVKAFLRLLAEDSNDDSTEIKEQELALMKAVDNVAIELRASVDYRSKKKKHREYIDECREKLLSDAQSSYEKEQKGLHDPLNECEDERQELLSGETKYNFDMETKALLDPVDDEARKASIDVAPIGSGSTFNQQPFEEVLMISYQKKVSLLHQLLSACLAATSETDSQSVRRRSGYDARHRVGLRLLTAWLNINWITMEAIETVIATSAIARLKVEELKDPETQGEKDAWANWKRGSMIGAAALTGGTIFAVTGGLAAPGIAAGFGALTPVSLHVAATAATTHLAVAASLGAAGAGLSGMKMASRIGNLEDFEFKAVGEHHDQHRLAVEILVSGFVFVEEDYIRPWEGQANNSERYALQWESKNLIAVSTAIQDWLTTQLTITAMKQGAMLTVAHTLVSALTWPTALLNVLDLIDSRWSIAIDRSDQAGMLLAEEVLLKGLQGQRPVTLIGFSLGARVIFKCLETLAKSDCDASLVERVVLLGSPLSIKGENWEEARKVVAGRFVNAYSTNDWMLGVVFRASLLSKGLAGMQPVDTPGIENAKNVISLAQIDVTEFVEGHSSYLWTTQRILEKIQLDAYFPSPDATFMDNDNDSVKSCTTTARSSPTAAKSAPSTAYNSAESSPTEAGKSAWDE >KZM95432 pep chromosome:ASM162521v1:5:32049003:32055497:-1 gene:DCAR_018674 transcript:KZM95432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINFNPFGENWFKKPPNPFQPITPFFDSLNPFKPQPKSPLFASITASNPDTPDPEEKKPGKYRQMLDQFYWECENRPDFRHDPEIDRLMNEDPLVEKKENPTQEEIEENEKWWSEFRSSPVVQFLAQAEKIADMLNEMELKENETPFRKEDKKYWRDVPHVIGLDGRPMPRKALTQREADNKFWDFTKQFFFGLWGFQQRPYPPGRPIDAAQAIGYKRLEKRYYDFIMKTGGWFYKDRLGRTRGPMELIQLKTAWGAGIIDKHTFVWGEDMDEWAPIGMIYGMERAVATWEVRLGAAATAFLHKLQKGIPPWAPLKGFEKKSYKQLQDEAYESKRRDLTVLEANDGVWPGVRIPSHALFLWASGSELTSILENDHMPNKYIPKDLRLQLAKAIPGLRPWEARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNKLKYALTYREVQSILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEESKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLEANKIVDFIKFDVGNVVMVTGGRNTGRVGILKNREKHKGSFETVHIQDALGHEFATRLGNVFTLGKGSKPWVSLPKGKGIKLTIIEEARKRTAAQAATTA >KZM94867 pep chromosome:ASM162521v1:5:26607432:26613354:1 gene:DCAR_018109 transcript:KZM94867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFKDPNKISAYRDRRFQGSQEEFEHALLTSTTVYVGNMSFYTTEEQAYELFSRAGEIKKIVMGLDKNTKTPCGFCFIMYYSRDDAEDAVKYISGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDPAVRRGGYGKLVQKELEAQRELVDYGVGSLGSFPPVMPSNYGRNSGRQGYGGSSRQGRGDYQRKRHREDDRFGPEITKRTSDHDSRRNSDHDSRPEKNPRFRESGDQEKNPRFRESGDSDEDEDDRKRRP >KZM93572 pep chromosome:ASM162521v1:5:7946530:7947609:-1 gene:DCAR_016817 transcript:KZM93572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINIFIRLPVKTLLKFRRVSKYWCNIIDDPLFTHMHHDFGEVQQKTLLLGALDWPATTALRDSDTEEAMVIDAAKVPMAMFEPGCAFGSCNGLMYFTRNFSDNIVVSNPLRSQFTILPPLPTETCYCKDSDSAIGLGFDSATKTFKMVTSYKTRLSAYCTLVHTLGTTSWREVSGVPAAYCCDSDEKSVFVHGFLHWMTKPLRIQDCEGRILAFDVSKETFKVIPSPEINYEKHSEIERLLRILDINGNLAMLDLSVWNTIDIRVMDYETQLWCKEYTIDITIVGSIYNVSTQVVGHCNHNEILFSFVNKNYSGSIVSYWSYSMKTGDLNKWGDVWGSHPRVYSLKGTLISIPGAVEVS >KZM96113 pep chromosome:ASM162521v1:5:38381378:38383070:-1 gene:DCAR_019355 transcript:KZM96113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLKPPYDDRIRTLYVSGLDKIIDEQDIRDKFSAHGEIETIKMIPSRDCAFVMYTSREGAEKAAGELSNMLVIKGQKLKLMRARSQTLKPESLVFNEAREKAFGKLRSNNTILKLQRTAPYSSRIQAHVCGFYSTTELSPIKDRYYGVNESTAMKLLNKAGEMPSLEPPDDESIRTLYVGGFDKRIEEPDLRDNFCVHGEIETIKMVPSRDCAFVTYMTRESAEKAVKELSNKLVIKGLRLKLMWGRPQAPKLESSVSDDAKQQVAVLSELLKNHVLHPPGIQDQHPALHHFNIPPLAPHEGRAYYPLQDSQRTGAGIPSHKGTFSGSNETISGSQKHQAAGTP >KZM94553 pep chromosome:ASM162521v1:5:23539389:23542399:1 gene:DCAR_017796 transcript:KZM94553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHATGLRRILVLVFCVTGIWSAYIYQGILQETVSTKKFGAEKKRFEHLAFLNLAQNVVCLIWSFIMIRLWSSGSTGGAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTIPEYVCTLLVAGGTSSKTIKKLANPNAPLGYGLCFLNLAFDGFTNATQDSISARYPKTSAWDIMLGMNLWGTIYNLAFMFGWPSASGYDAIAFCKQHPEAAWDIFLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSSKQWGCVGMVFCGLSYQIYLKWQKLQRSQKKRKAM >KZM93710 pep chromosome:ASM162521v1:5:9485369:9488414:1 gene:DCAR_016955 transcript:KZM93710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIIEEYENNNSHFYDSDDITTDEEEEVQEQDDEESAAEEYNSSTDIYSCGGGNLGTKMLGSSSKKSWLQEWDHVFPLISALCLFLDPTFFYTLSLNEDGMCFFIDSWFALGLSLLRSVNDALHIWNMWHHYRRSTPAAAVHGVRRRCGGIALQNFKGKMVFFLNAFVLLPLPQVVILIVVPAMLKNGEQARAMTVMLIIFLFQYFPKIYTTVCLLRRMLFQYIFGAAWWGIGLNIIAILVASHVVGACFYLLGTQRSARCLMEQCMKTESCTFKALSCENPFSFGGAYKVTNNIRRSWGSNNDARSWCLQSSDNSRYDYGAFEWITLLVCNNSRIEKMLLPLFWGVMMLCTFGNLGSTDDWLEIVFMMIVTACGLVLVTILMSNIKVYLIATSSKKLARKVNVNNIEWWMERRNMPQGLRHRVRKYEQHHWIATRGIDEGDVIRSLPEDLRREIKYYLCLDLVPLFHHMDSLVLENICDRVKPLVFPKGEIVIKEGDPVHRMLFIVRGHLQCTQVVREGVNSCCTLGPGNFGGDELLSWCLRKPFVEILPPSSYTLISLEATEAFGLEADDVKYVTQHFPLNEKVKMIARYYSPGWRIWAAVTIQLAWLRYRHR >KZM95812 pep chromosome:ASM162521v1:5:35796016:35804594:1 gene:DCAR_019054 transcript:KZM95812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREGSKDHNEAVRILTRAHAAKDTDQRKTLDVEKESIMKENTASKEASVSVANLNVVLDEEHIACMIREGLKDHNEAVGSAAKDTDQRKTPDVEKESIMTANTASKEASTTGKKTCTDASRRFTESSKMSDKAVKCRTRSGGARNTDRRRTATVKKENHLIEKAASKETSTGEKNTKRELTYLGSPVTPKADIKTSIMSPELSSVKRSRSGRILLPTLEYWRNQTAIYDAEHQVIGIKDSIPCTAEVRHEPKRSEGRARSKKHPNAATEKLTREPKRPVGRPQSKIDPNAATEKLTHEPKRPVGRLRKNLAAAKEFKSSRRR >KZM93570 pep chromosome:ASM162521v1:5:7935791:7940668:-1 gene:DCAR_016815 transcript:KZM93570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCSALCRGDNDKHTNISSVTTYDFKFNKSGGVDISALNARLIANGASNIACLHTQQGKKGTNQDAMIAWEYLMVMVPTPYGHLVARKVRDNLPNLLSTQWNENLNNDHKCVDANDVFTENGNAAGNGDLGEHADDGWSEPLEGEEIVKRPEMYWQLKQSMLKAFKLMDKELMRHPAIDCFCSGSTTVALVKQGQDLVIGNVGDSRAVLATRDNNNSLTAVQLTIDLKPNLPREAARITQCKGRVFALQDEPDVPRVWLPNSDTPGLAMARAFGDFCLKDFGLISMPEVYYHQVTESDEFVILATDGVWDVISNKEAVDIVASAPGHSTAARALIDCATRAWRLKYPTSKIDDCAVVCLYLEHACTTDVAKAQSNVTSTLKEEVSMTVNDKVTDIGSVSPSHSVVLEHSGTVRCSEEIVPVAEASEDKNPEKSMGQSKRSIADCISTAEEEEWSALEGITRVNSLLSIPRFLSADKGSASWRKVMWKS >KZM92874 pep chromosome:ASM162521v1:5:449332:449875:-1 gene:DCAR_016119 transcript:KZM92874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEECEKERNEKRKALLALNSEERKVDFDKDFESMKLLSSKKNEEEIQRSDKGKEVAEKAKAKKAVSISEFLKPAGGNYYGPGRGGRGHGRGPRGGHSGNNVRAPAIDDQAEFPTLGSK >KZM96223 pep chromosome:ASM162521v1:5:39289314:39291522:1 gene:DCAR_019465 transcript:KZM96223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDCNKDVLAVKAPKKTPLLLRTTVVVFAVFCGLYMFTICIKQTRFASDSKLFKIQLIQDPPCDKSGYQESELAYLHFPKPGTFRRKECACNPVRFFAILSMQRSGSGWFETLLNSHINISSNGEIFGAKDRRSNASRVVEVLDQVYNLDWFSSASKNECSAAVGFKWMLNQGAMTNHKEVVEYFNRRGVSAIFLLRRNLLRRMISMRANAHDKSVKLINGTHKSHVHSPKEAQILAQYKPKINTTELVPSLMQVEGTARHALEMFKSTRHIVLYYEDVVNNRTKLVDVLEFLRVPYRDLTSRQVKIHSGPLSQYIDNWEDVKKTLNGTSFESFLKEDYK >KZM93046 pep chromosome:ASM162521v1:5:1947950:1951516:1 gene:DCAR_016291 transcript:KZM93046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSTQFKLICLLSFMGWSASAAPIDPTRLSPPWPLTTDPVLPTQTQPFSSQAKPQSSGAANASGKHSEDISNKILVALIVTSTLLAAIVLFLSCFWFYRRKNFDKSNTDDQENLEAAKGISLGPILGKVGSLRIGGKKGSNTVIEYKLLVAATNNFEEDNVLGGGGGGRVYKARLGDQHLAAVKKLQGGGPEAAREFENELACLSKINHQNVIALLGYCIHGDTRLLVYEMMHNGSLESQLHGPSRGSALTWPLRMRVALDVARGLEYLHERCNPPVIHRDIKSSNILLDSNFNAKLSDFGLAVANGIQNNKSIKLSGTLGYVAPEYLVDGKLTDKSDVYAFGVVLLELLTGKKAVENVAPSQCQSIVSWAMPQLANRSKLPSIVDPVIRNTMDLKHLYQVAAVAALCVQPEPSYRPLITDVLHSFIPLVPVELGGSLRLADPAQSI >KZM94474 pep chromosome:ASM162521v1:5:22857305:22859127:1 gene:DCAR_017717 transcript:KZM94474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSCWKPSMEGDRLLWHKDLGSHVSGEFSMAVIQANNLLEDQSQLVSGQLSSMNSGPSGTFIGVYDGHGGPETSRFVNDTLFPNLKRLVSEHREVSANVIKKAFMATEQDFISSVRDQWHFKPQIAAVGTCCLVGIICDGVLYVGNAGDSRVVLGRANRSFSEVTAIQLSRDHNANIASVRDELHSLHPDDAQIVVLKHNIWRVKGIIQISRSIGDAYLKKAEFNREPLLAKFRLPAPFKKPILSPEPSTSTHKLSSTDQFLIFASDGLWEQLSNQDAVNIVHSYPRNGIARRLIKAALQVAARKREMRYLDLQNIGRGIRRHFHDDITVTVVFLNSPMVNKISARNICSIRAGE >KZM96410 pep chromosome:ASM162521v1:5:40798355:40798609:-1 gene:DCAR_019652 transcript:KZM96410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLSFENGWDDSAASAGSAGLFFMVCMILMTVSVISIIIFACGDSGEKTSKSRAGKGGFFGTVGADGGGGCGSGGGGGGGGG >KZM94378 pep chromosome:ASM162521v1:5:21723291:21730094:-1 gene:DCAR_017621 transcript:KZM94378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFGIPRQLSHLQKLRSQYQPELPPCLQGKSVRVEFSDATASADPSGAHTISRSFPHTYGQPLAHFLRATAKVPDAQIITEQPAVRVGVVFCGRQSPGGHNVIWGLHDALKTHNSSSTLLGFLGGSEGLFAQKTLEITDDILASYKNQGGYDLLGRTKDQIRTTEQVNAALAACKALKLDSLVIIGGVTSNTDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVEANVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTLFDLTKQLCDAVQARAEQDKYHGVILLPEGLIESIPEVYALLQEIHGLLREGYSVDNISSQLSPWASALFEFLPPFIRKQLLLNPESDDSAQLSQIETEKLLAHLVEEEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDFAYVLGHIAYHILAAGLNGYLATVTNLKNPVNKWRCGAAPITAMMTVKRYGSGPGAAFKGKPAVHPATVDLKGKAYELLSQNATKFLLEDIYRNPGPLQFDGPGADAKAVTLCVEDQDYMGRIKELQVYLDKVRTIVKPGCSQDVLKAALSAMAAVTNILSVMSSPANSGL >KZM95743 pep chromosome:ASM162521v1:5:35120574:35123643:1 gene:DCAR_018985 transcript:KZM95743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVPKTASPDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGGHDPFDIFQSFFGGSPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLCNGTSKKLSLSRNPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHSLTLSEALCGFQFTLTHLDGRQLLIKSQPGEVIKPDQFKGINDEGMPMYQRPFMRGKLYIHFSVDFPESLTPEQCKALEAVLPPRPSIQMTDMELDECEETTLHDVNIEEEMRRKQQAAQEAYDEDEDMHGGAQRVQCAQQ >KZM96520 pep chromosome:ASM162521v1:5:41567690:41570600:-1 gene:DCAR_019762 transcript:KZM96520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTYQYLEELTPNKEDSKIKVRVTREWESRNPNTNHLINKNYILMDEQGMLFHVLLMLNQIDEYTRRIQVGNLYLISTFAIACANDTYRPVKGDKVINFTRKTNIKKLGDDSSIPRHGFELATFDEARSRVGATTTLIDVVGKLKSFTRIQTLPRNKEKLDITLQDDTICFTLILPTAKPTLSATSATQYFINIDYPAVNILRKKGGQEKLVPVIIQPITNPRQLLLDNIDHISIETLLDIMLPDGKKVPVQHVLDNDESADPSNIPSILNNIIGGTFKFYLKITAYNTTGVRKEGYTVVKVEEMECEENQGDKPVKEKRPPSTSTKQMYDDSNIDSEVGIYNCKYVPETELNKGKRTHEKKGLHTLNHQENQKKHKVNSPVDNKLSENKGDEGITRPDKKSDQRQKTVPTAYKNKKPPPATTKQNTNKPQIPVNEPTNKEQVPSKNDEAIKRNSTSNKTIQKDLNGGKEKKTNPKVPLLSSEPHTPDNKRKHRTSTATKNAKKPKFTDSCINKATEDKIGNKPHKLYARDDTLKTKKITSDKKTTAKLLQGTNKKNDNQ >KZM93754 pep chromosome:ASM162521v1:5:10466280:10470049:-1 gene:DCAR_016999 transcript:KZM93754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQPSVILATASYDHTIRFWEAKSGRCYRTIQYPESQVNRLEITPDKRYLAAAGNPHIRLFDVNSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVRIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNRGTCYVWRLLKGTQTMTNFEPLHKLQAHDGYILKCLLSPEFCEPQRYLATASSDNTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDATARLWSVSTGEDMRVYQGHHKATVCCALHDGADTPS >KZM94951 pep chromosome:ASM162521v1:5:27315769:27318028:1 gene:DCAR_018193 transcript:KZM94951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNYMKQGMRTTVEGILLIGECVGVWWRPNFETYLYPYCPPHITKPKECKKLFLVHLPEKQFFAVPHNLKLLAVPLFELYDNIQRYGSVISTIPMQVSRFQF >KZM94773 pep chromosome:ASM162521v1:5:25730271:25731985:1 gene:DCAR_018015 transcript:KZM94773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGAVVPESFLKKQKRSEEWALAKKQQLEATKKKNAENRKLIFNRAKLYAKEYDEQQKELIRLKREARLKGGFYVSPEAKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATLNMLRRVEPYVTYGYPNLKSVKELIYKRGHGKLKGQRIALTDNSIVEQALGKFGIICVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDFVNELIRRMN >KZM96392 pep chromosome:ASM162521v1:5:40671918:40673723:-1 gene:DCAR_019634 transcript:KZM96392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQYLPLRAANLHTNANTTDKSSTSQLFLNHQKRNRFFSKNLSCRAAKESDGSQEDARSKSRSFQNVEGGKFDRRDILLGLGGLYGTTAVSQAAFAAPVDATKCGNADTLPTCTNIKDANCCPPPNPNITDYVLPVNQPLRVRPAAQLADNKYIEKYNKAMKIMRSLPKDHPHSFAQQAAIHCAYCDSAYEMVGFPNVKMDVHFSWLFFPFHRWYLHFYEKILGKLIGDPTFAIPYWNWDSPDGMPIPAMFADHNSPLFDKLRDDNHQPPLKIDLNYSKSTPSPVGDELIQANYKVMYNQMVSSSKTPELFFGGAYRGGEAQVKAAGAIENQPHTQLHIWTGDPEQKYGEDMGRFFSAGRDPLFYSHHANVDRMWNIWKTLPGKNRKDIDDPDWLDSAFLFYDENEKLVRVKVRDCVDTKKMGYVYQDVPLPWLGSRPPPKAKGKGKGRGNKAGVANAAEAPQVFPNASEVLPQVLNGLIKVSIPRPKKSRSQEEKEDAEEILVIDGIEYDGNEYVKFDVFLNDEDEVESGPNNAEFAGSYSNVPSISNNRVKVSMSLGITELLEDVGADDDDNVVVALVPRTGKGKVTIGGAKIIISS >KZM94611 pep chromosome:ASM162521v1:5:24165716:24166711:1 gene:DCAR_017854 transcript:KZM94611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALKLEVAKQHYATSSLVIGYALCSSLLSVINKFAITKFNYPGLLTALQYLTSALGVWVLGKFGLLHHDPFVLQTAKKFLPAAIVFYLAIFSNTNLLRHANVDTFIVFRSLTPFLVAVADTAFRRQPCPSKLTFVALLTILGGAVGYVVTDYGFSLRAYSWALVYMVTITTEMVYIKHMVTNFGLNTWGFVYYNNLLSLMMAPLFWIVTGEYADVFTAVGSNSGNLFDPVAFSAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVTIWDKHATPVGLLCLLVTLVGGVMYQQSVTGVSNVQSQPKQVEGKTSGEDEDK >KZM95057 pep chromosome:ASM162521v1:5:28274102:28275647:-1 gene:DCAR_018299 transcript:KZM95057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICMGKSAKCAHASSNQFMGFLEEGRFSFRTLKFYCLNFPGHLACPTPWRRSGGHWTGQRMMVRPMAKTPDLSEKVAESIKEAEETCAGDPASGECVAAWDEVEELSAAASHAKDRQKASDPLEEFCKDNMETEECRTYED >KZM96045 pep chromosome:ASM162521v1:5:37671089:37672990:-1 gene:DCAR_019287 transcript:KZM96045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASFSNASMILEEERRFEAEVAEVQAWWNSDRFRLTRRPYSARDVVSLRGDLKLSYPSDELAKKLWRTLKAHQANGTTSRTFGALDPVQVTMMAKHLDSIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFMAQLYHDRKQREARMSLSREERAKTPFIDYLKPIIADGDTGFGGATATVKMCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVSVSEHINRLVAARLQFDVMGTETVLVARTDAVGATLIQSNIDTRDHQFILGVTNPNLKGKGLAELLEAAMGAGKSAPELQAIEDKWLAMAELKTFSDCVVDAIKNLNVGEAEKRRRLNEWMNCASFDKCLSNVQGREIAERLGLVNLFWDWDLPRTREGFYRFQGSVSAAVVRGWAFAPICDLIWMETASPDYDECSEFAQGMKLVVPETMLAYNLSPSFNWDASGMTDQQMMDFIPRIAKLGYCWQFITLAGFHADALIVDTFAKDYAKRGMLAYVEKIQREERKHGVDTLAHQKWSGANYYDKVLRTVQGGITSTAAMGKGVTEDQFGETWTRSGATNMGGSAVVIAKSRM >KZM94010 pep chromosome:ASM162521v1:5:13678549:13688830:1 gene:DCAR_017255 transcript:KZM94010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEQKQHKKNKKRKNRSSGVEFEQEQSKAPRFDEQEEELKLEAPWTNLQLILSIENQKIDTLRKVELAYAYVKSRVSEAESSGTEERETVRISRVVVSVSNWIQSLLISSGKRIIAKRKFESELCLDYRCWEIFRFCLDESVKQKVSLGLSKDLLQVIQCIAGDVSFRLGDASLRIQVRDLESGVLEFYNTVLGCISSIFMSHGGISNENLNLWVSTVGVVLNLVKTILQDKLDDSKIGVFVLNFSCVVIKPFAKFLKLHPTKDNFKCFVKDLLEPLLYMLDVLHPFTNDHGWEGNLWKLIKEVLSQGLFHPNHIDGLMSVQSLNKYMTSSDYQSIKDSKMLNKKNKKCSKMVAESYHRQFFDKMEEMMTGTNALAVGNVGELFCSYVDCIKKQKGLSSVGGGASGQLPDEPSAQTAKVSSRNGNVDMENGHHESRLDSETRKLLFDFFVQLMEPFLGEIHSYLQNEPEVGPILSTAHCTIKSINKLLVSFVHENVYVRTEDTSDGACLNFLKAVYDMIRSLSARVQHIFPSMIDSNERIHNEVIVLIFKELISTLKCLLEIEYEVFGDDLESLWRMMISLGASALVLTDNNPEQSVLTLEIIQFGIQLISIFGQLRQVNNAVFTLCKAVRRLLLSVGDGQVCFSHIWKPSLYCESCVKFLGMVLCSPDFRISICDAIMSIPEGQVSGFVQLFTTDVSESLVWMKGNCSTNARTKHGELDPDNCSSPCFKLKAEVLGRSLSELYSLILDSVTATTGNSTLVGVAVKDLIAKIRPNMRCLVEKELDLVDIQLDSVYVFLSTLTGRTIAMGDECKHVYVSTHWVVLFFFRLYMSSKSLYRQAVSLVPPDISRKMSKGMGDSLTAYSGCDLLKRTNGTDEGYYSWIVQPSASLLSTINAVLHICIQNSVADHSSLIYVFINMTIQRLVELNRLIKSFKYLRQRVSALKHEAKELTNFLMEYIPVFDKILLPISSANDVNDSEMSVQRSLGKDGWDFSVGAVNKKSLPTATWWIICQNIDVWCAYPAKEKLKMFLSLLIQSSLPSSENNFKVFGKHTTDKHGHIKAITAHQISSELLRNAVLYEQKFVRRHMASGLCEIMEELVSSISIVEPNSCSSNMSHVLKVKIKDCQSLLKFLCWIPKGFIKSKSLSDYTSCIINLERRLQGSFIEISSALQPYECHELFRLFMLCRKALNKLTMASEKRMEPCHFISTPIYHDTLFQTSGLSMSLSAVIGLQDMFPDCVSESNRTIISLMDHTSYMVLTFSKDQFIHAIKPLMNSVKPCKDMNNEDSCLDSASNLDAWKTIVSYTESIKEQARTALISLKEFLSGRDATGFTAQEVKLFSPTISCIQGVLWGLASALDEIDGERCHLKSKLSRWKCEPFFKIRTCIDTFAEFISSFLNYMFLEDGKLPQRSFETIDLSISKGHGDFLCLKEPSSKVCDDDADYHEKQQISRNAEGHSASTINQKSNTRARVRLKNEDVATFWTKIELFERQYLKKSLLLGFLRGENLEAATFLRQLFITYSAILRINLHIKNIFFSASLVPSLVSIAEVLLLEFSNMAESPDAFCFVWLDGIAKFLEELGRQLYSADATLTQNLYVKLINIHLRAIGKCIALQGKGATLASHETESSIKTLDDQTKLSKSAHGQHSLNELKARLRMSFRVFVEISSELHLSSAIQAIERAVVGLEEGSKSNYEICAGNSKEGKISSTVAAGVDCFDLFLEYVKGSKRLLVVKGHIQNIVSALFNIILHLHGPILFFANTNPCSADATPDSGSVILMIIEVLTRVFGKHSLPQVDGCYVSQSLRISGGLFQNILELKVFKANAQSNHLLIPASVGSEISESMKACAIDRHESQRCSALLEDAVRVLLCCLEMVEVDPGTTKDYFAWEVQEGIRCAYFLRRIYEEVRQQKDVLGCCCFQLLSGYIRIYSGYGPFKLGIRRDIDEALRPGIYALIDACSADDLQRMHTVFGGKKP >KZM94049 pep chromosome:ASM162521v1:5:14335472:14336690:1 gene:DCAR_017294 transcript:KZM94049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTVKAPQIQSWFVWQCGKDFSRSMVKLLSATLSWLFTVVSELEVGQSTYLLQNQVVIIGANVDHQHQERTAIDINLVWLLLHLLIRDLYVVKRKAVGIWGCKDCGKFKACGAYTLK >KZM96310 pep chromosome:ASM162521v1:5:40028375:40029123:1 gene:DCAR_019552 transcript:KZM96310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNISVDSGSVEETQDVKKGNSYGSEIVETSSGETILLEEVSENTENTSAEQTAPVPTALEDEVTIEPSL >KZM94333 pep chromosome:ASM162521v1:5:21154128:21156208:1 gene:DCAR_017576 transcript:KZM94333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGISPLLLAISVVAFTSLAAIAFKVVNWVWLRPKKYEKYLNERGYHANPYRLLRGDILEYAAMGEENRLKQIKASDNVSFHALPYIHSIIKKHGKKAFIWFGPTPSIQMTDPEHIREIMSKPNVFHKMHPDPLADTILGGLLSSEDPKWSRDRRIMSPAFHLEKLKTMLPAIQQTCDEMIKKWEMLVLETGSAEFDVFPNLQDLSGDVISRTAFGSNYKEGRRIFILQKEQIDLFHQLLKFVIIPGWRYLPLKANKRMNTVCKEIHTLLRGIISKRQKSMEMGEVGNDDLLGILLKSNSVEIKENGIGMDIEEVIKECKLFYFAGSETTSNLVVWTLIMLSMHPEWQARAREEVVQAFGSRTPDFDGLNHLKIVTMVLQEVLRLYPPVPLFTRSLPKDAELGKIVLPGGIIIAVPVILLHHDPDIWGKDSLEFRPERFSEGVSSATKGKYSYIPFGGGPRVCIGQNFAMVEAKLALTMILQRFQFQLSPSYVHAPFPIVTLQPQYGAPLVVHQL >KZM93116 pep chromosome:ASM162521v1:5:2559444:2563331:1 gene:DCAR_016361 transcript:KZM93116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLCKRVTGDDTIWKTLKAPFSRRSSKQNINAGTVENNECREKNRSGVLTVTEKYKPRPEFSLKTCHGWPSWLCTFAGDAIKDLTPRRANTFEKLDKIGQGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILVLKKLNHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLSGLAAVQGNKFTESQVKCFMKQLLSGIEHCHNHGVLHRDIKGSNLLIDNEGNLKIADFGLATFFDPAHKQSMTSRVVTLWYRPPELLLGATHYGVSVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSRLPNATLFKPQQPYKRRTAEIFKDFPPSSLPLLEKLLAIDPMERGSATAALNSEFFTTEPHACDPSALPKFPPSKELDVKRRDEEARRQRCLNGKAQAVDGTKKVRNRDRVSRAMPAPEANAELQTNLDRRRVVIEAKPKSKSEKFPPPHEDAAVGYPHDTSHNGPVSFGASDSSFSSSVFGSNSSQSFQDTGTRRRKTKKEHTSKRPLSWKFIPPFIPSSISLAIDQRFKGKDSVSEGFGAQREKNV >KZM96196 pep chromosome:ASM162521v1:5:39027742:39030718:-1 gene:DCAR_019438 transcript:KZM96196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRSPFSAFRNLSTSILTPESSEGTFPSDLLSTAQVITPERKIGLYQDLVIPVTNFNNEDKGFMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWPQKGTGQARHGSRRGPQWRHGATMHGPKPRSHAIKLNKKVRRLGLKIALTARAAEGKIILAMNLGLNTPAAKHWNDTEKDCRGSSW >KZM95814 pep chromosome:ASM162521v1:5:35820794:35823446:-1 gene:DCAR_019056 transcript:KZM95814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVNYNLKELVKGGILKEARYMFDKLPHRDEVTWTSIISGYVHANDSREALLLFAKMWVQPTLRMDSYVLSLALKACALNVNVQCGECLHGYCVKSSFVDSVFVGSALVDMYTKVGKIGEGCRVFDQMVMRNVVSWTAIITGLVHAGYSKEGLGYFTDMWREGVEYDSYTFAIALKACADIGLLNQGREIHAQTMKRGFDFTSFVVNTLAAMYNKCGKLHYGLYLFKNMRKKDVVSWTNIITTYVQMGEEELAIEAFLQMRGTDCYVILTGVDEVMPSNAKYSPEEDNVKETFSELLVVLSEFFKVTSIFTLKMLKDNT >KZM94726 pep chromosome:ASM162521v1:5:25312608:25314485:1 gene:DCAR_017968 transcript:KZM94726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLALSLQPTNGSDILLQTREWFPPARALVALSAFRQTRFAFAAGKHNSAEDGDTSLGDDPLAASSGQVIVGVESRYRVIYRLVNSIYVLGITTVDDDCVNNVFECISIVNQAVSVVVTACRGVDVTPEKLSRKYAEVYMALDIVLRGVSNIRLAAMLASLHGDNLSKMVHSAVNTESRIRGADSWGNVEIYSIEHEASVQSFSNASFELPAETLEAGDEVAATLVITGQAETDQKEKVEEVEEEKDPFAASEKLNKPEELVGGFKKDKEQSSDLSKALAGLDVTTLPPAAATQSTHIGVEGFEGEYGGIEFSHDNSTLPEDFEGLNQAWGGGLDASEFVGPKKVPKSQGLGGLELLHTSEAPKAAADGAAAPLEDVLVTKSEMKGPEMYILEEINAEFRESLLARVGLMGTVYLKTVPPKPSDDKETEFSFRVDGTSGVKRFVMQNSRISSLGNGLFHVRTSPSTDPIPILKYSLLPRSTPLPLRVRLIMRHSGTLLSMMIQYVSNPDLPAPLKDVTFTLKLPIDPRLLKVTPKAMLNRSEKELKWHIPEIPLKGEPGRLRARMPVDSSSEDELEELEVVGYVRFSYQGTRSLSGISLQPASEGSKDFYEVDHRYESGEYICN >KZM95308 pep chromosome:ASM162521v1:5:31055315:31056773:-1 gene:DCAR_018550 transcript:KZM95308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKRSLSNDVDSNALHKEWDEASCPICMDHPHNAVLLLCSSYNKGCRSYICDTSYRHSNCLDRFKKLKAQDENSTSPPALLPRDLHRDTRNNTSDSTSVRAQNLIPNNAIASEDISGRSIESRSNVASRYSGRPAGDMEDGGSDVSRRIVPAEINDGNSSEPRSKLRCPLCRGDVLGWQVVEEARKYLNTKSRSCSRETCSFSGSYGELRRHARRIHPSARPSDIDPIREQAWRHLENQRDYGDIVSAIRTAMPGAVVFGDYVIENGERAPGERGRGSGERDGPWWTTFFLFQMLGSMDHAADRRSGRSRDSTRFRRSNGSGRRIANRTSNLVQELGFLVQELGFKSASYSCIKAPDIIISVCFCKRIGEEQTKESVQFGSCLVVCTVFI >KZM94863 pep chromosome:ASM162521v1:5:26561530:26569454:-1 gene:DCAR_018105 transcript:KZM94863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYHFLPSRDLEHASSYTPLPDSPETRHEPDPDRSKTNRRPIKIVSSVLLATLIFSFVIFLLVNPNVQQVVRKKVSKNSNGEDHNKASKSPEMLGPPSRGVSQGVSEKSFRQATAEPSYPWTNDMLSWQRTSFHFQPQENWMNGPLFHMGWYHLFYQYNPDSAIWGNITWGHAISRDLINWLHLPFAMQPDQWYDINGVWTGSATILPDGKIVMLYTGDTDDLVQVQNLAYPANLSDPLLLDWIKYPDNPVMFPPPGIGSTDFRDPTTAWIGPDGKWRITIGSKVNKTGISLMYKTTDFITYELLDNLLHAVPGTGMWECVDFYPVSVTGSNGLDTSVNGPGVKHVLKSSLDDDRHDYYALGTYDPINDKWTPDNPELDVGIGLRLDYGKYYASKTFYDQDKERRLLWGWIGESDNESTDLLKGWASVQSIPRTVVFDKKTGTNILQWPVKEVESLRSRSYEINDVELKPGSLVPLKISSAAQLDIVASFEVDEEAFKGTYEADASYNCTASEGAAGRGILGPFGILVLADDPLSELTPVYFYIAKGVDGNAKTYFCADQSRSSTASDVDKEVYGSDVPVLPGESLSMRLLVDHSIVESFAQGGRTVITSRVYPTRAIYSAARVFLFNNATGVSVTASVKAWQMASATLKPFPFDQLSSTASDVDKEVYGSDVPVLPGESLSMRLLVDHSIVESFAQGGRTVITSRVYPTRAIYSAARVFLFNNATGVSVTASVKAWQMASATLKPFPFDQL >KZM95603 pep chromosome:ASM162521v1:5:33770907:33772181:-1 gene:DCAR_018845 transcript:KZM95603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANESAKVPLLAGLRDPSTPINQVVPQRRRFGHSKSLPLTEYIPPEFEDHSQLAGSKSLRDNLHPNLKRVLIFLVLYLAAGTICFYLVRDHIKGLKTNGILDSVYFCIVTMTTVGYGDLVPDSTPSKLLACVFVFSGMALICLALSSAADYLVEKQEFLLVRALHINQKVGELDILKEIETNRVRYKCFMVLSALVVLIVAGTAFLATVEKLDLIDAFYCVCSTITTLGYGDKSFSTAAGRIFAIFWILTSTICLGQLFFCIAEVNTEIRQRALVKLVLAKKVTNVDLEAADIDDDGVVGAAEFIIHRLKEMGKICQEDITAIMEQFEDLDVDQSGTLSPSDILLAQSSYTGN >KZM93839 pep chromosome:ASM162521v1:5:11344477:11349109:1 gene:DCAR_017084 transcript:KZM93839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYNGFILYSTSWALELGLLAKGGIVTEGSAGSTAISLATVAPAYGCQCHVVIPDDAAIEKSQILEALGATVERVRPVSITHKDHYVNIAKRRAVEANEVALKQDIAKQTNGKDVEQSNGDIIDGEKQDTFFSRDCKGGFFADQFENLANFRAHYEGTGPEIWKQTGGNLHSFVAAAGTGGTVAGVSRFLKEKDPNIKCFLIDPPGSGLFNKVTRGVMYTKEEAEGRRLKNPFDTITEGIGINRLTENFKMAELDGAFRGTDLEAVEMSRFLLKNDGLFVGSSSAMNCVGAVRVAQSLGPGHIIVTILCDSGMRHLSKFHSAQYLSQHGLIPRANGLEFLGLRG >KZM93003 pep chromosome:ASM162521v1:5:1614841:1616949:-1 gene:DCAR_016248 transcript:KZM93003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLVCSSPESSNISGKFTQFSEECLFDDAACLDDMDYFVKTLSGIKAKGVRPELIGSIIAHYASIWLPDLANIDESSKGSLRRTPKSPDPSQGLNASLMKKRFFVETLIGILPPDKDSVPCSFLLRLLRSANMLGVENVYRAELEKRISWQLDQASLDDLMIPSFSHTCEVLLDVELVIRLVTRFVNLDETFKSGAALIKVGKLVDCYLAEVALDSRLKTPEFIALAGAIPPHGRSVDDGLYRAIDTYLKAHPGVGKHERKSLCRLIDSRKLSPDACLHAAQNERLPVRSVIQVLFSEQSKLNYQLDHSGSLSGARSSPSMIGFEHMHGRNQSKRIMTIEQMEIRRLKEDVLTLQGQCHTMQAQIEKLLHSEKKKSSFFGNWRKLGALKTTISSTNYVLGKEKDYLTENEINMGRRTPLVQDATYKRTGKMARGKSSSSKWRKSMS >KZM93418 pep chromosome:ASM162521v1:5:6081773:6082189:-1 gene:DCAR_016663 transcript:KZM93418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSDPLMEIEMIDIEYENSSIHILEPLAPEIINLVSEEIKDRESFEFTKSAWMNIFLSLPELTYVPTLTTTFSINTSTVPPPIFESEDPSILGLLQHFKPTPLSYSPITTHTSDCCHFGTDVSSLIRDTPIPVTTFP >KZM93497 pep chromosome:ASM162521v1:5:7273893:7280272:1 gene:DCAR_016742 transcript:KZM93497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLFITITLLLLVLLKYTSYKRKKSNRSPPGPRGLPLIGNMHQFDSSNTHLYFYQLSLQYGPLVSLQLGSVRTLVVSSASIAKEVFKNHDLCFSSRPALVGTQKLSYKGLDLAFSPYSDYWRNMRKLCTNHLFSVKRSQSFYPIREDEVSRMVKTIRKRAAASADFNVVNMTKTVMTVASSIIFRTAFGKRYNDDDEDDVGYDNKMSRQIHWLLSETQASFASFFLKDYFPLVGCFIDTLCGSWTRLDKSFYGLDAFYQQLIDEHLHASTVSTQECSMLDILLQMKSDSSEFSFDHIKAILMDFIVAASDTNAKVMMEYIGATGVPVTFDDVPIDDGIDFHFILSFAIDADPSGNAQNGIFSPYWESTLTPQSVQAIKSAHPNVKALASLSGWSIGSKVLRWYTPDDTQLWITNAFTSLKSLVTTYYLDGIDIDYENFPKNNASFAYCIGELITYLKNQSVISVATIAPYHLTVLPYIELFDNYGDVIDYVNHQFYTDKVRTPKGYLKAFELRSQQFDKDKLLPSYEVEGRGIQGDIFFDALTLLEDNGFAVNGIMIFSADASSSDDYHYERASQAFLLNSTST >KZM96092 pep chromosome:ASM162521v1:5:38180090:38202179:1 gene:DCAR_019334 transcript:KZM96092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAREQALSLLAAANNHGDLAVKLSSLKQAKDILVSIEPSLAADLFPYLAELSSSPESLVRKAVVEVVEEIGLNAREHFLILMPTLLTSVRDNDSTVATKSITTGAHILGSVLYELSLQFYRRGIVERWLEELWSWMIKFRDAVFGVLLETGPVAKKLLAVKFLETYILYFTYDTEDPGKCNLEAVLQMGRPFNVSWLFGGHPAIDVVALMSESNRYIGVMLDILRSAGAPLGSMTIAIVNCLAAIAKKRPLHCSSILSALLEFDPNFETAKGAHAPSIQYSLRTAFLGFLRCNHPAFLEFRERLLKALRAMNAGDAADQVMRQVDKMLKLNERATRDARLLKDEQATNHLPFSVDLTRKRHSNTEFQANSFDVATKRARYDVNTSAPSLVQTSDSGHSLSVNGSSPGGAPPLNSDLNPVEQMIGMIGALIAEGDRGAESLKILISNIHPEILADIVITNMKHLPKSPPPLIRLGSLSTTRQNGSSSGAFQVPQIDTSVAKTQSVHMPFSSPNTNISSLSEVPAPVNPTPESKRDPRRDPRRLDPRRSTVQNSSNSLDNNTNVTSSGYKESELEDFRPINKPDTNPIVTSTENHSAPFVPEYETDVFGTNASTVSSADDKAPKDGAMEVEETKEAVHDVRDNFSSDDPLSPTNEVNRHSVASEKSEIIIEDPYSFLDEADELSPSVTSTPVLEEVYPELPSPPLYVELDDEQKRNIRKIAVESIIDSHKHLNGTDYTQTWMSLVARLVAQIDADEDVVMMMLKHIGSDYQHQKGHELVMHVLYYLNTLMLSDSVAHSSLASGAYEKFLLGVARSLLDTLPTTDKSFSRLLGEVPYLPDTVLKLLNDVCCSDHGLRKDARDGDRITQGLGAVWSLILGRPANRQACLDIALKCAVHSQDDIRAKAIRLVTNKLYVISYISEDIEQFATNMLLSTMDQNMSNNVLSQSGDAAQRTERELGSQETSTSGSQLSEPGTAENESAKGDTQSDVLVSFAQAQQHISLFFALCTKKPALLQVVFDNYSRAPRAVKQAIHRHIPIFVRALGSSYSELLHIISNPPQGSENLLTQVLNVLSEGTVPSADLVATVRRLYETKLKDATILIPMLPSFAKNEVLPIFPRLVDLPLDKFQTALANILQGTAQTGAALTPAEVLVAIHDINPERDGLVLKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPMLFMRTVIQAIDAYPTLVDFVMELLLKLVDKQVWRMPKLWVGFLKCVSQTQPHSFRVLLQLPSPQLEGALKKFSSLRSPLAAYANQPSIKTSLPRATVALLGLANERNMQQPHRQPSLHSSEQSSSIHGSMSDISAILGEIFFATDHCTCRSIRVEWQLEKKSPLIPS >KZM94751 pep chromosome:ASM162521v1:5:25509802:25510884:1 gene:DCAR_017993 transcript:KZM94751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDLINYAKIEDFTAAHESRGSINIIEDEIMRILQSHNQRNQQVQVDEVKEETKAVRRTGHARFRRGPAESEPVKPAEVKSNVKSVEMETEMNVKSVKECKDVNSANSSGSSSITGEEGTVSNGKAVLGAGAAPAPRTYSSGKPPLPTSLRKRSREMEMEKMLISKSSGSRGCHCCKRRKTVVKKEIKRVTSGSAGDCIPADEYSWKKYDQKLVPGTVFPRGYYKCNTFKGCPARKRVERTSNDPTVLILTYEGEHRHHHNHHRSQSSSHHHPRVAALTRLNNSTDVGLCH >KZM93224 pep chromosome:ASM162521v1:5:3854654:3858511:1 gene:DCAR_016469 transcript:KZM93224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGFYHDPNAGWYYSTSDGLYYKFEDGNYVLLESVQTQDEAGIHTQSPEDIDQILSKNRESKVSMEPHPGEFNTDTMDSMDNELPENPPPPSEWLEDSLIELYLSGYSNNIAVCGTTAAPDDKMLEENIAVSVEGAMTDDAYTLEEGEWIPNENDLIDEGAFQDEENWRAQYGQVIESYEQVTTAFPVVDLWDWKMVRGTKRNGNGEVARLIGRLVRRSIKLHPSMASGSGLLKTAPICEAHLDLVRVTSGQVYKLRTPSAAYLSSMQIYDSSNPTKDWGYPQLSSKRPIQRPCESGGNSESKMIQGDPVCKDSSVQGQLSVAPKQTYRDRAAERRFLHGGFGVGPGQKSSPFIDDPAPSSPVFDSHEVGAAESLNMSFGAGSYARKILESMGWQEGEALGNSGKGLIEPLQAVGNKGNAGLGWDHGRR >KZM95149 pep chromosome:ASM162521v1:5:29154655:29165482:-1 gene:DCAR_018391 transcript:KZM95149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGAILRRKLFLKYTSSAAGFTGLVSKNSRNTSHYASSANTTTTAQQQTSSSSNPFVAIPRAFNTVIHMTRIKNDDKLIQSKGVEALLEAEVHKACRERGIHVAEEMQQQIGVCRPVSDEEILRFAKLFHDEHTLDHISRPVMENMCKLMGSTTFGTDKYMRFLLSEKLKSSPIRLQRRGFADVPLQGSRTKDLGALTGKLSELKNYPPPYPKFMFPSVYVPRGGVPVYETVSFEFPAQLGPMVYDLRMDVWPYPFYWMLHGIWPAYISGDWLVHYRLEELCSSEMHLMKEEVKQEIMKLDHQTKEILHEVWQSFDDHYEDLREQDMEFWAYQKVIHCWDLLVDCPKGHYFHMSAELGKHVRQILINWTMKTLSSPNRVFTSEYIRKQMRILFGVTVYVVVKADDDMIYDPKSTKIPFFYGLGFMVARDPTRQFLFKFYDHPSGLTKVPQGFLYYLA >KZM95369 pep chromosome:ASM162521v1:5:31529610:31529819:-1 gene:DCAR_018611 transcript:KZM95369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMSYDLAEVYVMKKYHNKKMQSATNKGQYNQDIKDHQQTLKKKKNISDGACFSMMFKKIYPHGNASS >KZM95498 pep chromosome:ASM162521v1:5:32659638:32660387:-1 gene:DCAR_018740 transcript:KZM95498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTLSYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAVGANNQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEVYMSSGKVKYQVCSPEALSKLLVKYGVTQPPAETS >KZM96417 pep chromosome:ASM162521v1:5:40827592:40828298:-1 gene:DCAR_019659 transcript:KZM96417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKVVIRVDMSDPKKSKAKAMKIAATFPGVESVAIKGDNKDKLEVVGNEIDTVQLAKLLRKNVGSADLVSVGPAKDEKKDEKKPYFQVYGQNSYPYNYYYAGQVPSYQPVYEVRDSYSDPSCSIM >KZM93028 pep chromosome:ASM162521v1:5:1844483:1844884:-1 gene:DCAR_016273 transcript:KZM93028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDACSSNTPKTNKNRNTNQNTTFVRTEPENFRDIVQRLTGPAPNFRDIVQRQTSQLNQNATPHGQNAFVPGNMMTEVSPSMLEDNAIANKGFYLYPNPLGTNSNNIEPQLLDLFPTSPEPKLLDLFPTSPDA >KZM96244 pep chromosome:ASM162521v1:5:39483503:39486324:-1 gene:DCAR_019486 transcript:KZM96244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVVKMQSTPMVNALAVGGPAVAVGGLSLLLLKTFVDHSLKLPPGLPPLPEVPGLPIIGNLLQLKEKKPHKTFTKWAATYGPVYSIKTGSTSLVVLNSNDVAREAMVTRFPAISTRKLSKALDILASDKSLVAMSDYDEFHKTAKKHLLTHILGPNAQKGQRFHRDSLIENVSDQLHALSKNCPLESINFRELFELGLFGLALKQTLGDDVESIYVDELGTTMSRHEMFNCLVHDPMVGGIDVDWRDFFPYLKWIPNRGFENRIGQMDMRRSAVMKSLIQGARKQNGLPECYLGYLESVGKMLSEKQIQMLLWEVIIETADTTVVATEWALYELAKDPKRQERLYEEISRVCGSDRITEQDLPQLPYLYAIFQETLRVHSPVPIIPLRYVHEDTQLGGYFVPSGSEVAINIYGCNMDKDVWENPDQWNPERFMDDDSDTMELQKTMAFGGGKRVCAGALEAMTISRMAIGRLVQEFEWSLTDGQAEDVDIVGLTARKLQPMLAILKPRSRLVE >KZM95832 pep chromosome:ASM162521v1:5:35980258:35984646:-1 gene:DCAR_019074 transcript:KZM95832 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MKNAELIFIPAPVRGHLISMVELAKLLISRNQSLSVTIFIMKFPYDTGVNSYIESLETNPIPRLAILEVPLSSSEAYKFESYYTMIPTFIDSHVTIVKNAVMAQPDRAVAALVLDVFCVSMIDMAKELNIPSYVYFTSGASYLGVLFHLQGLTDYENEDIYEYKDSDAELSVCCFNNRVPAKVLPAICLDEKGSQSLVADDKVPSVYAVGPNLNLTSARQNSDEVAEILKWLDGKPVSSVVFLCFGSFGSFTEVQGFGTREMKNAELIFVPAPAMGHLISMVELAKTLISRDESLSVTICIIKFPYDMGVTSYVESLSNNPTPRLSTLEIPPSDSESYKSQSHHTVFYNFMKSHVTNVRDQVVAMTRADQSTRIAAFILDIFAVSMIDMAKELNIPSYVYSTSGADYLGILLHLQAMKDYENKDMGEYKNPDAELSVPYFRNQVPAKVLPAMCLDQGGSEITLLWGRKFRETKGLIVNTFVELEAYVVESLMADDRIPPVYSVGPNLNLSSRGQDSDEAADILKWLDEKPLSSVVFLCFGTFGGFPEDQVKEIALALEHSGHPFLWSLRPVKKEGHLSKYTNLDDILPPGFLERTSGTGKIIGWAPQLAVLSHRAVGGFVSHCGWNSILESVWFGVPMATWPSYAEQQLNAFEMVSELGLAVDICMDYAHHGFSKCELIVEAEIIKSKINEVMKDGSDIRKRVAEIKGKSRAAVAENGSSYVNLGRLIEDILAT >KZM95849 pep chromosome:ASM162521v1:5:36224868:36231181:1 gene:DCAR_019091 transcript:KZM95849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVWDQSFCIDSEEDEEVKHIKDEDDEADSDSSSYSYDDEQQSKPNSLCNAWPQSFRQSIDLYGSLQSPTLNFLGTSALSQYGSSLLSSSLTGIHTSEILPSYGNPLIPKDNGPQQRSGSHSLLPPVPSRTSLRKVTTDQISKDVHQLPASGKSSFSQSVLNGINILCGVAILSTPYAVQQGGWAGLPVLLIFGILSFYTGLLLRKCLDSQPGLETYPDIGQAAFGTVGRAVISASAVGYIISMSDNLSSLFPHAHLSLAGFELYSHHLFAFLTTLAVLPTVWLRDLSVLSYISVGGVVASILLALCLIWIGVVDDVGFKAKGTSLNLSTLPVALGLYGFCFGGHAVFPNLYTSMEKRNQFPLVLLTSFGFSMVLYAGVAVVGYLMFGELTESQFTLNMPINLAASKISIWTTVVNPFTKYPFLNKKIMLSLIRSTYALTMSPVAMSLEELIPSSYPNYHMYSIFIRTSLVVSTLLVGLTIPFFGLLLSLIGSSVTMLVWMLCVLITTVGTISAAFGSYSAIANIIEELL >KZM95119 pep chromosome:ASM162521v1:5:28870847:28878646:-1 gene:DCAR_018361 transcript:KZM95119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQEVLGSSLTMEKVATAKKYIENHYKAQMKNIQERKERRWMLERNLASSDVPVEEQHNLIKDLERKETEFMRLRRQKTRVEDFDLLTIIGRGAYGEVRLCREKKSGTIYAMKKLKKIEMVKKGQVEHVITERNLLAEVASNFIVKLYYSFQDDEYLYLIMEYLPGGDMMTLLMREDTLTEGMAKFYIAQTVLAIESLHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLSKPLECRALSPLNENEPMADVSLGEPMDIDGSIPNTVNGSKWRSPQEQLQHWQMNRRKLAYSTVGTPDYIAPEVLLRRGYAMECDWWSLGAIMYEMLVGYPPFYSDDPTSTCRKIVHWRTYLKFPEDANLSNEAKDLICGLLCDVDHRLGTGGAHQIKAHPWFKEVLWDKLYEMEAAFKPEVNGELDTQNFMKFDELIPPPPARTSSGPTRKMPSTPKDLSFVGYTYKNFDAVKALRNSSGVFSIFFSPTFISHVYVSNTKFIF >KZM93131 pep chromosome:ASM162521v1:5:2656774:2659075:1 gene:DCAR_016376 transcript:KZM93131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMNNAATFLSPEHLCKPKQNIMGTAMQALRANSFPILSDSMVSSRRLVVKASGRTSKGIDMINGKKVNGIYVNGGTSQLGQKRISEILKGDEEEPEMTCLPGGRFLEGRLVFRQTFVIRSYEIGPDKTATMETLMNLLQETALNHVRRSGLAGNGFGATHEMSLRKLIWVVTRINIQVDKYSSWGDVIEIDTWVDAAGKNGMRRDWIIRDFKSQNIITRATSTWVIMNRETRKLSKIPEEVKREVEPFHLNRVAIATEDIDSEKIEKLNNDIADRIRSGLAPRWSDMDANQHVNNVKYIGWILESVPMHVLEDYDLSSLTLEYRRECRQSNMLDSLTSMKTLSSDQNNSNTLQTNCSTSSEHNGTLEKLQCTHLLRMETDHAEIVRARSTWQPKLYPSM >KZM94939 pep chromosome:ASM162521v1:5:27212764:27215289:-1 gene:DCAR_018181 transcript:KZM94939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTSRWFRSLLGGKRPSGKRGGTVGNNGSVSSESSSYPHGLDASKHAIVVAAATANAAEAALVAARAAAEVVRLTATSATGRTRAADNYAGMERRRYLAAVILQSAFRAYLARRALKALKGLVKLQALVRGHIVRKQSTYMLQRMQAMARIQARACAHRAYTSDSPQSSNKSSHSQPPDLASLKAMNQHSRTHGTRPNGSALKKNVSKSNTKDNIGRGKTYNNRPNWLDKWMEENAGIKLREMSATNEYCDDEKSDKILEVDTWKPHMNLNQNDQAYQRLQHVSAWNYNEPIYIPLDALSAHSTKSQRPTRSQSPLEFSKISSVKVNHTAAGIAENSSGVCSATSRPGSSSSRRGPTIPARSECSPSFYSNYLCQPNYMTYTESAQAKIRSRSAPRQRLNSERPDAVKRYVNGYWDEEAISENGWPLHANFSTIAYPGTDSFEKFGYA >KZM94516 pep chromosome:ASM162521v1:5:23149546:23155478:-1 gene:DCAR_017759 transcript:KZM94516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPVLYFVSLLLYLALEVHSQDDQSGFISIDCGIPADASYTDSATNLNYVSDSGFTDAGESKTILPYYNTSGLPQQLVALRSFPQGIRNCYTLKPVQGPSNRYLIRAWFMYGNYDSKDVLPQFDIHLGVEKWDTISFSKTTSLERKEIIHVQTSEYIYGCLVNTGLGTPFISALELRYFNTEISNLYTDDFVSLQLFGRVDFGQPLESCRYKDDRHDRIWDSIDYSNSSIVYNPEETLTELDFYVPYKVMRTAIAPDNTSNPLTITWEPLNVSDQFLIYLHLAEVQTLQSNQIREFNLYLNGNLWTPNNEPVIPTNQTSVVRSSAPELPASKHEIVMQKTERSTLPPIINALEVYSVKKFLQSQTHSQDAGSIMDIKSAYKIQIENWQGDPCVPQAYAWDGVGCSYNDYNFPRITTLNLSSSRLSGKIASSIANLTMIRSLDLSNNNLSGEVPDFLSQLIFLRILNIKGNNFIGSVPSDLLSKSKSGHLLLSMDASLGGGDTNRCTSSSCKKSSNKSTIQMASLVSAFVLIIIAVIILTLVVRNRKERIKITSLETLNRQFTYSEILRITNNLEKSVGEGGFGKAKLLMRIHHKNLTALVGYCMEDNHLGIIYEYMANGDLDGYLAGRKPYVLSWEQRIRIAIDAAEAGSHIITEVARTLGYLDPEYYASNRLTKKSDVYSFGIVLLEIITGRPARGIDDDSEHIVRWVSSRIQQGDIKVVVDSRIRETADINSVQKAVEIAMLCVSIASDNRPPMNFVATHLKECLETDFIWNETRREELIGEMSLTLESNGIDSQE >KZM93853 pep chromosome:ASM162521v1:5:11524890:11533580:1 gene:DCAR_017098 transcript:KZM93853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSAPVDSSTSDSEAENDRPKSSIVDTKTLAFSNLSASPLVCIFRPAADAAGGAFMGSIFGLGSGFIRKKGFKGAFAEAGSSAKTFAILSGVHSLVACFLKRLRGKDDVINAGVAGCCTGVAMSLPGAPQALLQSCLTFGAFSFIIEGLNKQQPAALAHTSSSRGKSSHYGAIPPLSIPLSNEFKESFSSLVNSLKPH >KZM93794 pep chromosome:ASM162521v1:5:10810754:10811443:1 gene:DCAR_017039 transcript:KZM93794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDQEKETRDFMNVQSFSQLPFIRPLKEKGIRLFGKEFGGNGNDVEESNSVEIITEPELSCPTKEHENGESNRKFECHYCCRNFPTSQALGGHQNAHKRERQEAKRAHLHSALVHNGYQDANMYGLMNYNRYAPSPAAALPYNQSRLYRGQSSYSPRQQPITANALALWRPPSVVHNSPGYNKEPPPLFANHEMKAFQNSGSSSSQRRYVYESKASVKDPVSLDLRL >KZM96400 pep chromosome:ASM162521v1:5:40740024:40743785:1 gene:DCAR_019642 transcript:KZM96400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHKCLQSLMFMHLLVLISWAFVPVESLNEETAILLEFKRSLVDSLNNLDSWNFSNLNHCNWMGVGCTDDYKVNYINLKGLRLSGNLSPSICKLPYLKTLNLSKNFFSGPIPSGLSYCQHLEVLDLCTNRFHGEIPASLCNITSLRQLFLCENFLYGGIPEEIGKLRFLQDIVIYNNNLTGVIPSSIGKLKWLRTIRAGQNSLSGVIPVEMAECENLQILGLAENRFDGTFPTELQKLKNLAVLFLWGNLFTGSIPPEVGNFTSLDMLALHENSFTGNLPKEIGNLTNLTRLYVYRNQLNGLIPRELGNLVNAYEIDLSENRFTGFIPKELGQIPNLYLLHLFENLLQGHIPWELTQLKQLQHLDLSINNLTGEIPLEFQNLTSLVNFQLFDNQLEGTIPSLIGANSNLSILDISMNNLVGSIPAQVCKSQSLTFLSLGSNKLSGNIPHGLKTCQSLKQLMLGDNQLTGSLPVEFSNLLDLFALELNQNRFSGEIPSEIGLLRNIMRLQLSDNYFVGRVPAEIGNLTQLVTFNISSNRLTGGIPHELGNCIKLQRLDLSKNRFTGTLPDSFGKLINLELLRLSDNQLNGRIPSTIGSLSRLTELQMGGNHFSGLIPVELGQLSTLQIALNISHNSLSGKIPDTLGNLQMLETLYLNDNQLIGEIPASIADLMSLLVCNLSNNNLNGTVPDTPVFQRMDSSNFNGNAELCGPGSYHCHAPSVLSVDSSSSKGKPSKEKIVSIVSLTVGLTTLIVTVGLCWAIKRRRPSFVSLEDQVKPNALDNYYFPKVGFKYQDLVDATANFSESAVIGKGASGTVYKAVMSDGEVIAVKKLKSPGEGASVDSSFLAEISTLGKIRHRNIVKLHGFCYHQDSNLLLYQYMSNGSLGEALPVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELVTGKPPVQPLEQGGNLVTWVKRATHGRVSDIFDVRLDLSSSRTIKEMTLILKIALFCTSTSPVNRPTMREVIAMMLNVREAASNTPSSPTSETPLDEDESDRVLPTFGKRTGLQLT >KZM96261 pep chromosome:ASM162521v1:5:39595153:39601636:1 gene:DCAR_019503 transcript:KZM96261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSKIFKGSSHNVSEGQYDWRYSANSNENYPSTSQDAWSDTEDIDRAIAISLSEENKKGKHVIVADESQLEEDEQLARALQESLNFDSLPENGNRNGNVGGKGRRKEHVSGNGTGNGYVSGNGTGNGHASGYGNGIGNGHVSGNAMGYGNGSGMGLGSGNFYQPIPFPYSTGFRICAGCNVEIGHGRFLSCMGSIWHPECFRCHACHQPIADYEFSMSGNYPYHKFCYKEHYHPKCDVCNHFIPTNAAGLIEYRAHPFWAQKYCPKHEHDRTSRCCSCERMEVEQQVPLLLVERQALNEAMDGEKSGHHHMPETRGLCLSEEQTISTVLRRPRIGAGNRAMDMRTEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWMRLSGYRTLSPDVEEGICQVLAHMWLESQRASAEQSNQRTSSPFDKKLGEFFKHQIESDTSPVYGNGFRAGNQAVLKYGLQRTLDHIRFTGTFPY >KZM95848 pep chromosome:ASM162521v1:5:36215132:36219937:1 gene:DCAR_019090 transcript:KZM95848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSDHSFYIDSEEEEEEIKDNKDEDDGADSDSSGYSNDDQQQSKPNSLSNAWPQSYRQSIDLYSSVQSPSLNFLGTPALSRFGSSFLSSSLTRRHTPEILPSYEKPLIPKDEPQQRRSSHSLLPPVPSRTSSLKKVIPDQKSKDLHQLPGSGQSSFGQAVLNGINVLCGVGLLSTPYAAQQGGWAGLSLLFIFGILSFYTGILLRKCLDSQPGLETYPDIGQAAFGTTGRIVISIILYVELYACCIEYIILESDNLSSLFPYAHLSLAGFELNSHHLFALITTLAVLPTVWLRDLSVLSYISAGGVIASIMVAFCLVWVGVVDDVGFEAKGTSLNLSTLPVALGLYGYCYSGHAVFPNIYTSMEKRSQFPLVLLTSFGICTVLYAGVAVVGYKMFGESTESQFTLNMPHDLVASKIALWTTVVNPFTKYALTISPVAMSLEELIPSNHHKSHFMYSVLIRTSLVVSTLLVGLSIPFFGLVMSLIGSLLTMLVTLILPCICFLSIAKGKVTRFQGILCGVIITVGTISAAFGSYSAMSKIIEELI >KZM93304 pep chromosome:ASM162521v1:5:4616631:4619174:1 gene:DCAR_016549 transcript:KZM93304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAILHFSPSLYYPSSYKTRTSSFSKHLVVRASASVSYSPATSLKVSLSLPLNSTWHTNNWQWKFKENSINIYYEEHEKESTEPTKNILMIPTISDVSTVEEWRLVAKDIVQQVGKVNWRATIIDWPGLGYSDRPKLDFNADVMEKFLVDFINSPNSPISSLGDDLVIFGGGHAATIAVRAVKKNLVKATGIAAVAPTWAGPLPIVFGRDSDMETRYGLLRGTLRAPAVGWMMYNVLVSNEKSIESQYKSHVYADAKNVTPQIIESRYELTKRKGSRYVPAAFLTGLLDPVKSREEFVELFAELQGKLPVLVMSSTGAPKRSKAEMEALREAKGVSRYVEVPGALLPQEEYPTINII >KZM93914 pep chromosome:ASM162521v1:5:12117749:12122986:1 gene:DCAR_017159 transcript:KZM93914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLCSPHSLAHPEEIKRSDFPSGFIFGAATSAYQIEGAYLEDGSIENGDNGFVCDDHYHRYLNFVCIHILFNTSLTSPGGRFGKVNPSGIMFYNNIIDNLLAKGIEPFVTLHHHDLPQEFEDRYGAWLNPLMQEDFVYFAEICFKNFGDRVKLWSTINEPNLFAEMAYIKGVYPPARCSEPFGNCSFGNSDLEPLIVMHNMLLAHGKVAKLYKDRFKSEQGGVVGIVISMFMYEPLTDTKLDKEAAIRALAFNVAWGLDPLIFGDYPPEMRQYHGSELPQFSLEEIKYINGSLDFIGVNHYSSLYAKDCTQSYCPLGGDHAVKGFTYTTGERDGVPIGNRTGMERFFVVPEGMEKIINYLKNRYNNMPMYVTENGYPSPQHQNLEDTLQDSDRIEFHKAYLAYLAKAIRDGADVRGYFVWTLMDDFEWDHGYNQRLGLYYVNRSTLDRIPKLSRDWYKHFLSYDSVDNERSNREKFISNKIQNYINIRSS >KZM96029 pep chromosome:ASM162521v1:5:37533101:37533358:1 gene:DCAR_019271 transcript:KZM96029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKTWADQWGSGGFDDGYEKSNGKTRLGGAKQKSKKTEGVKAAASTGMVKAKTVAIVSAKKMKTGTAIGIKWVKTQYKKKFSSK >KZM96534 pep chromosome:ASM162521v1:5:41692887:41695035:1 gene:DCAR_019776 transcript:KZM96534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISLRRILRNPNPNPSIKDFLLLIFLLLTIFLLRLHHNRPDPLLITTDPTTTTQVQVDPTALHHLLFSIASSSASLPKRIPYIRLWFDSNTTRAFIFLDRHLPITSPYIPPIRVSASTTSFPYTFPRGLRSAIRVARIVKEAVELNQKDVRWFVFGDDDTVFFVDNLVKVLAKYDHDKWYYVGANSESYEQNDKYAFGMGFGGGGFAISYGLGRVLARVLDSCLMRYPHLYGSDSRIYSCLAELGVHMTHEPGFHQVDVRGDLFGMLTSHPLSPLLSLHHLDAIDPIFPKMDRTRALEHLFKAVNVDPARILQQTVCYDRSNSITVSVAWGYAVQVHEANVLLPDLLPVQRTFRPWRRGKNMSSSRYMFNTRAYFRDPCNRPAVYFFNSVTPSKSGVYTDYLKHNVGVCSKPDVIQNLKSIRVFSHQQYLDPEQMKAPRRQCCSISSFSSNMLVVGIKQCGSDELISMQN >KZM94945 pep chromosome:ASM162521v1:5:27281397:27282455:1 gene:DCAR_018187 transcript:KZM94945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGDLSSSATSKFRSNVKNEGSSKVWTNERHVDYLNSMEASFVRSMLNSLPKNRDVPDGSDSTLDHRTTPRARRYSTSDILESTRVKSDKRTRRLRPCNAPTQDQVVPQYEGRRENDAPNEEKEHQLNAAPAT >KZM95479 pep chromosome:ASM162521v1:5:32492947:32495165:1 gene:DCAR_018721 transcript:KZM95479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVRVAVLIWADLLAWFSMWVMVNYLTNEWKMSPFHAAGIVNISEGTAAILSVVFAFFVDAFMGNYWMLLLSSVSYTIGLGLLFLSTPDFLSNSSHDTQKILLYTALPLIVVGIAGHMVSLAPFLDEQNTEKGRKFLYQLPGMIIVVIVGVAGAIALPHIKPWSIRFGVPAICTLGATLLFLSGSFSYKSGSPKGSSLTILFRVFVASATNMFKPLPTNTDQLYEHHGHGLRSLTRTPGLRCLDKAAIGPTTVEEQKQNKWRLCSITEVEETKAVIRMIPVWMTFIICGIVLSVGNTYFLEQANKMDRKVGKVNAPITSLLLVFILAKFIIPKLYTKIANPFGRYASPIGIAVAMIFSVLSCITAAIVERQRRKIIWNHGLLEKPNDKIPMTMFWLLPQYILLGAHEGIYKHSIASFFSGQAPRWITDKYRRSFSNGMLGLGIMSSVLSVYIVRKVSEMGGKTSWFQHTLNKSHLDYYYWTLAGLSAFNLVYYTVLACFYTYGDSKVEDVEVAHSEEVSASPAINNTQSLASTMVETSNLKLHAREMSDLAGFQIAYSSLLSPNRR >KZM93727 pep chromosome:ASM162521v1:5:9878947:9881648:-1 gene:DCAR_016972 transcript:KZM93727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINDELLPYKDVIAKVIYDKNYPKIQTVVNKVGCITNEFRVPKFEVLAGKADMTTEIKQFGATFKLDYGLVYWNSRLEHEHIRLVSQFKEGETICDMFAGIGPFVIPAAKKGCLVYANDLNPYSVRYLRINAKINNVEDCVKTYNMDARKFIAQLMVVPTCETQCASTEVRKGKNMRIKASVSVDTKPWEHVDHVIMNLPASALQFIDSFRGLIRRKFWKGSLPWIHCYCFMKLTDTRESILSEAESALKARIQDPVFHMVRSVAPHKDMYCLSFKLPEEACIWEE >KZM93130 pep chromosome:ASM162521v1:5:2651339:2652372:-1 gene:DCAR_016375 transcript:KZM93130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLWTLLGHAHTLAGPATMLMYPLYASVIAMETTSKLDDQQWLAYWILYSFLTLLEMLLQPLLEWIPIWYDIKLVFAAWLVLPQFRGAAFIYEKIVREKLFKINGDGAVHSPKLFKANGDGAAHSPKQSPNSSRLRKLISFSSQKK >KZM92945 pep chromosome:ASM162521v1:5:1101269:1109345:-1 gene:DCAR_016190 transcript:KZM92945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEKGRQYSERRGSRRMSRSLSRSVSRAAASLGVEEVFGSMRRSSRAADEDEEALRWAALEKLPTYDRLRTTIMKNYNAESRNQGLTPAHKEVDVRKLGVDDKQQFIDKLFKVAEEDNERFLLKLRDRVDKVGITLPTVEVRYEHLTIDADCFVGDRALPTLPNTVRNIFESALSCFGISLAEKTRLTILKDASGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDSSLKATSIEGVESSLFTDYTLRVTSRKDQEQYWVDKSREYRYISVTEFSNRFKRFHVGLRLENELAIPYDKTKSHDAALVFKKYLVPKMDLLKASFDKEWLLIQRNSFVYIFKTVQIIIVAIIAATVFLRTELNTVTENDGGVYVGALLFGMIINMFNGFAELSLTIQRLPVFYKQRDLLFHPPWTFTLPNFLLRVPISLVETTVWMVVTYYTIGFAPEGSRFFKQFLLIFLIQQMAAGIFRLISGVCRTMIIANTGGALVLLLVFLLGGFILPKSEIPNWWEWGYWVSPLTYGYNSLAVNEMYAPRWMNKLGSDNTTRLGLAVLKNLDIYQNQNWVWIGAAALFGFAVLFNILFTFALMYLNPLESKQAIISKETAMEMKASQEESAESQRLKSSSRGAHTLPKSLSASDGNNTREMALQRMTSRGLSRNEDARLEAAAGVSPKRGMVLPFTPLAMSFDKVNYFVDMPQEMKDQGVTDDRLQLLQEVTGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYVEGDIKISGFPKKQETFARISGYCEQNDIHSPQITIQESLIYSAFLRLPKEISKEEKMIFVEQVIDLVELDDLKDAIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGSNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSVAVERRLGMDFAEYYRSSALHQRNQALVKELSIPPAGAKDLYFATEYSQPTWGQFKSCLWKQWVSYWRSPDYNLVRYFFTLAAALMVGTIFWKVGTKRDSSTDLSVIIGAMYAAKIPKWWIWYYYLCPVAWTVYGLIVSQYGDVTDTITVPGMSTKPTIKWYINDHYGYESDFMAPVAVVLVGFTVFFAFLYAYCLKTLNFQTR >KZM94346 pep chromosome:ASM162521v1:5:21282985:21285141:1 gene:DCAR_017589 transcript:KZM94346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNVCEVAEATVLCCADEAALCRACDEKVHAANKLASKHQRVSLTNSNSPMPKCDICQETVGYFFCLEDRALLCRKCDVSIHSINPLVSAHQRFLLTGVKVGLEPTKLSAPSSSEKSHSPDKIVETESRPLTTECKHISLDGQQDKESSFQVAGVSNIVSTKLPLAGGSDYENFEQWQLDDMLGLTDMNQNYNYIDSGSSKADSSKFGGSDCSAILLAGDVEIDVDEGLGHVPDTAWAVPEIPSPPTASGLHWPNSYQHQVEMTALFVPDVCYSTRLDLYQDELMGSSVKRRRH >KZM95648 pep chromosome:ASM162521v1:5:34149052:34149522:-1 gene:DCAR_018890 transcript:KZM95648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKRSREDEQTMANCLMLLSQFGKSQSDLISAGRVYECKTCNRQFPSFQALGGHRASHKKPKLMAGDLLQQVPEKPKTHECSICGAEFALGQALGGHMRRHRVAMEANSSTVTSSDDDHKVGKVAPILKKSNSCKRVWGLDLNLMPYDNYLKLGW >KZM92849 pep chromosome:ASM162521v1:5:273453:285017:-1 gene:DCAR_016094 transcript:KZM92849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRNVRPNNLTYPSLFKSIAAFGDMGAGKMLHCDAVKRGVDAESYVRLSLIDMYVKVDLLGYALQLFDELSQPHNNVLVWNVLINGCCKVGDLGKAVELFEAMPERKVGSWNCLINGLMLKGEVDVAQEYFSRVPERDVVTWTTMVSGFLREGQFKKALAMFSRMLVDGVMPNELTIVLALSACSKAGALDTGVRIHSYAWTSGFQMEIDVGTALIDMYAKCGLIQSAKQVFSMTKTKNIRTWTAMIWGWAIHGYVEQALHYFDEMKLSGLKPDEVVFLAVLTACSHAGQVERGLHYFDSLVFDYSIIPTIKHYAVVVDLFGRAGRLDEALSFIENMPLEPDLVMWGALFSACRAHRNIEMAEYNIVHGDTKSDNLLITSSGTVKIIDFGVSQVFELHEYILPDVLGSSSALLKADVSSFAMQNILRNVPYSKYLKAVQQLLDEVVNVHKALKQHERKKDRVGDCVEADRASIDGTSAFPAAGQDSTSNGPNELSASGKHELQNKMAKFLSMLDEEHHVFVFFIHRRHHVAVSRHQGSGNALDLFLQRRAENIKKSRTIGTSSAFDNISSGKNATGRVPLSTIDQNASNQCSHTQVYTRDAFYQQMPHSLEAISVTVHCPALDIMHHVIPIVPQGLNSESLNENVNNTQYAIRGELYFRALELQAQGKEIILTNVGSPHALGQKPIAFPCKVVALCQAPFLMDDPNVGVLFPADAIARAKQYLSMTSGRLGMLL >KZM93862 pep chromosome:ASM162521v1:5:11619281:11621569:-1 gene:DCAR_017107 transcript:KZM93862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVRNRIIGSLSNKVVRGQVSLLHNSTFYLSCLQHLGFSSEAASHHDEGESKQSIDVSADGRDNTKGPDEKKSTASRIGSKQKGEQGARDDLSVNDLMKIVAAKDDVLSAKDKEIKAMKDKVLSAYAEMENVMARTKREAENSKKFAVQNFARSLLDVADNMGRASSVVKDSFAKIDTSKDTVGAVPLLKTLLEGVEMTEKQLTEVFKKFGIEKYDPTNEKFDPNRHNAVFQVPDPSKAPDTVAVVLKSGYALHERIIRPAEVGVTVAIDRNE >KZM93925 pep chromosome:ASM162521v1:5:12276303:12276785:1 gene:DCAR_017170 transcript:KZM93925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVNKHILHINVLTGQFSRSLTGIRYLYSNSEDMEELPSTWSNSFCLFLLYDSIKIQNTLKSPPSESKTMKRATLLSRNLHSSKKDTKMATRKLFPSRNIHILEKDTKMEQKMDFSTDLEWSLPNYFDPCSC >KZM93995 pep chromosome:ASM162521v1:5:13517965:13518402:1 gene:DCAR_017240 transcript:KZM93995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDFQIFKKLKFDESGLGWNEMKKTIEAPESWWTHAIQAIDDSRAKSKFALVFGLSSGLVAILVMSLLVGLYFWYERKKQRDIGKTSRWGGSRGNGI >KZM93049 pep chromosome:ASM162521v1:5:1966465:1967280:-1 gene:DCAR_016294 transcript:KZM93049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTRDRKRSQDGSFPVYLNVYDLTSVNGYAYWLGLGAYHSAVQVHGIEYAFGAHEYSTSGIFEGEPRKCQEFTFRKSVLIGWTEMNVEEVRRVMEEELAYIYTGTAYNLITKNCNHFCNDACVKLTGNPIPSWVNRLAKIGFYCNCIIPARLKTAKFGNDRSEAVCEEEETKLANPSNETSTTTSDSSSSSPSSSPATRNESTRMSLLALPPPPLFLPSP >KZM93911 pep chromosome:ASM162521v1:5:12090741:12092507:1 gene:DCAR_017156 transcript:KZM93911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAETVDPLSKLSLPPGFRFNPTDEELMVNYLCPKVAGETSSFILIGDVDLYKHDPWVLPGKALFGDKEWYFFSPRDRKYPNGSRPNRGAGSGYWKATGTDKVIASEGKRLGIKKSLVFYIGKAPTGSKTNWIMHEYRLLEPQRTKGSSKLDDWVLCRIYKKNSSAQRAGNMARTECSHGSSSSTSFQFDDKQNVQNAGCYSGNNIDWAPLAGLNNTMTGHSGVIQFQLHNETQQGAMNTMNYNQTNMYPSVDTGYGKVAEQEVQSGFPNQRADNSGFLYPNAYAQGFINSVDPYAAIRYQNQPGNSGNRN >KZM93066 pep chromosome:ASM162521v1:5:2097781:2099256:1 gene:DCAR_016311 transcript:KZM93066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVKESTLVLPAEEAPQRRLWNASADLIHPNLHTTSVYFYRPDGSDNFFDTKVLKDALSRALVPFYPIAGRLKRDDGGRIEIDCNGEGVLFVEAESDGVVDDFGDDFAPTLEFRQLIPAVDYSLGISSYSLLVLQLTFFKCGGVSLGVGLHHVAADGPSGLHFISTWSDMARGLGLTLAPFIDRTLLRARDPPQPAFPHIEYQPPPPLKSGPDPTNSNPETAVSIFKLTRAQLNALKDKSKENGNTVAYSSYEILAGHVWRSVCKARGLADDQETRFLIPTDGRSRIVPPLPPGYFGNAIFVAPVTVATGDLISKPLWYGASRIHEAIARMDNDYLRSALDYLELGASSRQPVASKCGFALNSWARFPIHDTDFGWGRPIFMGPGRIVSDGLSFLLPSPCNDGSLSIAVSLQAEEMKLFSKLFYDI >KZM95819 pep chromosome:ASM162521v1:5:35862043:35866435:1 gene:DCAR_019061 transcript:KZM95819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFYASTCLLGPDKSTYTRFLVSNAEAGSVIGKGGSTISDFQSQSGARIQLSRNYEFFPGTSDRIIMVSGTVNNVLKGVELILDKLLNEFCLEEGDAEPRSKVRLVVPNSSCGGIIGKGGATIKSFIEESQAGIKISPQDNSYAGISDRLVTVAGTIEEQMRAIDLILSKLSEDSYYIQSMSTPFPYAGYNPTNYGPNGGPGKFQNHRPNNNKGPGVLQDDRNSNSVTIGVADEHIGLVLGRGGRNVIEISQVSGARIKISDRDDFMSGTTDRKVTITGSQRAIRIAEDMITQKVSSATDRGE >KZM95574 pep chromosome:ASM162521v1:5:33545716:33547128:1 gene:DCAR_018816 transcript:KZM95574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKVLQWQILRGSLAKRLFMRALLFTLAMGIISFVQMSNDIRKGGLVLLESGDCELDVGVSDLDVDVTGYWKPGFSTMFEFFGGSVRKKERKDLSRSVFKELMAKKMLDTRARALCVGEGSDLTALLLQEMGLSDAVGVHSHPFFSLWKKRFVYELGFEDNSFDFVFSRDVDRVSVPALLVLEIERVLRPGGIGAMLIGTSASYSGSSVRSATPVSSFLKSSSIVSVCGIGSFKLVTFKKRFDNVALFEHYRLPNKCPSITNNKPFMKYMEPLAINQLGQLESEISYLSKFMNVSSRKRVVYINVGAGELVNSSITEILEPNYHVPLQTVEMYVLDHNASALSLYVQKAGITFVYHPDLVGYTVPQLVSDEELSAPHEVDEFEFIRWFKETITEDDFVILMMTAQAAELKILFELFESGAICHVDELFIQCSDTADCKDSVCGDCRSLFRGLRNGGVFSHQWWEPETLF >KZM94395 pep chromosome:ASM162521v1:5:21886936:21889464:1 gene:DCAR_017638 transcript:KZM94395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAVYGPGPVNGNLLTLQNDHRSEAVWNRREAPKDLRVSANFGTGDVTTICDPVRSSPIPEQTRPETSPVAIGNAGSSDSEPRMLRRLSEFRGSVHNQAFQFVKRIEHGKREATKKMEKQWRRWRRCRRIEDAVTQISRN >KZM95960 pep chromosome:ASM162521v1:5:37061723:37063789:1 gene:DCAR_019202 transcript:KZM95960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEELLRAREMDGVVYNVHETNKKALEFIQEVTSNAQEVQNQVLDEILSSNADVEYLRRHGLDGHVDRDTFKKVMPVITYEDLQPDIERISNGDTSKILCSQPISEFLTSSGTSGGERKLMPTIDEELGRKSSLYSLLMPVMDQFVPGLDKGKGMYFLFIKSEAKTPGGLLARPVLTSYYKSSYFKDRPYDPYTNYTSPNETVLCLDSYQSMYSQLLCGLFQNDEVVRVGAVFASGFIRAIRFLEKHWSSLCNDIRTGTIDPQITDLSVREAVMKILKPNPRLADLIEAECRRRSWKGIITRLWPNTKYIDVIVTGTMSQYIQTIDYYSNGLPLVCTMYASSECYFGVNLNPLCNPSDVAYTLIPTMAYFEFLPFNRKQQVSGSSKLNASDQLELVDLVDVKMGHEYELVVTTYAGLYRYRVGDILKVAGFKNKAPQFNFICRKNVVLSIDADKTDEVELHNAVKRAANHLSPFDARLVEYTSYADLSKIPGHYVLYWEIGYNNDTVIPPSVFEDCCLTVEESLNSVYRQGRVSDKSIGPLEIKIVETGTFDKLMDYAVSNGASINQYKAPRCVKYEPIIQLLNSRVVSKYLSPKCPEWVPGHKQWYNN >KZM93419 pep chromosome:ASM162521v1:5:6095271:6095555:1 gene:DCAR_016664 transcript:KZM93419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSTPPSLSFDQPHQTVTIPRRSRTLFSFSSSRTSVEHIDYDAQIDEIWDFPLSGDKDMFSFNSRFVLAEMNKPGSGSGSFSVEVKELEELPE >KZM93833 pep chromosome:ASM162521v1:5:11281720:11288777:1 gene:DCAR_017078 transcript:KZM93833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHMRMLMRRPVSQTLTLIERSFCSSTTTSFGIKNVTKSNFDAALLDLRQHIRAADFVAIDLEMTGITSAPWREAFELDRFDIRYLKLKDSANKFAVVQLGVCPFRWDPNVSAFLAHPHNFYVFPRQELSISGTSCEFMCQTASLEFLAKYQFDFNACINEGISYMSRGQEEEARRLFTSLYDDVFLDSHPSSKDIKDKPISRVADVLFTERMKSKISDWKNGLLQVRNRDHECQGSRKESFQQFENIFYLMRPAVKLHGFTSRQLRLIQLVTKNHFDDLAYIRVSGEGTSLQQLLVYTDSTTDKDLLMKEVKGILLKETELKIKNAVGLRHVIDLLSSEKKLVVGHNCFLDIAHLYSKFIAPLPATGEDYISSIAKHFPYIIDTKLLLNNNNVFQVIKQKRSTSLAKAFAFLCPDIVSGVKTSGSAYKPCIKVEVQVDDTRSSNWNSGAKHEAGYDAFMTGCVFAQACSHLGIKFESQVPSPSLALEEKLQGCINTLYLSWINGEIIDLTTGKRIVESSGSSDRKYHHSKIFFSNIVLLWGFPSKLKAAEIKECICKVFGQSSVASIYHLDETAVFVKFSKAELVTDFLHLKATLEKNNDPISVLHPLSKILDGGCTCAANYEVYKEICESPISEMLFADQAATIGIKWKTKLFVPKQKESHRETLGPEDGQFTGSTSPSEKEIKKLVSVLDGLSSGRTSNRLADSLHLAQAQIGR >KZM94965 pep chromosome:ASM162521v1:5:27445923:27448008:1 gene:DCAR_018207 transcript:KZM94965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPGQYGDPGGNAYVSGQMQHIAGRRMEQKINNYQGRPESLTSEKEHSYEASIADGNWRWERDGSSNVLNEGQAADAPISYHQGRKSDPRMLLERQDNNDPRSNPGEEDMDIGYEDKPVLQTFEGLQQRFLDEITKLAKEQNDAEDAENARHRESINNINSKYLEQLGALRARHATRRDEFLKRETQVRQQQYQKMVLDHYPDSGTGYSDPNGYPISHPGAESLRGYSTERYDSYRERTRFPGGYRDYGLEPRSQFHGHRVHEASSRYY >KZM95112 pep chromosome:ASM162521v1:5:28773457:28773765:1 gene:DCAR_018354 transcript:KZM95112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIRDLASKKAAVIFTKSSCCMCHSIKALFYELGASPAVHEIDHDTNGREMEYALQRLGCSPSVPAVFIGGKYIGSAKDIISLHVDGSLKEKLIEARAIWF >KZM93503 pep chromosome:ASM162521v1:5:7314607:7315519:-1 gene:DCAR_016748 transcript:KZM93503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPNGAPRKPRILLASSGSVAAIKFSNLCSSFSDWAEVRAVATKASLHFIDRASLPKDVTLYTDDDEWSTWSKIGDGVLHIELRRWADIMVIAPLSANTLGKIANGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNVFTEKHLMSVDELGISLIPPVSKRLACDDFGNGAMAEPSLIFSTVRLFVESKAQSGGSNNQ >KZM96121 pep chromosome:ASM162521v1:5:38444639:38466181:1 gene:DCAR_019363 transcript:KZM96121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDSDSNTLSVVSIDEEGHHGSDEKNPTLIARQYQTKLCQKALSENTIAYLGTGCGKTHIAVLLIHEMRHLIKKPQNNICVFLAPTVALVEQQAKVIKDSIDVKVGIYCGSSKHLKRHTNWEKELAQHEVLVMTPQVLLQNLSHCFIRIELIALLIFDECHHAQAVSNHPYAEIMKVFYNSNATKLPRVFGMTASPILGKGASVSGLESLLRAKVYSVEDKEELERFVTSPKVSVYYYTTAADGPSSPLVYYSKLEGIKSQCVSTLVENISDMDSLKTSKKMLQKLHTNLCFCVEKLGVWGAFQAAHILLKGDFVVQNELLEMEGQNERMEMGEQSSHVSICDKYLSQAAIMFSSDCQKDHTKKKLNSPEVLQEPFFSKKLLQLIDILSNFRSQQNMKCIIFVNRIVTARSLSSILQSLKVLSAWKCDYLVGVHSGLKSVSRKSTNALLAKFQSGEINLLVATKVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMPQSEYAFLVDSGNEKEQNLIDSFSKAEDKMNEEIEFRTSTATVCDTDEKTYRVELTGATISSGSSISLLYRYCSKLPHDEFFKPKPDFSYFDEADGTVCQIVLPSNAPIHQVSSAPQSSKDAAKRDACLEACKQLHQLGALTNYLLPEQDVENEDLESLSDSDCSDDEDTRRELHEMLVPDVLKEPWSKAEDYVYLTSYFVKFRPLPPDREYKPFGLYVKVSLPGEAERMKLDLHLARGRSVVTELIPSSTMLFSRDEIALAEKFQEMFLKVIIDRSEFNSEYVPLGRIDFDILAPRTYYLMLPVIWNEYEEAMIVDWNLVMRCLSSPIFNMPEVAKANGLSQPSELLHLANGPKSVHDVVNSLIYVPSKKLFYFVSDVLSEKNAYSDYKASKSHVHHFSEKFGVHLLHPRQALLKAKQLFCLENLLRKKGNLESREKEEHFVELPPEICELKIIGFSKDIGSSLSLLPSVMHRLESLLVAFELKDLLSVSFPEGAEVSASRVLEALTTEKCNEHFSLERLEVLGDAFLKFAVGRRLFLLHDALDEGQLTRKRSSVVNNSNLLKLAVANRLQVYIRDQSFDPCQFFALGRPCSVVCSAETEKSIHSSHCSGAENSDIELRCTKSHHWLHKKTIADVVESLVGAFIVDSGFKGAAAFLKWMGIKVEFEDSKVSHICSASSIYLPLAAQIDIAALEDSIGYQFNNKGLLVQAFVHPSYSYHYGGCYQRLEFLGDAVLDYLITSYLYSVYPNLKPGQLTDLRSTCVNNICFANIAISRSFYKYIISESSGLCKSMEKYVLFSRTHQLDGNLVEVPPCPKALGDLVESCIGAILLDTGFNLNHVWKTMLSFLDPVINFSGLQLNPIRELQELSQSYNMELEFASSKKDNTYIVDVKVKGKNVCEHSCASNISKKAAKRRAAKQVIRILKLNPIRELQELSQSYNMELEFASSKKDNTYIVDIKVKGKNVCEHSCASNISKKAAKRRAAKQVIRILKELGYKPKSKSLEEVLKSTHKVEAKLIGYDETPIDVNAQYAIARNEKIPTDVSSPYAAICYNETATNVRSPNAAGLDNLKVQDSRTSGASSSNVHSLGVEPHRSGGINIQPIMPMSSLCDTRKCQPTVKKYSDSCYSESQTPGLSMKKSAKSLLFEICAANCWKPPVFVCCKETGASHLKEFTFKVIVKPDSLEKCLIEAIGKPAGKKKEAAEHAAEGAVWLLRNHYGFTFKVIVKPDSLEKCLIEAIGKPAGKKKEAAEHAAEGAVWLLRNHYGILKPKAELSFFDDADRSVCQKIHPSDPPINQVLCAPQSSQDAAKRDVCLEAYNQLPEQDDENEHMKSLTDSNCLGDEDTRGELHKMLVPTVLKEQRSNAEEVSSLLDTRECQLIGKKDNASCSSDSETPGHSMKKSAKSLLFEICAANRWKPPVFQCCKETGAGHMKEFTYKVIVKPDSLENCFIEAIGKPAGKKKEAAERAAEGAVWLLKDHLGDLKANPDFSSKVHPLGVEPHRSGGTKIKQIKQISSFRDTRECQETVNKVISRCSSDSQTAGCSMKKSAKSHLFEICAANHWKPPKFICCKETGASHLKEFTYKVIVKPDLGNCFIEAIGKPAGKKKEAAENAADGAVWLLKEHFFVGQNLWS >KZM95897 pep chromosome:ASM162521v1:5:36583326:36584577:-1 gene:DCAR_019139 transcript:KZM95897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPNTLFPLISFNTVVSDQEYRLFHSIDIKLIKTLIRRLGRNHFGAIINVVAFLLWLERSRLCVNAVYKLGNLYWPDFMVDMLANQVLALLEWLENSTLDCEMRGDISMIMKLCDQQIGFVELHQRSFEIFQEMTKIVQEMRQRAFEHEFGVGFGQFSHFKGVNHFGVRSIPHPQELQTINNGKGLVVGPRAELHKMRSNQGQEMGRTSGAGSQTKHPGRRPISEHLLSVLLSGINVTEEETNVHYDDRTVFLTFSRGYPVNGHELWNYFTSLELKFMLKYLYLFDLIIIIGA >KZM96270 pep chromosome:ASM162521v1:5:39666913:39669725:1 gene:DCAR_019512 transcript:KZM96270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKSLNSHDSDPSITTLPLLKHEDSPASQDHQLINLKDIEKKCAAYVRHDVYGTLGREHVPFGEKVMLGLAMITILPFRVVLSMVILVFYYVVCRVCTLFSRPNGKDCEQQDFAHMGGWRQAVVVRSGCFLARVLLFLFGFYWIRETFNEVRSGGEEKDEKSDEAEHNDQSSEPERPGVIVSNHVSYVDILYHMSASYPSFVAKRSVGKLPLLGLISKCLGCIYVQRESKSSDFKGVSGVILERVQEAHQNKSAPIIMLFPEGTTTNGDYLLPFKSGAFLPKAPVLPVILKYPHQRFSPAWDSISGVRHVILLLCQFVNHLEVIRLPVYCPSQQEKDDPKLYANNVRKLMAHEVRILKANSDTPSVYSLYDYVV >KZM94698 pep chromosome:ASM162521v1:5:25089513:25093379:1 gene:DCAR_017940 transcript:KZM94698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFRLLEAILSDSEDKNMTWFHHILIICALRATIYTFWTSYCNMYFLNRNRRIIQEGVDFKQIDKEWHWDNLLILQSMIAYLGFYMSPMLRNLLPVWDTRGFIAIMILHMAVSEPLYYLAHKCFHGNYLFSKFHSFHHSSPIPQPFTAASATYLEQLLLTAVMGIPILGSRLIGCGSISAIYVYILSFDFLRCLGHCNVEVIPHQIFDTFPFFKYLLYTPTYHSIHHTEMGCNYCLFMPLYDALGNTLNNKSWELHRTMSLDSGKNRSVPNFVFLAHVVDITSSLHVPFMFPSVASMPYTFRFFMLPLWPVAFVVMLLMWAKSKVFLISFYNLRGKLHQTWAVPRFGFQYFLPFAKRGINKQIEDAILRADKLGVKVISLAALNKNEALNGGGMLFVNKHPDLKVRVVHGNTLTAAVTLNEINEDVQEVFLTGATSKLGRAIALYLCRRKIRVLTWIVGKWITAKEQNWAPPGTHFHQFVVPPIFTFRKDCTYGDLAAMRLPEDVQGIGSCEYTMERGVVHACHAGGAVHHLEGWTHHEVGALDVDRIDIVWEAALKHGFRPVSNRNNISPKQIQL >KZM92952 pep chromosome:ASM162521v1:5:1168819:1170802:1 gene:DCAR_016197 transcript:KZM92952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRKTPPEVAVQMSETKATSDTTSAGPQLALASRKPEVLHVILRVLCVLTSVTALSLMVTAKQSGDISIYGFHLPLYSKWSFSDSFVYVVGVCGAVAAHSLIQLLISGVRVMQRSPVVLSRNHAWLIFAGDQIFTYALISAGSAASGVTNLNRTGVHHSALPNFCKPLRHFCDRVAVSIALTFFSCFLIAISTVLDVICISKF >KZM93380 pep chromosome:ASM162521v1:5:5530726:5537019:1 gene:DCAR_016625 transcript:KZM93380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLDDIITQEEEDSFAGDFYRCGTDWSCLNSSSTSANKRLKQCNLFESWKLPLKTNVEASTSIVPDKKTIQAQKPQQQRACPFYKKLPGTPFTVDAFRYGQVKGCSAYFLSHFHADHYGGLSKAWSHGPIYCTPITGRLIKMCLYVNPLLIHTLDLDVEYVIEGIKVTMLEANHCPGAALIHFNLPSGQCYLHTGDFRASKLMQTYPLLLNQRVNVLYLDTTYCNPKYRFPLKEKVLDFIVKVTKNMLKKQPKTLIIVGAYSIGKESVYLAISKALTVKIHANSSRRRILQSFGWPEISGNLCTNGNDTPLHVLPMSSLKFEILEKYLKSYSDRYTSVLAFRPTGWTFSESTGSELDSIKPISKGFVTIYGVPYSEHSSFTELREFVQFLGPEKIIPTVNVGNAATRNKMQSCFQEWSKK >KZM94952 pep chromosome:ASM162521v1:5:27324887:27326130:1 gene:DCAR_018194 transcript:KZM94952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKTVETSDAKHNKGLWSPLEDEKLRNYIMNHGHGSWSSLPMKAGLKRNGKSCRLRWINYLRPGLKRGTFTIQEEDTILTLHGMLGNKWSQIAQHLPGRTDNEIKNYWHSYLKKRTVEIVNFEDQTRTATNLRNSTTTIEAASSVSSLNSSDNTEATLPDADHLVARHSNLPKVLFADWLCLDQFQSQEFSHSSQPTFAKDTIVSNTEFHNSLATEQFVQSNSSRGGLTNDSYGCDMISRAELKCEDQSLDNELLEFISENNMCFDFSMNNLMFI >KZM95509 pep chromosome:ASM162521v1:5:32812332:32817601:1 gene:DCAR_018751 transcript:KZM95509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFVTHTLLEKAPSSGKFLHRRRHSVKIENDVLQDEFNLKTVPPVKAEHSVSDAGAFSSNVLQMVDASQVEPNMREERAATCIQTAFRGLLARRALKALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARSVRLALENQTSEQKLKQRLEDEARVRQIEEGWCDSAGSVEQIQAKLVKRQEAAAKRERAMAYALAHQWQAGSRQLAPSSGYEPDKSNWGWKWLERWMAVRPWENRFLDINQRDGVAIQDNEAGDGRNDSLKKFSAKKTIPLRTESNTTSEKIDLSNSVGCNSTATRSSDMQEASGTSSTKVKTKHIFEDLVEEVNSRPGIGSRSQSNPKERSSLSDKQGRRRQSLPNIRLKNNN >KZM95129 pep chromosome:ASM162521v1:5:28947493:28949076:-1 gene:DCAR_018371 transcript:KZM95129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKSTISKTFPIKPKLKPTLNKSTPTPESKYWKSFKSPKENPSQTLVSSITSLSFSPAEPHKFAATHSATVTIFSGDTLLPESTLSGFKDTATSASFRADGKLIAAGSLSGVCQVFDVKTRVALRKLRGHSRPVHVVKYPRVDKLHLFSGGDDAVVKYWDVASESAVGNFVGHKDYVRAGGFSSVSDDVFVTGSYDHSVKVWDVRVGNRGSVVEFNHGKPVEDVVYLPSGGLVATAGGNCVKIWDVIGGGKLLYTMESHNKTVTSICVGKMGRESGEEAQEYRILSVGLDGYMKVFDYAKFKVTHSMRFPTALMSVAFSPDCSTRVIGASNGVLYAGKRKVKKDVGAEMGKVEGVEVHRKRELRPARIRYFSRGQNSKPSEGDYLVIAPKKVKLAEHDKLLKKFRHKDALVSALSGKNAGNVVAVMEELVARRKLLKCVSNLETEELGMLLEFLQRHSTVPRYAGLLMSLSKKVVEMRAEDLRASDQLKGHIRNLKRSVEEEIRCQQSMLEIQGIISPLLRMAGR >KZM95535 pep chromosome:ASM162521v1:5:33090763:33091836:-1 gene:DCAR_018777 transcript:KZM95535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGDPLLEAALHYLSRRDYENCRSYAVKAQSTSPDATKILAISDTLAAAAQTRISETLIDWYAVLHVYKYSQDTHLINEQFKKLSLLLYPQQNHFPFAKEAFKLVCEAGSVLTNDTKKTQFDNDLQAYELRLDQSFWTICPYCYVMYEYVRVYQDCCLKCQNHNCARGFHGFEVEPPPLNVVENGVYKCMGFFPLGFDGDKRQGKWNPFSPLVGVKEDQGFGAGNAADFVDISDQSDGSEDGAGDKNVDDQVGTTRSVGGDDCFGESMSKRRKKSVPINAKKLMGKGPRARRMENVGQEGLDLNARAGEDNAGGNSNGNGITGVGGVEMGNGADFDAGVELLAGDEDIFVSFPDCF >KZM93366 pep chromosome:ASM162521v1:5:5277394:5277879:1 gene:DCAR_016611 transcript:KZM93366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTLFSFTAKPLTTTPSKFQLHHQKYLLSVTPLKSSTDSTNSKITSKDGEVGAPSSPEVAPIRFKRVSRRRARQQELEQSKPAPKQPKPPKEWEAMTLTEKALELYVGEKGLLFWINKFAYASIYIIIGAWICFRFVGPALNLYQLDAPPLSPTDVLKGS >KZM95609 pep chromosome:ASM162521v1:5:33829998:33836373:1 gene:DCAR_018851 transcript:KZM95609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIINMAEKDNVEGRCPACRTPYNKEKIVEKAAQCERLLSELNVEKKGKSKSKSKAPDGRKNLSSVRVIQRNLVYIVGLPLTLADEDLLQGAEYFAQYGKVLKVSISRTAAGTIQQFPNSTCSVYITYSKEEEAVRCIQSVHGYTLEGRPLRACFGTTKYCHAWLRSVPCINPDCLYLHEIGTQEDSFTKDEIISAYTRNRVQLSVGATIDTQRRSGSVLPPPADDFCNDSCSSSGIHHNKSSTDNLDISSQNILESSVWQTPASSVRGSPPNSHSSKSVGLPAAASWGARASNSQPSPTSMASSNGPSKQKSDTGGISVAFSTAVVSPAKVSLMHNTSGKKLNGEGWFPLQRNKSVPVASVGIQDVDKQQKSSNTSTKIGHFDKLVTSDQISTPSPKVDGSTSMADITVQSCSINSERNSHLPVESKVKELCSDMLSLNIITHGPQHVDTKYRELLSTDAAAKDASSSKDPVAARDLSEFKLVSPSQVAESDTCKVKDDIQSFNIQRRRDPEVTNSTDSSIGRLSDSFRDLHSQEAFRIPSTNFDLNNVDNQPSKFSVPQAYNAPVISNGYAGNQTRSFDLDTSANDSSYMLQDELKLHDGRHGSALVNHDSILADGMGESSIISNILSMDFDSWDESLASPQNLVKLLGETDKQLGSLGASNTKKVQNSNQSRFSFAREEHQASNFGPSSAGVEQTLRNHSLTNGFKDNGNHYHSGTANGYSTLSAHQPGDFASTHFHNSPNRFSGEFRVAVSRAPVTAPPGFSGPTRAPPPGFSSFERMEQTFDVNSGNHLLNNSSYLRNASQSPSRMYNDSTNSDIEFIDPAILAVGKGRLPNGFNNPSLDMRSTYPTQMSNYENEARLQLMMQRSVSPQQNPRYVEMGNSFSSYPDNYGMPPTIFEQALANNGSQYSQFGLSQSRNQLVSSGSWDGWKEVQSGNGSTAAEFLRSERLGGNQYFSGRQDSKYRISSSGDLYNQTYGI >KZM96052 pep chromosome:ASM162521v1:5:37745818:37748456:1 gene:DCAR_019294 transcript:KZM96052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLTPTTLRNNVPLSPDEVLEIALESVLEFLPCQRDRNAFSLVNKSWNRIEAETRFEVVIGNCYSISPQRVVNRFKAIKSVVLKGKPRFADFSLLPPDWGARFSPWVVVLSGAYRGLEKLCLKRMYVSNDDLVVVANTFVGFKEIRLVCCEGFGTSGLAVLAGKCRQLRVLELIEDEVADDEEDWISFFPGGATCLESLMFDCVECPLNFNALEKLVARSPSLKKLRVNRYVSLGQLRHLMIIAPQLTHLGTGSYGPLEDLEDPLPANELRDHVSSAFAASKSLVCLSGFREIVPYFLPAVYPVCANLTSLNLSYANILVEEFKQVISHCHKLQILWVLDLISDGGLVAVAATCKDLQELRVFPMDTIEDNAGPVSDVGEEGENSDLVDTLYMYRSLEGPRADAPRFVNIL >KZM96226 pep chromosome:ASM162521v1:5:39324353:39327748:1 gene:DCAR_019468 transcript:KZM96226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNMKTSLHKASPLKREKEATDMQKSKIMDAEKASTSNRRSVIRQRKMALQQDVDKLKKRLRHEESVHRALERAFSRPLGALPRLPPFLPQPTLELLAEVAVLEEEVVRLEEQVVQFRQGLYQEAIYTSSSKRNMENSGDLYDPRHVQDRRHKRSNSSLQNEPCASTSTPMNMPSLPENGQGKENISCTTSFKDKQPLTRLRDQTSRVPVKKGPIDNRLEVKHLDPPKLQQGKVINNVSTYGEIPAIQNDRSSGDQNPNKISESILKCLMNIFVRMSSVKNRGTTETLPSLSALNSQNYEKSEFKDPYDICFEFGDRDIGAYANFFAFDTASINSSRTAISVFLVRRLKLLLGKLSAVDLKGLTHQEKLAFWINTYNSCMMNAFLEHGIPASPEMVVALMQKATINVGGHLLNAITIEHFILRLPYHSKYTFAKGSKNDEMTARSTYGLELSEPLVTFALSCGSWSSPAVRVYTALEVENELDVAKREYLQASIGICTTNNIFAIPKLLDWYLLDFAKDLESLLDWICLQLPSELGKEALQCLERAKPDSLSKSVQVVPYDFSFRYLLHT >KZM94246 pep chromosome:ASM162521v1:5:19940329:19941184:1 gene:DCAR_017489 transcript:KZM94246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALTAGLSAADADKMIKKLKQRQDILATLKAKPPRKGKAILRPRQSLSDLLDRSEASQFAEGSREASQDISGHIFGMMSTILCEVDEMVRSLPTRQVTQPVVDQELSKLAAATFPGPVQELFRTDYVCAAKGLLSQILKNNDKVIVEVSQQQQLEGNGKEQQQQPEGKGKEAASTEKNPYEDDYDVNAFLYPLFD >KZM95669 pep chromosome:ASM162521v1:5:34322615:34324320:1 gene:DCAR_018911 transcript:KZM95669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRREKRRDRDSEREDNIYRYYRDLKDGRDRVKVSDQIYECPYCREYEKKEYTYLQILNHASRVGTKSKTASSRSRERHLGLEMYLKRCFDKTDKTLRSRTDPYENDNDHKQFALPSLPTDSVDNNTVVAHRAERYKNDNGQKQIMVSSVRTESMGYSFVASRESKESAGRPIKHDLPSHTHKRRAENMKPEASEELIVYPWMVIIANIPVELKDGRYVGDSGRKLKDEWTLQGYQPIKVHPLWNYKGHTGFAIVEFNKDWTGFDNAMTFAKKFEMDCHGKKDWQRAREKGEQKLYAWIAREEEYHRKDKMGEYLRKNADLKTISEIEKEDKIKEARLMCNLTNSLDMKEKQCEEIKKNISKTEASFSNVMRQKEDMIKAYNEEQEMSRKQQCKEIEIIYREHERTKLMLEAKREELRLYEMGLRAREYLNESERRRLDHLKEKKEKSILKHFMGRPGFFVLYLVPE >KZM93613 pep chromosome:ASM162521v1:5:8439548:8441443:1 gene:DCAR_016858 transcript:KZM93613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSIVVDQQGEQELLNLPPGFRFHPTDEEIITHYLAPKIVNPRFNATAVGEVDLNKSEPWDLPKKAKMGEKEWFFFCQRDRKYPTGMRTNRATEQGYWKATGKDKEIYRKAKNRGDGQQLVGMKKTLVFYRGRAPKGEKSNWVMHEFRVEGKLSYQNFANKTAAKDEWVVCKVFHKNATTAPLINKKNSMDSFVEGLLDSNSLPPLVDSPYAANANINATTDTVTTNQLQDSKGTIYPPSFFGSSDQKFTNQMQQHGYMAPAPGITQNTHFYSYDQVPNTMFYSQNVNFPYQASSSLGNYLHQQRFDSVPNKRQCKVEQYSSNLTRSQDTGLSTELTAEISSKQQMDRSKPTYNEDAEGTSASHLADFDPFWDFQ >KZM95203 pep chromosome:ASM162521v1:5:30121624:30121779:1 gene:DCAR_018445 transcript:KZM95203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFLVDSYNKPIPAILEIPSKDHPYDPAHDSVLSRVKYLFSSESVASDRR >KZM95829 pep chromosome:ASM162521v1:5:35970746:35972266:1 gene:DCAR_019071 transcript:KZM95829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAEIIFVPAPGRGHLIYMVELAKLFIQRNESVSVTIFIIKFPFDTGVSSYMKSLSNHPMPRFTILEIPPSYSELYKSHNFHTLFSAFIEGHVTNVRDQVMAMRQPDYPTRVAGLVVDIFCVSMIDVAKELSVPTYVYSASGAGFLSIVLHLQAMTDFQEEDISEYENSDAQLSVPYFRNQVPAKVLPAICLDKAGSQLMLLCARKFRETKGLIINTFAELETYAVESLMTDNKIPPVYAVGPNLDISAGDEDCDEVADILKWLDEKPLSSVVFLCFGSFGSFPQDQVKIIDSKIVESKIREVMEDGSDIRKKVTEIKGKSRNAMAENGSSCINLGRLIEDIIAS >KZM95243 pep chromosome:ASM162521v1:5:30503059:30503902:-1 gene:DCAR_018485 transcript:KZM95243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLSLIIFTSLLLLHSCIAWRNDLQDQQDCNFERLNALEPTSSIESEGGRTEFFNPDQKQFRCAGVAFLKHTIRQKGLFVPSYANSVLMVFVEQGKGILGLLLPGCSETFQLPDDGDLHMRVQRFKKGDLLIIPAGVSHWIYNNGDQEIQAVVMFDTTNRANQLDNIPQRFFILGNSQGQQEQGQQEQPLIQQFQGELDLKHILLIFLTILLMQLMYS >KZM93951 pep chromosome:ASM162521v1:5:12722652:12723527:1 gene:DCAR_017196 transcript:KZM93951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLWKLPEKGTIKINVHGTAPVLPLENGNTDAIGIIARNFVGKYLHGIMGPIRGANQMQAHLWAIYIGMKWAYDQQIPKVVVETDNIVAFQIFRHLNEEEDVIEAEELIEVLHQINMLFHQYNVVKDDGKEKWECELGSSFSIRNEVAFLLSRYALDNCDSLMDAPVPIPDIREQFDIDVGLGPHRDKLNIFPNFGLGEVITVDGSKPEDRKREVIFIEEDLEEPHRRQACRRHQGIVIREGAYGRQQQSHAVSGNGKEKMHGKSSSSFQVLGHLSCQWSLRIVVFQFVL >KZM95389 pep chromosome:ASM162521v1:5:31705628:31706497:-1 gene:DCAR_018631 transcript:KZM95389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIARTKKVTDPLDDKVKARIFGFSSGSEHSAHNEDIDDSPCLSNLLCGFLDAQDHVTIKDSQQNENDSDSEDIIDHHISNADVENLKQASRSENSDHFRNVLASQVSKAIEIFWFVNSNGSLLRRNVMAFLRSGGYNAGICKTRWESCGGLTGGNYEFIDVLKSNDPRSDRYFIDLNFSVEFEIARPTREYELMVQTLPKVFVGKCDQLKTILKIISDGTRKSIRSRGLHLPPWRKNRFMQMKWFGQYRRTVNLIPASVSCPDKQMVKCRSVGFDAVNTRTLITRTR >KZM95598 pep chromosome:ASM162521v1:5:33734468:33735349:-1 gene:DCAR_018840 transcript:KZM95598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCSNNNKAGEEKKLKPPPEQALKCPRCDSSNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNRRSSSSSTSSSSSRIRTSQDHHPSLLQANPLLAAYDSTDLSLAFARLQNGQLGFDHYQNHDHDQFSVMGNNPNAGSTHGFLDAIRNGYLENPFNGFQNASYYGNNAENVGGMNVNVEAQMGNLPYEDLMSSGATTQAVTVTTVKQEGPSVAREAAVAPEAGRVLWGYPWQLNGGGDGGSIMGDVDAAGRQSNWITGINGSTNWHGVFNTPLMQGDVN >KZM94903 pep chromosome:ASM162521v1:5:26879917:26880657:1 gene:DCAR_018145 transcript:KZM94903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEIYEMKNADGTKKSRLTNPGLPWSQWQLLDSILPTGGFAHSFGLEAAIQTRMVCEPEDLKIYVVHLLENTGSLLLPFVYSAFQSPNLQTWYKVDKILNATLTNEVGRKASIAQGSALMRTAASVFTEIPSLKVMRQTSLGTGVVNFHHAPIFGMICGLLGFDSGTTQRAYMFVTLRDIISAATRLNVIGPLGAAVLQHQVAAIAEDLSNKWMDRPLDEACQTSPLLDTVQGCHGYLFSRLFCS >KZM95745 pep chromosome:ASM162521v1:5:35127860:35136857:1 gene:DCAR_018987 transcript:KZM95745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASEGETSYRGGAGGKFQKRPARRHQTTPYDRPPSIVRNPRPGGWVSKLFDPASRFISARAFKFFSNFRKRITESEQEAKDVPQEATPTLVDCKGTRERDIACNGDINNSFEGSGISDLEQMLQQKTFTRSEIDRLTELLRSRTMESSNQDKEKTNEGNLYKSVSDVEKHNVSMRSPLQEKRDDGIKLQRDVTVTGVGAKVLEDDIASPAELAKAYMGRSSKVSPSMLGARSQAFKEETPLLNHGVYPSKSSALSLVSKSLLREGVPEKSFATPRSRGRSAIYNMARTPYSRGAASTNYIYAGPSSSSSSPQSVWEHNGQSGSKQLALKRRSSVLDDDSGSIGAIRRIRQKPNLLYNTSPLPTRGTDLGRSAGQNHISSSDKPLLLDDAKNQVSKNREESEDDSIAGFRYPLVNSQSRQMASKILQQLEKFTPQKSSESKMTTARDKSPIKLTSNMLRGQALRSLENADSTQYLQKQDAGKLEDSSNALQDAGGQVSQKKVIVEENGFKESNITLKSIASSSDANGASSMTNAAPNVKNGDSNVSKFAAQPPQKKRAFRMTAIEDFADLDDETYTNGFASGERTEELQIVSKSVSPQDKGIEKILTKAEGKIPEKLTSNSTSDHKLFGGLAAAKENTGFQTPVVASISNFKQDVNDQSTSVVNNSVPSRDTSGSPTLSGLSSKNVNALPSFTFSASPFGESSGSIPTWSDPSQQALNSFGNDTQLRTKESDKVNAKSPEKLGQVSWPSDNSTPVAVKASTASALVPSCVPSETLNNGLADSKPSSDISPPKPPSSNSTEQVLGNSSTTTHSLTSAAMTSGSTSISSPSIFAVKPPSFTTAPAASPPLFSSEPVASPVFSFEPSKPKSSPATTVSTVTGADTTEMKSSEGTLISSSDKPPSGSMFATTNAGNNPFGFSSSFAASSSSSAVNTQLPPKSGAPAVTQSVPFQFGSSGSSTIFGTSGTSFTTNVSVTASSISPANPFGSGTTSLSTTSSPFSAVSPANALGSSASSPSPSPFFSFGASTTASTPMVFGQSTGTSVSTFSFTSPTSTTSSMPSLSQSKPVFGSAAAVRSPGNNGDQMNMEDSMAEDPVHSSNPAVPVFGQSLVSPSNSTVPVFGQATVTSSNAAVPVFGQQLVSPSNPTVPAFGQSLVSPSPPGFMFGSAAPSSISSSPFQFGGQQNQAAPQNLPSFPASNSLVNSGGSFSLGSGGGDKGGRKMVRVSKNKNRRK >KZM93621 pep chromosome:ASM162521v1:5:8551669:8554183:-1 gene:DCAR_016866 transcript:KZM93621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCRNVTLSGGLPSEHQPYHAPATLGSLDDLFSGNTTVEEEDINLEWLSVFIEDCLSTPGNCLLPPASSTLPLDPVPEQPATVEAASMPLRSPPGASRARSKRSRVPCKNPRKSMRWSPDPPLLHQAYWLADSEPIFPKYQEQSIAKEGNMGSSFQGLNGQQPRRCSHCLSQKTPQWRAGPAGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYKHSNSHKKVLEMRKRWYIKHRLSLTPPLELTMAFCRNVTLSGGLPSEHQPYHAPATLGSLDDLFSGNTTVEEEDINLEWLSVFIEDCLSTPGNCLLPPASSTLPLDPVPEQPATVEAASMPLRSPPGASRARSKRSRVPCKNPRKSMRWSPDPPLLHQAYWLADSEPIFPKYQEQSIAKEGNMGSSFQGLNGQQPRRCSHCLSQKTPQWRAGPAGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYKHSNSHKKVLEMRSMSVLSSFNGD >KZM93595 pep chromosome:ASM162521v1:5:8210253:8212801:1 gene:DCAR_016840 transcript:KZM93595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFMDNLFHKRGSIREEALAALIKYLKNDIRLGFAQNNYITILSRCENSFKKGSATEIKLAAQAIGLLVLTVGPGDCANEIYNESLRLLPPILRSKSRHIEILECLAIVTFVRDNDFDETERSMQIIWEYMNKKILEKDEASVVASTISAWSFLLAKSDRCRLDNNFWRGVIPFFLELLKSKSEVNFEYAIYHPAVVEVLALVSDKGSQHKFCSEAAENSYNRVLGVAEMKRDESAVDAKWNLSELLKEFNCNQTSLKVGRNIFKLATLSEQKKMTYLKQFLGDGFKKHIVDNNFLHNVFNCKIEEPRGPTLYVPEEKEVTAEIYIPGDRDIRNRERLINHSCNSIMSKGKTQFRNKLRMIAQEKKTGQGFIHDEMD >KZM96178 pep chromosome:ASM162521v1:5:38878351:38880895:-1 gene:DCAR_019420 transcript:KZM96178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSSNNLLLFLSLSFFISALASDMSIINYDQTHTNSLIRTDDEVMTMYNSWLVKHGKSYNALGEKETRFQIFKDNLRYIDNHNADPDRSYELGLNRFADLTNEEYRAKYLGTKSRESRPKLSKGPSDRYAPVEGEELPDSIDWREKGAVAAVKDQGSCGSCWAFSAIGAVEGINQITTGELITLSEQELVDCDRSYNEGCEGGLMDYAFNFIIKNGGIDSDLDYPYTGRDGTCNQNKKNAKVVTIDSYEDVPGIFTGKCGTAVDHGVVVVGYGSEEGMDYWIVRNSWGAAWGEAGYLKMQRNVGKSSGLCGITIEPSYPVKNGDNPPNPGPTPPSPPSPSLPDNVCDAYTSCPAHTTCCCLYTFGKQCFYWGCCPLEAASCCDDGYSCCPHDYPVCHVYSGTCSMSTNSPLGVKALRRTPATPIRRLDNKGRKVSAS >KZM92928 pep chromosome:ASM162521v1:5:924032:924775:-1 gene:DCAR_016173 transcript:KZM92928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWRKEFRKQVKSSRLRKKRKMMKQSREVEMKDVMLVKDVENLGAGEEVKKMKGVLESCCRQEDLPKYDLSNSHSMQGEASSTSCDVCMDVFSIRDLVCAPSCKHRVCRDCMKIYLRKEIQEDASQVVCPESKCKDVLRPEFCRRFIPEEVFCRWKSELTLASSFGRRKIECPNPDCRQEFMDDSKGYPIRACPKCWNLICMPCGLVEWHAGKDCHTFRMENMYSNYYERRARYNFQHDGKFRFGL >KZM92839 pep chromosome:ASM162521v1:5:190547:193711:1 gene:DCAR_016084 transcript:KZM92839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSIHSASCLRFLFPILLLLFLLLGGSCHAACNQVDETSLVFFSQEISFSNWSSSTSPQQDCCLWEGINCDDNGRVVTLSLPFKKLRGSIHPSIANLTHLTHLNLSNNAFSGPLPSTFFTSLNQLQTIDLSSNRLSGELPGSLSVTVRTLDISNNHFNGTVHSSFLSNALRLESLNVSNNVFSGPIPSSICTYSPSLLRLDFSYNDFHGQIPLQLGDCPKLEALRAGFNNLSGQLPPLIYTAFSLQEIFLAGNKLTGSIEDGITKLSNLRILSLYANQLTGMIPRGIGNLESLEQLQLHINSLNGTLPPSLMNCTSLQMMILRVNLLSGELSSLNFSKLVNLSIIDLGNNNFSGELPSSLYLCKSLIAIRLGLNQLSGQILPEIRTLPSLSFLSISNNSLSNVTGAIQILMGCKNLRAIIFSLNFYGEALPEAEDTIQPDGFQNLQILGLGGCNLSGSIPKWLVHLRNLQVLDLSLNRMKGSVPAWFGNMSYLFYLDLSVNLLLGDFPKQLIQLPALTSRDVADQLDSANLELPIYVAADNQYNQLASLPSAIYLKNNSFSGNIPIEIGQLKYIQVLDLSCNNFDGSIPDQLSNLTKLEKLDLSGNNLTGEIPASLRQLHFLSSFSVANNSLHGPIPTGGQFDTFTNSSYEGNAGLCGPMTPRNCTSSSRTVRPSVHKRPNKKLIIGLILGICFGIGITLTVVALWILSRRQILPPSDPHKIDLDTASFNSHSAISTEFGKDTSVVILFPNNTNEIKDLTISEILKATENFSQANIVGCGGFGLVYKATLANGTNLAVKKLSGDMGLMEREFKAEVEALSTAQHKNLVSLQGYCVHEGFRLLIYSFMKNGSLDYWLHEKTDGASQLNWPTRLQIARGASCGLAYMHLICEPHIVHRDIKSSNILLDDKFEAHVADFGLSRLILPYHTHVTTELVGTLGYIPPEYGQAWIATLRGDMYSFGVVMLELLTGKRPVEIFKPKVSRELVVWVQQLRSEDRQEEIFDPVLRGKGYEHQMLQVLDMACMCVNRNPFKRPTIREVVDCLKDVGSNSLTGQ >KZM92851 pep chromosome:ASM162521v1:5:288121:289662:1 gene:DCAR_016096 transcript:KZM92851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSATTLATRFQFCALLLLISSTTVLCGNINSNLLRENERSCNKNNKAALFVFGDSLYDPGNNNYISTNTNFQANFWPYGKSFFSEPTGRFSDGRLIPDFICEYAGLQLIPPYLQTGNQHYLYGANFASGGAGALDETNPGLVVNLNTQLNQFKNVATQLAQRLGEEEASALLSRSVYMFSIGVNDYSSTIKGDSKQFRNMIIGNLTSVIKAIYKTGGRKFSFVTLPPLGCFPSIKAQNAGNKTNHGDFCREDLTALVQEHNTAVSKNLEQLEKHLKGFMYSFFDFYTTFYDRMINPTKYGMPETTFCFKNGESACCGSGLYGGVNSCGGMRGIKEYEVCSNSSEYLFFDSVHPSEMAYQQLAKLMWEGSCSVTGPCNLKSFLSL >KZM95355 pep chromosome:ASM162521v1:5:31381772:31387143:-1 gene:DCAR_018597 transcript:KZM95355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVPPPTPKPAEKVDYMNLPCPIPYEEIHREALMSLKPEVFEGFRFDFNKGLNQNFSLSHSVFMGPTEVPSQSNDTVIKVPTSHYEFGANFIDPKLMLIGRVLTDGRVNARLKCDLSENLTLKGNAQLTREPHMSHGMATFDYKGRDFRSQFQLGNGTLLGASYIQSLTPHLSMGGEVFWAGQARKSGVGYAARYNTDKMVATAQVASTGMVALSYVQKLSEKVSLATDFMYNYMSRDVTASFGYDYILRQCRLRGKIDSNGCASAFLEERLNMGLNFILSAELDHMKKDYKFGFGLTVGE >KZM95438 pep chromosome:ASM162521v1:5:32105374:32108775:-1 gene:DCAR_018680 transcript:KZM95438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRDFFWVFLIPFFQILLITEINSVHGQCLEDQKNLLFQLKDGLKYDTMVSTKIVKWNQSTDCCKWEGVSCNTSSGNVIGIELDGEGISDGINGSSSLYQFRYLQKLNLAYNNFNSTEIPAGLFNLSSLTYLNLSYCGFAGQIPEGFSQMKRLEILDLSTSFVSGKASLKIENPNLEMIVQNLKGLTELYLDGVNMTTQANSWSLAISSSLPNLRKLSLKSSHISGPIDPSLERVQFLSEIRLDQNNLSVTVPEFLANLKNLTVLHLSSCNLQGIFPKRILQVPTLNDLDLSDNKELRGSVPEFPQNGSLRTLVLTFTNFSGTLPESFGNLRLLSRMEITNCNFSGMIPNSMANLTSLVRLDFSYNNLSGHIPLLQKSKNLTYIDFSHNRLSGTIPSTYFIGLDNLVHVDLGFNAFMGRIPSSLFALPSLRQIKLSQNQFGGLLANFSDASRSQLDTLDLGSNNLNGSIPLSWFELKRLNILSLSSNQLAGSLQLEMIHKLANLTNLDLSYNRLSIETSHNSSSETLLPQYSTFKLASCKLKSFPRLGKQLRLSVLDLSDNQIHGAIPNWTWRIGSLTNLNLSRNQLVSLQEPYAFPRLSVLDLHSNQLTGRIPVPPETASYVDYSDNNFSSSISLDIGKNLTFAYFFSVSSNKLTGTIPVSICEATYLQVLDLSNNNFSGVIPPCLLGQRQSLAVLNLGNNKFSGHINGTFLENCGLKTLDLHANQLQGKVPNSLSNCTMLEVLNLGNNQISDTFPCYLKNSSNLRVLVLRSNQFHGDIRCSGPKSHWPNLQIIDIASNKFTGKVPPNCFLNWTAMMGYKDDAQSEINHLRFRVLKLNNFYYQDTVTVTSKGLELSTFDEGSFRGNEGLCGKPLNRTCAVPETGPSSERYNRQDSSSSFDWQIIVTGMGFGVGAAIILGPLLFFQKGTHWLNDKIDKLAHIILQSFGIICTRYDIVEEDQDTYNAAHSDEEDRTTEDTTFRGRYCLLCSKIDMFRNTVVHDPKCMCHTSTPANFSSSSSSFSTSSSNKTP >KZM96192 pep chromosome:ASM162521v1:5:39003166:39007810:1 gene:DCAR_019434 transcript:KZM96192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQAQPQPIPVTGANGVAPPAAAATTSLFVGDLDYNVTDSQLYDLFNQVGQVVSVRVCRDLSTGRSLGYGYVNYSNQQDGARALDVLNFTQLNNKTIRVMVSHRDPSKRRSGTANIFIKQDRETEISKTKFNNIYVKNLSETTTEEELREIFGEHGTITSVVVMRDGDGKSKCFGFVNFDNPEDAAKAVDALNGKKFDDKEWYVGKAQKKSEREVELKSRFEQSVKEQVDKFQGLNLYVKNLDETIDDEKLKELFSEFGTISSCKVMRDPSGISRGSGFVAFSTPEEASRALGEMNGKMIVSKPLYVALAQRKEDRRARLQAQFSQMRPVAMAPSMAPRMPMYPPGAPGMGQQLFYGQAPPAMISPQAGFGYQQQLVPGMRPGGGPMPNFYMPMVQQGQQGQRPGGRRGAGPAPQTQQPVPMMPQQLMPRGRMYRFPPGRNVGDVTMPGVGGSMLPVPYNMGGMLPRDSAMGQPMPITALASALANAPSDQQRTMLGENLYPLVDQLEHDHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMDVLRNVSQSNTADQLAGLSLNENLVS >KZM95968 pep chromosome:ASM162521v1:5:37108800:37109189:1 gene:DCAR_019210 transcript:KZM95968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGRVEEESREFSEVLREETAAVLAHASQGSVCCELCDSDATIYCPADDAFLCRDCDKEVHVANFLARRHIRCLLCRTCQSLTSRYLIGVSAEVVLPDVVNKSEKRPWSTSNLEANHSREHKMPFLLL >KZM96047 pep chromosome:ASM162521v1:5:37690196:37690678:-1 gene:DCAR_019289 transcript:KZM96047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKPKLKPKPEQMRCKKHPKHKQAPGVCAVCLAERLSRLASSKKPMKRALRTPDTSSSLSSLSSCDSSSNASSCASPVKRSEGKGYVSFLKVSGKNVILTKSRSMEFYPPRKDQMNDEFRKKKGGFWSKLIGSRSKKMDHEGLMHSKTTRERSSSGVH >KZM93173 pep chromosome:ASM162521v1:5:3083642:3086473:1 gene:DCAR_016418 transcript:KZM93173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLKHNFLGLIVFFLLQVGKGRDVGLNQFVLFEGEVSGGNEEHVLSRDIYRVGQLFDFFKMLSFYFTTVCFYVCTMMTVLTVYILLYGRVYLVKGSLGGSTTLDVGDAVSVIKGGKKLRRKEKRARSPLGAYINY >KZM94616 pep chromosome:ASM162521v1:5:24235338:24235911:1 gene:DCAR_017859 transcript:KZM94616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPGRISCLVGRLDAYIHNYNYPVKRRYNATSRIVQAKAAVPCNSTGEITLNIN >KZM95735 pep chromosome:ASM162521v1:5:34975952:34977370:1 gene:DCAR_018977 transcript:KZM95735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKVRSTPAAQQPIKTEHVEEQPQSSEQLEPQPIEDPQIEQHEEEIEEEQEEEIEEEQVEEDPQENQDDGEADADEDDEDKDVKDKGVINGSDVKTEQEDDVGPDEQEPVEKLIEPFSKEQLAVLVREAVEKHPDFIENVQRLADADPAHRKIFVHGLGWDTNAETLISEFSKYGEVEDCKAVTDKVSGKSKGYGFILFKHRGGAQKALKEPQKKIGNRVTSCQLASAGPVPAPAPAPVAAPVSEYTQRKIFVSNVSADIEPGKLVEFFSKFGEIEDGPLGLDKQTGKPKGFALFVYKSIESAKKALEEPHKTFEGHTLHCQRAIDGPKPGKGGFHQQHQPQQHHHQGGYHHVAKKGRYSTGGGGHLMAPSGPSVGYNPGVAPAGALTPALGQALTALLATQGAGLGNLLGGLGGAVNQGMPGMNNVGYGNQGGYGAQHGMQGGYQNPQMGPGSSRPQQGGGSYMGHGH >KZM93606 pep chromosome:ASM162521v1:5:8339614:8341433:1 gene:DCAR_016851 transcript:KZM93606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLRADSGLVLTTDPKPRLRWTAELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGRQPHKDNTSDGHTMKDGERGSAMKLYGNSASSSGMITSNMNEMQMEVQRRMHEQLEVQRNLQLRIETQGKYMQTILEKACQTLAGENMPNNRQGRKGDGPTHHMRDYGPNLNFLVPQDLNQLYGSDPQPLEVFHHQQLSMERTDSSLDRFMSGSIDDSNLCLGKKRPKTDCSSKSLIIWPDDLRLDQEFGNAALCLGSQYNDEYLYCGARSSEGEQKRVLSEDDMDDKKLNSAQLGMS >KZM94318 pep chromosome:ASM162521v1:5:20915628:20916022:-1 gene:DCAR_017561 transcript:KZM94318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQVYDAGKRIWTTTGKEQERAKKDLINNLKMLENELGDQTYFGSETFGVSGCIIKAECPKLIAWAKRCFQRESVSKSLADFKRVYDIVCDILKQRYGILWMVKWKI >KZM94775 pep chromosome:ASM162521v1:5:25739694:25739906:-1 gene:DCAR_018017 transcript:KZM94775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIVCDEQGSEVERKTASGNCPHCGGKVEAVDVETRSKLCCLIPVCFQIKRKFQCAVCFKRLVLSYYPS >KZM94794 pep chromosome:ASM162521v1:5:26010740:26011117:-1 gene:DCAR_018036 transcript:KZM94794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDSPESEDKQSPKISNMGSTVEDNSFVQDTVTSSIMNALLKMKIKPKRGRPRSSKKVRQNKYLKVPKRRRSLKGPGLPKINAGKGADGRDEAQAIFETGVLMGLIPVNGMEESPALIRANLHD >KZM93504 pep chromosome:ASM162521v1:5:7318390:7322878:-1 gene:DCAR_016749 transcript:KZM93504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSMINPELVVNYKFPEETFTYDERDATLYALGVGACARNALDDKELKYVYHEDGQKFIKVLPTFAAIYPLGVDVAGIPGLSFDPRLLLHGQQFIEIYKPLPTHGCIRNKTRVVGLHDKGKAAVIEIEVTSCMESSDEPICMNRLTLFLRGAGGFSKAQRPYSYSNYLTNQSLAFKHPKSQPFAVYEECTLPSQVYTFVDLINGGVTRYDRLDDMYELTVEKGNICHIRLILQNRFDRILHKLSHSHGKKWGQGALLYRLSGDYNPLHSDPAFAGKAGFSRPILHGLCTLGFAVRAIIRCICGGDPSMVKSISGRLLLHVYPGETLATEMWLEGSSVMYQVKVKERNKTVLSGIVNLNHLASSL >KZM95802 pep chromosome:ASM162521v1:5:35741358:35755124:1 gene:DCAR_019044 transcript:KZM95802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRTRSGRSASFRDSDTRLTESERIDGAGSWDALEWTKVEPVSRSVPHAVLEYLLEDEQVIVEGYGVFLVNANKAGTLFVTNFRLLFLSEASRNILALGTIPLATIEKFNKIALKLPSNPRQLDRTPPQRLLQVFGKDMRIIVFGFRPRTKQRRAVFDALVRWTRPARLWDLYAFGSGTSRFSNTNPKVRLLNEYFRLLGVGPYNASLSLIEERSFTVSNDSWRISDVNFNYTMCPTYPFALLVPKSISDEEVLQASSFRARCRLPVISWCNPETGAVLARSSQPLVGLMMNMRSNADEKLVAAICTPNSGSKGARRKLCITDARPRKNALANGAMGGGSESSSNYFQSEIVFLGIDNIHAMRDSLARLRDYVDTHGTASSDGILSFLRHGGSTWGGGNLSSMSASVATLGDSGWLIHVQNVLAGSAWIAARVALDSASVLVHCSDGWDRTTQLVSLASLLLDPYYRTFKGFQALVEKDWLSFGHPFSDRLGMPTLTGVNMPFELTRQSSTGSFSSSPIRQAPGSLPSQASNSAHTQASNHSSPIFLQWLDCVSQLLRMYPFAFEFSSSFLVDFLDCVLSCRFGNFLCNSERERQQCGISDSCGCLWMYLTDLRASEGSSHVHYNLFYDPSKHGGPILPPAAALAPTIWPQFHLRWACPSEAQLGDAKEVAERKADEISSRMDSLTAELQNEKHISSSAMNKARREMKENIAMKRAIQSLGCTVQFSDSGECIVDIESNPAEFRQRSVQTPLRESDGMAQHVEKSDMSVSITLMDDDDPTSSPVDRVCESLCPLRTRDGGCRWPDAGCAQNGSQFVGLKANFDAFDRLSIYDGYFQSG >KZM93938 pep chromosome:ASM162521v1:5:12531685:12533701:-1 gene:DCAR_017183 transcript:KZM93938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFEEETNLYNRIVLGSLLPEGAWEPLPHWLQGWLRNYIGGTLVYFISSFLWCFYIYYLKRNRYIPKDAIPTNKAMLLQIAVAMKAMPFYVVLPAISEYMVEQGWTKCFASIDDVGWPTYFRNLALYLTIVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGIMQALPHVMALFLVRMHFRTHIALLFIEGIWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPEEDEAKKM >KZM93944 pep chromosome:ASM162521v1:5:12642197:12643608:-1 gene:DCAR_017189 transcript:KZM93944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSRKKIAKDAGLEPTEFEETVAQAFFDLENTNQELKSDLKDLYINAAAQIDVSGNRKAVVIHVPYRLRKAFRKIHLRLVRELEKKFSGKDVVVIATRRMLRPPKKGSAAQRPRSRTLTAVHDAMLEDVVHPAEIVGKRIRYRVDGSKIIKIYLDPKARNDTEYKLETFAGVYRKLSGKDVVFEYPVAEV >KZM93805 pep chromosome:ASM162521v1:5:10970909:10973124:-1 gene:DCAR_017050 transcript:KZM93805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIEKKEGESGDQSSLNNDKEAEERQARDLKAGFHPLKVEAFWICYCHLARVSSLPSPTDLHLFKEGIRPLWEDAANCNGGKWIIRFKKVVSGRFWEDLISPKAELGHFKLAIFLEHLNFSFTSRSMTS >KZM92871 pep chromosome:ASM162521v1:5:406957:409010:1 gene:DCAR_016116 transcript:KZM92871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAWVTYAATWLSILAFFLLAKYLRSKSTRKILNPAPGPKPWPVIGNLNLIGELPHRSIHDLSQKYGPIMQLQFGSFPAVVASSSEMAKIFLKTMDVKFVGRPKTAAGKYTTYNYSDITWSPYGSYWRQARKMCLMELFSAKRLESYEYIRGEEMKAMINNIYNFRMVVGKRYIDETENAVVKPEEFKKMLDELFLLNGVFNIGDSIPWLDFLDLQGYVKRMKRVSKKFDKYLEHVIDEHYERRKNDENYVAKDMVDVLLQIADDPTLEVKLERHGVKAFTQDLLAGGTESSAVTVEWAISQLLKKPEIFDKATEELDRVIGKNRWVDEKDVPNLPYIQAIVKETMRLHPVAPMLVPRESLEDCKVNGYDIAKGTRILVSVWTIGRDPTLWEMPDEFVPERFIGKDIDVKGHDFSLLPYGSGRRMCPGYALGQKVIESSLANLLHGFKWKLPGKMTVEDLNMEEIFGLSTPKKIPLVTVAEPRLAKELYSL >KZM93363 pep chromosome:ASM162521v1:5:5267415:5267972:1 gene:DCAR_016608 transcript:KZM93363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPENGEAMQILHYKHSQKYEPHYDIFHDKANRELGGHRVATVLMYLSNVEKGGETVFPRSVEDTQTKDDSMSDCAKQGYSVKPEKGDALLSFSLHPDATTDSLSLHGSCPVIEGEKWSATK >KZM93831 pep chromosome:ASM162521v1:5:11267397:11267797:1 gene:DCAR_017076 transcript:KZM93831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISLAAGSLVDTSLLFLRLLNASIQIFDSIKFTVSHKTQLHFIDKRQNEAIPCYIDDLIANVGSQYRRKANKEGSGGDKEEEPPEAVFNVLDDMLKGSLDRLKAMR >KZM94294 pep chromosome:ASM162521v1:5:20708828:20714997:-1 gene:DCAR_017537 transcript:KZM94294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSILLSFDYDIIALIFSKLIIESFLDFANLFWVWHFNQSISTIRNVLRKLDWDQMYVLLNEPLDGYHERFESFLKVCLELDVEQAHFLYSTKMLLRGQCVHHHLDILKMNSTIHFPSYFSYLVFKGMHCPFHWDETVKEMRSVLVNPATKNKVLDLIVLIREINDEDAFPIFPIYKLCPNAKNKQSFLHSAWFPNQSAVWVALCDKVVTQSADEVDIFEQYIRDEHILQTRWIHYQCFNHAREGIGKFECVFPQPGDMYQREGMLLELVVIGISFIVVDDNASRMEGWIRSALVNLFEPEFVEGRVIDIQNFAVRPYRDYETNKSFRGDKHILLTPITVIFPVEQILPNFPMHVFCCIPLNLIPEHAEQESYLLAISFMGQTEGFEPYPITVIISSCKVIMHRDDSLVEGGVFQIENFRVRRYGENERNQCFTGDKRIFFTESTVVMPCIQPHEFIPQHVFDCIPLNTVRQHSTQDTYLIDVCGIVKDLQPIQQFVSITGKEQIVVKFALSDNNNNTVRATMWNEQALFMHMSLAFTTQRPLIVIISSCKPHLWQGTPTVTNMQATRVFFTSSHQTAANLRAGYGN >KZM94419 pep chromosome:ASM162521v1:5:22133583:22135070:-1 gene:DCAR_017662 transcript:KZM94419 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MQTPSHKQLHFVFIPLMSPGHLMPIVDMARLFAQHGTVVTIISTPLNSKRFRSIVDRAVESGLEIRLLDLDFPAAEAGLPEGCENMDSISRDMIKNFFVASQMLQRPFEELFDQLSPRPSCIVSGKNLAWTVETARKMKIPRLFFDGMGCFSFSCTHHLKMSEEFSSVSSRFESVLVPGLPHQIKLAKAQLPEALNPGGSGDLNDVRKKMTEAESIADGIIVNTFEELESEYVSEFKRIKGGEVWCIGPLSSCNKLNSDKAERGNFSDSPESEIDCLKWLDLQEPESVIYACLGSISSLTASQLKELGLGLEASNRPFIWVIRGGQKSRELEKWIEEENFEERTKRRGFLLRGWAPQLLILSHSSIGGFLTHCGWNSTLEGVSAGKPIIACPLFAEQFINEKLVVQVLGTGVSVGVEAAVTWGMEDKFGLVMKREDVKNAIEIVMDKVGGQERRKKARELGEMAKMAIEEGGSSYFNMKSLLQFVMAKNELISHD >KZM95928 pep chromosome:ASM162521v1:5:36848002:36850784:-1 gene:DCAR_019170 transcript:KZM95928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSQASLLLQKQLKDLCKNPVDGFSAGLVDEMKLEFDCFVKFLFLWVIELSDGGFFNAIMTFPQNYPNSPPTVRFTSEVWHPNVYSDGKVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRERRDDFKKKVGRCVRRSQEMM >KZM93255 pep chromosome:ASM162521v1:5:4077985:4079030:1 gene:DCAR_016500 transcript:KZM93255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRKHMLELHNVLGLEVMRELLAKKHAKIQKKQSVELVSKRDEKPSSDFECQKISKHQVIKHDRHRPRKSLSTNSATARSRGWHPSLNSISESSS >KZM94231 pep chromosome:ASM162521v1:5:19693508:19695421:1 gene:DCAR_017474 transcript:KZM94231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGASLPGVLQSPFLGSKLYSPSSTTPPSCISCYNLSKTRRSPCIRAIDLDQNTLVAISVGVASVAVGIGIPVFYESQIDNSAKRDNTQPCFPCSGSGAQKCRFCMGAGTVTVELGGDEKEVSNCINCDGAGSLTCTTCQGSGIQPRYLDRREFKDDD >KZM93513 pep chromosome:ASM162521v1:5:7409008:7409850:-1 gene:DCAR_016758 transcript:KZM93513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTKVSVHSRSISFPSRSHPFTVSVEEHLCRLRTLEETTSSSTTKCNKLSTLIDLYECVEDLLQLPAAKQDYLSCAEDILCGSIRLLDLCSTSKDSLSLMRSSVQQFESSIRRRESDISSKTGSYLICKKKVKKMISKCFTSSKKSKINKSTETPAIVGLLREVEEVSIRVFESIFSSICPAKVTSNRWSLVFKSTQSKRVHCEGDIEENINQIQKMDMILEALNKKSSKANDILGTQEVLKCLMAMDMNMQECEEKLDLLVRSLIKTRVSILNVLNH >KZM93824 pep chromosome:ASM162521v1:5:11190252:11193137:-1 gene:DCAR_017069 transcript:KZM93824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSRQDEIQDLSLSPPSPGSMQIAARNGFGHNIDYMSQAYLRNRSCSQIDIELDDSSNAIKDRPLPIYLKFHDVEYKVKISKAASANPLKAVVTKVASQINHDNYKQILKGITGSVGPGQILALMGPSGSGKTTMLKVIGGRLQKNIKGTITYNDIPYSPALKRRIGFVTQDDILLSQLTVEETLVFAAYLRLPGSMTRRQKYERVETIIKELGLERCRSTRIGGGFIKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANKLLLILQDVAKAGRTIITTIHQPSSRMFYMFNRVLLLSEGYPVYYGNAKDSMDYFSSLHFTPEIAMNPAEFLLNLATGQINDITVPDDLRSIQDTPEYEKVVLKHLRQKYKTELEPKEKEANHMTTKTLEHLQVAIQLKKDWTMTWWEQFLILSERTYKARYRDYFDVLRLAQALGVAVLLGLLWWKSSTHTEAQLRDQIGLMFYICIFWTSSSLFGAVYVFPFEKVFLVKERKADMYRLSVYYACSTMCDMIAHVLYPTLFMTILYFMAGFNQTAECYFMTLAAVLLVAITSQGAGELFGAIVMSIRRAGMIASLLLMLFLLTGGYYVQHIPRFMRWLKYVSFMYHGFRLLLKVQYSGDQLYECDSIGGCRTLQSSPSFDTVNLKGGLQEVWILLAMSLVYRFLAYVCLRRKINNCEL >KZM94259 pep chromosome:ASM162521v1:5:20133224:20134363:1 gene:DCAR_017502 transcript:KZM94259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNSSLIGDILTDDLVTEILHRLPVKSLLRFKLVSKPWLSLISSPRFIKSHLHHALTSPAAHQTLIVYKKHHLSISLFQLRSPQIGPSLGVPYSRGEFSFTPFTMLVASQNGVVCVAVADFPRHEMALSNLYKLNNCCLYLWNPATRQSRVLPPHDIREDVMSVCFGFGFDSVGNEFKVVRVVSSFRKPFSAEVYSERKDAWRRVKPKPCDVPYYEVFDVCVNGLLCCTGMYGLMAFDLNKEVFRSGIRIPVRRRDIKRFNARVIVVNESVAVGFFSRDMELSGKVKVWTLDDDACLRGDQVEASWTLVLSIRVDIPGRFVRGYCSSKDLLLVIGEDIWLSYNTEEKEVKPFPDSIYLSQVIKYNESLISIRGSKLVQ >KZM95105 pep chromosome:ASM162521v1:5:28720858:28721493:1 gene:DCAR_018347 transcript:KZM95105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKDGEDFYVDIGDSESGKNVAREDMSSKDMLDTGNAMEALTRVDLDLAYSSEKSVNLDTLMMHVWAWEKEFEALATDDISVDYIEKALAYDFFSGILESEWRLNCTFWRVSKAITGECTGNEDAVNQVANDIPGVQSNLLEI >KZM92935 pep chromosome:ASM162521v1:5:983714:984901:-1 gene:DCAR_016180 transcript:KZM92935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLDWECSPIITNISSSWSNQEHEVAEAFTAESGCAYNGLEKNVDDMIFNPIQQLNRISYVTEAPVSQSHHLQYYSNPENILNQVVQHLAPPIDSIIVSSNPNYPQSQAEAVSTFSQGRPALCSVKRPHSVMMDNTLSGLMADIGMPYKDGNAFKAIASTNVASLESLDCLLSATNSNTSTSIEDEGVNSIFYPDNSHKNVVTKSIGQTSDGKNSSGFCPKSKKRRILDKPPSSSNISFQQTNNNSLATANCVLEEPDSEAIAQMKEMIYRAAAFRPVNFGDEVVAKPKRKNVKISSDPQTVAARQRREKISEKIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGQKGDFGNSPNESHALAPFSMQNSTSFSFQNPNPTGHTKI >KZM94852 pep chromosome:ASM162521v1:5:26472935:26476540:1 gene:DCAR_018094 transcript:KZM94852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVTSLSHLLSSPKSSPQLTPSGGGIFSKERSPFITFVLGGPGSGKGTQCSRIVETYGFTHLSAGDLLRKEITMNSENGAMILDTIAKGKIVPSEVTIKLIRKAIESAENDKFLIDGFPRTEENRIAYERIIGAEPNIVLFFDCPEEVMVKRVLSRNEGRVDDNIDTVKERLKAFRSLNLPVINHYSNKGVLYKIDGTGTEDEIFERVRAVFDAWKYIVNVLQQAYFKEGKGKSKTEIVNETPNSSYAVITYLVVSMRGIVKNPIVEG >KZM95591 pep chromosome:ASM162521v1:5:33673217:33675775:-1 gene:DCAR_018833 transcript:KZM95591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNPFAALSDSPPTLIIAVKPDPKSNELRQSSQEQKPRVSIIDNLDNAVEDTVFRVKDLVGSQLSHNLEFHLGDIRNKDDLEKLFSKNKSWYVVDIWGLKAVGESVAHPFRYFSNNSAESITLYETMAKYNCKKTWFLLKIYEELQAMNPYGRTKLFLEEIARDIQKADSDRRIILLRYFNPVGGHENGKIVENPKGIPNNLLPYIQQVAVGRLPELNVYGHDYPTPDGTAIRDYIHVMDLAYGHIVALQKLLSDDIGCIAYNLGTGRGTSVLEMVAAFEKASGKKIPLKLCAKRPGDATAVYASTEKAEKELCWKAKYGVKECAVNKIQA >KZM93159 pep chromosome:ASM162521v1:5:2941722:2952489:-1 gene:DCAR_016404 transcript:KZM93159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSAISLYPYKIWHTPTFRKSPISCALPSGNVTGTRGSRSPRNRSGRREGAGKSMEDSVKRKMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNFDRYILIDAGIMFPDYDDLGVQKIIPDTTFIKKWRHKIEAVIITHGHEDHIGALPWVIPALDSRTPIFASSFTMELIKRRLKEFGIFVSSRLKTFKTKRRFNAGPFEIEPIRVTHSIPDCCGLVLRCADGTILHTGDWKIDESPLDGKVFDREGLEELSKEGVTLMMSDSTNVLSPGRTFSETVVADSLLRHISAATGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLEAAWRDGNAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSLKLSKEDLILYSAKVIPGNETRVMKMMNRIAEIGSTLVMGKNEQLHTSGHAHREELEEVLRIVKPQHFLPIHGELLFLKEHELLGKANGIHHTTVIKNGEMLGVSHLRNRKVLSNGFISLGKENLQLMYSDGDKAFGTSAELRVDERLRIATDGVIVVSMEIIRPQPNDSDEKTLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPLNCPLAHMERIVSEVLRKLVRKYSSKRPDVIVIAIENPTGVLSDELNGKKPGKSQIDFRIPKLKIGVHEHAEKRRASKNKEESDKGRPVETSAQESKDGDVDNDEIDELLSEEDLTSTSEEDLTSTSEEDLTSTSSLAKKNSESDDFWKSFVVPVNEHEQDSEGVATPEQKAEAKIASSKNDPFDLPKSELKSSTPSKRNKWKPEEIKKLIKFRKELNSRFQVVKGRMALWEEISAALLADGIVRSAGQCKSLWASLTQKYEECKNDEKSRKTWSYFEDVNDILVEFQNN >KZM94799 pep chromosome:ASM162521v1:5:26043513:26044981:-1 gene:DCAR_018041 transcript:KZM94799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPEHSWGTKRKRVIKELVQGRDIATQLQDLLLLGNNCSSDHGVQELAMKICTSFSQSLSVLNSCAQIHGSDFGSASSGLLASQDSGESVNRSTSKSRRGCYKRRKTLDSRRSVSSKLEDGYSWRKYGQKGILNSKYPRCYFRCTYKHEQGCQALKQVQQLEDDKRMYNITYFGQHTCKKSTDIMKAAQTTPEPNSLLNTCSLYDMEPKLSQNENKEEPSDDQLFTDPLLDSDISWQDNVKGLRLSDKPPMFLFSELGTDNLENRVDTSAGYLCGSPNSYGLDAGMDMSLIRSLQFDNWDFHCDQILSSELQAGS >KZM94526 pep chromosome:ASM162521v1:5:23214518:23215114:1 gene:DCAR_017769 transcript:KZM94526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSRRYSGPVLRSQSPSGRFCSPGSMSSFASSSSSAFSSRPSRFLQRSTSPTRVNLSGSSSSPSSSVRFSMDRSGSPSRSISATSRSQVVNKSSNGALRSQKKRTCMCSPTNHPGSFRCGLHKNSPSQAGTSSYPSNRLNARRSAMTNSLVRIGTVEGDLVKRALAALIRPSSHSQKRRGSFQPRPSRLSVMSRAQ >KZM94802 pep chromosome:ASM162521v1:5:26067476:26071084:-1 gene:DCAR_018044 transcript:KZM94802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQANSQVRKVRCPKCMLVLRELPEVPIYRCGGCSAILQAKKRGKDLQCTGSQAHEADAKHTNEVEITSDNPVMEESVIDKFPKRVDSITDDRIQLLGEINKSNQLCGSDPIQENEGSSTEARVRVEAEEGKLPSYQYGAADQDKDSDNSGSKEMLSFSELNQHDSNSLVSQVHNHDSESSVSSPKVETQSRHSSSEYNCGENEVSENDLENATGKSTAGIETENPGSSEDNCDGGKKVFENELQTTSENPSTNNEELEEVHAEGEGGADGRNPGSCCEIADLAPESIAPKEADTCETSFDQSGTEVHFKSEYDDEKSGEVSLSNKVLSSPKLNHLENDLSVPGYHMYESGISVLLSPKEVIGSGYSSPTNSNEGENVHPSKVEQVDENSESLLIITSADAETFLETVTSDQIINSDNFLSEGIPLDNFMSPHRDMQQVEGGMIPVFRHVSSDDTLGNTSHYYANGDLGVTLRSLTARKYYASSNDTEDQMSDRRFQLLKGSSEDRGPRTKILPERSEYLTSHVTSKESELHQFINSRSSLQRKKYSTRGVSRWHQDELLESARYSSPVRNRISNETDDYHSRLLFNKYSSQVHQGNSSSSDFVQEDFSTLPDNRHDLHRLELLRMVYELQDQLKRTQLSEGMPHGRFLTRPFKGKEHHFAENRKDYSDHAYHNNHGRYRQDMTWLKNREISPMVLPEDSIHYKHRVDCLCLCCRGQEQYPSAEQHPHAICYREEQSMTNARKTSRTYRCASSSHHRYAASDFSLRSQDMKPDDRQPKKHKEAQIHHIAKRHFQPIAGGAPIVACYHCSETLQLPAEFLVFKRRCHQLICGACSKVLKFSLENKIHVVQYDLISRTPLPSVSASRRDDYTLAEPGANSAHSESFSRSQSTGDNFSDTPSKFSSASSFKTPKEGSKSGSTRQSVYKNRSAVESFRSARSSSLMLDSEHSGSESEVESPLPGSALHKLMGYSSIRRLVFQ >KZM95197 pep chromosome:ASM162521v1:5:30060617:30063349:-1 gene:DCAR_018439 transcript:KZM95197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMDARLVLHVTSSVLPCLSQHNMHRRRCPRAVSAMTSPTQNVERLTTLKTEYKDNWFDSIAINHLSKSVQETIGFKSSKKGYDGLVEATTAARQHFSPDQQRQLVIQALDKAFPKPILSLIRTVLPPSKLQREYFAAFTTIFFPWLVGPCEVKESEFNGKHEKNVVHIKKMQVCQLFLEESNCVNMCTNLCKMPSQAFIKDSFGIPVNMVPNFDDMSCEMIFGQEPPAQSDDPAFKQPCYKLCNAKQKHSTSCTS >KZM93582 pep chromosome:ASM162521v1:5:8028738:8030455:-1 gene:DCAR_016827 transcript:KZM93582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDDQMVGGVSLENTCNWELQDTAGEQDEDDTRLPGFRFHPTDEELVGFYLRRKVEKRPIRIELIKHIDIYKYEPWDLPKGSDVGDKEWYFFCRRGRKYRNSTRPNRVTSSGFWKATGIDKPIYSEARRDLCVGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPPDYQTTKTPSKVIELNDAIFQEAEVWTLCRILKRNASPKKDQKNASKRNTCTGGSDNIHGLDINTFQQSPVSTNQQINEYQPAVFPNNQIVTDLNHLVMGPVTSSNFSSPEMNEFVQYADWEELRSFAEFAGNQCIL >KZM95957 pep chromosome:ASM162521v1:5:37040426:37040635:1 gene:DCAR_019199 transcript:KZM95957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIASLCFSALTSQSASERKLSAPSLISNFDASRLRSSHDSVAVRVSQSSSSARFVVRCMASASTGS >KZM96040 pep chromosome:ASM162521v1:5:37641351:37641688:1 gene:DCAR_019282 transcript:KZM96040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLVGTKFDDFVQLPLDLQWTIANQARGYAKVLNATLFFSSANYNINVNKIFKFIAAELFNLPWSIERNLTIGEPIIDF >KZM95497 pep chromosome:ASM162521v1:5:32656160:32658487:-1 gene:DCAR_018739 transcript:KZM95497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFSDIWINPSGKILGMAASHLLLLPHSFLQQSHSLNFHSSLTRFNISPPFFLPQTHLLKPLKYPCASTNSTSDTSPEPPKRRGRKKASSSTPKKPGRPKKIQPQESNTIELNQEDETSEFDEESDNLDDDLSIFENDVPLVCCFGAAQKEFVPTVRVSDEQMHPDIYADWKMLQWNPPEFVRAPGGPPSNVAISLVRLGGRAAFIGKVGEDDFGEELVLMMNKEHVQTRGVKFDETAKTGCAYMKLKFDDKGKMRAETVKESAEDSLLSSELNLHVLKEAKMFHFNSEVLTSPSMHASLFKAISLSKKSGSLIFFDLNLPLPLWKSRDETKKVIKKAWEQADVIEITKQELEFLLDEDHYVRRRNYRPQYYADDYEQTKNRRDYYHYAREEVSPLWHPGLKFLFVTDGTLRIHYYSPTFDGVVVGTEDVLITPFTCDRTGSGDAVVAAIMRKLTTQPEMFHDQDVLERQIRFAIAAGIISQWTIGAVRGFPTESAVQNLKEQVYVPSMW >KZM93264 pep chromosome:ASM162521v1:5:4176489:4178463:-1 gene:DCAR_016509 transcript:KZM93264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGVERRRIYDIVNVLESIGLLTKKAKNRYSWKGFGVIPKTLQLLKQEGLKESYTSFEDPLPFKVLDDEVDEKFQSSNNSSQTDKSNASSVPKIPGLGASKSERKEKSLGLLTQNFVKLFLCSEVEMISLDEAAKILLGDAHDMSMMRTKVRRLYDIANVLSSMNFIEKTHHPETRKPAFRWLGMKGKSQMASTNALILESKKRTFGTELTNTSLKRCRGAPSLNTAADQATITPSQIRAECMTAKKAVDVSDSEKGSLQNVQNYHYGPFAPINVLKTQPSSNDERKQPRDWESLAKAHTPQYHNQALRDLFCHYMEAWGSWCSEVAGKNPIQLQS >KZM96283 pep chromosome:ASM162521v1:5:39786839:39787896:-1 gene:DCAR_019525 transcript:KZM96283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKASLKAKYSTDKNSAGATFSVNAGNVKLRASMTDATFINGPSLNGLALSLDKPGSFIVDYDLPKKDLRFQFMNTVRIAEKPINLSYVHFKNDNRTILDGTLVFDSTNKVSANHVVGSGNAKLKYTYVHGGVTTFEPSYDIAKDCWDFAVSRKVFGNDVFKATYQTSSKALGLDWTRTSKHNGLFKVKFRRIFGQLSTFRN >KZM93767 pep chromosome:ASM162521v1:5:10593544:10594722:-1 gene:DCAR_017012 transcript:KZM93767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGNMAATEDSGHKAKRVRGTNVLPRAPHEKPNFTLRDLKKAIPPHCFERSLLHSLAYLIVDWIANYLMYYVASNYFHLLPYKLAYLAWPIYGFCQGCVMYGLWVIGHECGHHGFSDYQWVDDAIGLFTHSLILCPYYSFKITHRRHHANTSNLDREEAYVPQTKPDPNSWYWGIYGTSGRIGVLLFKVTLGWPSYLLFNMAGRGYTKFANHFYPYSPMYSARERIEILISNAGILAMLYALYLLTVAKGLSHTLLAYGIPLLVHNAFLVIVTCFQHTHPSLPRYDSSEWDWFRGALSTVDRDYGILNHVFHHVTDSHVVHHLLSTIPHYHSMEATEAIKPILGDYYQYDPTPWYKAMWREIRACLYVVPDEDEKNKGVYWFSNKTKYDD >KZM96403 pep chromosome:ASM162521v1:5:40757280:40757447:-1 gene:DCAR_019645 transcript:KZM96403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLGVIYREEIKSRWCCRWRVADLQTRCCRVAGCRWEQQVAVGLKADMSEAESD >KZM93543 pep chromosome:ASM162521v1:5:7621162:7625283:-1 gene:DCAR_016788 transcript:KZM93543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYRVLEGGVDDNQGTVENDGVSDNSADLKQIEHGRPPRHHSVVRHSVTSLTDTGPAVDLESDCGVHGIKSPIDRNSEFVPVFRSGSCAEKGVKQYMEDEHICINDLLEELGAGADASANFPSGGAFYGVFDGHGGIDAASFVRKNILKFILDDSHFPVCLDKAIRNAFLKADHAFADENHLDISSGTTALTALICGKLLVVANVGDCRAVLGRRGRAFELSKDHKPNCSSERTRIERLGGVIYDGYLNGQLSVSRAIGDWHMKGSKGSAVPLSAEPELQEIELAEEDEFLIIGCDGLWDVMSSQCAVTTARKELMLHNDPERCSRELVREAFKRNSCDNLTVIVVCFSTDPPPPIDVPHTEVHRSISADGLNLLKGVLGG >KZM93420 pep chromosome:ASM162521v1:5:6105778:6106310:1 gene:DCAR_016665 transcript:KZM93420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKEWDFPNSGAATANVNAWSGAAMFTLLLGAFIADSYLALSMIIVASFLYVLAFIWVLDCSKECKIAKGLDLSRLIIAKLGV >KZM92857 pep chromosome:ASM162521v1:5:325266:325959:1 gene:DCAR_016102 transcript:KZM92857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQDEEDASSYVAKGGDVEAARAALTLLRISRDASAAGYPFPDDDTTEEKQEDHPDPAQILTGKDLDLLNRLGTMHVSLKNAKTASDILEKLQVYGKAVENLTLRVVKDYRDLGVIVDGDLQRPPYARVSSVCLFKKVEAQDSRNGFRLAPHLVEGKFYGFMKQREKKLAETKTGIQLDAYCTSNTLMPGLSSGRTIISCHSLMEFQFGS >KZM94003 pep chromosome:ASM162521v1:5:13592562:13593062:1 gene:DCAR_017248 transcript:KZM94003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKSTIALSMHLIKWLPLRFVDWLLILSSWLIHGDTSRRGIVRPKTGPLQLKEATGRTPVLDVGSMAKINTGEIKVVRGIKKFIPKGVEFEGGRTEGFDTVILATGYRSNVASWLKVDDFPIHTFVLF >KZM96086 pep chromosome:ASM162521v1:5:38115246:38118213:1 gene:DCAR_019328 transcript:KZM96086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFKNRYFVMEVFLDPKKDLGTDDPIIITHLNVSKAIKESILANFGECGLGSSIGSFQVKYVNPITKVCIIRTSRDEYQKVWAAMTMVRDIGNCPVVFNLLDLSGSIRACKDAALKCDERKFDIYKNEAGPRGGRIQAYIPRQIRHQFEDHIIEGETYDVNNFVVRRYSDMQFGRCFASDIYIQLNHMTEVLLTGDVDYIPPHVFQFTDLSALMEAASENKFLIDVVGILEHHDPISTFRNRYNQQKSCFRFTINDMHTSAEVLFCDEMAEEFDQAIHDVVQHPIIVIISSCQAQFFRDAPKLSNLPPTRFFINPNHGAVEDLRDALKLVT >KZM96329 pep chromosome:ASM162521v1:5:40141883:40143567:-1 gene:DCAR_019571 transcript:KZM96329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFYQSMENNGDAQLPPGFRFHPTDEELITYYLLKKVLDHNFSSRAIAQVDLNKCEPWHLPEKAKMGEKEWYFYSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTSSLVGMKKTLVFYRGRAPKGEKTNWVMHEFRLDGKLAYHYLSTTSKDEWVISRLFKKTGGATAGEKRPSSSMSSHFHSEISSSSSIPFTPPPPPATTTDHVITYEHVPCFSSSAAPGGFSTYHTLFDGGLPPPLMDPTPMPPSSTFPSLRSLEENLHQPSFFFPPVNYDNFPAMETPKPGLTELDCIWRPSFN >KZM93919 pep chromosome:ASM162521v1:5:12180302:12182167:1 gene:DCAR_017164 transcript:KZM93919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVKHPVSNGRGVLPYTIEAKKLSYSFHSLYADFSFSSCWRSQNTCPKYILKDVYCEARPGEITAIAGPSGAGKTSLLEILGGKIAPSKISGKVLINGVPADAKSFRKLSGFVTQDDALFPLLTVEETLMYSALLRLPGGRKEAGTRVTVLMRELGLDHVAGTRIGEGSNNGISGGERRRVSIGVELVHNPAVVLIDEPTSGLDSASALHVVSLLKAMVVQQGKTILLTIHQPGFWILELLDRLLLLSNGFVLHNGSLKLLEDRLKFVGHFIPPHINVLEFAIDVTSSLVIQTSDDFSNQILLKKQEDLQESEHDYDMSSLFHANRAEEHKSVASPNSHLEEIQILGERFFKNIFRTKQLFFTRIIQALFTGIILGTIFINVANDQGNLALQARIGFFAFSLTFLLSSTTEGLPIFLQERRIFMRETSRGAYRVSSYVISNTLIFIPFLLMIALLYTTPVYWLVGLRRNADGFMYFSLVVWMVILMSNSFTACFSALVPNFIMGTSVISGLMGSFFLFSGYFISKENIPRYWIFMHYLSLFKYPYECFMINQYGGEGGRRKCIQFEGGKCKMYGEGFLKQQGLRESQKWSNLGVMLGFIIGYRVLCFLILWFRCYRTRK >KZM95838 pep chromosome:ASM162521v1:5:36058617:36069622:-1 gene:DCAR_019080 transcript:KZM95838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNKSFVRKTRQGKVVKVVREHYLRDDIYCGAPSCQVCDTKDARLSSSIPSVLVLDTNVVLNQIDLLENPAINNVVVLSVVLDEAKNKNLSVYNRLRALCSNSLRNFFVFSNEYHKDTYVKAMVGESPNDRNDRAIRVATQWYQNHLGSRVQVLLITNDRENKRKATEEGISAETVESFVKSLGQPELLDLLVRPQSDDVHMVDSEDLRPSKRKVIYTEHKPMSEITAGLHSRIYHQGKLRVNRYNPFEAYVGSESIGDEIIIYGRPNMNRAFDGDIVAVELLPQEQWQEEKSLLLADEEDEPEDDVHLVPASADDAPRVANPVEGSDGTMKSVASRPAGRVVGVIKRNWHSYCGSLEPMPMPAGNAGIAHALFVSKDNRIPKIRIQTRQLENLMDKRIIVAVDSWDCSSRYPSGHYVRTIGQIGDRDTESEVVLIENDIDARPFSSQVLACLPPIPWSVSPEDLSNPIRQDLRHIRVFSVDPPGCRDIDDALHCTAFPNGNFEVGVHIADVTNFVHSGTPLDEEATQRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTPEAEIISTRFTKSIIKSCAALSYVEAQARMDDRRMVDPLTADLRNMNSLAKIMRQRRIERGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANISVAEKILKHFPVCSLLRRHPSPTKEMLEPLLRTAGAVGLSLDVSSSKALADSLDHAVGDDPYFNKLIRILATRCMSQAVYFCSGDLSPPEFFHYGLAALLYTHFTSPIRRYADVVVHRLLAASLGIYKLPNIFQDRPQLTSIADNLNYRHRNAQMASRASVELHTIIYFRTRPTDTQARIVKLRSNGFIVFVPKYGIEGPVYLTARGEKGGGEWSVDEQQQKIKKMDGSVCYSVLDTVNIHMEVVEPQPNRPKLQLTLV >KZM94018 pep chromosome:ASM162521v1:5:13851318:13857478:1 gene:DCAR_017263 transcript:KZM94018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKETPVKEEPVVDSPTSVLEEEDTCKDKVGVKLEEEEFLDAKNGDVSLISSEMAAVEKKLKEENAAEEEEVKDVPELNDSQFSKLDELLTQTHLYTKFLLEKMDDITVNGVEEDSKDSKPNKKGRGSKRKAASNYNTRKAKRAVAAMLTRSEETTASEDPSLTKEEIIEKEQAELVPLLTGGQLKPYQIKGVKWMISFTPSINAIIYHGDAKAREQIRKESMPRSIGPKFPIVVTSYEVAMNDAKKYLRHYSWKYIVVDEGHRLKNSKCKLLKELKHLPVENKLLLTGTPLQNNLAELWSLLNFILPDIFSSHEEFESWFDLSGKCNNKAGKEELEETRQSQVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIILYATMTEYQKNFQEHLVNRTLENHLLETVDTGRGFKGRLQNLMVQLRKNCAHPDLLESAYDGSYFYPPVEQIVGQCGKFQLLDKLLRKLLARKHKVLIFSQWTKILDIMHYYLEESGFEVCRIDGSVKLEERRKQNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVEGRILKRAFNKLKLEHVVIAKGQFMQEKTKADTADINEEDLLALLRDEENEEDKMIQTDINDEDLEKLLDRSDLMAGDTKMADGKPQYTASVLPLKGPGWEVVIPTSTGGMLNTVNT >KZM93328 pep chromosome:ASM162521v1:5:4818242:4821646:1 gene:DCAR_016573 transcript:KZM93328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLRRKSLTVVKASSRVDKFSKSDIIVSPSILSANFAKLGEQVKAVDEAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQTATIHLHRTINEIKNLGAKAGVVLNPGTPLGQIEYILDVVDLVLIMSVNPGFGGQSFIESQVKKIAALRKMCVEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAKDYAEGMNTKFTHS >KZM92985 pep chromosome:ASM162521v1:5:1423271:1424926:1 gene:DCAR_016230 transcript:KZM92985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPGLGLEITELRLGLPCMGGKSKAAEKKRAFWEIDGEEDGSSSTTTEEKTQKVESGGKGSGNQVVVGWPPVCSYRRKNSFNGREKGSKTYVKVSMDGAPILRKIDLGPFGEYSELTRALQKLFHSFDHSEAGEKLKDAENNEYVTIYEDKDGDWMLVGDVPWEMFTESCKRLRIMKNADAKGIGLHV >KZM94465 pep chromosome:ASM162521v1:5:22756950:22758839:-1 gene:DCAR_017708 transcript:KZM94465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFKQYKRSVFKHESLRYFSTTSAAAVLVADSHNHFSTCNAQTLHSPNCQMQPTINPKFFLSKLVDCRNVCHIRQVHAQVTVTGLLENLFVTNKLLYLYVQYKDMNDACEMFDRMPERDPYSWSVMVGGFAKIGDYMNCFGTFREYVRTGECPDNYTLPNVIRACRDMMNVRMGTLIHNVVCKCGLDVDQFVVAALVDMYARCRVLDDARRLFDRMVRKDLVSWTVMIGACVELGDAGEALVLFDRMSEEGVAPDKVTMVTAVNACAKLGVMYKARQMHDYILGKKFSLDVILGTAMIDMYAKCGSVDSAREIFDSMREKNVISWSAMIAAYGYHGEGRKALDLLPVMLSSGIMPNRITFVSLLYACSHSGLIEDGLRLFSLMKEEYYIRPDVKHFTCMVDLLGRAGRLDQAMKLIEEMTAEKDEGLWSSLLGACRIHGHTELAEKAAHSLLELQPQKPGSYVLLSNIYAKAGRWKDVAKIRELMTHRRLKKVPGWTWIEVENQTYQFSIGDRTHPKSKEIYEKLMNLIKELELKGYVPNTDFVLHDVDEELKLDSLYSHSEKLAIAFGLISTAEGSPIRITKNLRVCGDCHTFSKFVSAVTQRVIIVRDANRYHHFKDGACSCGDYW >KZM94398 pep chromosome:ASM162521v1:5:22004648:22007384:1 gene:DCAR_017641 transcript:KZM94398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFVWLPLTLLSQISIYTVQSAPAAFAPAPAPSPALPAYEIVKPGCIPTCGDLVVPYPFGTSQGCYLENEFSSFLINCSDSTPHLGKGSIPVRNISLIDQHIHISTRAARICYNDIATQVTYEDPYFLGLSKFQVNHTNKFTVIGCSTLALITGSRVQNYTTGCASVCDGVPSVVNGSCSGIGCCQTSLPKGARDFEVEFRVFNNSVLADIPCSSAFVAEDGFYNFSSSDLITIEERLFPVMLDWSVGTETCAIAKKNKTSYACVAPDSTCTDSVYGEGTGYQCRCPSGYEGNPYLSNGCIDVDECATSNPCHSPATCLNQPPESVQCECPHGYNLTADEKGCEIIGHAGGSGTAGSSVSTSLFVLAVGSAWIFWLLAKRKHIKQKEKFFAQNGGFMLREELSKDNDLAKATRLFTEEDLKKATRNYDETGIIGQGGYGTVFKGLLPNNIAVAIKKSKPSEQSQTSQFINEVIILSRINHINVVKLIGCCLETEVPLLVYEFITNGTLSDHIHDKDSSTYFSWELCLQIAAEIAGAVAYLHSAASPPIIHRDIKSANILLDENFVAKVADFGASKLVPRDHAEIGTLVQGTFGYMDPEYFFSSALTEKSDVYSFGVVLAELLTGERAISFDRPEKDRSLAMYFISSMRTGNGMPSIIQKSLANDEKNIQQIKQVSMLAARCLQVTGDKRPSMKEVAMELEGLKAMVEQPWVDQGSTTDHTETEYLLNQSVLSDAMGSTGLGYSSIVGFDNTSIQMTGKVQFR >KZM94537 pep chromosome:ASM162521v1:5:23382521:23383579:-1 gene:DCAR_017780 transcript:KZM94537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGRVAKICSVKFTVEMFKKFRRIVPVFGTECYNGLLRTLCQEKSMIDARNVYHSLKHEFKPDLQTFNILLSGWKSPEEAESFFGEMQGLGVKPDVVSYNCLIDVFCKGREVEKAFKVVDKMREEDIAPDVISYTSLIGGLGLVGQPDKARDLLKGMQEYGCYPDVAAYNAVIRNYCIAKRLGEAYKLLDEMEGKGLDPNATTYNVILRSLYWTFDLRSSWNLYDRMRRTGCMPNTQSCMFLIRLIKREEKVELALELWDDMVEKGFGSYTLVSDVLFDLLCDWGKLVEAERCFLQMVEKGQKPSNTSFRRIKVLMELTNKHDALQNLSAKMASFGTSVQVSLDKRPLKA >KZM96518 pep chromosome:ASM162521v1:5:41553117:41555898:-1 gene:DCAR_019760 transcript:KZM96518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFILMLSEMAAILLLLFKTPLRKLLIMAFDRAKRGRAPLVVKSVAATVFVIMMYNVYAVRDIQSRPIESHNTTDQILLADYMLQASLMGFSLFLSFMIDKLHHYIRELRLLRKAMETAKKQSHSFEDSKNGGAEELKDLGDEVSNLRTKIKELESECESKDNEVKSAQSNFVALKSQSEGYTQELSRLQEENKNFRDQLQSIDKSLSHSEDKKNM >KZM95796 pep chromosome:ASM162521v1:5:35669058:35672028:-1 gene:DCAR_019038 transcript:KZM95796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVDLEALVYRGITLNSILDQLGMPWDLGKWPLVVPLLKLMVNVCLVMSVMLFLDRVYMGVVKLFIKLLGRKPEKNYKWEAMKDDIELGSSSYPMVLVQIPMYNEKEVYQLSIGAACDLSWPSDRIIIQVLDDSTDLAVKKMVEAECKKWKGKGKNIKYEIRDNRNGYKAGALKQGLKHSYVSQCDFVAIFDADFQPESDFLKRTIPFFVHNPEIGLVQARWKFANADECLMTRMQEMSLNYHFIVEQEVGSQTYAFFGFNGTAGVWRIKALDEAGGWKDRTTVEDMDLAVRATLQGWKFVFVNDIKVANELPSTFKAFRYQQHRWSCGPANLFKKMAAEIITNKVIIFWVVFENVMAMHRTKATIIGLLEIGRVNEWVVTEKLGDALKPKASATSTSPVSSAPVKKPKAFASIWARLRWLEISVGMFIFLCGWYDFCYGKNHYFIYLFLQAISFFVVGLGYVGTFVSN >KZM94331 pep chromosome:ASM162521v1:5:21137047:21140198:1 gene:DCAR_017574 transcript:KZM94331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKGDYHYHHHDEEQPPAAAIQYGTFQGVANYPQPQPAMGFPHPAPPPGHYAQPTSQAHHYAHGYQSSVPGYAVAEGRPIRERRLPCCGIGCAWFLFIIGFFLAGIPWYIGAFVLLCARYDHREKPGYVACTIAAVLAAIAVVFGLTSDEWD >KZM95477 pep chromosome:ASM162521v1:5:32473152:32476235:-1 gene:DCAR_018719 transcript:KZM95477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDLQLSVKELNLSVREATSIFELPEELLKEILSRLPARSLLSCRCVCKRFNIVTRNSNFIASHVKRASTLNTTKFCSAFIFGSDLIGGLYEHILLKNTNDSVTFSYVRPSNPVSCNISIVGCCNGLFCAEVESPCVENGSHFLIWNPITRENLFVQKPVNSSRNPYIIALAFGFSHKINGYKLA >KZM94388 pep chromosome:ASM162521v1:5:21812979:21815577:-1 gene:DCAR_017631 transcript:KZM94388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFVRSGLMPDSFMGPGDDIKAQVLLIWDLIKAPLVIPVLRIAVVVCLMVSLMLFVERVYMSIVIVLVKLFGRKPDKRFKFEPFRDDVESGNSDYPHVLIQIPMFNEREVYKMSIGAASKLSWPTDRFVIQVLDDSTDPTVKQMVQMECQKWANKGINIRYQVRENRKGYKSGALKDGLTHQYVKDCEYVAIFDADFRPDPDFLLRSIPYLAHNPELALVQARWIFVNADECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRAGLRGWKFLYLGDLQVKSELPSTFQAFRFQQHRWSCGPANLFRKMFWEIIRNKTVSWYKKAYVIYNFFLIRKIIAHIITFSFYCLILPATCWVPEVEVPKWGAVYIPVIITVLNAAGTPRSMHLLMFWILFENVMALHRTKATLIGLLETGRANEWVVTEKLGDATTKKSPKKAPKKPQFRLGQRLHMLELAVSAYLFVSACYDYSYGKTRYFIYLYIQSMAFLIMGCGYVGTIVPNS >KZM95889 pep chromosome:ASM162521v1:5:36529055:36531507:1 gene:DCAR_019131 transcript:KZM95889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANCIIGPDEINFDTKLDINSEDMYLIEHKGYKVEVWSILLNDVFQFRMCWPKYGYLKVNGVVVNCWLATSDRNNGALISSMYLKEGANEISISAVAEHDGIYCMGVRLVKPRSVQEVCTIISNKQGTEPLNVATDRVIHCLTGGGALDDAGKELEVLAATVDLRCPISGARMKTASRFMSCIHIGCFDLESFIGLAHTTRNWKCPICLNSYSWEDITVDSYFNQVLREIEQSGADTTEIKIRPDGSWFANNEPNTPAGTNSIRCDLSSTSGITNYGASLSDPIIISDDSE >KZM94210 pep chromosome:ASM162521v1:5:19101569:19102244:1 gene:DCAR_017453 transcript:KZM94210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIGGTLLKKKVSGSMFGISQAEGSTPRPSLDIHSEWMEADRMLMRDHLEEDDEFVTPREHTLGAWRKRQ >KZM93477 pep chromosome:ASM162521v1:5:6966454:6966741:-1 gene:DCAR_016722 transcript:KZM93477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYVAVAQTLRCINGFKYQRRCHKLTLGLATERLRQLKMRRSEGNEEEEEDVEIHYQEPPESYFGKFKRNWALYFGFLIFIYGFMVSSSVVILCF >KZM96451 pep chromosome:ASM162521v1:5:41085142:41087892:1 gene:DCAR_019693 transcript:KZM96451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHNFELLFFLFLLYSLHLITVTTTMLCHDRERSALLHFKQTLPAFSSSSAYSKTASWKASGNSSRDCCSWDGVECDEATGYVIGLDLSSSLITATIHSNSTLFSLVHLQNLNLAENNFMNSSIPPEISRLSNLSFINLSNSSLSGQIPHELSGMSKLASLDLSFNHLFGHFPIAIFNLPGLIVLNVSNNQNLSGYLPEFNKTSPFRELNIAFTEFSGTIPSSIGNLKSLTSLRIKNCHFSGSIPASIGNITQLTYLSLANNMFNKSDDLSWLQKLTKLTLLNLANTNLYGDLPPSLANLTQLTILILEFNYFVGEIPLWLMNKTQLTALDLGDNKLTGQIPRSFSQLKNLDYLSLSNNNFTGSVEAEIFLSSRNLSFLDLSGCKIKSNFPHHSNISLPRLRVLDLSLCNLIEIPNFPQFASNLRALVLGGNNIHGNIPHWIWNASDNLELIDLSGNFLTAFERNPVSIQSKSLSYIDVGNNMLQGILPIPPPNTYFYSVDNNRITGEISPMICGVRSLKVLDLSNNNMSGPIPECLAHSLEALFLQKNNFSGTIPQTYPKECSLKLMDMTQNQLTGEVPKSLSNCKLLQILDLSKNQLKQSFPTWLGTLPRLQVLLLHFNMFHGEIGSPRSPSEFPLLCIINLSHNTLTGALPVNYIQIWNIMKVVRTGIESYIGIEFYMSFSPVNNVNIYPSHYQYYSPMILTNKGVKTEYKKISNIFNAIDLSSNKFTGQVPESLGGLKALQLLDLSNNDLTGPIPPSLGNLTQLESLDLSHNKLSGVIPQQLAAQLNFLSFFNVSHNHLTGHIPQGTQFSTFDNNSYIGNSGLCGFPVSKNCGPLQSPPDDNEDGSEEDKFPSGFDWLFILVGLGSGLVVGFVLGDISMDRHPWLIRGIVRKFGRTQKKPRVRGRQIIRA >KZM95075 pep chromosome:ASM162521v1:5:28403202:28406355:1 gene:DCAR_018317 transcript:KZM95075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKLVARGAGGGGGAGLAGMVLLGGALALVTTFAFKRKQKSEKNKQEDSLKKCIVKQDHEILGGEGLSFLVQDSLSPHADNQQDHSASSGTVATQDEVCRKILLDENKEIIVGNDEEVDNEEKARDGASGDESSISKEEFLVPVDGSLLLLKPEEVNEKNESPEEVDEETMTADCNQVADANNDWQVQQRIEGNNQEQVKASHATDQLGLDEKARKQEEECDGNGMTIIDRGEILVEGGQTNQPVGIYDDSHKLDDECDGNGESILEEREEPVEAAVVDQARPDDKLHMRGEECDDDAGIITEKHEELVGEVVAADEIILSEELEVTAMYGDQDTLCSAGTVLMENKIETCDSKEPELLLPLTDSSSVIKPGDNDLSEDHLVVEDTKILRGDDANEAAVQEDESQVKDKEQTELVEANGDNKEYYKICKENGNDISEEADEISVENDQQMQPGEEFQKIHIIENEEKYDSGNFLPEINSFQHNGFEVPEFSFPPLDSPSLSKPENFHKYDSAETTLHVGVINPVEKDGLAEEAFACQMMLENKSTAKSAQNDETQICDDGGDNAAAKEEETLNTSLVDTAQPTNLSEEEDNNDDSKEVEAVEITQRENFEVENNLHLPQKDLDYDNDNIGGKETENDEGVEDDYDEEEEDIDNNTEEDDVEESSETTGDSSLDSTAEAIWPDESELEFSQKVRDMQGNKQQDLKEIEEEDSDSYVKFNSHKLEDDDRDGSEMAYTVDNLII >KZM93156 pep chromosome:ASM162521v1:5:2911509:2912444:-1 gene:DCAR_016401 transcript:KZM93156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELNDKADHHDIHHDPLMKFMLTLGLEDSVMWLSGSINGLICLGSEKTICICNPITRECILIPDQKFIGKSRATLHHGFGFDESSNQYKVVRFYKGSFSASEGSDELGCEVYTLGTRMWRNIGHVPFFIDGYGNGICVAGNLHWLACHQKDQKESSDSERLCAFDLDRESFQLSAGPVVPQVDGYTTYRNLGILGGCLCVCDNTPDLEFAIWVMKDYGVTESWSKEIVIRTNFLFGGMLDEEVYPLKVLKDGTIIMYCGEFQLFTYHPGTRTTQDHDFPDGAYNTYSAMVYVPSFISLRSTFMLENVLAL >KZM96017 pep chromosome:ASM162521v1:5:37446741:37447408:1 gene:DCAR_019259 transcript:KZM96017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQGGVDEDRKPDGDHINLKVKVQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDIASIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGTASSPAVTDLCYISCFLFAGTLVFTFSLCL >KZM95676 pep chromosome:ASM162521v1:5:34459766:34462212:1 gene:DCAR_018918 transcript:KZM95676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDPGYNDTSGAINDFDFGDEMILPGFDQSTNIVNGFKLNDDYVDLSFLDIPDRPVEHRPGYANNNSSSGSSEVNSPDEFSDGVLKFLNQILMEEKIDEKPSMFHDPLALQEAEKSFYEVLGKQYPQQTFQPPADIYQSVESVSDYFAGSSSEASTSSGNSVGNNHYEHSQWAGDSLDLRSSIQHNQPSQYLSFSNMESRPERTVASENSFNNNINGSMDYSMSTHVISNIFNDKDSMLQFKKGMEEASKFLPSIPQIVVNLDNYALPSDTKEGHPAVQVKVEMDEISPSSSRGRKQYQRQDSLSEEDERSSKQMAVYEEEVELSEMFDKVLLLGPSCGKEEPIEGSAVAHLDNVTTRGAKGAKSRSVNIDNNTESVDLRTLLISCAQSVAADDRKTAYEQLKLIRQHASASGDASQRLAVIFANGLEARMAGTGTQLYAALSSKRISAIEKLKAFQVYLSACPFTKISVFFANNMIMDMASNASTLHIIDFGIQHNNLKPTSFVNPD >KZM96297 pep chromosome:ASM162521v1:5:39932467:39939603:1 gene:DCAR_019539 transcript:KZM96297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDSNVPDPKTVKHQRNRSLTREEIDTYWKSKKHEEEEHTQLVSKEAGVVKNSKRSYQRSSSVPLSRGKERLVDMESKDENEVSLQNLILKNGWWRRSSSAFLNEPPVISEEGENRHNKYASQFHVADIDASKPHLAPIMNSRTRLDYAVFRLTPTRTRCDLIIFGGNASEKLASGLLEPFVSHLSFAKHQISRGGYSISLQPSQISPWFTKATLERFVKFVGTPEVLERFVSLEQELAQIKTSAVSNESKKSNGGSYGADNAMQDENSKDHLKHVLESRKAVLQKEEAMAYARALVAGFEMDHIDDLIFFADAFGASRLRVACLNFKALCNKKNGDGLWRNDVPTKDDESSPAFMINAQEGRLFTRKPTEPIDVSAPKSTASHANVDNNQDAKLQILRTDQLLQYMHSFQYPAFSHMPRYPGDQYPGIQASRYYAGHMSGTRDIGNDILGLDEGHNHKYSSRTRVRYLRGKSSRAAEQEGNCVTSDSSSGSGSLDKYEKYGKSYPSTKKIHMRKYRKKSLRKVIIRNVKYSTSTNDREGGTSLISSDEDESINVNSLKEKEQEAAGSSTRHIGSSQSLERTEGSKSNKHVHGQDDVSAQETGSAGFKVSEGEKSNVNWDTFQNLLMKQATSRSNVMDPQSLQKKYLTTKRPGEKLSFASRLEPHERLKHGGRDKVSEYDTKAWNPEMDHGNSNLLTKAVKNHTLGKPIRSVDANLASHGKQATRPASGAHERNYTNKETKSNMLTSSFRKRMSEIITRRENPGGSRTFVHKSKLDQEEEKRRRMEELVTRRQNRIAERSSSKGMITSKSTKEILQERKKTGTESMMKQKVNVRGSSSGIDKPQKPIFRSSTIDRLAASRITHELSSGKHGRNNTKGSDGEIFPSDKTPRVGNKKLSNATKNSEKNRGQDASSTLSYPASKTLEKKDSMTTLPQDFSTLGKTQPFNNFRKSDNSAELCIKLSVEKSISISSQGNAFDENGGTGTSRIGNFKVLTDSQSEKSDHMKGDNKITPKALDVHEKKRASSNFGQESFVEPIIPHEQIYTTGEAEFSVMETSTPSSEIYSRAEPPPSRKKWETAEDSVKAKQGFRKLLLFGLTS >KZM93848 pep chromosome:ASM162521v1:5:11495866:11496935:1 gene:DCAR_017093 transcript:KZM93848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGELQHQNLLPLVAYGFTNETKLLIYRFQPQGSLLSQLEKYIEGKRDFPWATRLCIAVGIARGLDYIYQMCEGEKVIPHGNIKLSNILLNEDDTPLISEYGCSKLVDPKKACLFNVHGYAAPEKVLSEQSDVFSFGVILLELLTGKIVEKSGLDLPKWVRGMVREEWTGEVFDKEIAKNAKYAFPLLNISLKCVAHLPQDRPSIAEVLEKIQEVSNVHDDLSPASTTSVESTPKCLLHSVVPEEWETPGSIR >KZM93921 pep chromosome:ASM162521v1:5:12196854:12200111:1 gene:DCAR_017166 transcript:KZM93921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTTRFFHARSSTRTRSTALIACFLIGSLAFILGLFAISRQNIGGGGYKCSNVKPRSVSVVWDRHQQDNLGSDDTNKRHKSMGFVGIQTGFASAQRRKSLRQTWMPSDRQSLQSLEEATGLVIRFIIGRTGDKAKMSELMKEVAEFDDFILLDIKEEYSKLPYKTLSFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERSHTQTYLGCMKKGPVFTDPKLKWYEPLSNLLGKEYFMHAYGPIYALSADVVASLVALRNDRQLL >KZM96162 pep chromosome:ASM162521v1:5:38783954:38786578:-1 gene:DCAR_019404 transcript:KZM96162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKMRIKVTESTLIPPSKPTPSEKLWNSNLDLVVGRIHILTVYFYRPNGSQNFFDSRTVKEALSNVLVSFYPMAGRLGRDQEGRVEIDCNAKGVLYVEAESDNVVDDYGDFRPSLELRRLVPEVDYSGDISSCPLFIAQVTRFKCGGVALGCGVHHTLSDGLSSLHFINTWSDISRGLSIAIPPFIDRTLLRARDPPTPVFDHVEYHPPPSLNTPEAVKSPKAPTTTVLKLTLEQLNQIKSTAKSDGNAKDHSTYEILSAHLWRCACKARGLSDDQMTKLYVATDGRFRLSPPLPPGYLGNVVFTATPAGTAGEIISEPLVNSAKRIHAALSKMGNENLRSALDFLECQPDLSALVRGPQYFASPNLNINSWTRLPIYDSDFGWGRPIHMGPAVILYEGTIYVIPSPTNDRSVSLSVCLDADHVEKFKKFLYEF >KZM93368 pep chromosome:ASM162521v1:5:5284782:5287425:1 gene:DCAR_016613 transcript:KZM93368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEDVESSILKPQLNQENIGRKEELEHDQSEADVLQTKLTDVDVPTDGSGEDAKKELEVLWRRVKTTATLLTYLRSRARIMAVPHLAQTSCGIKQLDGVGIVDRNGTPLSSWSRNVDLSSFDSLDVETWIGIGNHPGALDEQDGLYIGEILKSVQMVTDVMESLVKRIVMAESETASEKEKVTIGQEEIKKKAFQIDNMSSKLDEMEKFAMGTNGILIEMKQRVEDLVEETSRQRQRATENEEELSRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIEKLFERLVAKTTQLECEKAQKETEVQKLMDENVKLSALLDKKEAQLLAMNEQCKIVRKM >KZM94784 pep chromosome:ASM162521v1:5:25869745:25873718:1 gene:DCAR_018026 transcript:KZM94784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNSTDGPHSSVAPKNIVSERNRRKKLNDRLYALRAVVPNITKMDKASIIKDAISYIQELQEQEKILGDEITQLESMASKKNKSLCELDQAAAEMTMLSTVSKKKRTDKQQLLSNNSGGSSLLPSPVQVIELRVSHVGGKTAVVSITCRKQRDTIVKLCETFESLKLKVITANITAFSETLLKTVFVEAEKKEINGLKTTIESAIAALKFPDSSISL >KZM93155 pep chromosome:ASM162521v1:5:2900090:2902389:-1 gene:DCAR_016400 transcript:KZM93155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFDPKLVLTSSDGLKTLIYLATTFSSKHLLKVCSGKFPAMDLPAELLAEIVSRTPARTIVYCKSVCKKWRNILSESYFANLHLSRSSEEFIIHQGSRDDEYAILTLIELEDESEQHDIHPDPLMRFDLGLNFECEVMCLSGSVNGLICIEDNYEESVYICNPITQEYIYLENPDTKKSYLSMHYGFGFAETNNQYKVVRFYLDRCLSTENSCPSTEGSYKLGSEVYTLGTATWRDLGHIPFHISGCDIGIYVSGNLHWLADEDKIICTFDLDREIYQPMAAAPWGSENAFRSLGVLKGCLCICDNTPYSELAIWVMRDYGVEDSWTKEFVTYTHLIHGDIHTDMIRILKVFKDGTVLLYSESFQLFTYHPQHQTLQHHIYPGGDLDTFDAQTYVPNFMSLHSFELEEVFGW >KZM94629 pep chromosome:ASM162521v1:5:24448173:24452450:1 gene:DCAR_017872 transcript:KZM94629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHNSGGHHHGIDMSHPVLALSTMIVSISVKEGLYWITKRAGEKSGSGLMKANAWHHRADAVSSVVALIGVGNELHPLLLWFLCVKIPVPDVFIVQIQLLSSLDLKKTPQLLELVEGEKGKGNNPGIEAVYLLDWKSECSKTNSDSLG >KZM95830 pep chromosome:ASM162521v1:5:35973962:35975050:-1 gene:DCAR_019072 transcript:KZM95830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLMVEEEEHNAQQHEAREGDPVAMSTPSNILKGKRTKRQRPQSPLPFSVAPANSSSNNAGGDYVTSTTTSDEDSAGPGTTEEEEDMAKCLLLLAQGGPKNDSHFGLVPYKFTSKKYLETSTSTNGKTGIYVYQCKTCNRTFPSFQALGGHRASHRKPKNVNAALENKPRLIISDEDEDQPPPFKRSFSASSLSLQLTTRPNVPFTNADYNKYSPRVHECAICGTEFSSGQALGGHMRRHRGGAPVNRSNATATTTNTSTKTLPLLPFCPNPISAIDPIDYHQETKKLKTSSPVNLSLDLNLPAATEDENKHHKQNLESPSYSFSSQQQNPQQQQQQQPQQQQKQTSLVLAAAPTLVDCQH >KZM94386 pep chromosome:ASM162521v1:5:21806871:21807209:-1 gene:DCAR_017629 transcript:KZM94386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYCRCGRQAVQRTAWTQSNAGRRFVGCVGGRSGCNYFRWIDEPMCLQGRVVIYGLRERVRALEEEMAQNASLREEALEAPRQEHSYWFYVCALCLFVLVIVLCNSNDEDN >KZM93391 pep chromosome:ASM162521v1:5:5635552:5635836:1 gene:DCAR_016636 transcript:KZM93391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHQENTSQSLASNTYNAASKSNFQNLTNLCTNSSTVTGINKQEPTKQQNIPARLQHRKACRSSQTRQGSMWRDRAVNASAHEVPSGPNPISNR >KZM95780 pep chromosome:ASM162521v1:5:35488639:35489205:-1 gene:DCAR_019022 transcript:KZM95780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILKNPDTILVKAKAELNEVVGKGKIVKEEDISRLPYLQCIVKETGGLHPPAPFLIPRQVQEEVQLCGYTIPKNSQVLVNAWTIGRDPLIWKNSLSFQPERFLNSEVDVNGHYYELIPFGAGRRKCPELPLAIRMVPVILGSLINCFDWKLEGGIAPEELDMEDKFGITVAKLHPLRAVPTLVLPH >KZM93806 pep chromosome:ASM162521v1:5:10976063:10978755:1 gene:DCAR_017051 transcript:KZM93806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSYRFLGPTPTYIDGSASFTTHRDRPIGSLLLRDRRFCSSLVTSATAHQNHYAVLGVSPDASPADIKKAYRTLALKYHPDVSKEAQADEVFKSIRLAYDTLSNDTARRQYDRAFQFEESPGRSWGHSWDFEIEFEDGVRVYRWADLRRRMQREKYWERRYGGVDNSTYDDEDEKEGTPNEVRGSFGEVLKSAFLSLFLMQTIGARLSLTFSSLMALLDHKLDSGYKMGHIIAWVLGGQTGVLLTMCLTFASWVCGKTSSSLVAVVVVAMWIGSNLARIAPIPQGALLTLIYMSLKLQAEVN >KZM95503 pep chromosome:ASM162521v1:5:32737523:32738047:-1 gene:DCAR_018745 transcript:KZM95503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGDVTAPVHQMQQYYRAGQLDNCSGKWSGLVDCLTLKTKRSSEVEEILEAREKEKIHIWSFRTPEEAAFNWEELFGHLDEVE >KZM96343 pep chromosome:ASM162521v1:5:40241209:40245236:1 gene:DCAR_019585 transcript:KZM96343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKQSLTLAFSLILLLSLSSARLLPNTTAVDLISDGSTQSQPLLRLYATSEAKECEETYGFLPCTTTVLGNLFLILGYGYLMFLAATYLSAGSELLLEILGPGIVGGLFLPVLGALPDAMLILVSGISGTVETAQVQVSVGMGLLAGSTVMLLTVIWGTCVVVGKCDIEDSVAIDGKDTRGLSLTGSGVTADIWTSYAAMIMAVSILPFLVVQLPQVLHSTSGRHLAVLIALVISVSLLISYCLYQVFQPWIQRRRIDYAKHKHVISGVLKHLKMRALGRLLNERGEPNREDTAGGETIKHLTRYHEQTKREHFLLGDQSDEVVEGVENPQWTTIKAVLLLLLGAVIAAAFADPLVDAVDNFSSATSIPSFFISFIALPFATNSSEAVSAIIFASRKKLRSASLTFSELYGAVTMNNILCLSVFLALVYVRGLVWDFSSEVLVILIVCIVMGALGSVRTTFPLWTSSIAFLLYPFSLALVYVLDYMFGWS >KZM93970 pep chromosome:ASM162521v1:5:13049081:13050282:-1 gene:DCAR_017215 transcript:KZM93970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSKDGEPSLTNELKAIIVLNPSPSPYRLHKEPHWLMEFRDEEGLRRLNETIKGMREMLDINVEDEAEFYRQLQL >KZM93023 pep chromosome:ASM162521v1:5:1799294:1800807:-1 gene:DCAR_016268 transcript:KZM93023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRLFRTFSSPFALRIVWALKLKSIEFDTVLEDFPHKSAELLKYNPVHKKVPVLVHNEKPISESLVILEYIEETWKETPLLPDDPAERATARFWAKFGDEKLLSSIFRSVLRKGIEQEEAKLEARRNLEYVEEQLQGKRFFGGETIGFLDLVLGWMANLISILEETSGQDIIKEEQFPVLSKWMKDFSEVPLLSSIFRSVLRKGIEQEEAKLEARRNLEYVEEQLQGKRFFGGETIGFLDLVLGWMANLISILEETSGQDIIKEEQFPVLSKWMKDFSEVPVIKESMPPRDKLISKFQAMQQA >KZM95653 pep chromosome:ASM162521v1:5:34173922:34181067:-1 gene:DCAR_018895 transcript:KZM95653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHTLTPRSSAYLTALTQEIEKKLQRGIASPTLSRNLLQELFQDIALEVDDRAKDIILSNEELASIEEERTEGPLCFYEVLADHFVWVPKNGEPILDLIVKLWSQAFASHIFSLLFHKWLFEVQIDNADVLLRYSSALVQGATNVFWIDVQTNTRHFHSLFRYLLEEVALVPERLKKIPLQAQRDLFLLLSRFIFLYNMVDKIESFLKKFPEFPNAFLIGGPADIFVIELTDQLLKLKVEPVLLHYLSHIKALQGMELRMATSTRLKTCLYSFTSPGGPMYPTRAVRHAAWVALDLLFPVGQYPRHVISLFFRLLYPWYWPSSCWNFVISCVQAVFYSVLRLLFSSWDRLTKTRQA >KZM96216 pep chromosome:ASM162521v1:5:39246763:39250415:-1 gene:DCAR_019458 transcript:KZM96216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVKGEHQTIPLSLLLKREVASEKIEKPEVLYGQANHSKKGEDFTLIKTECQRILGDDVTTYHVFGLFDGHNGSAAAIYTKENLLNNVLGAIPPNLNRDEWISALPRALVAGFVKTDKDFQGKAQTSGTTVTFVIIEGWIVTVASVGDSRCVLESADGKIYHLSADHRLECNEEERARITACGAEVGRLNTGGGTQIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSCGGRLIISSDGVWDALTAESTLQCSRGMQPDAAASQIVKEAVGVRGLKDDTTCIVVDLQAPEKSPLPPPRKQGISAFKSMFRRKSSESPLVLEKDYNEPYEVEELVEEGSAMLSERFDTKYPICNMFKLFMCVVCQVEMKPGDGISIHVDQLNARNLRSWDGPFLCSSCQVKKEAMEGRRPSGPLRSRSGYSNREY >KZM93768 pep chromosome:ASM162521v1:5:10599215:10601690:1 gene:DCAR_017013 transcript:KZM93768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEEQDTWGTWEELLLAFAVKRYGTSSWDSVCLEMKKRCSSLTCLTPLHCMLRFDHLKSRYADNTTDHSFVPWLDDLRNRRIAELKAQLERYDLSILSLHSKVKRLREEGEESFRGCVEKLGSKDGSSEVKLEEFLPEKVIEEVVGGKDENLETCEVKPENDVIRAESCNGSTDSISKETGKVTVVKVEAEVAVNELAEVCKTVAESKKLGVIESSDVLSTTSRLRKEEIEKLQFGSCVGAGRENESQLLKEMSSVSQPLIEILEILKSYKLGLVSERLLDSQETSNYRNLIRQHIDIKSVYQNVVGGSYSDSEHKFFRDLLLLVNNAILFFEKNISESNAATELRQHISEKLVQRNFKADLTAGKQTSVPPRSLLSEIAREPSDSWQLKSGIEGITRVSRKRSSFASRGSISSSSGSDRRSLQAAAAMPKPVVESKQSTKFPAADKHRQITKRTTNGSALNSISPKKNGKDLATTPCDEDPVVIISDQTRGKLGSPTEPSQSKGEKNVASTGMARSAANFLDRLNKSSPPNNEPCTDVLENSMCSPHQGGGKSKQKGNRGSKGGSKKEKAKRSSGGKLLNEESSLTRRQLGRPPKRAAAPTPGLAGSGKCNRAIEDIDAETPKQSKKRSRK >KZM94492 pep chromosome:ASM162521v1:5:22987549:22989747:1 gene:DCAR_017735 transcript:KZM94492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKYDSFSALTKTNTYFIVPTRVLNLWRGYRRTGEPFKGFNLLLLDHKRARIHAFVPYNLAEEFEPMIRIGNLYLLENFTIQHYKVDEKFRCLRMDFQIVFNEETEMNPREENLVNVENCWFDFFDIAELPTLSKQNTYLTDVVGIMEEHDHIRRIKNCNGVIQSQLIFEITDDRSSVRVTLWDDFARHFAESLKEAQEFPVILILGCARVTTWSEQVILTHVGATNFYINCNHRSVNELRKLLAQKKISTKSVCNENRRAMKYYKLDNIPTLGVDHAERQIFCKVKLTAFQQVKSWFQPTCTSCYAKTVKVEGQDTCTVCQRVVLYADNMFELYAIASDETGSMMIILEEREVKKLIAKTVSDITDEGNNDDSFPTILNTIICKEYTLKVRVQMDNILKKSEFYLVTDIMPGILTEGHQQPQLSIPHPIESIDAQASKSTIILIITVNVLKHLLSQSMYLYSIFTFLQLQPSSSACTNGIISTINLNS >KZM92878 pep chromosome:ASM162521v1:5:469004:472363:1 gene:DCAR_016123 transcript:KZM92878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTIALYASPPTSTVNTTPYPCSISSNSSHDFDINARSSSSTSTSSSQKPIIGGLSSLFSSPAVKHSSGYSGVVDDFRHEKVEDLTSSFSYSPNLSLNSFAKRDSSPVSVFQGPNSLSSSPVMRIGRDGGDFIGSGRIGSGNGLFSGFIGRAVASCVDYDSPRFEMNDYAGDEVDELTFSMEDGFVDSSYAKDMLVNAQLKHKIFYDDVVIKAFYEAEKAHRGQMRASGDPYLQHCVETAILLAMIGANSTVVAAGLLHDTLDDSFMTKDCILGTFGAGVADLVEGVSKLSQLSKLARESNTAGKTVEADRMHTMFLAMADARAVLIKLADRLHNMMTLDALPMSKQKRFAKETLEIFVPLANRLGIYSWKEQLENLCFKYLHPDQHKELSAKLVKSFDEAKITSAAEMLGTALKDGAISYHVLSGRHKSLYSIHCKMLKKKLSMDEVHDINGLRLIVENEDDCYKALGIVHQLWSEVPGRFKDYIKRPKFNGYQSLHTVVMGEDMLPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKYSSFVLQMVEWARWVVTWQCETMFKDQSTIAGDSVKPPCTLPSHSKDCPHSLKPYCGADGPVYVILIENDKMSVEEFPANSTVRNLLERTGRGSTRWSAYGIPVKEELRPRLNHNPLNDLNCQLKMGDVVELTPTIPDKSLPVYRKEIQRMYDRGLSVSSMATATPGMAGWKS >KZM95462 pep chromosome:ASM162521v1:5:32328756:32331117:1 gene:DCAR_018704 transcript:KZM95462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITTREPWLMENGTVKGLTKERHGKTLHNMSSSSLRKKSDMTLVSKVPISCLRLFLANLQEVILGTKLSILFPAIPLAIIAHYCNFGKPWVFAFSLIGLTPLAERISFLTEQITFYTGPTVGGLLNATCGNATELIIALFALWQRKVDVVKFSLLGSILSNLLLVLGTSLFCGGLANLHSEQKYDRKQADINTVLLLLSLLCHILPLMFRYAGDDTAYSPNDTLQLSRVSCIIMLVAYVAYLVFQLWTHREFFEAQEEEDDDLVSEEAPVLGFWSAFIWLAGMTVVIAVLSEYVVGTIEEASASWGISVSFISIILLPIVGNAAEHAGAVPVSVVFAWVLDINMNLDFNLLETGSLALSVLVTAFTLQDGTSHYMKGVVLLLCYVVISACFFVSNGSTTNQGNGLNLGLNSSFK >KZM95771 pep chromosome:ASM162521v1:5:35416195:35417739:1 gene:DCAR_019013 transcript:KZM95771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFINSLSSLSSYHQILFAALALVIPSLLFFLTRNRKHGKPNLPPGPPGWPIVGNLFQVACSGMPFFMYMRDVLIPKYGPIFTVQMGTRTTIIISGSDLAHEALIEKGQIFASRPKENPTRIVFSCNKFTVNASLYGPVWRSLRRNMVQNMLSPTRLKGFRDVRDNAMDKLIDRLKSEADANNGTVRVLKSARYAFFCVLLTMCLGLELDEEMIEKVDDMMKTVLLTVDPRIDDFLPLLSPFFYKQRKRALQVRNQQLELLIPLIKRRKMALQNPGLDKNATSFSYLDTLFDLEVEGQKTAPSYAQIVTLCSEFLNGGTDTTGTAIEWAIAEIIDNPRIQSKLYEEIKSVAGDRKIDETDLPNMPYLNAFSKELLRRHPPTYYTLTHAVVEPTTLGGYDIPLNSSVEFFVAGITNDPKLWSDPEKFDPDRFLSGNDDADITGVKNVKLVPFGLGRRICPGLGMASVHVSLMIARMVQEFEWLAYPENSKVDFTETLEFTVVMKNTLVAKIKPRV >KZM95405 pep chromosome:ASM162521v1:5:31851624:31854293:-1 gene:DCAR_018647 transcript:KZM95405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSQFHDFRLVLGFLLLACVIGEISAADYLPADNNLVNCGGPSETVDGRQWTTDNGSKFVLAGAKSSTSPADTQRPSVPEIPYMTARVFQSEFTYSIPVASGRKFVRLHFYPASYGGLNASKAIFSVSCGQFTLLKNFSAAQTTEALNYDFIVKEYSVNVASTMVNITFTPASDTPDSYAFVNGIEVVSHPDIYSTDEAGIVGLNSQFSIDNFTAIENVYRLNVGGNAISPSSDTGLFRSWYDDMLYIFGAASGVSQTADSNMTIKYPSDMPTYVAPVDIYKTARTMGPDQHINAGYNLTWVFSVDSGFNYLIRLHFCEVSADVTKINQRVFEILINNKTADGDADVVGWTTSNGVPIYKDFVVLVPAGPPQQDLWLALHPNLKAKSNYNDAILNGVEIFKINGTTGILAGPNPIPAPEQERIDPSPRRSSSRSGDSNKSAVIGGSIGGTIAVLLIVGLLVCFLARRHRQRKDSSASDGPSGWLPLSLYGNSHSSGSKTTTTGSYASSLPSTLCRHFSFAEIKAATKNFDEALILGVGGFGKVYKGEIDGGTTMVAIKRGNALSGQGVHEFQTEIELLSKLRHRHLVSLIGYCEENSEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGSARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAFHCYQKGNLDQIIDPYLKGKIAPECLKKVTETAVKCVGDQGIDRPSMGDVLWNLEFALQLQESAEESGKGFVGMDMEEGTFDVISKGKKDPDSSLGFDGNVTDSRSTGMSMSIGGQSLASEDSDGLTPSAVFSQIMNPKGR >KZM94217 pep chromosome:ASM162521v1:5:19434183:19436586:1 gene:DCAR_017460 transcript:KZM94217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLFPDGEDRPFPRQRNALTGARRLEQLFDKADDMSVDQHSAHHRQQSGVQTLPSFPPLAQNNLYSNLKLKSQEGEVPAGHVTRRANISNKVSPVLPGTSTVFPLHYENMMKCLQGHRYRWLLPLM >KZM94908 pep chromosome:ASM162521v1:5:26931881:26934434:1 gene:DCAR_018150 transcript:KZM94908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGNDRSGSGEQENMAAWLLGIDTLKIQPFKLPALGPHDARIRMKSVGICGSDVHYLKEMKCADFIVKEPMVIGHECAGVVEEVGSEVKDLVPGDRVALEPGISCWRCNQCKQGSYNLCPEMKFFATPPVHGSLANQVIHPADLCFKLPDNVSLDEGAMCEPLSVGVHACRRANIGPETNVLIMGAGPIGLVAMLAARAFGAPRIMLVDVDEYRLSVAKELGADSVVKVSTDIQDLDKELEQIHKVMGVEVDVTFDCAGFTKTMSTALRATRSGGNVCLVGMGHGVMSVPLTPAAAREVDVLGVFRYKNTWPLCLEFLQSGKIDVKPLITHKYGFSQKEIEEAFEISARGGSAIKFLSFTSTYRPSEAQSRVAKQVNVFLYQNLPSFRCLLYVMSSTTLRIACNNELDN >KZM93334 pep chromosome:ASM162521v1:5:4883536:4884897:1 gene:DCAR_016579 transcript:KZM93334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEFFTAQKRGSAQLPSSTTPKTAARSAAAGRTRSRSVLKTFNNIKITILCGFVTILVLRGTIGLGNYSTDAEDQTLAEETNRILAEIRSGYDPTDPNDPIEQQRDLFLNETYTLGPKIESWDEDRKIWLSRNPDFPNYVNGKPRVLLVTGSPPNPCDNPIGDHYLLKAIKNKIDYCRLHGIEIVYNMAHLDMELAGYWAKLPLIRKLMLSHPEVEWIWWMDSDALFTDMVFEIPYGKYDEYNMVIHGYPDLLYNQKSWIALNTGSFLFRNCQWSLDLLDVWAPMGPKGPIRDEAGKILTANLKGRPAFEADDQSALIYLLISQKDTWMNKVFVENSYYLHGYWAGLVDQYEEMNEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVIKLYGFRHKGLLSPKIKRIRNETVTPLESVDQYDFRHAVHGEVGSNS >KZM95256 pep chromosome:ASM162521v1:5:30579548:30585569:1 gene:DCAR_018498 transcript:KZM95256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVVGGKFKLGRKIGSGSFGELYLGINIQSGEEVAIKLESAKTKHPQLHYESKIYMLLQGGTGIPNLKWYGAEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRSFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGNKKQKYDKISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGAGSKGKNPNGNAGPSAEKPGRTSGQDIKEKSSGAVEAFSRRNPGRHGEHSRNTRSDEVTSSKDVLADSEKGQASRNGSSSKRAVIASSNRLSSSGEFAEGRSSRLVTSLGRLSTSQRIQSGSSESKPPAYSRHTAAKGSRDDPLRSFDFLSIRK >KZM95646 pep chromosome:ASM162521v1:5:34138427:34138861:-1 gene:DCAR_018888 transcript:KZM95646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKRYRADEPKSLMLLSRVGATKTDRIYECKTCSRKFPSFQALGGHRTSHKKPMLAAGEKEPVKPKTHECSICGMEFPLGQALGGHMRRHRGAYENFSDVTERTDDDDSTKTVPVLMRSNSGKRILCLDLSLRPYEIDLTLKL >KZM95054 pep chromosome:ASM162521v1:5:28257049:28258392:1 gene:DCAR_018296 transcript:KZM95054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNFSASGINDSQGSYRPLASLEYKEQKLSTADIMRVAGERYIQFSNNHLDAITMFIHPYSSSLSALSTEEASGADLAYHLLTAAENLTYKQYDRASRSLTQSQSMASDTGNPVQRVSYYFAAALRERIDRETGRFTNQEGGPTGFTSNTDLDTFYSSDQELPLSKVTQFAAVQTVIDSVANDVKVHIIDLQIRSGVQWTALMQALAKRNSCPLELLKITATATTDRENVEETGKRLQRYAKFLNIPFSFKIVVIPDMKDIKEELFDIKTGETVVVYSPTVLTTMISRPERVEALMRVIRRFKPSIMVVIEVEANHNSPSFVNRFIEALFFYSAWFDCLEDCMDRNNEYRVILEKNYFSRGIQNIVATEGDERVTRSVKINVWREFFARFRMEETEVSTLSLCDATLALQTQFPCGNSCTLDSNKKCLIVGWKKTPIYSVSAWRFN >KZM92876 pep chromosome:ASM162521v1:5:456446:457363:1 gene:DCAR_016121 transcript:KZM92876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTICAALLLAALSFFLVLAYLHRRKINSPPGPKPWPIIGNLNLIGPLPHRTIHQLSKKYGPIMQVRFGSFPVVIASSVEMAKIFLINMDANFSGRPKTASGKYTTYNYSDITWSPYGAYWRQARKWCVTELFSKKRIQSYEYIRQEETKALLKELHAASGTNVVIKDYLAKVSLNVISRMVLGKKYSDDNEEEESGIVSSKEFTDMIEELFYLNGVLNIGDSIPWIDFLDLQGYVKRMKILSFKFDRFLEHVLDEHNERRKNEGQDFVAKDMVDVLLQLADDPNLEVKIQRHGVKAFTQVCCV >KZM94283 pep chromosome:ASM162521v1:5:20498353:20501124:1 gene:DCAR_017526 transcript:KZM94283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQGPVICPATVHAKQTGAYKLPINGPLMKNRVLRSGFWGFKGFNGSRINVGNQPRFQKGKVVKCSFSSSSNGNGSTAENFNENDADYVNSSVVEAVEVKSSADGFMIKMRDGRHLRCAHNNPHGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSALLMAAMRSVHIARPTMYQVVKEMIDKMGYAVKLVRVTKRIHEAYFSRLYLTKLDDEKESISFDLRPSDAINIAVRCKVPIQVNKFLAYSDGMKIIEPAKISIPGSSDGLLFTELDRPNGQPCVETKEFDLVRNMLKAAIEERYTDAAQWRDKLSQFRSKKNWA >KZM94319 pep chromosome:ASM162521v1:5:20925250:20925751:1 gene:DCAR_017562 transcript:KZM94319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDLPESFTALSSMANMYLQNNQFTGSIDVLSNLPLHNLREGNSWSSGPAPPPLPGTPPSSSSN >KZM92909 pep chromosome:ASM162521v1:5:699895:700083:-1 gene:DCAR_016154 transcript:KZM92909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKPTVALRAIVVGGVAAFAKIASVAKAAGGVKLGAAAAAMTAAATATVAGSKQDQTNAPK >KZM94312 pep chromosome:ASM162521v1:5:20864653:20873034:1 gene:DCAR_017555 transcript:KZM94312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGERERSRRDYVTRSDEWSHLGGRRNAPPSRHLWVGSLAHNLSEGTLRKHLQRFGELESVAFQPGRGYAFVNYKYKEDAFAAIRGLQGCFVAGDRLKIEFAKSEKSSSSSVDTNLEPSEVLWIGFPAVLKVDETILRNAFSLFGEIVNITAFPGRGYAFVRFGSLRSACRAKDTLLGNLFGDPRVHICFAKSEYTSRGRNPVEAPSSPDIRPFSRIEASHEKLRHNKRSYENISRVPKLAPPRFITDMESQDPDLVLFQRKGNEKPIIDGASERGFQDLGPELRPPRSVYEHQSSPPRDRGAHFRDYSQLDIPRQGQLYDNAWNLPEDPTLFHESKKPKISFFPHENELPEYYFCDPERVKRELPKIPDYHQLDAYNKNFCYGSFGHEQIPDRAINEKQPFGERSEHWNKPSNGFQTRPVPFPPNHVKWKRPSEELNEPSPNEVWEWEGTIAKRGTPVCRARCSPVGKLLDIILPDFLDCTTKTDIDILAKHYYESVSSWVVTFFPYSDADIASYNELMNYLGKKQKAAVAKIDENTTLFLVPPSEFSDEVLKVPGKLGISGVILRSENLGPSIQSLHNPIERNDTSYGSSSVAPFSDQGNLGVQNVHFLAKFPTPQPASFEGPDHIARSLELHLPPLMITGICISITHHRVQNGWQAIWKPTMLVLETEQHNHSALPSILSAENTTLQQCHVMRTTHQGFLGPLLLEALTLPMKHHHLRLQLSDQNNLRSWQHLFLGN >KZM95467 pep chromosome:ASM162521v1:5:32377546:32377925:1 gene:DCAR_018709 transcript:KZM95467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMITGMHIVADIFCVRCGSIVGWKYESAYEKSQKYKEGKFILERFKVLGPDGSTYQGIPEAQLAESDADEE >KZM96421 pep chromosome:ASM162521v1:5:40868217:40871151:1 gene:DCAR_019663 transcript:KZM96421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCRSDAACLCLSCDRSVHSANALSRRHSRTLVCERCNLQPAFVRCVEENLSFCQNCDWKAHGSSNSGTAHRTQAVNSYAGCPSAAELSNIWSFLLDLPSVVDSTCEQGIGSMSIDDKSHANFGTPMGSNNQADLCIGAGNLKYGNKSNLWKGSSVAKADKKRANGDQQNESAKVTATKIGLSGAKELNFREDDDYYEDFNMDELDLNIEKYEELFGVGHNDPQHLFNDDGIDSLFEMKGNGANSNCQEAYIAEGSSVGLENIAQPADSNAASADSIISCKTEPNAYFARQTSNLSFSGLTGDSSTGEYQDCGASPMLVMGEPPPWCTPESSLASSTRSSAVQRYKDKKKTRKFEKRVRYATRKARADVRKRVKGRFVKAGDAFDYDPLSQTRSF >KZM95293 pep chromosome:ASM162521v1:5:30927391:30928654:-1 gene:DCAR_018535 transcript:KZM95293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSMASAARGFVVTPSATNPNTTTTKMMMFNPPCNSSRNFRSGRLVVRAAEDAAAAPPAAVPEAAAPPAAKPPPIGPKRGTTVTILRKESYWYKGTGSVVAVDQDPKTRYPVVVRFKKVNYAGVSTNNYALDEIKEV >KZM94212 pep chromosome:ASM162521v1:5:19269725:19270045:1 gene:DCAR_017455 transcript:KZM94212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALKIGHPKTREVLDEKTEKEVCGSSKQSDENDFYTLEDLDQMENKSYAYMARKYPNIGIKINKSFRTRSMSENQAGFSKGKNAYTRSGSGSGYKTRSVDRAKIR >KZM94306 pep chromosome:ASM162521v1:5:20797963:20798709:-1 gene:DCAR_017549 transcript:KZM94306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQISSTPLQKKRKVIASNAPLMSLDIDIVIKICKLLQKDGFLDLFFFIQVWFRFQSPEAVTILLHNLDWSTVHQVVEPFRNLECRVFKQFLKHCLKARVRGALCYFACKKLSRGENPDHHLQILRDLSADDNLAFLAYHIFQTLYHPSTLKENAIILHEKLIRHAEFRSDLMNNCTTLNGRHRKYYRFWYGPEDMFPQNGVCSFFVSGKDDHNMDPYALGCSYKEIISTSCPECVIVMVIFKIIRGF >KZM95717 pep chromosome:ASM162521v1:5:34796692:34802562:-1 gene:DCAR_018959 transcript:KZM95717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIVDRNLSPATGEVQTDVNSTPRKRTLRSDSAKQSSPMKLKSPRRFILDSPNSPSTRVKTELAEKLTIKTPVKKLSDSFQDKPVWNPTDVNQLRTVKEALHVSTEQPTVVCREDEQKKILEFCKQCVKQEKSGSLYVCGLPGTGKSLSMDKIKGSLIDWTQEEGLQSPDVFALNCTSLTNTSDIFTKILTSCQPQTKIRGPTSPLKQLQNFYSQKQQSSGTRMMLIIADELDYLITKDRSVLHDLFLLTTKPFSRCILIGIANAIDLADRFLPKLQSLNCKPMVVTFRAYSKDQIIKIIQQRLRVLPYTVFQPQALEFCARKVASASGDMRKALGICRSAIEILEVELKETTSDVNISVIDNGFSDQTTAAAGEILKLQEKNVVRIDHMALALSKAYRSPVVETIQSLPQHQQIILCSAVVLFRRAKKDTTIGELNKSYMDICKTTQIPPIGIMELSSMCRVLSDQGILKLGQSREEKLRRVSLKIDEADITFALQGVRYFRNCLQ >KZM96206 pep chromosome:ASM162521v1:5:39159203:39165656:1 gene:DCAR_019448 transcript:KZM96206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTMAEPGEILPERKIDYSVYDHLQTSKSSIESIIAKMLSIKKDGLSKSQLGELVTQMSLHFVSLRQANRSILIQEDHVKQETEHAKAPVDFTTLQLQNLMYEKNHYVKAIKACKDFKSKYPDIELVPEEEFFRDAPEDIKNSVISKDSAQNLMMKRLNFELLQRKELCKLTEKLEQQKKNLLETIANRKKFLSSLPSHLKSLKKASLPVQHQLGVLHTKKTKQKQLAELLPPPLYVLYSQLVAQKDAFGENIDLEIVGSVKDAQTFARQQANKDTGISTSQDNSKVEDDAPDDEDDGQRRRKRPKKVPAKESLDPAGIYQAHPLKTVLHIYDDEVSDSKSKLITLKFEYLLKLNVICVGIEGSNDGPEHNILCNLFPDDPGFELPHESAKLRVGKTVVFDEKRISRPYKWAQHLGGIDFLPEVSPLSSGSYAPVSEAAKHSATTSGLSVYRQQNRVETVLQRIRDRKKAQLALAEQLHLLMELKWPTVTCNSVPWAVHAPLCTLCSWVHVGSLPSSVAPLTVGETEQVKIPPETEIAQKPDTSKEEVENAREDGELPSLVAPPISDIDVKRTSTKGSDYDHSKQLALISKSVASPISKGKSLSFKKNDEDVDLLMLDSGSDLDEQAIEPETESVPTAGGDEIVDYSWVERGVQEYCFVLNRKADVGDKNMKLEAKVKIFMEYPLRPPLFTLTLSVTSIGGASHNDTGNSDWYNELRAMEAEVNAHIMKMIPSTEENYILGHQICFLAMLFDFYMEEEAGCDKKKRTSVVDIGLSRPVNGGLVSRTYRGRDHRKMISWKSNGCTSGYPC >KZM95103 pep chromosome:ASM162521v1:5:28703901:28709722:1 gene:DCAR_018345 transcript:KZM95103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMVDAIQANYSGLLISLTDICMKPLRQDCATQSVLQVLKDANGLDIVAEDESADQPQYPNQEKYKWSSEILPSVYMFDKILNKEKAYEQYTLIRNPKADTGYIFARVYRWKGAGSFLQKRADNIKKAGTNPPGFANIEGSLKENGGDTINAATNQDSHFLNCKASESMQAEGCWIHFYKDSAENIKKSRTNSPGIANVESSLKDNGGYSRSPLSKVVQNSPYAFLVETTNKVCKDIPQSGTQLRRNYGHDIASQITNRGLERTPLSVTGGSTLSPIATSIGERSTPGTSLTNETTKTTDFYKWNRVVILEILSEIQGIDFLVDPLDKQVGDDIIGLLQQGKKFNSGCSTILAVKYASTEISKSYMP >KZM96519 pep chromosome:ASM162521v1:5:41556934:41564677:-1 gene:DCAR_019761 transcript:KZM96519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNNNPLPQTEGNEGQIIGSSEGDDHHPMDIDNPDHDSIREVLKVNIVCTALMQIQDTFAGGIKGAMILLKHKILKGILLRRTKKGRCDDLALPPKTIFLRRESLDIKEEDYYTSLYNESQAQFNTYVTEGTVMNNYGNIFGLLTRLRQALDHPYLVIYSKSRNNNEVLCGLCHELAEDPLVSACKHSFCSYCWDLFSTSAGQVLCPTCKKPLTIDLGTEEEQEDKDTKTTIKGFKPSSIINRIRLDDFQTSTKIDALREEIRFMFERDGSAKGIVFSQFTSFLDLIRYTLQKSGIQCVQLDGSMSMKAKDTAIKKFNEDPNCRLFLMSLKAGGVALNLTVASHVFMMDPWSNPAIEQQAQDRVHRIGQYKPIRRVPLYSCIHHTSSNLICNSSPKSLVKICRVVKFVIEDTIEERILKLQERNESVYKGTSVGGAGEDVGRLTEADIRFLLVI >KZM93597 pep chromosome:ASM162521v1:5:8225532:8245978:-1 gene:DCAR_016842 transcript:KZM93597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFRYWDDCVAPQDLETMWKDPDVKNEWINAGEDKESKVHLSRDPDGHPFLTQIEMKAVAGIIVHRHYVSQIDSEMLCAIAELESDRQPLCTHYIKKKKASTMGIMQIFPKTAEWLVRELGYTAYQIKDSNTLYMPFVNVYLGAAYLKWLSTYDHKERSEEFMVRAYRGDIKKANHKSTLPYWKRYLSVKESLPSRNFFDVHPTLAGPSSTIPETNKQSIAGMIWDSRASPDDMNDMWNKSYVRKEWTKCGEKRGKVNISHDTNKRPYVSRMELRGVAEVILTKHFSTQKVQASVLCAMAEIVSMRYLNGVGQRIGLMGIDYPTARWLYRHVRSYEKTLHFTRGTTFRDLDYKAYNVKSVEDLTWPFVSMYFGAAYLSWLTNYEGSERSPQFIVQAYLTGPQNVNLKETGPSWLKFEEAISHYEDLSNLSDRYCLRSLITCFAIRIAILSNLNEMTMDWWCLLAEVAFRSEWLTVSISFGGKILPRPRDGKLRYVGGETRIIRLRKDISWEEIWQKATAIYIYTHLIKYQLPGEELDALVSVSSDEDLQNMMEECSVLGDGEGSKKLRMFLFSVNDLDDANIGLASTGGDSEVQYVVAVNGMDIGLRNESTLHGLASSLATNLDELNVQNVSRDTNKVASVAAESSINQYSQPILSKPSITYGNESQSHPHQGEMHHEEVEKGLHSVSEPQSSTYTPVVDGTRPLPSPLLVTREGSLSEDHPSGALVGSQSILQKEVEVNMKTNGTSNKDIEQENLRPTGKEVNYSPVEESSASIPTLDTKLPSRPLINESSPLESVPVTSPDVTNPKRDPKMNENGLLTSTSAIATEHANSQTDLIDLSYLEPAVPPQSVFRSERYPREQGESLNRLTKSDDLGSQFLVTHSRSDIAQQDSVEESGEILHNFEPTLKIEQSASSTKLNKHGISDNGLAKNQNNSAAIKAQMNDKENGTYNQVPKPGDKKSSIKGNTNSSALSETTRGKNHEDSACSLPDYPWGDKSESNISSNYAQGNSQPSAKTGMSTRNVSWGETSVSVSRPERGDISIDINDRFPHDFLSDIFSKAVISENSSDVTLQKDGALSMNIANHEPKHWSYFQKLAHDDFVQKDVSLIDQDQIDFSSRLSKVEEESEAYKITPVVRGGISSNQMDSKTDSSDQRDLPGASELSTTALHSDYNPSLVEGKDAMQFKESSENIRIPESDYEGGIRSFPSLDPSFNDFDISSLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQERLTTEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVAEFMVDGSLRHVLLRKDRHLDHRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVADFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPATPSSCDSEWKKLMEQCWAPNPMVRPTFTEITNRLRIMAAACQTRAHVHKASS >KZM93748 pep chromosome:ASM162521v1:5:10244921:10248466:1 gene:DCAR_016993 transcript:KZM93748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGYDKGGKDSARERMAVCIVTGGKKACRGSGCSVETTGEIEVWLEGRRCMRKHGEVKGLNLQHEGFQFSSGVERNLDDRFLTKLLTEDLPQSFVRPKKIVLDFQKGKAVGLLPTDFRSGEVQEGNKDYAGELSVTLVDARKLFYVFPGKTDSYVILKLGDQVIRSKKNSQTTVIGSPGEPIWNQDISMLVTNPRKEKMYRQVNDSLSFADLIVSIIYLSQVDLGSLKDTVPADRIVTLQGGRGPFGKGSAGELLLQLTYKAYVEDEEDEVIRERSTHADASDDDMA >KZM94029 pep chromosome:ASM162521v1:5:14089873:14090295:1 gene:DCAR_017274 transcript:KZM94029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDYYSLRYTDPKEYFAGVRREWAFRLEESNQLRNDLIGLGAKLPVRDSLGVYPARNFNGSWGDYRRLVIEAVGLIREENNRMLLRRCRFYMLKLVKDSAAASGREMTFEEESQLLQNPNYLSDEQMTDEEATDDDDSE >KZM94383 pep chromosome:ASM162521v1:5:21760000:21767302:-1 gene:DCAR_017626 transcript:KZM94383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSGDNSRASWVSITPVKQNRDPICSYQQENQTTQPCNFVPGQVCKETELPESSQVSHVLHGTGFPELSAHSSLTNPVKATTELSGTTFSMDCVDKNIPFCDLLALANAAAKTALGSNDVIGSIPVTRFEDKEAEEGNETNQLGKEKQFDNNMGVVQQTEQSSDAISSQVLEKNNSGPGGNVSLGRSTPAQQKPRRKRYMPKVVREGQPKRTPKPTTPKPVKPNDTPKPKRKYVRKKGTSIPQNPLFVDLTETDEETPINLTNTTRNFYPDTPSVNLDSSKSETAGRPQRSCRRSLNFDSLQEEEESSPYSNASGFQSQISYAKFQSQLGQGPDVSAVKGQLDQIADVQSWSTRAKCRIVFSDVTHDKESNVIQMIDLNSPPASSSPNDSNCSTSTPLSKEEHARRMKRGHPSPADDLYNTNIVGARQNSVQAYLAKFPVDQDIDDGIPGMHFPAIYKKKRTEKCQNVIDQTTGYSPKVNWGGAFTPESFAAQCAKPLITGTMMDTGNKGTRKKRSKASTRVRDIASLIEIAESIQSTRLSIQQVGTSRQSYCHTAKTTKKRTKRNHRASGAFPVDALVEHLRHLDINAERKQVAYPNVWARSYNTAQYQEQNAIVVYQRDGTLVTVKKRKSRPRVDLDDETTRVWKLLLQDINSEGINGTDEEKEKWWEEERRIFDGRANSFIKCMHLIQGDRTFSRWKGSVVDSVVGVFLTQNVSDHLSSSAYMSLAAQFPLVEKSNHNPLSEDVVNITVNDLEACILNPDDTIEWHGIKSKPPTLTQKSTILEDVESNEDIEVVNSNESSVSSMCCIIPKDISSCNCSDIAGTTSFVELLHMTEQNKYNGTAISGIHWEGKEVFCSSESGLSRENASHSVVHHMVEIQSKEVQSCTRNDFPDCSIQTELDIVCHPQTSSSDLKNEVKSSDQDQVERINYTEGPRTLEETGGTGTSDISTKNEQESSLEKHNSLSPTITDGKTYKPKRGRKGKQPAVKWDSLRKQAQVNGERVRTPDTSDSVDWEAVRRADVEEVAHTIRERGMNNMLAERIKAFLDRLVSDHGSINLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHIAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPLRGECRHFASAFASARLALPGPEERSIVASGYNVVNPNPVVNINRLQLPASQSDQLSEKNMQISHCEPIVEVPASPEPIIEVPATPEPERAEGGEDDIEDLFDEDPDEIPTIKLDIQEFTQTLQEYIHRNMELQECDVSKALVALTPEAASIPAVKLKNVSRLRTEHQVYELPDTHPLLDGLDRREPDDPCSYLLAIWTPGETADSIQPPAGPCNNQESGGLCSDETCFACSSTREAQSQTVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHASSINPLNIPRYLLWNLPRKTVYFGTSVTSIFRGMETKDIQFCFWRGNNKPAYS >KZM96336 pep chromosome:ASM162521v1:5:40197259:40197975:1 gene:DCAR_019578 transcript:KZM96336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEDAKNAKLAIAQCVCGWTMFFLYVPPWIWLILNTVNQTSISLSLEDFMIADVQSSNVSALNQTLIYFKLHIEDTENDLSVHYENLSLGFSYYRGSDNIVQLGNYTILRFHQDAHDKTHPQASVVLKQGLSWQEISRNATSVTVAFRVDLAGVVRFSEFNVESKKLKMMAGAKVEVDPVTGRRISKKAVGLKHMIKHHQSGSVTFFLVFMIIATIFAPLWCFCFVECFRLCRSDN >KZM96186 pep chromosome:ASM162521v1:5:38955393:38955818:1 gene:DCAR_019428 transcript:KZM96186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSTDCCSSNLDDKRKHSKHQENTKIYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDTAVFYLRGPSARLNFPELITDEPGDQLHDLSAASIKKKLLRLELGLTLFRPLIMVLMILRMFRIRVGFITNLT >KZM94344 pep chromosome:ASM162521v1:5:21240848:21244814:-1 gene:DCAR_017587 transcript:KZM94344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMQFLPLADPTFPRTRSFSPTLTSPKRSYLHTTNKLNFTHIPKPDSPFSPSPSSYHLKSTNPILCHPFSKPSFLCGNSSNSSAAANESDGRSFLEVVGEGVSTAFPLWVALGCLLGLVRPSSYNWVQPQWIVTGITVTMLGMGMTLTFDDLGEAVAMPKELLAGFFLQFSVMPLSAFFVSKLLNLPSYYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTALSTVSAVVMTPFLTAKLAGQYVAVDAMGLLMSTLQVVLLPVLAGAFLNQYFKKLVKFVSPLMPPIAVATVAILCGNAIAQSSSAILTSGRQVVLAAALLHTSGFFFGYVLARLLGIDVSSSRTISIEVGMQNSVLGVVLATQHFGNPLTAVPCAVSSVCHSILGSALAGIWRRSNPSQIQN >KZM96493 pep chromosome:ASM162521v1:5:41383501:41387183:1 gene:DCAR_019735 transcript:KZM96493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASNPSCSLPQLCKALTFSSSCLQFHQPNSLFPSKPSKIIKTPVLITQNNEGVVKIQALIGSGFLPAIEEKPSKNIKTHVQNNGRVVKIEALKGSGFLSAIGNAIEEEEEYRKARAEVNRKGADLGGYSVEGLSVGGHETCVIVPELKSAFDIGRCPPKAVHQNFLFITHAHLDHIGGLPMYLATRGLYNLKPPTVFVPPCIKDDVEKLIDIHRVLGQVELNLELVALDIGETYEMRNDLVVRPFKTHHVIPSQGYVIYSVRKKLKKQYMHLNGKQIEKLKKSGVEITDTILSPDVAFTGDTTSDFFLDPRSADALRANVLITEATFLNEDFSVEHARERGHTHIFEIMAHAKWIRNKTLLLTHFSPRYHIEDIRQAVSKLQPKVSAKVIPLTEGFKSMHA >KZM95852 pep chromosome:ASM162521v1:5:36253457:36255870:1 gene:DCAR_019094 transcript:KZM95852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREKLMKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFVSPKELQDILPGIINQLGPDNLDNLRKLAEQFQRQSPGAGTDAGAAATQDDDDEVPELVPGETFEAAAEETPVQAS >KZM95833 pep chromosome:ASM162521v1:5:35990975:35993460:-1 gene:DCAR_019075 transcript:KZM95833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGAFRWKTVIVALLAVFLSGANSADIFLEWVVAVDTTINPFTSSQPVITINGMFPGPLINATTNDVVHVNVFNNMDEPLLITWSGIQQRLNSWQDGVSGTNCPIQAGTNWTYVFQTKDQIGTFTYFPSINYQKVAGGFGPLRINNRIVINVPFPKPEAEFDLLIGDWFVTDYKVLRSAVESSLMSSANVPDVLLMNGKGPYGNTSTKSSESFTVTKGKTYRFRVANVGTTLSFNFRIQNHQMVVVETEGSYTSQITLDSLDVHVGQSYSVLVTANQDDADYNIVASPKMLNTTDDSALVAKGVLHYSNSASSVSSTLPSGPDPFDVDFSVEQAKSIRWNMTAGAARPNPQGTFNVTNVTLSQTFILEGSISNINGDPRYVVNNVSYFTPNTPVKLADYFVNGTGVYTLDEFPVDSVSNAAVYGVFVATGIHKGWHEIVFRNNLNVMDSWHLDGFGFYVVGFGDGEWTSDSRSTYNLFDPVVRSTVQVYPGGWTAVYTFLDNPGMWNLRSQHMKHWYSGEELYIRVHNNDPNPAKEQPPPQNLLLCGILSDAFAPAPAVQSGA >KZM95808 pep chromosome:ASM162521v1:5:35778153:35781487:-1 gene:DCAR_019050 transcript:KZM95808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCITIILYCFMIFSYVNTSFAIDAIRANQTITDGNTIVSAGGNFELGFFSPGSSKNRYLGIWYMMSKSTLVWIANRETPLTNTSGVVRFNNKGSIVVTSDGGNIVWTSISKKAPIKNPAVQLLDNGNLVLRDAADSDLENYMWESFDYPVDTQLPGMKFGVDLVHGIDRCLTPWRGVDDPAPGNFTHRMDTNGFPQLLLWKGSVLWARAGPWVGLWFSGNSKTKMFGFYADEFVFNEKEIYFRYELADDNTPISRYVLNPDGESSIMIWSHRNQDWVVYTTLHMGKCDRYGFCGPYGGCNPNNYPRCECLKGFVPKLSEKWKAGNWSDGCVRESPMTCGSGEFYEVFRKGGSGCILWFGELMDIKYYTDGEDMYVKMSSDFDYKLGSKRSRFMNAIVIVLLISATALLFLLLHLLRMRIQKRRDCLLQTPYIQEAWTSYKEDKLSGLVDEAISESCNHAEVFRVIQIGLLCVQQHPADRPSMSHVVLMLSSNIALPHPKQPGFFMERTFHDPVSSSSQLTITVLEPR >KZM94057 pep chromosome:ASM162521v1:5:14474264:14474629:-1 gene:DCAR_017302 transcript:KZM94057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHIVLIDGRDRDFGRMYTNWDILPPRKIRAVNAKKLADWEDREYIDDPNHVKLEVGAL >KZM93074 pep chromosome:ASM162521v1:5:2146328:2148712:1 gene:DCAR_016319 transcript:KZM93074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLSHSSHKNSIASSPIAKGTSTAAKTKRTRKSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNEAQSKKGRQGAYDDEAAAAHAYDLAALKYWGQETTLNFPLSTYEEEVKEMDGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGKWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKSLKPNRTNDITDPNNTHQMQKNYNTEIIPVQYPSQNPGINFLCNQHQEENSSSAETKNVLSQPDHGSTASSALGLLLQSTKFKEMLERTSTVDCPSTPSDSEPPRGSFPDDIQTYFASHDSGAHYGDGEDVIFGDLHSFASPMFQCGLDA >KZM93565 pep chromosome:ASM162521v1:5:7896607:7900775:-1 gene:DCAR_016810 transcript:KZM93565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSNLTFKLHPLVIVNISDHFTRVRSQSLGPSTQSNGDTTSPPPRVFGCVIGVQRGRTVEIFNSFELLFDESTQSLDRAFLLKKQELYKKVFPNFYILGWYSTGSDAQESDMIIHKSLMDINESPVFVLLNPSINHAQKDLPVTIFESELHIIDAIPQLIFVRSSYSIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHHYLLAMEKGEMPCENSLLRQVSSLLRRLPAVESLKFQDDFLMDYNDTLLVSYLAMFTNCSSTMNELVDKFNTAYDRHSRRGGRSAFI >KZM93628 pep chromosome:ASM162521v1:5:8610931:8614175:-1 gene:DCAR_016873 transcript:KZM93628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSADDFSVFVLASDLGVDARPFLQDKWHDCPTQLDHDIAPDDLQYFSHLDALHFLSLQPGFDKSGNRIFRIVGKYFPAPVVDAERLKKYVVHKISNEMPEGPFCIVYMHTTVQKDDNSPGMSILRWIYEELTADIKDRLQVVYFIHPGLRSRLVFATLGRLLLSGGLYWKIKYISRLEYLWEDVKKGDVDIPQFVQEHDKILENRPLTDYGIEPDPLHLTAIPSAGYPFGRFEERWSSREYMS >KZM95518 pep chromosome:ASM162521v1:5:32897477:32897859:-1 gene:DCAR_018760 transcript:KZM95518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVFMSSCTILYIDFTANVAGATVEMFFAELIAFSDTDISVSLCKSKGPIDSFSAVDELNGCCFCASYNNVQHPEDGGVIRGRDALQYSSRYYAP >KZM96467 pep chromosome:ASM162521v1:5:41171398:41173882:-1 gene:DCAR_019709 transcript:KZM96467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMSKDRPPEPLDFFIWTVEDVGLWLEEINLGTYRPIFKEHGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFIKAAKRNRQARVVSLKLEA >KZM93637 pep chromosome:ASM162521v1:5:8730423:8734123:-1 gene:DCAR_016882 transcript:KZM93637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLNEDHKVSFKPQRSFATIFKSGIKEALFPDDPFSFLKKEPSSLRRAKLAMNYFVPASEWLPKYNLQLFKYDVLAGITIASLAIPQGISYAKLANIPPIIGLYSSFVPPLIYAVFGSSKYVAIGTLAASSLLISSTLEEKVSPTENPDLYLGLVFTATLISGLLQLALGLLRLGILVDFLSHATITGFMGGTATLICLQQLKGIFGLKHFTTHTSIVDVLQAIFSHTNEWRWQSAVVGVIFLVFLLFTRYVKEKRPNLFWVSAIAPMLCVIIGCIFAYFAHAEKHGIEIVGELKRGLNPLSFKDINFDPKYISAPIRAGIITAFIALAEGIAIGRSFAIMNNEQTDGNKEMVAFGLMNIVGSFTSCYLTSGPFSKSAVNYNAGAKTAMTNVVMAFCMLLVLLFLAPLFKYTPLVTLSAIIISAVVGLIDYQKAYHLFKIDKFDFLICMAAFLGVIFISMEMGIMISVGISLMRALLYIARPASCKLGYIPDSMLYRDVEQYPGATGIPGMLLLQLGSPIYFANCSYLRDRILRYIRDEQDISNPQANDIVYVLLDLGGVTSIDTTGVEVLFEIQKNMDAKKMKMILINPRLAVMEKLITGRFIDKIGKKSVFLSVEDAIEACKFSLETLKDYTDMPSVVRAPIAFF >KZM94791 pep chromosome:ASM162521v1:5:25942035:25944065:-1 gene:DCAR_018033 transcript:KZM94791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSARSSEKQAHVVCITYPAQSHIKAMLKLAKLLHSNGIFVTIVNTEFNHKRLQNSGALESLDDLPGFRFETIPDGLPPSDYSSNQDILALSDSLLSKKPLPAFQNLIENLNAGALPVTSIFSDAFMPFPTDAARSLGIPIFSIWTVAACGLMGFFQVHNLIEKDLAPMKDRLPLIHRPHRRNAKK >KZM93942 pep chromosome:ASM162521v1:5:12589069:12589875:-1 gene:DCAR_017187 transcript:KZM93942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDLCKDNSSPLGIMSPRISFSHDLSPSDIINFPVEQSLLRSISSSSPEFDFGNHHNFNQHSVSAEDIFLDGKLLPTDKPKNPKPQLAPMPPSSSHKHDAVLKTEKKCSESQTSNETPGCSDIQADDQKKKSKSFWQFKRSSSLNSGNSYARGLCPIPLLSRSNSAGSATSSKRSSSSKESHNLHKQHAQKSSSVSSSSTKLLSSTNHQKPPLKKNGYGLNSSYGSSTSHGNGVRINPVLNVPSANLFGLGSIFSTSSHKQDRNKKF >KZM95387 pep chromosome:ASM162521v1:5:31664821:31666332:-1 gene:DCAR_018629 transcript:KZM95387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVAEGEGSVTLDLLKKKMADFAKERDWEPYHSPRNLLLAMMHNFIVCFSLVSGSELIKYVTTPLCLQDRIGEVGELSEIFQWKGEVPRGLPGWEEREKEHLGEELSDVLLYLVRLSDICGIDLGQAALRKVKLNAIKYPIKLSDQNGKNDANTSKNKDEDACPDNSSSVQHSA >KZM96291 pep chromosome:ASM162521v1:5:39870657:39876953:-1 gene:DCAR_019533 transcript:KZM96291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDGFPKDLRKPLLHTGSWYRMSSRQSSMMGSSQAIRDSSVSILACVLIVALGPIQFGFTCGYSSPTQDELSMDLKLTVSEFSLFGSLSNVGAMVGAIASGQISEYMGRKGSLMIAAIPNIIGWLLISIAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGGLGSVNQLGVTVGIMLAYFLGLFVTWRVLAILGTLPCLVLIPGLFFIPESPRWLAKMGMTEDFEISLQVLRGFETDISVEVNEIKRSVASSSRRTAIRFGDLKQRRYWFPLMIGIGLLVLQQLSGTNGVLFYSTTIFESAGISSSNAATFGLGAVQVIATAIATWLVDKTGRRILLIISSSGMTISLFIVAFSFFGKDFVSQNSTCYSILGILSLVGVVGMIIAFSLGMGPIPWVIMSEILPIKIKGLAGSVATLANWFIAWIVTMTAPLLLSWSSGGTFTLYMLMCALTLAFAATLVPETKGKTLEEIQLSFR >KZM95910 pep chromosome:ASM162521v1:5:36684246:36685010:-1 gene:DCAR_019152 transcript:KZM95910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNATYNLVTRINLGGQEVRYGIGSGEIYNRIWSKKAMPYASVSGFTGSFPSPDNYPPVSVLTDAIMFNASDPMTLTIDLPQSTPQSAYIVLYITNLGDLFNPNTSATVKIKIDNQDQGTVEALHFGETTVITKYPVMVSGPSVNITLSPADKASLPPMISAMEVFTKWPTHKSAAAPKHFSSAHSLIILFMLLLVA >KZM95504 pep chromosome:ASM162521v1:5:32753359:32764998:1 gene:DCAR_018746 transcript:KZM95504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGIGLKRRGAKRKRKDVLSVETDGDVKRRSIETSFKALLGSYVSKDVEGTDIILGRVVECAKGEYRVIYLDGSCEYMGHRKVRRTLIRDNAFDKKLDAMRNELDKLILNKCANENTTKLGNAVAGAKSLSQSVSSNCGTCTKACKCAHKVSSVVPPPPVLPPSTGNIGVPEECAADLLCVYTFLRSFSIPLCLSPFGLNDFVGSLICSVQNTLLDSIHVALMHCLQRHFEALKSDGSELALKYLRSMDWSMIDLLTWPISIVQYLMISKCSEGKRWKEFYFNALRKEYYALSVCSKLMALRKLCDDALDSAEIRAEIDMHNALKVITNKEVVDASKGRPRRNHHRSPKTSVCYDQEDIIDDDERKTQSSTNSNSSDYESTGADHDGNDYECRLCGMDGKLLCCDGCPLVYHSWCVGVSKRSMPEGEWYCPECTTKRIDPRISKEMCQRGAECFGVDPYEQVFLGTCNHLLVIKILSDTDICIRYYHQNDIQRVLHTLHSSVQHNTMYAGLCEAIQQYWKISMDDQSIIETPTKSESKMEDGECLAPSYVFLGKKSFDVSQLPENEKVACSITDFDSVNMADSLEKSKGTKEQAFGLTSQQVDPCYLAHLNLGENLKLIQYASCTSKNISSSDTIDIPKYTGTPLKDQPYINHYTRGDYAASAASAFVQLKDLSAEYKSSELHFKISLQEKAFSSATIWYFWPDPENKLVEGPREKCGWCLNCKADLSKRGCLLNAAASNAIRATMKKNSAICLTKDRERSLYGIVTYMLNLEESLHSLTFGPFRSPIYRKMWRKQVEQALTSSQIKSLLLQLEKNICLIAFKREWIKLVDECSVESAVSQNTANVVGSSHKRGPGGRRGRKQGNIPVVTTDNDQDRSKHFIWWRGGIVSKLVFHRGMLPCSMAKKSARQEESLHSLTFGPFRSPIYRKMWRKQVEQALTSSQIKSLLLQLEKNICLIAFKREWIKLVDECSVESAVSQNTANVVGSSHKRGPGGRRGRKQGNIPVVTTDNDQDRSKHFIWWRGGIVSKLVFHRGMLPCSMAKKSARQGQSRKIPSVSYVEGVEIPKRTQRFVWRAAVEMSMNISQLAMQIRYLDSQVRWGDLVRPTKENLDGKGSDAQASLYRNAFICDKRYMESQSQYAVDFRSQKHLPLRITKNAEEEEKNEAGKQIYWILETNLPLYLIKEYEDNMEKVPSQPAKKAVNELSELQKRQLKDSRKDIFSFLLRRRDNLEICRCASCHLDVLIGNAVKCARCKGYCHTQCMVSSTPNMNKEVKFLETCKVCYDIDVVAIEENHDVANTSCLQVKVTQNALTVTNNTPTSSQTENPQNTPTVCKGGNIRDSSVMHQALNHSFEKPTRKGSNAKAESRSRACSWGLVWKKKGFNGTGIDFRLKRILLKGNPNPSDVRCDLCKKAYNKDLMYISCETCNKWYHADALELDESRLLELTGFKCCKCRRIKSPVCPYTEPVEKPASQGRNLRKSAPKQGSLGVDDQRLSIEGATPYSSLSRVEDPQVDMNSLPGSGNIFVGRHLMQNNNMVCLPEYNFSVDLSANIAENSLLLAAESCKGLNLSGNDLDEGVMSDNEALNREDMEFDPRNYVSRDPPVRAVQCQMCSLAEPGPDLCCEICRQWMHRHCSPVEESSGQWPWRCISCREWQ >KZM93488 pep chromosome:ASM162521v1:5:7137110:7137781:-1 gene:DCAR_016733 transcript:KZM93488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEATIKLPENVTVPGLIVFGDSIADQGNNNNLSSVMKCNFPPYGIDFTGGLATGRFTNGRTPSDMIAAELGIKELVPAYLDPSLQMKDLSTGVSFASGGTGYDPQTSKLVSVVPLSDQLEMFKEYIGKLKASIGEENTTHVLNNNIFLLVAGSNDLANTYLH >KZM95835 pep chromosome:ASM162521v1:5:36007796:36012758:1 gene:DCAR_019077 transcript:KZM95835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTTSCMDIDSTSLAPGFRFHPTDEELVRYYLRRKICKKPLQVDAISEIDIYKLEPWDLPGKSRLKSRDLEWYFFSALDKKYGNGARTNRATDRGYWKTTGKDRAVYHRNQVVGMKKTLVYHSGRAPQGKRSNWVMHEYRLVDENMEKSGYMQDAFVLCRIFQKSGSGPKNGEQYGAPYLEEEWENDELAVVPKGDDQAMELPACDGAYLDGIDLEQILNAVDSSNVAPVPANSCPWNGNDCVNELINFTDNAQEACVVDDNNFIQEQPDTGAIYNLPVPYNTDGMPVKHEYLGEPSNNGGQPSNTANPVNVDHIPDLSFVDATDTLQSSDGAFIETYDLQQPFDWDDSSFDMLNEYLQFFDATDDNLQNMGFEYSDIFGSEEPLPDSAALLPKKDASEGAKQENGGKQQFDGISKDFASSSKEAQAKCQSDSQYQFLKQASNLLGDISAPPALASEFPTKDATLRLNAASASSFRVSAGILQTRHVTLGRAGVKWSYGKHDLANIVLSVGLSRGDDYSAALQPSSGTISETSNSAVSWGWFYYLFMWVLVLSTSFKIGTYIYSI >KZM94371 pep chromosome:ASM162521v1:5:21569165:21569752:-1 gene:DCAR_017614 transcript:KZM94371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPQRASSDSTYTTIPVSFSAAISRSFQNLSTTYSRQRPWPEFLASASECSRPDSLAGATSRLRLNSKYFGINYAIVITLCGAFSLLGSPLYLLLIASVFFLWLLLWFSREDQIVIGGHHISEQAVMIGLAVVSVAVLWFTGVFNTLLIGVSVGILIVAVHCMLRIPEGVFLDENDAVHSGLINAQSTPSVNRV >KZM93111 pep chromosome:ASM162521v1:5:2505676:2508898:1 gene:DCAR_016356 transcript:KZM93111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDLNTVRGNSEVKDPKTGEVEGLSYSFNLCEAVATWEQVRNSTTILTREFIDALPHGWEEYAWQRINKGVLLNRCKNKTLCVEKLSLVLPETPPYVPRQFSRCAVIGNSGDLLKTKFGNEIDEYDVVVRENGAPIQNYTDFVGKKSTFRLLNRGSAKALDKVSELYETGKEVLLVKTTIHDIMNKMIREIPILNPVYLMLGASFGSAAKGTGLKALEFALSICDTVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLIKIHSPMRADPKRIVKWLPSRSTVVAARKASEKLLRRVGAGSDNPLAACSITKKQIKRKPESMSGLRKAAVEHQKFIKGVTLYPLEHNPGHGQLCTVP >KZM94026 pep chromosome:ASM162521v1:5:14039856:14040632:1 gene:DCAR_017271 transcript:KZM94026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIHNHIALVHHNLIEKVNKRDWEGIIMAPNQIHYGSLKRDKNLGKERHDNNREQVKKKARKDAVRDKNETLEFIKSNQYLIEPSIFHEAMLRNEKAISSALGVIHHKSLDGCLPPSSIGGKNKNGKSYKEALPESTFLDKPEVVFLPQSGQKKGAKINSPSPSKTLFLYGFSEYTKVEDMWGSLKREERVMDIVLPKKKDRFRRNYGFVVMQNAKEASSLLRKCNAKILMEKDFSSLRQWRRKSQLRRGNQYKEVT >KZM96362 pep chromosome:ASM162521v1:5:40423432:40428031:-1 gene:DCAR_019604 transcript:KZM96362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYIWIPLLLFHSLTQLTSTQSESTLRLPSDSTPACPALNPDLNYRPVIGIISHPGDGASGRLNNDTNASYIAASYVKFVESAGARVIPFIFNEPSHILQQKFELVNGFLFTGGWCKEGLYFDVVEDIFKKILEKNDAGHHFPLLSICLGFELVSMIVSKDRNILEPFSASDQASKLEFMQNINIEGTVFQRFSPNLLRKLSTDCLAMQNHKYGISPEKFQGNADLHSFFEILTTTADENDKVYVSTVQARKYPVTAPQWHPEKNAFEWASEMIPHSEDAVQVTQNVANYFVSEARKSSNRPPAQTVLDNLIYNYSPTFCGAAGKGYDEVYIFT >KZM93967 pep chromosome:ASM162521v1:5:13020320:13020620:-1 gene:DCAR_017212 transcript:KZM93967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTTTRGGLVEYAVAKQEVMVLRPLELSAGECAALGTRGLTAYQCLITAGVVL >KZM95733 pep chromosome:ASM162521v1:5:34949468:34956017:-1 gene:DCAR_018975 transcript:KZM95733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFDFVIIGCLFVDVMGSRTKIFIVLEFVTGGELFDKIPENLLLDASGNLKISDFGLSALSQQVRISAAEFTCPPWLSFGAMKLITRILDPNPTTRITIPEVLENEWFKKDYKPPVFDEKLETNLDDVEAVFKDSEGFKRETMFTSRSPANEIISKIEEAAKPLGFDVHKKNYKMRLENIKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKSLSTSLDDVVWKTEEDMHLK >KZM93271 pep chromosome:ASM162521v1:5:4294681:4294992:1 gene:DCAR_016516 transcript:KZM93271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRRPQNLPPPAQQTYSSEQITAAIFHQHISTTPEHNSIHRNTRPSHANIRKTISTSIPITKNNTDPPPDNYTKSQPTKTLQKLQFLPFKGTPTNTPAAQFC >KZM93107 pep chromosome:ASM162521v1:5:2465351:2470157:1 gene:DCAR_016352 transcript:KZM93107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALVQSTSFPSSVAGERIAQPSRSGKGRTSRRTVKMMCTVHNVPSRVRGFSGLRGANALDMIGKSGQSFHSKVAASTSVQRGRATRIAPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPNNIRTQVIRMVGESVEAGATVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIATGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKELRQITKEKNEAVRGQDFEKAGELRDRETDLKTQISALVDRNKEMTKAETEAGDEGPMVTEVDIQHIVSSWTGIPVDKVSSDESDKLLNMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKTLATYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKGKDIELQVTERFTDRVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLSREIKEGDSVIVDVDSDGNVTVLNGSSGAPPESLPEPIAV >KZM95182 pep chromosome:ASM162521v1:5:29685069:29698513:1 gene:DCAR_018424 transcript:KZM95182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVQFQVVSDQTINFANIFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMALGGSGVGQITLYPILIRKGSTSVALYGLGNIRDERLNRMFQTPHAVQWMRPETQEGCQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLVEIKGNQYRPTKIPLNSVRPFEYAEVVLKDEADIDPNDQNSILEHLDKVVRHLIDKSYQKSVNKSEVKLPLVRLKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASKKGHNVVKIDDSEKLRPEELNQQNIEALVAENNLQMEILPVNDLDVALHNFVNKDDKMAFYSCVQYNLQETRSKIARDSDTLKFEEEDLIVKERVKERSHSKDPAQFTSSGQSLENIRSTKDVGSAVSFSDDEDSTQLYGTKSTTRGRKAASQSFRSSHDASEISKPARGRGSRGRGRGRGSSNLKQTTLDASMGVRSGRSASVAATASVRSIAAEEENVDSASSDEAVQFNEVEDSSDEDVRANSRKRPAAPRGRGRGASSSKRGKKTDSVTSSIQRMMMNRDDDDDDDDDSVTKKVPASQTRVIYQPSARTLLEGGLPCD >KZM95267 pep chromosome:ASM162521v1:5:30656990:30660121:1 gene:DCAR_018509 transcript:KZM95267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVAKLSEKSPASAITNQEILEESVETFAEENSINGKSMSSLKGSKTHAQICLKGDTSGRKEDRSLQSQPPGHLKNGNLIKIAVKTVKMNQKRLSVGRTRSLREIYKDAKRQKILKSPEAYNDECGYCHTFEVEKGQILRHENRELVPGDASSSRINNVQNACIIRIPKQPDFWSTSCDGVKTWVFCGSALSSTNLCYLVKFARCCGATVTRFWRPDVTHVITATDANSSCTRTLKVLMAILYGQWIISMDWIKSCAKANKPMNEEPYEVTLDNHGARDGPKTGRHLTLDNARDEDSPVVEILETAENLALRIGSRIIQHTWILESIAACKLQPLPCYW >KZM96199 pep chromosome:ASM162521v1:5:39044035:39046381:1 gene:DCAR_019441 transcript:KZM96199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQIHFNVCILLITISQFIFTSLAHGTDSYIVHMDLSAMPKAYSDHHSWYLATLASRTEESKISTTTIPSSSKIIYTYTNAMHGFSAVLSDSELESIKSSPGYVSSFRDVPVKLDTTHTFKFLDLNSNYGAWPESDYGKDVIIGVIDTGVWPESKSYDDYGMAPIPSKWKGTCEIATPFATGAGHVNPNKALDPGLIYDVNALDYINLLCALNYTSKQIQTVTRTGTNNCSSPSLDLNYPSFIAFFDASDSGVLAQQEFQRTVTNVGDEISVYEAKITPMDGLVVRAVPDKLVFKEKYDKQSYKLVVQGPRKLKSSIIHGSISWIQVGGKHVVRSPILVTNLSSDILPGDD >KZM95787 pep chromosome:ASM162521v1:5:35583474:35585994:1 gene:DCAR_019029 transcript:KZM95787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRWTEEFTDLESVEYSKIGVIDGGWSEELMSDDINNFQSLTIAPVDHQKQCSIVGGSLNPHGYMCVSAIGCKRKQLNFFGIHEATCEGIVPRAQIYAYPIGCERCERRPPGGIENAIRKAIQDGVKVLSISIGYTVGRAHRLKCPKNDDYGMSILDGVKKDMLTCVTVGNDGPLGESAKNGMPWALTVGAHTSTNVVQTVIEVAVDKNVPLVDILEHDKNGNLNIIGKFKGSSLNVQESPFFKLCILEDVFGKDCILKADRSSEMCYLDLAKPQSRTLVAGSSVSSIMKVSLSKEIVDQLLKWQSEFGEIFIRIQRSKIETDSRGVLVPVFSSRGPSRIYKEHIIPEVVAPGYAVLIPHPSTIALNYKSLEVEQTSSGDIEEPLFSDCNIVSGTSIACPQVAGAALILRSYHPRWTPSEVKSALITTAKSFSARNIPGNELVFGPGSINIKAALHPGLVYDENWTHFREYVDQKRSIYDLNLPTFAASFTYTSRSCNRIFRRELKNVGDHKMVYKCVIQYFSKLWDPVEITAVPDCLEFERGEKQKFELIVNIVPRPSAHISALLMWIPVGGGQSVCSPIHLYHHSEFDKSSWYEDGRRDTD >KZM94350 pep chromosome:ASM162521v1:5:21323717:21324506:1 gene:DCAR_017593 transcript:KZM94350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRIEVKVTIKNDKGRSKAMQIVAGIEGVSSVTLGGEDKNILIVIGDGVDAVTLTQALIKKLGGATLVRVGPLNEYGRFDDQEEAAASMVYVGQSNNYGDYSYGQPRYSYYNSPRYPPPYYDQYYQQPDKW >KZM94174 pep chromosome:ASM162521v1:5:17760298:17771610:1 gene:DCAR_017419 transcript:KZM94174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPAEQRTSISDPRSPLPSRARRRLIQSTMLPLDNADQNINKPEVAVTKEETTSKGMDEEEDLHQLNNHTRSPQKRRRCSSATPEKRRSSATPAKRCTSATPKKRGARATPEKRQKNSTAKKKLLSSGLNDGSVQPNNPIPCERASQLVPDLRLEAKLQAEENLRIYGGRLIHPFFSSWKTVKRSQERTDEESDQSLVDKKGQSINFSPIHVFEQVEDDENLSLDWGNWTFSERSVCANFDLKSESSNYETTVKVLHFDNFLNASGCQNNGSSYKCLNKADKVPSHLSPIQQDYIHSASSVVFSDEQAADRERSIERVENYTSSEVNPSAVDATCAQISDVKYGDIFFKEKMISYGHSCVNQPQNSLWTNKYQPKKANEICGNGECVTYLNNWLRLWHEKSSGVNKIIGNIDSEQDAEYSRTSVSDSGDMDEENRLKNVLLITGPVGSGKSAAIYACAEEQGFQVIEVNASDWRNGALVKQRFREAVESHWLSCTTADQGINKEVTEMISLSDSEDSDYTRMTPAELVSKKSDKKTLILFEDVDTVFDEDRGFLGSIQQLAETAKRPMILTSNSNNPVLPNNLDRLKLCFNKPSLEELFCLASMVCSAEKVAISPCLVRRFIEFCHRDIRKTILHLQFWCQGQTYTREKKILGAYGLMVFDTDAGHNVLPKMISCDYPSQLSEIVDKVITKSVLEVKEATHLNLIDEEEPNIYNSGAIKFQPNSIDARKEAMLSCYCSDQDGNEFPSQLGTACELSNSSGSSVAFGQQGIPRRTETMLSSQSGEECSRSRGSFPFVPHKESKEEHLEAHLDVISKGPSHCFSLEMSNNAMTEQLLQAPKVFAPLNGTCRLVDVPCVRESSFVSDTDFFSGTLWGNVDNTAESSNTKNGLANNRIDLNMSPSKLDEIPIFTKTQSEVVTNSSREVEEIIDSRINGAETAVTNSEFPVVGIDLNLSLYGSDEIPVLLNNKSDTITILQPIQQVVDSHVECVQGVPREYHQIDECDSMNFSMRSRYKKNHSCLVASDTVQETWRRLRDSHINFQQYAILERKDASKVLKLAHGLSNLISEADMLLSDCQLLVSDYLEPSMVPCEDSHFLSWYDDHLKMVSIISEHGMCLYAKDIDAAGTNMFSTGRLDLAWEMLASSRSTMSLGKLVNRDSRRIQNSEMSLPDSGISTRRQSASSLSSIVQSLIPARSYLSVKGNALHEYLSSLSHISRLEDNRLLENHKSTTRRVCVGKNYLSNAAPSLTPRDISLLSQYSYYQKASHQFLDNIRGESFCQ >KZM94736 pep chromosome:ASM162521v1:5:25375374:25377506:1 gene:DCAR_017978 transcript:KZM94736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYIRTGSFKRLFSIKHQSFDENEEEGMPKVSICNDQEENNGSFCSTGSPKSRRYSRTASFKKLFSIKPKSFDFSNDHEEYNDGNFQRRTWKNFSFEEVFRATNGFTLENLVGKGGYAEVYRGVLDDGQEIAVKRLSKISNDERKEKEFLTEIGTLGHVNHPNVLSLLGCCIDNGLYLVFQFSSRGSVASLLHDENLPIMDWRSRYKIGVETALGLHYLHKVCPRRIIHRDIKTSNVLVTADFKPQISDFGLAKWLPSQWTHHSIVPIEGTFGHLAPEYFMHGVVDEKTDVFAFGVFLLEIISGKKPVDGSHQSLHGWAKPILSQGDNQMLVDPRLEGEYNINQLNRLAFAASLCIRKSSTWRPTMSEVPV >KZM93214 pep chromosome:ASM162521v1:5:3566349:3567632:-1 gene:DCAR_016459 transcript:KZM93214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQACCVKQKLRKGLWSPEEDEKLFNHIARYGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLILGLHQVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLLKQGIDPNTHKPLSEAEVVATNEKNHTDGASILQPPQGHPNVFAPISTDNGNVKYAEASKDPMDRKPNFDPLFLLEFQERVNLSGYSSGLMGQFQKTETIYDHNQFDVKPKFGFSSMPNLTNYDIQGLAETEFSANTASTMSSLIFNEVKESSGNSSIVNSTANAALELDKMVENDRTFSWDADNSFESMFQFGEIKSEESPNAGHWQGQFQAQCADDYISYPLMSPSQDLIGENADIFQQV >KZM95210 pep chromosome:ASM162521v1:5:30198508:30198770:-1 gene:DCAR_018452 transcript:KZM95210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKIAYHIEGDENRALEALHKLGQRGNITKYLPGWGQWMIKKVHGLGGLAFAQSPQL >KZM96495 pep chromosome:ASM162521v1:5:41394588:41399106:1 gene:DCAR_019737 transcript:KZM96495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCAVCGHYHQLHQTCAICGHRVPDAAAQQTAAQLSAFPSEILPEFLYLGSYDNASRSELLKTQGISRVLNTVPACQNLYKNTFTYHCLEDEQNIPFDDAMNFLEQCERDRARVLVHCMSGKNRSPAVVVAYLMKSKGWRLGQSYQWVKERRPLVEISQAVYQQLHDFERKIFGDIENKDPTLPIFSSSSVIPTFSFGFGKPNDGAALPAFNNTGTTSIFSRPTSEIPQEFTFGAAQIQNNGAFP >KZM93717 pep chromosome:ASM162521v1:5:9639548:9667959:1 gene:DCAR_016962 transcript:KZM93717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMMNSSSCFYGRPCLLFPHANQCKLTHLCPAAAFLNSKTARPLISTGKSRLTRRNSFRIRALDSSDVLQTTSESPQRYSVRIPVGDRHILVETGHMGRQASAAVTVTDGETIVYTTVCMADVPSEPSDFFPLSVHYQERFSAAGKTSGGFFKREGRAKDHEVLICRLIDRPLRPTMLKGFYHETQILSWVFSYDGLHSPDSLAVTAAGIAVALSELPSSDVVAGVRIGLIGDKFIVNPTTKEMEHSQLDLLLAGTDSAILMIEGYCSFLPEEKLLEAVEVGQEAVRAICNEVKALVKKCGKPKMLDAIKLPPFELYQFVEEIAGDELVKALQIKKKMPRRKALSSLEDNVLTILTEEGYVKKDETIVVPEPVPGVFEDEDEDEEVVVDGEVDEGDVHIKPASRRPAPLLFSEVDVKLVFKEVTSKYLRKRIVEGGKRSDGRTPDEIRLINSQCGLLPRAHGSALFTRGETQALAVVTLGDKQMAQRIDNLVDLDDVKRFYLQYCFPPSCVGEAGRMGAPSRREVGHGMLAERALEPILPSEDSFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKGSIAGIAMGMVLDTKEFGGDGTPLILSDITGSVDASGDMDFKVAGNEHGVTAFQMDIKVVGGITLPIMKQALLQAKDGRKKILAEMSKCSPPPSKKLSEYAPLIHVMKVKAAKVNLIIGSGGKKVKSIIEETGVETIDTQDNGIYVSFLYKIHQVRMDVVGSILTLRCILNRNCEIKSIAPYGAFVEIAPGREVAGNEHAEMSKCSPPPSKKLSEYAPLIHVMKVKAAKVNLIIGSGGKKVKSIIEETGVETIDTQDNGIVKITARDQASLEKAITIISSLTMVPAVGEIYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSDWLAKAEDAFKVGDYIDVKLIEVNEKGQLRLSRKALLPDPDPEKSDKHSTSSSNKDGSMSPITPDKVKLKKASSTGSLADAKTEHPKEKGAYVRAVSPTKSSDVKKSSALSKDRPLTKKGKIEDSDSGAVVDIASKSGNPVINGESKVG >KZM94187 pep chromosome:ASM162521v1:5:18106437:18112877:1 gene:DCAR_017432 transcript:KZM94187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPYLVGVIVPFVLTLLLRTSNNGKKRGVPADVGGEPGFAVRNKKFTSPIVSAWTGISTLAELFEDSCNKYHEKRFLGTRRLISSELEFSGDGRSFEKLHLGDYEWLTYGKAFEIVCNFASGLANLGHKKDERVAIFADTREEWFLALQACFRRNVTVVTIYSSLGEEALCHSLNETEVTTVICGKRELKKLLDISGQLDTVKHVICMDDDIPSHSSLIQASSSWTISSFTEIEQLGRENPVDADLPVSADIAVIMYTSGSTGLPKGVMMTHSNILATVSAVMTIIPRLGHKDVYLAYLPLAHVLELAAENIVVSVGMSIGYGSPLTLTDTSNKIKRGTKGDASMLGPTIMAAVPAILDRVRDGVRKKVDATGGLSKQLFDLAYARRLSAINGSWFGAWGFERLFWNFLVFRKIRAILGGRVRFLLSGGAPLSGNTQRFINICIGAQIGQGYGLTETCAGATFTEYDDTSVGRVGPPIPCSIVKLIDWAEGGYSANDSPMPRGEIVIGGPHVTLGYYNNDEKTKEVYKVDERGMRWFYTGDIGRFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAVLVSSPYVDIIVLHADSFYSYCVALVVASQSALEEWAHNQGIKYADFSDLCQKEETVKEVLGSLVKVGKAARLEKFELPAKIKLLSEPWTPETGLVTAALKIKRDAIRKAFSVDLAQLYS >KZM95069 pep chromosome:ASM162521v1:5:28357996:28361323:-1 gene:DCAR_018311 transcript:KZM95069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSPSLEKKHWWLSNRKIADGYVRDARFLIATQEQIQVERAVNLLDSALTLSPQNESALELKARSLLILGRFKETADMLQDHIPSLKMSSTHDSSSSSSSSSPSLDNSSHNCLRGGVKLLSYGDSPNRSEPRCKYFSITGLKTKVTAGLGKNCEKEGQWRYLVLGQACCRLGLMEDALVLLQTGKRLATAASRRESICFSDDSFSLFKFPISGKVVVDNHSPSPAKSESEIINQLLSHIKFLCWRKTSALAALDAGLYAEAIRHFSKLLESRRGAPQGFLAECYTHRASAYRCAGRVAESLADCNRALALDPSCIDALSTRAALFETTRCLTDSIYDLEHLKLLYNTILRDRKLPGSAWKHQYVHYREIPGKLVTLATKIQALKQRVASGETGNVDYYALIGLVRGCSRSELERAHVLLTLRHKPHISNAFIDRCEFADNGEVDSVRERAKVSSFSLYRLIQKGYTNVMRTILDEEAVEEQRKKASASLQAEMQQLQQAQQEQVKHRQESNNGVSAGQHVQQEQVQYKPKSNSAVSAVQQVHQAQKEKFKHQQESNNAVGTSTVSPRLDFNRLENNAASMFQGVFCRDIVAAGSLLPQTGFNLPIAVKYEALSC >KZM95132 pep chromosome:ASM162521v1:5:28977109:28977610:-1 gene:DCAR_018374 transcript:KZM95132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGAGKGIAGRGKSKGTKSVSRSQKAGLQFPVGRIARFLKAGRYAQRVGSGSPVYLSAVLEYLAAELLELVGNAARDNKKSRIIPRHIQLAVRNDEELSKLLGSVTIASGGVLPNINSVLLPKKVAKGKDQIGSVSQEF >KZM93041 pep chromosome:ASM162521v1:5:1920875:1927104:1 gene:DCAR_016286 transcript:KZM93041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAIALHLKRNTTTTTTTNSNLIRNSNSIKLFSSSSSFPQPPTNDNDETTPSADPQSQSQPSFTSYFKDLKSSLKQTSQQSQQPRIPLQQTQQNPFQRSQQTQSQFQRPQAPPTKSASFDEIRKNLAEFRNRTAAPMPSGVQNAQFPSASPARSSGISFQELYNKNVAARGDGSSNAESRGVGKMSYDMIRESIKELKKNRPVEVNKGNEMGNRSKLTDSLFGPASPPVYGGTGVLPAKVFGKEGSKKKEGESEEMKTKFLRMYTYGDLGNKLKKLRPEEAKEKKGKWFSLKELSERLIKLREVEEKESEADPRAYRDIRESLEKLTMDEKRKSTVHRIDILGQLGGSPNYMMSPPKENLVEKYFHPDNMSSAEKMKIELKRVRDEFKMSESDCGSSRVQVAQLTTKIKHLSSTLHKKDKHSRKGLQAMVQQRKKLLKYLRRTDWDSYCFVLDKLGLRDNPDYKN >KZM93081 pep chromosome:ASM162521v1:5:2235440:2236288:-1 gene:DCAR_016326 transcript:KZM93081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKASNHQVAGHEASEGKLGPVVDNSGRFYKPLQSGERGLKEVAFYESLSSNKTIPNHIRSYFPKFYGTKLLEVSNGSAMHPHLILEDLNSAQLDPSIMDIKMGCRTWPPESSEDYVQKCLQRDRGSTSLPIGFRISGLEVFGSEESGLWKPDKKYIKSLSVDDVRLVLRKFVSSNACADPSVSPDCSLASVVYGGPNGILAQLLELKAWFEEQTLFHFYSCSVLFMYEKGSGSEGKNFNAKVKLIDFAHVLDGCGVIDHNFLGGLCSLINFIKGVTVTPKD >KZM94061 pep chromosome:ASM162521v1:5:14498562:14500071:-1 gene:DCAR_017306 transcript:KZM94061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPCAYAVPTIHTTVVGDNFQFERLAVGTSEAGYTVRLGDDEAQDDEGPSLDHLAESYVYRLDMSFLEDQHHTPPPFTQVSSFGSPTYRFESSAVKFTPMMSTTGEGFTTPLPAFASYAGDSSPWAYEPMRPLRAATQPSEVEEVSEAEEPSEHEQRHQPPRAAKGKGRRCHTGVWKPLLRA >KZM93851 pep chromosome:ASM162521v1:5:11508763:11510278:1 gene:DCAR_017096 transcript:KZM93851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDHNTQRPRGFGFITYDSGEAVDRVLHKSFHELNGKMVEVKRAVPKELSSGPNRSPLLGYNYCFGRTNNFFSSQGYNLGRREGYGVTINERPNPVVSRRSTFSPIGSPAYGIIMSLEPGMSPSFGGSSGISNIIGYGQTLSLGSGGNSNRYVTPIGFNGRNESFLSSPTRNGWPNVDLSSSANVAPAGTYTRSGSRSSGVFGNSMASWGFSPFSGQGGGIASGYSSNIGYGSGENNYRLGATGLGRNIGPGEATTSPFASSPGDFEQSYGDLYGANSRFGDVPWQSASSEIDGSASFNYVLGPVAESTVTPKLMDNNFFLHQYEYDKRHLSFYAGIEINDTYHFMLE >KZM94905 pep chromosome:ASM162521v1:5:26906868:26914781:1 gene:DCAR_018147 transcript:KZM94905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKKVDNEKKKKLQKGTEDDMAGGFELKRLRVEEESSSWLQRCNDDSQHRQVKHKRVKKESASRKQRGTDASRGGSEHHQVQHKKDAEFEVLEVLNFTSSELLHPESNKWCQSWSHVNFIAKPKNTDCNVSPKHFFGEFFIDDDSRKFNVTYCSTFEPSDDPGSKEELMPLEEALSITKLSTRKSSIRESIAAISLSKENSLFDIMKRIKLRHAKMALEFYKKRQDAEFEVLEVLNFTSSELQHPESKWGRSWSHVNFIAKPKNTDCNVSPKHFFGEFYKDDDSGKFHVTYCSTFEPSDDPGVPWLLIFKTVLLLKARKEELVAEAYAPVGISSTDIFGGSLEGAKD >KZM96545 pep chromosome:ASM162521v1:5:41738176:41739333:-1 gene:DCAR_019787 transcript:KZM96545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGRMPVAVEERKAEQNENAVHRVPHQKPPFTIADIKKAIPPHCFQRSLIRSSSYLVFDLIVCFLLYYAATSYIPLLPKPLSYLAWTAYVYVQGCFMFAVWVVAHECGHHGFSEYHWLNDTVGYVLHSLLLVPYFSWKISHRRHHANTNSLDRDENHVPRFKHTIRSYYHYFNNPVGRVFIIAFTLTLGWPLYLIVNIAGRSYDRVASHFDPYSPIYSERERLQILLSDIGFLAGFYLVYRVALVKGFTWVMLVYGAPLHVVNGFLVMITLLHHTHLSLPHYDSSEWDWLRGALATVDRDYGILNKVFHHISDTHVLHHLISSIPHYHAEEATEAIKPVLGDYYHYDGTPFYKAMWREAKECLYVEAEDGDKAKGVYWYKNKL >KZM94202 pep chromosome:ASM162521v1:5:18548724:18552500:1 gene:DCAR_017445 transcript:KZM94202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGSEVAGPSYSTMSHFADAQKFSAANHPSVLDEETVFKMRGRYNIPDSWTLYAVDDQVDLIYHTPETDKGYICVGISENAFKCGLRLHLLPLLKKLLKQMGIALGQLDPNNYLYINSFHHRCLRLGIEPRPALFWNHYDFRKNNSNTMTTRTDMMNRKLQKAQEEALKKAQEEAEKQVRELGPIQETLQEGDLELGGDVSGRKRHRVKKEPEIRPYQPDWAILSDYILATGAPEPAKNLGPDLCHSFILPVDRLVYASKPPIAACKELIGHLSLAVPCAASVLEKVEDMSRDIASIQDLQTRADKAKPVLEGFNTQVRDQKDRIEKMEKQIMSSGL >KZM96462 pep chromosome:ASM162521v1:5:41132824:41134065:1 gene:DCAR_019704 transcript:KZM96462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPTKKTDCEEDEHRRGIDSLPDEITLDIFSRLSVTSLMQSRFVCRYWKNFSFDRNLASLHLSQAVKRDPLLIFHSDFPIRNQLCFAEFSGTDDDAQGIVKKISTPFSASMPEFTVVSSCNGLLCLFDSLYKDAVYIYNPFTRDYKELPKTRQYDEETVVCGFGYHPETNQYKVVKIVYYWIVNFGYPRAHSRIRMSRNSKSEVFVLSLGGNAWRNIGEAPYFIQRRPQGALFTCGRLHWQTQGWFKNVRGFVIISFDLADETFHEIPRPDFSRIADGHRYHLADLKGCLSAIVYICRSKELEIWVMREYNVKESWIKEFRIGANIPDSPSTKLLQPFRMWRNSLHRALVRILCILENGEILMEYSVGRLALCDVLSGTYKDITFKGMPSIFQTVVHVGSLNLIDFPVICNM >KZM94581 pep chromosome:ASM162521v1:5:23837503:23838735:1 gene:DCAR_017824 transcript:KZM94581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKEKPKKGGGATMHVYKMDTGYAMAPPTPMVTKKMVSSSRSWGLLKRSKTYKDNNVDQLKAGEKEINVVVERGRKSVSNIVEARKSVSNMVEARKSSVSIVEGRKSVASKVEAINVEAMAGFLKAKVLVTDMPGFMQVHALRCARQTYDCLDNFTPKTLAHNMKKPLDYNRLQLIQLQIECPDALIQSNLIS >KZM95202 pep chromosome:ASM162521v1:5:30114059:30114343:1 gene:DCAR_018444 transcript:KZM95202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVFSPNPTRKRKRRLGSNYVGRRLSFSDDQDHERVPERRRGGNSEEDVLVLLNSLDIVQKMWMEHQKRLRSTRAALKEEWDNKIRILMSMR >KZM92939 pep chromosome:ASM162521v1:5:1029436:1030292:-1 gene:DCAR_016184 transcript:KZM92939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKISLWTLLAKIRLSSVSQGSIALILRSGDQWASVLTVWALDEVDGNLLWAEKFNIIEPARKIAYVLIYIGDGKFVARDYSRAGFFYDMNKRTCVEFRRYISVVQFRGSLLSLQGFGRLE >KZM95062 pep chromosome:ASM162521v1:5:28302360:28302518:-1 gene:DCAR_018304 transcript:KZM95062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKDMSGKFSSVQAAINYATKVKKRDVYRENIVVPNTLSKIMLVGDGRGTR >KZM93295 pep chromosome:ASM162521v1:5:4564486:4565652:1 gene:DCAR_016540 transcript:KZM93295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSEDHFHRPNFPFQLLEKKEDEACSSSGYPPNNNSLALTTDINPSLGRSTSNLQVVSAEPSKKAPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSTYFNPNFSMPQRRSLFPGIGLSSENNASNLMSFQSTSQNPNGMFQAKHETTTLELSEENLGQKRRTEQDMSQMGTYLMQSSTGSLPSSHSSVPANFWMVANSGNQVMSGDPVWTFPTVNNSAALYRGTVSSGLHFMNFPTPVALMPSQQLGAVNINSGGGGGGGGNGANGGFSEAQLSMLAGLSQYRPIFGSGLTDSQASGSHSHHGCGGGDGGGDDRHDTNSHDHDHS >KZM93462 pep chromosome:ASM162521v1:5:6742436:6750050:1 gene:DCAR_016707 transcript:KZM93462 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MMDNSGRQQRGGMPHVVCMPYPAQGHVAPMLNLAKLLHHKGFYITFVHTHFNYNRLLRSGALNSQFSSHSPTFRFETIPDGLPPPDNPDATQNIIELCVSTSIHCLAPFRDVVAKLNKLPDVPPVSCIISDAIMAFTLEVSQELRIPNVFFWTVNAFTLVCYLHYSAIRNLALQQHAASINSKNDYLDYMIDWLPGIGNVRLRDTPSMIWDPALPDYFVEFCIREISRTRKASAVILNTFDALESDIIYQISNMIDLHVYPIGPVHSLYKSVIPDDVETGSIQSNLWKEDSTCIEWLDSKQVGSVIYVNFGSITVMSPQHLEEFAWGLANSMHNFLWIIRPDLVMGDSPLLPPDFITQTKGRGLLASWCDQQQVLTHLSIGGFLTHCGWNSTLESLSAGVPMICWPFFADQLCVRHCVCRMWEVGVEVEEDVKRLGVEKLVRELMEGNGGKEMKKRALEWKNKCQRAIAGKCNGSSCLNLDRMVNEVILSQIDREKGSSR >KZM95984 pep chromosome:ASM162521v1:5:37235716:37239998:1 gene:DCAR_019226 transcript:KZM95984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNLLYLLAVSALLSAINCTKINPDTFGEGTCQYPDCAPQLPPPSTYQPYASPPPPAPISPPPAPTPPPAPTGYPPYGVTPPPPVYPENCPPPPAPQGYSTSDQQPDFSPPSPPPSLYTYLNDTWISAASFPAKLPSVFNLCGVIYILFLFAALLGIGKSIFIYGGEVDPSDLGHLGAGKFSSEFFVLDTDALEWKRLEDGANSSDHPGPRGWCAYAAGQLDDKEGLLVYGGNSDSNDRLGDIYFFTP >KZM93999 pep chromosome:ASM162521v1:5:13571958:13572131:1 gene:DCAR_017244 transcript:KZM93999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNKRQNQSPPSIPRQKRKIQSLEEKFGKMRFTWTKLPRPSSSKVVNYWYKWHLCV >KZM95035 pep chromosome:ASM162521v1:5:28130072:28130590:-1 gene:DCAR_018277 transcript:KZM95035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMCVGTKCLLYQTLYSDTNNFNSNPWYYFPPDGSLIFAGVEVRRKFSSNILISDSYVDIEALAVERWCWPIQIIGGSCSSKHVMSPLGTDEGWKPGLKVLARSVAHLNIERPVRVMSSTDWSAKELCLEQIEFACAHVYACFRVAFELLKHGNEMPRMMKSPPPVDDDDE >KZM95932 pep chromosome:ASM162521v1:5:36885124:36885829:-1 gene:DCAR_019174 transcript:KZM95932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIRDYHPSHPNHQLVLRNFDKPFHCDGCKEQGFGTTNKFSSEPRSRETWCNACGNHINGFVYHCRDEDLDLHPCCLELEKKMVSDGVRFKLCDKVESKCIWCNRKSLKGKSEGGWSYKSKRNKCHVHVRCVTEMILQNWKTGEFKDDDTLTLSLKNPELHLGLSSGGGQKYLKIAKALMSTVVAILLGDPTMLNLIIS >KZM94921 pep chromosome:ASM162521v1:5:27030720:27031421:-1 gene:DCAR_018163 transcript:KZM94921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERDDHQKLRNYPSLSQHFEFECFELLSNNLEGEDYLQRQVLPLSPIITTSIASSTHTHPLYRGIRSRKGKWVSEIRVPRTKTRIWLGTYPTPEMAAAAYDAASLVLKGPHTALNFPTHEYNNALLLTRGYSTAAVIRVAAANAAASRAVASESLELPAPQLAPQYFSNYVGSNGTDVLGLKEEYVDEEEIFDMPNLLMDMAEGMLISPPMITIPPPSSDDSGQETLWNYTL >KZM94693 pep chromosome:ASM162521v1:5:25035618:25036913:-1 gene:DCAR_017935 transcript:KZM94693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLEEELFPSTPGKFKIDRSFHFNRSLHRCFASTSSMFLWALFLIALMASYMSFASFVDSGHKYFNASWGGIQWEKQVRDSAQIRRPNGMSVLVTGAAGFVGSHVSLALKKRGDGVVGLDNFNSYYDPSLKKDRKALLNSHNVFIVEGDVNDQKLLAKLFDVVAFTHVMHLAAQAGVRYAMENPQSYIHSNIAGLVTLLEACKNANPQPRIVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNQVDLARDFTYIDDIVKGCVGSLDTAGKSTGSGGKKKGPAPYRIFNLGNTSPVTVPTLVNILERHLKVKAKKNIIDMPGNGDVPFTHANISLAQRELGYKPTTDLQTGLKKFVRWYLSYYGYNHGRPVY >KZM96133 pep chromosome:ASM162521v1:5:38527238:38531085:-1 gene:DCAR_019375 transcript:KZM96133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMFYNLIVANPGWGSGESDPYLGWATPDGLRFASNWMVAAMAELDPPVSPLFTDLSLTPLEAMMMMDMYSNWSEPQWELGRSDPYLGWVTKMVAAMADSDPPLSPLFADLSLTPSPLFCVLFNQLNFLFSMIHT >KZM93691 pep chromosome:ASM162521v1:5:9271258:9281387:-1 gene:DCAR_016936 transcript:KZM93691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEITSERLLHNVMGTLADGLSRQKSGSFFEEEVSKSVSSQFNKLFGRQKPVHHVLGGGKPADCMLWRNKKISASVLTSATVVWVLFEWLNYNFLSLLCFALVIGMVAQFLLSNASGLLNRSPSEVPRLVLPEDVFVNIGSFVGSEVNVGLGFLQNVACRGDIRQFLGVVGSLFVAAIIGSWCNFLTVLYIGFVAAHTLPVLYERYDDQIDNFIYKVLGQLQHNYRKLDARVLSKPVLKLDSLSCCGSSMYDSLLCNVFDTIRKKQNVVAWNIIISWYVKRERFLEAFRHFVMLLKTGLKPTVVSFVNVFPAIREVCDVYVLYGMLIKMGTEYVNDMFAVSSAVFVYSELGELDSARKVFDQCLERNTEVWNTMISGYVQNNRPVEALELFMEASGKSEKHNVVIVDDVSFLSALTAASQLQQLSLAKRCNSVETSFKIFSIMHERDNVSWNTMISSLVQNGLDEEGLMLVHEMQKQGFVIDHVTITSLLSAASNLRNQEAVSSAVFVYSELGELDSARKVFDQCLERNTEVWNTMISGYVQNNRPVEALELFMEASGKSEKHNVVIVDDVSFLSALTAASQLQQLSLAKRLHAYSIKNLSDISTMIFNAIVVMYSRCNSVETSFKIFSIMHERDNVSWNTMISSLVQNGLDEEGLMLVHEMQKQGFVIDHVTITSLLSAASNLRNQEAGKQTHGYLLRHEIQFEGMESYLIDMYSKSGLVENARALFETSCTRDRDLATWNAMIAGNTQNGLIEQAFIVFRRMLDQTVAPNAVTLASILPACNPLGSLALGKQLHAYAMRNCLNHNVFVDSALVDMYSKLGSINYAEHVFADSPIRNSVSYTNMILGYGQHGMGEKALILFFSMREHDVSPDPITMVAVLSACSYTGLVNEGLQIFESMEREYGIRPSSEHYCCITDMLGRVGRVAEAYKFVTDLGEEGNVLGIWGSLLAACRTHGEFQLGRIVAKKFYEIEKMNNMSGYHVLLSNIYAEEGNWESVNGVREGMQEKGLSKEVGCSWIDVAGYINYFVSRDQKHPQSDSIYTSLEELGINMKDAGYRPSTEL >KZM94634 pep chromosome:ASM162521v1:5:24501489:24502320:-1 gene:DCAR_017877 transcript:KZM94634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEVAVYHKDKSDDVYKEDSVGGKGCLKWFKIINWLNLHTGELEIPIVFAERCGAAIKGNAKIYLADGMVFSCYFSNTTKLLFGIKNLLEYYGVRDKYTMFFEYAGNSTFYTSIYNEEGAEIFNELTRKLTLETVLNQKNPTFFVIIDSDEEEGEAGAKEIEEVSLGSTDASGNLLTPIVLDI >KZM94252 pep chromosome:ASM162521v1:5:20019906:20020344:1 gene:DCAR_017495 transcript:KZM94252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDGSPDEEGNGAKGVGFSQYASPKKRSGVATDGGVQDCDDGFAKIVKKRKGVRSANIGKEDGFAPNSQVTKKPIENNTTIHTTQTHHRMRRMARTPVTKGYGLTKKANKHM >KZM94481 pep chromosome:ASM162521v1:5:22903343:22905893:1 gene:DCAR_017724 transcript:KZM94481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLTYSPSNTQDSDKLPLKRSDESGTSNSSVVNVETSSNEDFFSNQNRFVTYKFDILKASDQRGTFEERCEHREGTMQFFPRIAEERSVVVKQQEAQPVKKASRRGPRPKSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGTGADINFVASDYEEDLIQMKNLTKEEFVHILRRHSSGFSRGTSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDNEIQAARAYDIAALKCNGREAVTNFDPSTYSEELLSCESDTGDSSRNLDLNLGYFGDVRIGNRNVEVHDFPVYSSDIPEARKNMNDRSASEKIGTQLPVMSQHPSPWSGVNSSSYTSYEDKAIEKSIEVNSQQKFGWHIQGLYGGSTPVPLFSTAASSGFATAPTTASSAAAYQSHSTVAYHPFAVSVPNANDSTRYYNRS >KZM95687 pep chromosome:ASM162521v1:5:34585850:34592009:-1 gene:DCAR_018929 transcript:KZM95687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNEEGMNALLLDDIISRLLESRHARTPRQVHLSESEIRQLCCASKHVFLQQPTLLELEAPIKICGDIHGQYGDLLSLFDFGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVKLWKTFTDCFNCLPVAALIDNKILCMHGGLSPDLTNLDQIRNLTRPTNVPESGLLCDLLWSDPNREVQGWGMNDRGVSYTFGQDKVSEFVMQHDIDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILRPADRNHRCPAYHVGNCELQMLVYKHSRNLEEEEEGGEEL >KZM95360 pep chromosome:ASM162521v1:5:31447506:31456949:-1 gene:DCAR_018602 transcript:KZM95360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSTITSNLSPEKERLAIRDISIATESLTKEGDLFYLLTHRWWHNWLDYVDGLEVAADNNGFTDFKRPSGINNYDLINRVTTKGSDVCAELRDGLVEGTDYILLPKAVWNQLHAWYGGGPALARKVISSGISQTELSVEVYPLRLQLHLIPKGDQSSIRISKKETVGELHKKACEIYGLTTEQTCIWDYFGHRKQSLMNDLDKSLDDVNIQMNQDILVEVIDSSGCTKPVHENGSGSNELTVFVDPSKASLSITGGLSKGHSRNSNSALSQFQNHTTTREVEKTHGQTGVSTRGSSGGLTGLHNLGNTCFMNSAIQCLVHTPEFATYFLDDYHQEINWHNPLGMVGELAVAFGDLLRKLWAPGRNSVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYLNSRDADGRPDEEVADEYWSNHIARNNSIIVDVCQGQYKSTLVCPVCDKMSVTFDPFMYLSLPLQSTSTRTMTVTVFTCDGSALPAACTINVPKQGRCRDLIQALSNACSLKHNEKLLLAEIRNHLINRFLEEPLMSLSSIRDDDHLAAYKFPKFVKNFKFLQLIHRREEQDSGSSQQKEWKPYGTPLVSPISCEDTVTSGDIQSAVQTLLSPMLKVKTENVRHSDISNVSAPASDPPPAVNNSTGATNDCILADLKQECGNIKVITSQRLPLQLVDENNACIDLSIGEEKAFKIPSSSRAILVFIDWSHKLLDNYESTCLENLPDVKNGAVTKKARIEPLSLYTCLEAFLREEPLVPEDMWYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIYDFDLTNYVANKRNSRQQLYELYALTNHYGGMGSGHYTAYIKLLDENRWYNFDDTHISPINEDEVRSGAAYVLFYRRVKTDTASASSGAPVSAGHNNLSSQK >KZM95473 pep chromosome:ASM162521v1:5:32401585:32402076:-1 gene:DCAR_018715 transcript:KZM95473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGHLEDQTSELKDSIPKNPNVIEADEGSEDWRLKWQERDVSSDEATASQSLQSQKSSILDDMVEESLEVENSLLISSINKMSIKSRRGRPSKGKAKVKENKAFKVPRRRKIRGMKLGLPVIAADEIFDEAKFVYDSAMGMGLIPEHSEEKSLQLIRDNLGN >KZM95563 pep chromosome:ASM162521v1:5:33423100:33427797:-1 gene:DCAR_018805 transcript:KZM95563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYTSIREGPDHAPRFKAVVNFNGEMFDSPNYCSTLRQAEHAAAEVALSSLSTRGPSPSLAARILFQTRTDSDTGHVRIMAKQDATLSSESENSDEQEQIRISRALLNYKLKENMGTTSSTGVPVPFQKKFPVLYPRASSPHPPSSATTSRILSLIGQKTIPQNRPASSASVDCHSQQSQRQSPEIHASSQIFRSAGAAPYVPYRQFRTPYHGMAQPVIMRTAVPAYASPPLPTPLVHPPHMIQPRGMQIAPPVHIRQSVVAFAAPPVGKVDHQIVAAPALPNHSKSRVEEMESVAENRVKESNVTKNLERLQI >KZM92900 pep chromosome:ASM162521v1:5:644696:646236:1 gene:DCAR_016145 transcript:KZM92900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWIASLIATKKSHRPNDPERMGGGKGKKWTLWRSSSSGSGGGVTTLSEGTKVRGRLSEAAASDSPFMAAAMATVVQAPARDFMVVRRQWAAIRIQTVFRAFLARRALRALKAIVRLQAIVRGRQVRKQAAVTLRCMEALVRVQARVKARCASAEVQKDEPDSIKQGGWCDSHGTIEEVKTKQHMKQAGAMKRERAIAYALSQQQLRTNPGLNSRKNKMGACNKFDKNSGMSWLDGWMANKPWEGRSMEESQMDSPDLSFASKNKEDYSVTSWSNYSEHDSVNVRRNNISTRISSRPQCSEFLSNESLSSISSTTSDTPGSSCTLAEGSTVKRAGYMNLTESIKAKQRGSVDSSQLCKKPSPLSKGLARRNAACQFRSVDLS >KZM96335 pep chromosome:ASM162521v1:5:40189231:40190420:1 gene:DCAR_019577 transcript:KZM96335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRRHIPEEIVILILQRLPPKSLLRFRCVQKSWYHLIQSPWFITAHANCQKKYLLVGSNHSRWSYFNSFSLSLRFDEAQCKEYYRIHQQSEEYYRIHPEEDHRFLSKVQWYAASHGLICYTCAYLEDEFGVFLWNPAIRKIKILPDPPQRGGATAWLTLAFGFSQKANDFKVVKILDNYQTIVVEIGDAILMIVE >KZM95265 pep chromosome:ASM162521v1:5:30649841:30652630:-1 gene:DCAR_018507 transcript:KZM95265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALARSIMTVRSISISSSVFFPKRFFSISSTISKPPPLAGFTRPARSAITLTHSLKVYSPSAVRINQVRCRVNRSGGAYSPLNSGSNYSDRPPTEMAPLFPGCDYEHWLIVMDKPGGENATKDEMIRCYIETLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELLVNGEIVKRSPERERRVQPVPQRAQDRPRYNDRTRYNNRRQNMR >KZM93573 pep chromosome:ASM162521v1:5:7951070:7951237:1 gene:DCAR_016818 transcript:KZM93573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSWLPPVIAIWELTNKIKAEETTGNELKINGKSPFITEQKKCESTISNTRMAGK >KZM94941 pep chromosome:ASM162521v1:5:27237328:27242031:1 gene:DCAR_018183 transcript:KZM94941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDFVGSSNGRDTRLLVDSPCTSGMPTAAVAQHQMLATHFYKSLFNPRGLPIAHKPKMESPNGVGDVGGSSDPGAMARTREDANMSRSGSDNLEAASGDGQEQSRNKSRKRAKYHRHTPDQIQELEAFEAQVERHENLSLKQENDNLRIENLALKEALKNLICGKCGGQQLLAEISTEEKHLRIENAVLKDELDRARAWTNKIVRPLSSFGGTSSPPMLNTNLEVAARRNGLGSLGNVHTALSIGLNPNNAVSDSWGDGPMSRPLIGMHPVNPSFQDSMFFELASTATDEIIKLAENDTRLWFRSLAGSGERLNFDEYMKVITPCVDITSRACIDGTRATSLLAIRSTDLVEVLMNADQWAEMFSEMIGSSSTLEVISGGINGTKNCALQLMQAEIQVISPLVPVRQMRFLRFCRQHVNGAWVVVDVSIDNISEGVGNKWEVVQLKNMGDDSRFLVRKGIPDPGEPSEVVLSATMSVRMPVQPHQLFGMLKDEEHRSRWDILSHGGSMEKIICISKGQADYGKSISVLRPTGITDDMNQNNMLRLQATSTDISGSLIVYAAVDMSSMTLVLNGGDSSSVALLPSGFAVVPDCVPRSEGGSSCNGGVPEDNTSSGSLLTVSFQILLNNLPMAKLTEESIDTVKTLMSRAVQGIKDVLGCD >KZM94051 pep chromosome:ASM162521v1:5:14357303:14357878:1 gene:DCAR_017296 transcript:KZM94051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDETLTSISMLPSLIAESVQAMMQSYGPGGIYGVQVFDACTDLTGKPILICQIFKYGRNTAIEGDNTSLKAPMPCTLEEFEDWICNKRAIGIAVLKSKLEDFIRGRKACLLGTKMGGDVEEILTLYYNNDVLKIDTTELTAMYKRVTEGKYNHSMKTRELYKEMTPGQRRKTVVTLQSPSKEEGCSNPFE >KZM94467 pep chromosome:ASM162521v1:5:22768380:22770994:1 gene:DCAR_017710 transcript:KZM94467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHDNHLHKHDDSDHDNDIDGDIDATINNPAPSTQGGSRSEISEEAVWNQPNLVVQIAERSHESSSAENSVKINIARTPSRMNLPSTPSSSKTNVPSSPFAQGRSSFKGLFPRLNLKMRDTNAGSPSSQHKSSPRTFSISNLFTTKMKVAASLPVSPVAHSNPGSTHGGKSTNSRSTFKNGNIHRSQSVPDLIKGTQSDSLGGVFRVIPATPHVEGETGAASISNQPLDADENDDDHEHIAEEEAVCRICFVELEEDGDTFKMECNCKGDLALVHKECVIKWFSIKGNKTCEVCKQEVQNLPVTLLRIQSNRSRRNRPRPAQANQNRQNVPVLVLVSMVCYFCFLEELLSSGLGSTAIAISLPFSCVIGILASIASTTMVRKSFAWLYATMQLALVVLYAHLFYKVLGVQAILSVFLAAFCGFGTAIVGTISLIGLIKMINGSQEASLPEQSSGSPRET >KZM96057 pep chromosome:ASM162521v1:5:37776410:37784794:1 gene:DCAR_019299 transcript:KZM96057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFKTLESSAKFIAHNRTFHRHSLADEILQQRVLYGCGFARTPLEFASTRQLLSKRFIHGTGSCYSSRRDYYEVLGVPKDASRDEIKKAFHVLAKKYHPDFNKDNPSAKRKFQELREAYEILQDSGKRAEYDMNKAGSARSDDAEYGSWGRQYSRRASGSDFSSSFQNIFSEIFEEKENIATDIQVELAISFSEAATGCTKKLSFDADVPCDSCDGRGHSVNAKTGICPTCEGLGSVSIPPFTSTCMTCRGSGRIIKNYCRTCQGSGVVEGIKEVEVAIPAGVDSGDTIRVPGAGNGGGKIQPGSLFIKLKVAKDPTFDRDGADIYVDSNISFTQAILGGKVEVPTLSGKTQVKIPKGVQHGYLTVLRGRGLPRKGLFVDHGDQYVRFRINFPTELNERQRAILEEFVKEEIEHGNMSTEANWLDQQLSTG >KZM95864 pep chromosome:ASM162521v1:5:36360448:36360657:1 gene:DCAR_019106 transcript:KZM95864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHKQQNSPDSSSSTTLLNKDDVNNNNSKEDEDDGDSWVCCKDVLLIFSLCFCCGGASSCPVLEFTHS >KZM93899 pep chromosome:ASM162521v1:5:11934789:11940936:1 gene:DCAR_017144 transcript:KZM93899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDESSSFLYETLTPLSTASPPPPSSEGYAVFRNEISLDSIVPSLDSKTSTTDYFSLDVGDDDRAASPPVEPVVTPAQSREPERTLEGNWFRSSSRFKSPMLQLHQEILDFCEFLSPTPEEEASRSAAVKSVFDVVKYIWPSCEVEVFGSFKTGLYLPSSDIDVVIMGSDIRSPQLGLMALSKALSQQGVAKKIQVIAKARVPIIKFVEKRSGISFDISFDVHNGPKAAEFIQGAISKWPPLRPLCLILKVFLQQRELNEVYSGGIGSYALLAMLIAMLRSVNDFHALPEHNLGVLLVSFFDIYGRKLNISDVGISCNGAEVFFFKSNKGFLNEGRSSLISIEDPQAPENDIGKNSFNYFQVRSAFAMAFSTLTNSKTILALGPNKSILGTIIRPDAVLLERKGNVEVTLNKLLPGAGDQLQPDDNDQEGLAFNWQLGNEEEPLPRGSGVSENGSMQSSGKKRKSSKVKKLGKKFKENDVELMLYEGSASRKEKSVKKKRWRHLRNSGERNSNGGDWSRTC >KZM95531 pep chromosome:ASM162521v1:5:33060224:33061935:1 gene:DCAR_018773 transcript:KZM95531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELIKKPSRKNRGIVVKMRFLHNKKFQYENTTKLFCCRYFKWLLWFALSSYFFVSFLISHTPNPVKPVSSSFSKASISRAVIEPQIPQSHQGLLNGMKVFVYDLPSKYNNAWLSNERCKNHLFASEVAIHKALLTTSIRTLDPTQADFFFVPVYVSCNFSTINGFPAIGHARSLLSSAVHLISTEYPFWNRSRGSDHVFVASHDYGACFHAMEDVAMTHGIPEFMRNSIILQTFGVKYKHPCQQVQHVVIPPYISPVSIQSTLRNSPINIHRDIFAFFRGKMEVHPKNISGRFYGKGVRTKILRKYGNDRRFYLKRHRFAGYQLEILRSIFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFSSVVRWPEISLTVAEKDVGKLGEILEYVALTNLSVIQSNLWGPETKRALLYNVPMEEGDATWQVLSALSSRLSRPRYSVSSE >KZM95028 pep chromosome:ASM162521v1:5:28121665:28121928:-1 gene:DCAR_018270 transcript:KZM95028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLGTDEGWKPGLKVLARSVAHLNIERPVRVMSSTDWSAKELCLEQIEFACAHVYACFRVAFELLKHGNEMPRMMKSPPPVDDDDE >KZM94347 pep chromosome:ASM162521v1:5:21286161:21308980:-1 gene:DCAR_017590 transcript:KZM94347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRPRGLAAPSLLTQLVIITLSYSLVYFAITPCAAFVLPDDEVEALRQSAKTLGKTNWNFSVDPCSGGSGWSTSVDNNVTCDNCSSDGTVCHVVQIVLKSQNLAGKLPPEFQKLPFLQVIDLTRNYINGTLPVEWASLSLRRISLLANRLTGPIPEEYGSIATLEKLELDANQMSGNIPAKLGDLPALSAISLSSNYFTGSLPDTLAKLTSMTDFRISDNNFKGKIPNYIRTWTNLTRLEIQASGLDGPIPDFSLLANLKDFYFTGNLLSGSFPEWMIRTRNDVDLSYNNLTLKSSEGEKCQDEGPNLFGSSTKSSPSGMVSCLQSQNCPNHVSSVYINCGSSSRPTVDGNLYADDKDPLTGPANELKAEGKLMELVDSLGSEYKGEEVMLMIHVGLLCTNVTSSERPAMSSVVSILEGRADLHDFVSVSDPTIKLKKQQQQHHQSAQSISIDEDSWIASSASVSVCHGTNNPKTNANVVDKDGGKINTSSSNNVVMNKGKRDGRGYWGGGSGRGGSYGGGGGGGGXGWWGWGCRRQKKYSDGNHKGFIMGEFAQCMGNGRCKWRRLDCPLHCGGDCFYDSLVNNDFEHLIGKKQSSCDFYNGSWVYDEAYPLYDTSICPFIEKQFDCQGNGRSDKSYLKYKWKPASCDLPRFNGKEMLKRYRGKKIMFVGDSLSVNQWQSLTCMLHAAVPKSKYSLVNNGNSLFTFTIKDYSISIMLYRTPFLVDIVNDDKLGRVLKLDSIQNGNAWKGADMLIFNTWHWWLHTGTKQSWDYIQLGDKIYKDMDRIAAFTQGLKTWSNWVATNVNPATTKVFFQGISPTHYNAREWNGTGSSNCKGETEPISGSIYPGGSEPGVAVVKQVVSNMSTTVGLLDVTRLSQLRKDGHPSVYGVDGSQGNDCSHWCLAGVPDTWNQIFLQPHNLSSCNLYEGKWVFDDTYPLYDSAVCPFIKKEFNCQKNGRPDKLYLKYKWKPTACELPRFNGEEFLRRYRGKKIMFVGDSLSLNQWQSLTCMLHSAVPSSNFTLRKKGHQSTFTFPEYSISLILWRNEFLVDIVKDKKLGRILKLDSIKGGNAWKGFDMLIFNTWHWWLHRGKHQVWDYMQEGDKIYKDMDRLDAFRKGLKTWSQWVDYNVDPSDTQWNEPKSTCKGQTKPLGGSVYPGGSVPAVVVVNEVLSSMKKAVTLLDVTTLSQLRPDGHPSHYGYKRKKNDCSHWCLAGTPDTWNQIMYAILVSSETP >KZM93577 pep chromosome:ASM162521v1:5:7971959:7977880:-1 gene:DCAR_016822 transcript:KZM93577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMCTASYPVANLAGNAIPAEPYRVKATIFRRPNGLSFSGPSNMFEKSVSLSSFRSFSTFSPMAIGSNTSEDTDDMYDDLFKKYGKVVYTRNDQKSPIAEVDDDAESLAFAVAAAKVASDAKAGDIRVLFVKPLVYWTRFFIITTAFSRPQIEAIRHKIKDLAENDYRREVTGDAKPNSWTLLDFGDVVVHIFLPEQRAYYNLEEFYANATQIELPSENRRPSNT >KZM94195 pep chromosome:ASM162521v1:5:18454068:18456387:1 gene:DCAR_017438 transcript:KZM94195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPLLPVPDDIVDGVVDYRNRPALRSKSGRWKSASFIIGVEVAERFAYYGISTNLITFLTGPLAQSTATAAANVNAWYGTASLLPLLGAFIADSFLGRYRTIIISSLIYILGLGLLTLSAVFTSSTSAVSPPPLEIIFFFFSLYLVAVAQGGHKPCVQAFGADQFDVDHPEECKAKSSFFNWWYCCICAGSVVTLAILAYIQDNLGWVLGFGIPCIAMGIALVLYLLGTVTYRYTVSDNEKSPFVRIGQVFVKATRNWRISPSELSIDEEARGTLPHQSFQQFNFLNKALLSPDGVKDEAHICSMTEVEEAKSVLRLVPIWASCLVYAIVFAQSPTFFTKQGVTLNRSVGSSFEIPPAALQSFITLSIVIIIPIYDRVLVPCARAVTGKPSGITMLQRIGVGIAFSIISMVIAALVEMKRLQTARDYGLVDKPDVTIPMSIYWLVPQYVLFGIADVFTMVGLQEFFYDQVPNELKSIGLSLYLSIFGVGSFLSSFLISIIEKTTGSDGQDSWFSDNLNRGHLDYFYWLLGGLSTISAVLYLYSARTYVYKQRHTF >KZM93443 pep chromosome:ASM162521v1:5:6439621:6439971:1 gene:DCAR_016688 transcript:KZM93443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESCSETTTTAPKPQKIERLRTFEKEHKDALDRAASLSIPHAVSSTEEEQTIHKKDGCTTGDEDTEISQHVTEESQKTTSESSGGRVNWNEVVGMLFDRDESGNLLLKQDTLTRI >KZM95365 pep chromosome:ASM162521v1:5:31503401:31506311:1 gene:DCAR_018607 transcript:KZM95365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVIVIARYTGVNAIAGLHIPDTFTNQLQTSFSEPRLLILTDPRIDHYGNELLFSRVLRNLLYVTVFHVIDRDSYKIKDLEVIRYIQETLESDTFFVSHLRGSVGLMPSQNHTAIELAGMDRPALLSEVCAFLTDLHCNVVNAVIWTHNARAADVVHVTDDSTGCTIEDPKRLSKSRSSFEMFLKEVVT >KZM93190 pep chromosome:ASM162521v1:5:3367684:3369023:-1 gene:DCAR_016435 transcript:KZM93190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIHSSLIILFFVFTIFSVSNAAEVEKTQPTALIFPVRKYTEALQSGVYPCNSCIQYYTTLNISKQDNNINLAMDLGGQHTWFNCDDFDLPTYKSISCNTEKCRKYKGYDCMNCALLIPVPPRCINNGCAVTYANQFAAQDINNSLAEDALFVESTNDVSVGLTYKSPEPFPFSCSDLLDNLASGTKGMIGLVNTTTSLPAHMSSQFNLPHKFALCLPSSPIHGHMFVGGGPYIFPPYYKNIAKKLITTKLVSYPVDTDKIYIITDPYDEYFVDIKSINIDQKIVPLNASLLPINKDGFGGFSSQEDERVDGPLEMCFNATDIPKSKTGLAVPHIDIGFAGGKNECRLYGANSMLSVNEEVLCLAFVDGGKFPRTSVVIGAHQLENYLIEFDLVSSKVGTSSSLLTRNATCSQSRVL >KZM94978 pep chromosome:ASM162521v1:5:27640913:27641539:1 gene:DCAR_018220 transcript:KZM94978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPAAGGGGGGGGGGGAPSPSAVTPTADGGGSAAAPLSRYESQKRRDWNTFLQYLKNHKPPLTLGRCSGAHVIEFLKYLDQFGKTKVHGAGCPYFGHPNPPAPCACLLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRIYLREVKESQSKARGMPYEKKKRKRGVTVRATPGSSNIQAVEAGSVDVSGMITAATPSTSTL >KZM94871 pep chromosome:ASM162521v1:5:26643432:26644410:-1 gene:DCAR_018113 transcript:KZM94871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNDQVLRAIAAFQNLQTLKLIGNVVGIYNSLVSDLGLTILAQGCKSLLKLELVGCGGSYEGMKAIGQCCLMLEELTLSDHRFEGAWLPALAYYDSLKTLRVLSCKCIDRGLRADEVLDLCPALERLHLERCQLRDKQSVGALFLVCRTVKEVVIKNCWGLDDEIFSTAHICRRVSCLSLEGCSRLTTIGLEAVIHSWKELQSINVISCSKVKDSEVTPMLATLFSSLKDFKWRPDSKSLVSANRVSTGIGKRGKSFRKAQDWKFLSGA >KZM93457 pep chromosome:ASM162521v1:5:6625941:6626204:-1 gene:DCAR_016702 transcript:KZM93457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVPKKIVIGGVGSFSKTLATFLNHTIFTIFMPFCVSSRSRPKGVPLIIVSNQMSTSTPIFFQLLNNHYFCFFNFTLGYCSTATTC >KZM93963 pep chromosome:ASM162521v1:5:12898559:12904483:-1 gene:DCAR_017208 transcript:KZM93963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTIVIAWGSGEDGQLGISNNEEKEWVCSIESLNSRNVRSVVAGSRNSLAICQDGKLFTWGWNQRGTLGHPPDTKTENIPSQVKALSTVKIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKDDSSKPLRRDIVIPQRCAPKLSVRQVAAGGTHSVVLTHEGHVWTWGQPWPPGDIKQISTPVRVQGLERVKLIAVGAFHNLALLEDGSLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSDLILVDIAAGGWHSTAITDKGEVYGWGRGEHGRLGFGDDKSSKMVPQKVQLLVEENIVQVSCGGTHSVALTKDGRMFSFGRGDHGRLGYGRKVTTGHPSEVPINLPPPNSDDAEAEGHWSARLVACGGRHTLAIAEWCSDVV >KZM95155 pep chromosome:ASM162521v1:5:29286475:29287098:-1 gene:DCAR_018397 transcript:KZM95155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKNEINIKNSNQQQIGICHRLYNFIINTFFSPTPKSITLGHPLDSENDHQNLLQDQSSNLHDSNLVTSPEIVVEFRHNIEDQRIQIDETARVDVMTPQEIQETNLGEDIEVISANIGKGKGPMKTVSIKENAEEYRKDSRDKQKQQNDKNLIPVSGDEAHKPPVTKKHRIQRLLSVETNINEKSDAFIRSKKAAMRRTYSNDVKD >KZM93392 pep chromosome:ASM162521v1:5:5637743:5638061:-1 gene:DCAR_016637 transcript:KZM93392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSTHLFGSWQSFNYGNWAMDAYLCALHLVVGIAAAADPLFNAFATAAFLKFGPHVLVSRVVVLAI >KZM95331 pep chromosome:ASM162521v1:5:31206034:31207663:-1 gene:DCAR_018573 transcript:KZM95331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGSGICAKQVVVDARHHMLGRLASIIAKELLNGQKVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKIKRGANALARLKVYEGVPPPYDKMKRMVIPDALKVLRLQAGHKYCLLGQLSAEVGWNYYDTIKELEAKRKERAQVAYEKKKQLNKLKVKAEAAAEKQLGSQLDIIAAVKY >KZM95539 pep chromosome:ASM162521v1:5:33128648:33132782:1 gene:DCAR_018781 transcript:KZM95539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDASKKKAAQKKAAAAAKRGGKSVAAAIAKVSSSTSTDNLSSRVENLQISDRTCTGVLCSHPLSRDIRIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGRRELPIPDHMDIFHLTREIEASDMSSLQADDGGGEALERIYERLDAMDAATAEKRAAEILFGLGFTKEMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEEMLKKFERILVVVSHSQDFLNGVCTNIIHMQSRQLKMYTGNFDQYVQTRSELEENQMKQYKWXHTHAEQTVENVYRKF >KZM95588 pep chromosome:ASM162521v1:5:33646533:33649179:-1 gene:DCAR_018830 transcript:KZM95588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLTFLLVLVLVISVKGYEEEEESGSEGSGRKLFILHDSVEVVKSEAGGMKVVKGITGKFVDKPMHIGFIYMEPKSLFIPQYLDSNLILFIRRGEAKVGSIRNDKLVEQDLKTGDIYTIDAGSVFYIENTGEGQRLQIICSIDTSESLTWHAFQSFFIGGGRNPSSILAGFDKETLSTAFNVSVSELEEFLSPEPSGAIVYISPESKSPNLWTHFINLEHHQKKAHLKKFVLFEGDVDVTESKEERPSWSLGKLVKSLFINENKENKDKVRDSGDDVYNLYDRNPDFQNSYGWSLAVDDSQYKPLNHSGIGVYLVNLTAGSMMAPHINPTASEYGIVLRGSGSIQIVFPNGTLAMNTKVNEGDVFWIPRYFPFCQISSRTGPLEFFGFTTSSQRNHPQFLVGRGSLFQTMFGRELVVSFGSTEKKFEKFIYAQNESTILSTASVAPPDDVNRVILKKGKREKMIPKLAKKLSNDMMMGFE >KZM94380 pep chromosome:ASM162521v1:5:21740348:21746603:1 gene:DCAR_017623 transcript:KZM94380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGSEGDVGGYKGLEGETELCSHQDLVNCGGNRFEGDGNGKGNVGDDLGGSYVFVSGVGEVRGGFIVEGNSDGEVRDGVELKGDGGGGIDHDFAAEVDKEVDLHGEFVGEVVESVASGEVENGKDDFEGTVEGGVEFETRENLEAEAGNGLEIGESEGMVEDRVKFEPGEDSESRDGNGLEIGESGVTVIVSVGDGDLLDKSIKDVDQDIVVSVSEVGESEQVDMIGMGASECGLGKLDAQIGEEVSQEPTKLKSVVGDMESQIRISADPLLCEFEKDQNNLKQIKDLSSDAELIQSQEGVIKLDDTNEIDKSVKAVEQDLIGSPADFEGNRSNTFASWADICELEEIQAGIELDIGDGDPKEQNELNSVKESLESEIRTTESVNCASHDLEENQDKSKHMTDLDSEIDGSVQLGSQTNAVADEGVNCTAAADDFIVGRDKHLDECIDGSQSVDKQDSTLSDAANPFSMDKVPIESGEKFTAGTDDCEAAEHEASRSFVAQEIGAVNSTELDSNITKTYAESIGHVPAKIFRSSFASIDDGTVSDQGPVDADCGAGLVQGYEEERVAHDIDTRSVRGRSKISQLNLGEQRIVCTQSLELNGNPDDGIVPQVQSDEILQSDCNDATITDDGTVSDQGPDYANCGAGLVQGYEAGVAHDTDTRSVTDQCNISQLDLGEQRSDCTQSPELNGNPEDAHDDCIVPQVQSDDILCSDCNDATIIEPEVRRLVCPIIDKSELETKPLDTKDLKTGIFSVTNGRKFKNGVDNGLSSCAPNDMRSVMKIEFGTIDSPEEVIESGYSSLSESQVLNGDIECNQKQAIFTTDSVESESCADMSFKTDVQNHSAMNHSDMPCQDSTVAKRSIGDSVDGQSLVNVAKAKPFQFLAKFPRIDDDKIREQIRDSQLLVEDKTVLRDNIKREIDITRASLQSLRDEFEATKSDERAARRLVKLKRQEIDSVQDKINRVKNSVSVMDITNRIAHMEHMMEHETRPLKEERQLLHEINVMKKLRGQISSNVCSQEEVTQALNEVEPTEIQLKTLKKELGDLKNKVSKAEAAVILLGKDYNDESRRLRELQARFRAANDIRQNAYRYLFGLKRQLHEKSKHYWMYKDDAKAASDYALNRDKEALYRLCAKQVETFMDLWNKNDEFREDYVRCNMKSTLRRLKTLDGRSLGPDEEVHVLPVNVGAREVPKFYNPSRTTNLSSPTILKQENTVEVVEGEQIDGNILVVSEPKSKMLKIKTSDNPIPESGLHTGSGQLETEDTREEAKQQTKEELELAMKTEILRKEEIAAKLKEQLRQEEKVKAQEAIERKKRNADKAQMRAVLRAQKEAEQKEKEREKRLRKKEKKNGDGEIGLEPQTNHIKDESKDSAPTKPNKTSHFNKHSKAKATIPPALRNRGKRRLKQLMWWIFGGLIVLFIFLAGNGGAFKNLRSRKDDSLFGNHPPAQPIWQS >KZM93114 pep chromosome:ASM162521v1:5:2527156:2528802:1 gene:DCAR_016359 transcript:KZM93114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSEEEDINNIKHLVQSLLSSIPKAQIFKGKWSSIAEKLSDLNLHLSDLSDFPANSLSAPLLLSLSATLSDALSLSALSHSPSLPAGKLKTQNDIDSVSSRLSRHVDDIDILIKSGVLQDDAVSTPVSKRESVRVSSRSLITRLQIGSDDAKISAIESLNDLLQEDDKNVLIAVAQGIIPVVLRLLDSNSSPQLKENAVVLISRVSVLDSSKHVLIAEGLTLIQDLIRVLESGSGFAKEKACIVLEALSQTKENARAISSRGGIFTLLDICQGGTPNCQAVAASVLRNLSGFAEIREIFSEENGVLVLLGVLASGTIQAQENVIGCLCNLCLDDERLKLFVVKEGGVEFVMNYWDSVSNVRGLEVAVEFVRCLVSCHPIAEVIVSSGLLGRIVLVLNCNVLGVRVAAARAVYDMGYDMKTRKELGECGCIAPLVRMLDGKAVEEKEAAAKALAMLMGYAGNRRIFKKEERSIVSTVQLLNPLLKNFDRKYAVSILSSFVHSKRCRKQMIASSALVYLPKVVDMDVEGAKKLLESLGRRKLWGVFTGP >KZM93823 pep chromosome:ASM162521v1:5:11177969:11181689:-1 gene:DCAR_017068 transcript:KZM93823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDLKAKFLEVYSVLKSELLSDPAFEFTDDSRLWVERMLDYNVPGGKLNRGLSVIDSYKLLKGQELDDDEIFLSSALGWCIEWLQAYFLVLDDIMDGSHTRRGQPCWFRVPKVGMIAVNDGILLRNHIPRILKKHFRQKPYYVDLLDLFNEVEFQTACGQMIDLITTLVGEKDLSKYSLPIHRRIVQYKTAYYSFYLPVACALLMAGEDLEKHTNVKDILIEMGTYFQVQDDYLDCFGAPEVIGKIGTDIEDFKCSWLVVKALELSNEEQKKFLYENYGKEDPASVAKVKELYNTLKLEDVFAEYESKSYEKLINFIEAHPNTSVQAVLKSFLGKIYKRQK >KZM95134 pep chromosome:ASM162521v1:5:29005423:29006832:-1 gene:DCAR_018376 transcript:KZM95134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVKLDVKEVNIVFNRNEICSTTFKVTNLMHTMAVAVSLTTTNPSVLSITPPFYVLPPLSTSSFALCLSQPSDYPPLATPLDSIIVKSTMLPTGKANLEALQKLFSKPGPKIFKDAVIPITFVGPQVVEFLLSPSRKIDSAYVLSKAIKACDESELCLLLRSAVKCGNCYFASTLIEAGADVNKCDFTNRSLMSLAIQSGKSDMLDLLIDCGYVVDNSEDRLLHEAAAMNRLDLMETLCLGYLDIDVNLADLHGRTALHVAAIYGHVEVLQFLVSLGSDPDAADQNGWTPLHCASIAGHVEAAEFLLTCSVYVKYALTKEKQTAFALAVEKGHLDLYDMLQLGDALHRAARIGDVHEIKRCIAEGAKVNGKDQNGWTPLHRAAFKGQTESVKVLLNHGANVDVIDNSGYTPLHRAVEAGHVPVALALIGHGAKANMKGLKGVVPLHLDSFKNHLSLVTPSCEEKERA >KZM95048 pep chromosome:ASM162521v1:5:28233646:28234347:1 gene:DCAR_018290 transcript:KZM95048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVQPFDPPRIAPPPLHTVIQRQKNPLNECLTYVIILAFAAGITFLVVHALQYHSACYTISSPHLALDSVTVSNFSLSSRPKQVSAEWNVRMSLESWIRRGYINLHDITISIFYNELQVGLTSLVPFDMSPRNSTVFFEKKFGGSSGLCDDSMIKAMNEDIAGGTVKLHVRFRGSVRKSFKGRWVDHLDLSVDCNNVELFFGSSDKSRAQMSNPSHKCHRVLAVDYDNLSPL >KZM94064 pep chromosome:ASM162521v1:5:14560191:14561572:1 gene:DCAR_017309 transcript:KZM94064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRVEAPYSNVIGRKHYYTISHTVFEIDTKYVPIKSIGRGAYGVVCSSVNKETNEKVAIKKINNVFGNRIDALRTLRELKLLRHIRHENVIALKDVMMPVYKTSFQDVYLVYELMDTDLHHIIKSPQPLSTDHCKYFLYQLLCGLKYLHSANVLHRDLKPGNLLVNANCELKICDFGLARKGRDNGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPLFPGTEALNQLKLIISILGSQVDADLEFIDNPKARRFIRSLPYTRGIRFPSLYPQADPLALDLLQRMLVFDPSKRITVTEALRHPFMSSLYDSSRNPPAQIPMDLDINENMGEQMIREMMLKEMLHYHPEAVYTPSLAMHL >KZM93776 pep chromosome:ASM162521v1:5:10638306:10639672:-1 gene:DCAR_017021 transcript:KZM93776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEDDDCSWRLFTSEDMIDGYKALKRRKKCTKMNKDVRSSSNKDTSEASPATPCHTSNRESKHVFKRATRFDENNENSPFSVLTNISTVTDDHGSMEQGSNTATLSTRKPCTRTPLSNITNIPSFTNLPNRRDKRKGKAINKDWEDVPLKDWSRNLFEEEFSNRTKTIPNLYDDKDETTAEGGYFSDDGLFDSECSEDDLHGPTNSEPDCSSESENG >KZM93777 pep chromosome:ASM162521v1:5:10643163:10644807:1 gene:DCAR_017022 transcript:KZM93777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHNIGCQERTQRDLDLDVYDYESEQFFEKKAYHRGVLEAGTIPQDLISPANSSSTMMSYTSLPTSAFYATEVYMGLPQVNYQVGSSTSSPELSKNYDAQMVSYQPYENEFGTESLERTNHWQGDSCNYQYSFDYQKSYSERDQLLQLKRKLLGNFNTPDNRRQVSIPFGGNTDISKYRNAKCMADSAEEKSQNMTTRNDQDQQIDIQTGLQLKEALKLQIDVQKSLHEQLEIQRNLQLRIEEQGKQLKLMFEEQQKANK >KZM96477 pep chromosome:ASM162521v1:5:41256099:41256890:1 gene:DCAR_019719 transcript:KZM96477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMMFIVCSTLVLLCSTSAYAQKGKSPPSPPISLTPTPAPAPAPEHVNLTELLSVAGPFHTFLDYLVSTKVIETLQNQANDTEEGLTLFVPKDKAFSSLKTPSLSNLTAAQLKSLCLFHALPHYYSLADFKNLSQASPIMTFAGGAYTLNFTDNSGSILVGSGWTNTKVSSSVHSTDPVAVYQVDKVLLPEAIFGTDIPPMPAPAPAPEVDSAAPADAPDADSKHASSESSSTPSSSYRIISFSTRSFLVLAFSSGMALLL >KZM93193 pep chromosome:ASM162521v1:5:3392507:3393394:1 gene:DCAR_016438 transcript:KZM93193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLDVDRLVKPEIYSGLDERRSLPPEILAVAKSSNASSSTVGALDGRMDGAYDEEAPLITIAECRICQDEDDISNLESPCACSGSLKYAHRKCVQHWCNEKGDINCEICHQKNRT >KZM95229 pep chromosome:ASM162521v1:5:30405375:30407085:-1 gene:DCAR_018471 transcript:KZM95229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNMGNPPDAQKIPFGNAFSGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGFSLGLHGKFTPEALNWLFIKGLVGWFLQVSLLKMSLFSLGSGEAPLLDIVAYAGYTFTGMCLAVFVKIVWSYSYYFLMPWTCLCMGIFLVKTMKRVLFAEVRTYDSSRHHYLLLFIALAQLPLYVWLGNISLNWLF >KZM96218 pep chromosome:ASM162521v1:5:39258485:39261441:-1 gene:DCAR_019460 transcript:KZM96218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKVLVTGASGYLGGRLCHALLTRGYAVRAFVRTTSDISALSDHQNVEFSYGDVTDYDSLARAASGCQIVFHSAALVEPWLPDPSAFLTINVGGLKNVLKVCKETAMIERIIYTSSFFALGSTDGYVADESQIHDAKYFCTEYEKSKAIADKIAMDAALEGVPIIAVYPGVIYGPGKVTAGNLVARVLIERFNGRLPGYIGQGKDKVSFSHVDDVVEGHIAALNKGRLGERYLLTGENASFMQVFDISATITGTRKPWFNIPLLLIEAYGWISVFVSRLTGRLPLISPPTVDVLRHQWAYSCDKAKKELDYNPRSLEQGLIEVPSWLKSLGLIKY >KZM93955 pep chromosome:ASM162521v1:5:12781848:12783332:-1 gene:DCAR_017200 transcript:KZM93955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSNSVKLKYLKLGYQYFVNNILSFLLITIMASITLPVLRNDHTNIIDTISHPLDHLNLLQLLTFTFLIIFFSTLYLMSKPRSIYLVDFACYKPPVELQVPFSTFMEHSKINLKDNPKAVEFQMRIIERAGLGEETSLPPAIHLIPPNPTMEASRLEAELVIFSAMDSLFRNTGLKPREIDILIVNCSLFSPTPSLSAMIVNKYKLRSNIRSFNLSGMGCSAGLISIDLARDLLQTHPNSNAVVISTEIITPNYYQGKERAMLLPNCLFRMGGAAILLSNKRRDRGRAKYRLVHVVRTHLGGDDKAYRCVYEEQDPQGKTGISLSKDLMAIAAGALKSNITTLGPLVLPASEQLLFLFSLIGRKIFNLKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELSYIEAKGRMKKGDRVWQIAFGSGFKCNSAVWICNSTVKSTTNGAWNDCIQRYPVHIPEIVKL >KZM95737 pep chromosome:ASM162521v1:5:34994594:34998742:-1 gene:DCAR_018979 transcript:KZM95737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASLAARSCVLVIVFGLCFLSISIEAKRSSTKVVNFKWEVEYMHWSPDCNEGVVMGINGQFPGPTIRARAGDTVVVELTNKLPTEGVVIHWHGIRQMGTPWADGTAAISQCAINPGETFIYKFIVDKAGTYFYHGHYGMQRSAGLYGSLIVDVAEYKKEPFHYDGEFNLLLSDWWHKNAHEQELDLSSKPFRWIGEPQSLLLNGRGQYNCSLAAQFSNPPLSQCKFTGTEQCAPQTMTVQPNKIYRLRIASTTALASLNLAIGGHKMVVVEADGNYVEPFSVYDMDIYSGESYSILFKADQNPSQNYWISVGVRGRKPNTPQALTILNYSPTLSTKLPTSRPPTTPLWNDYYHSKSFTNRIKALRGSPKPPTTYNRRIMLLNTQNRIDGFTKWAINNISLSLPVTPYLGSIKYNLKNAFANSQPPDSFSPSYDVMRPPVNPNTTHGDGIYMLKFNSTIDVILQNANALADNVSEIHPWHLHGHDFWVLGYGEEKFTDKDVVKFNLKNPPLRNSVVIFPYGWTALRFVADNPGAWAFHCHIEPHLHMGMGVVFAEGIYRLKNIPKEALTCGLTGKMFMDRKH >KZM95513 pep chromosome:ASM162521v1:5:32868889:32869269:1 gene:DCAR_018755 transcript:KZM95513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDHKLSENSEFEGGDRSNEAEQKIVQKDVEEEKEGDREVRKYDGDDCKTPNLEENKIPGAQSCPPAPSRKRKEEQAGLSHKRKLFFENTAGGAEEIESLFENSSSSTVNEVSRVSSAGKRRRKR >KZM92888 pep chromosome:ASM162521v1:5:554709:555266:1 gene:DCAR_016133 transcript:KZM92888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPPNQPDPNEPNRRLYNPYQDLQVPIQNLYKLPTSPEFLFQEEAIAQRRSWGENLTYYTGISYLAGAVAGAGIGFVEGVKASEPGDTLKLRINRVLNGSGHTGRKFGNRAGVIGLMYAGLESGMVSLRDTDDVINSVAAGLGTGVLYKAAAGVRSAAVAGAIGGVVVGVAVTGKQMLRRYVPI >KZM95958 pep chromosome:ASM162521v1:5:37043992:37046400:1 gene:DCAR_019200 transcript:KZM95958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDVPTVSETKANFLQAYKRPIPSIYNTVLQELIVQQHLTRYKRTHRYDAVFALGFVTVYDRLMEGYPSDEDKEAIFRAYIKALKEDPEQYRSDAQKLEEWARSQTANTLVDFSTKEGDVESILKDISERARSKESFSYSRFFAIGLFRLLELADATEPTTLEKLCAALNIDKRSVDRDLAVYRNLLTKLVQAKELLKEYVEREKKKAEERTGPQTANEAVANCLGEYLYLGL >KZM92882 pep chromosome:ASM162521v1:5:486452:493889:-1 gene:DCAR_016127 transcript:KZM92882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNIIPQQQNQTQITSASNLNNNNNNINSIDLPQLTPSNQQQQQFNLQQQHSNNNMMGPTSSFQMQRSPSMSRLQQQQQYSLAAAARQQAAVAGIYGQMSFGGNASQQQQNSNNLNNNNQQQQMGQMGQVGNANLSRSGLMGAQGGNLPMLPAQAAAQLNLQSQLLASPRQKTGLAQAGTQFHPGNSPAQSLQGMQAMGMMGNFNIGSQIRGNGSIAYTQQRLNQGQMRQQLSQQNQLNSTQKLQAQSLGRTSFINPQLSGLAQNGQPALIQNTLSQQQWLKQVPAMSAPNSPSYRLQHQRQQQALLQQQLASSSQMQQNSSALNPQQLSQMVQQQQQQSAGQLQLQQQQQPVPPTQQQILHHQQQSPRMATPAGQKSLSLTGSQPDATASGTTTPGGSSSQGTEASNQLLGKRRIQDLVSQVDPQAKLDPVVEDMLLEIADNFIDSVTSYACSLAKHRNSSTVESKDVLLHLEKNLGLSIPGYSSEERKHQENDSSSHAHKTRLDKIRSLMESSNSDTINANEVTGQGTGNSVGANHLMRPSSSDQLVSQVQHQIKRFGSVDE >KZM94924 pep chromosome:ASM162521v1:5:27060307:27061807:-1 gene:DCAR_018166 transcript:KZM94924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKGIYSPENESSGAKDVWEMRPGGMLVQKRDPDADHHRVPPPPTIRVRVKHGSVYHEINISSQASFGELKKMLSGPTGVHHEDQKLFYKDKERDSKSFLDIVGVKDKSKIVLIEDPIGQEKRLLEMRRNAKLEQASKSISQISLEVDRLAGQVSAYESIISKGKNVGDKQLETLIELLMNQLVKLDGIFADGDVKLKRRTQVTRVQKLVETLDMLKEKSSKPGSTATHIAPKLEKKPLPNLLDMLTVKTSMSTSKGTGISQKLQQKKPPIPRPQGRYSGEQVPSPPIPRQQERYSGEQVPSPTQHQQSKRSVRQLPVNVQKQEATHSPSGSVVITTQWETFDPVPAPMPPTSKAASSNDSAKPPQFTWNLL >KZM94818 pep chromosome:ASM162521v1:5:26204338:26204673:1 gene:DCAR_018060 transcript:KZM94818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTQMNLLQLTNENASMEERIKMLQAENSILEHKIKLMEIQQTHDEAVVKVLKDHIEERRAFNRLLRDDSNFSPSEMERHEKIREEIINEHEAKKRAKVSPNVDEQGKK >KZM94684 pep chromosome:ASM162521v1:5:24924283:24925794:-1 gene:DCAR_017926 transcript:KZM94684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSRNTQKASSQRCSGDSNNGKVECYLCKGRHKLLQCIRCQLAWHLKCAASPEDVTLISNQPGKAICWRLHPTEEEFFRYSPVPVQQFGVDNTWKNSMENGMEPPPYIPITRSILPNVT >KZM93166 pep chromosome:ASM162521v1:5:2990248:2995320:1 gene:DCAR_016411 transcript:KZM93166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSDSPEAIDPNSGYESATRTFHSLLLYFSLISLNVIVSPANPLSTPSELEHLVKLCDPVIAFVTSSVSSVRHLPALPTVMIDSPEFLSMLNTEKSYEMPKQVAVSQSDTAAILYSSGTTGRVKGVELTHRNLITVIANLYHNKRASLEDEELSVALFTLPLFHVFGFFMLIRGFALGETLVLMERFDFVKMLEAVEKYKVTYMPVSPPIVVAMAKSDVVKNYDLSSLRLLGSGGAALGKETSERFTARFPNVEISQGYGMTETGGAATTMSDPEESKEYGSGGRLAANMQGKIVDPVTGQALPPGKQGELWLRGPNIMKGYVGDNVATAETLTSDGWLKTGDLCYFDSKGFLYIVDRLKELIKYKAYQVPPAELEHIIHSIPGVADVAVIPYPDEDAGQIPMAYVVSPYKKVRRVAFINSIPKSPAGKILRRELVNHALSGASARL >KZM95544 pep chromosome:ASM162521v1:5:33146765:33147022:1 gene:DCAR_018786 transcript:KZM95544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARALPSSGPSTTMAPLATKAREYAIMKMKPMLDQEGRVFHGKQVKACMPKGRRHSSAPSRYVNFHTFDGLLGCESSRKSKIVP >KZM94950 pep chromosome:ASM162521v1:5:27311089:27312216:1 gene:DCAR_018192 transcript:KZM94950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLSTSQHHSLLTTSSTIRQGQNQGLLLQEDQERARCDWDFALSTVVSNSSSVIGPVSDALGVTEFDPSDTFLATGGIARKIRIYNSENLLSNERSDQGVTFLDHASACDYYICTPAKLSSLRWKPGSGSRIIGSGDYDGVVMEYDLERKIPVFERDEHGGRRVWSMDYSHWNPTIGASGSDDGSMQVWDPRCEGGNCVAKVHPSVTRSPVCCVEFNPFGGSSIVVGCADHVIYGYDVRIMSHPVMTLQGHDKTVTYARFMDPHTLVTSGTDGCLKMWDTDEPSRLVRTYRGHVNCRRFIGLSVWRSGGLICCGSENNQVFVYDRRWGEPIWVHELEGVKCEGGTDGFVSSVGWRQVGEDECTLVAGGSDGXSG >KZM96381 pep chromosome:ASM162521v1:5:40621906:40622445:1 gene:DCAR_019623 transcript:KZM96381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVIGIVVLSIFGIFTTLLFSCHLYFGAFDYFIDCCCCCFRRKSTDHTTAETTPTATAAAPSRHRPPQAVYPDLEKGRSNVNVGNTRAKPYVTSGGGGSRYSGGGYGGDGYSGGGYHGGGYGGGGGDGGGAHGGGGGDGGGGGGGGGDGGGGGGDGGGGGGGGGGGGGGGGDXXXGGD >KZM96071 pep chromosome:ASM162521v1:5:37950724:37955106:1 gene:DCAR_019313 transcript:KZM96071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQTQSTPNPLSLMFYPGKPVMEVGSSDFPNARSAMNAPLAKALYGIDGVTRVFFGADFVTVTKSEAASWDFLKPEIFAAIMDFYSSGEPLFLDSAAAAAKDTAIHDDDTETVAMIKELLETRIRPSVQDDGGDIEYIGFDPDSGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKAVEQEFDDEDEDAEATVKTE >KZM94800 pep chromosome:ASM162521v1:5:26050889:26052449:1 gene:DCAR_018042 transcript:KZM94800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVSPSQSRLLKLIQRNDVQSAAIWGTAALAGGIYVVQPFDWIRKTLFEKAEQEGN >KZM95050 pep chromosome:ASM162521v1:5:28238747:28239340:1 gene:DCAR_018292 transcript:KZM95050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSHLFTTFIYLVFSLSIQTNAIIPTSSRGHAYMTQEHHPSSTSFADRNPDPLSIPNSYISSAPSSSPDLSNSNDANFKALEIQIATANRDAHLAVKISQNIAKNPSTTTLIRKCLGQCVENFNTIVDDLTKATNDLHAKDIYMVKEDLSSVEQDLSACQSCFKKMVGAQSPLKPYEDSINSTMNSCLAILSETIN >KZM93622 pep chromosome:ASM162521v1:5:8560014:8562527:-1 gene:DCAR_016867 transcript:KZM93622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQVLEGNQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKNQQWYK >KZM95912 pep chromosome:ASM162521v1:5:36697828:36702423:-1 gene:DCAR_019154 transcript:KZM95912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDALPNGNSPAATTTPLPATTPAGAVPVSRAGQLSESLKLEHQFLRVPFEHYKKTIRANHRVVEKEMSSVISGVSQAADGDLSRDDAVSQLNSLVSRLQGLKRKLEEGNLAEHLQEHRCRARIDHLESVDLENVAEWNNMRVKRILVDYMLRMSYYETATKLAQNSNIEDLVDIEVFQEAKKVIDALRNKEVAPALAWCAENKSRLKRSKSKFEFQLRLQEFIELVRDGNNMRAITYTRKYLAPWGATHLKELQRVFATLAFRSNTECLQYKALFEPKQWDFLMDQFKQEFCRIYGMTLEPLLNIYLQAGLSALKTPFCYEDDCPKEDPLSQEGFRKLASPLPFSKQHHSKLVCYITKEIMDTENPPLVLPNGYVYSTKALEEMASKNNGSITCPRTGEVCDYSQVVKAFIS >KZM94104 pep chromosome:ASM162521v1:5:15689425:15689682:1 gene:DCAR_017349 transcript:KZM94104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNIKAILNTLLGSHRNKLLFIWDHDDCTNIGLKCLRNKRMPVFLKELNEV >KZM95043 pep chromosome:ASM162521v1:5:28200318:28204933:1 gene:DCAR_018285 transcript:KZM95043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNTVMAAKGTKHTRIESTPLPRNVNVTRFAECRGPELEALYSVVSERLNGDFRSNRNKRRRTTAHDNRVGKKRFRKREKIGGNEVGDLKKKLPRRIRRKNELRRNNEDGFGVSGDGTKRLRTHVWHAKRFSMSKIWGFYLPLGLHGRGRGSRSIMKSLTDGVVVHDESYCAAENLLSILSMVLVPSVVDSEDLSSRVISGAAYGSAMLHHGGATCSHTIAPVTYMWRRHEHSEINDVDKGDGIYATEAIKNSSALRLVWIWIHAAALREGFDALECASQRENEKAGTHVKCVSLEGQLGKVEVMGSKASQLLQKILHPVKSFPQTNMDIKECSVDETQDGAQPNIFDFESENYTASSIISLVINDPRISTGKAEDQTSAGIQESILEPVSSLYLRNGESRDLWQASSGIYPPVEESFLCREKHQQRMAFFCLNDKSASILNEPAKEQCSRVCPVLLLKNNHLKSSIARWSIILPISWVKTFWIPLVSSGGRAIGLREKHWIACEVGLPYFPSDFPDCNAYSCFMETEAVASVRDAELRPPSVRPFSVPTSPPWEVVHYTYSKKKVIGLDNQILPNITSKDINSLVANSLEDCHRAPCCNNGVSFGGLVSRSSCLLARFLSGINNCQLRIFPSIPERKSCISEIMKEKDISNQGLEDACLVNYDHPLCFVRILLHVYKDGVFEDGAVVCAPHLSDLLFMTSSNKFELQIPDTLARSYFVQQASGKWDLQEPRDPAGMESHRWPIGFVTTGFVHGSKKPVAGALCEAVLLARVREEQWNAVPAKRRKQEIYVLVRNLRSTAYRLALAEIVLERQEQDLEHIGMLYFLIVIWATSPGGEVGLLEGLTEGGRTSAFRSEAAAWVFIKQDQALQSGKYGRST >KZM94444 pep chromosome:ASM162521v1:5:22400227:22405272:1 gene:DCAR_017687 transcript:KZM94444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVSSDCGVYPSTNDNLLKDCTSGVTMAPASHDVAPQQPAKQTRQWAAWTRQEEERFFTALRQVGKNFEKITHRVQSKNKDQVRHYYYRLVRRMNKLLDPKIYLDASNSKDTNSAMLRWWSLLEKSSCKASKLHLKPRRFKMFLDALEHQLLKDRRKNIRKRHAQGEVCPTTATATVLSQGVNRTNCKGDMVPLKAVRQRRKPASYKRWEKAAIAGVSLVADAAEHLERTALDEMTEQVRGGKCAEPVGNVVSSSATLLQNLFVDNSAQTPSKLKLQLFPIDESTRKALEMDDYNPHLELTLSTRKRISSVLEHLNRKWGMSSIACGELLLLPYCAQKENLVNCQRWTQDSILSAADVYKQIGSPSIFRLRYCWLSNEQHELLACQAPVSNFSEQIAKMNGPQKMNIVPDFTPEHVPGDPLDLRGDKVVSAEKFDDMVTSNLDVDDDIVECIDTNVDKDIVFSSENAPDISLQRESCNLAITKQAQEKNEMQLQTGNLLTPGDWADSLTNISIGDLLNEASDNMETNYVGPSHASCQYQVSFSCDSFDAAIAALMGKNQDKASFQPTLESHAPSIWDAEETCDAFSFNRSSVSREKVLGASTNDSSEACRQQAKESLLATDIVDKELSEMKELDNEAHGDPMDEFQPDPSTMESSAKEDFTGLSDLCWSESLAPLDLDRPCKYHNEDLILNDSMSGLNRLIASSLDAFQNCSFFGLDKKESASTSAGEARKSATFSDYKIGSEVEISKV >KZM94933 pep chromosome:ASM162521v1:5:27159258:27159755:1 gene:DCAR_018175 transcript:KZM94933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWSKPTSANVCKVNCKGIFDRGMMKASVASVMRNHRGEWVRGNAGMMGLLVPLAAELWSIFYGLKMAWEMGNVHSVIIESDCKRAVDEVNNIDSAFVLADLVEMINSIMTLATSELTAADGGLRDIHDAPASLSSMLAAEMA >KZM93442 pep chromosome:ASM162521v1:5:6430829:6431672:1 gene:DCAR_016687 transcript:KZM93442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCGGLECVFAVGCVRWLWKRCTYVGAYDSATWPNAEVDDFSAVPRLCRTILAIYEEDIHSPKVREYGLNPDCVIKRADYQHTLGQCPPYLIYVDHVHREIVLAIRGLNLAKQTDYKVLLDNRLGKQMFDGGYVHNGLLKSAIWLLNQESHRLKNLWLENGKEYDIVFVGHSLGSGIAALITVIAVNHRDHLGGIPRSKIRCYSIAPARSMSLNLAVKYADVIHSVVLQIQVIYYRQADNFRVMEVAVEEL >KZM96427 pep chromosome:ASM162521v1:5:40887665:40893359:1 gene:DCAR_019669 transcript:KZM96427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLSAFEGNSYYGIVAACFVVLVTLVSTVYMGKMKTKRRGIEDQVGGEPGYAMRNARKSELLEVPSEGATTMAALFEQSCKKHSQLQCLGTRKVISKEFIEDGKGRKFEKLHLGEYQWETYGEIYDRVCSFASGLVKLGHDVNTRAAIFSDSRPEWLISLQGCFRQNLTVVTIYASLGEEALIHSLNETQVSTLICDYKLLKKLSAVYSRLQTVKNIIYFDDGEAAVDSNLSNDMSNWVITPFSEVEKLGKNSPVSSQLPVKTDIAVIMYTSGSTGLPKGVMMAHKNLVASAAAVLTVIPNIGSSDVYLAYLPLAHVLELVAETVMICAGMRIGYGSTLTLTDSSNKIKKGTKGDASVLKPTLMATVPAILDRVRDGVLKKVADTGGLAEKLFNFAYGRRYTAMEGSWFGAWGIEALLWDLVIFNKVKSILGGDIRAMLCGGAPLSGDTQRFVNICVGAPVVQAYGLTETCAGGAFSDFSDTSVGRVGPPLPCCYLKLVSWKEGGYLTTDKPMPRGEIVVGGSCVTNGYFNNKYKTDEVYKVDENGMRWFYTGDIGRFHPDGCLEIVDRKKDIVKLQHGEYISLGKIEAALMASNYVVNVMVYADPLQTSCVALVVPSRQVLEKWAQQAGIEFKDFSELCDKNEAVAEVQQSLAKEAKAANLDKFELPAKIKLLPDPWTPESGLVTAALKLKREAVKAKFKDELEKLYK >KZM94407 pep chromosome:ASM162521v1:5:22073090:22074034:1 gene:DCAR_017650 transcript:KZM94407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMVLLMFAFSLLMAFMSVGDSYDGLINVGGKVLCQGCAEGWTRPIQGSRVSITCYGRRQALYYGSDETRKTGKYELTVSGYIDGKKVNPRSCIVRIENSPDPFCNIATNVNGGSTGVRLDVPITTDPNISKYRLHAFYFSSPFCNETHTTES >KZM94754 pep chromosome:ASM162521v1:5:25526439:25528160:1 gene:DCAR_017996 transcript:KZM94754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFTSATQTVRSNSSSNLNEHGWVVQIRKTLDEQFEEDNNEIPVSIFSVPTTLLHTNPEAYTPQQVALGPYHHWRQELYEMERYKIAAARRTMKHLQCFNNFQEIVDQIQALESTIRASYHKYLNVQGETLAWMMAIDVAFLLEFLEVYARKDGKLLTRATSRLTSNLVDSAGRKSAHIAILRDILMLENQIPLFLLRKMLEFELGSLDAADDMLLSMLRGLSKELYPFKTPEQLPRMLIEDSSHILDFFYRLLMPKAFRKSQITDHDEEFQEEDEIDEAIVIDEESQSRVMEFFNVIWNALSKLNIHPVRFFKAILYSKPLQLLLKMPWNIITKLPVLNMLKEPIEQIFMREDKEDLKPENDSIVRPPLIEELTIPSVTVLSKSGVRFVPTDGGICSTHFDIKTHTLSFPRINLDVNTHVIVRNMVAYESCNAAGPLVFTRFTEFMNGIVDTPDDARFLREKGIIFNRLKSDDEVAHFCNGMSKSVKLTKVPFLDQVVEDVNKYYNGRWKVKAEKCIRSYIYGSWKILTVLACIMILCLMALQTFCSVYTCHSVISQYANSIDDSANQP >KZM93430 pep chromosome:ASM162521v1:5:6270737:6299230:1 gene:DCAR_016675 transcript:KZM93430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHVNPSPPNEIPKRKMIQLANLRSEHLFNSSGFFSSLIPPSFNSISRKPGYSFLSRNNQRSRYAGVVRAVSARDTHYYSVLNLKSNATLPEIKAAYRRLARKFHPDMNKGPGAEDKFKEISAAYEVLSDNERRSLYDRYGEAGLRGDYDGANGSSYEVDPFEVFGTFFGDPNGAFGGRDESGGMNFNLSYNGRQGLDISHDLYLSFEESIYGAEIETEVSCYEMCENCGGTGAKYSSSMKSCTNCGGRGGVVKTQKTPFGIMSQVSTCSKCEGNGNMITDPCQNCGGRGKIQTKRTIKVVIPPGITDGVTMQLRGEGNVDNKRGVVGDLLLVLHVNKKKGISRDGLHLYSNVNVDYTEAILGTIVKVETVDGLRDLQIPSGVQFGDTVKLPHMGVPDINKPSKRGDHHFIVKVQIPKHISVEERTLVEKLASIKASSTKYSSSFPENFRSHSDQFEASRSKSNNGTSFWKSVKSFWGQKPSGEKFASVSVDTSVFSSRLPSSQLSLTLSSVLILTCIFTLLGKVSCYTVLLQNQNSKQHKKNDR >KZM94152 pep chromosome:ASM162521v1:5:17175161:17175481:-1 gene:DCAR_017397 transcript:KZM94152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKEALSWMDGRGWHDSTVESDCLTVVQAVRSNVPMRSYFGRIIEECRRILQRLNKIDLFFVKRSANMVAHQLARESYFLSGRTFDRTNIPSSIQNCIVSDLIAY >KZM94206 pep chromosome:ASM162521v1:5:18663567:18663821:1 gene:DCAR_017449 transcript:KZM94206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIRGDNVAGIPMSCESTLLRRKLGAAIDYLSNDRDDYVSPSSTRAKHHLFIAQAISKLVRSEEMLNIFVVNLDERSQTPLES >KZM96034 pep chromosome:ASM162521v1:5:37565744:37568269:1 gene:DCAR_019276 transcript:KZM96034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVVACQFLLPLLLVFSLCLHDTHCSIVKDAASPNSLEIESDAPSPSPEVSPKDPQPFLPILAPSPMLPFTNYSNLPALSGLCELNFSAAGNILSTAAFDCWDPIAPYLANVACCPQLHATVETLIGHSGISSGKLSLNLTQATSCLSDIEAILVSQGANRNIRNICSIYPSNLTKASCPIIDVNEIERIINFSRLYAACKDVDPVDECCNQVCQQAILDAAEKIATNDRDRVSLNGITILPAKSSLIDDCRDIIIRWLASKLDLSSSNVFLRGLSQCSINTVCPLGFPDIKNVTKECGNTKSNRTSCCKAMRSYMSATQEQSFITNLQATNCATLLGKKLQTANISQNVYALCHIKLKDFSLQDSGCLFPSLPSDVKYDQNTGISFICDLNDNVEASWPNASSLPASTCNRTSSQIPAIPKATSAQSGE >KZM95421 pep chromosome:ASM162521v1:5:31970089:31971061:-1 gene:DCAR_018663 transcript:KZM95421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAYNCNYRIITTFAFNYCLLKKLAINKFLPGVFCLINESVLCLSNKLMSNLEEAPQEKAGASQPMQAQAAGPAPVSADLENQRAVHDGFGVAAIVNKWNRDDKLKRASWALRGIALLFSLVSFLVMACNKHGGWENFDNYEEYQYLLAIAILSTLYTGAQVARQVQELRTGKEYLSPRMLVVLNFIGDQIMAYLLMSAASAAVPLTNRMREGSDTIFTDSSSSAISMAFLAFFALALAALVSGYKLCTYSYI >KZM93350 pep chromosome:ASM162521v1:5:5150695:5155459:1 gene:DCAR_016595 transcript:KZM93350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICVDNSEWMRNGDYSPSRFLAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQIAQLALKHRQNKKQQQRIIVFVGGPVKYDKKVLEMIGRKLKKNSVALDVVNFGEEDDDKTEKLQALVSAVNNNDSSHIVHVPAGANALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFDFDVDPNVDPELALALRVSMEEERARQEEAAKKAAEEGAQTEKTGEQASTSQDVTMAGTAAPTASETENKAVNLMDDENALLQQALAMSMDDPAASAIMRDTDMSEAAADDQDLALALQLSVQGGAEDGSSHMDMSNVLADQTFMSTILSSLPGVDPNDPSVKELLASMQNASEPEHKKDEDNKPGEEKSQLSYSAASRKGMLVTAVNEQ >KZM96280 pep chromosome:ASM162521v1:5:39770333:39772963:1 gene:DCAR_019522 transcript:KZM96280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYGPPGTGSTLNSKGSSDWEDVKWKRPKGDESDLHVIIYDEIDAICKSRGSTKDGTGVYDSIVNQLRTKIDGVEALNNVLLIGMTNRKDLLDEALLNPGRLEVQIEIRLPDENGRLQIIQIHTNKMKEGSFLAPDDTGGSEQTRDGFRRIRGAVLVVGKPIMFKNNLQPTTEEIHLQWTAYDSQSSKEPTEHELVLSGLEEATGFVLIFIIGRTGDKANVSELVKEVAKFDDFMLLDIKDESSFFKAAYALYDSEFYVKADADIYLRQG >KZM93650 pep chromosome:ASM162521v1:5:8913728:8914282:1 gene:DCAR_016895 transcript:KZM93650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNIFRCSPRKKSLISDVTEHPFFYPHSFHLFSPKKPPPSQPFSSICRPKTTQTAPVKPRHRKSRKRRNNSELKNKKFDELLDSVSGNYTNCWFSEDENEGKDDDRTTLFSGFKDSYAVVKRSSDPYNEFRTSMVEMIVERKLFKARELEHLLHCFLALNSSSHHMVIVEAFTQILETIFSGYQ >KZM94677 pep chromosome:ASM162521v1:5:24844567:24847612:-1 gene:DCAR_017919 transcript:KZM94677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATTRTAAAAYKDYSLHEGGSGATTIRAPPDPSHHFDKLHGAGGIGVLEFLRGKNYLVTGATGFLAKVLIEKLLRTTPEVGKIYVLIRAKDQQAAAERLRNEIIDSELFKSLEGLHGKSYKTFMLAKLIPVAGNVCESDIGIKSNLAAEIAQVVNVIVNSSANTTFDERYDVAVNTNTRGPCRVLALAKKCKNLCLFLHVSTGRAKKHGWNNTYAFTKAMGEMLLTNQRGNVPVVIIRPSVIESAYKEPLPGWIEGNRMMDPVILFYGKGQLPGFLANPKAAIDIVPVDMVVNTILVAMAKHGRDAKPELNVYHVASSVSNPILLYDFFKYTCDHFKSSPLIDRAGKKIRITDIKFLSSLSDFSSYISSEIIERSGLMDDQAMPDEKLIERIKTKCEKLETILLHMVQLYEPYMFYTGWFDNGKVKALMKLMSVEEKMLFECDVGIIDWKEYICNIHIPGFRNYVLKGK >KZM93439 pep chromosome:ASM162521v1:5:6398864:6403613:1 gene:DCAR_016684 transcript:KZM93439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKYSRVDGRKSTNYISSITFVVFVALCLLGVWIMTSSSSSSIVPLKNVLDSETEVKQSTQVSETNVVVDTPTQSNVNTDNQSKQFEDNPGDLPEDATKGDITTTESPVDSDGKKSDESKATNEAQSQTPEEKKEVFPSGAQSELSNETVTQDASWATQAAESNKETTGSLESDTKTGYSWKICNVTAGPDYIPCLDNLQAIRSLRSTKHYEHRERHCPEDPPTCLVSLPEGYQRSIEWPTSREKIWYHNVPHTKLAEIKGHQNWVKVDGEYLTFPGGGTQFKHGALHYIDFIQQIVPDIAWGKRSRVILDVGCGVASFGGFLFDRDVLTMSFAPKDEHEAQVQFALERGIPALSAVMGTKRLPFPGRVFDIVHCARCRVPWHIEGGKLLLELNRMLRPGGFFVWSATPVYQKLAEDVEIWEAMKKLTKALCWELMSISKDRVNKVGVAIYQKPSSNECYETRSEMEPPLCKEFDDPNAAWNVPLQACIHKVPVGASERGSQWPEQWPARAEKPPYWLSSSQVGVYGKAAPEDFTADYEHWKRVVTKSYISGMGINWSTVRNVMDMRAVYGGFAAALRDMNVWVMNVVNIDAPDTLPIIFERGLFGIYHDWCQSFSTYPRTYDLLHADHIFSKIKQKCNFEAFVAEVDRILRPEGHLLVRDKAESISELENMFKSMHWEVRMTYTRDSEGLLCVKKSMWRPNEVVTIPYAIA >KZM94048 pep chromosome:ASM162521v1:5:14322526:14334481:-1 gene:DCAR_017293 transcript:KZM94048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREAFTDSMNLKVQELLKEVKLNYSPATTKHVDDVVSSIRQVIDKIPDDIQVTADLAPGFVKDIRADKVNFKFKKPKSIEITGSYSYKCIARPDVNVDVFVQLPKECFHEKDYLNYRYHAKRCLYLCLIKKYLKRSSLFQKVEMSAFQNEARKPVLVLYPAENFGETPLTSIRLIPTVTSLFNVSKLNMQRNNIRASNQAVDATPMYNSSILEDMALEENANFISSTFHEWKELGEALVLLKLVSVPLIINTVQEREIYLQSFPAVLCHSSQFNVAFRMSKSGLQELQDEASLARNCLDKCRDGGFDELFITSIDFAVKFDHCIRLNLKVNVQDDAPGFSLDNECWRTYEHKVHSLMQEALGERVKLVRVIWRNMASECNFENGLSTFDREALKIGILLNKDEAFNMVVKGPNSENEDEVQKFCRFWGNKAELRIFKDTGIRYCAAWECKPSELHLVMKRITEHILVKHLSLLRENITYIVDQLDFSLVLGNEDPTCYNGHLLEAFNKLSKHLRELNDIPLTISSVQPLDPAFRGTSVCPRQPHPLASKDYVNRKKIKFSPTCIQPVEVLIQLEGSGNWPVDDVAIEKTKSAFILKIGESLQKNFNMRFTATEDDVDVLFSGYAFRLKILHQKGLNLVNRQSKIDQNKRISSTDRKLFLLSQHASMINGLRGRYPIFEPVVRFLRLLSEYDWTFSPLVVDINGDLNPDDMKEINEKFNLSRDTSGEETRSTHSAMFLATTYDKFSEAWSTSSPSSMELKRLAAYARSSSNLLTKLVLQDQLDSYGWXDQCILRTPLNNYDAVILLHRDKLPYPERLLFPSEVNQGKLVVQAKASKLFQPFISSVDTKVNIEELQRKLMVDFDPSRCLIEDLERRFPDCFKVWYDSLGGDAIGVTWNQEGLKKRGRDAGKEEINLLDELKAVGHVGKGFVRKIYSLKAPRLN >KZM93590 pep chromosome:ASM162521v1:5:8169323:8171588:1 gene:DCAR_016835 transcript:KZM93590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSLATKLTRCCKTNLWPLKKSYTTSSSSLALAITHYNVQPTILLIQCLAEYPNPQISSFLQNGFSRITTRAVGSLDMVCEGLQVHGLVVKNGLCSVFVSTSLLHFYGVYGLGCEARRLFEEMPERNVVSWTALMVGYSGSGDFVEVINAFKHMRVSGVDCNQNTFSSVISACGSLENRLLGYQVHGNVIKFGLDEDLSVSNSLVSMFGNFGEAQEAWNVFDHMNERDTISWNSVISAFAHNLMHEEALECFYLMRHDHNDFDATTLSTLSSVCGTVNSLKWGKRVHGLAVKSGLESNICVCNTLLTMYSEAGRCGDMEDLFKAMPERNLISWNSMMASYVQEGRQWDTLKVLNKLLQMGIKLNHVTFASAVAACSDPDFLVEGKAVHALVLVAGLHNNLIVGNALVNMYGKCGMMWEAKQIFQMMPERDLVTWNALIGSYAENEEPGQAMKLFISMRKEGMHANYITMINILAACSTPIDLLNHGMPLHGHSVFTGFICDDYVKNSLITMYAKSGDLKSSNSIFNGWGCRTSVTWNAMVAANAHHGHGEEAFKLFVMMQRAKVDLDEFSFSAVLAATASLAILEEGQQLHGSTIKHGFDSSLYVTNATMDMKLGKVFMK >KZM93026 pep chromosome:ASM162521v1:5:1817560:1820545:1 gene:DCAR_016271 transcript:KZM93026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGLCGKRSFFSDDNSNFLASPSSSPFSKKLRLFSSPSSLSPPSSAVDQLRAYLERALEESGYDICSAIKNLHNLHLGYAHGNPGSAVKSPPDSVTGVTTVDGISTKDNLTRDTVQLEGAEWVELLLREMQSATSTDDARCRATRVLESLEKSISVRASAEAAQSVHKENIMLKEQTEVIVRENTILKRAVTIQHERQKEYDERNQEVQHMKQMIAQYQEHVRSLEINNYALSMHLKQSLESNVMMGSSNRDVF >KZM96228 pep chromosome:ASM162521v1:5:39342743:39343717:-1 gene:DCAR_019470 transcript:KZM96228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAFPTEVSSESEVVHDLPPWLRVYKDGKIERLSGCGVCAPGLDSQTDVLSKDVVIVQETGVSARLHRPNVIPKGQKLPLVLYFHGGAYLISSPWDPTYHNCVNKLVAEAKVVLVSVDYRKAPEHPLPVAFDDSWDVLKWAALHTSSGAGSEPWLLDNVDFNRVFLAGDSAGASIAYQLVIRNKTEKLMMKLAGIILINPYFWGNEPIGAEVTNPFLKALVDKWWAFVCPSDKGNDDPLVNPLAAGAPPLEQLECAKILVSVSEKDILRDRGVLFYESLKKSEWKGKAELIDVKGEDHVFHIFNPDCENAIYLFKGLAAFINA >KZM94642 pep chromosome:ASM162521v1:5:24539482:24540191:-1 gene:DCAR_017885 transcript:KZM94642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGKVKKGAAGRKFGGGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSEKAAAAKEPTKATKSPKKAAA >KZM94248 pep chromosome:ASM162521v1:5:19960200:19960529:1 gene:DCAR_017491 transcript:KZM94248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKISNSSLRQAVQLKQILKRCSGFGKKSFVEHCTNNGEQLGFPLDVPKGHFVAYVGSNRRRYVVPISLLTRPVFQTLLQEAEEEFGFDYGMGIIIPCPEHVFQSLIK >KZM96248 pep chromosome:ASM162521v1:5:39497726:39502226:1 gene:DCAR_019490 transcript:KZM96248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-18 MPSVLFVPAKIISVDNNYTGFDAFKVRDKGVKLIRFSVQCSADSRFNTTDLAVQNKLPALVNWQKILEPHKIRKTDKNVSNQSKRCFSPWTTELVTTISAYDTAWVALVEDSDKTGLPMFPSSLEWIADNQLSDGSWGDDKLFLAHDRILNTLACVVALKTWTVHPQKMERGLLFIRENINKLADVKIEHTTMGFEIVFPSLVEVAESLNIEIPKNLPIMKEIYAQRDLKRSRIPKDKMHEVPTTLLYSLEGMVDLKWEKLLKLRCEDGSFLSSPSSTAYALMQTKDAKCFDYLSKAIQKFNGGVPNTYPVDMFEHNWVVDRLERLGISRHFKPEIKECMDYVYRYWTKKGICWGRTLNITDIDDTAMAFRLLRLHGYSVSPDVFRNFESNGKFFALAGESNQAVTGMLNLLRVSQVSFPEESLLSAAKKFSTDFLRSKRADGQLLDKWIITKDLQGEVEYGLDIPWYASLPRLETCFYLDHYGGEDDVWIGKTLYRMPHVNNNIYLELAKLDYAKCQTIHQQEWWFAHSKLEQFGMNENSLLLSYYLAASSLFEPELSHQRFAWAKTEALVETIGSFFENMENSVEQRKAFVQEFKNTSEIFYYANPAGQKLVGTLVGTIKQLTLNAKSAHGIDIYPQLHQAWLAWLLVWQEDGNVDKARAQLLEEIINICAGRLISEEILSHPQYKTLSTITNRICHQLRVFQKVTTSKDVRYSPNFIKVHIKHTEPQPQMSAGHRIFEQVQNQNNCNGNTGAITTAEIESDMQELVQSVFCNSPDSLDPEFKQIFFMVARTFYYTAYCDPNIINDHIGKVLFGTRM >KZM92840 pep chromosome:ASM162521v1:5:196669:207038:-1 gene:DCAR_016085 transcript:KZM92840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PDS description:15-cis-phytoene desaturase MSHHVSAVITNNTYTNNLNHLLDKFPLSFSATLSTTLKQQQQQQHPLSRSTKFTRTRPRSHPLLKVSCVDYPRPDIDNTLPFLEAAYLSSFFSTASRPNKPLNVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGNMRWHLSSITWFSLLTTFNYCTGIWAILKNNEMLTWPEKVKFALGLLPAIIGGQAYVEAQDGLSVQDWMRKQGIPDRVTTEVFVAMSKSLNFINPDELSMQCVLIALNRFLQEYYDPNKSMLELVFAPAEEWISRSDSDIIDATMTELARLFPDEIAADQSKAKILKYHVVKTPRLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPDCEPCRPLQKSPIEGFYLAGDYTKQRYLASMEGAVLSGKLCAQAILQNGDRACCNGLNEFCMTLVEKLNGVAAVLDGLSGDRALCDGLNEFA >KZM93354 pep chromosome:ASM162521v1:5:5195349:5196415:-1 gene:DCAR_016599 transcript:KZM93354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGSPYIILTYLSMYLLIHSAGTVSSATFTLVNQCSYPVWPGLLSGAGTPPLSTTGFLLSPGASNSLFVPLSWSGRIWGRTLCTLDSASQFTCATADCGSGTVECAGTGAIPPATLAEFTLNGADGLDFYDVSLVDGYNLPMTVVPSGGTAGNCSATGCSAELNSPCPMELKVLGGEGGSESVACRSACEAFGDAKYCCNGEYGTPDTCKPTIYSEFFKSACPHAYSYAYDDGTSTFTCASADYTITFCPSPAPGYVYCSKFCFNVHVNTALHDQIRSKNTTYIIKHDQLKKCRTCQCLG >KZM93382 pep chromosome:ASM162521v1:5:5549963:5552716:1 gene:DCAR_016627 transcript:KZM93382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLYNAINYFPEEVLELIFNLLTTHGDRNAVSLVCKSWYSVERNSRERVFIGNCYAISPERMIGRFPRVRSLTLKGKPHFADFNLVPPDWGGSVFPWIEAMVKSGVNLEELKLKRMVVSDDSLELLARSFVNFKSLVLVSCEGFSTDGLAAIASNCRHLRELDLQENEVEDHRGQWLSCFPESCTSLVSLNFACLRGEVNLPALDRLVARCRNLVSLKLNHAVPVDALQKILVQAPQLQELGAGSYVHDPDSETCKKLKNTIQNCTSIRSLSGFLDVAPRCLPTVYPVCVNLTSLNLSYAPGIYCKDLIKLIRHCKRLQRLWILDYIGDKGLGIVASSCKELQELRVFPSDLHGAGNTAVTEVGLVAISSGCPKLNSLLYFCQQMTNAALITVAKNCPNFIRFRLCTLNPTIPDPVTMQPLDEGFGAIVQSSKGLKRLSLSGLLTDKVFLYIGMYAEQLEMLSVAFAGGSDKGMVYVLNGCKKLKKLEIRDSPFGNLALLADMGKYETMRSLWMSSCEVTLGACKTLAKKMPTLNVEIINENEQVDANSEDSQKVEKMYLYRTLVGARSDVPEFVWTL >KZM94394 pep chromosome:ASM162521v1:5:21879488:21881121:-1 gene:DCAR_017637 transcript:KZM94394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGRGIRFVRADSQVFLFVNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDAAQEAVKKRRRATKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVMAKTQKSSGRSNVPKGVANKGAKLGGGGKR >KZM94613 pep chromosome:ASM162521v1:5:24181486:24183510:-1 gene:DCAR_017856 transcript:KZM94613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSELTLNCKPHNSYSMLLKSFGGDHLGAVNDQNQTQKLEDFLSRLEEERLKIDAFKRELPLCMQLLNNAMETFRQQLQTYRASQGGQRPVLEEFIPIKVQSNSDCSEKTLNMSDSKANWMTSAQLWSQTNDKTKQQQTISSASPKETPDIGFLVSPNLGLDAKQRNGTGAFLPFSKDRSSCPAGTTRGLPELALAPLDNKEIGDKKCLEINDIGMSNCSRVENPTLTAGMITEHGKTAGVHEDLQTVSTNNGITSTTTSQTHRKARRCWSPDLHRRFVSALQILGGSQAMIVKVLLYLILYVHKFIEADLFGLMIAVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQSTGTGAPQLVVLGGIWVPSEYAAAHHGGAPSLYGAHPGHVNSPHYPVPQEYYSALAPQAQGHHQLHNHTLHQQLHMYKQPSSQTQSSPQSDIRGNTNDQSESIEDGKSENSASWKNDSEGAAENGREEKGLVLREDGEESNESDITLKF >KZM95204 pep chromosome:ASM162521v1:5:30123651:30128030:-1 gene:DCAR_018446 transcript:KZM95204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLATQRLVQIRHAFNPTSQTSRSFSTALNYHIDTPDNNTELPWEFNPKNQEKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSRDIESALLKHLGVKRNEVTKDGLFSVGEMECMGSCVNAPMITVADYSNGSEGYTYDYYEDLTPERVVEIVEAFRRGEKPPRGTQNNKRINSGPEGGNTSLLGEPKPPPCRDLDAC >KZM95072 pep chromosome:ASM162521v1:5:28374924:28384092:-1 gene:DCAR_018314 transcript:KZM95072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSRRSSNGRSPLVNQQRQITSFFTKSPSSTPSPSSILPKSHSKLNPNPNPKITSKLSPASTTTPSPSQSKRSKPVLVIGPTHSPITPRRLVDEDAVGKRVKVYWPLDKMWYEGCVKSFDMESGKHLVQYEDSEEELLDLSKEKVEWIEEGSVKKFRRLRKMSVVEDEEEEKVESGGDGDDSTDEDWGKNAEKEVVEDEEESMELDDEDEEGGSSVKKMPVKKFEFKKRKNGGVDEASSCKKSRSGVDVGRNKLINQINNESGKGSVLSDKCPTSDAAERFGMREMEKFKFLSENRRDANKRRPGDVNYDPKTLYLPPQFLNSLTGGQMGKFYELFEMDAHVGAKELDLQYMKGAQPHCGFPEKNFSMYIEKLAQKGYRVLVVEQTETPAQLDLRRKEQGSKDKVVKREICAVVTKGTLTEGEMQLANPDASYIMAVAECCRSFSSEECRIYGVCVVDVATSKILLGQFEDDTECSVLSCLLTELRPVEIIKPAKLLSLETERVLQRHTRNPLVNELVPLSQFWDAERTACEVKDIYKRINGSLSHATDSSGKERDLNYLPEVLSELVAAGDKGMHALSALGGTIFYLKQAFLDETLLRYATYEVLPCSGGSDISRKPYMILDAAALENLEIFENSRNGGSSGTLYAQLNHCITAFGKRLLKTWLARPLYQIDLIKERQDAVAALKANLSSAIEFRKELSRLPDMERLLARIFAGSEACGRNANKVVLYEDASKKQLQEFISALRGCKGLPNVQSILKHFKNAFDWVDANNSGRIIPREGADEEYDSACQAVQGIESNLNKHLKEQRKVLGDTSINYVTIGKDAYLLEVPESLSSSVPRDYELQSSKKPGQTLPFSLHCLVFYLTVGSDMQGVSRYWTPSIKKFIRELSEAESEKDAKLKSILHRLIIRFCEHHDKWRQLVSTTAELDVLISLAIASDYYEGPTCQPTITGLSNSDEVPHLAARNLGHPVLRSESLGKGTFVPNDVNIGGPDCASFILLTGPNMGGKSTFLRQVCLAVILAQVGAYVPAERFNMSPVDCIYVRMGAKDHIMAGQSTFLTELVETSSMLSSATRNSLVALDELGRGTSTSDGQAIAESVLQHFVNKVRCRGMFSTHYHRLALSNHNDPKVSLCHMACQVGEVQGLEEVTFLYKLTLGACPKSYGVNVARIAGLPVAVLKRAVTKSQDFEGMYGKQGKQFDTSNGSWEDNLLIFIRNLINLSAEKNCNEGGVANTLGELQHRARILLEQS >KZM94424 pep chromosome:ASM162521v1:5:22177933:22180136:1 gene:DCAR_017667 transcript:KZM94424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIHVPERSVRNYEASEYENQEWMEDFRVPERSVKSYRVVQASEDENQQGIEHIRQGSRSVFMYNGEAEADEYENQQWMEDIQGSTRNYRGVQADKDEDQLGIEHIREAARSIVNYRVVRADQDEDPRGFENIRDRNQQGVDHIRDRMLFFLMYNEDEQEIEHVKNTLSISFELLDLVRNEHLSVEEQPTLRPQVLTMIKFLHDKGLDSAKCEVKRDLEKLNQSHHESPTTVEYVKNYLELMLRMFDSETFQRRICKIMSEAERDQVPCKHCKVVRANEGENRQGIEHIRSSTRSVAMYYGGVQADEDEEDNQGIEHIREAARSIVNYGVVQANEDEEDHQGIEHIGEAARSVINYRTVVRVSEDVNKQWVEHIRVRMLSFLMYDGGPQANEDENRQEIEHVENTLLISFDLLKLVEYENLPVEEQPTLRPEVLRVIKFLHDRGLEYAQCEVKRDLEKLKTTHSTITKTVQYVKMYLELMLQMFESVTFQRTICKMMSKAEGDQALCKHCKVVQANEGWIGVNAVPVFALECKEL >KZM95406 pep chromosome:ASM162521v1:5:31880733:31882076:1 gene:DCAR_018648 transcript:KZM95406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLFMFSKSIISMMYLGHMGKVELAGGSLAISFANITGYSIMKGLCMGMDPICCQAYGAKRWSLVSQTYLRTFLLLLFTTIPISLLWLNVELLFKYLGQDRAITKVAKVYLLFSLPELLAHCNLHPLRSFLRTQGLTSPATMVATIATLLHYPATYFLVTYMNLGIKGIALASVLHAYNMNIGLIIYLIASKVSIKPWVGATVVSMFQGWRPLLSLAIPSACSVCLEWWWYEIILFLSGLMSNPESSVAAMGILIQTTGTIYVFPYALSLGTSQRVGYELGAGQPARARWAATVGVGVGLTLGCSIFGLAIALRSVWGKIYTNDPQILDLIKIALPLLGMAEIGNAPQTAASGALTGSARPKMGIRINLAAFYLIGLPAVVVISFKMKIGFKGLWMGLVLSQAACLIMMVYTLMQTDWKHQAKRAEDLTVAAGQGHDEDTGTDLVP >KZM94842 pep chromosome:ASM162521v1:5:26398578:26399881:1 gene:DCAR_018084 transcript:KZM94842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYVVKLGAKRSRTSKQPYPFSRDLKLKSSSPIASAAKVASSEDLLIQILLHVPVKTLLNFKSVGYLSLLIHTFFVFATLFPPPPLSLPSSSPAPPPAEATLTTSIAVLQSCNGLLLCASYRAREIKRTYYVYNPTTKQFATLPQIRHECSKYVCGMTLAFDPIKSPFYKVVCLRRSEKSRQLFQIEIYSSETLSWRASGEPFTAPKHTEFQNSIYWNGSVHWWNGSFHWWNGFTNHGCWRMDTHTLYFKVDEESLKQLPIPKKQYAYYHVASYVGESEGHLYLVEGDSDFNRLFKVYELARDFSGWFMKYQVDMSEVTNVFPEMMKKNPFHGYTLKIISLVRKEKEEKDGSFLVLEIPGGQIIHYNLVDKSVKKLWEFPPGHKFYNDNCLRHVLALSYIESLACV >KZM96481 pep chromosome:ASM162521v1:5:41286572:41290244:-1 gene:DCAR_019723 transcript:KZM96481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTQRRDLNFDSKAQVSILRPSIHARRANIVVKFQDLYGFTVEGNVDDVNVLNEVREKVREQGKVWWALEASKGSNWYLQSYVSSTIKASLNFSNLVNAISLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPNSYYNDLIVAVEGKVTPATKQIDHDLPRTFPGHPWLDTKEGHAALRRVLVGYSFRDSDVGYCQGLNYVGALLLLVMKTEEDAFWMLAVLLENVLVNDCYTTNLSGCHVEQRVFKDILVKKCPRIAAHLEALEFDVSLVATEWFLCVFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMKEEELLVAQHVGDVINILQTTTHHLFDPDELLTVAFDKIGSLTLTNISKQRKKQEPAVMAEIDQRVRRLNSMTESDGKEIL >KZM93150 pep chromosome:ASM162521v1:5:2851776:2852945:-1 gene:DCAR_016395 transcript:KZM93150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLRKVCSDSSTMNLPSELLAEVFSRVPIKTIINCRSVCKRWRKILAEPYFANLHLPRSAAGIIIHQGLSNPYVDILKMAELNDKADHHDIHHEYPLMKFMPRLGLEDGVMWLSGSINGLICLGSEKTICICNPITRECIFIPDQKFIGKSHATLHHGFGYVESSDQYKVVRFYKGSFSASEGSYELGCEVYTLGTRMWKNLGHVPFYIGGYGDGICVGGNLHWLASHQKETADDERLCTFDLERESFQLTAGPVVPQVVGYTTYRNLGILGGCLCVCDNTPDLEFAIWVMKDYGVTESWSKEIVIHTDFLYWGTLDEEVYPLKVLKDGTILMYCEEHELFTYHPGTTTTQDHTFPDGDYKTYNAMVYVPSFVSLRSTFMLENVLVL >KZM93423 pep chromosome:ASM162521v1:5:6168258:6172343:-1 gene:DCAR_016668 transcript:KZM93423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHRKRSGYRLDHFDRKRKKEAREVHKRSKTAQNGTICTHQHYSVTNLYVTNNIYHQLNMLAMHEESSSRRKVDDEVHDGAIPSYLLDRENTTRAKILSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFKVIRTGKRKTKQWKRMITKVTFVGQGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLEMIGVKKNPNGPMYTSLGVVTRGTIIEVNVSELGLVTPAGKVVWGKHSFVVD >KZM96043 pep chromosome:ASM162521v1:5:37667360:37668259:-1 gene:DCAR_019285 transcript:KZM96043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPLAQKLTADAGSHKSAQSSVTCVYQSHIGGCWRSITVLWTKNMMNYSLIMSVDSMESNQHHTFKVDLKPWHFWSRKGYKSFQVDGYQLEAFWDFRAARFSGSPEPWSDYYVALVSDGEVALLLGDLKKKAYKKTKSRPALFDAFLIYKKEHVFGKKSFSTRASFDHRTKEHDIVVESSTSGPKDPEMWISVDGIVQINIKNLQWKFRGNETITINKQAVQVFWDVYGWLFCDPGSNHGLFIFKPCVTESECDKDDSSSVHGNGSDCSGESMYYSTVGQSKETQFSLFLYAWKVE >KZM93246 pep chromosome:ASM162521v1:5:4035831:4037015:-1 gene:DCAR_016491 transcript:KZM93246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATVILSWLLVSADAKTLESEVEVLRAITMSVDPVSITEYSFLSTWNFDLDPCESTGSDFLGILCTLPQDNSSSQITTLDLEGDGYEGFLTPAIENLTALTTLNLRRNQFRGPIPTTISRLGNLTSVLLSNNFFSGNMPDVGKLKKLVNLDLSRNLLSGPIPDSISGLRRLTLMSMSNNELVGVVPDLSGLWQLNTLDLSGNQLMGNFPVLPQNLRTLNLARNLLSGRVTSLQIIENLESIDISDNRLSGNIDPDILTLPFLKYLNVSINRFTSVEVNKFADDSSLLEVLDMHENRLQGNLPINLFTYSNLTSLNLGHNVFTGPIPEVYGDRLGNPWQSLFLDYNFLEGNVPSQFTSTHVDIIGSLAHNCLNCPRKLEICRGGQRRASECAKQ >KZM93945 pep chromosome:ASM162521v1:5:12647885:12652650:-1 gene:DCAR_017190 transcript:KZM93945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHCLALATTLYPRKTIIPRATLQRESKMRVPYELKQGQYRLFHQLPSGLKMEVIYQKGVELTDPVGKKECLNLNPPLVFIHGSFHAAWCWAEHWLPFFSTHGYDCYALSLLGQGESDEPSGPVAGSLETHAGNVADFIRKETKFPPVLLGHSFGGLIVQYYIANTQSRQLTGTEIMSLHPNLHGAVLLCSVPPSGNRYQKLMTESSRLPLFDLRKLNASLPVPVARDPSLQILVLGANDDFIVDAKGVGETGIFYGVEPVCIEEVAHDMMLDISWEKGAEYILQWLNDLKMVETK >KZM93850 pep chromosome:ASM162521v1:5:11499414:11500844:1 gene:DCAR_017095 transcript:KZM93850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEGDTWPGAGSRRRGSPWSCVGDDGGGGIASGYSSNIGYGSGENNYRLGATGLGRNIGPGEATTSPFASSPGDFEQSYGDLYGANSRFGDAPWQSASSKIDGSASFNYELGPTAESTVRSSEEYVGSYNIASRQANRGNSLLQHINFEKLSRSVYFSSIF >KZM93221 pep chromosome:ASM162521v1:5:3781242:3781776:1 gene:DCAR_016466 transcript:KZM93221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRLHLCPLLSTRRAPKLYKCAQNLWRNQRSKALERGPPSSKRRHIERLQKELHETKAELVSYTRGSNHCCYHPDICGTTAALPPTGAVPVNPMCDYAQVQPQIIRPVDLINTEEAGAFNYNQTPFSSFPWNNTSVPDQGGAAGPGL >KZM93731 pep chromosome:ASM162521v1:5:9916600:9922761:-1 gene:DCAR_016976 transcript:KZM93731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWYLEKEFDVITGKHPSEEAQKKWRKAVALVRNHRRRFRHVPDLQKRSLLQTQIRDAQERLRVIFTAIKVAIRFLDAIDDKPSDEVIKAGFYIDPDKLANIVSTHDLRRLEDINGVKGIANALNVSLDDGVKVDNLSSRQQIYGINTYTEKPSKRFWMFVWDALHDYTLIILIVCAVVSIGVGLATEGWPKGMYDGVGIILSIFLVVMVTAISDYKQSLQFRDLDKEKKKIIVQVTRDAMRQKLSIFDLVVGDIVHLSIGDQIPADGLFISGYSFLIDESSLTGESEPVNKSEKNPFLLAGSKVKDGSGKMLVTTVGMRTEWGKMMETLSEEGDDETPLQAKLNGVATVIGFIGLIFAVVTFLVLTVRFLVDKALRQEFTSWSSVDALKLLDYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMGHKALVRRLAACETMGSATCICSDKTGTLTTNHMVVNKIWICGKAKEIKRSEIGDTLNAEVTENVSTILLQSIFQNTSAEVVKDKDGKVSILGTPTESALLEYGLHLGGEFEVQRQEIKILKVEPFNSVKKTMAVVVALPDGGTRAFCKGASEIILTMCDKMVNSNGETVEMTDELVNMMTHVINGFASEALRTICLAFKDTGNYDDGNSLPDSGYTLIAVVGIKDPIRPGVRDAVRTCLAAGITVRMVTGDNINTAKAIARECGILTEGGLAIEGSKFRNKTSDELMEIIPRIQVMARSLPSDKHMLVTNLRTVHKEVVAVTGDGSNDAPALHEADIGLAMGIAGTEVAKETADVIILDDNFSTIVNVAKWGRAVYINIQKFVQFQLTVNIVALMINFVSACISGSAPLTAVQLLWVNLIMDTLGALALATEPPHDGLMNRPPIGRGVGFITKAMWRNIAGQSIYQMSVLFVFNFAGKQILGIHGSDATDIINTFIFNTFVFCQVFNEINSRDIEKINIFRGMFSNWIFIGVMVSTVVFQVIIVEFLGTFASTVPLSWQLWLLSIVIGFVSMPIAVVLKCIPVETKTPKPVETKTPKQHDGYDQLPTGPEDV >KZM92988 pep chromosome:ASM162521v1:5:1448474:1450354:1 gene:DCAR_016233 transcript:KZM92988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHKLADGFETPQPFHHHHNQSLDLNSFQDLPDSHAWPYSEPHDYSLSREKSHSKLPPVIDLNDLVNGLKHVVHACKTWGAFQIINHGVSNRVVDRMEDAAKSLFNLPFEQKLKAQRCEDGAVGYGPIRISSLFPKRMWSEGFTIVGSPVQHARKLWPEDYTAFCDATEEYQQEMKKLAGKLMWIVLESLGITKEDIQWAGPDGEFGPCGGVLQLNSYPICPDPSRAIGIVDHTDSSLLTILHQTNQSGLQVFREGFGWVTVPPVQGALVVNIGDLLHILSNGSYPSVLHRVVVNRDQHRLSMAYLFGPPNNAEISPLSKLVDRYHPPLYRPVTWTEYLGMKAKLFYEALSALRH >KZM94128 pep chromosome:ASM162521v1:5:16302372:16302707:1 gene:DCAR_017373 transcript:KZM94128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTQMNLLQLTNENASMEERIKTLQAENSILEHKIKLMEIQQTHDEAVVTVLKDHIEERRAFNRLLRDDSNFSPSEMERHAKIREEIINEHEAKKRAKVSPNVDEQGKK >KZM96468 pep chromosome:ASM162521v1:5:41178696:41181500:-1 gene:DCAR_019710 transcript:KZM96468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTISNHVFLILMHILYAIAKSNVLPQATVTLCHDHERSALLQFKQSLSLNKSASYDPSAYPKTESWKASGEFSSDCCSWYGVECDDTTGYVVGLHLGSSLLYGPLRSNSTIFSLVHLQTLNLADNNFRTSPIPPEIAQLSSLINLNLSLSGFSGQIPPQLSGMSNLTSIDLSTNKFCGGFPVAIFDLPALLVLNVSGNKNLTGFLPEFNQTNSLTALELASTKFSGNLPAAIGNLQSLAKLQLEECLFSGSIPASIGNLTELTYLSLSSNMFTKQGKLIWLDRLIKLTVLSLEDTNLYGDIPPSLANLTRLTTLSLGSNNFSGVIPLWLMNMTQLTTLSLHQNKLAGPIKSSFSQLKNLESLYLHDNNLIGTVEAGIFLSLEKLTSLTLSYNKITLLAHHPVNFTLPKLKMLQLSQCNLSEIPYFLKFQNSLEVLLLRGNNIHGEIPHWIWNASDHLETIDLSANFLTAMKHNPMALQSKSLIVIDISNNMLKGNLPLPPPNTVQYIVSNNRLTGDIPPMICDGKSIKILDLSNNSMSGPLPQCLSSSLEALILQENNFSGLGSGLVTGFVMGNILTDKHPWLIAGIAQKLRGKQKNMKRRKRQIIPDQTMVQVCNHK >KZM95029 pep chromosome:ASM162521v1:5:28122866:28123129:-1 gene:DCAR_018271 transcript:KZM95029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLGTDEGWKPGLKVLARSVAHLNIERPVRVMSSTDWSAKELCLEQIEFACAHVYACFRVAFELLKHGNEMPRMMKSPPPVDDDDE >KZM92965 pep chromosome:ASM162521v1:5:1255950:1260046:1 gene:DCAR_016210 transcript:KZM92965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNPPNPNSTKDFFASPALSLSLAGIFRHGTGTGANADVEEGDEGSGGGGARAAGSKDTVEISSETSGPARSPSDEDSDGAGDDEDFNKNKKKKRKKYHRHTAEQIREMEACMEFNAIQERHENSLLKTEMDKLRDENKALREATNKTSCPSCGTATSSKDAGVTSEEQQLRIENARLKSENEKLRAYMGKYPSGTSPTTSSCSAETDQTGKRCSLDFYSGSFGLEKSRIVEIVYQAAEELRKMATVGEPLWIRSLETGREILNYDEYIKDFSVGEQTNRSSVEASRESGVVFMDLTTLVQSLMDVNQWQELFPCMISKAATIDVISSGDSASKDGAIQLMFAEMQMLTPMVATREVYFVRHCKQLSTDQWAIVDVSVDNVEKNIDESLLKCRKRPSGCIIEDKSNGHCKVIWVEHLECQKSLIHTLYRTIVQSGMAFGARHWIKTLQLQCERLVFFMATNVPTKDSSGVATLAGRKSILNLAQRMTKSFYRALGASSRNTWNKAPSKSGDDIRISSRKNLNDPGEPLGVILCAVTSVWLPVSHLVLFDFLRDETRRNEWDIMSNGGPVQTIVNLAKGQDRGNAVTIQEIKPKENSVWILQDSCTNVYESTIVYAPVDISGMQSVITGCDSSNVSIMPSGFAILPEGIESRPLVISSRADDKSTGGSLLTIAFQVLISESPTAKLSMESVESVNKLISCTLHNIKTGLQCEDG >KZM93571 pep chromosome:ASM162521v1:5:7943201:7944420:1 gene:DCAR_016816 transcript:KZM93571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVMNSLSLKPSGLKVAAPARGLPSLARPTSFKVQASGVKKIKTDTPYGTGGGMNLRDGKDASGRKPTGKGVYQYVDKYGANVDGYSPIYDRNEWSESGDRYAGGTTGLLIWAVTLAGILGGGALLVYSTSALAS >KZM93807 pep chromosome:ASM162521v1:5:10979775:10980109:-1 gene:DCAR_017052 transcript:KZM93807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMRFILVFFSAILAGYMAWRTVRSTPDSDKEDTKVAKVPRNNSSFTKMMQNGFWVFVDMASGRYLWKNLREMKKDDKVVAS >KZM94156 pep chromosome:ASM162521v1:5:17360823:17366209:-1 gene:DCAR_017401 transcript:KZM94156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPHPKPGPNQRSATQFIDIEQESDPELLELQIDFSQYDHPVVLTVEAQAKYVGHLKGALSKNLFLKDKKHRYYIVSALSDTKVDLKVLSQRLGLGKGGLRMAPEEALGEILKVPVGCVTPFAVVHESARCVSLLLDQRLKSQECCFFHPLSNDMSISLNVSGLDKFLESIGRDPAYVDLEANPPVGKDQPPDLAALVPSDALLQDFEAKVVADKSDVAVNNKPTVVTVVTGSTVMGLGCVLGC >KZM95740 pep chromosome:ASM162521v1:5:35055059:35060420:1 gene:DCAR_018982 transcript:KZM95740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSTSTYQTSICPTEAAIANDEEFDNSYLSVELQNDSDEWLALQVERGKKSSVHDDMEKSDTSEYQPTDQEESEALQTDDELVETSDEDQLISTMRDKILKKKGKAESSTGAKKRKEKGKAKAKESQKGKQRQDEDEDEDDEDDEEDIQKAVVRTIPRFTAWSDKDLRARERKETSENLFGKGRIRSADESTEQTQEVDRNLDEERKREQMIVELENLAFILVESRKQFDAANRQFNKCLKSCIDYNTVNNNEEFLTRVEAAQVFVCSEADQFENQSRQQEKETDGASQYNFEPLGNPVSEEREVQAEKQAEEERQVEKERNIQEVEEEREVESEKGADGVQKEIEKERPVEKTVSPVQSSKEIEQEKPVEKTVSPVQSSKEIEQEKPVENTVSPVQSSMGSEVIRMLDAAEKDYQEKIRAQEMASNVNVVGIATEAVSGLHDERTSDTEMPVAEHGADKEEAVEEQAAPEALDVSSRKAAQLVKKDGKKVKIIFNTSRRMNVVSSTVPPPQKLTIKTTAQPNVQSSGEVLPSFSLGLTQVEKEAELERQRKSEEEAAQVDKGKRIIHAAGVLKSPWKIRLTRISTKINKEEQKLKDWLLTIDPEGYFLYFDTANAILDNSNCISFPPKQLVTTQVVDAFCHILNMNEMYKAEQSPLRLFVPHHVTVYVLRHSNSEEEEQHFKKFVRDFDDVLAAYDHIKFNDVDLIFFSMTVSDHHYLLCFNIKKPSFEVIDSSALQPDFDAKYQQIPQNIRNFLVRYMVLKNHSKAKDIASLVPVRLEMKWRTEHNHIDCGLFVMRHMEHYQGVSKSWDCGLVVEGKVQDQQLDVLRTRYAHQILLHECNKQKHHVEYQIFEEHMKKAELEKQKAKEKKEKDTKAPAKRQRRA >KZM95826 pep chromosome:ASM162521v1:5:35918765:35921897:1 gene:DCAR_019068 transcript:KZM95826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNENLCAFNSTKSVIPPGNEKPRVRKSTEVFGSTERKRLANITNMQQQPRMSMIDEKSHIIPASTKEYIEKLKKENVALMKLLADRKLRVSLQKLQQQNLQLAQSNSQMLAELTVDKDRLKLLQHELGCKNGLLVAKGLELKEKDEALPSEKVGIEVKMLNEKLDSSQASRDNVKPININRRQLSKSLGSSTVKESKDRKADNKRQCVRRQSARLKSQESEPANDVSEVEPNSLASGPPHSNVMEEGVSKSSTLEINEERISGNKRIPVRSQSARFKSEEPKPAEDVFAIDSTGPVSGPNCDQMQDDVSTSICLSAEENDKATGNRRLSARRQSARVKSEEPEADKSFSKININDVSISACLSDENDSGEGKHAPNRESQDFGRPSFGRPSRQAAKKVNTYKEIPLNVKMRRSE >KZM94421 pep chromosome:ASM162521v1:5:22162082:22162330:1 gene:DCAR_017664 transcript:KZM94421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTAAYLANYGLANMSDFGEVQGSVGELDYYLARDMGRALIRPYVAGNQIPGDGEVIDGPPPPPLKKRKISPPNLPCCAYR >KZM93096 pep chromosome:ASM162521v1:5:2338805:2376878:-1 gene:DCAR_016341 transcript:KZM93096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEPRMSDEKSAENFSDDSGDRKTGDGREQALLALIKYRTEEVERNKWRVSHYTAELELSQKRLEESKNQLSGLRGQHYIPASRENSDLSTLKVEEESSPSRYCPRSPGNQFMSISVPEEESIRSSKKAQLELSQKRLEESKNQLSGLRGQHYIPASRENSDLSTLKVEEESSPPGYCPRSPGNQFMSISVPEEESIRSSKKAQVNLRGASSGTVLQSSGTSSQNKAKLRPEVVVPAPNPVVPQSSKSHMGTKSSDGSGSTPTHANCTVKVSGAKPSKISSGKELLNIQAKGTKRKFVEKEHKDLITQIAGSSSVRKLQCQTSCILPSQHKRKLRSLALCPTNDQLFVTSALDGVINLWQLQAKRSSANCLSSTDCLSVKQRRWPEDIAWHPEGNGLISVFGADGGDSQVGKENGRVHFLEDKPHTKGIINNIVFMPWEDTCFVTAGSDHAVVLWTENDGIKDWNHKTLHRNQHTSAVMGVAGLQHKKVVLSAGADKRITGFDVGAGRADYKHQIDSKCMSIVPNPCDYNLYMIQTGTIGEQLRLCDYRVRQTELHTFGWEQESSESQSALINQAWSPDGLYITSGSVDPMIHIFDIRYNARKPTQSVRAHQKRVFKAVWHSTYPLLISISSDLNIGLHKIT >KZM96175 pep chromosome:ASM162521v1:5:38859559:38862577:-1 gene:DCAR_019417 transcript:KZM96175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFIVSGEVNSSQILNDEASLLSFKSRVVYDPNNSLENWNSSKFQVCNWSGIGCNVNKTRVLSLDLSGLSLRGTISPAISKLSYLKILDLSKNFFEGHIPAEIGSLFHLKELSLSTNLLEGTIPRELGSLGDLVYLDLGSNRLSGEIPKSLLCNATSSLEYLDLSNNSISGEIRMNNQCQLRQLRFLLLWSNQLVGQVPPALSNSSKLEWLDLESNALHGNLPSEIVQKMPLLQFLYLSYNNFSSHNGNTNLSTFFSYLMNSSNLQELELAGNNLGGEIPSIIGDLPTCLVQLHLENNLIFGSIPPDISKLFNLTLLNLSGNLITGSIPRELCQMKRLERLYLSNNKLSGDIPSAFGDIPHLGLLDLSRNRLSGSIPDSFANLTQVRSLLLYENQLSGTIPPSLGNCINLEKLDLSHNSISGVIPKEVAGLSSLKLYLNLSANNLHGPIPGELGKMDMVLAIDISSNKLSGTIPPQIGSCIALEYLNLSSNALESSLPESLGKLPYLIGLDLSSNNLSGELPESLQASSTLKEMKFSFNNFSGVVLNRGAFSGLPFDSFMGNPHICGSIKGMHSCSRKRIYGAIILPAVISSVFVILILGILGYRLALKLNFKRKLATSTCPEMLEEEHEGNEHKHPKISYEQLIEATGGFSNACVIGSGQFGHVYKGIFEDDTKIAVKVINTKTAGELSGKCFRRECQILKRTRHRNLIKIITTCSRPDFKAIVLPLMPNGSLENYLYPSCSWNHRLDLVQLVNICSDVAEGLAYLHHYSPVKVVHCDLKPSNILLDHDMTALVSDFGIAKLVKESDQGSVCANDSALCISTDGLLCGSLGYMAPEGSNLHEWVKSQYPCKIEPVLEQALLRYAPHGSVVPSNRLWCDVLLELIELGLMCTQYSPLIRPTMDDVAHEMARLKQYLSGPSEKSGSTKST >KZM96538 pep chromosome:ASM162521v1:5:41710050:41712554:1 gene:DCAR_019780 transcript:KZM96538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATSLKEVKPSFSLPRTKNSVFITYAFLLCFIVFSLVLAFNPVSPSYSSSASSKVFFSNIFYGITCTYQNARDTFSYDKAGSFASNVTITESPERKDQSSNKEFHSKARGLKPNITKIKAPESQGKVKNQTLNKGLIDQAVNSSQQPAGKIGDKRIAEKGVAANLTSSLSKKQRKEPLSEAPETSKTEEMMKQLMNCDFFDGEWVKDDSYPLYKPGSCSLIDEQFNCLLNGRPDQEFQQYKWKPKACSLPRLDGSHMLELLRGKRLVFVGDSLNRNMWESLVCILKNSAKDQSKVYEASGKMQFRSDLSFSFIFEDYDCSVEFFVSPFLVQEGKVQEQNGSTHATLRLDKIWMLADKYKSADILIFNTGHWWTHPKTSEGKGYYQEGTHVYPELNVLEAFRKALTTWSRWVDANVNTTKSLVFFRGYSASHFSGGQWNSGGQCDNQSEPIKNEKYLTQYPPMMKVVEKVLRNAKTPVSYLNITRLTDYRKDGHPSLFRKQHMSSKEKQLTLQFQDCSHWCLPGVPDLWNEILYAELLRKQYRE >KZM93402 pep chromosome:ASM162521v1:5:5714762:5715397:-1 gene:DCAR_016647 transcript:KZM93402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPDRKKVKARSSLCQILPENYESSANQNVVGRRRCLGLSASVLEFIKPKCSSRETTNKRPLATPRQQQKVQPILRSVNKPTLQDWIISSPSFNAHFSTLQDRIMSSPSFNAHFSGRVHPAYEEDKVIEVMASNLLPKDGLCLERSRLKDDDVDKQAEEDSSIITKENSSMKTRQSGVKTQKKVSFRLPDLADIFFMDSADGYYYSNKLV >KZM94028 pep chromosome:ASM162521v1:5:14086021:14087365:1 gene:DCAR_017273 transcript:KZM94028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYELDNMGVEQFLWWPYAYLDDEFQPEQTLYLQWTAPTPLMYMAYVEWCYTDRVTRQFGFVQDIPTSSPRRNHQDLHDVVNESIDWQGARETYIHYWDTSLARAMTSPPFILGNGCSPAYMPWYLQVTRRYMVNPLFWRRADAFQGTQGATQSLEEQLLEVDSAIDPAAPDLNRAQSLLQGVIARVRGHGGPPTRRGRRPVTPVEPEPGTYYTHVGSSSWSHHVGTSTAPDRDARAPSGAGEWPRWTEVATETTGDDYVGGEGGFAVNLGDDEDTSPSGGHTHVSPPLQESYQFADRDVYRPDMSFLTDQYTTPPLQAPVPSFGSPSYVFGAPAFPVTPAGVRSTPTPVHMHSFGAYAGESSPWAVRDQSEPERPSQPEQRQQPPRDAKGKGRRCHTGSHIFGHKKK >KZM92989 pep chromosome:ASM162521v1:5:1451067:1452661:-1 gene:DCAR_016234 transcript:KZM92989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQNLLALPLINFSGHELKPGTFLWDSIKSQVHQALQEFGCFEASFDKVPSELRKSLFTALQELFDLPLQTKIRNSSKIPFHGYVGQYPMVPLYESMGIEGAPSLEESRKFSNVLWPQGNPAFCETVQSFSEQLSELDQMVRTMVLESLGLKKYLDEHLDSTKYLLRVMKYKGPETAEAKLGLTSHTDKNIVTILYQNQVCGLQVQTRDGEWITVKPSPDSFIVMIGDSFHAWSNGRVYSAYHRVMMSGSEPRYSVGLFSVPKEGYIIKAPEELVDEEHPLLFKPFDHVKFLGFYYTEAGQKCESALKTYCGV >KZM94263 pep chromosome:ASM162521v1:5:20182603:20185214:-1 gene:DCAR_017506 transcript:KZM94263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKIQIKKIENATNRQVTYSKRRKGLFKKARELSVLCDASVSVIMISTTKKAHVYTNPISTKEFYDMYQKLQGVDLWSSCYEKMKEHLIKLKEVNLNLNREIRRKMGECLDGLNFDELCNLENKMENTVMAIREKKMKMITSQTENIRKKIRNSENEQKFLLHQFTYIQELRGEDPGYAKVELGEEHKSYLGLSHALQGILALNFSPNQPDPHSGV >KZM95046 pep chromosome:ASM162521v1:5:28216382:28224534:-1 gene:DCAR_018288 transcript:KZM95046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLICGFFTLQVMEWMNKSGNGFAPTDTAKYLDLTAKVKGISAAEYYFNGLLPSEIHKCAYGALLNCFCQGGLEDKAHALFVEMDKMGIVSTIAFNNLMTLYMKIHQPEKVPALIQELKNRNMPLDMFSYSVWMGSYSSLNDIKAVERVFEEVNLIYGKQNYDWTIISNLASAYVNVGLDEKAELTLKELEKKHDMTEEAGRVFEHVVKRCEGPYYRYWEMLMSYYLEKHEIDTALECLEAAISEGKNNEWHPTPEDVNRFMEYFKKDNDANGAEMFCKILRKLQPLDHGTYTSLLEIYAGASQIVLFNPSRLFSATPSSLVRYVCTAVKMEHDAAAAAATVQKVVKLKRDVLYLQLSAPDSSKASTLDTLNLFFPEKDDFTSKFQLNSCILQLRRSGKVLRALQVMEWMHTRNIKFEPTDHARQLDLISQVKGISAAENHFNGIPEIAKDISTYGALLNCYCREKLTDKALNLFEKMDTMQFLSSVAFNNLMSLYMRLNMPEKVPPLVQEMKNRNIALSVHTYNIWITSYTCLKDIEAAEKVFEEITHNNGAVQHDWTTFSNLAVAYVKAGLYEKAESTLEKMEEEIRKMGKRCGRKPFHYLLSLYAGTSNLDKVHKIWKHLKSAFKMDSDKSTLAMLETLARLDDVNGFKKIFEEWESTCSTYDRRLPYHAIGFYLKHGMIEEAEMVFHNILKRYNGNTHWFWKRVMQHYLEKQQLDFALSCLETAISVVKNNELHPTPEDVNRLMEYFKKEGDVNKAEKFCKILKKVNLLDSEAYKLLLETYVAASKEAPDMRNRIMDDGIEASPELEERRRFPSSSPPTRYLCTAVTEKQPAAPARSKKSGRERSDGLYNRLSALGRSGGSAIDTLNKYFSEGNYARKYELDTCIWQLRRFGKADHALQVMEWMQTRKIKFHPTDHAKFLDLVSKVKGISAAENYFSGLPESAKDRSTYGALLNCYCREKLAEKAQTLFEKMDSMHIVSSLTFNNLMSLCMRRNMPEAVIPLVQEMKNRNLPLGVHTYNIWMTSYSQMNDIEGVERVFEEMQVNNGEEQNSWTAYSNLAAAYVRAGLKEKAESGLKKNGLIKEAEDVIDNILKRCKGPFYQHCKPLILYYLDKSQVDLALGCLKAALCEVKDNERHQTYEDVKSFVMEYFKKERNVTGAEKCCKILKSLKPLDFEAYRLLLETYVAASKIAPEMRCRIMDDGIEITSELEKLLERVCPE >KZM94130 pep chromosome:ASM162521v1:5:16307793:16308290:1 gene:DCAR_017375 transcript:KZM94130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWNKPPAGFWKINVSGHSDEGIRSSAIGCLMRSRSGHFSCGYYGIVEYSEPIYTDLLAIYYGFKMADEENARYIEVESDSASAVYLVNNPNQNSEYSDILLNIRRLKDLAHQSCVLRYVERSSNLMAIRMSAYSYEKRVPITRLRCCPSDIFPELAADWYFSS >KZM93094 pep chromosome:ASM162521v1:5:2330531:2331848:-1 gene:DCAR_016339 transcript:KZM93094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRRHGKRALVRDGSEEKDEDHESERDSIFPVYSARSQEDMSAMVSALSQVIGASSGGQFYPSEAVSGYTEATQQIQSSQPARNDDQQGNQALRKRHYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEAAAIAYDDAALRFKGNKAKLNFPERVTPAAPAPQSSVPPSHNKRPNYTAQATNQDYLSYSSAPAFVPSPNQFPISFQQNNMYDPSSAYQHDQQNAYGTNASGFYSSGNYDQTQAFGLYSSSPSSALSSTSFSFTSNTSDNQPEDQFYGYPLRFGTNSSDYDYNDHHPHQ >KZM94013 pep chromosome:ASM162521v1:5:13725525:13729598:1 gene:DCAR_017258 transcript:KZM94013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCPYCSAAQPRSATTLSGRLISECTSCGRVVEERQTQSHHLFHLRAQDYPLNLVTSDLPNVTTTPQNDVVEEDPFEQTGFITSFSTWSLEPYPIFTQSTTSFSGHLAELERVLEATSSSNSSLSSGPSVVVDNLRAYLQIIDVASILRLDSDISEHAFQLFRDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQTCYSDLRSVGHDRYPSVRLENFENRGYGDTILFLATRTGTHHSILIETSVEISIAAKLPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPEKAFPTATISSGRTTPRSEYVEGSSLDKDKQPNVKPIDISEAFLHISGKEDIESKDNAYGSYSPSLQQTPAFWKLQVPSGTCRKPAEEKNEILTHEMDCDKPSKLENLEKKVVSSWQSQFSSNPAVNASSMTFPVRSPVPAGNTPSQRVLQIPKFNPGISELGILNGNEIKKVHQNGNP >KZM94672 pep chromosome:ASM162521v1:5:24785341:24789600:-1 gene:DCAR_017914 transcript:KZM94672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEKIKITVRNSSLVYPSKPTPLKKLWNSNLDLVVGKIHFPTVYFYKPNGACNFFDSEILRQSLSNVLVSFFPMAGRLSKNEKGRVEIDCNAQGALFVEAEADSSVDDFGDFRPSPQLRRLVPVADYSGDISSYPLVMAQVTRFKCGGVSLGLGNHHTLADGLAGLHFVNTWSDVARGLSVAMPPCIDRTLLRARDPRTPTFDHVEYHPPPTLNTPKNSTLNFGSTTVLKLTPDQLNRLKSSTKNDGNTKDHSTYEILAAHLWRCATKARGLPDDQMTKLFVATDGRSRLCPPLPPGYIGNVVFTATPLSTAGDLTSEPLTDSCKRIHSCLAKMDNEYLRSALDYLELAPDLSALVRGPNYFANPNLNINSWVRLPLYDSDFGWGRPIFMGPADILYEGTIYILPSPKNDRSMTLSVCLEDSHMELFKKFLYDF >KZM94683 pep chromosome:ASM162521v1:5:24920082:24922090:-1 gene:DCAR_017925 transcript:KZM94683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVEKKRSEWDDDSGCEKCSSICSEDCLCRYQNMSCSKACRCSNTCTNRPFQKHKRIEVVKTKSCGWGAEAAEPINKGEFIVEYVGEVLSDDIYEERLRDMDCLGRQHFYMCKVDRDFTIDSTYKGNISRFLNHSCDPNCTLEKWQVNGETRMGIFAAKSIEEGEPLTYDYRFVQSGADVKCECGSSNCQGFLGVKKKARGGRKAR >KZM95009 pep chromosome:ASM162521v1:5:27899645:27900842:1 gene:DCAR_018251 transcript:KZM95009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSSQLMVGYYSGSCPAAEVIVRNVVNKAASQNPGIAAGLIRLHFHDCFVRGCDGSVLLNSTPGIPSEKSHPANNPSLKGFEVIDEAKSKLETMCPNVVSCSDILAFAARDSVFKAGGINYAVPSGRRDGRVSLFDEVTQNLPPPSFDAKQLVDNFARKGLSLDEMVTLSGAHSIGVSHCSSFSNRLYNFNATHPQDPSMDPKYAALLKNKCPQTSSQDPTVSLDSLTPGRLDNQYYVGLRNRRGLLTSDQTLLTSPLTSRNAWNNARFGSNWDAKFAAAMVRMGSIEVLTGTQGEIRKICSAVN >KZM93008 pep chromosome:ASM162521v1:5:1661734:1662238:1 gene:DCAR_016253 transcript:KZM93008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDNMYSSDGASGQPQLRRDDNQAQNTDFLNQTGEQVKTMAHGAADVASGAVQGTIFLAQGTASGAANVAQGASDVLRNTFGTGGEASSPSPQNHSGKQN >KZM95081 pep chromosome:ASM162521v1:5:28500260:28502478:-1 gene:DCAR_018323 transcript:KZM95081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNTSDFTANSNTTRNYSVNGRVMLTSVIVCFTFCLIFLIFYLYYQSHVRHEYSTGHRLSRSLKPEGLSPFVIKSLPIFLYSSKTHDQALECAVCLAEFEEHQSGRVLPGCNHVFHVQCIDTWFASHPDCPLCRTRVDGYDPVTRPGNMPVTIGSSSAGESCSVPEVGIDIPMEEVGVGSAMNRAIDFEIARQELLHSHGQADQIVQGALLWEEGDQKTVTMRKKEGFADYLYFPEPDLPQVHLTAKSVYDNCVLFLGFAEKI >KZM93257 pep chromosome:ASM162521v1:5:4094704:4097143:-1 gene:DCAR_016502 transcript:KZM93257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENSLHLIPGNLRRQVNTFEARSLRLMFSRNVSSPLLTGNKIEGEGGISINLTLVDGLKGDVKSGPEATAKVEILALRGDCVGHEGCNGKLEDFNNRIVTKMEGKRSIFQGTTTLKLKEGISSIDDLSFTQSSCWTKISKLCLGARAVDSFPGTTIEPAETESFDLKDNRTTSYAKKNIPLLSDKVSRINCIGKVATKRLNDVEVKTVKDLLVLLNTNPQRLHKILNFNSKALEGVTDHAKTCIIDEAKVYMYIDPNSQQMCGVVYDVIRQVKGLIKEYQYFPFHRLSDNEKKNAKNLVVRALGQGEKVSTYNDLNSLMECFPLALESAVKGNSNQFISWDSPLFDTWEQAGSPPYNICINCIGKSSPMRSHEQGLCSPTYSISHYEEEFLNLVTFNNQRERKSKLKLSWFIICVSRFFVMKKRVGTSVNKRQKIS >KZM95147 pep chromosome:ASM162521v1:5:29147332:29149184:-1 gene:DCAR_018389 transcript:KZM95147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQFTEFLILIVSICQKPPLRLQRSYLIPRRSRAGWRRKRLKKLGTESHQKKERIFSYLSHWQIIRNNEEI >KZM94711 pep chromosome:ASM162521v1:5:25189971:25197803:-1 gene:DCAR_017953 transcript:KZM94711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNLFLYLLLFDTILLLLVSAKNETSRTPSKHPTVINVGLILDFNSSDGFVADSCISIALSDFYTENLHYATRLALVPKNSNDVLSAASAALELVNADQVEAIIGPQYYSQAKFVAEIGGKSQVPIISFSVTSSSFRLTRTPYFIQTTLPDSSQLKCVTSLVQQFGWSEIVVLYQNDAEGEPGNNFIPSLTDAFQQASIQLSYVISVSSSDSVSNIKKELKQLRGIQTRVFLVHVTSPDLASRIFSLANEVGMMSKGTAWIITDALSNSLSSLNAPTIELMEGVIGVRPYVPKSENLENFRIRWNKFLLLQQQPALTENISDVNLFCLRAYDTVWALATAVEKIQFPGINRSQEKSNASRDPITNMTISEAGPGLVKEILKTRFLGLSGEFKMRHGQLETPVLEIINIVGNGGNGDRTVGYWTPERGFSRKIASADEGDHGVVYSNQVDGVLKPIIWPGESTKKPKGWDVPGMGLKLRVGVPKKTGFTEFVDVQDIGNTKTYNVTGFSIDVFIAALDSLPFKIVPEFIPFVNDSGGSNGTYNDLVDKLSVTKNPEYEALVGDITIRAEREGTADFSLPYSESGVVKVVRAEPDRLKNMWIFLKPLSWDLWLTVVLSAISIGLVLHVLERRLNPQRQLGMLVLFPLAALAFPERNIVGNSWARFVLVVWLFMAYILMQSYTANLSSILTVGQLRPSTDTPSCAGYQEGSFVKEILTNWLKLSPANCYSYSSMEAYDRALSLGCKHGGVDAIYDEIPYIKLFLHKYGSKYKMAGATYSTGGFGFYFYSTTSSPVYYCTFFGALEKWWAAMGFSKLHLYILLINSTILLLITAETETSTHSEPKNHIAVSVGVVLDFNSSTGFAANSCISTALSDFYSLNKHYTTRLVLHPKNSNGVLSAASAALELVNDDQVYAIIGPQYSKEATFVAEIGGKSQVPIISFSVTSSSLWPSQNPYFIRTTLPDSSQLECITSLVQKLGYDAVVVLYQDNTDTESSTGFIPSLTDAFQKASIQLSYVIAISSSANESHIRQELSNLRRMQTRVYLVHMTSSDLASRLFSIANEEGIMNNGTAWIITDALSNTLRSLDATTIEAMEGVIGVKPYVPKSKNLEIFKIKRKENNINMLCLRAYDTVWALATSVEKLKLPQVRTSLDKSNASSAAITDLRVSETGPGLVQKIWETRFLGLSGEFKLKDGQLETPVQEIINVVGNGDRVVGYWTSRSGFSRKIATTTYDGHGAVYSGPVENVLKPIIWPGDSTKKPNGWEVPVMGQKLKVGVPRKKGFKEFVNVTEVLGDTKKYNVTGFCIDVFEAALDSLSFKIEPQYIPVDESIETYKDLVNKLSGTKTPKYDALVGDLTIRADREITADFSLPYLESGVVMVVRVEPDRLKNMWIFLKPLSWDLWLTIVLAAVFIGFVLRMLERHVNPQRQLGMLFLFPLAALAFPERNMVGNKWAKFVLVLRPSADSPACAGYQRYSFVGDMLKKMNIKTRNYTSMEEYDDALSHGCKNGGVDVIFDEIPYVKLFLHKYGSKYQTISSKMDGTATGGFGFAFPTGSPLSKPISKAILDIMEEGKIQQIEKRYFGVGYTFQYHAEDIPRDGPSLTSYSFAGLFTITAFLTVVALVCSECSSAISRFRNLHAVNISRVQSVEMTDYVSSDEDEPQDSKEKAEILGQEESNDGQVVQTTNIHIDHGGE >KZM93918 pep chromosome:ASM162521v1:5:12172734:12177195:1 gene:DCAR_017163 transcript:KZM93918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPDLGLSFAYAPISGVPNTSQFPQMGYMVECSIYQSNTKLLKCGISVIRNMPPSITSFTSFIGGHSYRALTRNFCLRDVWHATQTCEPQFGGKSSEGETKSEEETHFSCFDDFDFEDDPELLRRRRIGLANKGKVPWNKGKKHSAETRALIRERTRAAMRDPKVKEKMAESPRTHSDQTKDRIRSSIRRLWDERFKERMAGEKLFLAWASRIAEAAKLGGSGEEELDWNSYEKIKREIALEQRQRAVDKVTAKEMGRIRSQRKAQAKAEKLIRLAEKRDVQEKANFKGDKKRKTHKKSKEEIEELAVAQELRLKERLIKMHRRKSTAGQLTNQDHRSWEKIDLESVKRDQIHKEVSLADQIRAVKNKRTLTNSSSICLSSQRSDDE >KZM93516 pep chromosome:ASM162521v1:5:7434316:7434756:-1 gene:DCAR_016761 transcript:KZM93516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKCFAGSKKSKINKSIETPAIVSLLREVEEVSISVFESIFSSICPAKEASTKSTWSKVFKSTQSKRVHCEEDTEEIINQVHNMDMALEAISKKSSKKSDITQTQDVQKCLTALDMNMQECEEQLDCLVRSLIKTRVLILNVLNH >KZM95245 pep chromosome:ASM162521v1:5:30515413:30517141:-1 gene:DCAR_018487 transcript:KZM95245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKLSLIIFTSLLLIHSCIARQNGLREQQECNFERINALQPSSSIESEGGRTEFFNPDQKQFRCAGVAFLKHTIRQKGLFVPSYTNSVLMVFVEQGRGFYGLVIPGCAETFQSPQDQRGDRPRDRHQRVHRFKKGDMLIIPAGVAHWIYNNGDQDVQLVVMFDTTNRVNQLDSIPQRFFVLGNPQGQKQQGQQEQPLIQQFQGDSVLKAFDAESLVNAFKVNSEMGRKLNGENVKQGHIIIVEKELQLEIPDRQHQEGEEEERQQEQEQGQGKGRGNGIEETSCSVRIRTNLDNIDRADFYNPQAGHLISLNSHHLPILADVRLSAERGHLRKHAILAPHWVLNAHSIIYATNGEARIQIVNNQGQQVFNERLQKGQLVLVPQNFAVMIQAGSQGFRWVSFKTNDNAMITPIAGRGSVFRGLPVSVLANILQISEEQASNLKYSNAETMLFVPQRQPRAENFVRMLV >KZM93485 pep chromosome:ASM162521v1:5:7061329:7061874:1 gene:DCAR_016730 transcript:KZM93485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGDYSSYSSYYNHHYPQNPNPNPAPLVDQQLTSPLHASPYASAPPFSTSYPPPSHNFAAYPSNYPSFPHNTGPAPSLSPTVPSYSPNPDLQAHYNPHFETHVPYRSHLYNPTSYDQHQSNAISNYNFDSGVKLGQSGYGQSGGDLGLGRYDPGDAGEVGMGRMCMLIRGVKLSHMVLEG >KZM94196 pep chromosome:ASM162521v1:5:18457322:18460016:1 gene:DCAR_017439 transcript:KZM94196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITGITAAAMDTPLLLSNDDVVSSVVDFKNRPAHRSKTGGWRSASFIIGVEVAERFAYYGISTNLITFLTGPLGQSTASAAANVNAWNGTASLLPLLGAFVADSFLGRYRTITISSCIYILGLGLLTLSASFTSSTSTGCITVADTGTCSPPQIQIIFFFFSLYLVAVAQGGHKPCVQAFGADQFDVNDPEECKAKSSFFNWWYFGLCSGTMVTIIVLSYVQDNFSWVLGFGIPCIAMGVALVLYLLGTVTYRYSSNDDEKGPFTRTSQVFVKAIKNWKIDPSELSIFEEARGTLPHQNFLQFNFLNKALILPNGSKEEANICSMNDVEEAKAVLRLVPIWGSCLAFAIVFAQSPTFFTKQGVTLNRSVGSSFEIPPAALQSFITLTIVVIIPIYDRVLVPCARAVTGKPSGITMLQRIGVGIAFSIISMVIAALVEMKRLQTARDYGLVDKPDVTIPMSIYWLVPQYVVFGIADVFTMVGLQEFFYDQVPGELKSIGLALYLSIFGVGSFLSSFLISVIERATSSNGGGSWFSDNLNRGHLDYFYWILGGLSTVSAVFYLYFATTYVYNRKYTL >KZM95367 pep chromosome:ASM162521v1:5:31524067:31525443:1 gene:DCAR_018609 transcript:KZM95367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLESGTLTSSSSWVGSISLDEFRVAALSFAERWERCNSSFVQWSWVCPKRLGFAAGKVEGYLCLQNILIPRGTQDNCCDEASANNSKEEPCFDGEDDPIDNATLVQSSDGEAHYYDFHIVYSNSYRVPVLYFRGYCIDGQPLVLDDIEKDLPANSSKILTESKWTFITQEGAARLMAILLLVLNTRNWDTYVSGTLAWK >KZM94343 pep chromosome:ASM162521v1:5:21236964:21240199:1 gene:DCAR_017586 transcript:KZM94343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSAIDTHTNEKVAIKLLKDVFRDVPRAIQFLREVKLLRLLKHQNIVEIKSILVPPCSDDFNEVSVVFELMDFNLYDVIRLDDNLTAENHRWLMFQILCAMKFMHEANVYHLDLKPDNILVNTDLLTKVCDLGLSRVAFREPSAVWRYKGYVVAEPYRAPEISGLNNSKYPYAVDIWSIGCIFAEILTRKQLFPRTSLVHQLNLITDLLGTPSADTISGALAHKYFRSLSNAQSKASQSQLIKKQEFAFERCKSVTAIRKLMYHEMLEHHHPQLLRNYISQVPVCYVKIYYDPARRCYYYYHTMPLVRGGTSRPVTAQVRHDIFFQGPMTGEAYIQRRVTNAIQGNVLNTQWPSLCEPTARPQPVHLPYTYSSIVTGEFVPAPVQRPRLLNESSSRNVTGGFIQAHVQRPRLVHESSSRNVTGESVPYEVSPRPLFPCNASRPTMQENQDESVIEVGREVRESGGRNVSGVSAQNQVPRRPLIPSNASKPSMQVNQEKSVTEERNRESSLLGQLQDLNIKPDERNEDKQCSGLGQPHGSSSRFVTRESVRNQVTPQLVYPSNASAVKDWHGSESSECCNRR >KZM93940 pep chromosome:ASM162521v1:5:12543161:12548679:-1 gene:DCAR_017185 transcript:KZM93940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSFCVQGNLGFHVGKGRVLGGCDMRNGRKVWDSLCIRKQGGFVSGLRLSVGAQHGGKRSVDSVFRKSGRAKTTKVHATEGEADDVPPKIQMNSSGSVLPYVGVACLGAILFGYHLGVVNGALECLSRDLGIAENAGLQGWIVSSLLAGATVGSFTGGSMADKFGRTKTFQLDAIPLAVGTFLSATAQSVQTMIIGRILAGIGIGISSAIVPLYISEISPTEIRGTLGSVNQICICVGILAALVAGLPLAANPLWWRTMFGIAVIPSVILALGMTFSPESPGWLYQQGKISQAEIAVKRLYGKERVTEVMNNLTTGSQGSSEPEAGWSDLFSNRYWKVVSVGAALFLFQQLAGINAVVYYSTPVFRTAGIASDVAASSLVGASNVFGSTVASSLMDKQGRRSLLITSYAGMAASMFLLSLSFSWKVLAPYSGKVAVVGTVLYVLFFSLGAGPVPALLLPEIFPSRIRAKAVALSWGMHWASNFVIGLSFLSLVTKFGIGKVYLGFACVCLLGLLYITGNVVETKGKSLEEIQHALSSGV >KZM95680 pep chromosome:ASM162521v1:5:34527039:34532864:1 gene:DCAR_018922 transcript:KZM95680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGKEGSFGDGLETELGFLLHEPRRQEYDDLEKELNMYRSGSAPPTVEGSLSAVDRLFNHGGGGTPFPELGVNKSGNQFSSEEELRSDPAYLSYYYSNVNLNPRLPPPLRSKEDWRYTQRLQGGSSTVGGIGDRRKVNRNDTDVAGGGVSLFSNPPGFNNKKQESEVELEWGGEGLIGLPGLGLGSKKKSLAEIFQDDVGRVTPGSGHPSRTPSRNTFSENFDTLGSAEAELAQLHQGLSSEDNFESALKFQSSSGVKNVNAQPPSTSYSYVAALGTSLSRSTTPDPQHTARAPSPCPTPIGAGRGTAEKRNTSSNSFHGVSSHISEPLDVVASMSDMNLSNGVHNADNYLKTQAEEPTDDQKKYVFDMPGDQSNMKHHSYMNKSEATHIHGSSPSPTELSCSKSGGNGHGVGNPSLQADLHSNFYPEGSPGSVPYNGGGLMPHYQHINGANLSYPNYGINEYSMNSPVQSVMSGHTGNVNMPPLFENAAAAAMAVPVMDSRIMGGNITSGTNSSYDALELQNLGRIRNQMASSALQAPYVDPLYLQYLRTAEYGAAQAAFLNDPTMDINYMSNSYVDLIQKAYLESLVSPQKSQYGVPLGGKTGASNHQGFYGNPAFGVSYPGSPLSSPVIPNSPVGPGSPMRHGDINMRFTSGMRNLSGGIMGPWHLNSGNLENSFALSLLEEFKSNKARSFELSEISGHVVEFSADQYGSRFIQQKLETATTDEKTMVYKELFPQALTLMTDVFGNYVIQKFFEHGMASQRRELANKLIGQVLTLSLQMYGCRVIQKHAIEVIGTYLMFDHHAAIEVVDLDQKIEMVMELDGHVMRCVRDQNGNHVIQKCIECVPEVHIQFIISSFFDQVVTLSTHPYGCRVIQRVLEHCEETKTQSKVMEEILGCVSMLAQDQYGNYVIQHVLEHGKPHERSTIIQELAGKIVQMSQQKFASNVVEKCLTFGNSSERELLVREILGTTDENEPLQAMMKDQFANYVVQKVLEKCSDEERELILTRVKIHLDALKKYTYGKHIVARVEKLVAAGERRGAQSQQHVAVGGTEKEVVQLTRGQYE >KZM93294 pep chromosome:ASM162521v1:5:4554145:4554883:-1 gene:DCAR_016539 transcript:KZM93294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADILRPIQCLKREKEALLSEFQYVTSENNEIQMENSAMQDQIEKLQKELKENMSRVNLDLNIAPSEPGTPVYVIRVPLISQFISRLDSLKSERLGEFDDRISND >KZM95836 pep chromosome:ASM162521v1:5:36013886:36017333:-1 gene:DCAR_019078 transcript:KZM95836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQGESNSRSVEPQQEVPREASSLANPAETAVSEKEDKVNAVWQQMNKGVSSEKLNSILNKHKTTVNKTPKKSSSQVSPSWMTQLGLGPKQKVLHQGVSLDKKPDKTQNGSSDESMKLAAAAALSAVKDSAAMAGPSGRGKVEITEVRDFAGQEVEVKKLVDADSKEAAEKAKAAGGAPSAIDSILEQIKKKPKLSVLDKTKKDWGEYKDENKGLEDELDAYKKSGNQYLEKVSFLQRADYREFERERDARLAVQAKRKADM >KZM93481 pep chromosome:ASM162521v1:5:7019225:7019920:1 gene:DCAR_016726 transcript:KZM93481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQQPAPRRSRTRDMHVEYWCHQCNRRVNGETLDDNQVVCSRCHDGFLVSIAPSPSASLEDMLQQVMQELHIQNNEGLMRRAREIYRERVQSSGAGRVGNYGDYADEAEYEAILLGLAESDDGADRGAPPAAESAVAALKSVEIGAEEEGGVCVVCKDMLSVGETARELPCGHAYHGDCIVAWLATRNMCPVCRFELPTSDPDYEEERIRKVSGAAGGSPKASNSLPDE >KZM93883 pep chromosome:ASM162521v1:5:11824471:11827182:1 gene:DCAR_017128 transcript:KZM93883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYVFAQFKQVDKNELGLFAIFDGHVSREIPDYLRSHLFENILNEPDFWTETEKAIRRAYRVTDKTILDKAVDLGKGGSTAVTAILINCQKLVVANVGDSRAVICRNGVAKQLSVDHEPDKERNRIEDRGGFVIKIPGDVARVDGELAVARAFGDKSLKEHLSSEPDVAVQSIDDDTEFFILASDGIWKVMTNQEAVDCIKDIEDAQAAAKRLAEEAVARNSSDDISCVVVKF >KZM94471 pep chromosome:ASM162521v1:5:22842279:22843196:1 gene:DCAR_017714 transcript:KZM94471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNYAVCTTLLAAMDGLWYHRLILFHPSDAVSVQEPVSSESFSSSYDSYQSSSCTSVTEQDHVLSDQSLLTPQQSIGSEVEEREKIMEVLKKIRPSRLNRIKTRSNPSSPTSTPAKAIRSKKYTGSVMRLQKTMSCKSLGELEVEEVKGFLDLGFRFDSQNLSPRMMSVVPGLQRLAGAQNSEIIQKETIDQVLASSKLDDQELGGGGNEMRSESGVFRKPYLSEAWLIRRSDSPLLKLKIPRNSEAADLKKHLKQWARTVATVVQQES >KZM95823 pep chromosome:ASM162521v1:5:35899963:35903208:1 gene:DCAR_019065 transcript:KZM95823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKIIKRGQKKGSKQEVIEPPNTNPDATPSVTVNHASRASTGSPSVTQQITVPNSGVVEVLPGLKDVPVADRHVLFIKKLQLCCVGFDFSEAMKNAREKEIKRQTLSELVDLVQSGSWKMNEIIQEEMIKMISVNLFRCLPPSSHENTGSTGGDGDEDDMYLEPSWPHLQIVYELLLRYVVSSDTDTKVAKRYLDHSFVLKLLDLFDSEDPREREYLKTVLHRVYGKFMVHRPCIRKAINNIFYRFIFETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKSVSTYHQQLSYCIIQFVEKDFKLSDTVIRGILRYWPVTNCGKEVLFLGELEEVLDVTQSSEFQRCMVPLFRQISRCLNSPHFQVAERALFLWNNDHIVRLMSEYRQVVLPVIFEALEKNVRSHWNQAIHGLTTNVQRMFHEMDPELFEECQKEYYEKESRAAELEEKRKLTWQRLEMVAAKGGGDDMVMVN >KZM95362 pep chromosome:ASM162521v1:5:31474418:31485288:-1 gene:DCAR_018604 transcript:KZM95362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFTTSILSASLLIVLIGSFFIEPINAGGFNIVKEQREFDYFKLSLQWPGTVCRNTRHCCASNGCCRGSNSPTEFTIHGLWPDYNDGTWPACCTKSGFDVKEISTLKDALEKYWPSYSCSKASTCSGKKGLFWAHEWEKHGTCSSPVVKDEYSYFITTLNVYFKFNVTEVLYEAGYVPSNSEKYPMGGIISAIQNAFHATPEITCSQGAVEELRLCFYKDFKPRDCAIGLGSSHHNGLSSSKSSCPKYVSLPERVSLGRAVHIVNLDPAAENFDYPVAMDIKELISLDDVMEELGLGPNGALIYCMEHLEESLDDWLEEELENFAEDDYIVFDCPGQIELYSHVPVFKNFVEHLKRKNINVCVVYLLDSQFMTDVAKFISGCMASLSAMIQLELPHVNILSKMDLVRNKKDIEDYLNPESQFLLSELNQRMAPRFGKLNKALIELVDQYNMVSFVPLDLRKKGSIQYILSQIDMCIQYGEDADVKIRDFDPEDDD >KZM94284 pep chromosome:ASM162521v1:5:20504508:20513964:-1 gene:DCAR_017527 transcript:KZM94284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGILPVGYVLLKEEIKVMGKKSQFEVMAELNVYKHEPLDHAGVSDTGCLIFVDFSFCCVSSKLASDEGLSAESKHSTASAIAAGIMTGTLEDTTFRSDSKKPSIKSVDTLGLGNLVNAPANVLTPGALAKKAKKIASLYSDVISAKILDVERCKELEMGSYLGVADVASENSAHLIHLCYKSPNGTVKSKLALGGMEIMLEAKDAGDWAYRGEGAANLVLAYTGSFPPFVGKVLRVRKITRNGAEYDNGFSGLSKHECLLWDELASAPSREMAEHLYVKNVMSPLLGSNNVDAGVRVLVGKEFLESVESNVLSERPSWRVNDAKTNTECVSALLLSDLSLFPGSVFNKEFCITVEIKVLHPYVNVLPKCGFLPVSDLIADENSVKKSISRFQMHQALKFHQGKISELSKYDPLDLFSKSIERVNKALTDLYLTPQNNFRVFLNGSLVYGGLGGVPSSNNHLTGETFEELLKCVIQSDDGSRTTKFLELIAETVFRSRLLDRLLKAQMLDTLDIEGAIHAYYNAVSQSCKVCSKFRQVEEKLAERYAGIHSDPFSKSLKVVKDYLIAATAKDLSMMISLRPTDKGDLESLDDTVILKSTRQSFKYKASFLDLDRKPLKKMEYYYELDQKIVRSYSQISKTTHHPGRVA >KZM93869 pep chromosome:ASM162521v1:5:11695112:11697384:1 gene:DCAR_017114 transcript:KZM93869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGGVMKETLFISHGSPTLSIDDSLPARHFLKSFTQKVSLSQRPTSILVISAHWETDTPAVNVISGANDTIYDFYGFPKPMYQIKYPAPGAPKLARRVQELLQGSGFGKVYEDKKRGLDHGAWVPLMLMYPEADIPVCQLSIQTHKDTYHHYNMGKALAPLKEEGVLIIGSGSATHNFSDMRETKAVAPWAKDFDTWLKDALLAGRYEDVNNYLEKTPYGKRAHPHPDHFYPLHVAMGASGESSKAELLHESWSLHALSYSSYKFTSK >KZM94304 pep chromosome:ASM162521v1:5:20789951:20790312:-1 gene:DCAR_017547 transcript:KZM94304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPQKRISLNVDADDVFQMIDDYVPDRKEAMEEKLSELNIGISRISEQMEELTRCYKEFVANFVLQQKVLREEIQVLRRDAQSFRSDLQDQS >KZM96076 pep chromosome:ASM162521v1:5:37998494:37998961:1 gene:DCAR_019318 transcript:KZM96076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFTHKEQAGLEGRVVDIGNLKVHVRNVIAEGGFSCVYLARDAINGPKQYAIKHIICNDEESLEMVMKEISVMKSLKGHPNVVTLCAHTIMDMGRTKEALLVMEYCEKSLVNVLESRGAGYYEEKQILAIFRDVCNAVFAMHCQSPPIAHR >KZM95655 pep chromosome:ASM162521v1:5:34187825:34188151:1 gene:DCAR_018897 transcript:KZM95655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIKSRWAELETGQTTIAFTPIHQHETDEVTGEVHTWQFRRERDPVEVCFAYEDVDAAYYNAVKHGAVGVREPEEKEWGQKVGYVRDIDGIVVRLGSFVSLPQKPHSS >KZM95310 pep chromosome:ASM162521v1:5:31066620:31069546:1 gene:DCAR_018552 transcript:KZM95310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYRKVQNPKAESAITENEIRITSKGLVRNYISYATSLLQEGNGNEIVLKAMGQAINKTVSITEIIKRRMPQLHQDTVISSVSIVDVWEPIEEGLLPVETSRNVSVISITLSTKELNKNSPGYQAPLNAEQAKQQPQSRPQRNYHQQQQGPTNNYQQQRPLHNHQRQPVKPQNNYQQQQPSRTHNNQQHQPIRSQNNYQQEQSRTENNYDQQQPSVARHARQNYNVANEDSYGRVRGRARGRGRGRGWSRGGYGSYEGNYRGNYQGNYQENYQETGNFRNWGQGGGRDGGYQGNYQENFQETGNFRNRGQGGGRDGGYNRDYQDARGRGGGRGRGGYGRGRGRGRMGNYARDDINQE >KZM94660 pep chromosome:ASM162521v1:5:24659193:24660178:-1 gene:DCAR_017902 transcript:KZM94660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKGMQTVKGLDLKRYMGRWYEIASFPSRFQPKNGANTRATYTLRDDGIVNVLNETWSDGKRGFIEGSAYKADPNSDEAKLKVKFWVPPFLPIFPVVGDYWVLHIDEDYQYALIGQPSLKYLWILCRQPHLDEGIYNMLVEKAKEEGYDVSKLHKTAHTDPPPESQVAPDDTKGIWWFKSLLGK >KZM94060 pep chromosome:ASM162521v1:5:14484930:14486965:1 gene:DCAR_017305 transcript:KZM94060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTTVALDTLIEPGGSKTTVAGKYVPDQKLDSRDATSSKIERMGSLPSSKSNSAIDVPKPRMERRNSASATDINSKRQFNRISPALYATPETTPLPVVPDSPSSFPPSPYIINHKRRGPRLLKSLSQEDVATREQDVEKDKVAVDAKNEEREEIDTDKDAEFTFNVPPPIDVAHDKGFPNGGLENSELRNSLNTQSGPLKSVAVNERRDGEPDDFFDPQDSLSVKSNTSNGGEQPFTRATPSEFYDAWEELSPDSVPQQLPLNEVETELREIKSSLLMEIEKRKQAEESLNNMQSHWLRIRQHLSTVGLTLPADPIGAVEDSNLDDPVEALCQQVNLARYVSSSIGKGIAKAEAEAEMESQIEAKNFEISRLWDRLNYYEAVNREMSQRNQEVVEKARKLRKSRKKKLRWVWGSLAVAITVGTAAVAWSYIPSGKGTSSSNQSDAPRSSKS >KZM92959 pep chromosome:ASM162521v1:5:1202814:1206521:-1 gene:DCAR_016204 transcript:KZM92959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPLQHDYIILPETHTMERSSADILSSVPAAENNNNVLNLKETELRLGLPGSVSPERKPVSEVARGYCPLKNFLSGAKRGFRDATDSSEQWAFSGSGGSEVPDFVKGPVLYSPRDENCGLDDKNNSNKSCFKPGGEKKTSATNGNAANTPALKAQVVGWPPIKSYRKNTLAPNICKKADVAKDLNTGYIYVKVSMDGAPYLRKVDLKTCCNYAELSSALESMFSCFTIGQCSSKGLPEREGLSASRLMDLVHGSEYVLTYEDKDGDWMLVGDVPWKMFTDSCKRLRIMKGSEAIGLGMFAKTALMFTHTNTMAYTEPPEEPALLNLRNQNPNFVRSFSLKNQSNSSETISFVPPCFSTPSTPLSTHYSSNFQHELQPRKEKTAPTSVTYKCMSSILTKDGQILCIAVLNGNVAYTGSESNGIRIWKLPELTECGQIKSKAKMVVAIQVTNDRVFAAYADSKIRVWSRRTCRGVTKHVKLVTIPRSGGYVRSYISGKDKIVSVTV >KZM93980 pep chromosome:ASM162521v1:5:13213846:13224279:-1 gene:DCAR_017225 transcript:KZM93980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILADLRSLMSSHSPPLDALVVPSEDYHQSEYVSERDQRRAFVSGFTGSAGVALITMNEALMWTDGRYFLQAEQQLKDGWKLMRLMEDPNIDVWMADNLAVSATVGTDTWCLSVDTAQKWERAFSKKKQKLVPTSTNLVDEVWKSRPSPEFNHVIVHQLEFSGRSVADKLKDLREHLKQEKARGIVITTLDEASVAWLYNIRGSDVPYCPVVHAFAIVTPASAFFYVDKRKLSSEVNSHMKENEIEVREYDAVSSDVIHLASNQLTSSLSKKNEAEDGHNLIWVDPRCCFALYSNLNSDQVLLQPSPLALPKALKNSVEMDGLKKAHIRDGAAVVQYLVWLDKQMQDNYGASGYFKEAESTSNKPLKDNLKLTEVSASDKLEEFRASKEHFKGLSFPTISSVGANGAIIHYTPDAKTCAELDPNKMYLCDSGAQYLDGTTDITRTVHFGKPSAHEKACYTAVFKGHVALGNARFPSGTMGPTLDILARLPLWKVGLDYRHGTGHGPHGISYRPPPRNVPLQASMTVTDEPGYYEDGNFGIRLENVLIVKDADTEFNFGNKGYLEMEHITWAPYQRKLLDVGALVPEEINWLNAYHSQCRDILTPFLNESEMAWLKQATEAIGA >KZM94573 pep chromosome:ASM162521v1:5:23722355:23725481:-1 gene:DCAR_017816 transcript:KZM94573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSWRKERPTKLLAAAPKTLFLLFSTLLLFLTYLSFQTSNSNSSSLSLKTPFFHSSVHPFDCYNSPQSHPVIASVVEGLQYPFLYSLSDFGTLPEKPHKNIVRVLKGKPFRRPDISVTVQELLGRMRGEGKMGLFVDVGANVGMASFAAAVMGFRVLAFEPVFENLQRICEGIYFNRLGDLVTVFEAAASDRVGNITFHKVRYPNSGMGVGHGYRSKCQTLNFLNLGFMDMDPKWARLVGRLDNSAVSASGAKLAFKSNEEVALQVRTIPLDELISESEPVLLIKIDVQGWEYHVLKGAKKLLSRKEKDAPYLIYEEDERLLQASNSSAREIREFLHSVGYHHCTRHDTDAHCTKRKE >KZM94912 pep chromosome:ASM162521v1:5:26945593:26952170:-1 gene:DCAR_018154 transcript:KZM94912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLATSSLPLFSPRSTPTKRYAFSQPPLLHSRASGPHLPIARFPSHLSSSPTTLTATTPVCLAYVSGPASDPIVPSNDDLDSSDIVQHHQLPTPTSAISWGLLWALLVPHKLRLLASFASLLGCSTCTLSMPIFSGRFFEVLIGARPEPLWILLSKVGVLYALEPIFTVMFVVNMNTIWENVMSSLRARIFSSILIRKVEFFDRYKVGELTALLTSDLGSLKSIVTGTICLLFVLAPQLAPILAVLMLVVSVLVALYKRSTMNVFKAHGAAQAYIADCVTETFSAIRTVRSFGGEKRQMSMFGDQVQSYQNSGIKLGIFKAFNESLTRIAVYLSLMALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGAFAATERINSVLSGAEVDEALAYGLRKDIKKKDALDKSFDMFFVSDPDGDNRSKNMSYMSSLKGDSNVQNLARCGDIYLEDVHFSYPLRSDVEILKGLNLVLKCGTVTALVGPSGAGKSTIVQLLARFYEPTSGRITVAGEDLRTFDKSEWARVVSIVNQEPVLFSVSVGENIAYGLPDDYVSKDEVIKAAKAANAHDFIVSLPQGYDTLVGERGGLLSGGQRQRVAIARALLKNSPLLILDEATSALDTVSEQLVQGALNHLMKGRTTLVIAHRLSTVQNADQIALCSDGTIAELGTHSELLDRKGQYASLVGTQRLAFE >KZM96506 pep chromosome:ASM162521v1:5:41487863:41493831:1 gene:DCAR_019748 transcript:KZM96506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQIFGKRKASSKSSSQSDSSNDVGYSSINTSSSAGGGVGNSTKTTSVSGKGANSASAALKSSNGNYAPQSSSAAIVSNQGKKSGPGGSQAGQGMNYGVYEALPSFRDVPSSEKQNLFLRKLDMCCVLFDFSDASKNLKEKEIKRQTLLELVEYISSATSKFNEVTMQEITKMVAANLFRAFPSSHDNKLPDVYDPEDDEPAMEPSWPHLQVVYEFLLRFVASTETDAKLAKRYIDHSFVLRLLDLFDSDDQREREYLKTILHRIYGKFMVHRPFIRKSINNIFYNFISETVRHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKSVSMYHQQLSYCITQFVEKDIKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQAAEFQRCMVRLFCQIGRCLNSSHFQVAERTLFLWNNDHIRTLITQNRKIILPIIFPALENNTRSHWNQAVHSLTLNVRKIFIDADQALFDECLAKFQEEEMKGKEKLEKRELTWKRLEDMAASKAVTNEAVLVSKFSSVAIATSTNSPKAASS >KZM92987 pep chromosome:ASM162521v1:5:1436427:1441128:1 gene:DCAR_016232 transcript:KZM92987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYGMLARRVVQTETPVMVQIQELIRGSKDVMSLAQGVVHWLPPKPALEKVKEIVWEPSVSRYGADEGLPELREALVKKLREENQLCKSSVMVTAGANQAFVNIVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTNILVGPGNPETLHPDADWLKRILQETKPTPKLVTVVNPGNPSGTYIPDALLKRLSDICRDAGSWLLVDNTYEYFMFDGRKHSCVEGNHIVNIFSFSKAYGMMGWRVGYIAYPSEVEGFAAQLLKVQDNIPICASIISQRLALFSLEVGPEWVTDQVKELVKNRTIIQEALAPLGEGAVKGGEGAIYLWAKLPEKHTEDFEVVRWLARKHGVVLIPGSACGCPGHVRISFGGLLENDCLLASKRLKKGLEELVTSGMLE >KZM94497 pep chromosome:ASM162521v1:5:23020922:23023972:-1 gene:DCAR_017740 transcript:KZM94497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRASGISNTTADALQRITCNAREEITVLYYDEESNQSCSYSDRTHCTRSERSSDFTCRYKSVIVATMGRKGIACFSSVKKAFSTPKSKEKKNQKWKKWFRKQTSLKRKSSLEACSTPQNRAQLPQIHTTGIVRPITVSRVAGKSREEVAAIKIQTAYRGYTARRTLRALRGLVRLKSVVNSAAVKRQTTNTLQTMQGVSRVQYQINSRRIRMSEENQVLQKQLLRKRAKQLESLQMEGEWNRSSQSKEQIEAKLLSKYEATMRRERAMAYSFSHQQTRKKSARSTNQLFMDPANPQWGWSWLDRWVAARPESKTEKEFTDDHSSVKGGRRNFAGNAMAKSHARPQLICEKPMPACYSRLYSPRNHLSPSTPVCKVTSPKPTRRLKLHSPGASVLSIDYDSKSMFSIDSELNRRQTIAGSSVRDDESLVSSPSIPRYMAATHSAKAKSRTQSLLSMENGTLAKKSAGYGKKQLSYPASPARATQHFSHPSLDSPSIADNNVSDIAVN >KZM94551 pep chromosome:ASM162521v1:5:23528396:23528608:1 gene:DCAR_017794 transcript:KZM94551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTVNHIQYQFLLLKSENVAISPKQHRNKRESTVHIKAKASYHFMLCLITEQQYLNLYRAGQFSTNTQHT >KZM94127 pep chromosome:ASM162521v1:5:16300761:16301072:1 gene:DCAR_017372 transcript:KZM94127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEADMMILDSVKENTRMKKTITHLEEENKRLKDKIKLLEIHHSNNERMIDLLKRHKDEQQALGLHIIDPTKFEPPNIGKKRKLEHGEGSQVPRDEGEQKKE >KZM94841 pep chromosome:ASM162521v1:5:26396706:26397827:1 gene:DCAR_018083 transcript:KZM94841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIRGKRSRRTPKQLPPLSCDPNPKPSFPIASAVKLSLITNPHFVHLRHALFPSASSFFFRLPCQLTSTPEYQFIPLDHGGECPTPFKTLDFVPEPYMRTAYVFQEFNFVPETYANKTLYVLQSCNGLLLCFNYGAPEVGKVYYVYNPTTAEFAILPPIRPEQLNIVYSMSLAFDPKKSPYYKVVCIGRPARVSEDFQIGIYCSETRFWRVSGQTSTPPVFSHLTRCVYWNGSLHWWDSSYDQSFGENEPYAFYFKVDEEILEKLPIPKNQNGMEYWQKQESGCLCADCADNPYFGESADSLHLVSVNPDFLLNVYEMAKDYSGWFLKYQVDLSAIPKNFPR >KZM96491 pep chromosome:ASM162521v1:5:41372863:41374986:1 gene:DCAR_019733 transcript:KZM96491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITGELVRSVFSKSKSIRGHEANVRSNQERKRWKSSVRSYLCGDEFNSVLAEEDSASLRSSKVTVSTEPEFSSTNVDDPASSVWSSEATVTQPVPENLVEKTDAESKQNDVDIQEEKHNSTSNLFRKDDAAIVIQSALRRFLARRHKEGLSLMDCKEKLVVGAESKRSNSVGTSIEVQTGNLTVQSFQEESESQLQRVQHKGRPQVLKLKEDWDDSTVSSNISKLRMQNRLEATTRRERALAYAFSQQLRVCSKKKHTRSESEVVESNMGWNWLERWMATRQQDNCLTEITKQYEPLNRNQKTATRKRLLFDIGGEEESCGSNEVAIQLDNFSVPAFSKEKEDYTKPLQDRLKPTSVSRCKTLPRDHYSKETVKLDAQTSNPKDNVKVDARTWVIKKQSAGEDEIDKKHNKPKQPSGLKKEAECKDATSKASPEL >KZM95661 pep chromosome:ASM162521v1:5:34234886:34239214:1 gene:DCAR_018903 transcript:KZM95661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQFKKMKMVAEKLITIESTVSSVSVLFIHSALFLVAHFLPSFPLLTLLSISAGVMLLVVGLGRACKKLLGVRGSAPAFVVINILYVWIVYLIVIRPGVSYKLDIVFNSELVILLLGLCRILSSDPGYVAYGYSNPEKLVADPLLDVETPLQESELASCETYKGCASNEKNGQESTLLQRIRFCRMCKVYVKGFDHHCPAFGNCIGQKNRVLFITLLVGFILSEASYIACLSQFAKSYTADKDVEKPSYSSTLAGSTMLFSLLQVLWQIVFLMWHLYCVCFNITTDEWINWKRYPEFQLVVQLQLGQPASRTVFTNPYNHGILQNLKHFLKAKE >KZM93809 pep chromosome:ASM162521v1:5:10996741:10997415:1 gene:DCAR_017054 transcript:KZM93809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGWLTIYNSDDPNSQFTKLSARAQLMSKITQLLKKYEGENVSITFAGHSLGASLSVVSAFDLAENGVTVPISAFIFGSPQVGDKRFNDKLKEFANVKILHIRNKIDLIPLYPSILLGYVNTGVELLIDTRKSPNLKDTKSPGDWHNLQTMLHIVDGWNGDKGEFELKVKRSLALVNKSSAILKEEYLIPGSWWVEKNKGMTIDENGEWGFAPPADEDLPVPEF >KZM95261 pep chromosome:ASM162521v1:5:30621731:30626659:-1 gene:DCAR_018503 transcript:KZM95261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLLNKLASKSLSVAGKWQQQQLRRLNIHEYQGAELMGKHGVNVPRGAAASSIEEVKKIVKDMFPNESELVVKSQILAGGRGLGTFKNGFQGGVHIVKADKVEETAGKMLGQTLVTKQTGPQGKVVNKIYLCEKVSLVNEMYFAIMLDRTTAGPLIIACQKGGTSIEDLAEKFPDMIIKVPIDVFKGITDEDAAKVVDGLAPKVSDKKESMEQVKKLYKLFCDCDCTMLEINPLAETSDNKLVAADAKLNFDDNAYFRQKEIFALRDPSQEDPREVAAAKADLNYIGLDGQIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASENQVVEAFKILTADDKVKAILVNIFGGIMKCDVIASGIVNAAKQVALKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASAS >KZM93532 pep chromosome:ASM162521v1:5:7527990:7531716:1 gene:DCAR_016777 transcript:KZM93532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNKHRPSSAFDSSYWTTNAGAPVWNNNSSLTVGSRGPILLEDYHLVEKIANFDRERIPERVVHARGASAKGFFEVTHDIAHLTCADFLRAPGVQTPVIVRFSTVVHERGSPESLRDPRGFSVKFYTREGNFDMVGNNMPVFFVRDGIKFPDMVHAFKPNPKSNVQEKWRIMDFFSHLPESLHMFTFLLDDLGIPQDYRHMEGFGVNAYTFLNKAGKEYLIKFHWKPTCGVKCLSPAEAIKVGGANHSHATKDLYDSIGAGNFPEWKLFIQVMDPDHQDKFDFDPLDVTKTWPEDIMPLQPVGRLVLNRNIDNFFGENEQIAFCPAIVVPGVYYSNDKLLQSRIFSYADAQRHRLGPNYLQLPVNAPKSPHHNNHHDGLMNFMHRDEEVNYFPSRFDPVRHAEKYPIPDSVVSGRRDKCVIDKENNFKQPGERYRSFSPDRQDRFISVVVDFLSDPRVTHEIRIIWISYWSQVDKSLGQKVASLLHVKPNI >KZM95036 pep chromosome:ASM162521v1:5:28131799:28132191:-1 gene:DCAR_018278 transcript:KZM95036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCQKPSIVTLDGFLGARRIITGKQRVAEGIKGRYIKNSKKYRSLTRRSVMKRSRRNGGLKSARPSNPEVVKKVRTLKKLVPNSSESKGLDGLFRETADYILALQMRIQFMQVLVNGLSSTPVDVKCIE >KZM94566 pep chromosome:ASM162521v1:5:23673563:23677249:1 gene:DCAR_017809 transcript:KZM94566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGRKRIPLGAVSPSAVRNAQRRRSSNDVQTSGNVSSANRENLEPNRASASLPESSFQTPARNDNVCQQPSHSNLGSCTGTRICTSSQAGKTTKMAYYPTQSSGPSYRLPASRLPNRSVTGSNHGIQFHMPASTIRRTVANQTSGNKLNNTGSVNLLDRHTPANTTSMSSPDSEITVLVPELVKIGVRRPTWYSDQGTPGQKNLLSSFNRVGQNSCPERRSDTGMKDTITTRSMKYHESETFVRGGTSDDYGGPDFASTSTMNNGTLKIIC >KZM92872 pep chromosome:ASM162521v1:5:411319:412984:1 gene:DCAR_016117 transcript:KZM92872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTICAAVLLAALSFFLVPAYLHRRKINSPPGPKPWPIIGNLNLIGPLPHRTIHQLSKKYGPIMQVRFGSFPVVIASSVEMAKIFLINMDANFSGRPKTASGKYTTYNYSDITWSPYGAYWRQARKWCVTELFSKKRIQSYEYIRQEETKALLKELHAASGTNVVIKDYLAKVSLNVISRMVLGKKYSDDNEEEESGIVSSKEFTDMIEELFYLNGVLNIGDSIPWIDFLDLQGYVKRMKILSFKFDRFLEHVLDEHNERRKNEGQDFVAKDMVDVLLQLADDPNLEVKIQRHGVKAFTQVIESSLANLLHGFSWKLPKSMQKDEVNMEEIFGLSTPKKIPLVTVPEPRLPLQLY >KZM94111 pep chromosome:ASM162521v1:5:15864958:15877650:1 gene:DCAR_017356 transcript:KZM94111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLKSTCVQARLHGFTTPFQSHLRYGSSQITSPLLRMVNSVGNLNPSLNKRVYFCTDSSDGSSVVMESKGVEEGAAEPDSKSSSAMVPTVFRPEDYLTVIALPLPHRPLFPGFYMPIYVKDPKLLAALVESRKRHAPYAGAFLIKDEPGTDSSVTSGSDTEKSIYELKGKELLDRLHEVGTLAQITSIQGDQVVLIGHRRLRITEMVSEDPLTVKIDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHIGDFNYPRLADFGAAISGANKSQCQEVLEELDVYKRLKLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLEADDKTALSAKFRERLEPNKEKCPPHVLQVIDEELTKLQLLEASSSEFNVTRKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDVAEIKGHCRTYIGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASAMLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEIISIVGYITDEKTHIARDYLEKATREACGINPKQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGATCDPSTAAKLESKTDGTTVGVDEKVQEVVSEIAEEAEVVEVVQSNEKEDISINQVSDSKDHDETKLEPEVEETKPVEKVLVEESNLSDFVGKPVFHAERIYENTPVGVVMGLAWTSMGGSTLYVETTHVEEGEGKGALQLTGQLGDVMKESAQIAHTVARAILAAKEPGKSFFANTKLHLHVPAVKKDISMTGEVTLTVRILPIGGVKEKTIAARRSQVKTLIFPAANKRDFDELASNVKEGLEAHFVDNYNQIFELAFEEKQKEQ >KZM94789 pep chromosome:ASM162521v1:5:25934019:25937873:1 gene:DCAR_018031 transcript:KZM94789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACETEEIIYIDECMKLALEQANLALNNLEVPVGCVIIEDEKVIAAGRNRTNETRNATRHAEMEAIDILLEQWQRNGLSKADIAKRFSKCTLYVTCEPCIMCAAALSILGIKEVYYGCANDKFGGCGSILALHTSESGGSTRDEVSQGRGFKCTGGIMAPEAISLFRSFYEQGNPNAPKPHRPLAPSI >KZM93696 pep chromosome:ASM162521v1:5:9329650:9338482:1 gene:DCAR_016941 transcript:KZM93696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIMKPASGMTITPHKVSVCVLVQVYAPPAQISVPFPFSSVSQHNRLALYLLSLIKSCDGILEPTLDQLITQLRQVGGLFSHWITDHLTNRLASLSSPDDLFNFFTDLRGILAGPDTTVMDDDQINLDPSSTLGVYVRRCLLAFNLMSFEGICHLLMSIGAYCKEALSTSASYDLPHSDDFSNASEALEYENMDLESLVFDKVNEEIKSRKRSYEGVSFHNHAPRALFGLVQDINVTAEPSHKNIDKPLECSPISQSDKLGVVDPSGGNFLRTNWQVQGYLLEQADLIEKQRSSFSLNAFESILKQLQRLAPELHRVHFLQYLNSLYHDDYPLALENLHRYFDYSAGTEGCELVTPSTGCNSLGRYENALLCLGMMHFHFGHPKQALEVLTEAVRVSQQNGDDTCLAYTISAICNLLSEIGISNTTGIIGSSYSHVTSIGTSLSIQEQLFVLLRRSLKRAESLKLKRLVASSHLAMAKFDLTHVQRPLISFGPKASMKLKTFPVNVCKDLRFCSRLISEFDTESELTITDGAMSTVWLENLKKPMGSSVFMQDNGSGTISDCFRFCAQPSSVPASVQQLVGSSYLVRATAWGAYGSAPLARISALVFATCFAESSSSEDVALAYAKLIQHLALFKGYKEAFSALRIAEEKFLSVSKSRILLLKLQLLHERALHKGNLKQAQQLCDELGVLASSATGVDMELKAEANLRCARTLLAANQYSEAAAVAHSLFCMCYKFNMQVENATVLLLLAEIHKKSGNAVLGIPYVLASISFCQVFNLDLLKASAAVTLAELWLSLGSTHAKRALTLVHGALPIILGHGGLELRGRACIAEAKCYLSDPSFSVIDNPEVVLDPLNQASEEFEILEYHELAAEDFYLLAIVYDKLGLLEEREKASASFKKHMVALETPVDEECFLFDML >KZM93728 pep chromosome:ASM162521v1:5:9891534:9892992:-1 gene:DCAR_016973 transcript:KZM93728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYPNNNNRWGLNYNSPPPPSTQSSSSPSAPYQSHPPPPQHASAPYATPPNQPQPSPYSSAPYASAPYAFPPNHSQSSHFTSPPPSYTQHASAPYASPYHQASPNKDQHAYGSPFAAGLVPSSFPPGTDPNVISCFQLADRDASGFIDDLELQKALTTYRESFSLRTVRLLMYHFTNSSSRRIGPKEFTAVFYSLQNWRAIFERFDRDRSGKIDASELREALLSLGFAVSPAILDLLVSKFDRTGGMNKAIEYDNFIECCLTVKGLTEKFKEKDTAYVGSATFTYEAFMLTVLPFLIA >KZM94020 pep chromosome:ASM162521v1:5:13929359:13929947:1 gene:DCAR_017265 transcript:KZM94020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVERKDARASDSKMTKVLTRNTQSGSALRRPSLLNKHTTNLTNKNSKPIHIEAEDDVTVIVPQLEKMGIPRPACNDAVWFPPHTENYGNALTVAFIDHLLNKYSRPFFTFLSTGIRGKNLMESFDNAQDNTTPKNVSRGM >KZM93865 pep chromosome:ASM162521v1:5:11659993:11661973:1 gene:DCAR_017110 transcript:KZM93865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNLFPTYHSTLLLQRCLASLLCFYAVTNHQSDAFDYRDALSKSILYFEGQRSGYLPPDQRVTWHHHSGLSDGFRQGVDLVGGYYDAGDNVKFGLPMAFTITLLSWSVIEYGKQISDAGEYHHALEAIKWGTDYFLKSHTEPNVLWGQVGDGRSDHGCWQRPEDMTTERDAYKIDEKHPGSDLAGETAAALAASSIVFRGANPYYSNILLHHAKELFEFADKFRGKYDDSIEVVKGYYPSLSGYKDELLWAALWLHKATGEVDYYNYVLENAHSFGGIGWEMTEFSWDVKYAGVQVMASMLLKETLPQEQKQILHQYHSKAKHFICACLNKNKEKNLTRTPGGLMFIRPWNNLQYVTTATFLLTVYSDHLKLTNQELSCYRDSVGPDEMASFAKSQVDYILGSNPMRKSYLVGYGPVYPERVHHRGASTVSYKVNTSFIGCIEGYHSWYGHQAPNPNVLIGAVVGGPDKNDRFEDRRGNFMQSEACTYNTAPLVGVFAKLYGSEKNSMIFDS >KZM95372 pep chromosome:ASM162521v1:5:31546442:31546639:-1 gene:DCAR_018614 transcript:KZM95372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMNHGLAEAYVMRKHLQEKMKKLNSTSKNGEEKEGSADNKDGGCFPMLKKKIHPNSTTSSDSQ >KZM94837 pep chromosome:ASM162521v1:5:26383223:26384560:1 gene:DCAR_018079 transcript:KZM94837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIGAKRSRISKQHSPVSCHPDMSSSHMIASAVKVASNEDLLLEILLQVPIKTLMRFKSVSKQWLSLIANPYFVRLRSPLAPSASSLFFANSSCRSNPDYQFIPLDVVDGSLAPFRTFKFINDPLGSGVSALQSCNGLLLCASYRAREFNRRYYVYNPTTKQFATLPQIKREFSKTVCGMSLAFDPVTSPYYKVVCVRRSELRQQHFQIEIYSSETRNGSVHWWKGFVHWGNALIHWNVWMDEPYTLYFKVDAERLEKLPMPVKHLETVHLHGHEKAKTYFGASYLGESGGHWNVVECNSNITCLLNVHEMARDYSGWFLKYQVDLNAISDVFPEIIKKKGDNRDSGYTFNVVSVVRSGNEENHESFVVLEIPGGMVVRYSLVDKRVKKLWEFTQTGYKFYNEKGLRGVCGLPYIQSLASV >KZM95770 pep chromosome:ASM162521v1:5:35401196:35407512:-1 gene:DCAR_019012 transcript:KZM95770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGAMAGEDLMRSMSRRASFAASASKRGWGASSIREAFTAAGGDVFQKSGRQEDEDDLKWAAIERLPTYDRLRKGFLQQVLENGRVVHAEIDLTHLGAEDKKHLMENVQRVIDDDNERLLHRLRDRIDRVGIEVPKIEIRFEQLSVEGDAFVGSRALPTLLNSTINSIEATLGFLRLFPSKKRVVNILHEVSGIVKPSRMTLLLGPPGSGKTTLLKAIAGKLDLDLRETGKITYCGHDMREFVPQRTCAYISQHDLHHGEMTVRETMDFSGRCLGVGTRYEMLAELSRREKVEGIKPDPEIDAFMKAISISGQETSLVTDYVLKILGLDICSNTMVGDEMRRGISGGQKKRVTTGEMLVGPAKVFLMDEISTGLDSSTTFQIVQYMKQMVNIMDVTMIISLLQPAPETFDLFDDIILLSEGQVVYQGPRECVLEFFEYMGFKCPDRKGVADFLQEVTSLKEQEQYWFRKDEPYRYVSVCEFVHRFNSFHVGRKISAVLSSPYDRSRAHPAALVNDKYGISNKELFKACLSREWLLMKRNSFVYIFKTTQITIMALFTFTVFFRTEMKYGEIDDGGKFYGALFFSLINVMFNGMAELALTMFRLPVFFKQRDALFYPAWAFALPIWLLRLPLSVMESGIWILLTYYTVGFAPSASRFFRQFLAFLGIHQMALGLFRFIAALGRTQVVANTLGTFTLLLVFVLGGFIIAKDDLQPWMKWAYYLSPMSYGQNAIVINEFLDPRWNTYISDSMYPDHTAGKVLLEARGMFVEDHWYWLCVIALFAFSLFFNICFVAALTFLNPLTETKSVASEDCDEADKKKRPSSEETDMAEMNSNTENNVVDRKGMVLPFEPLSLAFDHMNYYVDMPAEMKSQGIEEDRLQLLRNVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLAADVDEEKRKMFVEEVMDLVELNSLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQVIYAGPLGHYSQVLVDYFESIPGVTKIKDGYNPATWMLEVSSSAIEAQLDVDFAEIFTNSDQYRSNQELIKDLSTPKSGSKDLYFPTQYSQPFITQFKACFWKQHWSYWRNPQYNAIRFFMTAVIGLIFGIIFWKKGDKMHKQQDLSNLMGAMYAAVLFLGGTNTSAVQSVVAIERTVFYRERAAGMYSPLPYAFAQVSVETIYVGIQTFLYSLILYSMIGFHWEITKFLWFYYFVFMCFVYFTLYGMMLVALTPSYQIAAIVMSFFLSFWNLFSGFLIPRMQIPIWWRWYYWGSPVAWTIYGLVTSQVGDKMDIVHVPNHGEIPVKDYLKVFLGYEHDFLGYVALAHIGWVLLFFAVFVYGIKVLNFQRR >KZM94369 pep chromosome:ASM162521v1:5:21506525:21515388:-1 gene:DCAR_017612 transcript:KZM94369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALESENSVIESVEDNEVIIPSENTIIHSNGDCGVEHVREVDLGEVKSEGFPSSGSGSMGTNSQVKKGYGLKKWKRMPRESSKERGNSMDNGTAGKREFSNVTPDSRKGVVLAETKQRSEGSVSSTNGVLKSSGLFAQGFGSGLEVGLGPVFDAVADSENSEDHSSKSSTAASAPQQRLEIPLTGGSVGDSNGFHDLAAQRGQHGRNRTGTGKKARAEQAKIEKENSYCSVESDSQGSNFVFMQGANSVTSKGRQSKFANCDGENSDEEGQGSEQHFAEELQSGFRKNMAEFEEVSQTYLPADLNWKTKEENCVNNGSSVDQDPLLESITRLQSAQEALAEEVQKFKEIGKDDIFFFSDVIQDANPSSLEGDIVNVKQNVNVLESKLEEARSTLEPSLTSTGSIQKENIVNVKQNVNVLESKLEEARSTLEPSLTSTGSIQKENIEMSDLEQRISREVEDLEGLFKQRIEAMVEYLVTSKTIEDLRLAAVSNITFLEEQKLVAIEQAQVVSFSESVGYQASMPKRGVDKLETQIETGENMKLKKRTCNFALLFFIQFVLLCVALWFFVLQLVPCYTENVPT >KZM95000 pep chromosome:ASM162521v1:5:27807658:27810695:-1 gene:DCAR_018242 transcript:KZM95000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFLNCQQLVALILELLLFGKPLWVVSSSSLCKETSSGIDRPHSVSITEFGAVGDGLTMNTKAFENAIFYLNSFADKGGAQLFIPKGQWLTGSFMLISHLTLHIDKDAQILGSTNSNDWPVIDPLPSYGRGRELPGGRHRSLIYGCNLTDVIITGDNGTIDGQGSVWWNWFRSETLNYTRPHMVELIDSKDVIISNLTFRNSPFWNIHPVYCSQVIVQNVTIIAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLIAIKSGWDEYGISYAHPSTNIIIHRLVGQTTSSSGIAIGSEMSGGVSQIHVEDVHFFNSNRGFSIKTSRGRGGYVRNVFITGVILSNLKVALKFNGNYGEHPDESYDPDALPSIEKISIQNVTGKNIKVAGHLEGIEGDTFKHICLSDITLDVRSKSPWICSNVEGFSEMVSPDICKPLEPKINPDSKCYQMSNHLRSIRPRNKGTSLQ >KZM93496 pep chromosome:ASM162521v1:5:7262485:7266274:1 gene:DCAR_016741 transcript:KZM93496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRIHWVLNATQASFASFFLADYFPLWGSLLDRLGGARARLDKNFDELNVFYHQLIDEHMHASTASAQECSILDILLQMNKDSSGITFDHIKAILMNVIVAGTGSSAEVVAWAMTLLIKNPTTMNKAQQEVRELTGKKGFIDESNLQKLVYLKAIVKEAIRLHPPAPLLVPRETTEKCVLGSVRALVVSSVTAATEVFRYNDLCFSSRPALVGAQKISYKGLDLVFSPYNDHWRKTKKIATLHLFSAKRTHSFQSVREEEVARMVKAVRDKITASDDSSIVNLSKTVIRLTSSIIFRMTFGKRFDDGEYGQGDDNKMSRKIQWLLAETQANSVSFFVTNFFPLMGRLIDRLSGAYARLEKSFNELDAFYQQFIDENLQASTVSAPDSTILNILLKMNKDSSEFTFDHIKAILMNFIVAGSDTSAAVVVWAMTLLVKNPTAMKKVQDEVREFTGKKGFVDENDIQNLVYLKAVVKETMRLNPPAPLLVPRETTEKCVISGYEIDAKTLVYINAYALGRDPEFWVNPDSFLPERFLNSSTDFRGQDYEFIPFGAGRRMCPGLLLGVIMTELVLTNLLYSFDWELPPGMEITDIDMASQPGTTMHKKNHLNLVPKFINYH >KZM93584 pep chromosome:ASM162521v1:5:8078649:8081469:1 gene:DCAR_016829 transcript:KZM93584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESGRKQGVASPCAACKLLRRRCAQDCVFAPYFPADEPHKFASVHKVFGASNVNKMLQDLPEHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDSLQAQLAQAQAEVVHMQMRQYSSSVANPNNDNSPENVSPTSKHMMMQSSQTSTRSLFSMDMVVDHTNMGESLWSC >KZM95090 pep chromosome:ASM162521v1:5:28560682:28563893:-1 gene:DCAR_018332 transcript:KZM95090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEELSDDTPCSSIAVDSILRIGYAGLLWGVCSAPYRANKLGLAGVPRAAFVAKTVGTYGIQCGLFAGIFSFTSCRMQTYRREKDWVNALVGGAIAGAAVGAGTRNWKQVAVLASVVSATLSAANGSKVL >KZM93305 pep chromosome:ASM162521v1:5:4620202:4624163:1 gene:DCAR_016550 transcript:KZM93305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHILHILPAKSLTSDEQSPIDGEAVTGEDYIHPCVERLQNVEQILDKLKNRPAEIPREKDQMLLHSLERIKSVEFDLDKTKRQVAVSIENIEQEILPLEQMKIEPTTNTNS >KZM93946 pep chromosome:ASM162521v1:5:12653518:12655219:-1 gene:DCAR_017191 transcript:KZM93946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NSY-1 description:neoxanthin synthase MNKFVLENQNALLVSILKLGQYLPSWTWELLKYLSLYCLPNAYDENLETECLTEHSSLTRKGFYNISKIQLNPVAIEGTNSDLYGQHVVLWTKMRKEWSFKRGSISIAGPTMERFVLHRKSSGVQASWFTNSQIASSVFTLATAAVLPFYTLMVLAPKATLTKKCIQSAVPYVGLGLLYAYLLYLSWTPDTFRLMFASQYWLPELSGIAKMFSSELTLASAWIHLLAVDLFAARQIFQDGLQNSVETRHSVSFCLLFCPIGILSHEITKALTTGGRTAKREIH >KZM94348 pep chromosome:ASM162521v1:5:21313253:21317020:1 gene:DCAR_017591 transcript:KZM94348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKLKAKQVDEQTGNEEQEQVNELEEKWVKYYSSLHEILLVGEGDFSFSACLAQSFGSASNIVASSLDSFDDVIKKYKNGDSNLSILEKLGASHLHEVDATKMKFHSDLKMRKFDRIIFNFPHAGFYGKEDNRHLIGMHKKLLNGFFRNASGMLRPNGEIHVNHKISDPYCHWNLEELASDNSLRLIECVAFKKEDYPGYENKRVSGLKCDDKFLLGECSTFKFSFSPNAKQNMKRLQKPADSPKFVPQRPNSFMFRHRPSDLVAYASGTPAWNITLGRIPELLQTLVHASHQQGNILQHGQRHGLLQNPACAYQQENYQANRHPSSDLVTFVTKNPALNIPYGRSYLPLQAPTSASQQQNFIEHVLPRELRASQQGNIREHVLPHELRQTLIRASQQGNIQAREFLQASLCSNQQPHYPTSRCPPSDINLQMSSIQGSNGSLHVDLIFSKCKSIFGGYFEQVVKALGSPDHSSKFLEEAFQLGYKRYMAGDPRGSPGNYKYILEQLRKFVGRESCLVSRPVAVENNRASNSRFGPFM >KZM94995 pep chromosome:ASM162521v1:5:27770899:27775486:-1 gene:DCAR_018237 transcript:KZM94995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTKQKNFRKRNLDDLQDDNTTNTNLDDAEDEHQRRLALEEIKFLQKQREKKSGIPALLSGNQGAGAVGPVIAKGSDNKNEVDGENDELVLQDTFAQETAVMVEDPNMLRYVEQELAKKRGKVIDDANQVENEIKHAEDELYKIPEHLKVKRRNSEESSTQWTTGIAEIQLPIEYKLRNIEETEAAKKLLQEKRLMGRTKTDSGIPSSYSADYFQRGKDYAEKLRREHPQLYKEKDPQKDGLEARPNDSNPDAAVRRQAATDEIMLERFRKRERFRVRR >KZM93923 pep chromosome:ASM162521v1:5:12248468:12249829:-1 gene:DCAR_017168 transcript:KZM93923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFSPLTLTILLFFIQRIDFCHTLVPANETFKFVNEGELGQYISEYFGDYRPLDPFTSPFQLCFYNQTPTAFTLALRMGLRRTESLMRWVWEANRGNPVDENATLTFGPDGNLVLARSNGQVAWQTSTANKGVVGLKILPNGNMVLYDSKGKFLWQSFDTPTDTLLVGQSLKMGAVTKLVSRASPGENVNGPYSLVMEPKGLHLYYKPTTSPKPIRYYSFSLFTKLNKNESLQNVTFEFENENDQGFAFLLSLKYGISNSLGGASILNRIKYNTTLSFLRLEIDGNVKIYTYNDKVDYGAWEVTYTLFLKAPPPLFQVSLAATESESSECQLPKKCGNFGLCEESQCVGCPTSSGPVLAWSKTCEPPKLSSCGPKDFHYNKLAGVDHYMIKYTSGDGPVKDGDCGVKCTGDCKCLGYFYNQDTSRCWIAYDLSTLTRVVNATHLAYIKVPNK >KZM94140 pep chromosome:ASM162521v1:5:17024077:17025654:-1 gene:DCAR_017385 transcript:KZM94140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTVASYGGPYLIDSFVQYLNGSKNLKEGYLLVSAFVISKLIECLTQRHWFFKVQQNGTRGKAALIALIYHKGLTLSCQSKQGHTSGEMTNIMTVDAERIGVLAGLASVASLVTTIIVMLLNVPLGKLQENYQTKLMESKDHRMKATSEILKNMRILKLQGWEMRFLSEILDLRKIEAGWLKKFVYTNAVVTFVFWGTPTFVAVVTFSTCMILRIPLESGKVWSALATFRILQEPIYNLPDTISVMIQTKVSLDRIAAYPKKNEICMEPAIRSCIVVGHRFKMEMSIWECCELLNNVVDDSDPDLDEP >KZM95734 pep chromosome:ASM162521v1:5:34957097:34957447:-1 gene:DCAR_018976 transcript:KZM95734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPKIKRLVGKYEVGRTIGEGTFAKVKFARNTENGDPVALKILDKEKVLKHKMAEQVCHSFFELSCLSLRLTVMRTLLTYFLSSCLKEVHWCVMFIKEFTSLMYEISQKKQRSYI >KZM95496 pep chromosome:ASM162521v1:5:32651184:32655228:1 gene:DCAR_018738 transcript:KZM95496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSNSTCVSPRSSGPATHRRRAVDYSTSEDEVDVVAGVSHHRKAPAGTCLVMPESWVWRVEDYVAELTKGVNSWKSRKNTGRRIVGGLMVTMMVFMMFLKSSYNDVEMISGGKHNRRLNGLFTMQTWSNHHQSVVLSEEIKTSVVSSMPKRVLEKYPVPEIWMKTDSDDYYQCIVRKNDRTRNRMATNGYILVHANGGLNQMRTGICDMVAIAKIMNATLVLPSLDHDSFWTDPSDFKDIFDWKHFIQVLKEDIEIVEKLPPRFAAKKPYLKAPVSWSKASYYRGEMVSLLKKHKVIKFTHTDSRLANNGIAGAIQRLRCRSNYEALRYTEEIEELGKILVNRLKNEDSPYIALHLRYEKDMLAFTGCSHNLTADEAQELRAMRYSVKHWKEKEIDSYEKRLQGGCPMSPREAAVFLKAMGYPSSTKIYIVAGEIFGGNSMDALKSEYPNVFTHSTLATEMELEPFRHYQNRLAALDYIVALESDAFVYTYDGNMAKSVQGHRRFQGFRKTINPNRLGFVKLIDQFDDGTITWEQFSSEVKSLHLYRLGTPYLRQRGETPRSEENFYANPLPGCVCNRSEKKIRGRRQYRSPSLNAAA >KZM95698 pep chromosome:ASM162521v1:5:34663550:34665969:-1 gene:DCAR_018940 transcript:KZM95698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINKVSNFSDLIHRVTASCFLHPLAADRHHSSQISPSDDSDDSDDYPPNPRISEYLAQQEEDIENTENGSIARARRSDGEFGDVEVLIGEVFEAFSAVKKAYVSLQEAHCPWDPDRMRVCDAAVVAELRRIGVLRERFRRKNVGGERGRRVVGATLREVVAPYEAAVEELKRELKVKEVEVENLKDKLKNAVVVTGGSGGKKNGRGHHQSKRRVGCSTQTPVSLSPAPEVFEATMSMVREASKSFTALLLSLMKSAHWDITAAVRSIEAAAGATTNATMDSIVGPNHAKYAMESYVNRKFFQGFDHETFYMDGSLSSLLHPDQFRRDCFTQYRDMKAMDPIELLGILPTCDFGKFCCKKYLSIIHPKMEESLFGDLEQRCQVLAGNHPRSRFYGDFLGLAKAIWLLHLLAFSLEPPPSYFEGSKGADFHSQYMESVVRFPGGRVVGGQVVGFPVSPGFKLASGSVIKARVYLVPKNDF >KZM95628 pep chromosome:ASM162521v1:5:33967451:33968668:-1 gene:DCAR_018870 transcript:KZM95628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDSASGSGSKAAGGGGMGQPSNGPEGLRGRKKSATSRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDDAARALRGANARTNFEHPQSLARNSLPEHAEPFSFEQACGKHEAEEGLLGALKAKLYDGNNLRSLICPQLNSTTSLPALQQQQQSSHAVAVSQQKNHVAKRDHQSMSAPFVGTPAKATANIGSSLNQLMLPSGFEQSHKQIDNSFLPNGPIDFMAIHEQQFGGMKWQNQGTDITSAMVWQQQSNYMPWQAASDLNMHIPQDQNAFFGDSPTLPWQFSGASTQSAMIDQHMSHYTDSNYFNNQLLNGNKMNGKMDMMSEYIPVTYGVADQVVNEAELTVCGGVSTIWSPDQQFTTGWATNGNGGVNVSNNWEQLLYASSVLG >KZM92926 pep chromosome:ASM162521v1:5:917340:918377:1 gene:DCAR_016171 transcript:KZM92926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGPVSTFQVHEYIRPDLFYLYQNDYLTDQFQCCLSGNGQRVATGSYSLFRVFGTAEGSTEATFVEASKNPMRRRGQTPSRPSRSPVVRRGAQSRVLEADEDSINYNAKLQHLAWHPSENIIACSGADSLFMFYA >KZM94408 pep chromosome:ASM162521v1:5:22074589:22074904:1 gene:DCAR_017651 transcript:KZM94408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRSTSGVNDAGGLKAMDDAGSGAFAGGSDEIPAYGA >KZM95541 pep chromosome:ASM162521v1:5:33136268:33137899:1 gene:DCAR_018783 transcript:KZM95541 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MNRELKPHAIFIAYPLQGHVNPSVHLARKLAENGFTITFINTQYVHHKSSRARGGNNIDDDIFVDARKSGLDIRYATISDGLPLGFDRSLNHDQYMAALLHVFSAHAEEAVENMLKSGEPVHCLIADTFFVWPAELAKKFGLLYVSYWTETALVFSQYYHMDLLRKNSHYDCRDPRDEAIDYIPGVKSIEPKDLTSFLQATDTTTVCHQIIHKAFEDVRGADIVLCNSVYELESDTISALQPKIPFFAIGPVIPFGITKNIVPTSLWAESDCSQWLDTKPHGSVLYVSFGSYAHLTKNELTEIANGLLDSNVSFVWVLRPDIVSSNDLDPLPAGFLDKMGDRGMIIKWCSQMHVLRHPAIGGFLSHCGWNSVMESMWSELPLLCFPLYTDQFTNRKLVVDDWKIGINLCDRRVNVNKVEVSEKIKRLMDGNSSSKLRDNIKHLKKVLVGALKSDGSSEKNVEQFISDVKVMISKKCQEKNGQETQ >KZM96003 pep chromosome:ASM162521v1:5:37354794:37357695:-1 gene:DCAR_019245 transcript:KZM96003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLDNQEGSNDVVSSTQRRIIVANQLPIKAELNKETQKFSFDWDKDALVLQLKDGFNSDVQFVFIGSLSVQIDPNDQEEVAQLLLDKFQCVPTFLSLDLLNKFYHGFCKHYLWPLFHYMLPVTSHHGVRFDRLMWKAYVSANKIFADKVMEVINPDEDYVWIHDYHLMVLPTLLRKRFHRLKLGFFLHSPFPSSEIYRTLPVRDEILRAFLNCDLVGFHTFDYARHFLSCCSRLLGLDYQSKRGYIELEYYGRTVSVKILPVGIHMDQIESVKSLAETENKVKELVERYRGKIVMLGVDDMDMFKGISLKFLAMGQLLEEHPELRGKVVLVQIVNPARSRGQDIQEVQNETTKVAREINEKFGTSDYEPIVFVNGPVSTQEKVAYFAISECVVVNAVRDGMNLVPYKYTVSRQGSPEIDKAMGVDGTEVPRKSVIIVSEFIGCSPSLSGAIRVNPWNIDSVSDAMTLAVTMSDTEKQMRHEKHYKYVSSHDVAYWARSFDQDLERACRDHYTKRCWGIGFGLGFRIVALGPNFRKLSVEHIVSSYNKTSSRLILLDYDGTVMPQGSVEKTPSSEVISVLNDLCNDPKNVVFIVSGRGKESLSKWFSPCQRLGLSAEHGYFTRWTKDSPWESGVSAVNFEWKKMALPVMEHYTEATDGSFIEQKESALVWHHQEADTDFGSAQAKELHNHLENVLANEPVVVKRGQHIVEVKPQNEQLLLPIQLNGTKVRLVHSLRLVSV >KZM96442 pep chromosome:ASM162521v1:5:41005698:41006141:1 gene:DCAR_019684 transcript:KZM96442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFHSVYEGITIGIAGTGSKAWRTLWVVSLHKIFAAIAMGIALMRTIPDHPLISCAAYSFVFAISTPLGVGIGIVIDSTVQGVVADWIYAIFIGISSGVFLYVSVNHLVSKGYSPHSKVSVDTPLHKFLAVLVGVAVIAIIMIWES >KZM93732 pep chromosome:ASM162521v1:5:9932468:9933862:-1 gene:DCAR_016977 transcript:KZM93732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQDMQANENVVVVMVPFPAQGHLNQLLHLSRLISSYNIPVHYVASATHSRQARLRIQGWDPLSTHSQLIHFHEFPTPAFHSPDPNPHDPVKFPSHLQPSFDAALLLRQPVASVLSELSPTVKRLVVIYDSIIPSVIQDVASIPNGEAYSFQSVSTFCISSYYWGMVGKPLGMDDDVVNQIPSGGITTMMTQEMMELLNSQSEYREYSSGALFNTCRAIEAPFLDVLEKVNAKQWAIGPFNPVEVCKAKKRYSYSDWLDNQAPDSVIYVSFGTTTSLTNEQIHAIAVGLENSGQKFIWVLRDADRGDIFVGDTRVCQLPEGYEERIKLKGQGIILRDWAPQLEILAHASTGGFMSHCGWNSCMESMSMGVPMATWPMHSDQPHNALLVTKVLKMGVVVKDWAHWDEFVESLTIEKAVKELMASKQGEELRRRAVELSTAMKRSMAEGGDTHKEFGDFISHICR >KZM94090 pep chromosome:ASM162521v1:5:15352776:15356038:1 gene:DCAR_017335 transcript:KZM94090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNATTAMTILFFILPLLSFFILSSFKRKRFPPGPKGWPIIGNLLMMDTLTHRGLAKLAARYGGLVHLRMGFLHMFTVSTPDMAREVLQIQDNIFANRPATMNISYLTYDRADMAFANYGPFWRQMRKISVMKLFSRKRAESWDSVREEVDDMVKIVVSNTGCSVNIGELVFGLTRNIIYRAAFGSLSHEGQDEFIKILQEFSKLFGAFNICDFVPGLTWADPQGFMGRLVKARASLDGFIDSIIDEHIEKKKSCKIDEGNSDMVYELLDFYSEDKATVSVSEDLNTSLKLTRDNIKAIIMDVMFGGTETVASAIEWAMSELMRSPEDLKKVQQELNNVVGLHRRVEESDFDKLTFLKCCIKETLRLHPPIPLLLHETAQDAEIAGYHIPARSRVIINSWAVNRDPNSWADPDTFKPSRFLKEGMPDFKGSNFEFIPFGSGRRSCPGMQLGLYALEIAVAHLLHCFSWELPDGMKPSEVDTDDVFGLTAPRATRLVAVPTPRLLCPIC >KZM95085 pep chromosome:ASM162521v1:5:28530491:28530981:-1 gene:DCAR_018327 transcript:KZM95085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLISAFVTQQISFLTRRGYASRSGVASVMSKKGGEETSASNSWIPDPVTGYYRPEGKGNEVDAAELREMLLRNKTRRN >KZM95180 pep chromosome:ASM162521v1:5:29664251:29668971:1 gene:DCAR_018422 transcript:KZM95180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-17 MSPSHSTSSLLAEFISPTFTSPPLLFHPQKLFCNIRDLAFSVDSVNGQDKRVKLRYSAQCSAVSRSHTATDVEKNLSSVIYWQEILESHKQEEDTKIREFTKLIREIFNSMDDGDINVSAYDTAWVALVEDINKTGIPQFPSSLQWIADNQLPDGSWGDKNVFLAYERILCTLACVVALRTWNIHPQNMERGLLFIRENINKLEDDEMEHMTIGFEVAFPSLVEIAETLNIKVISKDLPIIQAIYAQRDLKLSKIPKDIVHKMPTTMLFSLEGMAGMEWEKLLKLQCEDGSFLSSPSSTAYALMQTKDKNCFSYLSKVIQRFDGGVPNAYPVDMFEHCWAVDRLERLGVSRYFKYEIKDCMDYVYRYWTSKGICWGRNINIADIDDTSMAFRLLRLHGYNVSQDVFRNFESKGEFFAWAGQSNQAVTGMLDLLKASQVMLPGENVLEDAKTFSYEFLRRKQANNQLLDKWIIMKDLPGEVEYALDIPWYASLPRLETCFYLDQYGGEDDVWIGKTLYRMPRVNNNIYLELAKLDYAKCQTIHQLEWSHMKEWCARSNLDKLGLSENSLLLSYYLAASSLFEPEVSNLRYAWAKTDALVETIRSYFGNLERSVEQRKAFAQEFENTSENSYFANHGRYSIEKKLLGTLVATLKQLILDAKSAHGIDIYPQLHQAVSLETLHLYSDINL >KZM95191 pep chromosome:ASM162521v1:5:29824130:29824818:-1 gene:DCAR_018433 transcript:KZM95191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRINVLLAIVIVAAGITMSSAQSSCSTVIISLSPCLDYVTGNSSTPSSGCCTQFATVVRSQPQCLCQVINGGSSSLGINLNQTQALALPSACKVETPPISHCIAASPTDTPSGTSGTGSKNIPSPGADSSASKFSTSLVFLYISMTTYALISATIF >KZM94627 pep chromosome:ASM162521v1:5:24419463:24420609:-1 gene:DCAR_017870 transcript:KZM94627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYPGSTPLHLAAREGSLDCVRELLAWGGDRLQTDSSGKIPYTVALKHKHAACAALLNPSSPEPLVWPAPLKFISDLNPEARTLLEKALIAANKDRERVILRDTMNSFSSSPVHSDSGLAEDISEASDVDLCSICFEKICTIAVKDCGHQMCAQCMLILCCHNKPNAASSCSKSPLCPFCRSNISELVVANVMANTSTDEELSPSKPRRSSRRSFNSEGSSSSFKSLSALSSFGRTGGRNSGRVVAECSEDLDKF >KZM94058 pep chromosome:ASM162521v1:5:14476077:14477218:-1 gene:DCAR_017303 transcript:KZM94058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNNYKGFLRMTGFCKTKVFGNLIGQVVEIFFSFSVFIQVSRINYCRSSVPGWLYRLKRMYCSLQGQILDSNANASRSAEMIEYKRKYNGQTHDHEMHC >KZM94086 pep chromosome:ASM162521v1:5:15207295:15208476:1 gene:DCAR_017331 transcript:KZM94086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVQKALKNSSAETTAAPTLSDDFWANGQTVDDFFVDNLLDFSEAPLFDEEQQNNEQDETLLLENEPVGEPEVKVEPSPGSVAAGSDLCFPTDDVADLEWLSHFVDDTAAGYSLTVPPAPISSKPVVKCSEPEITPKPTTIFTTVHTKARSKRTRTGVRVWSLGANSLTDSSSSSTSSSSLTTSMASPSNPWAFYNDLAQSAESVFGKPPAKKKQATEAQPRRCSHCLVQKTPQWRTGPLGPKTLCNACGVRFKSGRLLPEYRPACSPTFSSEIHSNNHRKVMEMRRKKDGDDGFAVPV >KZM96204 pep chromosome:ASM162521v1:5:39137928:39138695:1 gene:DCAR_019446 transcript:KZM96204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDMLKSAPEDQIDMLMMMQMDKLSEFCGGSYNEVVSGMAPMEFPQGNNISSGNKISGLPQYIENSPTFMNLPSNNISFTGSPVQEPNEHPFLSNSMGRWRNGGEVPSQAQKRNSMAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKNQVQTLERVAVNRPAAPGLGFPVPMSSGSFIPMQQATKGFQSSVAAQNVQHFLDA >KZM96268 pep chromosome:ASM162521v1:5:39659722:39660973:-1 gene:DCAR_019510 transcript:KZM96268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAHELAAPETRTILGPAGNRVSVSEHETNNKKEKTPEKPKKLSSVKPEPVRDHAIRSKSTVKISSAAGVTVLSSASCVKKNGKKDKKSDCQSTLSNVKARSKTEKLPSVPSVPLKQCDWITPFSDPIYVSFHDQEWGVPVRDDRKLFELFVLSIALAEMTWPAILYKRDSFRKHFDNFDPSSVAKFTEEKLLSMKANCSTLLSEPKIRAVVENANQLLKLQEEFGSFSNYCWKFVNHKPIQNGIRYARTIPVKTPKSEAISKDLMRRGFRCVGPTVVYSFMQVSGMTNDHLITCFRYQECKDIAELGLKPEITENKVSAG >KZM96106 pep chromosome:ASM162521v1:5:38312621:38316133:-1 gene:DCAR_019348 transcript:KZM96106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSTTELPPDYVSLSDLRDRWLKQQNEKKQREEENAGQKSGGDDSGKGVVELAGDGGKVGFRGFRERRTGRDGGDRERDEGRGEMGGKGNVGRRVEMGGKGRRSIKIWWGFSGFGEMKVDEGEDGDDRSYRGERRRVGGVWERDEGDAKRGEVSRGYAGFERGLRGNVGGGGVVEGFKGGGSRRVYEAEDVGGDRRGFGKGLGVEKRNVVDSFKGFGKKRFDETEDVGEDFRRFGRGSGLGKGEMEVEKGNVMGGEVMRGLKGYGKRMVDEVEDVGGDRRRFGKGLGVEKKNVVDGFKEHGKKRFDETEDVGDDFRRFGRGSGPGKGEKEGEKGNVMGGEVMRGLKGYGKRMVDEVEDVGGDRRRFGKGLGVEKRNVVDGFKGYGKKRFDETEDVGEDFRRFGRGSGPGKGEKEVEKGNVMGGEVIRGLKGYGKRMVDEVEDVGGDRRRFRKGLGVEKRNVVDGFKGYGKKRFDETEDVGTEDVGEDFRRFGRGSGPGKGEKEVEKGNVMGGEVMRGLKGYGKRMVDEVEDVGGYRRRSGRGLGVEKRNVVDGEVLEGFKGYGKRRVDEPEDVGEDCRRFGRGSGPGKGKKEVQKGDVMGGEVYGKRRVDEVDDVGGNRRRFGRGLVVNKRNVVDGEVLEGFKGYGKRRVDEMEDVGEDCRRFGRGSGPVKGEEVQKGDVMGREVLEGSKGYGKRRVNYEVDVRGKFGGLEMDDGRKGSVRYGGDRRRFGKGSGDGVEKKNVVNVREKNVVGVKVLESSKGNGKWVIDEVEHVGRKFGGLELSSEREGSDKYGGDRRRFGFVSGVWKGRNEFQSDVREENVVNVKMPEDSKVLVERRLNGRMKGKFGRDRRRFEKVSRATKGKNVIDVREESEVVEGNVVDVSNENVVDGKMSEVTEIVDIGKEFGDLTINGGGRKGKFKPGGDHRRFRHRKKKIYVPVSKGNEVEKPIPMAVKKENEVEKPIAVAAGGEKVDVKPISMAVIKENEGEKPIAAAVRGEDEVEKPILLAVRKENEVEKPIVVAVSGENEVEKSIPVAVRKKNEVEKNITVAVKGENEAEKPIVVDVRVESEVEKHYLVDVKEENDAEKQIPVAVRDENEVEKQNLLNVKMSETLKGFGHRWGDEATGGTSEGIPRNGWRKGNKYGRSHGRFGSWKNKQTESGMMWVKKM >KZM95179 pep chromosome:ASM162521v1:5:29653277:29659238:1 gene:DCAR_018421 transcript:KZM95179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISISSSSAALYQLSNLKPVSLSLRPTGYVYLVFLDFEVKGPSCVKCNLTSGGVKSWTAAANYVKIKVGTGKFARKTVLSQKRTGTLKCATMEEIEAEKSLIEKSVKERMEKTIENVKASFNSIRTGRSNPDMLDKIKVEYYGTPTSLKSIAQISTPDSSSLLVNPYDKSSLKDIEKAIVNSDLGITPNNDGDVIRLSIPQLTADRRKELSKIVAKQAEEGKVALRNIRRDAIKSYDKLEKEKKLSEDNVKDLSSDLQKVIDEYIKKVDSIFKQKEKVLLIT >KZM96543 pep chromosome:ASM162521v1:5:41728210:41729238:-1 gene:DCAR_019785 transcript:KZM96543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLDDSRIVYQRRGVWRIKGMIQVSRAIGDAYLKDPEYTLDRKCLRFHLSESILRPVLRADPSTYTIDLQPSDKFLIFASDGLWDQVTNQAAVEIVYNYPREGIARRLLESALREAARKSETTYDELKKYAKGIRRMYHDDITVIVIFVENELMEKKVDVPAMSVIGGVANIEPSMFNILQDTKPNRSEPDE >KZM93607 pep chromosome:ASM162521v1:5:8356204:8358231:1 gene:DCAR_016852 transcript:KZM93607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWYFYSPKDRKYPNGSRTNRATRAGYWKATGKDRSVQSHKVPVGMKKTLVYYKGRAPHGIRTNWVMHEYRLTQSLYGESSSNAPSLKDSYALCRVFKKALHMPKSAKDDQMSIEITAGVSETGDDQMNEQDDSKLQPSDASSSDLTEGTPVEPAIVTDCVQPPSFSETEANSTAINYCPSTPKFYQGPQISNYMSMQYEETCYTPLALEDFPQIDISGEPKSSIKAPGDEALDDPFMYVYASGTMMLEEIMSLCSAQDNSIVN >KZM96080 pep chromosome:ASM162521v1:5:38037176:38071132:1 gene:DCAR_019322 transcript:KZM96080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVRGVVHLVRRWSVGNTPESGVVSSPERFSLPCLQIRFSEIGDEAILNTLWGKYIDAFDKVERQKSFVMFLEQFLIVHKNWKPVDPGQSTEAAASAFLPVECSQNDDVMFGCSAGHPAEITLALTEEISHITAMVSQFTHSDMSGVISTTERLGTDTSVTLTSEELAILDALAIVTRKIKNKKLQCYKNYRANAQGSCRFVENKFGAGVMQLNTITDALPDDESSSNSIIEKIAVLQNLLRRAVLIICSFINLHPNVYEISQPYFSFSKLSFPEGGSNIFEYPGGTTASSEAWVVWHQKSVVSVIEAGGFNWLVELLLVMRRLSIKEQQLDMTLHYLTLTSLRSALRNNPHGQNHFRSIGGLEVLLDGLEFPSIDILESKNSSFSTKESFGNSNSFQFLCENGRIHKFANSFCALAFLLKEYKQKQKKQQRKEMSVQENLCMLLLDTKHDDNTEIHGYWNDYVVKLSKVLFSFLLDLEDIYTDDFRSSAGEITLPVSSVYGELSAKWIMRVLFTVFPCVEACSNQNHLPKYLRLFVYVLQHHFLFVLRKVLISSPSLLDVFRSVGLWDFIFSENYFYFGSVLDDFAANYNASSGIRCWNYEYKFDSNLTDHQVNKNMIENLRIEVFSLMEFAATLTGNVHNLPECSVLLNSLEHSSCDPGLTGSIARCLFQIYQSSAEKTAASFKTLDAVPRLLKVACIQVCESRRPDCNYAASRGFGNPTSQVVVQNWHASLETCMELFGKYFSATEDARSLILCSSSCIDCLFELFWEENLRDRVLAYVLDLMKCDLWGAVIIVQIIPFANKDQTAELYLCSKYLETFTHVKERERSCSELLINLLLGLMDVLQLNKRYYQALFRDCECFLHILSLLNGNLKGENNEKLALSVLETLTSLLTNNDASKDAFRALVGKGYLTLQSLLLDFCQRRPSQGLLYALLDMLVDGKFDMKTNSVIKNEDVILLYLRVLQKSSHASRYHGLELFLQLLKESISNRASCVKAGTLNFLIDWFSQEEDDGMILRLAQLIKITGGHSISGKDIRKIFTLLRSEKVGIGEQYSSLLLPSILSMLNEKGPTAFFDLSGNNSGIIIKTPVHWPLYKGFSFSCWLRVEKFPSNSTMGLFSFLTENGRGCLATVTKGKLLYESVNQKRKSVSLNLNLFTKKWQFLCLTHSIGRAFSGGSQVRCYVDGVLVSSEKCSYAKINDTLTSSSIGTKVDLHSNDDENTVACINESSPFLGQIGPIYMFNEPLSPEQVKGMYVLGPSYMYSFLDNEKAFQSDNPVPGRIFDARDGLASKLTFGINAQACNGRTLFNVSPMMGHGIVRTSFEATVMSGTQLCSRKLLQQIIYCVGGVSVFFPLFTRFELYKIEESEVVGHSFLIPTRKQRLTAEFIKLIASVLDENLVNQQQMLHLSGFSILGFLLQSVPPQKLNLEMLSALKYMYNVVANCGLSEMLTKDAISSIFLNPVIWVYTVYNVQREVYTFLIQQFDNDPRLLQSLCHLPRILDIIRHFYSDDPKFQSIVGVGGKPFLHPMTNQVVGKRPSKEKHHRIRLLLLSLGEMSLRQSIAVTDIDALIAFFETSQDMGCIEDVLHMLIRAVDQNQLFASFLEQINLIGGCHVFVNLLERDYEPIRLLALQFMGKLLVGIYSHKTALKFFSATTGRSKSLSDRHRKLGLQMLPIFSVISDKLFRFPQTNNLCTALFDTLLGGAGPEQVLDKQDQFEKQRGRLKKPQIFLPQILPLIFRFFSGCKSVTKRINIIKDILDILDTSSSNVEAFLDYGWNAWLAASVKFDVLKNYKRESQVQVVSEMSEQTLFRKLFCVVLRHCMQSIKGGWQHLEETAIFLLMHREQDGISYQYLLRDIYEDLVQRLVELSSEENIFFAQPCRDNILYLLKLVDDLLISEIDAKLPFPAGLAEFSTDSLELDSHMDLGSALYEALNGEYDDQQGSNAMGHATSENNMIEEERWTIYDKLWIIIREMHGKGSRKLRKLSSGVGASFGQRARGLVESLNIPAAEMASAVVSGGISNALVGKTNKPVDKAMLLRGENCPRITYRLLVLYLCESSLARCSQCVQLVIPLLPNLLTVIDEQSKSRLQLFIWSLLIIRKKYGMLDAGARLYKISHLLQETIKYGRSLLVTTLSGRDDLSDLSSDLGDSGAIYNLIQKDRVLAAVSDEVKYVKTSKTDQARQLHELRTRINENMLSDVSHTKSFEDEMQNSLNKALASDNSRRDSFQLAYDEEQQIVSDKWMHTLRTLIDERGPWSAMPFPNSSILHWKLDKTEDAWRRRQKLRQNYYFDKKLCYPHSSIPSTEGTDRVDESKFGIGSHIPEKMKQFLLKGIRQITEEGPSETIENESESFLLKASASEDHLDERHFEAHIDSSNLKDYAFGKKEPSLRATEAEPSKVLMSVPSVLITPRRKLAGNLAVMKNFLHFFGEFLVEGTGGSSIFENLNTSENFCANKPDQMRVKRKFLISLDMDSERGNDINSLNATHGSGLEKQAKTIKRHRRWNVSKIKAVHWTRYLLRYSAIEIFFDNPVAPVFLNFASKKDAKDIGTLIVATRNESMFPKGYKDKNRVISFVDRRVAMDMAETYSESWRRRDITNFEYLMRLNTLAGRTYNDLTQYPVFPWVLADYSSDSLDFSKSSTFRDLTKPVGALDPKRFRVFEDRYHSFSDPDIPNFYYGSHYSSMGIVLFYLLRLEPFTSLNRSLQGGKFDHADRLFQSIEATYRNCLSNTSDVKELVPEFFYMPEFLLNLNSYHFGVKQDGKPLSDVCLPPWAKGSPEEFISKNREALESEYVSSHLHHWIDLVFGYKQRGKAAVEAANIFYYLTYEGTVDLDTVEDELQRLAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLRFAPGSINLTSIVSSRSDTTSAVVHVDVLDSNLLLVNQGLIMSVKIWLTPQLQSAGNFTLSGTQDPIFRIGSDILSSRKIGSPLAEYIELGAQCFATLQTIPENFLITCGNWENSFQVISLFDGRMVQSIRQHKDVVSCVAVTSNGSILATGSYDTTVMVWDVVRARTPERRIQRKDHVIAGTPFHILCGHDDVITCLYVSSELDAVISGSKDGTCIVHTLRDGRYVRSLRHPSGIPVSKLVASHHGRIVFYADEDLSLHLYSINGKQLATAECNGRLHCIKLSSCGEFMLSAGDQGQIVVRSMKSLEVIQRYNGVGKVITALTVTPEECFLAGTNDGTLLVYSMQNAQHYRGSVHRNQKFGC >KZM94431 pep chromosome:ASM162521v1:5:22233206:22238856:-1 gene:DCAR_017674 transcript:KZM94431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETCSLALCFSPSPLSPLSLSKQTSSVTCIFSLSHPRLNASSSSRCVGFSARASSSDSNGPHFGFYPWDHPSNSDPGIEWVPEERVTLFTADGLVQIGGSLVPKRVSSSDRNQGKGRASRRFERFQESNYMDPAQGLCLGALFDIAATNGLDTGRRLCIIGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASKGGLHEKLKMTVAVPLLWGVPPVSETLHLAVRSGGGIVDKVYWQWDFL >KZM94292 pep chromosome:ASM162521v1:5:20658975:20660399:1 gene:DCAR_017535 transcript:KZM94292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLSTAVTFLLLVIPLAILHRFLKHKKNGSGLPSPPGPPGLPFIGNILQEFNHDTMYQLSKKYGPLMTLHLGSVPTLVVSSARMAKEIYKTNDLVFSDRPTMLGQQTLSYNGLDVAFTRYSNYWREMRKFSTLHLFTAKRALSFRPIRNDEILSMLRAISDIAASPSKLVDVSEMTLNLTIAIISRVAFGKRCDENVIGKEKFQRIFTESQVVLSNFYFADYFPLLGWIDRLNGIKARTDQTFKDLDVFYQGLIDEHLKPDRPESDIEDFIDILLHKLNHNDSTIALTMDNVKAVLMNLFVGGTDSTSGTIVGGMTALIHHPEAMKKSQEEVRRVIGNKGRVEEDDLQYLPYTRAVIKXQGQS >KZM95589 pep chromosome:ASM162521v1:5:33652394:33654043:-1 gene:DCAR_018831 transcript:KZM95589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDKNGVVLNSVIHGYVRGGRPEEAVRVFRDVELCGDDSFVLATVIGACTDLLALEWGKQIHARIIVNDVEFDSVLGSALINMYGKCGDIDEASHVLSKMSDVDDFSVSALISGYANCGRMNDARRIFCSRSDNDCVILWNSMIAGYVNNNEVLEALDFYGKMRELRIKEDFSTFASLLSACGSLGNLGYSKQVHGHAHKFGVVNDLLVATGLVDMYAKCRCPNDACKLYSELEIYDTVLLNSMITIYFSCGRLEDARQVFDNMPCKSLISWNSMLVGLSQNGCAIEALNLFCKMNEMNLKIDKYSLASVISACASISSLELGEQIFAKATIIGLESDQIVSTTLVDFYCKCGFVQTGRNVFDQIIKYDEASWNSMLMGYATNGYGFEALALFTEMRCGAFVPTEITFTAVLSACDHCGLVEDGRKWFYAMKHDYGIEPGIEHFSCMVDLFSRAGCLGEAMRLIEQMPFKCDESMWASVLRGCVAHGDKTLGKNIVKRLTKLDPENSGAYVQLSGIFAISGEWERSEQVRKLMNDMKIRKNAGCSWMDG >KZM94271 pep chromosome:ASM162521v1:5:20308366:20311529:1 gene:DCAR_017514 transcript:KZM94271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGHVISCHSLDEWNQLMQKGKDSNKLVVVDFTASWCGPCRIIAPVFSEFAKKIPNVLFLKVDVDELETVAKEWSIEAMPTFIFVKDGKLVDKVVGAKKEDLHQAILKNAADTAPSSASV >KZM95353 pep chromosome:ASM162521v1:5:31371482:31375099:1 gene:DCAR_018595 transcript:KZM95353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDIDSLNSLRPVYGLIFLFKWRAGEKDDRLVIKDPNPNLFFASQVINNACATQAILSILMNCPDVDIGPELTKLKEFTKNFPPELKGLAINNSEPIRTAHNSFARPEPFVPDEQKVASKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQCSGGQGNIDWLKMVQPIIQERIERYSQNEIRFNLLAVIKNRKEMYTVELKELQRKRERLLQQLATLQSERLVDSSNVEALNKSLSEVNTGIENATEKILIEEEKFNKWRTENIRRKHNYIPFLFNFLKILAEKKQLRPLIEKAKQKANAPK >KZM96352 pep chromosome:ASM162521v1:5:40321574:40329573:-1 gene:DCAR_019594 transcript:KZM96352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESEREIAEIVGALSSSPTSPRQKKKKTKRGVFSRMWNGLFGIHGDDFEKRLKHISKEEATVLARLKKRSTSWRRMTRNLVVFSVLFEVIAVGYAIITTRSLDLNWKMRALRVLPMFLLPAVSSLTYSALVSITRMCDRKDQETIERLRAERIEKINELKERTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGMDSGLKLYMGDDSKFNHSMGKSTDAEHVQSSGLRNRKQPLTRSNSNASTGMQQLEAEMMQHAAEGSDLFRHEELVVEHETPTGIHAQDGGWIARLAALLVGEDPTQSYALICGSCHMHNGLARREDFPYITYYCPHCNALNRPKQLEDNSPNSSQMTSPGSRSFRPVDDSLVQNVSKPVSGKASDSSSPVAATVEQKETQDVSRPFSDKVYDGSSHVAAAVEPNETQDVGRAVTGKASDSSSPVAAAMEHKETQDVSRPMSEKASDGTSPVAAAVEHRELQDVGTFMSDKASDSSNSVAAELEHKEIQDVSKPRNDKASDSNSPTASEVEHKETSETTAHESEVS >KZM96482 pep chromosome:ASM162521v1:5:41304015:41304463:-1 gene:DCAR_019724 transcript:KZM96482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHTFLMLGLLLSLALLFSCNAVETPKDETKGDHEAAKPDQYGYDPGYGYGPGYGYGPGYGYGPGYGWGGGWRRGRCWWGCCGGRFWGGGCRFCCRGPQEAKAYNMMMANADEATP >KZM93359 pep chromosome:ASM162521v1:5:5251929:5253156:1 gene:DCAR_016604 transcript:KZM93359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTPQFLEIHEKQSNRRQLNKYTHRMSRKGYANLEQEKAEADPTIEIDRSDTWVKTRRDETGNFLDDDVKTVAEDIAKIKQVVCEGKVEVYEVDDVLTKVLGNLEHKGRLRGQGVHVKQLL >KZM94757 pep chromosome:ASM162521v1:5:25540032:25541416:1 gene:DCAR_017999 transcript:KZM94757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSILPVEFLKPIIYGPDSAISDSLKLPRGFVERFGDSLPRNVLVKTMDGEEFRITFSQVDGSFSGMHRLLMKLSAKQLQFIIFKFFGGPKFEVYLLDTNHIMHATPGIHLPFKCKFIKVMYKVSSCWTPVELSEEFIAYYGKTVPQTINYQLSNGSVLDGRYDNEKGRLFGLQQFYKLYNMSWFDTIVLTYNGNNVFNVRGFGKDCMEKRPFMNSIGYFEIEVKPSHLQEYDFGVTIPVKFHGVLDDLGQCENLDIRHGESRWTVLLKKRMKRAELHSGWTLLRKELELKVGDICLFRRTGSKLKFFLDVYRSCL >KZM95989 pep chromosome:ASM162521v1:5:37265039:37267275:1 gene:DCAR_019231 transcript:KZM95989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVRFENSLLSSPFPSPNVAALLKIKIISWSQETGFPVSLCVRVADRTFNLHKFPLISKSGYFARTLGESNEIKLPKDFPGGAETFEMISLFIYGSSSLVDPLNVAALRCAAEFLEMTEDYCSGNLCQRFDIYLNQVVLQSWDDTLIVLQKCQTLLPWAEDLLIVSRCIESLAFMSCMEILDPERRRNQPVVTLEALSSRAWSCQKVKDIVRQDLWIKDLIALPFGFFKRIIGSLRRQGMREKYVSPIVLFYADKWILSNKTRQSSDDTSSKDLTVFRGVISLLPTGEKASKLIPVGFYFSLLSKSLQFGRATNKIQAKLSDQIASILHAAYLDDFLFPASGSDLISSSTELATMENIFSTYVSSNMDSDYIHSLNNSVVAELWDAYLKNIATDPKMSSKRIMDLVETVPMSSRQNHDHLYHVLDTFLQVHKDLTQEEKARVCKDLKCQKLSPEVCIQAVQNDLMPLRLVVQALFVQQLNTHEALKECSDSFRYEPHSKEFSGSLSRSQTLAESPSYANGETGSRGLNLFPQQKDSALQRSELSRKDYESTSSRIQSLEQELTALKTRLEMQIISKARNSRSSRSRNLESGQKRNQMRSCTGSVNFSSQWKHASRLLKLFKRLSLFSRRAHRKANSDLGPQAM >KZM94261 pep chromosome:ASM162521v1:5:20147310:20149200:1 gene:DCAR_017504 transcript:KZM94261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KZM96364 pep chromosome:ASM162521v1:5:40470174:40471667:-1 gene:DCAR_019606 transcript:KZM96364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNLHENSSDTDEFTGFGRGSNLRFFAGLVKPWLLHGADKVLMSQNSSRPLFQLDSEPCKVYDKYSSHKNAKKVSLESEKAMLQFSSKQANGIPDCNIDDYVDVSKVVNSPSGGYQEFPLNTLIFLAVIIVRMIGFQINLFLGFFMFPIRLSYLSVMLMMFPFQTVARIRNYAVKMLVGVWVGFYMNLTSFMYKKIKAQKAVVNLAVRFTWAIFWMIYVCFLLVGLLASSFIIGGLTMRRVVEEPIQTTQALNFDYTRASPVAFMPLMSSNGVPQSSGMISKESTAVGKGDKRAIPYNHKLQLTVSLSLPESEYNRKLGIFQVKAEFLSATGKVTASSSYPSMLKFKSQSVRFAETIFKTIPLVAGFQSESQTLEVKFSDFTEGIEPTACLRVILEQRAEQQHGAGIPEIYSASVSLESELPQTFDSPSPEKGKITGY >KZM93165 pep chromosome:ASM162521v1:5:2982016:2985910:1 gene:DCAR_016410 transcript:KZM93165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRKNKHKVVPSNALSGSTISAFDDVSFEDPDFLYIQSLAEAGIVLSKLSVDDSSWQKGAKIFPDRWDTPIHVDAPSGGFIAPFFFTWNCNGTSAFLHNFAVVHLSCQLLCHLTWECVKLLEHLLDICNQAFSQSS >KZM96163 pep chromosome:ASM162521v1:5:38798288:38802679:-1 gene:DCAR_019405 transcript:KZM96163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRLKEDLKQAEDGSHYDLFPQSASCKCYFLGNLEILSPRNSADNSQWRFNDVLRRRFLRQVRISERRNTKRTGDAAHKQCFPDYSNENELEQLSASANFLVELCDTVSSAQVGDTRYMSWLHQAVDFIHDAVKNLLSIGKNVEPLEGIVNSLIMRLVRRMCATMQENEHLDADAQFRIQQLIYKLGNESYIGQRVIFSVTQRISVLAESLLFMDPFNDTFPSMHRCMYIMIQLIEYLVSGYLVTWSRDDDFDTRLLEAWVTSLLQVQKTVNLLESRNGLYILYMDRVVGEVTKVIGQVPSLQKLNDHVLDNLLH >KZM93072 pep chromosome:ASM162521v1:5:2131234:2134558:-1 gene:DCAR_016317 transcript:KZM93072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAEFWPGEMKPVKAEREELVDDQYGHLHKRSKFSSSPTQQQYESGSNAAFPVAPSQYNPLDEPSPLGLRLRKSPSLLELIQMRLSQGNTAKVGATVKKEQTSGVGFVAAAAASSSNDKLKASNFPGTLLKIGKWEYKSRHEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDILALKATYADEEPGTLELALSRQPLFFRETNPQPRKHTLWQATSDFTNEQASINRRHYLECPPGLLGKHFEKLIQCDPRLNYLSQQAEITLESPYFEPRVFDELDEGNSKYGRNIDGRPAIFNLQDVPSPSGPQSSSSKNELYDFLGIQSKDFRRETPSPSSALEYGKNEEASGTWELKNLSCWANIKVPGIHPSMSMSDLVSHIENRFTENRSSNNPLSNDEREGLEILDEISKCLFTDSQHAPTSDEKSIMTRVNSLYNLLQKDNGTEKDCNFRSDYSNDMFMMDNKTRREVDCSAPEITNEVAEYSALHGESADISDKTQGPSMSRKDSVGELLLNLPRIASLPHFFCNT >KZM93015 pep chromosome:ASM162521v1:5:1727432:1731775:1 gene:DCAR_016260 transcript:KZM93015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGHNTHLSRTRSISFSSSSDSPTRSIPKTSKSSPKSSHIPKPKKPEKLPWSSKVAGDEENACEEIVKNERGLQTLVVGGGSGDDGGGCGKRVSSGGNGDGFESGSGLDAIDVYYNSLIQANPGNSLLLSNYAKFLKEVRGDYVKAEEYCGRAILANPNDASVLSLYADLIWQTHKDAQRAETYFDQAVKSDPDDCFVLASYARFLWDAEEDEDEEEENDKAKNLCESGPNLFDGSSGWMRFRNLDSSCLQMKLSSALSLKIKQMAFSTSFLLWFFVTFSIAFPHSVVDAFVGTYGVNYGRLADNIPAPESVVTLLKAAKIRNVRIYDSDHSVLQAFKGSGIEIIIGLNNGLVKDVGASEDRAIAWVKENVEAFVPGTRIRGVAVGNEILGSGDQGLMEALLPAVKNVYSALERLNLAKEIEVSSPHSAAVFADSYPPSDGVFKDTVLPYMRPLLQFFSQIHSPFYMNIYPFLAYISDPVTIDIKYALFKKNKGVYDSKSKLHYDNMFDAQIDAAYAALDKAGFGKTEVIVSETGWASRGDANEVGASVKNAKTYNSNLRKKLLKKKGTPARPKTPVKAYVFALFNENLKPGPTSERNFGLFKADGSTAYDIGFTGLVPSSASSSFISIKGNGLQGLLGSSLSLMIYASCSVAVLLLMM >KZM95971 pep chromosome:ASM162521v1:5:37139428:37140315:-1 gene:DCAR_019213 transcript:KZM95971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKAGAKQYCSDDVIKAYHVIRDIRSHKNPRASTKKAETDPVPEHCVFCAHWKLTALKMAYQDFLGVGVASAQETADPEASGGMGIVINLEDDEDEAPELDLELKL >KZM95896 pep chromosome:ASM162521v1:5:36576835:36578489:-1 gene:DCAR_019138 transcript:KZM95896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNTVFPRIPLHNQVTDEEPTLFHTIDRMLTRKLIRSLNRNSTDAIDVVAFLLWLEKDKLSVNGVYKVLTEWPNHLVGMLADQVLALLEWLRNDVLVWERRGDISLIQELCQEVLSFINLHQRRFEIMQGKDQIKGEMAERAFRETFPGESYENRNVQDHVGLGNGAGAGVGNTNGGVGYDSRNNSGVHERGLGAGGTGNIGPREVLRNNIGRAGLGIGNRFGVQNVAGGSRTGVHFGNIGGHHYGPRSFGVQLGAPHLRNINLGRAGFNASQRYIHDPRRIMNENRYGVGYGVRSAPVSPVPQTVHRFVPHPLHYAMNPNVPRSGTRDDIVLGYQQPENMNDGGSAVEPSLNNVPYGPRMGHVDSNGDQVNTRSPIAESELAVLLRGLNITDEETDVHEDDRTVFLTFSRGYPLTESDIWGFFTRKKNGASSSRMSSSASTSQQPDHEAS >KZM95553 pep chromosome:ASM162521v1:5:33272045:33275667:1 gene:DCAR_018795 transcript:KZM95553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGNSRSVRFQDDPGFANLPIVNGDNVIKVKYKIDGSQFTQSSSKRGESETGRSLKTKVLLRVFSEDYERVKKKILDPRGVKDNLCIDIGTTLEVILTIVRSITDVFYMIQIFVRFRTAYVAPSSRVFGRGELVIESSKIAKKYLYKDFWIDLIAALPLPQVLIWIVIPSLSGSTMANTKNVLRFIIIFQYLPRLFVIFPLSAQIVKSTGVVTETAWAGAAYNLMLYMLASHVLGACWYLLAVERLEACWRSVCNIDESLCKHDYFDCSKVNDSVRIDWFKSSNITKICYLNVDSYAFGIYGDALTSDVTTSSFFNKYFYCLWWGLKNLSSLGQGLNTTTYVGEISFAIIIAVMGLVLFALLIGNMQTYLQSTTVRLEEWRIKRTDTEQWMHHRQLPQELRQSVRKYDQYKWVATRGVDEETLLQGLPLDLRRDIKRHLCYDLVRRVPLFDQMDEQMLDAICERLKPALCTEGTCLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCPIGSGDFCGEELLTWALDPRPSVILPSSTRTVKAISEVEAFALMAEDLKFVASQFRRLHSKQLRHKFRFHSHQWRTWAACFVQAAWRRYKKRKITAELKAKENPAAAQTANLKLHSDKNVPSPGSGFALYAAQLTASTRMGVNVHPGSSPVNSLQKPEEPDFSVDEE >KZM92917 pep chromosome:ASM162521v1:5:773296:778607:-1 gene:DCAR_016162 transcript:KZM92917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKGVMCNVVVVVVLLSSLVGYGTASVSYDHKAIVVNGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPQPGQYYFEDRYDLVKFVKLVKQAGLYVHLRIGPYACAEWNFGGFPVWLKYVPGISFRTDNGPFKSAMQKFTTKIVNMMKAERLYESQGGPIIMSQIENEYGPLENEFGAAGKAYTKWAAEMAVGLGTGVPWVMCKQDDAPDPVINACNGFYCDYFSPNKAYKPKMWTEAWTGWFTNFGGAVPYRPAEDLAFSIARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCETALVSGDPTRIVLGSYHEANVFKSKSGACAAFLANYDRNSFAKVAFNNMHYNLPPWSISILPDCKNTVYNTARMGAQSAQMKMTPVSRTFSWQSYNDETTSYEDKTFTAVGLLEQLNTTRDVSDYLWYNTDVKINSNEGHLRGGKWPVLTVFSAGHALHVFINGQLTGSVYGNIDSPKVTFSRSVYLRAGLNKISVLSIAVGLPNVGPHFERWNAGVLGPVTLNGLNEGRRDLTWQKWSYQVGLNGEALSLHSLTGSSTTEWSEGSVVAQKQPLTWYHVPRSWLKPTGNLMVLFEELGGNPYGITLVKREVASVCADIYEWQPNLMNYEMQHSGKVNKPLPPKAHLSCASGQKISSVKFASFGTPLGSCGSFQEGSCHAHKSYDAFERYCIGQNACSVTVAPELFGGDPCPNVMKKLSVELLPADAKEKDGAGAQEAIRTVTESMSKQ >KZM93787 pep chromosome:ASM162521v1:5:10727067:10730210:-1 gene:DCAR_017032 transcript:KZM93787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDDSRALFSDSSAIISSNFSPKGEQHNLFPRSRMNFDMNNIVQDSYHNNSSNENSYGDSLNSSLSHYPRHSSSLTSQAGHLDNSSLYRGSFMGMDDQVKTSAGLMRQNTSPPGYISHLANKSGYGGMRDVGNYRLGNGNNRDLTSSRLKSQISLSSGIPSSLGMLPQISETDGGNTEPTSNVDTKNRCVRDTQYHNSGYPLRSWNDSLNFADSYTLKRELDVDDRLFSSIQVVFRRHVTYLNFSNPKGEQHNLFPRSRMNFDMNNIVQDSYHNNSSNENSYGDSLNSSLSHYPRHSSSLTSQAGHLDNSSLYRGSFMGMDDQVKTSAGLMRQNTSPPGYISHLANKSGYGGMRDVGNYRLGNGNNRDLTSSRLKSQISLSSGIPSSLGMLPQISETDGGNTEPTSNVDTKNRCVRDTQYHNSGYPLRSWNDSLNFADSYTLKRELDVDDRLFSSIQNGEIQNRPSLFSHHLSLPKTLSDISCMNKLLQFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPHMDKQTNTADMLDLAVDYIKDLQKQYKTLNDNRANCRCSAMQKQGLK >KZM94539 pep chromosome:ASM162521v1:5:23395615:23397743:1 gene:DCAR_017782 transcript:KZM94539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTHWDGPQTNAPIFAFLGAEAALGPDPRIGFMTEKAPLFGALQVYIEHRYYGESNPLGSIKKSLENTTIRGYFNSAQALADYAELLVYLKNNLSAHNSPIIVVGASYGGELAAWFRLKYPHIAYGALASSAPILYFDDITPQDAYYSTVSKDFRDVSESCYKMIQNSWSEIDKIASEPHGLALLSNKFNTCRQLKDSDELKDDLSYWYAAAAQYDAPPTYPVSQVCGGIDGAPNGTDILGRIFIGISAIWEDKKCHNLIQDTVDETSQGWHWQSCSEIVIPFAYGDNTMFPQSNFSLSDYLENCRHSFGVEPRPHWITTYYGGQEIKLVLEKFASNIIFSNGLRDPYSRGGVLQNISHSLVAVVTADGSHCLDIVSTRPDDPEWLLNQRKTVVRIIKGWINEYYATLNATHKVTL >KZM94513 pep chromosome:ASM162521v1:5:23136329:23139500:1 gene:DCAR_017756 transcript:KZM94513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPYAQVDSTLRALAGQAEGFGRCAIGGLHGPLYHVTTLADDGPGSLRYGCRKEDPLWIVFEVSGTIELSSYLNVSSHKTIDGRGQRIKLTGKGLRLKECEHVIICNLEFEGGRGHDVDAIQIKPHSKHIWIDRCSLRDYADALIDITRESTDITISRCHFSQHDKTMLIGADASHTGDRCIRITIHHCFFDGTRQRHPRVRFAKVHLYNNYTRNWGIYAICASVESQIYSQCNIYEAGQKKVAFKYLTEKAGDKEEASSGCIISEGDLFITGTQAGLLNIADQQTTFHPSEFYKICTVEPSNDSLKQVLQHCTGWQSVPRPADQLPAAVQS >KZM94695 pep chromosome:ASM162521v1:5:25061785:25065426:1 gene:DCAR_017937 transcript:KZM94695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIELKTAPADFRFPTTNQSRHCFTRYIEFHRCVAAKGDEATECEKFAKYYRSLCPGEWVDRWNEQRENGIFPGPL >KZM96541 pep chromosome:ASM162521v1:5:41721441:41724638:1 gene:DCAR_019783 transcript:KZM96541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVCPFAKVARPDDGSLKKPNGNKQHPACEAKSKPESTESATISPKCPLGYDSETFKIGPFSCMICKALLFECSKCIPCSHVYCKACISRFNDCPLCGADIESTEPDTNLQSVVDRFIEGHARIKRQVDTEGNEVVGEKKTVVYEDVSLERGTFLVQHAMRAFRANNVESAKSRLNLCAEDIREQLGRSGNTSELCSQLGAVLGMLGDCCRATGDATSAVSHFEESVNFLSKVSADDLEIKHTLSISLNKIGDLKYYAEDLEAARSYYLQALDMRRNAMKHPSSVPSQAIDVAVSLAKVADVDRNLGNENAAIDEFQEGIKLLESLKLSTEEVGLEQKRLSVLDFLNKEVAKKQTD >KZM93397 pep chromosome:ASM162521v1:5:5687273:5688418:1 gene:DCAR_016642 transcript:KZM93397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDDVSDSEGRQPSESSCYATEDEEEARIQLGPKMSIRQHLEKDKDDESLRRWKEQLLGGVDASAVEEVQEPDVKILSLTILTPERSDLVLDIPESGNTNGLWFTLKEGSKYHLRFSIKVSNDIVCGLRYTNTVWKTGIKVDSSKEMLGTFSPQAEPYTHEMPEETTPSGYFARGSYTAKTKFIDDDNKCYLELNYTFDIQKDWPSQ >KZM95395 pep chromosome:ASM162521v1:5:31747225:31752310:-1 gene:DCAR_018637 transcript:KZM95395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEGNDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALEVLGKNGCSVEGVLHLPGTPPVKLDSQDLLQIGDKEFYFLLPVRSILGGPIVPRGGSGGYLGASKKGRGRGYEEEYEDDDEEEDGNGKRYGGSGSSGKAGMSGQFDKKGEGRSRIDRDTDNQQLMQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHAELLEQYSSVWHHSRVRRYLTSEDYPSAEAKGKPWFGLLMLLKKYPEHFVIHTRSKGRVTSEFVSLVSLIS >KZM93287 pep chromosome:ASM162521v1:5:4470600:4475916:1 gene:DCAR_016532 transcript:KZM93287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLKWIFTALIGAALFSISSLALTQDGITLLDLKSTLNDSNNFLSNWKITDESPCGWTGISCYPNSQTVRMINLPYMQLGGFISPVIAKLNRLQRLALHQNSLHGLIPKEIAKCSELRALYLRGNYLQGSIPADLGNLSSLTILDLSSNSLNGAIPSSLGHLTRLRSLNLSTNFFSGEIPDVGVLSKFRNTSFIGNLDLCGRQVNKPCHTSLGFPVVLPHAESDEVAAVPSKGSSHYVKGALIGVMSAVSVALLVLLVFLWVRLLSKKERDAKKYKEVYKQTRKETGTKLITFHGDLPYPSCEIIEKLESLTEEDVVGSGGYGTVYRMVMNDCGVFAVKKIDQNREGCDRDFERELEILGSVKHMNLVNLRGYCRLPIAKLFIYDYMAMGSLDEILHEHGEDRSLNWNARLRIALGSARGLAYLHHDCFPKIIHRDIKSSNILLDDNLEPRVSDFGLAKLLVDESSHATTVVAGTFGYLAPEYLQSGMVTEKSDVYSFGVLLLELVTGRRPTDPTFVKQGLNVVGWMNVLVRENRVEDIVDKRCMDADVETVEAILEVAARCTDADPDERPTMEQVLQLLEQEVMSSCPSEFFESLSDYP >KZM95448 pep chromosome:ASM162521v1:5:32225365:32233811:1 gene:DCAR_018690 transcript:KZM95448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVNSSSLYNLLSLPCFSSSQNAPAFSLNLHSKSSFTSLSSSSFTHAIKCRPLCRNVVVSALSKIAESELINFPAESDGKFGVVPADAGVYAVYDENSEVQFIGISRNIAASVSTHRKSVPELCSSVKVGVVEEPDRTALTQAWKSWMEEHIAVTGKIPPGNETGNTTWVRQAPKKKPDLKLTPGRNVQLTVPLEQLIDRLVKENKGVAFIKGSRSAPXVAFIKGSRSAPQCGFSQKVVGILESEGADYESVDVLDEEYNSGLRETLKKYSNWPTFPQVFVNGDLVGGCDILSSMHEKGELAGLLK >KZM92869 pep chromosome:ASM162521v1:5:395966:396406:1 gene:DCAR_016114 transcript:KZM92869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRNSASTLFCGQNPATSPSLLSFFSFVHPFLLSSLSPPSQLSILVSPSLQFSPPLSLATVSPPLKVVTFRFSELHTQTIPVNAFYTAPNKKLSSPFFLRRHYNSSETTSAAGHRSRGDVSLLRPPPVVNTLRPQLTSPLQSIRN >KZM94055 pep chromosome:ASM162521v1:5:14461196:14465167:1 gene:DCAR_017300 transcript:KZM94055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASGSNETKCLGVQLTEGLGTLPKVVLTSSHGSEAELYLFGGCVTSWKVAGKDLLFVRPDAVFNGQKPISGGLPHCFPQFGPGAIQQHGFARNVNWSVLDSENVEGNPMITLELKDNEYSRAMWDFSFQALYKIILDEKSLSTELVITNNDSKKFSFTTALHTYFSASVGSASVKGLKGCKTLNKDPDPKNPVEGKEERDTVTFPGFVDCVYLDAPSELQLDNGLGNTISISNTNWTDAVLWNPHLTMEACYKDFVCVENAKIGQVQLEPEQSWTATQSLSVI >KZM93453 pep chromosome:ASM162521v1:5:6578351:6580549:-1 gene:DCAR_016698 transcript:KZM93453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGEIETLNTQVCIIGSGPAAHTAAIYAARAQLKPILFEGWMANDIAPGGQLTTTSDVENFPGFPDGILGIELMDRCRAQSIRFGTEIFTETVNRVDFSSHPFRVFTDSKKVVADTVIIATGAVAKRLSFPGSGDGKGGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEANFLTKYGSKVYILHRRDVFRASKIMQNRALNNPKIEVVWNSEVVEAYGGGEKGNLAGLKLRNVVTGELSDLEVSGLFFAIGHEPATKFLDGQLELHSDKYLVTEPGTTKTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALEAEHFLQELGAQQV >KZM93239 pep chromosome:ASM162521v1:5:3968171:3968920:1 gene:DCAR_016484 transcript:KZM93239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSSPSFNTYSPSDKLSQIAARVVDEFQSDDEFIFEHNNNDNNNNEEFIVNNHDDNQEGEEDDEESEFEFPQAPLSPIPADEIFSNGQIRPVYPLFNTELVFGNTETFPQISSYIITPKASARLPLGKLFIEERENCTTSSSSSSSESDELDKLPAETYCVWKPNTGDNPRELRKKSSSAGTNSKRWKFRDLLHKSNSDGNETFDFLGHKKAPVKESDARRSYLPHKKDLVELFFNVNGVSRHVNPY >KZM93119 pep chromosome:ASM162521v1:5:2577468:2577656:-1 gene:DCAR_016364 transcript:KZM93119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPRKRGKRESSMIDDAEESGGNKLKGGVSREQLKVTVEAKKRRKIVMLENEDDNENGDAY >KZM94582 pep chromosome:ASM162521v1:5:23845404:23846636:1 gene:DCAR_017825 transcript:KZM94582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKEKPKKGGGATMHVYKMDTGYAMAPPTPMVTKKMVSSSRSWGLLKRSKTYKDNNVDQLKAGEKEINVVVERGRKSVSNIVEARKSVSNMVEARKSSVSIVEGRKSVASKVEAINVEAMAGFLKAKVLVTDMPGFMQVHALRCARQTYDCLDNFTPKTLAHNMKKPLDYNRLQLIQLQIECPDALIQSNLIS >KZM94155 pep chromosome:ASM162521v1:5:17345643:17346590:1 gene:DCAR_017400 transcript:KZM94155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNTPPEIPIGDNRIFQLYWCYQCQRTVRIASENPSQIICPRCFGQFLDEITVTRPALIDLFTEFDPSPESRILEALTLMLNPSLGIPARNNQNLGRQPRNRRLSRRNRINIEENIDDFVPEAGILARPRDWIILRPDIPLPDNQTRNPRIDPGNYFLGPGLEALIQEITQNDREGPPPASDLAINALPAVKITDRHLVDDSSCPVCMEEFEVGGEATELPCNHIYHSDCIVPWLRLHNSCPVCRQGLLVPTDNEVEESRDSNNNVDASRNQSRCFRLGRFLRSFWHSRSSIHPLHHSPQAQEPSTATSRRGEN >KZM95786 pep chromosome:ASM162521v1:5:35532058:35534504:-1 gene:DCAR_019028 transcript:KZM95786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCESFKLKNLKISDDDGKPAALRRACRLICIGGRRVEEGTSACDRSTEAENGKARFAEIVKGRRLSLLYEQELYYFHSFCSKRVCAIRSPGLGDNRRANLADIGVLTGGEVIRWIDHGNLTMLPACSCYKSLCKPFYIVIKIDVFTILHKSGSHFGSASHTGPGSDIVDEISSLSFAAAAVPQDDVVMSCVAVSPVHPLSVLDFDLVRGHLGVDERGR >KZM95674 pep chromosome:ASM162521v1:5:34446610:34450293:1 gene:DCAR_018916 transcript:KZM95674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENNTSENSAGDGTPMPENPKFPEGLRVLLVDDDPTSLKTVSAMLQKCKYRVTPVTIATEALALLRANKDDYDLVMTDVRMPDMDGFELLKIIGLEMDIPVIMMSVDDKRENVMKGVMHGARDYLVKPIRILELQNIWQHVLRKTALDPEKSSLITEEIVVGNLPLKKRQGKDKEKEDANAISDGSCSMKKRRFAWTQELHQKFVEAVHHLNADKAVPKQIVDIMKEPGLTREIVASHLQKYRNYLKREQMRMTESGVYNNGQKGIHEAYLNPTPTSYSDYRPILRDPNNGCSSGSGTTSFRDHLPKPEALTNSQLNDSFMIGSLNQQSLWSQQTYNPPHMPNQVQAPKPTSVLGNIPLAQAQQWVANPPGRVMFGDYVNMSSQIPNFGTVQAGPQLGFANFAGQSGFPPSKLPSTENALHPGPSGAFGIVGAPYQMLDGASNQISQPFQRNVLPGQHNGNVISTAFSGGVGSDCRGYPVDFGDMDKPRVNGQGGQGAYMQLLTEKMDNNYHNSLSSIDDELSTEGEQIAPTSSAPMK >KZM93761 pep chromosome:ASM162521v1:5:10528684:10529013:1 gene:DCAR_017006 transcript:KZM93761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKDFSHKHNLKNHRLTEGQTVSCSRCERLCHNNVYACLQCNYFLHDYCANAVRYIKNHLSDTQHPLVLIPKPTYCSGSFICNACGETGSSFSYCCVLCGIVNLLRAL >KZM94204 pep chromosome:ASM162521v1:5:18636883:18638091:1 gene:DCAR_017447 transcript:KZM94204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRARRSQGPSTQAPTSSDSSSMGEVEFTSDGARTEFQRLMNKSIVKERGFLPTAEDGELLNMIQERGWESFCEAPEAVPLAIIREFYANAKENRDGFTVVRGIRVDYSAEAIRRVIGGRAKRRNEEDWVVERIGRAKRRFDDDPVDLERLVYDMCVPDTTWKMTAPPLPAHVSFPAAALNRYAKAWNAFICANIMPSSHGHEVTVDRAILLFGIVSGKYIDLGHVIHQGILRFLQGGTTGAIPYGTIVTKLCRASGVRWPANEQLQLPAAPIDHSAISRMTEWDGGVPHPRGLGYIYDEMPGGRPGFIRRERTRASGAGTSQTERSSEPMGDVHYRRLARRMDTMHDIHQRFAFDLTQALGSAFQAQGVTVQWPVFGAGMQYPPPDSPPAEEGEDSDSE >KZM95291 pep chromosome:ASM162521v1:5:30906265:30907426:1 gene:DCAR_018533 transcript:KZM95291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTFTDQNDFLDRRCVWVNGPVIVGAGPSGLAVGACLKEQGIPFVVLERADCIASLWQKRTYDRLKLHLPKQFCQLPNFPFPEHFPEYPSKKQFIDYLESYARHFDINPQFNECVQTAKYDEACQLWRIKTVSTCGSVQSEVEYICQWLVVATGENAERVVPDIEGLQEFGGEVIHACEYKSGEKYSGKKVLVVGCGNSGMEVSLDLCNHNAKPSMVVRSSVHVLPREICGKSTFELAMIMMRWLPLWLVDKLMLVLAWFILGNTESYGLKRPSVGPLELKNTRGKTPVLDIGALAKIRSRDINVVPGIKRFSKGLVELLDGEKLEIDSVVLATGYCSNVPYWLQV >KZM93693 pep chromosome:ASM162521v1:5:9298967:9303406:1 gene:DCAR_016938 transcript:KZM93693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNPIGGATSEAAAENVEGDVVVLGANGDEEEMTDVVLNSNRSGEEVEVQLIGKSGGEEEIEPKATEVVINREVVGGVINRKMEDEEKEKCGVGSNGMRSEVEEKVEVQVIGKSGGEEEIEPKAMEVVINREFVGGVSDRKTEDKEEVKCEVGSNGMRSEVEEKVEVQVIGKSGGEEEIDPKAKTKEKEEKCEAGLNGVSSEEKLGDKKGEVEGSDIRGLVEGQGGGGGAKVTKAEKRKRRRMARLAEELTNVKKRRISGNYERGINGEVNDKMDVDAVEDMDLGSIKVSARQRLVRRSLRIASQIKLGGKIDYTQPDGNEGDSTNVEKQVGEASGKDDLQEDREKEIKGELKNSKQPKQINKGGKKCRPAMQRRQERLAKQQAARDSRAKLISVLRRFDASDDQEYRFDVSLTRAERSEVHLLCSKMGLASRSSGSGRGRRITVYKRRVRAKKIRENNITRFTLSEEAKDVSLNLFTRYPPYDCGSGDKMVGKFVEKTSRRQGPDPFFSRPLMSKFDIKRKVELLTNKLESDPKLKKITEKRTKLPIASFRDAITSAIDSHQVVLISGETGCGKTTQISFEHLLSIYSPIRNSPYEHSSVLWCYVFIHSFQHNEKVGSSGGE >KZM93317 pep chromosome:ASM162521v1:5:4743839:4744408:1 gene:DCAR_016562 transcript:KZM93317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCSFSISSPPLQSPQAFHKFKHLLYLTKPLLKQQKRAPLLASLNSPKGFGPPPRKTKNPKRDLKKQENKDEENEVEVRKEADVIPEIVTNRMIKRMGLSVGIPLFIGLMFFPLFYYLKVGLKVDVPSWVPVIVSFVFFGTALLGVSYGIVSSSWDPMREGSALGWIEAQKNWPVFWQSLRGPDSRKR >KZM92924 pep chromosome:ASM162521v1:5:839577:848586:1 gene:DCAR_016169 transcript:KZM92924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGCFVGGATAGSRFDRGGSIVLAVVVELMGFVECSIVAMGLGDSVLAGDCCSNNIADKEDKGGVLPNTPSPFKTTAFAHDPFDPERIRVLASCNGLLLCSTCIIASLTAYREQITTYVYNPSTNKLDVIPRHLPSKGVNYRNLTFDPSNSPHYKVIVYVTTSRSDSFSIGDFHVYSSQIGTWTLSVQSFCLPKGMYLDRGVYWHGCIYYLSDLNFTGSTVSDGLYFNVDEGRLGTFPGPPIDANLPLTGSYFGESEDHLHFVGVFPSATSLGVYELKSDCSEWFIKYLVDLAPISKAFPEMTKHKACYFRGFTFAFDVLALIRRENFQEDSFLVLEIPGKVIRYNLVDASLKEIWDFDVDFGISPYANLSHYDLSQTLQMRFNGWLRHKVRNVSDQINSKHFCVFTPSEHAIQLDVIGEGHELQGRVSQIEFKAIMEAAKAELLDIGM >KZM94113 pep chromosome:ASM162521v1:5:15890216:15897460:1 gene:DCAR_017358 transcript:KZM94113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLRVFRISGADIFASISVSPRHPLHRPLAGSQTEFDSKVTPYLMKELLRVYNKEKSWRDDFGEMALIKEREILLQIVEKTELCRTRLTEVADRVLAHVDKDLDVVAEKLSTALKAVEAAGVYDHDSKCKFEMALARNSWRVRAQKLLESSLKPTVQQIQRHLKEGSAISIPLKDYFRETLIEVKHRSVLVDSGELELDKVYDLIMEGNDLLVEVEKELKLLQDRSMLYCICRKPYDQRAMIACDKWYHFDCIKLTSPPKVYTCPASGSKHSSKITRMSFGKMESKTILIMIHASNIMVKFSDVVDWLKVKSAPDTQTAPNKLLKENNKTSFFGIPPASTTASFAMSWSNPSQNNIVSESKDNNEIKKMPEKPGFASSGASAGFPVSWGNPVQNKLFSEIKDTNQRESSENTGSSPAAVSSAFGITWGAPVLNKPASEDINGNGVKLFLEKPGIIAASGTTPSFGT >KZM95294 pep chromosome:ASM162521v1:5:30929399:30929937:1 gene:DCAR_018536 transcript:KZM95294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEISKCSSDGECGSRLCYCNNVVRNRTSWTSKNLGRRFETCAKYKDEGGCHYFMWLDGGLTGPAKDAFCELKQKKEIAEEKVKILEDKLEIAADKLKIADEKVKILEEKLKMLEDNVEKKRLKIFKNVFVVIVVAMLLFVWDKKMAKGGENLYLL >KZM96411 pep chromosome:ASM162521v1:5:40802804:40806847:-1 gene:DCAR_019653 transcript:KZM96411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATATSLRVFLSLILLSLLHTPTFATEKHSFVVYMGAHSHGKSVSPVDYDRVTESHYMFLQSFLADNLDMLEVVEKCRTEEAKDSIFYSYTRHINGFAAMLDDEAAAQIAKHPKVVSVFLNKARKLHTTRSWEFMGLEDGGAILPSSIWQKARFGEDAIIGNLDTGVWPESMSFSDEGLGPVPSKWKGICQNDVDPGFHCNRKLIGARYFNKGYAALVGSLDPSYDSPRDTGGHGSHTLSTAGGSFVPGANVFGFGNGTAKGGSPKARVAAYKVCWPPKGDNECCDADILAAFDVAIDDGVDVLSVSLGNDAVGFFNDSVAIGSFHAVKHGIFVVCSAGNSGPDAGTVANVSPWQFTVGASTMDRQFPSYVSLGNKMQIKGESLSIDALPSKEFYPVILSKDAKASYASEEDAEHCKSGSLDSSEVKGKILVCLRGDNGRVDKGKQALSAGALGMILANNDLTGNEIVADPHVLPVSHITYSDGLAVYQYIHSTKSPVAYLTRPTTQLGIKPAPLMAVFSSAGPNPVTPEILKATPFSYGAGHVEPNRAMDPGLVYDLTVNDYLTFLCSLHYNQSQITLFSEEPYTCPKQISLTDFNYPSITVPNLNGSITVTRTVKNVGSPGTYKARVIRPPGITASVEPHSMRFERVGEEKSFELTLKIKQRNAAKDYVFGKLIWSDGKHFVRSPIVVKAIYV >KZM96194 pep chromosome:ASM162521v1:5:39012770:39012940:1 gene:DCAR_019436 transcript:KZM96194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRNVVFVAGIVGLSEYCKISKEILSRMESVVTLGLAWPPKGNHLGTRGAIPKAT >KZM93957 pep chromosome:ASM162521v1:5:12815399:12820715:-1 gene:DCAR_017202 transcript:KZM93957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSTSTYQTSICPTEAAIANDEEFDNSYLSVELQNDSDEWLALQVERGKNSDTSDTSEYQPTDQEESVETSDEDQLISTMRDKILKKKGKGESSTGAKKRKEKGKAKAKESQKGKQRQDEDEDEDDEDDEEDIQKPIVRTIPRFTAWSDKDLRARERKETSENLFGKGRIRSADESTEQTQEVDRNLDEERKREQMIVELENLAFILVESRKQFDAANRQFNKCLKSCIDYNTVNNNEEFLTRVEAAQVFVCSEADQFENQSRQQEKETDGASQYNFEPLGNPVSEEREVQAEKQAEEERQVEKERNIQEVEEEREVESEKGADGVQKEIEKERPVEKTVSPVQSSKEIEQEKPVEKTVSPVQSSKEIEQEKPVENTVSPVQSSMGSEVIRMLDAAEKDYQEKIRAQEMASNVNVVGIATEAVSGLHDEHTSDTEMPVAEHGADKEEAVEEQAAPEALDVSSRKAAQLVKKDGKKVKIIFNTSRRMNVVSSTVPPPQKLTIKTTAQPNVQSSGEVLPSFSLGLTQVEKEAELERQRKSEEEAAQVDKGKRIIHAAEVLKSPWKIRLTRISTKINKEEQKLKDWLLTIDPEGYFLYFDTANAILDNSNCISFQPKQLVTAQVVDAFCHILNMNEMYKAEQSPLRLFVPHHVTVYVLRHSNSEEEEQHFKKFVRDFDDVLAAYDHIKFNDVDLRNFLVRYMVLKNHSKAKDIASLVPVRLEMKWRTEHNHIDCGLFVMRHMEHYQGVSKNWDCGLAVEGKVQDQQLDVLRTRYAHQILLHECNKQKHHVEYQIFEEHVKKAELEKQKAKEKKEKDTKAPAKRQRRA >KZM94633 pep chromosome:ASM162521v1:5:24485203:24488633:1 gene:DCAR_017876 transcript:KZM94633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREQMMWRMRLESAVRTAVACTIVGCSTLYGPSHLRSHVTFPAFSYVTAILILSDATLGDSLRGSWHALCATVQVVPVSILSLWIIGPASAALAVAVMAFLIAVPERTNILSKRIAFGQVVIVYVGAVVYGSAAGAVIHPLHVASSTALGALASVVAALLPFPRLASNEVKKLLQLYTQNASARTSLFIKAFLSQDKQSATEAISQSKPFNETGDKLLQSIKLIQEGLSWESPLRNLRHQLMDPRDQMQDIETAISGMEMALVSCPSFPVSFIDQELNASLRGMDIQLGLKLGEAQPAQGLFPSETITTPHTKNEYFDKAIQSLAKFYPTQKDLPTIFQLFCIGFLSDSMRSKSSESASDDNQKLHEEELTTSPEQPKSSGISKMLPSTKGLLFAFKCSLSLGLAVFFGTIFSKENGYWSGLTIAISFATGRQPIFTVANARAQGTAMGSAYGVVGCFLFHKLMELRFLTLLPWIIFASFLKNSRMYGQAGGISAVIGALLILGRKNYGRPDEFAITRLTEAFIGLSCLIMVEFVLQRARAATLARNQLSASLKALEECIQHIVFPSHQKDKPDSMPLQELKAKQRMLKSHVSDLEELKKDAEMEPNFFYLPFRVACYDRLQGSLSKMVILLQIVASVLENLQLVSEKAGDGCKEIQELMISDLQLFKADISTSLKCYEKITKIKSLACFEKGRQEGKIFHDLEAGEVSSVHSSTKCGDVAENILNSYVEHSMQLAEKVCNSECEDFVKGKIVLFLGSLGFCLKSVMKETTEIENGIKELIRWENPSRHINFYEIFSKIDAACP >KZM95490 pep chromosome:ASM162521v1:5:32606992:32609761:-1 gene:DCAR_018732 transcript:KZM95490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSDDIYTSKHFSCQVHDLDKYIVVQAHTNFHHGKMYDCTGKEAEPKMVYLWICEDLPKAFDMRRQLEIFYALAPKNVAEPYCVGRLKHADLKSVHNDYTSTLLEIPPEVKMLKTEKPCLITGQVSTLTFDKWLPNSNEQDSTLLFRSLFSTVGKFHQAKMSFGNIRAGIRITGKSPIFVIPAATEEEDEGIRKDIGELHDMLMESKIQGFFEMELFDKLCKKCTQMENGSFTYSGESLRQLSFIITHCPVVWTSEDRYNFIEKLEKYRDFNRSLYDLLTSDLFARAILTVDFWTRLDTAAPSTSAFMLQPLYTQGKNTSYTNMEGIRSFHKYAYAHNPPECPLWSDVEKVLYSVFPEAPASLYEIIVGQLHFSSNASLITNTQ >KZM96117 pep chromosome:ASM162521v1:5:38404050:38404626:-1 gene:DCAR_019359 transcript:KZM96117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFVADLASGLVFKLVSLAAEQVIQAWKLQEHLETLGERNLGLQPVAQLTTTVQPMEIRNTPPFEDESLIVGRDGDITFLVETVCTNHEDLPVIAFMGMGGLGKTTLACMVYNRDVVTDMFTKRIVYEPVLEYIACCQKSDHWQYGNEPKLINTRQKNSNCH >KZM94822 pep chromosome:ASM162521v1:5:26214521:26214943:1 gene:DCAR_018064 transcript:KZM94822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVDTFALLSSIVEAYSSKATEPTLYPMSVDEMSQVAPTTYSFCQVCGVQGHYSYECSYNHFNSQNTQSGHGYNYQEGYEYNQYSNSCDQEWMDQPDFSYMDNNFGDFPYHGQHQFQEQKFPQQCYEEPPMQQYDQFEQ >KZM96549 pep chromosome:ASM162521v1:5:41751831:41752070:-1 gene:DCAR_019791 transcript:KZM96549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGMEANKNRWIEEWGSARENLEHNFRWTRRNFALVGIFGIAIPVLVYKGIVKEFVISRLLFFASCRFALLPSMLVYT >KZM92922 pep chromosome:ASM162521v1:5:825047:825349:-1 gene:DCAR_016167 transcript:KZM92922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFAGGVENQVRQVVKSGAGKCLNCRSPSDLVDYDKVLKLFFVPVWRWPEKDQLMHCNNCNLFFPPSPRLHDVDLRCQICGRGVESQFSFCPFCGSSL >KZM94725 pep chromosome:ASM162521v1:5:25307157:25309863:-1 gene:DCAR_017967 transcript:KZM94725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMKMETKTTFETTSAANFCNTENQNQPAMSDNRNSAAMPIPENQNSTALVLQKYSNDYASEIDAYLQSFPPGFRFKPTDEELIVEYLMRKIQNKPPHPSRIHDVDIYRESPFYLSAKYAAANDSEWYFLSPRQKKYEMGKRPNRMAGNGYWKATGADKPIPSPQGGVGVVKTLVYFQGTHKTSFKTEWIMKEYRANEFPPSTLQEKPVNSAGKIRSAPAKSSKTCMKLDDYVLYKIYKNKSHRSKNATFDGEANNLEEDEANDLEEGEANDQFQEPFEYFHDGVAQQENIHQDHGVDEYMVSGDGHFNQVTHVDNTHEIDYSANQQQQQLWPVPYPNYLGYNSYMIPNHVSMVIPQMHGNGFYDQNRELMLHETLYNQQHMARDYTPPETMLPVDASNNVLTLNNVLNIPQEFCAAMSPVDASNNVQALPNISTEFSAAMSPVDASNNVQALSNVSKEFSAAMSPVDASDDVQPLSNISREFSAAMSPADAPNNVQPLSNISQEFSAAMPPVDAPDVVRVVKNELNIPQMQEGQYHQRPVMTRPSTSSTYHPYHHPDHPNN >KZM96000 pep chromosome:ASM162521v1:5:37340998:37341821:1 gene:DCAR_019242 transcript:KZM96000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPKRLVPPASGKLYKQQSWSPNWQAEEVWTKLKANQRRSRRRTKSVTDDDMTELKACFELGFGFEGDEIEGDRRLSDVFPALELYRAVNKMSRSSSSSSIASSSCESIGSAESVIDLDGGPEAVKAKLKQWAQMVACSVLQSPRSS >KZM93966 pep chromosome:ASM162521v1:5:12968716:12971177:-1 gene:DCAR_017211 transcript:KZM93966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSPGTSVNRQVKTCHQCRQHRSSATACKDTERRQKKLKHLRYGVVQSADRDICNCSICRAKPGHLPMGNLSHKAKAAGYSSRKLGIPPFLNYCMPKISTDSMDLVLRASAEVNRMVRSLEMREVPRAFLNEQMHRLANEAFPDRDKPVEQELWTQYMQLATAFVVEALELNDKVILEIDLESDTSQISSLRVLGKGCR >KZM93757 pep chromosome:ASM162521v1:5:10510359:10512951:-1 gene:DCAR_017002 transcript:KZM93757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKKSRVSEDEEEQQHEDPGHSSSVSNDKSLYEVLGVERTATQQEIKRAYHKLALRLHPDKNPGDEGAKDKFQHLQKVISILGDEEKRSLYDQTGCIDDAELAGDAVRDLKEFFRTMYKKVTEEDIDEFEAEYRGSDSEKKDLIDLYKKYKGNMKRLFCSMICSDPKLDSHRFKDILDETIAAGEIKSTKAYQKWAVQVSAMKPPTTPPKRKGKSKKESSDLYAIIAQRQSERKGRLDSMFSSVISKYGGARIAEPSEEEFEAAQAKVDSRKASKSSKKKKKLN >KZM94766 pep chromosome:ASM162521v1:5:25597719:25598177:1 gene:DCAR_018008 transcript:KZM94766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIVRVLEVVPYSILRPPRLRLKLPSFSLPSAMTVYSLILLTYFMVVSGIVYDVIVEPPGIGSTQDRATGAVKPVVFMSGRVNGQYIIEGLSSGFMFVLGGIGIVLMDLALDKNRAKSVKVSFASAGICFVVIGYVMSMLFIRIKIPGYLR >KZM94469 pep chromosome:ASM162521v1:5:22786321:22789008:-1 gene:DCAR_017712 transcript:KZM94469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDILTRVETICQKYDKYDIDKQKDLNVSGDDAFAVLYADVDSDITAAIQKAESATSEKKRASAVAINAEIRRTKARLLEQVPKLQRLALKKVKGLSPQEFAARNDLALALSDRIHAIPDGTPAAPKQTGGGWASSTTTRADIKFDSDGNFDNEYFQQTEESNQFRQEYEMRKMKQDEGLDVIAEGLDTLKHMASDMNEELDRQVPLMDEIDTKVDKATSDLKNTNVRLKDTVNQLRSSRNFCIDIILLCIILGIAAYLYNALK >KZM95117 pep chromosome:ASM162521v1:5:28839326:28839547:-1 gene:DCAR_018359 transcript:KZM95117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGPRNCFNQGMHSPSTNQYKCMKNGTRPGGPQAQWPTSLSYINFNQLCMANVDTQPDVPNLWSPDLSIALE >KZM93558 pep chromosome:ASM162521v1:5:7799419:7801097:1 gene:DCAR_016803 transcript:KZM93558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKNLYIRFSCSTGDAMGMNMVSKGVQNVLDFLQCDFPDMEVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAMITEDVVKKVLKTTVSALVELNMLKNLTGSAIAGSLGGFNAHAANIVSAIFIATGQDPAQNIESSHCITMMEAVNNGRDLHISVTMPCIEVGTVGGGTQLASQSACLNLLGVKGANKESPGSNSRLLATIIAGSVLAGELSLMSAIAAGQLVRSHMKYNRSSRDMSNIVLKD >KZM95649 pep chromosome:ASM162521v1:5:34152394:34152858:-1 gene:DCAR_018891 transcript:KZM95649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCLMLLSQIGKSQTHLISSGRVYECKTCNRQFPSFQALGGHRASHKRPKLMVGDLLQQVAVKPKTHECSICGVEFALGQALGGHMRRHRAEIEANSTSTTITEANSTSTTISDDDHKAVKAVPILKKSNSCKRVWGLDLNLMPYDNYLKLGW >KZM94094 pep chromosome:ASM162521v1:5:15384613:15391006:-1 gene:DCAR_017339 transcript:KZM94094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRSDYGETGGSEANLSKEETWRNLVRKARVDRQSITEDEVQELKDKVLKFWFLLDFYLLEAIQKGDVRWVDIAMARIEYLNKVVDQELVRKCLEGDEEALCQTHRFLMNEEWWERANNLDLKGLRMAMNHVHYNSLREHRVVKNMKAVLTRDKKDSGVQSNEEGKSGKDREQSYKNVLVENGEKKRWVRKERQILGNKEDVSQGRNQKNSMVFVHNLPEKSNSLEIWNFMRKWGRVLDCITPLRRDKFGKRFGFIKLQSILEAENFIRGVNGKLLDGNAIRAQFAHKQVSQDNKKGRREFKQARVELIDKRGERESLPCIPDKADRKTLGTVKLEVADHIPSSEVERSLVVSTWKESSIVEILNTIEALGYEGVLVRSLSSTKFLVTFPSSDSFLKLDQDLFGLGFLDCKPVSVEDLIIPRRLVLECLGLPITLWKFSNFAKVLEGIGNITAISRLLDENLAYRIPIIEVETKEMSEINRELAVEYEGKQIVVLLKEVGKIGIEDNIMEELREMELTDDHREVETQNISSSDDSETGSLYNIEEKQDEEVEISKQELVSKDKEATREQTLGNENVPDSEKYSQVTSGKDKHIGKDIVLSNEKENENASISVQKDLDKPQSSLEAKEESLEVASGTASSSVSGHEKVQTSSGSVKKAEECLLKLKLGRKRGRPPKRNTRKGRQPFALCSIGGQLNCQGGASEAEKIYESCLLMGLEGKVDRDEAIKRIAKSDDTDESDPEYKRSSETEESEDESFVPDEYGSDNEMNAIRENCKKFKQGLVDSMNIPDENVGEESEYDSENEILGSLSSSSEDENAKIGYDKGKAVEEEVPQSVWDILEEQGEDPTEEQGGEERLSFFTKIPKKKMPLMRGQETDEWEKSGKNYADFQEQLRGPTGYKAVFMPTPGHRRFQPPLSQQGGTPPVSTPPVNLLGGGTTTFNPSIESN >KZM96179 pep chromosome:ASM162521v1:5:38887548:38888957:1 gene:DCAR_019421 transcript:KZM96179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELMTNNAYKFGAKLEHNSVQEAALAGLESVDNLIRMISRSQHYSNISQSSSSSNSHENNVTDYRAVTDIAVNKFRTFISLLDKTRTGHARFRRGPGVRTLETQVIKPKNEIVAPVQRQALNNQAVKNVSFEKKEHVTSTINFGSVAAVVPSGTNSFMSSLTGDTDGSGFQITNMSSGSRPPASTSSFKRKCSSMDNSAARCSGSSGRCHCPKKSRKSKLKKVVRVPAISLKMSDIPPDDFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPAMLVVTYEGEHNHSQSLHDTPASLVLESS >KZM95158 pep chromosome:ASM162521v1:5:29305176:29308624:-1 gene:DCAR_018400 transcript:KZM95158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASNKPHALCIPYPSQDFNRNRLIRARGPDALDGFPDFRFYSVPDGLAVSDPDATQDTPTLCKYAPINCFAPLCDLISTLNDSFALGVPPVTCIVSDGVMSFTLKAAQQFGIPEVLLWTTSACGLLAYMHYSQLVERGYAPLQALLFDTASIVGSNRALKLESSKAKAVILHEAVK >KZM95234 pep chromosome:ASM162521v1:5:30431264:30431419:-1 gene:DCAR_018476 transcript:KZM95234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNNAHKLLFLTKPKKKHERSHELEPENAGQPIQSGCRRTLNQSIQRPEK >KZM93069 pep chromosome:ASM162521v1:5:2113394:2115974:-1 gene:DCAR_016314 transcript:KZM93069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQTTSSRFRALLNLKATSMSVNINNNVLLLQPFSFEDTIQYLKNAADTKNLIFGKIIHAHLITSSQLNEQSNNVVLMNSLINFYSKCGEIRVAQNLFDEMPQRNIVSWSSLMMGFLQTGGGFQVLKLFRNMGLVDGIRPNEYILSTALSACKGMLFEGQQCHCLGLKSGLVFYQYVKNALVRMYSMCSDLGGAMGVLYSIPGSDIVTYNSIISGLLDHGYMNEALGVLNRIVAEYKAWDEVTYINIFALCARIKDANMGMQVHNHLLKNSGEFGFYVTSSLMDMYGKCGKISYARKVFDSLNTRNVGSWTTIMAAYLQNDCFEETLSLFLDMELEDVEPNDYTFAVVLNASASLSALGYGTSLHAYTEKLGFKGHVIVGNALINMYSKAGFVGHANKVFTEMINRDIISWNSIISAYCHHGLDDKSHPELKQIHEKVKDLLNKITLLGYVPDIATVFHDVEAEQKEEYLSFHSEKLAIAYALLKTPPDAPIRVIKNLRMCSDCHSAIKLISKDKKSKVLKEQCHIVNVFLKNNSL >KZM95348 pep chromosome:ASM162521v1:5:31342675:31344486:-1 gene:DCAR_018590 transcript:KZM95348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNLAAASFTSWAVCNSRSSTHHRLYSHYHTHKPTSSLLPHHHSFLSLPHRTTTRTAVAAVDSSVPLQKEQPTSKKYFFLVANAKFMLDEEEHFQEQLAERVRLFEERNMEQDFWLVIEPKFLDKFPKITSRLKRPAVALVSTNGPWITFMKLRLDRVLCDSFEADSVEEALLSNPAKLEFEKPENWVAPYPKYESGWWESFLYANSEKQKV >KZM94245 pep chromosome:ASM162521v1:5:19924709:19925041:1 gene:DCAR_017488 transcript:KZM94245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSSLRQAVALKQIIRRCTGFAKKNFGGNYYYTSITGEELGFPLDVPKGHFVAYVGNSRSRYVVPISILTRPVFQSLLEQAADEFGFDYSSGIIIPCPEDVFLSLVDAN >KZM94786 pep chromosome:ASM162521v1:5:25882128:25888371:1 gene:DCAR_018028 transcript:KZM94786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLDEYKLYWETNNYLHTKEQNSFALDETLSAHHNSSSQDGAQSPGAPKNIVSERNRRKKLNDRLYALRAVVPNITKMDKASIIKDAISYIQELQEQEKILGGEITQLEYSLATKNKKSLDELNQAAADMAMPSTVSKKKRTDKQQLFDESGSSRVLASLVPVTELNVSYVGRRTVLVSIKCTNQRNTMVKLCKAFESLKLKSITANITSSSGILVKTVFIELNVSYVGRRTVLVSIKCTNQRNTMVKLCKAFESLKLKSITANITSFSGILVKTVFIEVKV >KZM93259 pep chromosome:ASM162521v1:5:4109465:4112066:-1 gene:DCAR_016504 transcript:KZM93259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKRQQEFDGRPKTEEEEGSTSNDSKRRKVPCFGNVVLEAMKLQAIQQYMEPVLEPLLRRVVSEEVELALQKHTTDMKRNSGKEMQPAGARSLKLQFLDTIALPVYTGSRIEAKGGNIMRVAIVDALTEQVVRDGPISSAKVEVVVLEGDFHGSVGDNWTQEEFVSNLVRERQGKKTLLSGDAVIYLEEGIGILSVISFQDNSSWTRSRKFRLGARVLDNYDGIRVKEAKTDSFTVRDHRGELYRKHYPPSLFDEVWRLEKIGKEGAFHKRLCREGINTVKDFLILLYQEPRRLRHILGSGMSTKVWEAAVDHAKTCVLDKREYAYFPRSHVKNGVVFNVVGQVTGLLRDGQYVHFDKLSELEKADARSLVILAFQKWEEVVALDGSSLLSNVSYPSNSLIAGSSNDTNILNSGIVGSFEIGPQLDASTADVMTSFYSMGPANNADNFALHDAESIEINFDQSLSAADLVGSSYICDSGSITQALHLDDHQQLFDTLGSFQTSGLSIHGADADLYSAVSEFLHGPSAATEAISKAQRRWRMLFSVLRLVSVRRIVVRKSWQQTGFSNEYCG >KZM94340 pep chromosome:ASM162521v1:5:21217361:21219038:-1 gene:DCAR_017583 transcript:KZM94340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPRFLALYITVCIVAFVIGKIVIAVLIYRRWQRKNLVIQDSSYGGKLVMFRSSRMKSLKSNKLLKRTMKLSNKDKIGAGGYGTVYRLKIDDSTSFAVKRLNRGSAEQDRGFERELEAMGDIKHRNIVVLHGYYTAPHYNLLIYELMPNGSLDTLLHGRSPEKVLDWPSRYKIAVGAARGLAYLHHDCIPHIIHRDIKSSNILLDENMEAQVSDFGLATLMEPDKTHVSTVVAGTFGYLAPEYFDTGRATVKGDVYSYGVVLLELLTGKRPNDETFIEEGTRLVTWVKVVVQEKREEYVIDSVIKIYPSEEVSAVFNIALMCLESDPTRRPTMAEVVKMLERIKPQLQTES >KZM93795 pep chromosome:ASM162521v1:5:10819186:10825915:-1 gene:DCAR_017040 transcript:KZM93795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLPQRNDNAEFTDFTMSCSDGSVNGFWSKHRGDVSYNQLQKFWSELLPQARQELLRIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKALLHDGTLGPLPCNRVGPLKNRSDSDMWIANGGHNALQDPSVHPWGGLTTTKDGTLTLLDCYLYSKSLKGLQIVFDSARARERERELLYPDACGGGGRGWISQGMTGYGRGHGTRETCALHTARLSVDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRIRREPRCTSWFCASDTSFQYEVTHDTVQADWHQTFLDTFGTYHHFEWALGSGEGKSDIFGFENVGLRGKARISSLNLGGLNACYITLRAWKKDGRCTELSVKAHAMKGKQCVHCRLVVGDGFVTITRGESIRRFFEHAEEAEEEEASLDDETMDKDGNDIDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVSLALKLLEERVHVACKEIITLAKQTKLLEEEEKEKRQEEERKEKRRMKEREKKLRRKERLREKEKDKDKKLCENDQHVAFDVRIEELAPNVEEGSNLISREDVINCEIGDVISSRPTSPDIQDEQVLNGYYLSSMQDNSGGFPDGGFSDEFGSFEGQNDIEVESKSSDRRSCSTVPENGGRDCKLEQNYQGDRFETSRTMGLGKLSRCNPAKSNPRTPGSKFAERSHSSNRVCERYGYNTCSCYQQNDYRTKVESHCAARMCREGKSVCKSESASDVSKPYFRGIRYNQVDYMRDGVGRPKTKFVTGKNSYTRDSPQTKQVWEPMESQKKYVRSTSDSDVTMRSCTFGADATELDKSFESSDGISCNETGGNTVLNKNEDNKKTCKGGFHFEEKTTLYYKEVTNVEADVCPMTSSSLTGTSNSDSCSSCLSEGDSNGSSLNPPNPESSSASDSDDGNQSKDREPLRSLQTDFHERLDIGIGKKQSADGGEHFKIKASDNGVHKDQGSFSAKVAQEYPNSMPNVSNMNLRPQSVLPSVNSQSMPYPLFQPPSMGFYHQSPVSWSSPSGLVSLPHPNHYVLTSPFGFGINGNSHFVPYSSLPHMAPPTLNAANVPVYKPVQQVNVMNSMEHVNKLDFDGVKGDDHEMNIQRVSKTEEDKSSKISGKAECGNAGFSLFHFGDPVALANGYKSPPFPPKTTVGDDPSNKSSDHTVGDHYCNKEDVGEYNLFDGSNGIRFSIF >KZM96344 pep chromosome:ASM162521v1:5:40246266:40248034:-1 gene:DCAR_019586 transcript:KZM96344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEQNQKYSNSSSGGCTGGIVARSSKKLKQKKVPQRGLGVAQLEKIRLEEQQKRDAVFQVPSAIVSPSNSSSCLASQSSSFRPDVSSYLTSTSPIDHPSANKINRLPPIIPNRNEIVRSNSVSNNGGSECDMAWQDITGAGRGSWSKLWNDEYNLEGDISYKLDHQGSSASRSSVNLLSETKSLMWPLPGVMPRSHSFQEACPSSMVNVSIGTSSSSVMNFQTEPPSNQRYRGNKSMPLWPAEERMAGLKRSYPFSVDDAPVPSFSCKFPPTYASHIPRTDESASFSNDGTFDFVPANPLLIEGPSGSGGMSEHNKKNLVKENGGFNKHFLTLAPPIPKETAPYLDTCIPELPDFDALPYQGAPDDLVRLPGLIRSVEQPFFSFLPSAQNGRSTVTISNSNDAVENVDLSLKL >KZM93204 pep chromosome:ASM162521v1:5:3502710:3503192:-1 gene:DCAR_016449 transcript:KZM93204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQMGIPACFSSGERFGDDSRAVTRSGQSVFMSVYRTKIADQCRLITVTWCKNLLLHGLSVSVEGPDDDSQYTCKVELKPWCFWRKQGSKRFLVDGKPVDIFWDLKAAKFNGETEPSTEYYVAVICDEEVVLLLGDLKKDAYRKTGCRPALIDPIYIGF >KZM95792 pep chromosome:ASM162521v1:5:35620891:35630684:1 gene:DCAR_019034 transcript:KZM95792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVPVFDDSDGKYMYTLSIYSCLIVITIITCPYFAAQAVLNLRSVLAILTLLWLQPMEPRNIYKAEVDMSGVPKIFGRFEGGGSLYQYPEDDTELLGLCWTPSAKMRYFGVIERRWREEFSSADLKYVKIGVIDGGWSKQLTSDDINIFESLKIAPVSHLKSCTIEGGSLSANAFMCVSAIGCIRTQLDFFGIHQTTFEGIVPGARIYAYPIGCEKCSPKPSVSESIEAIGKAIQDGVKVVSVSIDFSVKNREYQLEDPKDDDFGLKILDAMKEDILTCLAVGDDGPIDESAMNGMPWALTVGAHTGNILETDIEIAIRRDVPSIKISYDENEKWRIIGKFKGSSLNVHESPFLKLHKLEDVYDKDCVLKADSSRERCYLTPAKSLWRTLVVGSSASSTTKVSLSKEHVHQLSKWQTEYGKEIFIRIRTSKIEKDSRGVLIPEFSSRGPSRIYKQHIIPELVAPGYAILIPYPSTIELNQILAQTSIDEKETPLLRDCNIVSGSSIACSQVAGAALILRSYRPHWTPLEVKSAIITTAKSFAAGNIPGNELVFGAGSINIKAALYPGLVYDESWTHFREYVDKKRSIYDLNLPTFAASFSYSPQSCKRIFRRELKNVGDHKMVYKCVVKYFSKLWDPDVEITAVPDCLEFERGEKQRFELIVNMVPRPFTHISALLMWIPNDGGQSVCSPIHLYHQSEFDKSSWYE >KZM93449 pep chromosome:ASM162521v1:5:6522690:6538399:1 gene:DCAR_016694 transcript:KZM93449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIYISLLPSTKIYINLEDDVVVAMRSRLEELGYKSGGSNNTIMEENDFISLEMLTIKKMNEITDKTYIKVFESEYGTSTPRTGKSSNKTRLNIEKYEGEFDEINKQLLNKKIKQEREMVRGIIKPLPETVKSSVRSGVLVYDFTRVVEELIYNSLDAGATKVFVAVAVGTGYIKVTDNGCGITRDGLVLLGERYATSKFDLIGDKDTTAGSFGSRGEALGSISDVSLLEIVTKAHGRPNGYRKVMKGCKCLYLGIDDNRHDVGTTECVLKIAIVHLKVNIKVFDIESEDELLSAHPSSSPLPLLKSGLGLDPSIMLHEFDVSDGILKISGYISGPGKTFPGKSNMSVSCLLLLSNDLQQPIYINSRFVWKGPIHKLLSQLAAKFDDIGTSNDFSGPGNGKRSRSQTNPSYILNLSCPSSLYDLTFEPSKTSAEFKDWDHILTFLEKAITNIWNKCMLNGHCLSDDALRNDNTWKEGINSVTTEDMESHYEKKRKRCEHQLYQAALEVPLHRKMLKESFNYMSPCSGNTFSGLKSSRTTSESEKNQEKISSTAQLCDGALSLFREAIDQENGCHLEAPVSKVYFAEDHLFENKVTAEISNEHMDSLMEAKCNMSHIDDDGITDMLAVSGSAHCFEASNDVEEISDTLNVNKYFLKGCSSRRGLSPGKAFSLSKGINFKSKGLESQEHCTDRACEVGVRVVVDSPIHESGKDERASVWCSPGFTNKDVMFMGPDTTTRAPMQLFQMVACFDEDTDLSLDLGNQFQKYGPRESSSKFGWSCVTPDSSAETKFMEADHVSNDAVQDKCKMNSYHYSGDKGCISGFDNMGYNFGHLNCFASSRIEDSGLGDYPSSQKDACDFRVDDVEYDFLPNVFDFHSDKVKGSCSKPVDRDKGRSDAASSSRVFLWNNEDANADGRSEIRGGEKAYGKRPRSHSAPPYYRGKKKFSILNSLSYTEALNAQVVSTHDASILKETYVVENNKQLSGVCRPSSNLYSVNGLINNMRPDMRRAGFNEAQDCETPTDFQNFHANMHSEGKSLETRDLPASKMKWRSSSQLSTSEENSNNLHNKDADILDISSGILHLAGDVLVPKVIEKDALRGAKVLQQVDRKYIPVVGGGVLAFIDQHAADERIRLEELRSKVLAGEMKTMTYLDVEQELVLPEFGHQLLQNYAELIRNWGWICSINTQSSRNFKKNLKLLHSQSSVATLIASGNIQVPCILGVNLTDGDLLEFLQQLSDTDGTSTVPPSVIRVLNFKACRGAIMFGDVLLPSECSLIVEELKQTSLCFQCAHGRPTTAPLVNLELLHEQILKLGLRGSSNELWQGLRRHEVSLKRAVQRLDAARDH >KZM95285 pep chromosome:ASM162521v1:5:30820750:30825263:1 gene:DCAR_018527 transcript:KZM95285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNEPDDEEIYSGMRASFPVSFGKQSKSQTPLETIHKSTLRSVDVSKNVSKEANPFPSLSSSSKSWLDSLKKKPNPNPRGSVSGGDDVVVGPGRRSLGTVVEEDEDGDEEDGEMIGPPRPPVISQVEEEVEIGPPRPPVGVMESDSDKDDDLDGDQGGVNRYRIPLNNEIVLKGHTKVVSALAIDHSGSRVLSGSYDYTVRMYDFQGMNARLESFRQLEPFEGHQVRSLSWSPTADRFLCVSGSAVAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKERETILTSSEDGSLRIWDVNEFKTQKQVIKPRLSKPGRTPVTTCAWNRDGKCIAGGIGDGSIQIWNLKPGWGSRPDIYVAAAHSDDITCLKFSSDGKTLLSRSFDCTLKVWDLRQMKEHVRVIEDLPNNYPQCNVAFSPDEQLFLTGTSIERDSTTGGLLCFYDRAKLELVSKVGISPTYSVVQCAWHPKLNQVFATVGDKHNGATHVLYDPSLSERGALVSVARAPRKKSIDDFQPEPQIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEVPMNGPGHGGRVGTTKGSLLTQYLLKQGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPEPVFAKSDSEEDEK >KZM94099 pep chromosome:ASM162521v1:5:15518606:15520432:1 gene:DCAR_017344 transcript:KZM94099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIEPCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIKEIDLYKIEPWDLIESCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATIAGFWKATGRDKAVYEKFKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDPNAPPQEEGWVVCRAFKKRATSQTKNTQAWESMSFYDEPSGVNLVVDSLDYMTRQPSGFLRQNIMCKQELLDTEKLAFSQSDQFVQLPQLQSPSLPSTKRPTSSSVSVLASENHKGDRTKRSKNDSTVEVSTDWRDLDKFVASQLSQEEKYEGVDDGNIGILSFGGHVSNSEMAMLLLESEFCEDGNKLNEFFNSD >KZM96111 pep chromosome:ASM162521v1:5:38360406:38374589:1 gene:DCAR_019353 transcript:KZM96111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLLKPHIKMPDVMDLVSRVGKHIYQRNGVLTELKSLGTVHLGYGIKKLDGRHFQGQLLQMTMMAPPSMNKELHYLNKEDRLLRWLMVKHRDVKFGLDYPLEDDGRGMSRAWDSNVGPRLLLCSYVLVTACQYSLKLRYNIMASPEEPRKEGGGGESGGSADEKGGGGDANANVRPFEYYGWVYHLAGHKIEFFRRRFLWIRGTYLQMFKRDPHQHPGIKPIRRGYVGHKVMVEELGSRKVNHEDVYVLRFYNRLDQSKRGEIACPTPEEAQKWMEAFHRAKQQVEYDLSNGGSARKNLNEEAEIDLQRHRRRVGRYARGLKKLIKRGQGQDMRHSRELCRRPTGEFDGDAGDAIEAHEWKCVRTFNGVRIFEDVAGHKNGKGVLVKAVGVIDASADTVFEVVLNLDREKRYEWDILTGDLELVDSLNGHYDIVYGTYDPRYLTRWTSKRDFVFSRQWFRGQDGTYTILHFPTVHKKRPPKSGYRRTKINPSTWEIRNINSSSVHPRCLATQMLEINAEGWFGWKNNQSSKFEKSVPHALLCQVSGLRAYIGAASADEANKASGKLVNGEGNDQFYDAIGTDSSSTSSDDSDNEENKENIKTDLWARAKSSLRRTLVREFCTGADPIALDPHDFQGSMRLGTNDADRDCWTSPNGSGFVIRGKTYLKDNAKIAGGDPLLKLIAVDWLKIDNPISNIALHSSSLVQSEAGKRLPFIFVLNLQVPAKPNYSLVLYYAAERPVKENSLLGRFIDGTDTFRDSRFKLIPSIQEGYWMVKRAVGTKACLLGKAVTCKYSRHDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEVLHPSISLLLLVPTNDFLCCMPFLYFISMQLHELIVLTTAVVQGKEEEELPEYILGTVRLNRVRLESAVPLKD >KZM93175 pep chromosome:ASM162521v1:5:3116756:3124616:1 gene:DCAR_016420 transcript:KZM93175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYGHPDVFDRIFHITRGGIRFNSTLRQGNITHHEYFQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRVGQLFDFFRMLSFYFTTVGFYVCTMLTVLTVYIFLYGRVYLAFSGLDRGISRRAKLLGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLLKSSMLEVALLLTVYIAYGYTDGGALSFVLLTVSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWINWLLYKGGVGVKGDNSWESWWDEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLHLTGKNTSFAIYGFSWVVLVGIVMVSKIFTVSSKKSTNFQLLFRFLQGVTAISLVLALSLVVAFTDLSVPDLFASVLAFIPTGWAILSLAITWKRLVWSLGLWDSVREFARMYDAGMGLLIFTPIAVLSWFPFISTFQSRLLFNQAFSRGLEISIILAGNKANVQP >KZM94454 pep chromosome:ASM162521v1:5:22594611:22597990:-1 gene:DCAR_017697 transcript:KZM94454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAL-4 description:Phenylalanine ammonia-lyase MECENGVIANGHANGNGVELCMKGDPLNWGVAAEAMTGSHLDEVKRMVAEYRKPVVKLGGETLTISQVAAISARDGSGVTVELSEAARAGVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGSDNTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKFLNQNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPTGAVLSPEEAFKLAGVEGGFFELQPKEGLALVNGTAVGSGMASMVLFEANILAVLAEVMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKMIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTSEAVEILKLMSTTFLVGLCQAIDLRHLEENLKNTVKNTVSQVAKRVLTTGVNGELHPSRFCEKDLLRVVDREYIFAYIDDPCSATYPLMQKLRQTLVEHALKNGDSERNLSTSIFQKIATFEDELKALLPKEVESARTALESGNPAIPNRIKECRSYPLYKFVREELGTEYLTGEKVRSPGEEFDKVFTAMSKGEIIDPLLECLHSWNGAPLPIC >KZM96555 pep chromosome:ASM162521v1:5:41802887:41803679:1 gene:DCAR_019797 transcript:KZM96555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQARKRARPRLPVTADGAEKEFQAVAKCQAWLHTRIQHACLGQHGEEASQSGCSADSPNINASPAARPSNSGSCPNLNDAPVTGHANAEENNIGAYSSRFGASAHRSR >KZM93036 pep chromosome:ASM162521v1:5:1878502:1879047:1 gene:DCAR_016281 transcript:KZM93036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDWYSWLSKTGMEPTLVYEYSLILSRNELEEDDIAHFNHEFLQSMGISIAKHRLQILKHARKVTSPSRARPISKILVAIKQTKRCLAKYIPTFSRQKESALVIVPREMRCKSAMAKRSKSLVMSRQGRSLLKKGKVTSFSGPIVVHDHYKSYVDDDGSYSTSSVEEAGWDTMFRNLKPN >KZM93210 pep chromosome:ASM162521v1:5:3537626:3540586:-1 gene:DCAR_016455 transcript:KZM93210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIPIITYTMNKLPSLTRPAGATVTAFDIEQPPPISPATPPPAEPFFDPSVRMPALTDPNIISEMRSTVADVSQTRSILKQLGPRPDHESVDTAKARLAEIEVRLANDLDEINLSESDGEDSKKEAEKDKEIYKAVIALDELHETYGKVLAEAEAKLERIYAAAVAGHGGGDEVAEGSEEVNEDVVRILQEANQKDVERIDLSDRGVRFLPEAFGKIRTLVALNLSVNQLQLIPDSIAGLENLEELNLSSNMLESLPESIGLLLNLKTLDVSSNKLTALPDSICSCRSLVELNASFNKLTYLPTNIGSNFSDLKELPETFGDLINLQDLDISNNQIHALPNTFGQLKNLRTLNLEENPIVIPPKEIVNSGVEAVKAYMAQRRVDLLAEEEARSKLEANEETPLGWLTRSTSWLKNSVVGATQSVSGYMGAHESNRDPAVNQQY >KZM94544 pep chromosome:ASM162521v1:5:23469415:23469633:1 gene:DCAR_017787 transcript:KZM94544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKGSGTANQCSTIDGGTETFAVKPGKCNAKKFWLEPTSFTVKAEHVGKNAPPETELATSHRISIHMQRTY >KZM95716 pep chromosome:ASM162521v1:5:34791387:34794347:1 gene:DCAR_018958 transcript:KZM95716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRGARTEKKIAYDEKLCKLLDIYNQILIVGVDNVGSNQLQGIRAGLRGDSIILMGKNTMMKRSIRVHAEKTGNTAILSLIPLLVVLNIPTKINKGTVEITTNVDLIKKGDKVGSSEAALLSKLVGTFQVVGRLSSKSAEG >KZM95566 pep chromosome:ASM162521v1:5:33484882:33487203:-1 gene:DCAR_018808 transcript:KZM95566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGMRSTSALYPSHPSQQKQGSDNESQPGFGEPNYEQFSGQLHSAMKSTYSTPSSYPMHQNQNHGSGIQQGLITNNSSFYHSVRNPSYSAPQRYLMQQSSMTNIGAYPSASTPASYHVPLNQNYGFGVQQSSMTKNGPFNHSGIMYHPLKKSPTYPLYQPAAAQTQEVSTYSLRQQDVSAYSLRQQEVSAYSLRQPADAQVQEAPAYLVRQRAAAQVQEAPLAYHVHQRAAAQVQEAPSAYLLHQHAVAHVPETPTYLVEQRAAAQEQQVQVMRNGEEEEEEEAEEEEEEEVLEIRLDTPIFQRGKPRLRWTPELHERFIRAATKLGGLSNSKLVAESQDRTIALGEEDGDIGNSKNLIVIR >KZM92984 pep chromosome:ASM162521v1:5:1403785:1417272:1 gene:DCAR_016229 transcript:KZM92984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLPPKEANLFKLIVKSYETKQYKKGLKAADTILKKFPNHGETLSMKGLTLNCMDRKSEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAVKCYRNALRIDPDNIEILRDLSLLQAQIRDLAGFVETRQQLLTLKPNHRMNWIGFAVAHHLNLNASKAIDILEAYEGTLENDYPPENERLEHGEMLLYKAKECDMVEKALQELHKKNYKIVDKLSYKEVEVSLLVKLGQLEEGEKLYRALLSMNPDNYGYYEGLQKCLGLYSENAEYSSSEIEQLEALYKSLGLQYTWSSAVKRIPLDFLKAEKFREAADNYIRPLLAKGVPSLFSDLSPLYDHPEKADILEELALEIERSLKTNGAFPGRVDKEPPSTLMWTLFYLAQSRILKHGGDLAAAAALADEARCMDLADRCANSDCVKRMLQADQVSMAEKTAVLFTKDGEQHNNLHDMQCMWYELASGESYFRQGELGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYIDMLKFQDRLHSHPYFRKAAAGAVRCYVMLYDSPPKSAVEEDDEMAKLPASQKKKIRQKQRKAVARTKKEAEVKNEESSVNVSKSGKRVVKPVDSDPYGEKLLQVEDPLLEATKYLKLLQRHSPDSLETHLLSFEVHMRRHKILLALQALKQLFRLDAENRDSHCCLVIISLDIRFFHKVASMPAPATDAEKLIRSVLDAEQPSFSQLQGKSLIEANYLFLEKHKDSLMHRAAAAEMLYFIEPNKKAEAIKLIEDSTNKLVSKTGFYEWKLKDCIAVHKILGKVSDDHEVASRWKVRCSEYFPYSTYFEGCKSSVVTRNNVQDDPVYGSINHQEADQSAMPLSSNGTLENVEALKNLVI >KZM95157 pep chromosome:ASM162521v1:5:29294266:29301176:-1 gene:DCAR_018399 transcript:KZM95157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASNKPHALCIPYPSQDFNRNRLIRARGPDALDGFPDFRFYSVPDGLAVSDPDATQDTPTLCKYAPINCFAPLCDLISTLNDSSVLGVPPVTCTVSDAVMSFTLKAAQQFGIPELLLWTTSACGLLAYMHYSQLVERGYAPLQALLFDTASIVLPLIVTVSGIVGSNKGLKLESSKVKAVILHETTSKCILKPCVKNEVDNRGNSVEVCSWKEEQKGSHTVKKEVPWYLDDGTSKVFVLNAESASKFWESVGRHVGSCKSQILPVRGTVVDSRQKEPAVKDRSGRIRIQQPERGPFYVSRKNIDELNDEFAGVERLSYISAELCEK >KZM94748 pep chromosome:ASM162521v1:5:25491186:25491542:1 gene:DCAR_017990 transcript:KZM94748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNADAVDDASAQFHLLGRRPIRDLRILHSRQQDADHKIKVLPKETAKVTIQPDSLRNESASVDDERELVYHIDYHGVTTHPNPTPKHPRP >KZM95656 pep chromosome:ASM162521v1:5:34192040:34193622:-1 gene:DCAR_018898 transcript:KZM95656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDGKQAANEKNSTIGNVRWRNTEDATLVKALMRLIEDGGWRAENGQLKSGAYGKLEKIMEDIQPGCGMKARPHIESRVRLWRKQYFAIEEMRGPNCSGFGWNELDKSITCEKSIFEDWLKSHPNAKGLRNKSFPYYDELSQVFGKDRANGECVESPADAVEEIANEEENTPQQSEHQKENTEDEVSPRIDVQSADTNSRANKRLKADSLEIVKELTFGLQKISNVMETGNENIAKLTSCFQHESDGAKKRSLVNSELMKVAGLTAEEIIKAGRKIALDPLETDYFFSLPEDFRSTYVQALLLPD >KZM95447 pep chromosome:ASM162521v1:5:32211986:32220504:1 gene:DCAR_018689 transcript:KZM95447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYTMHLAMAALVGASFVAVSAYYMHRKTLTQLLEFAKTIDRDREEFEDDSVQHLKKYEADVREISNGGEGNGEVYVDGIPPGLPKLHTLSEGKPSGHMNLSTRGGHLIRPTSPKSPSASAFESIEGSDDEDNMTDNSKVDSTYLYTNGDANLDTSLYQNLPENGNANGEPNIAASSMIRSHSVSGDLHGVQPDPVAADILRKEPEHETFVRLKISPSETPSADEAEVYRTIQHCLGLRERYMFRESVAPWEKEIITDPSTPKRNPNPFDYTPEMKTDHYFQMEDGVVHVYANKDSTEKLFPVADATTFFTDLHYILKVIAAGNIRTLCHHRLVLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPGEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTQQVFSDLDASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSTNVVWLIQLPRLYNIYKDMGIVTSFQNILDNIFLPLFEVTVDPGSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMQTPDQWTNIFNPAFSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDVDHLAATFLTCHNIAHGINLRKSPVLQYLYYLSQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHVLKSHWIGKEYYKRGPDGNDIHRTNVPHIRLEFRDTIWREEMQQVYLGKASFPKYIET >KZM95982 pep chromosome:ASM162521v1:5:37224533:37225654:1 gene:DCAR_019224 transcript:KZM95982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSINTLDFDSFPNDVKTKILCGVPVKTLCVLKCVSKALNTQIKSPVFTKFYDKLHFNRTSGDGMKPIALLAVSNLSLNTLDIDHKIPASVLPIRRSVKDTSDLRLIGSANGLVCLCRLPYSFTLVNPTTQEFRVVPYPGSFQVSRQPPFRLYAFGYDREQDDFIIFSAAAHHYGSWLSVIKFYSAKAESWEDIPAAVKVPAHAFSSEFSVYVNGVAHWLGWTGFIDPYKGDLLVRKIMGFNFASKEFELLMLDENMDEGEVTGLAELGGCICALVEYYDQSLDIWVMEDYGVVESWRKTFSIKLRASPSAFISPICYADNEILFQIDYEFVFYDPEANTTSELMSGIWTIKKKKGSWLDIVRYEKSIVSP >KZM95153 pep chromosome:ASM162521v1:5:29247830:29252047:1 gene:DCAR_018395 transcript:KZM95153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTRQSSASPSVASGSRSKSKGKMVRAKKAKLAVALKKSKLTFHDDLCAPPRLELKELAATAEEVDKFKLDIIVVPANNVKKVTTVDRSDNSMKKFGKRMKKLESSQKTILSDLADLKVVVSEHYSYFESEFLKLRDLVLKKVADDVAEANNEGFTPGNFFAEVANEDAQDNNAACEGDKGCFTADCTENFGNDVLNSVAGPLTVIGVAPQEVHNNGSTADNGGEDLVGVEELSPNTIKEMEVVMQHYDKEGNCDSGTKMPLNKERVESAAVVVEPAKVIVVVGEEIAHEIAVDAAKIVEGAETVAFNSEYVQSVATPNKMSSAVSEIKEVKPENADNLVLEVAREVAKLDLSSEKMDGIASAFKDKSVDDMKDSGVVASEVMVLRNSSSKVDLNNVVTPLPRRSIKLPGYLQSPFIQHFGSSSVNTSDATNTKKVVGICPLDDKIGCLPEMESSTSYYHWLDDGLLVKNKKKFYSKEDNLISPSLKLGSELISEKTWFHTIEYGSSNLSSSHVDVFFYYLRKTSKYNSNCTLKFTTTDSRFHKKISSMFADISDSDDILSKLSSKQEILDLMNGCTLPYSLPWSTVDSVFIPIWLNEQKHWLLAILNFRERELIMCTTLSIPGADIVVRNALLPLSTLLPYFLEMSGFYERTDIDFSAKCYTDKGINGCIRSIMESCYPRTAAIDSGMYMISIAEYIALQKECPRDNFDVDSHRSRIGFSFYSYAMAKQIYCYDSDIEHADEAKNATDVAAKDSAIKGGRGKRKANPDCGKKEKMAKVTRRSKKGKPNSIDIQSES >KZM95198 pep chromosome:ASM162521v1:5:30064465:30065081:-1 gene:DCAR_018440 transcript:KZM95198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTCFKVILCLPIYGIFDLVSKFPVGRIHRHLKTRTSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >KZM96457 pep chromosome:ASM162521v1:5:41112467:41112751:1 gene:DCAR_019699 transcript:KZM96457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSELCGFPLSKNCGTVQSPPEDNEDDSDNYTFPSGFDWLFILAGAGSGLVVGFVIGNIFMDRHYWLIDGIAQSFGGTQKNRRRRKRQQNIRN >KZM93861 pep chromosome:ASM162521v1:5:11609479:11617191:-1 gene:DCAR_017106 transcript:KZM93861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEESPYTGICVSEYPTQVRLSVEVPRAVCEDSYQRVLNEFMKHAKVPGFRPGKNVPENIIVNYVGKQNVQKAVIESILKRTLPHAMSSVDGRALKDSVHIATKFSEMEKTYSSLKKLIYDVVADVAPEVKWVSEDAYKHLKIVVEIDSEIDAQRTSEEELRRRHKSLSVLRIVTDRGLQIGDVAVLDISASTVEQDGSSAQRIPSAETTGFNFDTEDGDKVLPGFLDSIIGIQRGETKSFPLVFPESWRQENLRGVHAQFTVECKELFYRDFPELGDSIADKLLPGCSTLEEVKKALLERCLEVEKTAKEQATDNAILDQLTKLVEVDVPHSLFEEQGRQFYGAKLLELQGSVKLNENQLASLTSPKAVNEYLESQKENIVKVIKQNMAVGDIFKRENLQFPTEELVKEVENSIAEFKRQNQEYDEERVKDQVEDILEGAKVLEWLREHAEVEYITR >KZM94508 pep chromosome:ASM162521v1:5:23112273:23112683:1 gene:DCAR_017751 transcript:KZM94508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVCISNCVDDTRVPVRATYVNLYKWPESDAEFVKTVKTSRGDQGRRHPHLPHPRVVDSVSCRQMYLRSYTFSRKESVPEMTKKCFAKVRDRVAVGGSGSEKASKGGRGGKKRRTMCSVFRRLLSCTTSIDVVAN >KZM92842 pep chromosome:ASM162521v1:5:219985:223605:-1 gene:DCAR_016087 transcript:KZM92842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWNKRREKLMLQETCHFDWQSLIAEASRRGLNGEEELQWDSYKILDEQLEREWLESVEERKRSPRPKGSKRAPKSADQRRKISEAIAAKWADPGYRDRVYTGLSKYHGTPIGADNPRRRHKRTSSNTNTSKKKKNVADISARNTTKSTTQKAIKKRSKMPIYTDPLASSKLEMIKNIRADRENKKAEAITRAKLLIAEAEKAAKALEIAATKSPLAQASLIETRKLIAEAIQSIESIEGLFDENNLNILSSQTVSNVEREVDMKSDGLFTKNQIEINGSRTLISNFDDISDSTFEKFNLQELLNHEDELLPSSSYDVEIMNDKEYLKELLNTGINLSQTKPLQKKVEPSSFTHQLDHLTPNGSSIKHENLVRNGAESELWEKEKPPKSTREGNIPKSINSTKKWVRGKLVEMTEED >KZM94719 pep chromosome:ASM162521v1:5:25277857:25278414:1 gene:DCAR_017961 transcript:KZM94719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQYSDDESYDDYSNTYSESTREYSDDESYGDRGISYSKSYTPEYSDDESYDNYVESKANSGEYSDDDSYALGDYGTTYSGSKAGSQVSVHQDTYRVRNEHKQSGSYERFTAKDKTVRGEPFVDRYGNRGYKNEHTTTSNYKVGDKRGYTEYQREDKVKHVDFNKSSYSNNNKAVRSYSKYRKY >KZM94568 pep chromosome:ASM162521v1:5:23698116:23698926:1 gene:DCAR_017811 transcript:KZM94568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPSALRNANFVGQLVVEFVTDHLYNVSSPTHTLLTPVELYDPGFMVPSKKYRGVRQRHWGSWVSEIRHPIMKKRVWLGTFDTPEEAAKAYDHAATLMSGRTAKTNFPVAVPGQDSNEANDNSYGNGAIPTTPDRGYEDSGSATKSNRAETIIKEKLKKWSERRVAPSLICLMLDTKNSHIGVWQKRAGAICSHSDWISKFDLHNNPDFTKCQNSSIKRETGDEEDRIALQMIEELLN >KZM93642 pep chromosome:ASM162521v1:5:8792323:8799879:1 gene:DCAR_016887 transcript:KZM93642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRWWSKETVAVVTGANKGIGYAMVKRLSELGVTVILTARDNARGLKAVETLKGLGFDVFFHCLDISDHASILDFASWFKHQFGQLDILVNNAAISYNEIHENSVEHADEVIQTNYYGPKALIEALFPFFSRSMTTTRVLNLSSRLGLLNKLKNPEIKKILLYEEKLSEDLIDDIVKLFIDDVKKGQWKSRGWPENWTEYAVSKLALNAYSKVLANRYQGQGLSVNCFCPGYTQTSMTHGTGNYTADAAAELGVNIVLIPAKDLPTGKFFLRSSRDGCRVPLFPIREFPSASGVDELESLCGVGCSKDFIFTPCIFISSKAQTQSSRSALVENESADIQVFSESESVEEMEVPVEKLLEMS >KZM95368 pep chromosome:ASM162521v1:5:31527075:31528007:1 gene:DCAR_018610 transcript:KZM95368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASTMASQLKSSFTSSLTRGLVSPKGISGAPLRVLPSRRNTGFTIKAVQADKPTYQVIQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVNPLLRGVEVGLAHGLLLVGPFVKAGPLRNTEFAGAAGSLAAGGLVTILSICLTMYGIASFKEGEPSIAPALTLTGRKKQPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYFVK >KZM93855 pep chromosome:ASM162521v1:5:11537516:11538340:1 gene:DCAR_017100 transcript:KZM93855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSDPRHYSRKRKLFQVGNTSTDPFGLNANVDALNNLKYLDRPVKSFTSLLLDGVYDDDEDEDEYSEEDKKKELRKGQVMPFDRKLKMHAMKNDEVDALWSTAIIKAAEEAEEKEAQIQKLMRDLYEKRKELVSCQLENIVLEKKTKMAVKKIKSLRRNLREVLSRPGEGPEDEGESSFVGTRRNEAAWLCCKVCDRQTADMIICPCKHLSVCRRCDDSITKCPICKAAKLSSVQVCLP >KZM94311 pep chromosome:ASM162521v1:5:20841434:20858362:1 gene:DCAR_017554 transcript:KZM94311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETVDELNTLKLSDQIITVIFVWRILPNLPCTRVVPIVTGSQQGRRAYVITRVHNNVIFIPALLLQQHLIRKPTPRIKIIKLTYSITKEFTDKRFQPVHDLTIGVEFGARMITIENKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKSDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFISTAATIHKKIQEGIFDVSNEASILINSYGIKVGYGGIQGPSGGRDGSVSQAGGCCG >KZM95562 pep chromosome:ASM162521v1:5:33392498:33392719:-1 gene:DCAR_018804 transcript:KZM95562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVILNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYTIYKDHITLQDYEIHDGMGLELYYN >KZM93300 pep chromosome:ASM162521v1:5:4596385:4599206:-1 gene:DCAR_016545 transcript:KZM93300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGEWLEKALLELCNKKTGSGLGLELDSDLISGLVSYCECASPLDAKEYLDNIIGEEAGKGVTEEYLKQRGHSDIDNTTLDGSGLKLHAYVKPPSNESSSSGVKKAPKIQREAAISSKKDYAVQSERVEPKAAEKGNSKKKKSGKVISLAEAAKGTIVYQQGKPCSCQARRHRLISNCLSCGKIVCEQEGEGPCNFCGALVLREGSSYAGLEVSEIPLSDTEVAAQAYAKRLVDYDRNSAARTTVIDDQSDYYNIEGNSWISPEEKELLRKKQEEIEEDERAKRSKVVMTFDLVGRKVLMNEDEISEEFQNSLLLRPADEREANRIKPNPSLTVQPVFVDPGPSKKSTKGSLRTGIANGLCLEISGRIQHDSKELSIL >KZM95399 pep chromosome:ASM162521v1:5:31788353:31791087:1 gene:DCAR_018641 transcript:KZM95399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C4H-1 description:Cinnamic acid 4-hydroxylase MDFLLLEKALLGLFIATIVAITISKLRGKKLKLPPGPLPVPVFGNWLQVGDDLNHRNLVDYAKKFGEVFLLRMGQRNLVVVSSPDLAKDVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRFGWEDEAARVVADVKANPEAATNGTVLRKRLQLLMYNNMYRIMFDRRFESEDDPLFLKLKALNGERSRLAQSFDYNYGDFIPILRPFLRGYLKLCQEIKDKRLKLFKDYFVDERKKFESIKRVDNNSLKCAMDHILEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQKKLRDEMDSVLGVGVQICEPDIHKLPYLEAVIKETLRLRMAIPLLVPHMNLHEAKLGGYDIPAESKILVNAWWLANNPAQWKNPEEFRPERFLEEERKVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQSKIDTAEKGGQFSLHILKHSTIVCKPRSF >KZM93009 pep chromosome:ASM162521v1:5:1662957:1665093:-1 gene:DCAR_016254 transcript:KZM93009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSATRKRAKSISRKASFVFFLLILLQIPLFRIPCRFGICKSPLQVTSSQLIESRYIPQFVMKALLYPGVVGKAIYKRKPLPSYSRVLNLYKFKKASSRTDYYYLEVLVGSYFAVAGAFLGLVGSWRLSLSGILLIIWGIAKEAVLGQRGIRDHSSAYIYPTMSIALVLAFFSVKGDVQRLIRCFTGKRKFKYV >KZM95874 pep chromosome:ASM162521v1:5:36413828:36416045:-1 gene:DCAR_019116 transcript:KZM95874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQVRDAYLEGARMGFDNRFLDKFKDPGSTLFNVFNYDLSMMKIRQNSHDISPSPAVDEVTDLHLKKRKAPAELISSKNKTPVLFDVFNYGTSMMKPYGCSEVANRPSLSSISVLSEKENISPQHQNQAMSRKEDVFDTPFSKREPKAFLKRKPLSVLNGHPLNPLNETLGKNISIQQQALYTANKGKPAEVKKTYHSPQLKESRTLDGCKRKFIAPSASNNIGVRNTRLKTLISESFAEKTPKSTLCSVFSSPPGSSTTVEKDCKKKKSFESIPQALNFDMGKDTTHNDHCLEDAGMYMDKLYKFSIKEPYDNLNAEFLNDEDPWCKERMANKAKGV >KZM95192 pep chromosome:ASM162521v1:5:29826636:29827645:-1 gene:DCAR_018434 transcript:KZM95192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAIEMSLVLVLVVMLCGGAMAQSSCTSALLGLSSCLNYITGNSSTPSSSCCSQLGNVVQSQPQCLCTVLSGGAAALGLNVNQTQALTLPRVCNVQTPPLSQCNTANGPPTSSAATPASSPEGSPSDTSDETPDTPSTPSDSNDPSGGGSKTVPSTDGTTSAGGNIKVPVHIVLFALFIASCASAASKF >KZM93760 pep chromosome:ASM162521v1:5:10525813:10526526:1 gene:DCAR_017005 transcript:KZM93760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSHFSHHHKLKIHQVTEGQTIRCSGCEKLCHSTVYACWQCNYFLHDHCASAARYIKKHPSDAQHPLVLIPKPTYCSGSFICNACGETGSSFSYCCVLCEIDLHVHCAFLPLRVSHKSHQHELKLYLGVINKKDEVPDEFCKICSKVLSLRNFCYYCLDCEFGVHTFCATNEVKPELYVVDDSVANTEATSSNTQAAAVNEPTAEEVIVELYNLQLQMQMAQGLAQMMASFNPSLS >KZM94154 pep chromosome:ASM162521v1:5:17321814:17322407:-1 gene:DCAR_017399 transcript:KZM94154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYESSSSSRKTSSSIRNSYVSDVKSGNSEHPFIGVKCKCDLPAPCQVAWKEGTLNPGRRFFGCSRYKDPNKKCDFFLWADPSFPDRARDVIKELKMKLKRKDDELCNAKLEMNFVERKMLVPNEEVTALRKKLEETSEVLKNREKEGCNWSSALFFSFVVVCILLGLVKFD >KZM94910 pep chromosome:ASM162521v1:5:26939666:26941111:-1 gene:DCAR_018152 transcript:KZM94910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVKSKLDLDVEEDCVVEESPNNRYIRYDEVLGFGNSRAVYKGFDKDDGTEVCWNKFCLSDDVLNSGFCREKLASIRLLKHGNVARYLGSWVDVENKTVNMITESCSSGSLRKFRMKHKGVDMKAIKKWARQILEGLCYLHSRSPPVIHGDLNCDELFINGNGGEVKIGGLGFAMISQQAVGGFVPEFVAPEVFEGAGDQLVDIYAFGMCMLELFTCEYPYSECTNAGQRYKKIASGVKPAALEKVKNQQAKDFIEKCLAPASQRLSATELLKHPFLSYDDSKEPSKCPKFIDANLLQPKSKAPSQISSGCGNVSWESTWSTNAKGDRVLVTEFYISKGNKEWRLRGEKADDNSISFVLLVKDARLHKRLKFEYTFFPKIDNAESVAEELVSCNEELSMEDIPPTRELMDTLLFQLVRGWKSSNRGVNRSSEENAPAENDQHFLQSCRDLLRKSEEAHENDKKSWLSGRMPVPSKSVLSR >KZM94930 pep chromosome:ASM162521v1:5:27123320:27130572:1 gene:DCAR_018172 transcript:KZM94930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRMAGGGSHSSSSSGILFQEYNTLENTNMNTAFGNSLNSLAGSMHPNLGQFSGDASNTMLNRVRSSGPSVGESSLVADANSGLSGGPNLQRSASISTDSYMRLPASPMSFSSNNTSMSGTSSIDGLSAVHQYSSQDSKFQLMQQKQLQQGASNFTSLPTEDTGQAPLQNAPRFVHDQFNASHVQKKPRLDSKQEDILQQQFIQQLLQKQDSMQFQASNSQHQALVQQQRLRQQQQQLSQPMPSVKQTQLLDQQQQMQMRQQLQQQGLQSSSCLKRPNDSGVCSRRVMQYLYHQRHRPPDNNIAYWKKFVAEYYSPRAKKRLCLSLYNNDGQTSSGVLPQAAMDSWQCDMCGSKSGRGFESTFEVLPRLNEIQFGSGVIDDLLFLDLPRECRFPSGIMMLEYGKAVQETVYEQLRVVREGQLRIIFTSDLKILCWEFCVRRHEDLIPRRFVAPQVNQLLLVAQKCQSTIAEGGTGRASQQNLQNNCSMFVSAGRQLAKRLELPSLNDLGFPKSYVRGLQISEIVNSMKGFMAFCRDQKLGPIEAMKIISGGANPAKLHLQKMQELEQLAGSQGLSSDCNTLNKPVAQQLGLGNQESNNYTMVNRGALSGSTEAALNCSNYQNQLTRQNSINSNSNLHQQEAISSLSNLNQISSSAGSRLQNLPVSGFSKPHLLQPQRCSSGKTSLHQNHSQTSHTNQVVQQNMIQQLLQNINKGNTGSLPQQCHSGQSASGSGGRDRPGFGISGSAAGTVDAYGSGGANGSVTTTSDSRRGTSNSESSAAGNCQKAPDVAQNVQLSEEYVQELVNEFRDSGYFSGDLEDGLPFSCTEE >KZM93994 pep chromosome:ASM162521v1:5:13507498:13507956:1 gene:DCAR_017239 transcript:KZM93994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHWEKMKGDFQIFKKLKFDESGLGWNEMKKTIEAPESWWTHAIQAIDDSRAKSKFALVFGLSSGLVAILVMSLLVGLYFWYERKKQRDIGKTSRWGGSRGNGI >KZM93844 pep chromosome:ASM162521v1:5:11385949:11391732:1 gene:DCAR_017089 transcript:KZM93844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALVLLDLVLKVIAWLAISAYLYTQLSSEVRKPRVLVSDILYSLTGLYFCCVGFSGGVGSDEDDLLQEPLISGNCTSRLSHGEECKKCGGESVTPFATASLFSVLTFSWLGSLVALGYKKPLDLEDVPQLAGIDNVHGAFPVLRGKLVFDQGDHNSVTTFGLIKALVFTTWKELLLTAFLAIVNTVATFVGPYLVDVFVQYLNGHRERENEGYILVSAFIIAKLIECLTLRHWFFTLQQVGIRIKAALIALIYHKGLTLSCQSKQGHTTGEIINFMTVDAERIGDFSWCMHDPWVVFLQVGLALVILYRTLGVASIATLFATVAVMMANLPLGRLQENFQTNLMESKDQRMKSTSEILRNMRILKLQGWDMKFLSKIEELRNNETGWLRKYVYTNAMVSFVFWGTPTFVAVVTFGTCMLIGIPLDSGKILSALATFRILQEPIYNLPDTISITIQTKVSLDRIASFLCLDDIQTNVVRRLPRGSLDTAIEIVHGNFSWDVSSPNPTLKDINFRVSHGMRVAVCGMVGSGKSSLLSSILGEVPKLSGVIEMSGTKAYVSQTPWIQSGTIEENIVFGEGMDKEKYEKILEACCLKKDLEILSYGDKTIIGERGINLSGGQKQRVQIARALYQDADIYLFDDPFSALDAHTGSHIFKECIQGILESKTVIYVTHQVEFLPSADLILVMKDGYITQAGKYGDILNLGNEFIELVVAHEKALSAINLLEAGLALKDMNISVDSKTKSDKSSEETTDVQYSQPPEDVQGTKGQLVQEEEREKGRVEFSVYWKYITTAYGGALIPVILLAQVLFQVLQIGSNYWMAWATPVSGDEAPPVQNFTLIIVYVALAIGSSFCIFCRAMSLAAAGYKTATILFQRMHLCIFRAPMSFFDSTPSGRILNRASMDQSTIDMNMPNQVGLFAFSIIQLLGNIAVMALVAWQVFVVFVPVIAICIWLQQYYIPSARELARLIGVSKAPVIQHFAETISGSTTIRSFDQEHRFQETSMKLIDGNSRPKFHSAGVMEWLCFRLDMFSNLTFAFTLVFLISVPSGTIDPSIAGLAVTYGLGLNMSQFRVIWNLCNLDNKIISVERILQYTSIPNEPPLVIEVNRPDDHWPSCGEVEMCNLQVQYAPHMPLVLRGLTCTFKGGKKTGIVGRTGSGKSTLIQTIFRIVEPTAGVILVDGINISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDMCQLGDEVKSKEGKLDSAVSENGENWSVGQRQLVCLGRVLLKKSKLLILDEATASVDTATDNMIQKTLRQHFQDSTVLTIAHRITSVLDSDMVLLLENGLIQEYDVPTKLLEDKSSSFSKLVAEYSVRGNSRFRNS >KZM94031 pep chromosome:ASM162521v1:5:14105307:14105977:1 gene:DCAR_017276 transcript:KZM94031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAIKLSRTPAPNSLLSSSSSSSQHFGRSLTAPGGIKFQHSQAKPDKMDQADQPKTKSEHGDAVMKESFGDAYSTRSNDEGFGGIYGGNEEEGGHKVHGVSAEEKEYEKTQGSHVEEKEKARNKK >KZM95356 pep chromosome:ASM162521v1:5:31398894:31403718:1 gene:DCAR_018598 transcript:KZM95356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSNAFSLLEVDVADEEDFKAIAAATNGVNSKGTKGKGKNSSENPEGTLTSDENNSDQTVEDSYEDYRLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKLIEGPDLVIHQTEECLDKMGEWCQSHHGESGLTKRVLQSKLSEEEAEEKVAKFVKKYVGSYTPLLAGNSVYMDLLFLKKYMPKLASLFSHVLVDVSSLKALCIRWYPRDNKKAPQKTNKHRAMDDIKESIAELKFYKDNIFKSRSKK >KZM94163 pep chromosome:ASM162521v1:5:17488252:17489491:-1 gene:DCAR_017408 transcript:KZM94163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLNHLFISLLLLVLVSLTLCSSATAHQNEQDTPITRFQRYLRIKTAHPTPDYTSAINFLTQQAQSIPNLQIKTLSFSSSPHHIKPLLLLTLPGSNPSLPSILFNSHIDSVPAEPDKWIHDPFAATLTPEGKIYARGAQDDKCIGMQYLESLRNIQKDPNFKMPIRTIHVSYVPDEEIGGFDGMMKFVESKEFKDLNIGFMLDEGQTSINDEFRVFYADRAPWNLVIKAKGNPGHGSKLFDNSAMENLMKSVELMTKFREYQFDLVKDGLKMTSEVISVNPVYLKAGTPSPTGFVMNMQPSEAEAGFDVRLPPTADSDMLKRRITEEWAXS >KZM95094 pep chromosome:ASM162521v1:5:28579158:28580329:-1 gene:DCAR_018336 transcript:KZM95094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVEMEKNFVTMIDNSDDDHDRQQRRRRQLVDQALLDAEGQDLSSSAILQLV >KZM95882 pep chromosome:ASM162521v1:5:36486681:36487505:1 gene:DCAR_019124 transcript:KZM95882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNNTENVGHGILFTCCSLSGKDVGSGDVNLSSLSGISCTIQGTKTTSADGSTFVAFGENSLEKGVLRGGKNKNPTLLDPSLRRPIFSSKQRSSCKSQNPVVQSGRRHDYDLASQFESGKFSNKQFQDSARTNKYHKHSCENSSLDLVNPSQISRGCSVSIMTKNGISCTKPVSAQNRLSVVENFSAQSCHLQKCKSSKKHLDAQSQYQSKDHPGIPELQCSSDTSMPKDSDVTAFGQIWSCPPNCKMQDDHSVANLYEDSFNPKFSAKQNVD >KZM96044 pep chromosome:ASM162521v1:5:37669417:37670316:-1 gene:DCAR_019286 transcript:KZM96044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPPLVQKLAADPATNKNAQSSVTCVYQAYIGGCWRSITVLWTKNMMNYSLIMSVDSMETDHHQTCKVELKPWHFWSRKGYKSINVDGYQVDAYWDFRSARFSGSPEPFSDYYVAIVSEEEVVLLLGDLKKKAYKKTKSRPALHDAFLFYKKEHVFGKKNFATRAAFDQTAKEHDIVVEGSTSGPKDPEMWISVDGIVLVNIKNLQWKFRGNETITINKQAVQVYWDVYGWLFCDPGSNHGLFIFKPSVTEHEGDKDANGSAHGNGSDCSGDSMYYSTVGHSKETQFCLFLYAWKVE >KZM95218 pep chromosome:ASM162521v1:5:30279446:30280930:1 gene:DCAR_018460 transcript:KZM95218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDKFWVKEEDKALVQGVVGVEAFEYLVWLATNNVFLEFAAPARDLGLQTGLHKILEGSNWSYAVFWQVCNTKSGKSALIWGDGHCTKPAGDETEDRNSGGDSKKRVLDKLHACFKGPGEHNLAVSMDSVSDLDMLYLTSMYYAFPFDKPSSPSQSFNSSRSLWGSDVKSSLEHYQSRSFLAKMARFETVVFVPLKSGVVELGSSKVIPEDQNLIQMVKTLFGKSHAVRANVSPKIFGQDLSLGAAKPGPISISFTPKVEEDLYYSADPPVLSANQVYGNSSNGHRNNDNEVKFFPHMNQVVTGELNPQALASAFEQGMDDSLLQGDDRKPRKRGRKPANGRDEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITDLQSKIRILETEKGVVNNKQQQCVIPDIDFHTTQDNAVVRVSCPLDAHPVSRVLKTLRELDVVTQDSNVSTSDSGEVVHTFTIAAQGGTAEDLKEKLSTALSQ >KZM96156 pep chromosome:ASM162521v1:5:38741190:38742623:-1 gene:DCAR_019398 transcript:KZM96156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLQYGGNNLRLQTAVKTQPQKLFHRQSVIRCEGPPQNADFPRYYSKKEKKPFPIPIVELRRNARQRMKDRRGKPKALVPPPKNGLLVKRLIPVAYSVYNARITLINNLKKLLKVVPVLACRWCNEIHVGPVGHPFKSCRGPNASLRRGHHEWTKAVVEDILVPIDAYHLFDRLGRRIPHEDRFSVPRVPAVVELCIQAGVDLPEFPTKRRRKPIIRISKSEFVDADESELPDPDPYVPMESILTEENDNRLVVPSNADEIVLLAEETLEAWEKMRVGANRLMKMYPVRVCGYCPEVHVGGSGHKAQNCGAHKHQQRNGQHGWQSAVLDDFIPPRYVWHVPDLSKPELQRELRSFYGQAPAVVEICVQGGAAAPERYQPTMRLNVGIPSTVKEAEMVV >KZM93137 pep chromosome:ASM162521v1:5:2709562:2711477:1 gene:DCAR_016382 transcript:KZM93137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNRRKTVTIIDHSLLSPPKLASALSFNHSFLDVLEEVYSKVFFWGTEIGPHFAIVSLGPLFMLDVVLQVVPLWRIEDQAGNAAVLLTLGFLPGMRVAAVTMSQSKKYSGTFMDPVPENHGGSGSSGTLLTVLYEIIISESPTVDVDAPAFRPEQFVRDRCEKIKVVLVAVGPHTGTS >KZM95797 pep chromosome:ASM162521v1:5:35674558:35677205:-1 gene:DCAR_019039 transcript:KZM95797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREELETVMFQNITLNGILDQLLMLWHLIKAPLIVPLLTLFVNICLVMSIMLFIERVYMAIVKLFIKLLGRKPEKIYKWEAMKDDIELGSSAYPVVLVQVPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDAGIKDMVEMECMKWASKGINIKYEIRGNRNGYKAGALKQGLKHSYVSQCDFVVIFDADFQPEPDFLRRTIPFFIHNPEIGLVQARWKFGTAGVWRISALNEAGGWKDRTTVEDMDLAVRATLKGWKYVFVEDIQVKSELPSTFKAFRYQQHRWSCGPANLFKKMAVEIATNKKVTLWKKLYVLYSFFFVRKVVAHVVTFMLYCVALPLTCLVSEVEVPKWAVIYIPCTITILNAVGTPRSLHLVIFWVVFENVMSMHRTKATFIGLLEIGRVNEWVVTEKLGDALKPKASNTVPLLSSAPVKKPSSKIWARVNGLELIMGMFLLYCGWYDFFFGKNHYYVYLFLQCITFFIVGFGYVGTFVPS >KZM94192 pep chromosome:ASM162521v1:5:18262647:18264794:-1 gene:DCAR_031980 transcript:KZM94192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVRKVARKRSSSSLMSQPTALSPSKKQRVEALETTVASSLPSQQGSDFEMADKQSLDPFSLQDVAIEIDRPAAVTCTESSTALALTLVPAQTDTQAELGSVQVNLPSQASGGRFVPPLPLNPSQGTLVVYTGTAGRVNSMSEERQTPSDTHAREASETALSVREVSAHTNTAQFEEQMAKLRAELERMIAENERLKGAQLVTLEQKAEERPSSSYMDELKEEIHELAVEMRSNHELYMSKFETINSKLDQLLRNSNKSDDQPSGEDPSTKGENRDKGDRDDRGNSSNQSNKDNTTSGSAPDKETHKSKGKEPLHQSDNVFNSDSYDDYPQDMDDDDVFDATYRQAEEEGKFDESYLFQDEEPVDLEHEENVWKFKAENEARKRKLRDYQKLLEDKLITEEQIKIEKQKIYDAAIKQKNLDIRRKEGKSWDIARRIFNGPQREPFDDNKFLSLIYDLREVNPDEDVFMHAFALELNYVTVGVNNLLEQWELIVYTQRNGSFRLSVESLKSFSVSELWVLRNKVRRSSNLNELLRDKLLEQAVFNSPQVVRNPYCVKFVHKEIFSTVYLNEEALPKYPAKQLALASTLLRTKGFASKAKSDADDVILAYCTRKNVAQYFRRMKNVTKSQPSDFREDPVDLEVLHQLALAKERKKRGESTTSEVPTREEPSTPILHTSDIEEGEVDL >KZM95443 pep chromosome:ASM162521v1:5:32175963:32176809:-1 gene:DCAR_018685 transcript:KZM95443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGDFAKPGCQSQCGNLKVPYPFGIQSQGQDDPTCSINYEFEIICDASSNPPKAFSKIWNNIEIFDISDNEMRISNVVAESCYDESGSYRNNSVTTELDGNYSEEEIDKDGCFGTGCCQVSINVLDFYIIFLHSYQNHTTNNISSFNPCSYGFLGEKNSFDFSLLSNLKDAALKDKILDTVPIVLDWVIDKNNTCAQAAQDSDTFACKYANSYCIDAGIRSGGYRCSCQQGYEGNPYLSQGCTGN >KZM93415 pep chromosome:ASM162521v1:5:5832520:5833595:1 gene:DCAR_016660 transcript:KZM93415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSFNMASTIFSTLGRTPVKQRFRNRIVSSVSGQQEAQVEEEKVVKPMTKPRPVEPQINVESKNMGREYGGQWLSSVTRHVRIYAAYIDPETWAFDQTQMDKLTLLLDPTDEFVWTEDTTYKVYSKFQELVDHYEGADLTEYTLRLIGSDVEHYIRKLLYDGEIQYNMNARVLNFSMGKPRVGFNYKGDEPV >KZM96406 pep chromosome:ASM162521v1:5:40778449:40780708:-1 gene:DCAR_019648 transcript:KZM96406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFVRRGNKSLSHLTTGLTQIQKRWNTVLSFGDGSNGALGLPTSVSVMGSDAYEPTPVPGLPSDVSAVAAGHYHSLAVTSRGQLWAWGRNNEAQLGRGFNSPRETWYEPKRVEELDQVVVQYAFASGVISAAIGVDGSLWVWGKSKRGQLGLGKGVTEAVIPSRVEVLAGEEIAKVSLGWGHALALTKDGKLFGWGYSADGRLGRIGDTEESPLESRAGMLNLTEGNKSSTLETAENLVLEAMEKEKDMPIIWEPCLIEELRGIEVTDVACGLDHSLILCNDGTLLSSGSNIYGQLGRCRQDLGLLPVDMNFHPISVASGLGHSLAICKNLSEEKANVATHVVSWGWNASSQLGREGPENLPEIIEALAEETPVSVSGGRVHSVALTSKGEVWVWGCGRNGRLGLGSSTDEAEPVLLDNLEDMNVLQAVSGFDHNLVLASE >KZM95456 pep chromosome:ASM162521v1:5:32277088:32277823:-1 gene:DCAR_018698 transcript:KZM95456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRNKLLWELLATKMKELGYNRSPDQCKCKWKNLVTRYKGCETMGSEEMKQQFSFYSEVQSIFGARMERMIWMEADDGAGGSKQKVTLLSSDEEDENEDSDLGDKKAAKSSKKRKVKCSTSTTNNSNVKEILDDFMKQQKEMEMRWFKAMEAREQERKMRELEWRQRLEALETEKMMMETKWREREEEWRMREEARAQKRDALMDTLLQKLLREDRN >KZM96308 pep chromosome:ASM162521v1:5:40012133:40023602:1 gene:DCAR_019550 transcript:KZM96308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSMIVVSTPGKDLVYSNLAYCSASDLRQFAVAGSQSRFLALVGDVFVLSLSYPPIHFAFSPPEIFDLALLTLELEFVKKGTKEEHVDAVLLAQQIRKRYSNQVMTTGQRVTFEYHGNNYIFTVNGASVEGEDKSERGMITDDTYIVFETQSSSGIKIANQREGASSNIFKHKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAEQDQRSKGDQSELHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKESSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQLNLEDLTKPVDEDNIKVTMEDFLNALHEIIPAFGASTDDLERCRLNGIVECGGRHEHIFKRTMLLAEQVKVSRGSPLVTCLLEGPSGSGKTAMSATVGIGSDFPYVKIVSAETMIGLSESTKCAQIVKVFEDAYRSPLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRLPPKVLEQLNVFAEEDIDSAAEALNDMPIKKIYMVIEMAAQGEEGGGAEAIYSGKEKIKLMHFYDCLQDVIRY >KZM94920 pep chromosome:ASM162521v1:5:27026949:27027488:-1 gene:DCAR_018162 transcript:KZM94920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQAAVASPSGKHPIYRGIRSRCGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDAASLVLKGPDTALNFPNHMYSNLLLLPKEPRTAAWIRVAAANAAASRAVASPRQIAQDIDVDNFSSDAYFLGAEEEYVDEEELFNMPDLLMHMAEGMLVHPPSRISISPPISDNSEEESLWS >KZM94527 pep chromosome:ASM162521v1:5:23250745:23253576:1 gene:DCAR_017770 transcript:KZM94527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLKGGTRPPWVGLGAGVAVQMASGNAYNFPLYSHSLKSVLGFNQKQLTMLGVANDIGENVGVLPGIFCNKFPPWVLLSIGSCACFFGYGVLWLAVSETVHDLPYWLLWISLCIATNSTAWFSTAIIVTNMKNFPRNRGTVAGILKGYSGLSAAVFTEVYSLLLGHSSSKLLLFLALGIPALCIVTMYFVRPCTPASGDDPSEDSHFLFTQAASVALGIYILTTTTLDNFVTLSNSVSYALVTIMVLLLVAPLAIPVKMTFYRSNNSRSGKPIQPFGSSDQLVHEEGNGDKTEPLLITSSSTTNLGSFHDSDDVSDVDILLAEGEGAVKKKRRPRRGEDFSFREALVKADFWLLFFVFFVGVGSGVAVLNNLAQIGLAQGVQDTTILMSLFSFCNFVGRLGGGVVSEYFLRSRMVPRTFWMTISQVLMLVTYLLFASSLSGTLYVATAMLGICYGVQFSIMVPTTSELFGLKNFGTFYNFMSLGNPLGALLFSSFLAGYIYDSEAAKQHTVSCIGPTCFRTTFLVLAGVCGMGTLLSMVLTKRIRPVYDMLYGGSSFRLVH >KZM94896 pep chromosome:ASM162521v1:5:26833543:26836701:1 gene:DCAR_018138 transcript:KZM94896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLNHLVTLLFLTLKFAFLNAKSVTPPPLPVLPLPSYSQLKWQQRELIMFLHFGVNTFSDSEWGTGRENPSIFYPTALDANQWVDAAVHGGVSLMILTAKHHDGFCLWPSKYTDHSVIQSPWKNGRGDVVNELVNAAKQRGVDVGLYLSPWDRHDRRYGRNLQYNEYYLAQLQELLNKYGDVKEIWFDGAKGKNAPNMSYYFSDWFSMVKELQSTINIFSDAGPDVRWVGNEKGFAGSTCWSTINRTSLSIGNESLVGYLNTGDPRGTDWLPAECDVSIRPGWFWHKSEKPKKLTQLLEIYYNSVGRNCLLLLNVPPNKTGLISDADVQRLKEFKSAIDRIFSTNLAKNSLVKVSSQRGGPDSDFGPEQVLDSDHLWTYWAPSEDHSHHWIELTAKGKLLKFNVIRIQEAIGLGQRIRTHKIYVDGKLVAKGTTVGYKKLHRLEKGVIEGYRVKIRIMKSRGIPLISSVGLHFDPFWNPN >KZM93804 pep chromosome:ASM162521v1:5:10965871:10968026:1 gene:DCAR_017049 transcript:KZM93804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISLHIGQAGIQVGNSCWELYCLEHGIQPDGKMPSEASSTDDSFGTFFSETSSGKHVPRAVFVDLEPSVIGEVRTGKYRQLFHPEQLISGKEDAANNFARGHYTVGREIVDGCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVEYGKKSKLGLNIYPSPQVSTAVVEPYNSVLSSHALLEHADVVMLLDNEAIYDICRRALKIERPTYTNLNRLISQTISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQGSVSEITNDVFEPSSMMAKCDPRQGKYMACCLMYRGDVVPKDVNSAVAAIKTKRTVQFVDWCPTGFKCGINYQAPTMVPGGDLASVKRAVCLISNNTAVAEVFSRINHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGQEGADEEEAEEY >KZM94667 pep chromosome:ASM162521v1:5:24742306:24744822:-1 gene:DCAR_017909 transcript:KZM94667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATNLKAEAMKLMDTRSALESEMNSIIDRLTQPGAPGLSGNLVDSEAPPPLSLSLPSLCPFLARSLFMILISPFHLCILSDIDIPVVRADRLKLAQLRNHYKEVTEKIEQTIQVLHSAKLSPASTHRDTGIVGSSQGISASSVAEVANSGRSINAMDVDVIGGRPFAMVDEISEASPAAEDGLQLGDQIIKFGTVEFGDALLPKLAAEAQTNRGHAIPVVVKRQGDLINLSVTPREWQGRGLLGYVLLSLSAGMSLQHLVKCLYHQINLVQAEMLQTLKWIRE >KZM93668 pep chromosome:ASM162521v1:5:9092181:9095361:1 gene:DCAR_016913 transcript:KZM93668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFCKLQSLCFNCNKKKNLVFFQLSKSQLFCNSTHSFKTKEISNKKGTVDLSLLFHEITDIIGPGTVDLSTNEPGFPLFDFDKKYEAKEESCPPDVCENVQESMSVNDRIEKLSESEVGSIVEKVLEIVIEKNDVVSMEERLEKEGLMFNDEIVEGVLKRCSRVPRLAFGFFNWVKLKTGVGGRDGKEXGKSSCEMDIRTWTILISHYGKAKMVGKALLMYEKMRVSGVEPDVVAYKLMLRALCNAGKADIAMEFYKEMTSKEIEADTDLFKLLLKCVAGTGVKADVDLVIDDMMRVCQIPEIHACTIVLKAFCISGKIREALEYIRELKNRDIALDEEIFEILLQGLFTADKFTDALEVVDIMKKTDVVTERIYGIIIHAYLRKNDVSKALEIFYSIKDSGYLPTIKTYTSLMQHLFKLNDFQKASELYIEMLERGLELDCLAVMSMVTGLVRQERISEAWNVFKSMEEKGMIITPGLYTIYIKELCKVSMTDEIIKVLEEMKTKKVRIRDNTYKGILTYLEKRRERDRIEEVKRMQMSSKFYIQEAEEYATDFSSRVQLNDVINSTQIEQHTLVSQVLEPPPSSFSDNSLQEVCQILSSSKDWILKQEDLENLTINFTPGLVAEILRNCCLHSGAALRFFSWVEKQPGYNHTTETYNMAIKVSGRAKDFKHMRFLADEMRRKGYFITQDTWTIMILQYGRIGLTDIALRNFKEMKASGSSPTRSTYKSLIISLCGIKGRKVDEAIYIFKEMLKAGHVPDKELVEVYLCCICEAGQLQNARKCVDSLCKLGFSIPLAFSFYIRALSRAGRLQEALEILDEVGREHHTLYQYTYGSLVHGLLRKGRLEDALSKIELMKKIGIHPTVHVYTSLIVYFFREKQVEKALQMVQQMNDEGCEPTVVTHSALIRGYMTVGKVAEAWSIFRRMKLKGPQPDFHTFSMFITCLCKAGKSEEALQLLTDMVASGIVPSTVNFRTVNFGLNREGA >KZM95670 pep chromosome:ASM162521v1:5:34364538:34365032:-1 gene:DCAR_018912 transcript:KZM95670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSMVTEFMNYSQQTVRAARYIGQGFMITLSHASRLPVTIQYPYEKLITSERFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWKLEKDIRKKRLLNYSIDFGICIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALGRLPMSIINDYTTRTILNLPMK >KZM93686 pep chromosome:ASM162521v1:5:9223456:9223827:1 gene:DCAR_016931 transcript:KZM93686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGFAVLKKLFMCGKRSLIFPSEVHSSVPEGRIRVVVGKSRSEEAVVEMEAHYLNHPLMEKLLSLTTEEYGYCYEGALRIACDIHLFRYLVEQLDGRNPSAHYMDLPDLIANFSKSSYGTSI >KZM94158 pep chromosome:ASM162521v1:5:17445755:17446293:1 gene:DCAR_017403 transcript:KZM94158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEISKCSSDGECGSRLCYCNNVVRNRTSWTSKNPGRRFETCAKYKDEGGCHYFMWLDGGLTGPAKDAFCELKQKKEIAEEKVKILEDKLEIAADKLKIADEKVKILEEKLKMLEDNVEKKRLKIFKNVFVVIVVAMLLFVWDKKMAKGGENLYLL >KZM95463 pep chromosome:ASM162521v1:5:32340664:32343305:1 gene:DCAR_018705 transcript:KZM95463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSFKDSLKALEADILHANTLASDYPKEYDGACLQMRLSYSSCAHIFLFLVQWSDCHLAGALGLLRILIYKAYEGGKTSMYVHERKASIRDFYGVIFPSLLQLQRGISDIEDRKQKELCATKYKRREELDKDKLSEIEIEREEECGICMEMNSKVVLPSCNHTLCIKCYRDWHSRSQSCPFCRDSLKRVKSGDLWIYTGPSDIVDLTAIARESIKRLIIYIKQLPVVAEPTVVPYNSHF >KZM95499 pep chromosome:ASM162521v1:5:32676806:32677646:1 gene:DCAR_018741 transcript:KZM95499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVKNNRRVHDDKAKKLKSSDKASSFHGRTPETVAVAKLHRPRTVPDLLSGRRLAGVTSPEVKPAKLTKLLLNVTVQRSLGPVMVILSLESTVGDLIAAVLRQYAKEGRRPIIDTNNCAAFDLHYSQFSLESLDREEKLINLGSRNFFMCGGITTATATCWKEAEKGAAKNGWLKFMDFLF >KZM94309 pep chromosome:ASM162521v1:5:20809324:20811030:1 gene:DCAR_017552 transcript:KZM94309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQRQRFSFRLPWSAPSAPTRRPSPVTNNPSRATSNPNQPNANPTTASQGPPSQSQGSSQTPKALPRSTTSTKTSPKPTSTGSPNVTRQTAIKTKDPAPTSTSPSQPTVETRRPSLTTPQPVRPAAQTSKQDVEQNSVPQAQATPKQQPSSPSPKNFQSGTSSQTTSQSQLGTQRRATSKSPPPLNASPQSRAPSHTSPKSKNASQSPLASPPKSSVSETDSQPTSPSRSPPSPDNHLSGKNTKNFQPTTETPTQKGSPSTIESPVPQLQAHPEKDITDEVISKAPIESTVKPIAIPTSQQSDPLPTKPTPMTAEETNEKYKKVLHSNSPMLQGKQSTTASHQSNKNRAGTSRPKPMATNGEGISMQKEIKNDISKLTQKMASEQGKPVSVITLVGENKGATMQIGSQKEGTVHIHRGYKLNPDERAEATSEGDKSSVDKRPEEANTKEDQMTKAYMNSNCQGINNSIVFKSSITERNPGVHLTLTQNPTEPIKTTTNANQLVAQKVEASITPSQKLTYETTIRRRCLRGLLMESSDSDPDNPEKPRRHGCRCSYGDLNKDKDIDLL >KZM93079 pep chromosome:ASM162521v1:5:2191898:2200015:-1 gene:DCAR_016324 transcript:KZM93079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSSSYSQPLCLHFPPPHHRRVSARFNPHKAQGPLFISPNYPFASSCSTSSSCSSLFGNTLNYVSIGVYKPSPPLKKRSFEVVCGVFERFTERAIKAVMFSQKEAKGLGQKMVFTQHLLLGLIAEDRSPLGFLGSGVTIEKAREVVREVWSDEIGDQKDNVKGGSGNKADSSSSATDVPFSVSTKRVFEAAVEYSKNMGYHFIAPEHIAIGLFTVDDGNAGRVLKRLGVNANDLATVAVSRLQGELAKDGRDPPTPSKRMREKSVPGKVTIDRSSEKAKDKSALAQFCVDLTARASDGLIDPVISRETEIQRIIQILCRKTKCNPILLGEAGVGKTAIAEGLAINILEGNVPEFLLTKRILSLDIGLLISGAKERGELEGRVTTLLKEIKESGKVVLFIDEVHSLIGSGTVGRGNKGSGLDIGNLLKPSLGRGEIQCIASTTMDEYRMHFEKDTALARRFQPVLINEPSQEDAVKILLGLREKYEVHHRCRYTLEAINAAVMLSARYIPDRHLPDKAIDLIDEAGSKSRMESYKRRKAQEIHILTKSPDDYWQEIKEVHAMHEVVLEGKRNNLDEASSMEDDTKLNLEQSVAMSNDDESVVGPDEIAVVASLWSGIPVQQLNADERMLLVGLEEMLRKRVIGQDEAVAAISRAVKRSRVGLKDPDRPIAAMLFCGPTGVGKTELTKALAQCYFGSEDAMLRLDMSEYMERHTVSKLIGSPPGYVGYGEGGTLTEAIRRRPFTVVLLDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALVVMTSNVGSAAIAKGRQNSIGGFFHADDDESASYAGLKSLVMEELKSYFRPELLNRIDEVVVFRSLEKTQLLEILDIMLQEEKNTE >KZM94012 pep chromosome:ASM162521v1:5:13695397:13698951:-1 gene:DCAR_017257 transcript:KZM94012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDVLPVSTPTAHMSLTPATSSSSKKYGNLINSGLFGRGRYKFWALAAILLLAFWSMLTGTVTLRLSTDKLNRFIDDIATPVHDDLDVLEMEEREKVVKHMWDVYTNSRRIRIPRFWQEAFEAAYEELTSDIAEVREAAISEIANMSMNFVNLETPPSESKYRNMFGQVRSLETANFVFQVPVSDLRFWNGYHCPERDYERVQGQAE >KZM96127 pep chromosome:ASM162521v1:5:38502718:38506808:-1 gene:DCAR_019369 transcript:KZM96127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRNNRKVEKRAFDDGGEDQDDGLHQSKRPKLPGLASVIVESLKVDSLQRLCSSLEPLFRRIVSEEIERALTKLGHANAIESNSSLPPSIKGPEGKNYQLHCRTRMPPHLFTGGKVEGEQGAAIHIVLLDVSTGRVVQTGPESAAKLNVVVLEGDFNEEADELWTEEHFESHEVKEREGKRPLLTGELQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGVKVAPGNWDGIRIREAKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLMKSEIITVEDFLRILVRDPQRLRNILGSGMSNRMWDNTVEHAKTCVLGGKLYIYYADGVHSAGVVFNHIYELRGLITDGQFLPLESLNHNQKMLVDALVKGAYENWNQVVEYDGKVLNDLSMSKKGTQALIAPAADQNLITNHYYPPTESRQQYLPSEPIPQEQNKMNNQIIHQLIEFPFTRSGTVEGISMSSQQAHLSGNIDYGSAGISGVGGSFISGDWSAAREGQGFGDFFSEDIRLRSSEMLESDDMQKLLKNFGMGSTFGHADDTSYCYNIPYEQHQVNNTCEQERGRSSGKAVVGWLKLKAALRWGIFVRRKAAERRAHLIELD >KZM92976 pep chromosome:ASM162521v1:5:1326740:1327597:1 gene:DCAR_016221 transcript:KZM92976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQFHKTIQDYISKIKKPTSHLKLPSKSLTSSTSWILRGCKHPKTPSFTIDPEKQKYHFSDTEDQDHDDGSATLSDIDKFLIENFRSLYAKELDQGEEHDQENEGRVSCDSPKYDNPPSHDLCSSHRFFVAPASSGSVIDDPRTSLAVSEGIEGPGPGNTIFDGSTSECSEKKKMGAEDFIAVLRYSSSPCVDFKSSMQEMIEARLHHNGKVDWEFLEELLLCYLNLNDNKSHKYILSAFVDLIVLLRENSVKVEDNSGPSGEVPARFPDVKKPQDDKRKGREV >KZM93556 pep chromosome:ASM162521v1:5:7736314:7738850:1 gene:DCAR_016801 transcript:KZM93556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEDEEIVKSVISGKTPSYSLESRLGDCFRAASIRREAVQRSTERSLLGLPLEGFDYDSILGQCCEMPIGFVQIPVGIAGPLLLNGCEYTVPMATTEGCLVASTNRGCKAIYSSGGATGILLKDCMTRAPVVRFSTAKRASDLKFFLEDPMNFETLALMFNKSSRFARLQRLQCSMAGKNLYIRFSCSTGDAMGMNMVSKGVQNVLDFLQCDFPDMEVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIITEDVVKKVLKTTVPALVELNMLKNLTGSAIAGSLGGFNAHAANIVSAIFIATGQDPAQNIESSHCITMMEAVNNGRDLHISVTMPCIEVGTVGGGTQLASQSACLNLLGVKGANKESPGSNSRLLATIIAGSVLAGELSLMSAIAAGQLVRSHMKYNRSSRDMSNIVLKD >KZM92890 pep chromosome:ASM162521v1:5:570336:570683:1 gene:DCAR_016135 transcript:KZM92890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFRSQSCRDGRDMQIESYNNSAGGRQVVPTSMQDLRSYSTNHASNPAANYKEVQIKKSKNSLGSTAKTWSFNDPELQRKKRVAGYKVYTVEGKVKGSFRKSFRWIKDIVYGWR >KZM95667 pep chromosome:ASM162521v1:5:34303395:34306338:1 gene:DCAR_018909 transcript:KZM95667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKFTYDEEFPAAIRRTLLISWTSQLPQLNHSFCTQPNTNSSSPELDDEEESNLCQRIEKLPNEEPVCSAFQSWMGDGFPVHRGDIFYTINRLRKRRKNKRALQVMEWVIREKPYMPKELDYSYLLEFTTKLHGVSQGEKLFSCVPSEFQNELLYNNLVMACLDKGKITVSLAYMRKMRELGYSISHLIFNRLIILHSSPRRKKAIPKILTQMKADNVVPHVSTYNILLKLEANQHNIEGLVKVYGDMKLAKIEPNEISYCILATAHAVARLFTVCEAYVEAVEKSMTGKNWSTLDVLIILYGSLGKKKEVERIWSAVQSHPHVSSKSFVLAIEAFGKIGEVNRSEELYYEMKTSKGLTCTEQVNSLISVYCKHGFISKATALYRDIDKVGCKPNAITYRHLAIGCLKAGLVKEALKTLELGMDFTTTTMIRKSTPWLETTYSMTEIFAENGDVENVEKLFEELKKANYTKYTFVYNTLIKAYIKAKIYDSNLLRRMILGGARPDSETYSLLKLIEQYRT >KZM95327 pep chromosome:ASM162521v1:5:31186971:31190787:1 gene:DCAR_018569 transcript:KZM95327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADEIEDQADEQICDSFVCCVCLDLLYKPIVLACGHVSCFWCVHRCMNGLRESHCPICRHPYHHFPTICQMLHFLLLKMYPVTYKRRGTQTLEYEKSIDCFSPEIKDPVHATSDSEKSNHQGDAGQLSPTDAREPSSSLSSLREGQAAANMEEQRFPPSFECVCLNQLKENSSGGLEVTNSDAAEEKLKSGKDNGAQVRVSIADMLCVACIQLLYRPVVLNCGHVFCETCISIPADEKLRCHVCQSLHPNGIPKVCLELHHFLEIQFPTEYELRRNTIKQVDSQQEAPHIGSIESNKQRDHLSFTPEENFSPPWGDHNVHVHFGVGCDFCGMFPIIGNRYRCKDCVEAIGFDLCGDCYNTRSKLPGRFNQQHTPEHSFEVVGSNSIRRTILRLIMGQVEDGAAAPNIPEIDLENPENAIHPADDNVEVDGGNESVSFLISTSNPQEQSDEQSPPM >KZM95954 pep chromosome:ASM162521v1:5:37013985:37016328:-1 gene:DCAR_019196 transcript:KZM95954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDEMNCKKSYRQDRILEGLNITDEMLSADSVTRKLSDQIALAKAYVVIAKESNNLQFAWELSAQIRNSQVLLSNAAFRRIPLTKSESEVAVRDMALLLYQAQQLHYDSATMIMRMKAKIQGLEEQKNSVSEKSSKYGQIAAEEVPKSLYCLGVRLTTEWFRNSNIQKKLAEKRQLAMTLRDNSLYHFCVFSDNILATSVVVNSTALNSKNPDKVVFHLVTDEVNYAAMKAWFSMNSFRGVTVDVQKIEEFTWLNASYVPVLKQLQDSETRSYYFSGNNDGGKTPIKFRNPKYLSMLNHLRFYIPEVFSSLNKIVFLDDDVVVQKDLSPLFTIDLKGNVNGAVETCMETFHRYHKYLNYSHPLIRSHFDPDACGWAFGMNVFDLVQWRQKNVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEPLAPTWHVLGLGYTNVDSQQIENGAVLHFNGNSKPWLKIGMEKYKPLWDKYIGYDHPLLQQCNVH >KZM95774 pep chromosome:ASM162521v1:5:35441818:35442352:1 gene:DCAR_019016 transcript:KZM95774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETNMISGVGQSGISSANGTMMPTPGMGQQGQYLNFFTVLVLASGFLPGKSCECIKKCGH >KZM93061 pep chromosome:ASM162521v1:5:2064444:2067622:-1 gene:DCAR_016306 transcript:KZM93061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKERLLAPGSLSNLRDGSFRPSASGRQAFQGVDVLGLMKRGQGVRSWIRVDTSGDSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRKLTTSGVGEVWQLEGNEFNGRRGNRSFNNIYENTSPDYLPFEFRALEVALEAACTFLDTQAGELEIEAYPLLDELISKISTLTLEKARRLKSRLLALTRRVQKVRDEIEQLMDDDGDMAEMYLTDKKRRMESSPFYGDQSLAGFGCNDTALSVSAPITPVSSPPDSRRLEKTLSTGRSRHGSMRSTESTTDRIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFPIAFFDVPGAFKWVLIITGITGAVIFSTFLWYFRYRRLMPL >KZM96412 pep chromosome:ASM162521v1:5:40807983:40809194:1 gene:DCAR_019654 transcript:KZM96412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYATSVFKNLESPDGFTWNSMIRGFVRTMQVENIIGYFKKMQETGEKADNFTFSFLLKVSGQLGSVLLGEQIHCSVVKHGLEGHVFVRNTLIHMYGMLKDIDTAQQLFDERPGGNVVTWNVIIDCHVNCGNYKRAHECFSRMRRCGVEFDDVTLVAVLTACSVLGNLDFGRWIHRLADKAGLGSNVMVLNSLIDMYAKCGQVEEAYKIFSESSERNLVTWNSMILGLATHGQASEALKLFSTLLAEKLQVPNDVSFLGVLCACSHGGMVEKGKKYFDLMTREYGIKANIQHYGCVVDMLGRAGFVNEAYHFIKSMPLKCNAIVWRTLLAACRVHGNIELGEVVRRHLLELEPDHSSDYVLLANMYAGSGKWRDVMNERHSMWTRGVQKPMPGNSIIDMQPIH >KZM94097 pep chromosome:ASM162521v1:5:15430540:15431954:-1 gene:DCAR_017342 transcript:KZM94097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSMLSSLLVWLLLLSTCAKSLAHQNRTNELKVTSPGEAYGHWVSRMGSLKHSLFQKAKNKLRPCLKLKVHKDPKKGDFTQVQAAINSLPMFSHCRVVIRISHGIYREKVEIPATMSYITLKGVGADKTIIEWDDTADRKGTHGNVLGTYCSATFAVNAPYFVARNITFKNSAPLPASGALGKQAVALRISADTASFIGCKFIGAQDTLYDHTGRHYFRDCYIEGSVDFIFGNGLSLYEGCHLHAVTNTFGALTAQKRDSLLQETGFSFLNCKVTGSGALYLGRAWGSFSTVVFAYTYMDKIITPRGWYNWGDKNREMTVFYGQFQCSGPGADHGGRVAWSRELTQQEAKPFISIDFIDGHEWLKHK >KZM94895 pep chromosome:ASM162521v1:5:26823719:26825590:-1 gene:DCAR_018137 transcript:KZM94895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRYVSSRRLLQYSATAHHHHKPHLLAPLLAVAAATLIILIAFIFHYFRKLAHKTTLINTTSTGPHRFSYSTLRRATSSFSTRNLLGQGGSGSVYSGKINKQNVAVKVMDAGSLQGEREFQNELFLAAKFESYYILSLVGFCLDRQRRRMLLVYERMTNGSLQKCLLQCKSVELDCWKIRFLIAVDVAKGLEYLHHGCDPPVIHGDIKPSNILLDDDFNAKIGDFGLASLKMKDFAVIQMEEKDSVINGSTCNELDFGLDQSPESCVTLTITEELTEGGSPFEENSGRVDGESGMETVNGEMKKDLWRKQDDDVVEQGVVKDYVMEWIGNAIRKEEPKNDWNGGSSKPGVMGKSERKKKSKRRLDWWVSLDEEKNIKKDKRRPAREWWKEEYCEELSRMKKKKEKQGKNMSAVDHEDNMWPTDVDDVYVKRKVKRSKSKGSVDWFSDGVSSELGRGRRSSHDSVSGDIPRSCGISSTPSMRGTVCYIAPEYCSGSTPSEMSDVYSFGMVLLVLISGRRPLQVTGSPMKEFQRANLLYWTRHLARAGKLLDLVDQSMKSLDTEQALLCITIALLCLQKSPLLRPSMKEVAAMLTGEMEPPVLPVELLPSPNSPLASKSRKKPQ >KZM95110 pep chromosome:ASM162521v1:5:28758413:28758721:-1 gene:DCAR_018352 transcript:KZM95110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTRLGEEYPVVIFSKSSCCMSHSIKTLIYSFGANPIVYELDEHSNGQQLQKELKALGHKPSVPVVFIGKKLIGGANEIMSLHVQGKLVPMLLKAKAIWI >KZM96098 pep chromosome:ASM162521v1:5:38234369:38238895:-1 gene:DCAR_019340 transcript:KZM96098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQENKKKKPKKIKSLSSSPSSLIGCFKSDLGHPTVEESDVHGNFDIKSAVKDSALLSSPTHLVVTVNGIIGSAHDWRYAAKQFLSRYPQDVMVHCSECNYSTLTFDGIDVMGSRLADEVISVVKRHPDLQKISFIGHSLGGLIARYAIAKLYSEDFRKPRHQANGESDGSEKPFREEKPEGKIAGLEPVNFITSATPHLGLRGHKQVPLFCGIQSLEKLASYISWLLGRTGKHQFLNDTDDGKPPLLLQMTQDCEDLQFISALQSFKRCVTYSNAFYDYVVGWRTASIRRQNELPKHLSANNRYPHIVNEETAKLDGCHIEPQVNGAITDDIELEEAMIQGLNRVSWERGDVSFSGSKQRFFAHSTIQASTCLDLRSIRFIIFFYWS >KZM95948 pep chromosome:ASM162521v1:5:36980361:36982919:-1 gene:DCAR_019190 transcript:KZM95948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSNLGAFLDCTTPQPPCQFLSKTEIKNLNRLWHPWGSEKVDFFTLSDLWNCYDEWSAYGAGVPIRLDNGETLVQYYVPYLSALQIFISTQSFNSPREDADSTSETRDSFSDSFSDESESEKLSRWDGCSSEEGAFEQENLWHQSDKLGHLYCQYFEKLTPYGRVPLMDKVSELSQKYPGLMSLRSVDLSPASWMSVAWYPIYHIPMGRTIKDLSACFLTFHTLSSSFQDLDEEMGNTKSKQKKDKGIALPPFGLATYKMQGDVWVNNKNGGDHDRLNSMLSVADSWLKQLRVQHHDFNYFTGIRRG >KZM93846 pep chromosome:ASM162521v1:5:11483857:11489594:1 gene:DCAR_017091 transcript:KZM93846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGMLNNRLFSLFDDDDPLLRPFFLHGFSGLLHLVFLFVVLLSWVCNKFKKKQGFVRNIASLPYHRHALICSLVLVLLNFVLCLLDKFYWYRNGWSELIMIVLFDSVSKALSWLAISVYLYTQVQDLSDVKYPLLFRVWWCVFFSVSCYCLVIDYVYYNISQPLPLQYVISDALSVVIGLFLCFVGFSRYIEPIENGSFQEPLLSADISRVPDGEECKKSRGGETVTPYASANIFSLLTFSWIGSLVALGYRKPLDIEDVPQLASIDSVKGAFPLLRDKLGYCRGDNSSLTTLKLAKALFYSMWRDILLTAFLAMINTVASYAGPYLIDSFVQYLNGSKNLKQGYLLVSAFVISKLIECLTQRHWFFKVQQIGTRGKAALIALIYQKGLTLSCQSKQGHTSGEMINIMTVDAERIGVFGWYMHDLWLVILQVGLALMILYKNLGLASVASLVTTMIVMLLNVPLGKLQENYQTKLMESKDHRMKATSEILKNMRILKLQGWEMRFLSKILDLRNIEAGWLKKFVYTNAVVTFVFWGTPTFVAVVTFSTCMLLGIPLESGKVLSALATFRILQEPIYNLPDTISVMIQTKVSLDRIAAFLCLEDLQTDVIKMFKTGSSDIAVEIVNGNFSWDVCSLNPTLKDINFRVSPGMRVAVCGMVGAGKSSLLSCILGEVPKISGVIKMSGTKAYVAQTPWIQSGTIVENILFGKEMDRVWYEKVLEACCLKLDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSKLFKECLLGLLGSKTVIYVTHQVEFLPAADLILVMKDGRITQSGKYDDILDLGSDFIELVGAHKTALSTLDSLDTEPVSKSSNLAENSSMGTFQASEQSLDVQRGEPLDEIVASIGQLVKDEEREKGRVGFLVYWKYITTAYGGSLIPVILLSQVLFQGLQIASNYWMAWATPVSSSVADPVEVSTLIIVYVALAIGSSFCILGRSLSLATAGYKTATLLFYNMHACIFRAPMSFFDSTPSGRILNRASTDQSTVDLDMPNQVGTFAFSVIQLLGIIAVMSQVAWQGFLIFVPVIAICIWLQQYYLPSARELARLVGVRQAPVIQHFAETISGSTTIRSFGQEYRFRETNMMLIDGSSRPKFHSFGVREWLTFRLDILSSLTFAFSLVFLISVPNGTIDPSIAGLAVTYGLNLNQLQAWVIWSLCNLENKIISVERMFQYTSIPSEPPLVVESNRPAHNWPLCGEVDICNLQVRYAPHMPLVLRGLTCTFEGGKKTGLVGRTGSGKSTLIQTIFRIVEPTAGKISIDGMDISFLGLHDLRSRLSIIPQDPTMFEGTLRSNLDPLGDHTDEQIWEVLNKCQLGDEASKKEGKLDSLVSENGENWSVGQRQLVCLGRVLLKKNKVLVLDEATASVDTATDNMIQQTLREHFSDSTVLTIAHRITSVLDSDMVLLLDNGLLEEYDSPTKLLMNKTSAFAKLVAEYSVRSSSSFGVTSGS >KZM94714 pep chromosome:ASM162521v1:5:25245941:25251408:-1 gene:DCAR_017956 transcript:KZM94714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSGVLLLFFVGLFVIPSKVIAVGPPTCPADVGSECSDSDDWEGEFFPGIPKIKYEGPSTKNHLAFKWYNANEEILGKTMKDWMRFSVAFWHTFRGTGGDPFGSATKVWPWEDGTNSISMAKRRMRANFEFLEKLGVDRWCFHDRDIAPDGKTLEESNANLDEVVALAKELQGTNIRPLWGTAQLFLHPRYMHGAATSPELGVYAYGAAQVKKAMEVTHYLGGENFVFWGGREGYQSLLNTDMEKELNHMARFFEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATSANFLRKYGLIDDFKLNIECNHATLSGHSCHHELETARINGLLGNIDANSGDPQTGWDTDQFLMDVGEATLVMLSVVRNGGLSPGGFNFDAKLRRESTDVEDLFIAHIAGMDTLARGLRNVAKIIEDGSLPDLVRKRYQSFDNEVGAQIEAGKADFEYLQRKVLEWGEPKVPSAKQELAEMIFQSAL >KZM95991 pep chromosome:ASM162521v1:5:37276654:37279007:-1 gene:DCAR_019233 transcript:KZM95991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTDSTKVVYNRIQKLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNVLLSLIEKAKTGLSSKPVASLSVSPPQMSPTPISELPLQFTSFSASRSFPSLNSALRVPNPYWDPQVPAEQLPVRNLEYVPLGYTESALDDYRLQNQLQFFSLEDQMDAINSNQSDVSGSYYLPKTAVGPRANRRSPSLPEFPVKVCHYFSKGFCKHGSNCRYFHGHLIPESFSQVYHSNTNEVVNEDQDFSPGSLEKLEVEITELLKSRRGFPVSIASLPMLYYEKYGKTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDSYRPHGQHCVILAKDVPEYMDFNGERNEHGGTVTGSRQIYLTFPAESTFTEQDVSNYFNKYGPVQDVRIPCQQRRMFGFVTFVYPESVKQILAKGNPHFVCGARVLVKPYREKSRLSERKFSEKVQHPMYYGSHIVDAETDIHSIPRFYDNSGMLRKHVMEEQERLLEFERMRFSEMQIAAKSMNHHQYFGYAPNEMKISEAHEEQPDFPSAERFNYLLDVLNNGSGNTEETIKHIETKYSDQESTQGLNLPDSPFASPMTSRISTAT >KZM95652 pep chromosome:ASM162521v1:5:34168144:34168557:1 gene:DCAR_018894 transcript:KZM95652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKADKKPAEKKPAAEKSPKPKAGKKLPKEAGSAAVDRKKKHKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAGEASKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KZM93852 pep chromosome:ASM162521v1:5:11511586:11512485:-1 gene:DCAR_017097 transcript:KZM93852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIASAAGEFSLPTPTGESDSLSTELSSLKLDFFNVFKGATPRKPTCLYFTQGCCTMMDQSDHLDTHTHGFLELNTKSFDLSKVQPQDFDYFLVLDLEGNIEILEFPVLLIDAKTLELVDFFHRFVRPRKLRKERLDQNVEQHFGKFGINSIWHETAILFKDVIPQFEDWLAKHNVLTKELGGHLNKAAFVTCGNWDIKTKIPDQCRVSRMELPPYFMEWINLKDIYLNFYGWRGRSMLAMMGQLQMPLVGNHHLGIDDATNITRILQRLIVDGSVLRITARRNSDKKVEFLFENRIW >KZM94545 pep chromosome:ASM162521v1:5:23473644:23476543:-1 gene:DCAR_017788 transcript:KZM94545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTRSLPNNCKADDSVSKVFIKKHIEKPAKGKKKRNKGKKGNKPYRIGDTVNLKDLLFTQERDYLIKYKDVQIKKEQLVGKVIVLHFVSLVKGHNNLIPDPDLIDIYKKLEPKGGFEVVFVAVGDGLTCLDTRESTSTYSTPQQCFEEIFSTMPWTAIPFSDLKSRNRLEKLFCIVSDTRLEMEPISFVIDSRGVVLQADATQVFSMYGSAGYPFTKQRLKCLDHEDYVTMEQLSVSTLLASPQRDYVITNKGDQVPLHKLEDKVVALYFFTDISNVRITSKLKLAYKELCKKMEAFEVVLINLLSISSDTCEDTFWKTFEAMPWLAIPSKDTDCCKKLLRIFDSTICDPGPYPVSKLVIIGPRGKFIEPCGANILCCYGIPAYPFTRFSAVNLKIEKVKDVKPEMFWNLDAIFRQQNGSKVQFSQIVGKRIIVLFQLFYDIPEQTLKKLIALYNQMKGTDDEFEVIHIREESKWGHVGAVIPWLMHPPFSNASDAGKVMRRLFYYGENGLVAFDRDGRIVRMTRRLAVGKTVFPFFDAEKMEDELTRVGVLGSITYAVRPYERFDRDVAGRSPDDQLSALASVMDWLI >KZM93378 pep chromosome:ASM162521v1:5:5452732:5453606:-1 gene:DCAR_016623 transcript:KZM93378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGGRDHGREWLVGRSCKKAGGTSTPSTDPYEQLTMTIKESIESELEAKVNRNVQENMTMLLKKLEEAKPGMKLDVDIQATVSRDGDDNGTPMTAGETS >KZM94479 pep chromosome:ASM162521v1:5:22889079:22890875:-1 gene:DCAR_017722 transcript:KZM94479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQLRFQTGMISHNLGWNWRYQKPQAPPCSPTRNSQQSPPPQNGDNNNNVHAQIQVTSPQQTGTKPGQASRSKEKRASSPSFLQTSM >KZM95902 pep chromosome:ASM162521v1:5:36616770:36619020:1 gene:DCAR_019144 transcript:KZM95902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKAGGKGGAKSALKTPCFELNNGSTKSRKGMNVQFEFEDRGGKRDKAANGGKNPVKKPTPPLQLRVEQELPENVTCLMDCEAAQVLEGIQEQMVVLSEDPAIKIPA >KZM96180 pep chromosome:ASM162521v1:5:38894769:38896178:1 gene:DCAR_019422 transcript:KZM96180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELMTNNAYKFGAKLEHNSVQEAALAGLESVDNLIRMISRSQHYSNISQSSSSSNSHENNVTDYRAVTDIAVNKFRTFISLLDKTRTGHARFRRGPGVRTLETQVIKPKNEIVAPVQRQALNNQAVKNVSFEKKEHVTSTINFGSVAAVVPSGTNSFMSSLTGDTDGSGFQITNMSSGSRPPASTSSFKRKCSSMDNSAARCSGSSGRCHCPKKSRKSKLKKVVRVPAISLKMSDIPPDDFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPAMLVVTYEGEHNHSQSLHDTPASLVLESS >KZM95753 pep chromosome:ASM162521v1:5:35202126:35203415:1 gene:DCAR_018995 transcript:KZM95753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFRKNIKPDSPSFPRTVSSESGTRCWAVNTIWKSGRSVRGSNPDAPAMVQRILRVLATYKVLGCKPNDRSNGEAEWLYCWTPVCKFLSSNEDGGSMAPLLLVNTDKVVIDSWYHVADAVLDGGIAFNKAYGMSIFDYNSREPRFSKVFNQCMTGHSNITSKKILETYNGFEGLSSIVDVGGGSGATLNMIVSRYPTIKGINFDLPHVLRDSPSIPGVEHVGGDMFTSLPKGDAIFLKWVCHNWNDEDCLRILKNCHQALADNKKLIIAEFILPEVPGGSDDATKGVVHMDAIMMAHVSGGKERSEKEFAAMATKAGFKSFSKVCCAFNTWIMELTK >KZM93579 pep chromosome:ASM162521v1:5:8003666:8004079:-1 gene:DCAR_016824 transcript:KZM93579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIAVFPGKKRFLSTFYANPANQYNADPFIVVAWQSSKSSELVMVRIVLAWQSSKSSELVMVRDSGYMILLIFFTSCCPVCNPLQACVPVSSFLQSILWSNVCPFT >KZM95102 pep chromosome:ASM162521v1:5:28690984:28701491:-1 gene:DCAR_018344 transcript:KZM95102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDVNQLQMVSSSTTSFNDLIDSQKELIQSQIEHLQNLVVAQCELTGVNPLSQEMAAGALSIKIGKRPRDLLNPKAMKYMQSFFSIKDATNKRESREISALFGVTATQVREFFNSQRTRVRRFVRLSGEKANRSNKCKEEQEGPLSSNPDIPSSILPLNTVAPINTEGPSCSTQDEDIPLGMNDVDKHFVENIFTLMRKEDKFSGQVKLMEWILQIQDSSVLNWFLTKGGVMILATWLGQAAMEEQTTVLHVIIKVLCHLPLHKALPAHMSAILQSVNRLRFYRSSDISNRAKVLLSKWSKLFARSQNLKKPNAVKSTSECQDEMLLKQRQDPAIKCYSGTENSRKLESSQPLKLLSSVDDSKKLVRGSSSQETRERRRVQQLEQPGQKVTGRSSQLARSLPATQGRPLSADEIQKAKLRAQFMQSKYGKPLATSDGSPKKVSNPQVSGPQSSSKSYVRTKVEEQKKPLTNEPKVASISNKMNQDVYEPAFKKIKREQISWQTPPEMRINIGWMVGTGVDSKEVEFQRNRIRREKEIIYKTIHEIPSDPKEPWDREIDYDDSLTPEIPIEQLPDADGTEIIASQSQNEVPVTSAATTSQSSNVITNGNMPEPDFELLAVLLKNPDLVFALTSAEGGGNLSSQDTMKLLDMLKSNGVSSAVSSLTGIGTKAPEQIEVSLPSPTPSSNPVTSGWEAQSSRNSFSWQPSTVYGEESHISAVIATVQPHVYSTGTVLPYPQRPVIAPAPAEPIVTETPMYQRATSATLPERARVAVPLNQTASETLQNQNNFTVSNLAQHNSLAAPLQMPNLMPTSARSQMRQPSADVPDARFSTASWRDQPNLTPNSEFHTNYNNYNAYAGGTQQALIVPPSNRNETVGDTWYDTWSPDNSPVRSQPPVWSYGQARTTNGQNYRVDRSRPQLQPRHPEFRDHARHGDRRWRDQRR >KZM95537 pep chromosome:ASM162521v1:5:33101104:33103362:-1 gene:DCAR_018779 transcript:KZM95537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLHFGPNTFTNTEWGSGHADPSVFAPMDLNVTQWVNVAKENGFSRVILTAKHHDGFCLWPSDYTDYSVKSTSWKNGSGDVVGELAEAAAKAGIQLGLYLSPWDRHERVYGKTIEYNEYYMGQMTELLTRYGIVKEVWLDGAKGENEKDMEYYFDDWFSLIHQLQPGAVIFSDAGPDTRWVGDEAGYASNTCWSLFNCSDATIGGTDTKYSQQGDPFGHDWVPAECDVSIRPGWFWHKDEVPKSGLDLLDLFYKSVGRNCLLLLNVPPNSSGLISDKDIEVLREFTELRSIIFSHNLAEKAILSGSSTRGGLNDSRFDAKNVLEEGIYSYWAPRKDQSSWVMYLDFPDLVTFNVLLVQEPIQMGQRIIRFHLDILTENGEWQKVAKGTTVGYRRLLLFPEVETHGLRLVIDKSRADPLISYLGVFRDPFTNTNIVDSTNVVPKQDFRYRLLSKIQTKLFGWLQSSF >KZM94082 pep chromosome:ASM162521v1:5:15006999:15022689:1 gene:DCAR_017327 transcript:KZM94082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRNKIPENIVILDDSSDDEDTPSTNRTILTPTPPPSAPHAPPRPAEPPVATTAAVRSLDSQSFWKAGAYEEINLTRPTLLPGELEHARVHPKFLHSNATSHKWAFGAIAELFDNAVDEINNGASFVKVDRIYNKRDNSPALLFQDDGGGMCPDSLRKCMSLGYSMKKANTTIGQYGNGFKTSTMRLGADVIVFSRSSRASQATQSVGLLSYTFLRKTGQDDVIVPMFEDIGSHGTKIIIYNLWLNDEGVFELSFDDDDEDIRLRDEISRVALTKASKKAIETQAHISYQLRFSLRAYASLLYLRKLENFKIILRGKPVEQYNIADELRHPKVVTYRPQVSTALKEPTVETTLGFLKEFPAISISGFNVYHKNRLIRPFWKVTGDGNSQGIGVVGVLEANFIEPAHDKQDFERSSLFHRLEMRLKQMQLEYWRGHCELVGHRPLDKKTSARTLQNNISISPPVEHNRNVQQNFQSSDSATGISANGQDKILNKPFIACITNPRQELSGLQPRKSSGTSFNNEMAVQPPGKHAAKSVEGQLVEISGSAASIDQICEENIQLFVRCEEHAEKEKLLKRTVEELEKELEDTRKKCAELSSHLERQRKDKIIIQAATT >KZM95424 pep chromosome:ASM162521v1:5:31980174:31982206:-1 gene:DCAR_018666 transcript:KZM95424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQMESSSSPFLPTLQTPLSEASPKPFKKSLVASFMEATTLRSPSFKEDTYFLTHLKPSEKKSLQELKEKLKASHEAVSMWGISLLSGDEKADVILLKFLRARDFRVQDSLQMLLKCLEWRKDFEADGIVEQDLGFKELEGVVAYMNGYDKEGHPVCYNAYGVFKDKEMYEKTFGDDFKLEKFLRWRVQVLERGIKLLHFKPGGINSIIQVTDLKDMPKRELRVASNHILSLFQDNYPEMVARKIFINVPWYFSVLYSVFSPFLTQRTKSKFVISKEGNVAETLYKFIRPENIPVQYGGLSRLGGSENGPSKPASEFTVKGGEKVNIQIEGIEAGATITWDIVVGGWELEYCAEFVPIAEGGYTIAVEKPRKIAAAEEAVHNLYTAKEAGKMVLSVDNTSSRKKKVAAYRYIVRKSTSAF >KZM93238 pep chromosome:ASM162521v1:5:3960651:3962867:1 gene:DCAR_016483 transcript:KZM93238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRVAHRTWNPPFKFTVEEPPPSPPHYCDPKVDREEVLQNNELSLEKKFCLLVGIPWSKVRAAQKYRFCHENVLNWDDSGAKDALFVAHERFCSMINSLPCEHPLPDPDMYIDNIDWNPEIDPGLISELEKESRYHDVEENSSSDKILGCDENRNTVDNPLESHLLEDKVDIKDSVQGRNNCGAILESKNTMNLWEHNVPNSDESTKEKRWKSSINKPKPSDRNKVLNDAGESTKYKSDCVESRNRGALPSKSLNEKGLGDALKNPGGVTFWNSKPNNLSNQNKHSERIDSGMHSRACCKREEYQDNTRSRRSQKREGADPESLEFREKGRPQRRYNLRSSKY >KZM93954 pep chromosome:ASM162521v1:5:12772151:12773672:-1 gene:DCAR_017199 transcript:KZM93954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSSNSVKLKYLKLGYQNIVNNILYILLIPIMAPVTLQIFRTGHNDIINEISHFLGHLNLLPLLTFSFLIIFFFALYFMSKPCSVYLVDYACYKPPVDLKVPFSGFMKHSKIILKDDPKAVEFQMRILERSGLGEETSLPPALRLIPPNPTLEASRLEAELVIFSALDSLFRNTGLEPRDIDILIVNCSLFSPIPSLSAMIENKYKVRSDIRSFNLSGMGCSAGLISIDLARDLLQSHPNSNAVVISTEIITPNYYQGKERAMLLPNCLFRMGGAAILLSNKRRDRARAKYRLGHVVRTHLGGDDKAYRCVYEEQDPQGKTGISLSKDLMAIAAGALKSNITTLGPLVLPASEQLHFLFSLLGRKIFNLKWKPYIPDFKQAFKHFCIHAGGRAVIDELEKNLQLSAEHVEASRMTLHRFGNTSSSSIWYELSYIEAKGRMKKGDRVWQIAFGSGFKCNSAVWICNAAVKPKANGAWNDCIERYPVHIPEIVKL >KZM95109 pep chromosome:ASM162521v1:5:28755775:28756086:-1 gene:DCAR_018351 transcript:KZM95109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVMSLGAETPVVIFSKTYCCISHSIIILIRKYGANTTIHELDEHPNGQQLERELEALGCKTSTPTVFIGKQLIGGANEVMSLHVKGKLIQLLLEAKAIWV >KZM94780 pep chromosome:ASM162521v1:5:25779146:25780738:-1 gene:DCAR_018022 transcript:KZM94780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQKLHSNPSSKPLQTLPLLHTMERKYQNENHPTDFQTYKHKSFYKKQKYMHHNPSSHNSRQQSHHTPSQNTHVPRQINTPYAKPTVTAPKSILVDLSNLGKLDNMLLNLQPGMMICVPQNGVVHNLFLAAKWENMVFYRGQNYFVHLIGEFYANMIVQKGLDDVLKISTVVHNKNMLVDVNTLNRCLKLGEHVPHQPCINIYEKFVFDKKEFELFVGHFCDADVPLGLCEENCAIEYHHFTPLYQQVAIIVRSNLLPKPKNAHYFDFVDLKVMFQLVTNQIEFNINYVILLNMIMAFEVEYLPYGLLLTSLFELYHIAMPRILAEKIEYCDIINLVKPQVPLRNCKPFAVSPVCISPTVMITGNTHASVKNGAEINKLKGEIEILKEMTTSIVARLDQLEGKNKEDSTVGNVEGIDEKMDRLFSEEMVNEMVDKNDKMAIDEAEKSDKEMLPGILEGKNKDDSTVGNVEGIDEKMDRLFSEEMVNEMVDKNDKMAIDEAEKSDKEMLPGMIDLTDDMGFVSVDGPEKA >KZM94992 pep chromosome:ASM162521v1:5:27731448:27732996:-1 gene:DCAR_018234 transcript:KZM94992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCEGGVAVVVKRTEVVVAVLPVAESRLAMSNLDLLLPPLDVGIFLCYDKGSENINNETKISMLKRGLGQALVPFYPLAGEVVMNSQGEPALFCNNRGVDFVHAFADIDLQHLDLYTPDASIHGNFVPIKKHGVLSVQVTETKCGGLVIGCTFDHRAADAHSINKFLVAWADITRSICPLNISDKAMVNKYTPCFRRSLLTPRKPSHHDPAIDDMYILIKNAAPPSNSPPLFHLQSRIYQISAYHIQRLQSLAGPKRTKLESFTALLWKLLAKSAKHNKKKCKLGIVVNGRNCLTDSSTTDTKISFDEYFGNVLSVPYSESTVGELKSLPLSAIANKVHACVESAANEEHFRGLVDWVEKQRPQQAMCKVYSCIPNEAEEAAVVVSSGQRFPVSQMEFGWGRPCFGSYYFPWGGYTGYVMPMPSAANDGDWIVFMHLSPSHLDFIERDAPNIFKPFVK >KZM93422 pep chromosome:ASM162521v1:5:6143204:6143404:-1 gene:DCAR_016667 transcript:KZM93422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSEYIFLPKLWTDQEFLLILAKPSKHVQWMLRKKITFRDVHNPYLVSRLITSDDNENLQSGIF >KZM94482 pep chromosome:ASM162521v1:5:22935078:22935296:-1 gene:DCAR_017725 transcript:KZM94482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADLPETDTKLELSQQLYNLRVRKANVLENLKKWWIYPICEGDYHFLHWLSACYCRGLIIQVVIIQKTRST >KZM94229 pep chromosome:ASM162521v1:5:19679077:19685473:1 gene:DCAR_017472 transcript:KZM94229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIELNSNQNPKITWEGCNVLLDINDGDRLLFARLTPGSTLKIGNKSCSLQPLIGCHFGSLFQLETGDKGPFLSPVVEVSNQEEKGCKIDEPKDNRALVDNNTAQSLTGDEIEAMRREGVTGDEIVEALIANSATFDKKTSFSQEKYRLKKQKKYAPRVLLRRPFARSICETYFKKYPTRIGYLRVDSLSLLLSMANITAHSDVLVVDMIGGLLTGAVAERMGGMGYVCNTYLGVNPYPIDIVRMFNFSDEICKRIVQSPLAELSARENEISLQPGQPEDSCNTEKLTSPPPNSVNMDELMVTPENNNSEILTTAASPVSKACKAAKAGGKAPEVAIKSWRETGFSSLIMAVPDMDAWTIVKEPLVTCMHNLQLGKMAIGLQIAEPWLREYQVLPSRTHPHMQMSAFGGYVLTGTRICST >KZM95336 pep chromosome:ASM162521v1:5:31240587:31243039:-1 gene:DCAR_018578 transcript:KZM95336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHIYWHTAERFLMKRCSPFQLIVSIFTVLVLLPQSFGDLNSDQQALLAFAAAVPHGRKLNWNSSTSICTTWVGITCTPDGAHVLTVRLPGVGLIGKIPPNTLGKLDSLSIISLRSNALDGSIPSDIASLPSLRNLFLQNNNFSGDIPTSFSSQLSILDLSFNSLTGNIPLSIQNWTGLTALSLQNNSLSGHIPNITLPGLRRLNLSNNHLNGSIPSSLQGFPNSSFVGNTFLCGPPLVACSPVVPVRPPPSPTISPPVPQVPKKESSKKKISLGAIIAIAAGGAVLLFLLILIIFLCCLRKKGSDGERVTKGKSATGGRSEKPKEEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKKDFEQQMDAIGRVGQHPNVVPLRAYYYSKDEKLLVYDYIPNGSLSMLLHGTRGAGRTPLDWVTRIKIALGAARGIAHIHSAGGGKFTHGNIKSSNVLLNQDLDGCVSDIGLAPLMNFPATPSRHVGYRAPEVIETRKHSHKSDVYSFGILLLEMLTGKQPIQSPTRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVARVPDMRPNINEVIRMIEEIRQSDSENRPSSEENNKSKDSNVQTP >KZM94681 pep chromosome:ASM162521v1:5:24911312:24912904:1 gene:DCAR_017923 transcript:KZM94681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTRHIADIKKAVPPHCFERSIVRSFSYLAFDLIFGYFFYVTATTYMITKPESFSSGLVYLFNWSVYSLLQGSAFAGLFFLGHECGHHAFTDYEWLDDTVGYIIHTVILWPYFSFKYSHRRHHAKTGHVTQEEVETPMVKSQVPYAFRIVKHTAGRLLAVIFLLFSGVPLYLFFNFRGRTYERFASHLDPTSPMFSSRQRNYILLSNLGIFAVIFGLYKLAMIKGFAWVAFVYVGPYLVQGAIVTMITTLQHIDRTVPYYDSTEWDWLRGSLASIDRDYGTILNVVFHHGPTSHVAHHLFPSMPHYHGDEATRAFKQVLGEYYQYDDTPFYKALWNILNECIYVEEDAGDKSKGVFWYRSISDYLL >KZM93177 pep chromosome:ASM162521v1:5:3155227:3155394:-1 gene:DCAR_016422 transcript:KZM93177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGFPLSGYVPMEDTMPSWSFGPTVNEIGQVDPYMYQAAPVSPYSEWSGNQVFN >KZM92930 pep chromosome:ASM162521v1:5:947682:950970:1 gene:DCAR_016175 transcript:KZM92930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGEKKTSKIDDFSNGLVWVRRRNGSWWPGRVLSPDELPESSSTPQRSGTPVKLLGRKDASVDWYNLQKSIRIKAFRCGEFEQCIESAKASATGPSKRGVKYARREDAILDALEIESGRQSKDQPDICSNVNRPSNEEVDRKESPPVSLDDVETNHIAEELISSKDKPMLGHELSHSGVSFQEVKTQGQSSLGMRRKNPNDSEDDGTEKKKRMRGIDDLGVGVNSSAKRKRSLNPDVQAFLKKRSRSRQLTKVLESTPVVKVPVISEHVSCPTKSSILGASHSKVSRLESIESKGSLSMVINNNSDSTGENGTSFNASKQANHAVLLSCKQKENEISSTRQADGDSSNRLVDVTLVGEDLAGFTSVFVPCATQNPQVPARAHSSRSRQVEEEELNETASTSSGASKWLSKGRRKLRTSRKSNGCLTVLKPVVDGAALISKEAFQIKSEPVTDDQIDDIQDWGKRILHTTPQMVVPKTELAPSQRLLPYRQSRYTMNPRYQSSAFTPRVYDPDSSLYEVKVVVEASSQHRPPHVPYTSVMSELTGESIIGYPLTVEVLGEGSFEQKPNLTGQKNPGRSRLACSTGEAPMPRKNGVVPKKTRKLSSISGSHRDPVKGKKRGPRKVNRPSLSCIPLNVVFSRINAAIN >KZM94107 pep chromosome:ASM162521v1:5:15766737:15777542:1 gene:DCAR_017352 transcript:KZM94107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLHKHGGDVDRKERGGRPGKMSNVGLDGFVQEKQRVVLDLEEIVRASLKRCSDLGGKDIVVISSSDDDDGGGGGGGGDGGGCGDDGGGCGGNVLPGKVDEGDKGLAGMSNENDDNNGNIGGEAPNIEESGAPTSPSPGGRDEVCNSVKKAKVNLQGTGIRRTHKGIGGYTELVEQYFQEDDQSRRRKRNKTSIIKTRRPSTSRSNKEACRGEDGPVGAAASTTPHAVQKPKGTAKIDENGYSLSNMCHQCQRNDNGQTVTCTNCRRKRYCVPCMTKWYPKMTEEDFARLCPVCQVNCNCKRCLRLEVPKKDKEIFDLKFTTEEKVQYSKYIIRMLLPFLKEFNEKQKREKQIEAKIQGLSYLKLEVKKAKCGSDERLYCDNCRTSIADFHRSCSSCEYDLCLMCCQEFRDGRLQGSEEEVIFQFEDPGSPYMHGIGKPKSNIISGSGEGCHQKSTLGIPNETSDKDHLKSLAQWKPHKDDRIPCPPKTFGGCGEGILELKCILKQDSVCNLLVAAEELSDKHKLILETHGQKCFCFDLESEIGIDKMNLLKAASREGSSDNYLYCPTAQARDLSHFQYHWLKGEPIIVNNVLQSTRGLSWEPMVMWRAFRQIKNQNYSQRLNVFAIDCLNWCEVYVLTHVQEVTLKSAHWAKIEELKQQQIAQDESEFCRTISNFKAQVVHPIHDQTFYLTTEHKRRLKEEYGIEPWTFVQKLGDAVFIPAGCPYQIRNMKSCINVAVDFVSPEHVRECIHLAEEIRVLPQNHIAKVDKLEINKVIFYAIQQAVRDLKNLHIEEGIQTCKTSNFLSTRSKFDCGNNFVEVVPHTTSLSQPVNTPPILHASPNSSQQTSRSISTETVGHSKQLEDRLTSNLSDNMIPQIQLDEQTICCYEQVLKKCLSGDLKDLGKDSGHQEFNKVLTSLLACRKIPSKLHQAFISLHNDLPSLARRAFELNKVITQGMLHRTTMKELESCLTKYHSCEDHLLKLKQEKEYNMSEIARLRARNQVIDSDITRIAAEADALQKASASQSLEVTNLGVGTIDETALQLSIDELRNMEDEWRKRAAMLNF >KZM93689 pep chromosome:ASM162521v1:5:9248588:9248934:-1 gene:DCAR_016934 transcript:KZM93689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNDQNQTQAGAVAKFPYSAPPPAGYPTKEGEALPVSSGAVETQARGDGFWKGCCAALCCCCVLDACF >KZM94382 pep chromosome:ASM162521v1:5:21754540:21755415:1 gene:DCAR_017625 transcript:KZM94382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAFISNDASRKATFKKRKKGLMKKVGELSTLCGIDACAIIYSQYEPQPEVWPNTLGVQRVLAQFKRMPEMEQSKKMVNQESFIRQRIAKANEQLKKQHKDNREKEMIEVMYQCLTGKLGLQNLMIPDLNDLGWLIDQKLKEINKRIDQLSPAKKKSTAAQIINQVAPAAGGKVVMQPFNVNCNSSYSSSGSVAAMMKGKGVANCVEGVEEKAAGGDVEPMQHQQQQQRPHWFSEWMNTNNGNSSNDQIQNQQQQHPNMGFSRGEEMMMPYGDAQNGMWSSAFFP >KZM94663 pep chromosome:ASM162521v1:5:24702386:24717309:-1 gene:DCAR_017905 transcript:KZM94663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKSFACVVFDCCFTTDTWEAEEYKDYVGNVIGQLKDYYPDASILVFNFHEQGTPSQIAKALSEYDITIMDYPKHYEGCPLLPLEVVHHFLRSGESWLSLGKQNVLLMHCERGGWPVLVFMLAALLIYSKQYYGEQKTLDMVYKQAPQELLQLLSPLNPIPSQLRYLQYVSRRNLGTEWPPLDRGLTLDCIIIRMIPNFDRGGGCRPIFHIYGQDPLVPSDRNPKLLFSTPKRSKAVRHYKQAECELVKIDIHCHVQGDVVLECINLHDDMEREEMILRVMFNTSFIRSNILMLNRNDVDMMWNAKDQFSKDFRAEVLFSEMDASASVVPVDLSCFEEKDGLPVEAFAKVQEIFNSVDWLVPKKDATLDAIQHTTSSANNSQRKADVDTHKAENHGNRLPQLSPKMHHDKQPSLKSRGDGKQSEASHRPTQVKDINEDTDHGLENAPSAVSSPRQPLGEDFVCKTKSSSTAPPQSTLTVKDPAIDIGQSSASAPSSTLHPQTPPLRDNTLKSVKYAHPPPSDSEIVQPLKENIDIKTGPTPASTPSIKYMPPPTPSPLHPQTQSPHPVPLKNDKGEFGPPPPPPPPVPALKKDQTINSSVAPPPPPPPTPPLKEELSLKGRPRPPPPPSPPLYHAQVAQSVNSTLVPPPPPPPSTGSSFHAVNQQVPPPPPINQQAPSLPPGPRPLVAANPQAPSPPPPPGAANPGTSSPPPPSIPPSLGRGRGLSRPMNIRNNQTKKLKPLHWLKLTRAVQGSLWAETQRSGDATKGPEIDISELETLFSAAAPSSGKGGPGGKTNSRVPVNKPEKVQLIGHRRAYNCEIMLSKVKIPLNELMKSVLAFDDSALDADQVDNLIKFCPTKEEIELLKNYNGEKDRLGKCEQFFFELMQVPRAESKLRVFSFKLQFESQIRSSCKLKKVMQTILSLGNALNQGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKVLAEKLPELLDFSEDLGSLESSTKIQLKYLAEEMQAISKGLEKVVQELSLSESDGPVSENFLKDLKDFLCFAEGEVRSLASLYSVVGRNVDALILYFGEDPARCTFEQVVSTLLNFVRMFNKAHEENCKQQELDKKKAEKEASSELTKLNASYKEPEIHVVR >KZM95287 pep chromosome:ASM162521v1:5:30829687:30830820:1 gene:DCAR_018529 transcript:KZM95287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNQSPASTPQIEYHIPQAQVPVSAPVSTIQYSTYQNQVPKPAPISQLQCRTSQAQAPRLAPIQPCSTTNKMPSIRGQRSGSVMPIDNFQPNLTSLQLHNIANNVLVSDRRQTVGSLGPRVNVPAAVFKRRGSSGVALAAAQQSGYLNNAHGYKYRRNPALVAAPCKSITMRSAEKYTESSNCQHSSQQYVQKIPSKFIASQPPLQPHLSSQPDVGTPYMNPVPKQPLLPCQLKTSNVLVNSTSQPQKPYHQAYAGGMVSRQNSVQSRVSSQLIRTNSFGNRASSESPVYSPTNHAADGFQDVSQQQYQTSNFVDTGISGVDLNWVPNKSHDISQQPEAENFQPEGTGYLDESEPFGEFDSYFDGSSNDGSFDFLL >KZM95033 pep chromosome:ASM162521v1:5:28127670:28127933:-1 gene:DCAR_018275 transcript:KZM95033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLGTDEGWKPGLKVLARSVAHLNIERPVRVMSSTDWSAKELCLEQIEFACAHVYACFRVAFELLKHGNEMPRMMKSPPPVDDDDE >KZM96166 pep chromosome:ASM162521v1:5:38816591:38818552:1 gene:DCAR_019408 transcript:KZM96166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPSNTVFDAKRLIGRRFNDPSVQSDMKLWPFKVIPGPGEKPMIVVNYKGESKQFAAEEISSMVLIKMREIAEAFLGHSVNDAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKSSNRGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVTEFRRKNKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFKKCMDPVEKCLRDSKIDKAQVHEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEANEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELTGIPPAPRGVPQINVVFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKDEIEKLVKEAEKYKAEDEEVKKKVEAKNALENYAYNMRNTIKDEKIAGKLDAGDKEKIESAVNEAIEWLEKNQLAEVDELEDKLKELEGLCNPIIARLYQGGGGDVPMGGAGDMPGGGYGGSGGSSGAGPKIEEVD >KZM94078 pep chromosome:ASM162521v1:5:14978421:14984129:1 gene:DCAR_017323 transcript:KZM94078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLQNVIGVVENPGQVSTIRTINGDRRVHKFQVTDGHVFVRVTLLGSILDSSNVLFTANLQAPVVIVLAGVRIKRIPDIIGMIEEWETASRVPTRYREKETLRFKLSDGRFRLLVLADDNSFATTVVLTDHVVKRLAQTIVTNLMNSSKEAPISEMPPVLKNIAGKNVTVKISLSKSNVAGDSNIYKSVDLCEGSVSGKKDAEYSPITKFPSFEQSQTDDYVVCLETPTSSDSVSKKSIVFNPPFYDTLDLTR >KZM92954 pep chromosome:ASM162521v1:5:1181144:1181752:-1 gene:DCAR_016199 transcript:KZM92954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPHTSAFSCPSGSGSGSSLSSDKKRKRQKDCGTQHPNYIGVRKRSWGKWVSEIREPRKKSRIWLGTYNTAEMAARAHDVAALTIKGDSAILNFPQLAELLPRAESGSPRDVQAAAAKAAAMDSSELSPALSGNESSVNASTNSDELGEIIELPSLEGCFDSGSSMDELVLVDSDDLWLYTPWWVLENELDVQYSQQGMI >KZM95495 pep chromosome:ASM162521v1:5:32640062:32641700:1 gene:DCAR_018737 transcript:KZM95495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVVSSEAAARAVVVSSKDAEREVDIPVKLYFVTDVSKDLLTNTRNLADYECRRLRINIDDTKPAQHFVCQNLDCIVDQDLPSLSTCNIAKCRRCGDYLKTPINFLDDMRENNSGVFFAQTASFIITDALNVFPNTMGSTFEILEMAGVSDFGVLEETTLQFGSHEILELLKCSLFSKTPLSDMVFGEKDIVKDIVLIPSFQCRISTEHIYGFINMTVNVLVQKSTKKILLAQTAEDFVEFLFGFLAMPLGRIISFLGESFSSDGTVENLHNSLPNLDVGRYFKFDELRQILLYPEVDYGSYHSLYFRKEWSRDFYYTSRRESSYEEDTFHLTREGGPVMKIEHPKEYLRGPKMFLVTDDLIVTPFSSMSCITYLHSLKVSPGDVEEHQINIGPEEALNLLRACMISTSVLNNGLGSLQVF >KZM93640 pep chromosome:ASM162521v1:5:8754290:8755581:1 gene:DCAR_016885 transcript:KZM93640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSDKVSQLLEPWSDLKGKVVFITGASSGFGWDFSINLARAGCKLIVAARRVDRLDALCHLINNNFESTTSNAPLAVPVELDITSDPPVIEAAVQNAWTAFGNIDVLINNAGVRGGTKTTLDLSNDEWNRVFKINTNGAWLCSKYIGSRMRDAGKGGSIINISSIDGLNRIKGTGRLAYGTSKAALHAMTTQMAQELGTHNIRVNAIAPSIFRSEITKGLYEKKWLKNVFDAQVPLPFYFDAQVDPSLTELVRYLIHPASKYVTGNIFIVDGGNTLAGVPIWSSL >KZM95862 pep chromosome:ASM162521v1:5:36334465:36339922:-1 gene:DCAR_019104 transcript:KZM95862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLPRSSSAPLRNSGLPPQELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNSVERNPSLKSLSLKEFTSLMFNSCDVLKPYVAHIDDIFKDFTSYKVRVPVTGAIILDETYERCLLVKGWKGTSWSFPRGKKNKDEEDHKCAVREVLEETGFDFSKFLNKDEYIEMIFGQQRVRLYIVTGVKDDTAFAPLTKKEISEIAWHRLDDLQPANNDVISRGITGLKLYMVSPFLASLKSWISAHQPPVAPKLNAPMKGISVWKAKTSSTGSSATIAESLAVKPKANTQSSAMGPGRSFRNFRFDTGLIFQAMETAFSS >KZM95764 pep chromosome:ASM162521v1:5:35357353:35358486:1 gene:DCAR_019006 transcript:KZM95764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVASLSLLLLLFTSSTACTTIGVSYTSAGAAASSPEHIAAVLRSHRISAVRLQAPEPSVIRAFTYSNISLLLEVPNHLVSSFASNVSAANLWLYTHVVPFYPRVKISAISVGSNTVSTSQADLSDVLIPAMQNLKRSLNSLGISDISVSTTFSFIDIMNNAFPPSSAEFQEPINRMLIRPLLQFLEENNSSFFVNLYPYKVYRLNSEIPVGFALFRDHPYNFRDDITTGVRYRNLFDMMVDAVIAAMTVSGHENIPLVVTETGWPSYNSGNEPEASHHYSEMYFQGLITHLKSGLGTPLRKEGVAEAYLYELFDNDDNNDTMQVTASGSGMQNWGIMYPNLTMKYNIPFSSDSKRFHGQGIAGMAALLLVISALL >KZM96351 pep chromosome:ASM162521v1:5:40308492:40320143:1 gene:DCAR_019593 transcript:KZM96351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSSEIESLHDDGFEGSADEHNTCRKVYFGNDGGRGTKRCLVTGVINFENVNSKDADISLGSNSGSSALTSQEDPLNVKEDPREKSEPLHVSKKFEREIRENPDVAAKRMKFSIDGPSDAKSLTQNGFGSSAPLQGVISDVPQSASCFVRHNVTCRIVESAGSGVSCSCYLLKKHNKMEFGGDTNDDDCSRFKLSSVDGREQKEVGASKAIASPVSQESSATKLLISSSAIVANDSGCHRPSKPRWKDSCFVELDEAEMSLSRESKNDPRPLLRFLINRLLTAAGWVVGRRKRSSTYNGIGEYVYKSPEGRPMREFRRAWVLCGHSLFEGSDIVHQERDVKQYIDLAQFWSALNESSNKIKEMEDWETTSALAHCWYLLDPLAKVLFIDKQFSSLKAGKIIQAKRSFVVRSKKTSDSVFTLHNVENAGNLLLGDVHATHQSCRSSQVLDRALVVYNKGNISEQDKYSEYLQVLPLATQKGAPSNTSKEKSGRNIKFEEMSRDERNLAILSLQAYGSDSSSDEIDNDLFGVRIGTHDANLYLGCDMKNSPLCSAQQQNGKSFHHIHSSSRDLTHADYNDRHVLGLSIKSNSAGDGLPVKRNSIGSGNKPKKPRAKEGNGQKKSYKCRLKDDDLLISAIIKKKSCKSTTKQTKVRKISCRSKSFKKRKNQKGSCRLLPRSFNRSGVHYKEGNCLPLGSRTVLSWLINSGNISLNEVVQYRNTKDDTVVKDGLVTWDGILCRCCETVLSVSEFKSHSGFRLNRPCLNLFMESGKPFTLCQLEAWSAEYKARKSPTRTLRADEADENDDSCGLCGVEGELICCDNCPSTFHRACLYEQEIPEGSWYCSQCTCQICGDLVNDKESSNSPNSITCYQCEHKYHERCLKEKCIQAAEDSDIRLCGEECYKVYSDLHSRVGHMNLISDGLAWTLLRCIHGDKKFNSGQRFVALKAECNLKLAVALTIMEECFLPMVDARTGVDMIPHVLYNWGIHGATVAEMPLIATCSRYRRQGMCRHLMNVIEEMLMSIKVEKLVISAIPDLVETWTKGFGFERLEDDERRQLRRTVNLMVFPGSVWLKKSLSRSQVSKDQQIGEIESCNVSPSEKDDLHVSGSLVEEPHGIQPASEPEVHMTTEELDVKTELVPANGNNIQIDKDLKEAVDIRCSKLSCEEKEENNQSKVYVDSMEVYIEREHTEQVERPVRQGIITANDV >KZM96042 pep chromosome:ASM162521v1:5:37657458:37662800:1 gene:DCAR_019284 transcript:KZM96042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLSDFVTDSRSKPSRDADIEMGTRLPESNSDMGMEAFNKQIQEVDRLVDKVAGLLQKLKDANEESKSVTKASSMKGIRKRMEKDVDEVGKLARNVKAKIEALNKENLANRQKPGCGKGTGVDRSRTTMTNALTKKFRDIMIEFQTLRQRIDDEYREVVERRVITVTGTRPDEETISNLIETGNSEQIFQNAMQEMGRGQVLNTLEEIQERHDAVREIEKKLLDLHQIYLDMAVLVEAQGDLLDNIESQVSNAVDHVQSGTTALQKAKKLQRNSRKWMCIAIIILLIIITIIVVGVLKPWKKNGA >KZM95519 pep chromosome:ASM162521v1:5:32901118:32908325:-1 gene:DCAR_018761 transcript:KZM95519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWPEWIHSLWLLQFAKSHQTGMHWYWTNAGVALLISLTQSSQEDVQEMAMRNVSYCPEVKKVGAEVGGMDILAMRNVSYCPEVKKVGAEVGAMRNVSYCPEVKKVGAEVGFAKSHQTGMRWYWTNAGVALLLSLTQSSHEDVQEMVVGAVSAFTIADYEYVGINLAFARVLAHQGGVLLLVNLAKSWRGFTLKQ >KZM95226 pep chromosome:ASM162521v1:5:30344141:30344987:-1 gene:DCAR_018468 transcript:KZM95226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTGSPCGACKFLRRKCMRGCVFAPYFCHEQGASHFAAIHKVFGASNVSKLLAHLPVSDRSEAAVTIAYEALARIQDPIYGCVSHIFALQQQVVNLQVQLASLREQASQSFGKGSGSSGNPNYDTTANHGKFNPFDSPNDFQNWFQSENASMISPFDHRNSSRNIAADHFMVNPNSASGHYENSAIPQHEDASFSSLEDQGSTHTMDSVEDMQTSHCQWTFRDDLHDLQSAALGYIHQY >KZM95040 pep chromosome:ASM162521v1:5:28168773:28169565:-1 gene:DCAR_018282 transcript:KZM95040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASIYKYNTFQNLPEDRYEGEVLAGTCSDIWTGILTVLVVGLAVTGAISLCFPALLLTRDDGHSLPQIKINSFSVTGFNFSSNQVYGNWDFEFVAANFNDGDSMIFAFQHTSVSLLLKNHDVPAYMTNLQPFELGPESLKSHLVGKFSGSSEFLDDSVTKIVANEIMTDGVVKFDVKLEALVKTKLRVGVLVANCDDMGVLISMDTGMGKMVGGTVDCNVEFTANFN >KZM93183 pep chromosome:ASM162521v1:5:3219791:3221856:-1 gene:DCAR_016428 transcript:KZM93183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGLPFDRPLLRIANAINISQPNGKARSSNKQKGSLLPKDVHLGIPSSGVSGGVVSLVQGSYEYFHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYTSTDVPSHREIQQALVEIGDKDRSFVGSREWIGAIELSFVLDKLLGVSCKVINVGSGDEIPEKCRELAFHFETQGTPIMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGNDELKKIVNGGWCGWKKAVDSKGKHFFLHNKFYNLLLPQRPNMV >KZM96439 pep chromosome:ASM162521v1:5:40969991:40971292:1 gene:DCAR_019681 transcript:KZM96439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSEKQKKTMAAAGYLSEDILPEIFKRLPIESLNRFKCVAKSWYHLIHSPYVKALHYNNHRQHCLYMLVRHPIHVCALYRFDPLHDPQHLLPLLVFPRSSTNHQLSLIKLGSKIYIFGLPEYWHPEHNPERSIYTIDEWDLLDIKPSFDNTITKFKHIFTKTMEPMRGDKYKPILFVANGKLYVLSRFSGENMFEVFCPVHKSWQVLPSPNCYFMSEDIYFVVVEQEQMVYFSTATDLVSFNLETHKWWTIHSNTPVEPFGPGWKWTCHDASICVPIIRGLAFRYFDVDVYNPNYICACKTYCQGEELLLAPDEAFLEELSSSRFTYMSENSCSEYMIGLQDCDGTQVLCIVTYGHSSLDLDYTINHVALSFFDITGDFYTSEDRPLHANDFDDIFQAEDGGVFRYFNAKFRCTKHFTISTFWGEIETCFV >KZM96531 pep chromosome:ASM162521v1:5:41674526:41675326:1 gene:DCAR_019773 transcript:KZM96531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFGSFVFVIVVVLGLFESGHADLQMGFYSKSCPKAEKIVQDYVNKHIPNAPSLPAALIRLHFHDCFVRGCDASILLNSTSSTGNQTEKFAIPNLTVRGFGFIDGVKSLLEKECPGIVSCADIITLVARDSIVATGGPSWKVPTGRRDGLISNGTETLGPIPAPTSNLSTLQTDFSRQGLDLKDLVVLSGN >KZM95662 pep chromosome:ASM162521v1:5:34245259:34248290:1 gene:DCAR_018904 transcript:KZM95662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGALAGMPLQLAGTRKILEFMDWGEYGAMGTFISIGAIGVKEDAEEDTMFILLAPQNAVGNCIIGDLQAMADAAGKRPVILINPKLKTMGRDKRLEYASLFENCYLLRLLYYAGSQYPIVGVLR >KZM93882 pep chromosome:ASM162521v1:5:11820690:11821304:-1 gene:DCAR_017127 transcript:KZM93882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHAQKDQLVDDMLAIPVEPIQNHKPELVFEFEFVTPESPSTPADHLFLNGRLLPHKFPFPPTKTLSFNTTLSRSMSQTSSVSSKESLMSSRSNSTSSRSSSSTSTSTRVRTMKGEAKSLRKKQEVSAYRPSLSPQHGSWHYVTQTPVLKRKVSRKSRAGSDLQQGSKRKKQDYRGERNGWFLWRFWRWFLSTCSGCHAINPR >KZM94038 pep chromosome:ASM162521v1:5:14184773:14185718:1 gene:DCAR_017283 transcript:KZM94038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIFYQDIDLHQTTQQTSWEDDEDDYLARLVYQHMQLNDGTVEKTVWCPICKQGELQENHFLFIALLVNLDYSEGKRGCKLTPKIRKESSPSVLYIECSGCDTFEAVCRE >KZM95266 pep chromosome:ASM162521v1:5:30655429:30655655:1 gene:DCAR_018508 transcript:KZM95266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSENNDERVLNPWLLHLHKLSLALKCPICMELLDEPVLLPCDHLLCK >KZM93108 pep chromosome:ASM162521v1:5:2472603:2475363:-1 gene:DCAR_016353 transcript:KZM93108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMIHPLFILLVFLSSSVKTECSLSDADKITQLPGQPQVGFQQFSGYVTVDNNKKERALFYYFVEAEIDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGDILVKNDYSWNSEANVLYLETPAGVGFSYSTDSSTTTMNDEITARDNLVFLKRWFLKFPQYRQRELFITGESYAGHYIPQLAHLMIQSNKKQKIFNLKGIALGNPVLEYATDFNSRAEFFWSHGLISDSTYNIFTSVCNYSRYVSEYYRDSVSSLCSRVMGEVTKETSRFVDKYDVTLDVCISSVFAQSKVISPQQVSRRIDVCLEDETVNYLNRKDVQKALHARLVGVRKWDVCSSILDYEVLNIEIPTISLVGSLVEEGIPVLVYSGDQDSVIPLTGSRTLVCGLAKRLGLNTTVPYRVWFQGQQVGGWTQVYGNILSFATIRGASHEVPFSQPERSLVLFKAFLKGRPLPEMFS >KZM94643 pep chromosome:ASM162521v1:5:24542674:24543258:1 gene:DCAR_017886 transcript:KZM94643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMFNAEKRGKRQVMIRPSSKVVIKFLMVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KZM93506 pep chromosome:ASM162521v1:5:7343755:7344084:-1 gene:DCAR_016751 transcript:KZM93506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNPSTAGGVEKANKSLGFVANAIKRKDSFFQLFVMTGILLLSLRSAGQKYRLYELEEDHYALQEEQKSLKERIDHIKTSLLAEAALEPSGHFANRLRILFGNDNNN >KZM96319 pep chromosome:ASM162521v1:5:40091367:40091627:1 gene:DCAR_019561 transcript:KZM96319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGDNVLDGFYEIKWSKAPSSDDSHGKGCISLVMICVCFSLDFCGLVWPRSPTSPSCPANRPRSCLALLLNNRFLLPPFCKNTKC >KZM94709 pep chromosome:ASM162521v1:5:25173230:25174431:-1 gene:DCAR_017951 transcript:KZM94709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRVEPDRLKNMWIFLKPLSWDLWLTIVLAAVFIGFVLRMLERHVNPQRQLGMLFLFPLAALAFPERNMVGNKWAKFVLVVWLSMAYILMQSYTANLSSILTVSQLRPSADSPACAGYQRYSFVGDMLKKMNIKTRNYTSMKEYDDALSRGCKNGGVDVIFDEIPYVKLFLHKYGSKYQNISSKMDGTATGGFGFAFPTGSPLSKPISKAILDIMEEGKIQQMEKRYFGVVVALVCSECSSAISRFCNLHAVNISRVQSVEVTGYVSSDENEPQDSKEEAEILVQEESNDEQVVQPTNVHIDHGGE >KZM94742 pep chromosome:ASM162521v1:5:25451055:25451228:-1 gene:DCAR_017984 transcript:KZM94742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMAGGPLAVGLATDFLAEAGFQMAAVVPGQQEPEIQDFVFEDDLDVEDGGVIMQA >KZM93666 pep chromosome:ASM162521v1:5:9064054:9064533:1 gene:DCAR_016911 transcript:KZM93666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALNSPPPNRNQALLRSSSSEYNPSSRKRFTEVAGGATAECAAVACCCPCGILNMLVLVMYKLPAGLFRKALRHKRRRRIMKKAGLLSAPNLGKCDCKEAEIFTMTSIDQEVMVKPITTDDDVLDFYKDEGMMKVEKEMWDQFCQTGFWRNPSQRLVF >KZM96096 pep chromosome:ASM162521v1:5:38228916:38229795:-1 gene:DCAR_019338 transcript:KZM96096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTRSRCAACKYLRRKCPSDCIFSPYFPSDDPQRFSCVHRIYGASNIGKMLKQLPVQLRADAANSLYFEAHSREADPVYGCVGIITNLNEEIHDAETELAKIQAHIGVHHQTKNPEDGEASQSGHSPVQQQ >KZM96099 pep chromosome:ASM162521v1:5:38241626:38245106:1 gene:DCAR_019341 transcript:KZM96099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSASNVQNASHSEQKLEECLMEGPENSLTDNIILNHDFSEGLQSWNLNCCEGFVASASSGHKGALANSESESHYAVVTNRKECWQGLEQDITTRVSPGSSCTVSAKVKVAGPVEGLADVLATLKLDHEDGTTSYQRVSRISVSKERWEKLEGTFSLTKIPKRVVFYLEGPSPGIDLLIESVVISCLRRGKLSIKGTGDVPAESENIILNPAFDDGVNNWSGRGGCKILVHDSMGDGKILPKSGKYFASATERTQSWNGIQQDITGRVQRKLAYEVSAVVRIYGNNVTSANVQATLWVQTPDFQEQYIGISSTQATDKDWVQLQGKFLINGNPSKVVVYLEGPPAGTDILVNNLSLKHAEKIPPLPAPVIEDANYGVNVIANSNLYDGSNGWFSLGNCTLTVANGSPRVLPPMARDTLGQHAPLSGRCILVTNRTQTWMGPAQVITDKVKLYLTYQVSAWVRIGSRAAGPQNVNVAVGVDSQWVNGGQAEINDTRWHEIGGSFRIEREAAKVMVYVQGPAQGVDFMVAGLQIFPVNREARFRHLKRQTDQVRKRDVTLKFSASDSSMLPGTFVKVRQTQNSFPIGSCMSRSNIENEDFVDFFTKHFNWSVFANELKWYWTESQQGKLNYKDADDLLNLCNTNNIEVRGHCIFWEAENTVQPWLKALNKADLMTAVQNRLTGLLSRYKGKFKHYDVNNEMLHGSFYQDRLGKDIRANMFKTANQLDPSPTLFVNDYHIEDGCDTRSTPEKYIEQILGLQEQGAPVGGIGIQGHIDNPVGPIVCSALDTLGTLGLPIWFTEVDVSSINEHIRADDLEVMLRECFAHPAVEGIMLWGFWELFMARDNSHLVNAEGDINEAGKRLLELKQEWMSNAHGHVDEQQQFQFRGFQGTYEVEVRNFSQRKKVLKTFVVDKTESPIVVSIDL >KZM95949 pep chromosome:ASM162521v1:5:36987008:36991170:-1 gene:DCAR_019191 transcript:KZM95949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILFNLEIRDVSYRPPGTQTNILSGVSLSLTERSFGLIFGRSGSGKSTLLQLIAGVSKPTSGSICIQKYRDDGSPHQSPILSTPERVGIVFQFPERYFLADNILDEVTFGWPRQKADLPLKEHLASRLQRAISSVGLSGISLDKDPRSLSGGYKRRLALAIQLVQSPDLLVLDEPLAGLDWKARADVVKLLKNLKETVTILVVSHDLKEIASLVDQSWHMYMGGMLKEEPLPL >KZM96056 pep chromosome:ASM162521v1:5:37767113:37767797:-1 gene:DCAR_019298 transcript:KZM96056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGETDFLKPHGTDLLESFVDPVVIHHPRGHTIPRLDDKGLETMLSFLEKIQKEVANQEGEEKCLEEAP >KZM94745 pep chromosome:ASM162521v1:5:25466195:25467745:-1 gene:DCAR_017987 transcript:KZM94745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQRMKFVFVLLFIFPLLLLVTHSKAQLSTNFYQNSCPNVESIVQAAVRAKFQQTFVTAPATLRLYFHDCFVRGCDASVMLASPNGNAEKDHPDDISLAGDGFDTVIKAKAAVDSNFNCRNKVSCADILALATRETGGPRYTVELGRRDGRISTKASVQRKLPHANFNLDQLNSMFASHGLSQTDMIALSGAHTLGFSHCDQFSKRIYGKQIDPTLNRAYALQLRQMCPLKVDPRIAINMDPTTPQTFDNAYYQNLVQGKGLFSSDQILFTDTRSRSTVQQFASSNAAFNNAFVSAITKLGRIGVLTGNKGEIRRDCTAIN >KZM92837 pep chromosome:ASM162521v1:5:162895:163074:-1 gene:DCAR_016082 transcript:KZM92837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDGGDELGEGVGRKKLAVFVSGGGSNFRSIHEAILDGRINGDIAVLVASKAGKFLAI >KZM94059 pep chromosome:ASM162521v1:5:14478900:14482201:1 gene:DCAR_017304 transcript:KZM94059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAIYTVGFWIRETGQAIDRLGRGLQGKYYFQEHRDVNSIRVGSGTNIQDNSLVHVAKTNLAGKVLPTIIGDNVTIGHSAIIHACTVENEAFVGMGATLLDGVTVEKHAMVAAGALVRQNTRIPSGEVWGGNPAKFIRKLTDEEIAFISQSATNYTNLAQVHAAENAKSFEEIEFEKALRKKFANKDEEYDSMLGVVRETPPELILPDNVLPEKAASKSLKV >KZM94604 pep chromosome:ASM162521v1:5:24061893:24067495:-1 gene:DCAR_017847 transcript:KZM94604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPVGSTRRSPRLQQLQQDPEKNENQTTATATEKEENVVRKKIYKKSNNKIKETAGRPSTPPSQQESEESEEISVQDEENNDQESEEIFVQDEENNDQESEEESSSQDMENDDQESEEETSVQDQQEHDEENNEEEVTTQDHETESQDKQDFQEEDMMNNVTKKRKFSRKLYVNENEKVEKKRKFGYKKPRKPIDEEKVAAIAARKLNTTARIRNSPRLLTEMLFFLTEDQKNWVKKSAFRNLLDFKLEMLPSKIASKVLQSFDHYSVSLEIKSGKIDITEKEVFNVLGIPCGGKPVEEVFNDITKKRMEDWLAQFPNEQITTRQVLDKVRKAPVTDVFKIHFLRVVSEVLFGTSTHSYVTKYLVNFEDLDKTVNYNWAELLMKHLVNAKESWLCTESDFFRGPLVFLTLLYVDRVRHKGLKLVERKFPTYSGWTDELLRERQTMEDNDEWDDHVAWQEVDDLEKKWKASRQQATNKENLQQNENDCYDKDDWDYADIQENMENETEDDTIKTEQELIENLKSRAQDILDLKFSFDDDMLKARKQFPDSQTLKTIEEVFKENFLFQEEDNSTSEEEDVEDDSPTDNHEDRNNSNENIGNDIQNEKVNNDVEKEDVQNRDGEKKDAEMEDVQQEKIQKMDGENKDAEMENVEKTDAMNKDTEMENVQKENFEKDRDARTKVAEMANVEEAIVQEDNTVNQTNEDSRYCPSFSLGIEEEIDGDFMTPEEQKRAKSKRTKKIGQYAKSPYMDRVIDIKSKLTSNDYALWSFTVQDKDLLEVVYNWKGIQCMKEQMQTLLPRTSVYYSVIDSWTTILNDNEKYKSEESPMRLFCTMADLIFFPIHKAEHYYLITYDLKNLACFLIDNIEREGNPKPYYGKTPEVLISQTKQIKKLRIKYNTAILSSSLNEFAAPIMEYAQKLLASNKVFRSTIEEPTPIEEPKPVEEHRVAETSTSRQNEKPDSAKKTVQFATNLITVFNEEANTKNGDPSV >KZM94389 pep chromosome:ASM162521v1:5:21817654:21820659:-1 gene:DCAR_017632 transcript:KZM94389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKCSSESVSLDINSATNSPPLVNDVNVAVEVAVPAVAPPHDAPPQPQNLSVVPGSGNGELVKKKRGRPRKYDADGNLRVPAAGSPPVLSASPAGFSISHSDGSLSKGRGRGRPPGSGNWQLLASLGELFADTAGGDFTPHVIIVYPGEDVGSKILSLFQKGAKAICVLSANGAVSSVTICQPGSSGGVLTYEGRFEILSLSGSFTFSENGSLKRTGRLSVSLAGPDGRVIGGAIAGTLIAANPIQCVVGSFMPDAYRMHKQKQQYAARVVSPPVQDNIEVEPTRPNLQTSAETSAGQFLPSHFQVENHAEADDNINIVSPFSADWNGSEEPAEQKPYPDINMSAPDE >KZM95994 pep chromosome:ASM162521v1:5:37304389:37307107:1 gene:DCAR_019236 transcript:KZM95994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSGSNTQNQPSPNMLPPRQFPGPSGLHPSLFLAASVGQSSMDSRDRRPNSDRVRDSPTESASSQETWPTAKSIMEKRKESEKADNDYPKPSVVRRFSDSDKISLRDIASERVDVISEKMQLIPDEYLDDLKGRLRGILDGSGGSQHREEFMMLQKLVQSRSDLTAKTLIRSHRVQLEIIVAINTGIQAFLHPNVSLSQTSLIEVFVYKRCRNIACQNQLPADDCTCELCMNRKGFCNLCMCVVCNRFDFEVNTCRWIGCDVCSHWTHTDCAIRNKQISMGSSSKMGSGSAEMVFHCRACNRMSELLGWVKDVFQHCAPTWDREALMRELDFVSRIFRGSEDPKGRKLFLKCEELVDKLKNGITESLACRAILMFFQELEMDSLKNIESGEGARMIAPHEACNKIADVVHEAVQKMEMVADEKMRLLKKARLSLEVSDRELADKAREVAELKLEKHRKKQQIDELESIVRLKQAEADMFQLKADEARREAEMLQSIALARSEKSEDYASRYLKQRLSEAEAERQYLFEKIKLQEGLHAPESSGAGDPSPSPAPMLSKIQDLLKNVYSVPPNSESQPSERLPSRKYP >KZM94428 pep chromosome:ASM162521v1:5:22218796:22219701:1 gene:DCAR_017671 transcript:KZM94428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFAQDLSTHREYRGYGAEQGNKVLDVTQFGYFKVLGKDSGTKPIVVKAKLVSKIAEKKIEMGGAVVLDVTQFGYFKVLGKDSGTKPIVVKAKLVSKIAEKKIEMGGAVVLTA >KZM94768 pep chromosome:ASM162521v1:5:25606649:25608615:1 gene:DCAR_018010 transcript:KZM94768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRSKKARRKEMHEDNAKGTCLKANGAVQVSKKGVQMTCSNCGETGHNKKTCKNSPKKKTPEVEKPKNKGGRPKVQKAVSSSILKPKKRKKNENVGAGLFTDPKTGKMYLNGYLLPSGGGAEESSSAGMTGPNAPRNVLNNAVIPIQTSEPTDLVQTSPDSSFISEGPFRRSQFVVQLVDYCEKIEELGLHGQFSEKDVSCIVEGFPRLKVLDLSDSTLPAAVVCVVVDGRLKCIRDLNVVHCKFLDEDGKDMRNNYVKWNAFKLKILEKASGINTLKKFMHCFRERCR >KZM94301 pep chromosome:ASM162521v1:5:20767291:20768688:1 gene:DCAR_017544 transcript:KZM94301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESARNRPAEVKKGIDFWRKVEHSGGKFRKMRIPSSMFEPREGIHYGYIELKHWKENSVWAVRIQQYDSGLFMKKGLNRFCRYFNVNHGSILWFEYEGGNKFLVKVGGRNGITYNPKLETMATATHAQPGSQMNQSKSFVAYLHTDSIVVPNELVQSWCLEDDRDCRLKLSNSLDFALKFNGVERKIEGVEKILSVVAINKFWPVLIDYTDLNCFTLTLFSDDGFQCDPSTVKESMDSHIEDGRVEDQQICDCRDYATLAVFGQTYARQPVFYEINENSIRRLTNRNKGDRKGFDIGDENLSSGTLWMTMGFKSYPFVFN >KZM93077 pep chromosome:ASM162521v1:5:2183615:2184622:1 gene:DCAR_016322 transcript:KZM93077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFQVTLLLLFYLLFDAVKASNFKLSRPQDAAPGAGPQFPWPPGAAPNNAWSPGGSPKFPWPPGTAPKNPWAPGAAPKPWPPGVNPKNPWPPGAAPMNPWAPGASPKNPWPPGANPKNPWPPGAAPMNPWPPGANPKNPWAPGAAPKNPWPPGANPKNPWAPGAAPMNPWPPGTNPKNPWAPGASPKNPWPPRASPKNPWAPGTAPKNPWAPGTAPKNPWAPGTAPKNPWAPGAAPMHHWSPGSSPHKPWPPTATPGPQGPNTDSPSDAPGSDSPSDAPGSDSPSDAPGSSPESADGQDSPSETNKSSAGTLPRLSFVVIAMIVSWTFFPTSIIC >KZM96438 pep chromosome:ASM162521v1:5:40966146:40966790:-1 gene:DCAR_019680 transcript:KZM96438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSQLCSVAQHSAPWIGAVAVLVTFASLFFYILLFLFFICLLLILSASTIFTLSKKSRIVGSDQEDSQSEEVEAEDVVKIASAMCNESVQEEQECQEEEIEVHEIFSSSDNVDDQFSTSAEDSDLDLDSPYDYSRSQELTDGSISDEESLIEIALPSGQYVSSPEFKLPPLSAEAMFKQHGLMELLAEFNEENLIEIDISMGSIMCPRFEIKA >KZM93004 pep chromosome:ASM162521v1:5:1620143:1623239:-1 gene:DCAR_016249 transcript:KZM93004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAFQTKGLLSLPSNPKPKSFNPQSNGLRQRLTPLNPLNPSKPTSLHGFSLSLNALHKVQGFITSPSQSKKLFSHVCRAEAAGADGQPLFGEAEAPKFMGIEIVTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKQALFYTVMLPFIAFFGAFGFVLYPLNGYFHPTALADKLLAALGPRFLGPLAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNLRKNLGPGVDGWALSLKGMMSIVVVMGLAICALYWWVNTYVPLPTRSKKKKEKPKMGTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQWIFNKYGWGMAAKITPTVLLLTGVGFFSLLLFGDPLTPALMSFGITPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLAAAKSLDTQFTALRQEEELEKEMERASVKIPVISQNEPANGSIASGPELRPIRGDSTGSS >KZM95412 pep chromosome:ASM162521v1:5:31914846:31915919:-1 gene:DCAR_018654 transcript:KZM95412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNAWFYKLKYSTRTTKSPNSMQKLKKNNHAKTKSPAYYSSLSSSSGGAAAASQRKSYHITRDLPPTPDKNSASQHSDSPRRSSRKRGSNRKKRRSIRSTSPRLLLSAPVSTDCSCRASHEDCQNDPLVESSYSEDDYSVFPELWSEKEDKPFHKMVSSCHCRAKSDIPIGMTMSKDSKCENFDPILQFELKPIITKINKVKKNDEEVSKIRMISATNDESISHSFYKEQKSVNSVKKLSFNTNSTGVKLKTDSPRTASKRFLQGHHRKSASLGGARRSLAESFAVVKTTQDPHKDFKDSMMEMITENNIRTTKDLEDLLACYLSLNSDQYHGLIIKAFKQVWFEIADMKHITRDS >KZM93675 pep chromosome:ASM162521v1:5:9142425:9143102:1 gene:DCAR_016920 transcript:KZM93675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAALPQAAPERAFHSPGYNNSTDSCSFFNCCFCCIFSLIFQILCALVVVVGLAVLIFWLLFRPNPVTFHVNDASLTRFDRPFTNDTLYYHLALNMTIRNSNRRIGIYYDQIEVQALYAGQIFGTTSVPGFYLGHKETEYLNPVFDGQSLVVLQGEDWSKFDMERQLENFSINIKLYLEVRLKMLLFTTPKYKPGIDCDLKVPLDSKGGVSGYFESQRCDFVWKR >KZM92870 pep chromosome:ASM162521v1:5:398672:403844:1 gene:DCAR_016115 transcript:KZM92870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSHVLDRSSPFTTPSSKILGLNKNLLFYPRTNKRFCVSCLEKGDSQIKKNGRTSPISAISDKMGRIVPEKPVKFKVRAVVTVRNKNKEDFRETIVKQLDAFSEKIGRNVVLELISSDTDPRTGTPKKSKEAVLQDWSKKYNVKAERVNYTAEFMVDSNFGKPGAILVTNKHQKEFFMESIVVEGFACGPVHFPCDSWVQSKKDHPGKRVFFSNQPYLPSETPEGLKALREKELRDLQGDGKGVRKLSDRIYDYDVYNDLGNPDRGIEFVRPTLGGNKRPFPRRCRTGRLPTDTDMHAESRVEKPLPIYVPRDEQFEESKQNTFSASRLKAVLHNLVPSIMASISSNNHNFKGFGHIDSLYSEGLLLKLGLQDDLLQKLPMPYVVSRIQESSQGNLLKYDTPKIISKDKFAWLRDDEFARQALAGINPVSIERLKVFPPVGNLDPEIYGPQKSALKEEHIAGNLNGMTVQQALENNKLFIIDYHDIYLPFLDRINGLDGRKAYATRTIFFLTSVGTLKPIAIELNLPSNGPDSQSKRVVTPPVDATSNWMWQLAKAHVCSNDAGVHQLANHWLRTHACMEPFILSAHRQLSAMHPIYKLLEPHMRYTLVINGIARQTLISADGVIESCFTPGRYCMEISASAYKSWRFDLEGLPSDLIRRGMAVPDPTKPHGLRLLIEDYPYAADGLLIWDAIENWVRTYVERYYTESSIICNDRELQAWYAESINVGHADLRHESWWPKLSTPEDLTSILTTLVWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPDERDPEYVNFLADPQKYFFSSISSLLQSTKYMAVVDMLSTHSADEEYIGERSQPSTWSGDAEMVHAFYRFSAEIGRIEKEIERRNSNPELRNRCGAGVLPYELLAPSSEPGVTCRGVPNSVSI >KZM94746 pep chromosome:ASM162521v1:5:25472219:25481528:-1 gene:DCAR_017988 transcript:KZM94746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISAKDLDPAFQGAGQKEGLEIWRIENFHPVPVPASSHGKFFTGDSYIILKTTALKSRALSHDVHYWLGKDTSQDEAGTAAIKTIELDAVLKGKAVQYREVQGHETEKFLSYFKPCIIPQKGGVASGFKHAEAEEHKTRLYACKGEHVVHVNEVEFVRSSLNHDDVFILDTDSKIFQFSGSKSSIQERAKAMEVVQYIKDTYHDGKCEIAAIEDGKLMADAESGEFWGLFGGYAPLPKRTATDDAQSIDAIPTRLFGSMFSYSVSEGHPKPMDAETLTRELLDSNKCYLLDCGLEIYAWMGKNTSLDQRKSASQAAEELLQSVDRPKTHVIRVVEGSETVMFRSKFDLWPEAPAVKEPEVGRGKVAALLRRQGVNVKGLMKESPAKEEAQTQPHIDCTGNLQDYNSVTGLMFPSNINEDQIQDVSYTYERTRVWRVDGEGKTLLSGDDESRLYSGDCYIFQYSYSAERGEEHMIGTWFGKQSVQVDRSSAISQASKMIETMKYLPTQACYYDGNEPVEFFSIFQTLMVFKGGLSVGYKKYIAENELPDDTHTAEGKALFRVQGTGPENMQAIQVDRVATSLNSSHCFILHDGSLVFTWFGNFTSSGDQDLLDRLLDWIKPDMQGTRVQKEGSESEQFWDLLGRKTEYPSQKVRRVSESDPHLFSCSLSADIFVWVGQQISSTKRKDALLIAEKFIERDFLLEKLSPHTPIYIVMEGSEPTLFTRFFSKWDSTKFAMHGNSFQRKLAIVRGSRSLDKPKRRPVLGGRSTASPEKPQRSRSVTVASSRDRPRQRGRSPAFNALAANFEKPSVRNLSTPPPAVKKLYPKPGTSDSPKLISKSMAIAQLKSQFEKPAKTSLIPRILKEATLMGEGNDLKATSNDNSFSSRIEALTIQEDVKEGEADDDEGLPTYPYEQLTILSMNPVTEIDVTKRESYLSPEEFNDKFKMSKSAFYKLPKWKQNKLKMALQLF >KZM93898 pep chromosome:ASM162521v1:5:11930764:11930967:1 gene:DCAR_017143 transcript:KZM93898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFLLKEIARSTAIYDLRTADDDQDYHHESENDGDVADEIDNDVQDDLDDEQDDSDDEQEHIPSVS >KZM93323 pep chromosome:ASM162521v1:5:4770748:4771791:-1 gene:DCAR_016568 transcript:KZM93323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLKMNVLTCCSNENNFKTWPFTPCPTNLRDSKFMGKCIGFSTDHTSFSNDNVKAPRNFSVRASICVSRSMRWWEKTLKPNMIEIHSGQQLVETLSNAGDRLVIVDFYSPGCGGCKALHPKICQLAELNSDAIFLKVNYEEHKKMCQALHIHVLPFFRFYRGDIGKLCSFSCTNATVRIHPIKPLSLSLSLSLSPSPSPSLMNYNNLLICWMQIKKFKDALAKYGTDLSCAGPAKGLDESELMRLASLGEISFDSPMLPSTSEELRMEDLVLSAFA >KZM96131 pep chromosome:ASM162521v1:5:38518907:38519158:1 gene:DCAR_019373 transcript:KZM96131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDSKQHNVPSQNSNMKHANQTLPASNRGTSSPKMAVFGGFAVLAAIGYTILYSHKKPEASAADVAKVASGTGDKKNTHPRK >KZM95250 pep chromosome:ASM162521v1:5:30539864:30546058:1 gene:DCAR_018492 transcript:KZM95250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSINDTVEKQSVPPGFVSLTSFTLKRLRDNQDSYSPKHDGIEHHQKTTSTGTASDIIETPKYKKNPGARPWILYNQPSHDVEEFESEQIPTNHESNPSLPKGVLRCGDCLKVTASWLPEAARIPVLKEASVFRPTEEEFKDTLKYVAKIRPKAEIYGICRIVPPPSWKPPELLKAKKKWVTEKFSTYIQEVDELKDRCSKSRLQKISSDLKSKTRTALRKGMEYSVGGCDGGTDEFECSDEGFEFDRGPNLTLENFKRYADHFKEHYFCKKHKAPDVDADLTLLPAEYTPEVKDIEGEYWRIIENPTAKIEVLCCTDLDSRTFGSGFPLSSNPIETKIHLKYIESGWNLNNTAKLPGSLLAFENHNTSATLVPRLHFGMCLSSHCWAIQLSLSELESEGIPVYRCVQLPREFLLIFPGAYYSGIDSGFNCSEAVNFAPFDWLPYGQNIVELYSERSRKTSVSHDKLLLCSAIKAVKALWKLTMKNKKNSAHILRWTRVCGKDGILTKAFKSRLRQECRRREYFCNSSPFQEMEKDFDSTIKRECIICLYDLHLSAASCPCSPGKYTCLQHAKQLCSCSWSDRVFYCRYKIHDLILLGDALEGRAGAVYKWASENLKMHIDSGVPYDGSPRASVLDNFVKAKHTEPKEHNSSAGPASDKLKGGGNITSGTITSKSAMNVTSPLQQTLPSTISLNNSGAVHASKTVDPKISSKGKEPFLSTSVDNPHAVIGLTSSAQTPLSQIFRNKQVAEQSPSPYQNNVIVLSDDED >KZM93088 pep chromosome:ASM162521v1:5:2279619:2281408:1 gene:DCAR_016333 transcript:KZM93088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKIENGTQLEILNLWYDWPNGISMSIVQKQLGKLLYGPEWNNGTSFFYALDSSEECRVTQFDVGIVRPNWIEGAKYVGQEYMDGFLCNVWNKVDFIIYYEDVVSKRPVAWFFVEGGGSEHIMTFEVGKVLDQSYWQAPVYCFDDAAEQKKNANMDILNSGAAVETGAYESFMRSSLGMKLKAAL >KZM96236 pep chromosome:ASM162521v1:5:39434048:39436865:-1 gene:DCAR_019478 transcript:KZM96236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRQSIKNQTDVSLTLAKHILLNNNNSHSNTVFSPLSVHVVLGLITAGSSAQTQKQLLSFLKAESSDDLNSLSGQLVDLVFADGSGSGGPCVSFANGVWIDESLALKPLFKQVVKGALMENVMESVLDCYLCRATEVTNSVNSWAEKKTNGLIKEILPSGSVDSSTRLVFANALYFKGAWTDKFDASTTKEDEFHLLDGSSIQVPFMTSKKKQLISAFDGFKVLGLPYKQGGDKRQFSMYFFLPDAKDGLPKLVEEVGSVAGFLERHIPYQKVEVGDFRIPKFKISFGFEASEVLKELGLVLPFSGDGLTKMVDSPMGQKLYVSSIFHKSFIEVNEEGTEAAAASAGVIKLRSLQMFDKIDFVADRPFLFLIREDMTGVVQFIGQVHNPLAT >KZM94458 pep chromosome:ASM162521v1:5:22713925:22717826:1 gene:DCAR_017701 transcript:KZM94458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGEMKLSKFGRICVFCGSSQGKKSSYQDAAIELGQELVSRNIDLVYGGGSIGLMGLISQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMARHSDAFIALPGLACISRQPFGIFNVVIGVLTGMCGYGTLEELLEVITWAQLGIHEKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIVSAPTAKVLVKKLEEYVPRHEIAASKLNWETEQLAYPPAYDIS >KZM95251 pep chromosome:ASM162521v1:5:30547033:30549557:1 gene:DCAR_018493 transcript:KZM95251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFWRSVLCCDDEQNTREANSEIYHDRSSSQSNKYHVITDTYGTFSRNILFLPNAQTASRIKSQDYPNSFKFPQKPNIPSAYNQPKQILPRINVNVFSGVNQEKTANIPTATNTNVGPKSISSSSYLLLPNPPSPKLGSSFSSSASFPVGAFSGSLKSPSVFTKPILACASTSTLNQTRSGYNVVEKSSTPNVIPEYIKGLITKDIIPGVLQLPLSPQAYKDYLAPQHYAEGYHLEAWHHSRKPGSSTITEACQYSEQQADVSAVLPNSSTKDKSSEHLQQSTSKRKQKRKIKLISRKPPTTLPTKDVNVEFDGSPGQSTVPAKRSLADYLHESGPRLVSLYGSTELYPTDEARCIIQGMVRAILNLKKDGKNHNYLGHPENLILGDNVCHPYSNYVRDLATEHVIRNRKILEQAGQDFASSTEVTLEKNRVDVKSDDLGALKYLIFAVILVLDDDKKPPLPAEWRSFKKLFSNTNLDYAVLSSHPCLWGYKKRLGFYFALDKWCNPFKSEKMVIDLVVDNLSSMHIFRTNWVKRIKKDSHLLKFTGRMTRHRQRKVYGLIRFFRNLVEHCPDKAPASSPYRKERYLDYMLRRMYPNLLEELHQRLLLAGFNY >KZM95983 pep chromosome:ASM162521v1:5:37228414:37230432:-1 gene:DCAR_019225 transcript:KZM95983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHSQLYKLYFLSWISKRSIKILQAGKKWGRFHFAKLFLCCNIIFTTFMEDKGLPLPKFGEWDVNDPASAEGFTVIFNKARDEKRTGGKADSPPKDGSNPSMFKRKTTFGKPQSRKWFCCVQSASAES >KZM96387 pep chromosome:ASM162521v1:5:40649405:40650645:-1 gene:DCAR_019629 transcript:KZM96387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLVKEALNQTLIFGKFLCLLHITDKYICSPIIVHGPSMLPTMNLTGDVILAEHISSRFGKLSVGDVVLVSSPENPRKTVTKRILGLEGDKVAFLVDPSFGSGNYRTIVVPKGHVWIQGDNIYASKDSRHFGPVPYGLIHGKVFCRVSTSFLHI >KZM95313 pep chromosome:ASM162521v1:5:31080101:31081923:1 gene:DCAR_018555 transcript:KZM95313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRLILRCNSSSSSGPGGPSSPGENENKTVLDAFFLGKALAETLNERIESTVGEILSTIGRLQAEQQKQVEDFQEEVLERAKRSKDKAAKEALEVQGLIPKSSAIEASTIDSTVPSVNETQSIVITDEDPKPTSTPTPDVPNDS >KZM96346 pep chromosome:ASM162521v1:5:40269701:40275345:1 gene:DCAR_019588 transcript:KZM96346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSSLLSPLSPKSLQPHLHLKPTTILPTPPRLKPPKLLNSLRTLCSNSPPPEALSAAPDFSQDFDVELGRLLSLLPEEMRCKISQHVEYQHLIEVVMDLGRKPLARFPSGDFVLSEYPVAIQDIRHATSLVGDFAIDNRAGISRTLHRISAIRNRKGEIIGLTCRVGRAISGSANRLTDLVRDGASLLLIGPPGVGKTTIIRDIARMLANDYNKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPNTDVQHKVLIEAVENHMPQVIVIDEIGTKLEAAAASTIAQRGIQLVATAHGVTIENLVMNPSLEMLVGGIHSVTLGDEEASRRGVQKTVQERKGPSTFTCGVELISKGELRVHRSLEETVDAILSGRFPKYEVRTINQAMSNEISLGPLHHDFVDTKAGLVSEDNLQSGEEILTYNQYNGIEENSLEDGPTVHLFLYGIAEASVIQAFKQLRIQHTVKFTDNISEADALLALQAKLKKNARLQAAAKSCATPIYVAKASSMTQLTKAILALMTDYEDGFENFAPEVNVLEKMDALEEARMAIEQHVIPKGEPVELLPRPSNIMLLQKDLIRKYKLQSERVGVDPDVRLRILPFQDGDTDSSGREESDDEADEIFSLNGESNGSPYSIDRLPLLPD >KZM93933 pep chromosome:ASM162521v1:5:12466277:12466576:1 gene:DCAR_017178 transcript:KZM93933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGGYNMQSVALAAVAMMVVLMVAEVHVTEAVTCSAIQLSPCLEAITKSKAPSAACCSKLREQKPCLCGYVKDPNLKKYVNSPGARKAASACGVTVRC >KZM94124 pep chromosome:ASM162521v1:5:16283021:16284914:1 gene:DCAR_017369 transcript:KZM94124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPSFRRWPSETVSVITLDCFKIQGISQTTNDVPTDDKVNPTDDMVTSIIRGVCNDIRKEFDNDLDATPQIMISNLKEALDDYNSKQEKCMRNLLYIYEQYPGSDSIDVMKNKFVKINEKATCFLYKNLVRGLLNETKTSSGDLEPFNGKFKKQSTILWDIVNSEQHDDNMVFFKWKSVFACKIDFMSLNLERWMNQVFVENIEDTMRLYDVRLEDLSMIFFPMIASNHHYVVVYDLRSPSMEILDNRRSDRTLLQLYGDQIDVLHKHFTMFLNKKKASKSIDYFSIVPERLEMEWQTVYNDVDCGVFVMHHMGTYFGGGTSSWDAKIKKESYEQVMQLNDLRQDMLHTILTSNNNEKSRELHELCVTFHGGNF >KZM96557 pep chromosome:ASM162521v1:5:41817135:41821652:1 gene:DCAR_019799 transcript:KZM96557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPQLWRLGIKDLQMMSAPRHRAHLKKPTWIIVLISLVSVFLVCAYVFPPRNTSACYVFSSSSCKVFADWLPPAPARELTDEEVASRVVIRDILNTPQAISKNPKIAFMFLIPDALPFEKLWDEFFQGHEDRFSVYVHASKGKPVHTSRFFLDRDIRSEKVVWGRISMIDAERRLLANALKDPDNQHFVLLSDSCVPLRDFDYVYNYLMYTNVSYIDKFRDPGPHGSGRYSEHMLPEVEKKDFHKGSQWFTMKRQHALIITADSLYYTLFRDFCKPGMEGDKNCYSDEHYLPTFFHMLDPAGIANWSVTHVDWSEGKWHPKSYKADDVSMELVKNITSITENVHMTSDEKKEIQIRPCLWNGERRPCYLFARKFFPETLDRMTMLFSNYTKHSLG >KZM95351 pep chromosome:ASM162521v1:5:31358773:31361009:-1 gene:DCAR_018593 transcript:KZM95351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSSYNLLKQIRVNTRPRLINNPNKYTNCLINRPNYANFFPSFCTGAESKRKENNNDNESANTTAACSEARKTKESGTITAAEARKLMRLVDVEALKVKLGTEGKEVISYRDLLQACRGYGVAKSDEEATVFARVLDDAGVVLLFRDKVYLHPDKVVDLVRRAMPLALLPDDDPKLEELKKLQERKAELDVMANKQVRHILWGGLGSFLLGVGLFFRLTFWEFSWDVMEPIAFFTTTFGAGIGYAYFLFTSRDPTYQDLLKRLFLRRRNKLIKKHGFDVARYMELQRQCKSSLDAHPDAKHRIVGGVELETRDLFYR >KZM94358 pep chromosome:ASM162521v1:5:21416674:21418396:-1 gene:DCAR_017601 transcript:KZM94358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYTSVQLHCLLVVITLGVALLSEMGGAVAKQNLPKALVPEKKLEAKIVEAMKQRESKGTSIKSFNSIILKFPKIDMSLRKCKATFQQFDEDANGVIDRQELKNCFRELKINFTEEEVSDLFEACDISDEMGMKFSEFIVLLCLVYLLKQDPTGLHVKSRMGLPELESTFETLVDAFVFLDKNHDGHVSRREMVEAINETTSGGRSSGRIAMRRFEEMDWDKNGMVNFKEFLFAFTRWIGIDNDDEEDDNVAENN >KZM94957 pep chromosome:ASM162521v1:5:27358701:27361630:1 gene:DCAR_018199 transcript:KZM94957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQLPRTHGHHYEPTDLSTNPPALQSGVEGHSEAHHEKPSVLKKVKAKAKKIKNTLTKHGHEHEHDHDHDHDEYEEDEDDEMVKDPEIHGAPIYESAAVRSATLSSGQPAAGPAGGTNLDRPSMVGPRYDSPFVDPETRPFVQWQADEEHGKHLLEEHKHAPLPCKLVDTRTGEDVYHELPHKGINTRTGRDVREERENPDQVGGRNVMEGIRGGIGSTCFDVDPQGPDIRTHPSNVQVKTIDPAGRGSEEAEGITPVIQSFNEMGISEEKPERERGEGGRLYTGSHNQFAPEPLPSQSSSYVPKSGNAANPQDMPGDIYDPASKSSSYSGKFISDSVPKSYDAANPQDLPEDTYEPSNKGSTYTEKITSASAAAASAIADTAQSAKNVISSKIGQMRQPGEGNKSGSVTGEGKSGSVTGEGNKSGSVTETASDYAHKIAATVTDTLAPVYGKVVGVGSAATSKLSGLGAETTQESGGNATSKVSGLGAETTQESGGNAGQDKGVSVKEYWVEKLKPGEEDKVLSTMITESFSKPKGEKTEEVPSGGGGRSISQIVSDAVHKREPEESEQHKPMGKVTESEEVAKRLGTGEEGSGLENIGTGAGVVNKLTGAIGSWFGGQGGRAQSTPGTTLADDNEGAMGKNAEGVAGERRLQESSN >KZM93314 pep chromosome:ASM162521v1:5:4687508:4687762:1 gene:DCAR_016559 transcript:KZM93314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDVRLGPHDGRFLDVPMHENEVEHPDQALEQGWGEPNGIGMTAEFMNNIEFYAAVAAPKVEIHDLVHEDELLDEDDAVVVLE >KZM93975 pep chromosome:ASM162521v1:5:13150186:13151247:-1 gene:DCAR_017220 transcript:KZM93975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GGPS-5 description:geranylgeranyl pyrophosphate synthase MSHLLSLSATISLTTTHSLHKSPKYPFHSLISIPNPRHKSSLSISSILAQESERPTSSPFDFKSYVLEKADSVNAALAAAIPLQAPATIHDSMRYSLLAGGKRVRPMLCLAACELVGGDVSAAMPAACALEMIHTMSLMHDDLPCMDDDDMRRGKPTNHMVFGENVAILAGDALLALAFEHVARNTKNVSSERVVRAVVELARCIGAEGLVAGQVVDVCSEGDSSVGLELLEFIHVHKTAALLEASVVLGAIVGGGCDEDVEKLRKFARCIGLLFQVVDDILDVTKSSQELGKTAGKDLVADKTTYPKLIGIDKSRELAKKLNKEAQEQLVGFDADKAAPLVALANYIAYRDN >KZM94858 pep chromosome:ASM162521v1:5:26509410:26512280:-1 gene:DCAR_018100 transcript:KZM94858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRKTGNGRPSGTDGSDFSYRMVVDSRYKKVAKAKSRLSFFIFSQAVVQLLGALLLFYSYSKDGSTDQLAVSATAISFFSLLFGEIGRKRSRVNMLKFYIVCSSLGMLLSIVSVLKSNILQEIVQDSTVWEAKKFELIGVGDVLVGLLVQILSISTTTSLISNMSPPKRAS >KZM96560 pep chromosome:ASM162521v1:5:41899421:41904001:1 gene:DCAR_019802 transcript:KZM96560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSESSSPVASEIQVRRRFRDLRGVQWRIDLGILPASFSSLDHLRQVTANSRRWYAALRRCLLIDPLIPKDGSNSPNLVIDNPLSQNPDSTWGRFFRNAELERMLDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLRHPEYGYRQGMHELLAPFLYVLHIDVEHLSEVRKTHEDQFTDKFDGFSFHESDLTYRFGLNSFSSYLEDELGPQKSPAKISSLSELDPKIQDIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMNGSGAVTMSEFFAHSPSGVPHNGIPPVIEASSALYHLLAIVDASLHSHLVELGVEPQYFALRWLRVLFGREFALEDLLIIWDEIFAADNSKLYSFAENDVGFGSGTLDSLRGAFISAFAVSMILHLRSSLLATENATSCLQRLLNFPEDIKLEKLIAKSKSLQGLAIDANNSSTQPAYNGGYRQNATTVVRGHSFSSDSGCPRAALPLVPESYWEEKWRVLHRAEESKEGGVGKKIPNRIKGWSEKVKLRLSRTESDPSPSNFIGGRRAPKSAVRRGLLKDLAQQLGSEEDVEKLASTEDVCQDVAEDIDNLGSGNNPTCISDDRCMNGNAGSEENSSIFSDPPSPIGGTNDQGNESESSVASNLSVGIDTDHVKAKPSMSNPDEVDSDHNNANSSRTDTDETDSNHNNVISRTNEEHLPLSVLNSQDDLNVMPAQKEDTLGKSNISLKERKLPSGKFHWFWKFGRNNVDGSSEKIGVSDTATASDAGCLKQSEVVSSTTETVTIRGDAVDQNLLVTFRNLGQAMLENIQVIESAIQNDRGQIGTQEKNPKNGFVGRGQVTAVAALKELRKISNILSEM >KZM93930 pep chromosome:ASM162521v1:5:12380413:12383112:-1 gene:DCAR_017175 transcript:KZM93930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIITPIIALISEFIGLVSKNINTAGDLDNRGTSLKTALEELTEAREDLESQVNQAESLGLTCTNQVKGWLKRAEKLEFEVRLMVQRVDQQEQDTVLCCCANCCSRYKLSKKVLKKINITKEFMEKSKALDMNWVDGNVIVPVMEIPSGPVIGMDVMLEKVYELLDKEEVGIIGVYGMGGVGKTTLLKCINNEFLTLNHGFNVVIWVTVSREFVAEKIQQAIMSRLGLSWDEAEDQEQLASKIYKVLKMKKFLLLLDDIWRGVDLEKIGVPIPKKENNCKVILTSRSMDVCSDMDAHCKLKVDFLREKESWHLFAEKVRRDDILNSQSIQPHAQAIVKKCRGLPLALITIGKAMANKETEEEWIAAKEMLNKSPSELRGMKDVFTLLKFSYEELANDTFKSLLLYCSLFPEDCSIEKELLVEYMVGEGLLLSSHEMGHALIGSLKVACFLEKGDQETQVKMHDLVRSFVLWIALDNGDDDMKFLVQPSAGLTEAPSVEKWERAHRISLMDNEITELSEVPVCQHLSTLLLQWNRSLSKISGGFFQYMPVLKVLDLSYTSIREIPKSISYLCELRYLDLSGTKLSTLPKEMGSLKNLRYLDLKRTHYLRTIPREAISGLSQLRVLNLYYSYVGWEIQDLTIENEIRFVDLEKLRHLAALGITVTEVEALEELFVFKNCIQFLYITSCERLYKLHLSLNPGDGKRLRRLSINDCSDLQYLAIGSKAENNWLPRLEAFALNNLPSLIKVWEKAVTPGALQNLRSITIWYCNKLKNVSWVINLPKLEMLYLFYCKGMEEVINVDDVEAGGVANTFLSLRTLSMRDLPCLTSISRWKMDFPSLQKIAVIECPRLKKLPLKSPEVSAPQTFTLYGEKAWWDELEWDEVGAQSAFLPHFIPTGQTN >KZM94207 pep chromosome:ASM162521v1:5:19006894:19009201:1 gene:DCAR_017450 transcript:KZM94207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSSSPRISVNREVKTCHQCRQHRSSATACKGQRKRKPCTLLYCQKCLLNRYGEKAEEAEASEVWSCPKCRDICNCSICRAKRGHLPTGNLSHKAKAAGYSSVSELLHAKGPENFGLVKNANESGASLKRRRASKKEIEAQGKKGKELVVFEGTVLDAQPLTAIPLQQYTMEELSYPSEDMMEEVGEAAEDVNAENDISSKIAVPDRPKNSDENGKEFVGVAENYAAGKKMFTDQPFLDSPENGKAMEEETERANQQVDEEADKKKPDNLVALGNKVDKLSTMITGIDKRIATMSDDFKNVSENVRKQATTSYAAQQDLIERVNLLQQSYNSCMETQTHILSLVMEISNRLGVSVDEVRKGEERLGEDSCGVEANQEKNDGDQEKDD >KZM93244 pep chromosome:ASM162521v1:5:3998429:4000469:1 gene:DCAR_016489 transcript:KZM93244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNSTSCALPSRSFFLLLSIAAIFSTTANAAPLLSTDESISKESPFAAKASLIRYWNKQISNNLPKPYFLLSKASPLSAVNTAFFSKLAASKNLNSHLSSFCSAANLFCLHSKRPPKQDDSAHFSFYSNRRFSNYGASRLSGDDSFKNYSGGNNFATASFASYSRGSTDHKEGFSSYAKDANVANSNFTSYAASTTGGSGVFDSYASTVNVPDLRFASYNSDANNHKLAFSSYVDDTNSGNEAFISYGKKGNAGPLEFTNYGTTSNVIGSTFTGYSESGNAANNSFKGYSSDANNPSNNFKAYGFGVNGGTDSFSSYRDGANAGADTFLSYGKNSNLEKSNFVNYGKTFNEGVDTFREYGKGSHNQLTGFKAYGLNTTFKDYARKGVTFSQYTKPSSSGDALVRKVRGKSENKRGFSGKFFREYMLREGKVMRMPDIRDKMPARSFLPRVISSKLPFSSDGLNELKRVFGASDDSGMEGVLVNALAECERAASPGETKRCVGSVEDMIDFATSVLGHNVVVRTTKNVNGSKKNVMIGKIKAINGGKSTLSVSCHQSLYPYLLYYCHSVPKVRVYIAEILDVDTKAKMNDGLAICHEDTSAWSPGHGAFVALGSSPGNIEICHWIFENDMSWTTAD >KZM94989 pep chromosome:ASM162521v1:5:27697747:27714477:-1 gene:DCAR_018231 transcript:KZM94989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFGMASGIPERRVRPIWDAIDSRQFKNALKLSATLLSKHPKSPYALALKGLILERMGKFDEALSVCLTAKDMLFSSASILIDDLTLSTLQIVFQRLDHLDVATSCYEYACGKFPNNVELMMGLFNCYVREYSFVKQQQIAMKMYKIVGEERFLLWAVCSFQLQVFCGSGEDKLLLLAEGLLKKHIATHNLHEPEALSVYISLLEYQSKFGDALEILSGKLGSLIVIEVDKLRIQGRLLARAGDYILAADIFERVLELCPDDWECFQNYLSCLIGDNSYWSKGAQNNSIHLPNSGDCKNLHLTEEMFDSRISRASDFALKLAEKASSDSIRCPYLASIEIEKMKLIYGRGDMDKLIEVMIQYFCRFGHLPCFASDVKMFLEVLTRDKKNELLEKLVESCGALVTEPKKLLGQSITVFKVRELIGDMCTLPATDIEGFAVEMVDIYCKNLPLSKDLDIQESVHGEDLLSMACNILVQLFWRTNHIGYMLEAIMILEFGLTIRRHVSQYKILLLHLYSHWCALPLAYDWYKSLDVKNILLETVSHHILPQMLMYPLWNDLGDLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQRSSQYLLAKIEEPILHLKQNADSIEKEETVLENLKCGTHFLELSSEIGSKSLTFNEDMQLRPWWTPTYDKNYLLGPFKGVSYCPRESTQTQIKQMEANALKTIERRSLVPRMIYLSIQSAALSIKDNIEANGSLPEHQVPSELRILLERYANILGFSFHDAINMVSNVQSGHNSSEAVSPNLIELMNFSVFYNAWILSSHEKGASLGEKNKSSSWQLVNSFLERQIVEKVRSMRSLLSTPCSDLPVVIQMVTEPLAWHILVIQSFVRSSLPTGKKKKKGGATEHSNSQLSHEIRDSILLVYSVIEQVITWLKEQLQKSVDEGLDMILSSLTTKETNEGPGRVFHVIETCVASASHTELGDRISGALRCWDPAGVGRKLISGQSTVLSEFLIICESKIKSLQTLKLQV >KZM96116 pep chromosome:ASM162521v1:5:38403294:38403578:1 gene:DCAR_019358 transcript:KZM96116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSFISLLEEGSIPEIEDMMFSQPTQSQSQPQTQNQPQLQKEALKLKKGKRSKNFLIQEDMLLLSAWLNNQTHTNYWARIWKYYKENKKKARE >KZM96066 pep chromosome:ASM162521v1:5:37861301:37877788:1 gene:DCAR_019308 transcript:KZM96066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKNADSSQASGGDNAPPPSEAASAPAPAPAPAAAPAQGENEHAQPDQQHHHAGNKVYKSGPLFLSSRGIGWTSWKKRWFILTSTSLVFFRSDPNAIPPKGSEANLTLGGIDLNSSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETSEDLTEWKEALEEALANAPSANVMGQNGVLKNDQSDAADAPTEHSKDREAPKTLVTGRPILLALEDVDGTPSFLEKALRFTETHANISGVKVEGILRQAADVEEVERQIREFEQGKKDFSPDDDAHVIGDCIKYILRELPSSPVPASCCTALLEASRADRSKRIPAMRAAIADTFPEPNRRLLQRILSMMQIVVSHKAVNRMSTSAVAACMAPLLLRPLLAGECELEHDFDMGGDSSIQLMQAAAAANHAQAIVITLLEEYNKFFGEGNDSGLYSDSEESGSESEELSDDESFEDDDDYEEEEEEEDEGTEGSYTESYEGSEHASSGTCTGTGDSEDYISDEKDAKSPKVDDKLEPRLKKSSLNHQMSRKKSLANGPRRSRRSNRWARTSEQRNLSMESIETSPDDRAEVERLEVTRADLINKIKEEEKANAALYEKLKKHKNSLHEQRLILEQDVERLQEQLLQERDLRAALEAGLEVPEDDHKLEDQLGIAAVSVTRDESNRADVDKDKKHDSKTMAHSDSVRSKHSGYPPTSPSNDSAAGKPSATYSRRQNSRGESGTSSNNALSKLTNRLNFLKEKRTQISEVQHSEKGRSHSYKGRSHGHPSQNSESHSSEISKGSDHHHTVQNSEKGASTEGSDRDKRSDTHASHLERGKSENMDKVRAAPSRTMSR >KZM96502 pep chromosome:ASM162521v1:5:41460566:41462056:-1 gene:DCAR_019744 transcript:KZM96502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLIPLLFFIFTLAADGQGSVGVNYGRIANNLPSAFKVVKLLKSQGITRVKVYDTDPAVLKSLSRSDIKVTVDLPNELLFATARHSSFANSWVQRNIVAYYPTTQIEAIAVGNEVFVDPKNTTKYLLPAMKNIHSALVKYNLDKLIKISSPIALSALQNSYPSSAGSFKPELLPVIQPMLDFLREIKSPLMLNAYPFFAYESNADVISLDYALFRQNNGVIDAGNNLRYFSLFDAQIDAVFAAMSALKYDDVSIVVTETGWPSKGDKNEIGASVENAAAYNGNLIKRVLAGGGTPLRPNTNLTVYLFALFNENKKFGPTSERNYGLFYPDEKKVYDISFNVDGLKTDRVDRLPVADMSVSAAGETWCVVNVEAGKDKVQRGLDYACGEGAADCHPIQPGSTCYDPNTLEAHASFAFNSFYQKKGRVAGSCDFEGAAYVVTQPPSMFSTRLKILLIYLSTMRMLVI >KZM93054 pep chromosome:ASM162521v1:5:2001298:2010371:-1 gene:DCAR_016299 transcript:KZM93054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEWTPYIRSYHPQQRFGTRVGPIICNNFVVHHQPHIAYKQFPVFKLYYRKSLDWKPYDIKFKKNSGSSSQNLLKHYKKEFEWWKAKKLAQELMKEKNPVLCISKQEPLVSEELIHNKEEEQFDYSDNEQQQKGPQFGGASGGSEHVSPNDAEQPEDSPVQDNFREQLHKRSIEESCDCTTSELQWVGLDDRISRLENIFNEIKSAKLCGTSGHEGILAARDAICTTEPRVHDARRNLGECITSTKVSSAGRHGSRRHIASGKRRGRPRNVMVELDVGILGATGHLHMHTRSESMKDSEGSSQKVVWDPWVQPTTQDSQGYAGCDSQGYSQGHCDDSHAGDFQDFSHFHSQAAGGSQKYSRTHFDDSHAGGSQDFGHLYSQGTGDCVATVFQQEPLVLNKHIQMSEKGLFDYSETEQKVEYKGHQSGFKNVSCAGQPEGSPVQDNLCEQLNKRPIPECYDSITSELQSVRLEDRIWRLENIFNEIKRVKLYGTSAGNLEM >KZM96026 pep chromosome:ASM162521v1:5:37512558:37517812:1 gene:DCAR_019268 transcript:KZM96026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFRVIAAAFFMLLLMAPAVFSLSSDRLVRVELRKRKVDPANRIAGSVKSIEGLGSRNDGLPGDFGHPDAAIVELKNYLDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSAKCYFSVACLFHPKYKSSHSSTYKKNGKSAEIHYGTGSISGFFSQDNVKVGDLVVKEQDFIEATREPGVTFLAAKFDGILGLGFQEISVGNAVPVWYSMVNQGLVKEPVFSFWLNRNAEEGEGGELVFGGVDTNHFKGNHTYVPVSQKGYWQFDMGDVLVGGQSTEFCSGGCSAIADSGTSLLAGPTTVITQINHAIGASGVISQECKSVVSQYGKEILDLLLSEAQPLKICSQIGLCSSRGTHDRSMIIESVVDMNSGKSSSGLHDEMCTFCEMTVVWMQSQLSRNQTEDKIIDYINELCNRLPSPMGESAVDCNSLSSMPSVTFTLGDKTFDLSADQYVLKVGEGTAAQCISGFTALAPPRGPLWILGDVFMGKYHTVFDYGNMRVGFAEAA >KZM94167 pep chromosome:ASM162521v1:5:17607984:17608493:-1 gene:DCAR_017412 transcript:KZM94167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSNILKGKRTKRQRPQSPLPFSVAHANFSSKYAGGDYVTSSTTSDEDSAGPGTTEEEEDMAKCLLLLAQVGPKNDSNFGLVPYKFTSKKYLETSISTNGKTGIYVYQCKTCNQTFPSFQALGGDRASHRKPKNVNAALENKSRLIISDEDEDQPPPFKRSSHLDRP >KZM95996 pep chromosome:ASM162521v1:5:37310351:37310755:1 gene:DCAR_019238 transcript:KZM95996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIERLRSYIGNVGAADGEFEQWCVADEQTPDVELQAALDWACGKGGADCSKIQRNQPCYLPNTVKDHASYAFNNYYQKFKNRGGTCYFNGASITTELDPSKHHHPHDIIRTIDYRSYNESSRTCTYDGFYLI >KZM93261 pep chromosome:ASM162521v1:5:4142524:4142778:1 gene:DCAR_016506 transcript:KZM93261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNGSHDKSWADQWDSNPDRFLNNSNVSHDSRGSKYGKKVNEGLGKTKAAAYVGFKKMKAATFVCSRWIKQKYQARANKSSPK >KZM94320 pep chromosome:ASM162521v1:5:20938536:20940302:1 gene:DCAR_017563 transcript:KZM94320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED-2 description:9-cis-epoxycarotenoid dioxygenase MATYATWAKPKILTSCPQREVKSQKAYRKNNVNCSLQSPSILHFPKQSPHIYQTPCVPTTIAQPKHQDSSSSNQWNFLQKAASMALDAVENVIAAREKEHPLPKTADPQVQIAGNFAPVPEQPVRHRLPVTGKIPECISGVYVRNGANPHLEPTAGHHFFDGDGMVHAVQFKEGAASYACRFTETERLIQERNLGKPVFPKAIGELHGHSGIARLALFYARGLFGLVDHSQGTGVANAGLVYFNNRLLAMSEDDLPYHVEITSSGDLKTVGRYNFDGQLDTTMIAHPKIDPDTKELIALSYNVIQKPYLKYFRVSPDGVKSDDVDIELADPTMMHDFAITENFVVIPDQQVVFKISEMIKGGSPVVYDKNKVSRFGVLDKYAKDGEGIKWVEVPDCFCFHLWNAWEDEESDEIVVIGSCMTPADSIFNECDEGLQSVLSEIRLNLKTGKSTRKPIMSSEDQVNLEAGMVNKNKLGRKTQFAYLAIAEPWPKVSGFAKVDLFTGQVQKFFYGDHKYGGEPLFLPKNPNSENEDDGYILAFVHDEKAWKSELQIVNAMTLELEATVQLPSRVPYGFHGTFISAKDLESQA >KZM95703 pep chromosome:ASM162521v1:5:34685830:34688528:-1 gene:DCAR_018945 transcript:KZM95703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITFTDLHTESGLKSLNDFLAGKSYISGDKISKDDVKVYAAVVAKPGDAFPNASKWYASVDSLLAKSFPGKAVGVSIASQSAPADTTPAKEAAKEAPADDDDDLDLFGDETEEEKKAAEAREASKAPAKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVEMPGLLWGAAKLVPVGYGIKKLTIMMTIVDDLVSVDTIIEDHLTVEPINEYVQSCDIVAFNKI >KZM95604 pep chromosome:ASM162521v1:5:33781412:33782035:-1 gene:DCAR_018846 transcript:KZM95604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREERSEVDDKKQKQIYDQMITVIEGRVEVSNKKQRREEKKGGPSTVSCDVCMDVISVTDSIHVPKCHHRFCGDCIGSHLQKKIQENVRQVKCPSSKCRAVLKPEFCSNLIPEKVYERWTNALTEIYALENAREIECPSDDCEGVFTVDRRGFGTKRLCSKCGGSFCLRCRSELLCTGFSCKICERTRRLNQNHRRNRGFKTIHHI >KZM95326 pep chromosome:ASM162521v1:5:31181581:31183066:-1 gene:DCAR_018568 transcript:KZM95326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKAGLDGYLHPDEIASNLPTKNPEASGMLDRMLRLLTSHSIIKCKLVKKYTSNALLTRAYGLTSISQYLVQARDGPCLVPYHKFNNHKELHNCWYKLKDAILEGGVTFQKAHEGITFFDYLEKDTHLAELLSHAMDGSIATSMAILLQTYRGFEGVKEVVNVGGAHGATLSCIVAMNPHLKGINFDLPHIIKNAPAMPDCQRVLHDWTDEESVKILKKCHEALPDHGKVVIMEMIPTELPENDAIAKNTSQVDIHMMIFTRGGRERTAKEFHMMGRKAGFASSELICRADLYGVIELYKKM >KZM93797 pep chromosome:ASM162521v1:5:10886957:10889784:-1 gene:DCAR_017042 transcript:KZM93797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESLSLSSIPVAPKASTRLSQMQSRCLLAKRNGMIYCQSGKNVVKTSKVSSVLTDRSTVISPDNGSALLDAAGSLVLNPNRNGQAEIVAKDLVPYNGTLIDVHEKGIGIVKFLQGKGLLITGATGFLAKVLIEKILRTVPDVGKIYLLIKAKNKEAANERMKNEIINTELFKCLKQKHGKSYQAFMLSKLVPVVGNVCESNLGLDDDMATAIMHDVDIIVNSAANTTFDERYDVSLDINTGGPSRVMTLAKKCTKLKLFLQISTAYVNGQRQGRVMEKPFCVGDSIARESHTFGKPGTSNLPKLNVRDELEFVLDPKQGLEGNALAQNMKALGSERAKKYGWQDTYVFTKAMGEMLIDNLRGDIPVVIIRPSVIESTYKEPFPGWMEGNRMMDPIVLYYGKGQLTGFLVDPNGVLDVVPADMVVNATLAAMAKHGSAAKSESNIYQIASSVVNPLVFQDLAELLYQHFNSLPCVDSKGRPIHVPAMKLFKSMDDFSSHLWRDTIHRIGLTDLPNPNKKDSRKLENICRKSVEQAKYLAKIYAPYSFYGGRFDNSNTERLMACMSEEEKQIFGFNVGSIDWKDYISNVHIPGLRRHVMKERGMCS >KZM94201 pep chromosome:ASM162521v1:5:18527240:18532785:-1 gene:DCAR_017444 transcript:KZM94201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGCKSTLFNAGIVTPATCNNAISAPPYYRYDNSYCSFRSSYYNTYSLNLKKKKKKKKRLQCACCSLVLPLLPFPLEQVLVPSETKTLHLYEARYLQLLEESVSRQKNFFVHFVLDPIVVGYATSGPSFAARYGCLSVIEKVERLDVGALVSVRGVGRVTIAKFEQSEPYLKGMVLPLQDNVPDDLSNISKKVLELKKALHSLNSLEIKLKAPKEAALQTQTANSLSWSEKEFLVDCEKYFLPSIAERVSFAALQPISGTSQSELLELQKEKLNAMDIKETLERLEMSLKLANNNISVVVAKLAIQSLNLQ >KZM94619 pep chromosome:ASM162521v1:5:24355394:24357425:1 gene:DCAR_017862 transcript:KZM94619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVGKLGRCFAGDVSGRHRDVYIHPTQPLDVDLGHSFCYIKPGSSNVYSDQLSLVQFQTISGACISANSYTPLTTTLSDPFSCTSTAIFDKASSFESSRSFASIPLQPVFNNSSAVLGSGPIERGFLSGSIERNFSERNQASTDHLTRSKPKCIMKSLKKAMSKSSILSGGKRVISCSGIGSTSSDFSGNEVTVVDDEEGADGFQDQKVEWAHGKAGEDRVHVVVSEENGWVFVGIYDGFNGPDATEFLLGNLYSNVYKELKGLLWNEKSESTAEGACINSNKASSGFAKYGSKSVKHSDVLKALSQALRKTEESFLEITDMMVKDNPEVALMGSCVLVMLMKGDDVYLMNVGDSRAVLGQKLHLERIDEKKSTDLEIFKFDAFSSVSSLAALQLTFDHCTSVQEEVKRIKREHRDDSSAIVKNRVKDGLYQYFTNEQAVAQVEVFMSLFPEGDPAQHLVEEVLIRAAKKAGLRFNELLNILQEDRRKYHDDVSVIVISFEGRIWRSAV >KZM93907 pep chromosome:ASM162521v1:5:12065328:12066050:1 gene:DCAR_017152 transcript:KZM93907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQQTSITFQCRSPSAQYTPTKTHTLSFSGGLRLPKLTLNVKSRRRGGAKMSDSVASSYANALADLAQSNGTLEATAKDLETVNQLFSEEAVYKYFINPTVSVEDKINLVDSYTKEANLQPHVANFLNILIDMKRIDEIKAIAKEFEVAYNKMTETELAVVTSVVPLDEANLAQIAKGVQKLTGAKNVKIKTAIDESLVAGFTIRYGNGGSKLIDMSVKKQLEEIAETLEVGDIQLVG >KZM95952 pep chromosome:ASM162521v1:5:37005559:37009475:1 gene:DCAR_019194 transcript:KZM95952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFVNDPSFKVKLEDNKEAVLESSEVNKSIDSLGALYIPEIDDLSLSLADSFLDFDCLKDYIEENQEPFMAGVKQELGMVGGEVLDSGVVGESLEMGQDGSFGGVGVEDGFREVGSDVGRDGFQEVVGKSFEVGREDSCRETNGEDGVGKFGSLIEEEMGKVSLDESGGNGVGEGLGDIEKVVMCEMEDGLEGLERSDKLVGVEGSELERGEMGNVECGGEKSCEIDGAEGGGIEKPCFNGKLGDSGNTLTIDGVGTKIGGNMSDEDDSESESESETSGSSSSSSSSSSSSSEDDDEDDDDVKEIVGTQVIVEGVEKHDPLNEGSILWITEKRSPLGIVDEIFGPVKNPYYIVRYNSENEVPVGIQQGTSVSFVPEFVNHILNNGNLYKKGYDASGENDEEISEEAEFSDDEKEAEYKRLQKMSKRGPKDQTLENRKRNKNKSKRRGGQWGGDQHSSAKEPNERVVQAPSIQNQHFRPPLSVNQGNSSNSMRPVQAFAGGPGLVPVFPQAPQVPGFVAPSSGVWMNGIPCQPPQSTFSNGPTPNNMLQLQQNQAQQPYHMPPFNGMPLQQFSTGQMMPPNFVFPGGHPGFSMGAFTPMNQMMFSQNNFGQLQAGASQNNFSQLHVAAAQNNFNHSQAAMNMQQQHAINMQGQLPMNFGQSNAAVGMQGQHAPSIVNNGDQGVLPKENQNEQNGSSQPLPATPPSTGGPQNFSSGVKFGRGRNSYQRGGGRFGGRGGRGRGRGRQQPR >KZM94734 pep chromosome:ASM162521v1:5:25361609:25364202:-1 gene:DCAR_017976 transcript:KZM94734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASQCVLKLYSDGGIAILNKSETQQLTRFSAHFISNAEQSQSCNFATFLPNTEDTPLQRAEWIKYLGTFANMEFRANKVYDAVKANYLCLAKAAANKTTSFKPVVAWLAFNDYVEDAGGENIDKSINKATYNNTIPDDCEDFHAILCTVDVVIDETYTSDPWHYNVSTFLQNINVEDRSCFAFITKQSLWRYDKRLQSANSLVPGHTAVDFEKVVQQYVYKQEILSTNGEDGAFNLLVEKTTMFSPKILQEHNVPVCKVVQMPGEFVITFPKAYHAEFSHGSVLNICGSPASCFNCAEAVNVATGD >KZM93981 pep chromosome:ASM162521v1:5:13243836:13244114:-1 gene:DCAR_017226 transcript:KZM93981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWGERDGTKTRYVNFYKAKKTRSAHGENKDGTRVKTPATKLRGTTLPYFSTCKLLFTSGLNLRMATVGGYRFTVSRRYLQPFVGPVIFAFL >KZM92995 pep chromosome:ASM162521v1:5:1543363:1543785:-1 gene:DCAR_016240 transcript:KZM92995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPFSGSTDLGKLIKDSTVKMDLDGSIWTGFVIGSVRNRTLVLSVAHDFLRAGQWLTVDEIQKRVKVYFHNDSFAYPAIVARYLERSDMVVLIVHGKTATSKLTFPPRSRNRELLTESELLGTRGHPKDGQEWTFVHGL >KZM93655 pep chromosome:ASM162521v1:5:8951662:8959724:1 gene:DCAR_016900 transcript:KZM93655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDLNAQLNKKVLGSHLWVVICIGVSAFIVLILCILSLWVTCRKRSKRTNKKYNNPQIPFVSKDIRVDSVGVQNLNDHPERLVVTVPDKSSDKTSENLLAHLGRSKSSDADSQCSSLYNQERPYSSQSGEEGSSGTVRKQPSYVTASPLIGLPEMSHLGWGHWFTLRDLQLATNRFSAENVIGEGGYGVVYHGTLVNGTEVAVKKLLNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGVHRMLVYEYMNNGNLEQWLHGAMRERGSFTWDARMKVLLGTAKAYVAPEYANTGLLNEKSDIYSFGVLLLEAITGRDPVDYSRPSNEVNEMASGDLNAQLNKKVLGSHLWVVICIGVSAFIVLILCILSLWVTCRKRSKRTNKKYNNPQIPFVSKDIRVDSVGVQNLNDHPERLVVTVPDKSSDKTSENLLAHLGRSKSSDADSQCSSLYNQERPYSSQSGEEGSSGTVRKQPSYVTASPLIGLPEMSHLGWGHWFTLRDLQLATNRFSAENVIGEGGYGVVYHGTLVNGTEVAVKKLLNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGVHRMLVYEYMNNGNLEQWLHGAMRERGSFTWDARMKVLLGTAKAYVAPEYANTGLLNEKSDIYSFGVLLLEAITGRDPVDYSRPSNEVNLVEWLKMMVGDRRAEEVLDPNLEIKPPTRALKCALLVALRCVDPDSQKRPKMSQVVRMLEADEFPHREDRRNRKTRTASMEVETPKESGNSAEVDCRSDNQRSDTIHE >KZM92903 pep chromosome:ASM162521v1:5:656530:656754:-1 gene:DCAR_016148 transcript:KZM92903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNNNKEMQQNTVVSSPFGNSMPLNQSGEQLGGGGQQQAGYGHRGQFQMSQYSAAHAQAIVRPQLHVQSNASC >KZM95756 pep chromosome:ASM162521v1:5:35266638:35267108:-1 gene:DCAR_018998 transcript:KZM95756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDEEGSDFARALQVSSGTTLGMVTTAAMQLNLFEIIAKASTVNGTSPFGHDAKRLSPDDIVAHLPTQNPTAPAMLERILRFLAANSILNRIVVAGEDGKEKSLYGLTSVCKYYVSDEDGVSLAPTLVMIHDKVMIDSWYVLMSCSLFKLFRYA >KZM93167 pep chromosome:ASM162521v1:5:2999146:3001171:1 gene:DCAR_016412 transcript:KZM93167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPEITPLSQVEIPLIEDQEEEKDETSLLLDESIHKLYTSFKYLGFNHTSLYHTSLSCISFSILSVIVPLLIIFYSYCSDCDQFQIRFFEIEILVFQTIAAAVSLFCISHNLRKYGLKILLFVKLHYHQHLIHDYVHKIHVFYRTLATWMVVCFLLKTAREITRIVYSHEEPWWQSVGCLIASLLCWTYSTLLFLSGTGLFCLVGNLQVIHFENYGKLFEKDLDVSVYIEEHMRLTYYLSKISHRFRIFILLEFLVVTASQFMALLHTTGNKGVLNFINAGDFVVLSIVQLVGITLCLNAAAKISHRAQSLGSVASRWHALATCNSNTAQSGGAPNGVLANPVGNISRNQSESDTDSIDYIPLPTNMQPTSRMSSYQRRQSFGMIPQLLHHCPHPIAKIALR >KZM96413 pep chromosome:ASM162521v1:5:40809560:40815405:-1 gene:DCAR_019655 transcript:KZM96413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATATPLCVFLSLILLSLLHAPTFAREKHSYVVYMGAHSHGKSVSSADLDRVTESHYKFLQSFLTRTEEAKDCIFYSYTRHINGFAATLDDEEAAQIARHPNVVSIFPNRGRKLHTTRSWGFMGLEDHGVVRPSSIWKKARFGEDTIIGNLDTGVWPESESFSDEGLGPVPSKWKGICQNDGDPSFHCNRKLVGARYFNKGYAAVVGSLDPVYDTPRDIEGHGSHTLSTAGGSFVPGANVFGYGNGTAKGGSPKARVAAYKVCWPPEGGNECFDADILAAFDVAIDDGVDVLSVSLGGDAVGFFNDSVAIGSFHAVKHGIFVSCSAGNSGPDAGTVANVAPWQFTVGASTMDRQFPSYVTLGNKIRFKPHVLCIGNGPGVWPESESFSDEGLGPVPSKWKGICQNDGDPSFHCNRKLVGARYFNKGYAAVVGSLDPVYDTPRDIEGHGSHTLSTAGGSFVPGANVFGYGNGTAKGGSPKARVAAYKVCWPPEGGNECFDADILAAFDVAIDDGVDVLSVSLGGDAVGFFNDSVAIGSFHAVKHGIFVSCSAGNSGPDAGTVANVAPWQFTVGASTMDRQFPSYVTLGNKIRFKSFYSFSELCKAGSLDSTKVKGKILVCLRGDNARVDKGQQALSAGAVAMILANNEVSGNEIIADPHVLPASHITYTDGLAVYEYIQSTKSPVAYLTRPTTQLGTKPAPFMAAFSSTGPNLVTPEILKVLQEYLILDFTSSARTQDNNMHAMTNASHLKASPFSYGAGHVQPNRAMDPGLVYDLTVDDYLVFLCSLNYNQSQITLFSEEPYTCPKHIILMNFNYPSITVPNLKGSITVTRTVKNVGSPGTYKARIVRPPGITVAVKPTSLKFGKIGEEKKFKITMKLKQRSAAKDYVFGKLIWSDGKHFVRSPIVVKAF >KZM95939 pep chromosome:ASM162521v1:5:36917219:36923728:-1 gene:DCAR_019181 transcript:KZM95939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETHLPLLRGEGSGRLRDRIPAFSRRSDAIAYGSPYQKAAALVDLAEDGIGLPEEILDDEASFAEAAKFYFVFIQFDLIWSLNYFALLLLNFLEGVTLLILVAHMFFPILYEGFKIYWKSHLNKLKIIFLLILVADVIVYVLYLSPLAFYSLPFRIAPYVRVVFLILNVRDLRETLVVLAGMMVTYINVLLLGLLFLLFSSWLAYVIFEDTVQGTTLFTSYTSTIYHMFILFTTSNNPDVWIPAYKASRWYSLFFILYVLLGVYFVTNLILAVVYDSFKGQLVKQVVAKDRIRTGILRKAFDLIDSDKSNYLKKEECVNLFKELGSYRTLPDISEEDFGLIFDELDDSHDFRINFLEFTDICNAIALRFQKEDSLPWLEKFPFYRATLSEKLKSFVRDPKFEYVVIFILLLNLVAVVIETTLDIQDSTGQNFWQQLEFVFGWLYFLEMALKVYSYGFENYWKDGQNRFDFIVTVVIVVVETTTFVSPKGLPFLSNGEWIRYLLIVRMLRLIRLLTFVRRYRAFVATFLTLIPSLMPYLGTIFCVLCMYCSLGVQIFGGLVNSGNPHLSETDLADNDYLLFNFNDYPSGMVTLFNLLVMGNWQAWMQSYRELTSAWSYIYFVSFYLVTILLLLNLVVAFVLEAFFAEMEIEDAEKCRDKDDEGEGKETRRRSAGTKTRSQRVEMLLHHMLSSELDQHAQCADNA >KZM96032 pep chromosome:ASM162521v1:5:37551086:37560529:-1 gene:DCAR_019274 transcript:KZM96032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHKTKYDRQLRIWGEQGQAALEKASICLLNCGPTGSETLKNLVLGGIGSITIVDGSKVEEGDLGNNFMVDESCIGQSKAKCVCSFLQELNDAVKAKFIEENPEELIKTNPSFFSQFTLVVATQLVEESMVKLDIICREANVMLIFARSYGLTGFVRISVKEHTVIESKPDHFLDDLRLNNPWPELTRFTETIDINVPDPVVHKHTPYVIILIKMADEWAKSHGGCLPATRDEKREFKEMIKSRMIAIDEDNYKEAIDASFKVFAPRGISSSLLHVINDPSAEVTSNSSDFWIMVAALKEFINNEGGGEAPLEGSIPDMTSSTESKIYQAKAESDMLIVEQRVRDILKKIGRDTNSISKTKIKSFCKNARKLAVCRYRLLEDEFNSPVQPELQKYLTDDDYSIAVGFYVLLRAVDRFVTNFNSFPGQFEGVMDEDISRLKATAVGLLNDLGCNSSTLTEDLINEMCRYGAAELHAVSAFVGGIASQEIIKLITRQFIPMSGTFIFNGIDHKSQLLML >KZM95978 pep chromosome:ASM162521v1:5:37192109:37194234:1 gene:DCAR_019220 transcript:KZM95978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWLREGVGGLKTQLVRHFSRKCAPNLRKINPRVPPQEANSIAEGLYQVIKDHGPLTVPNTWNQVKWIEQQNTHEANVKVDEGKKDAKAVMQPVGTGDLPFDHNLPDLKIADVLYLVVFPGEGKFVPEEEPFQGNPPSVLSFIVE >KZM95525 pep chromosome:ASM162521v1:5:32984872:32986196:1 gene:DCAR_018767 transcript:KZM95525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQIPQIPGIPPIHRVAVGHYDEFTHPGALKAAAAEFFSTLIFVFAGQGAGMAYTKITHGAPTHPSGLVAAALAHGFGLFVAVAAGANLSGGHVNPAVTLGACIGGHLTVVRAVLYIIAQLLGSVAACFLLLFSTGGMTTSAFALSGVSVWSAFVFEIVMTFGLVYTVYATALDPKKGEVGIIAPLAIGLLVGANILAGGAFTGASMNPAVSFGPALVSFDFTNHWIYWAGPLVGGALAAIVYQLIFISESHTHEPLPSHDF >KZM93455 pep chromosome:ASM162521v1:5:6590455:6592294:-1 gene:DCAR_016700 transcript:KZM93455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSLSLCKSNTRTLILNDSRIINKPHFKSHINTSTIFNKQRKTRNQLSVVAATEGSAKKSQQEDQQTPIPSWAQPDSEEPPPWARNEAQLQTSSAFELPFYVYLLASAITAIAAIGSVFEYINQKPVFGVLGTESIFYAPILGFFAITGIPTSGFLWYKSVEAANKEAEEQDRKDGYR >KZM95215 pep chromosome:ASM162521v1:5:30269597:30271147:1 gene:DCAR_018457 transcript:KZM95215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYPDLSYSLLYCLITVLLFYLINNFTSIFKCLFPSEASKLPRSFPIIGSYFSIIKNIPQFSVWTANIVNSQPSSTFVLHRALGHRQVITSNPANVKHILKTNFHVYQKGTFGSRVMHDFLGHGIFNVDGDTWRFQRQLSSHEFNTKSLRKFVGTVVDTELCDRLIPILFKAAKEEESLDFQDILQRFAFDNICNIAFGYDPEYLLPSLPDVKFAVAFENATTLISKRFQYIIPLVWKVQKFFDTGAEKVLRKSIEEVRDFARKVMMEKREVLQEKSKLQSVDLLSRFLSSGHSDEVFVTDIVISFILAGRDTTSAVLTWFFYLIAKYPHVENEILAEINDKKFEKNSESSAYNEVKDMIYTHAALCESMRLYPPVPTDNKQAMKDDVLPDGTHVYKGDRVLYHPYAMGRSEKLWGSDWPEFRPERWLERDTVTEKWCVISRDQYTYPVFQAGPRVCLGKEMAFLQMKRVVAGILPAFRVIPVIEKGKEPVFISYLTAKMQGGFPVRIQPRVSNT >KZM93900 pep chromosome:ASM162521v1:5:11950233:11950810:-1 gene:DCAR_017145 transcript:KZM93900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIRYYCSRGRKRVPLGPVPPISKQNGGKSESVPSFSIAWT >KZM94303 pep chromosome:ASM162521v1:5:20785675:20786471:-1 gene:DCAR_017546 transcript:KZM94303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQYDNRMHCWIPSPIFVEMDKAFVKGGLYAIGNFLITPYTGKYRCFEAGLHIVFTITTVISPLIEPFCSIAEEVFNFTNLKNIPAALEHDSHLIVRFWDHFALHFDTLYNEATVRPVIITISSCKMNRNNYSGVTTLTNMPATSIHMNGNCPRAATLRHRFWEVNGL >KZM95420 pep chromosome:ASM162521v1:5:31965851:31969452:1 gene:DCAR_018662 transcript:KZM95420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRASPESNLDFFGSVSEELVFLILDHLQDSPFDSKSFSLVCKSFHKLESLHRKTLKPLHSKHLPKILNRYPCITDLDLSLCPRITDESLMGIALIYKKMLRSINLSRSKSFTHLGLSSLVKNCSSLVDIDLSNAEDLKDSSLAALAEAKNLERLCLARCKLITDIGIGCVAVGCRNLRFLSLRWCLGVGDLGVGLIAVKCKEMQSLDLSYLPITEKCLQQVVKLEHLEHLVLEGCIAIDDDSLADLQLGFKAIKNLNISSCENVSHVGLKSLIIEPLQQLNLAYGSPVTLALAKSLQKCSTLQSVKLDGCQITPCGLKAIGNWCASLKELSLSKCMGVTDEGLSSIVTTHKDIRKLDITCCRKITHRSIVQITNSCSSLTSFRMESCTLVPRESFVLIGQRCHFLEELDLTDNEVDDEGLKSISRCSKLSSLKLGICLNITDYGLSHIGMSCSKLTELDLYRCAAITDKGILAISDGCSNLRMINIAYCNDITDISLISMSKCSKMHTFESRGCPLITSLGLAALAVGCKQLTKLDLKKCYRIDDLGMVPLAHFCQNLRQINLSYSSVTDVGLLTLASLSCLQSLTILHVKGLTPSRLSAALLACGGLTKVKLHASINSLLPLPFFRYLEARGCSFQWRDKEFQAELDPKCWKLVRDDTE >KZM95578 pep chromosome:ASM162521v1:5:33566319:33567250:1 gene:DCAR_018820 transcript:KZM95578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKTLESNPKRKAEIEHVDLTGDEVLSSLTTKKKKIDTTGSNKKPKPTKKPENSNKVAKTNKKTGDSSRKVDASNRTAKTSKKTGDSSRKVDESKKKEKAAKKPEKKVKFESPVKTSGKKEEAEEKKVKKSKPKKEEVVEEEGNGPLYAFPMNRVTRIVKSESSDVKLSAEAAFLFNKASVGISI >KZM95602 pep chromosome:ASM162521v1:5:33768116:33769571:1 gene:DCAR_018844 transcript:KZM95602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSVQEDEWDTDGFEIPSLGMGGSEQGEGIIDIPAAEELKSSSFKGNKEEKIYLGPHGAPPQQPKQQELNSYGRKQKFKQKLKEADRKNSGSGRENKLENLRDLVGGEKTVVNVSKNSPRDWLDPHCHESEFEKHRVQ >KZM94511 pep chromosome:ASM162521v1:5:23128912:23130844:-1 gene:DCAR_017754 transcript:KZM94511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTMKQSIQKRDDTPLHFAVRTQNLELALEILAKSEDIELKELMCKQNYSGETALYVAAEYGYVDFVKEMIKYYDIADASIKARNGSDAFHIAAKQGNLGISSAILMDALPELSMTFDQSNTTALHSAAAQGHTEVVKFLMEKNSNLVTVGKSNKKTALHSAARNEHLEVVKVLLSKETEIALMTDKKGQTALHMAVKGQSVEVVNELISSKPDLINMADNKGNTPLHMATRKGRTKAHLVVQIIRALLSHKEILDKKALNKSGESAFDTAEKTSNSEIASILREYGVQSAKTMKLKPAPAPTTAKELKQTVSDIKHEVHYQIEHTRQTRKQMKGIGKQINKMQLEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPKEATQEKILGEANIAPKPQFTIFLISDSLALFISLAVVVVQTSIVVVEKKGKKQMMAIINKLMWLACVSISVAFLALSFIVVGEDQRWLAVAVTVIGTLILATTLGTMIYWVILNRIEASNLRSIRRSARSSKSQSFSQHYTLSDSENNDYKNLYAI >KZM95288 pep chromosome:ASM162521v1:5:30841658:30844658:1 gene:DCAR_018530 transcript:KZM95288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARTIWIVSSSALVVVLAFTGAIFIILKYRKGSRPSNSIGPVTTSSVNKRSGIKSMLCSATSSASSSPVSGMPTSMLFVKKFSLAELVKATDNFSSTRILGEGGFGCVYLGVLEDQTEVAVKLLIKDNLNGDRDFVSEIEMLSRLHHRNLVKLIGICTERHTRCLVYEVVPNGSVESHLHGHLLVKSDVYSYGVVLLELLSGRKPVDMSRPPGQENLVTWARTLLTSKEGLQQLMDPTLAGTYEFDDMAKVAAIASMCIHLEVTHRPFMGEVVQALKLIYNDSDETGGELSTQRDSSAIGSDLKGDFVTSDSSWWNAGGLSPQLTYGSATPFVTMEYSSGSLGEVEKRLFSTSSFNRDRFSLPIRHENMSGPLRTVRSKPSSHRLKRSKSEHGILSNLV >KZM94225 pep chromosome:ASM162521v1:5:19525730:19526881:-1 gene:DCAR_017468 transcript:KZM94225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIPYQMISNLRPDAATDWRLQVRLTRMWRNMNRNAETVSVNFIFVDALGGRIHAWMPPQHFQQLENNFLEVETYVVRRFVVRRYLPMQNGRCFENDIYIQLNHMTEVFVIGGVDYIPPHVFQFTDFDAIVDAARQNQYLIDVVGILQAVGPITPFRNKYNVQENSIQFTITDMHTSTKVIFYNEMAQSFDQAVRDAVRHPIIVIISSCKAKFMPDEPKLTDSAPTRFFINENHEAVEDLRNALRLADYFHN >KZM96168 pep chromosome:ASM162521v1:5:38821880:38826532:1 gene:DCAR_019410 transcript:KZM96168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIHGHEEPKALKDLNGQDCEICGDEIGVTVDGDLFVACNECGFPVCRTCYEYERREGTQVCPQCKTRYKRLKGSPRVEGDEDEEDVDDIEHEFNIENELNRNKNIAEALLHGKMSYGRGLEDDDDNINAQYPPVIAGRSRQVSGEFPISSQAYGDQGLPSTLHKRVHPYDPVSEPGSARWDEKKENGGWKDRMDDWKMQQQGNLGPHEADDLGDTDIAMLDEARQPLSRKVPIASSKINPYRMVIVTRLVVLAVFLRYRILNPVNDAFGLWLTSVICEIWFAVSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNLLCPVDIFVSTVDPLKEPPLVTANTVLSILAMDYPVNKISCYISDDGASMLTFEALSETAEFARKWVPFCKKFSIEPRAPEMYFSLKIDYLKDKVQPTFVKERRAMKREYEEFKIRINAQVAKALKAPAEGWIMKDGTPWPGNNTKDHPGMIQVRVSAVLTNAPFMLNLDCDHYLNNSKAVREAMCFLMDPQIGRKVCYVQFPQRFDGIDRSDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYAPPKGPKRPKMVSCDCCPCFGRRRKLEKYSKDGVNGDSIQGFNDDKELLMSEMNFEKKFGKSAIFVTSTLMVEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLWYGHKGGKLKWLERFAYVNTTVYPFTSIPLLAYCTLPAICLLTGKFIMPEISTFASLFFIALFLSIFTTGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKILAGIDTNFTVTSKASDDEDFGELYAFKWTTLLIPPTTLLVINLVGVVAGISDAINNGSQSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVIIWSVLLASIFSLLWVRIDPFVLKTKGPDVKQCGINC >KZM94249 pep chromosome:ASM162521v1:5:19972590:19978378:-1 gene:DCAR_017492 transcript:KZM94249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWPWKKKSSDKSATEKVIATLESAGAPDLAGSVAKQDNSKKTKYVQLSVESYSHLTGLEDQVKSYEDRVQKYEEQITIYKDRVKEYDDQMTSYDDKVKELEDEVEELNEKLSAANLEMVTKEGLVKQHSKVAEEAVSGWEKAEAEALDLKNHLESVTLLKLTAEERASHLDGALKECMRQIRNLTDEHEQTLHEVVVMKTKECDMIKLELETQLDNLGQELRRSVSDNTAISRSLQERSNMLVKITEEKSQAEAEIEQLKSNVDSCEREINSLKYELHIAAKELEIRNEEKNMSVRSAEIANKQHLEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESMGRDSGESRLRRSPVKPPSSPRSPHMSTFTEFSHDNVQKYQKEIELLTERYLAMEEETKMLKEALAKRNSELQASRSVCAKTVSKVQILEAQLQANNRQGHTSKIDGQIVSENYFSQNACNPPSLTSMSEYGHDDEASCAGSWAMSELPSFNKGKNIESPRKSGNPYRIGLMDDFLEMEKLAHSSKSSNETSPSSDSSTRTFENVNHDLSEATVGLQLKDQPLSESLNISSNGEDLSPCLKLQSKISLIFESASKESDLKELLEHIRNVVQDMDASLHQSSVSSTNTAGDCPALPENSMDIAGKVSSLSQETHKPSEAVHIIDEDMVAAVSQIHEFVLLLEKEFRAVQNSSLEGDELTKILGEFSAAFDEVKNSNISLNEFVISLSRVFSKASYFRFDVLGYKHIDTEGSTSDCIDKVALPENKVVTNSTQRYADGCAHFSDSTSDPDIPHEGAFVPTSEVKSSWTCSLKDLELLKSEKDNTIIDLARCTEDLESTRSRLQETEELLAEVKSQLASAQKVNGLAETQLKCMAESYRSLEKHAEELQIKADFLQAKADSLDSELQVERRNHEDSLARYNDLQEELKRIESFPVNQVDAKSSQETELAAAAQKLAECQETISLLGKHLNSMRPQMESGGSLTKEMILKKDGIFTEDKLTSIMNMQDGNKSESDSFRSNMHRAGSDSDIFNTPLSPSFSEANNLSRSPITSKHYPKHRPTKSGSSSSSNPTSEKHRGISRFFSTKTKIEH >KZM95660 pep chromosome:ASM162521v1:5:34220576:34225381:-1 gene:DCAR_018902 transcript:KZM95660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGGALMKELIEMVNEISAITEFRSTVRKQYCNLARRLKLLTPMFEEIRDSKEIVPRDSFQALVSLRSAMELALELLLFGSHGSKIYMVLEREQIMSRLQEVTSQLECALSGISFEKLDISDEIQEQVELVIAQFRRAKGRIDAPDVELYDDLSCLYNKSNDPAVEPAILKRLVDKLQLTGIADLTQESLALHEMVTTSDGDPGESIEKMSMLLKKIKEFVQTENPKIDSSTTEKSNLSSSGQATSNSTEKGPIIPEDFRCPISLELMKDPVIVSTGQTYDRSCIEKWLEAGHGTCPKTQQTLSNSSLTPNYVLRSLIAQWCEANGMEPPKRPGSARLTKTTSACSAAERSKIETLLHMLRTGSPEDQRSAASDIRLLAKRNTDNRVAIAEAGAIPLLVGLLSIPDSRTQEHAVTALLNLSICEDNKGSIVSCGAVSGIVNVLKKGSMEARENAAATLFSLSVVDVYKVTIGASGAIPPLVTLLAEGTQRGKKDAATALFNLCIYQGNKGKAVRARVVPTLMAMLTEPQGRMVDEALAILAILSSHSEGKVAIGAADAVPVLVEVIGNGSPRNKENAAAVLVHLCSGDQQHLVKAQELGLMDLLVELSQTGTDRGKRKAAQLLERLNRFSDQETQAPAHVEDPTQALAQTQS >KZM93413 pep chromosome:ASM162521v1:5:5809164:5824538:1 gene:DCAR_016658 transcript:KZM93413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEMVMGEENVSVSGEGGLVADRECGVENLSVSMQSCEPFCTAQVDSLESFVGASDTVGANAISGALDNISIAESSREKQEEKDTVGSQDFASESTVHDAICSSLRARNSTINGPLLKTDTARPLRKCKMISNKKVFPGIEILELARRRRSCFSNRPRVSDWGSLETIGHVFNVFEDANLDQIEQKESRKCSGSKGETKRKNNRRRTNSLGTKKEIPASTGGIRLTVTFGDIIPGVVDDHKSSSGMQIISPKYNKDFEKKFEEGTSGTLDGHGGIGAQTQVGLSEEAVGSRCLDPGTSPDSEVINLIAESQTDEKVAEDDILNKRSCVSGEISSLSLPKTFSRKGKKKNEPSQDDKGSVNDEPSSPEIIDDTGVADQHRHMERTDNGFSTKDSVSITTAQVDSLESFVGASDTVGANAISGALDNISIAESSREKQEEKDTVGSQDFASESTVHDAICSSLRARNSTINGPLLKTDTARPLRKCKMISNKKVFPGIEILELARRRRSCFSNRPRVSDWGSLETIGHVFNVFEDANLDQIEQKESRKCSGSKGETKRKNNRRRTNSLGTKKEIPASTGGIRLTVTFGDIIPGVVDDHKSSSGMQIISPKYNKDFEKKFEEGTSGTLDGHGGIGAQTQVGLSEEAVGSRCLDPGTSPDSEVINLIAESQTDEKVAEDDILNKRSCVSGEISSLSLPKTFSRKGKKKNEPSQDDKGSVNDEPSSPEIIDDTGVADQHRHMERTDNGFSTKDSVSITTGNITSKTSSAGVCFTDTPLQPYGVSSSGVLPKTFEVENCLGGGLCSPAGFQLETLEKCNSFTEPRDHENSENSRSPGSRLEFSEAKSLIGNSYVQKGDQFNLKARETSDPVQAVHMIDNYSKTGSHTFTYHGELKTGCSITPDTTSLKMNGVGDEQSPPRNAWVSCDNCYKWRRIPALLADSIEDTKSSWTCKDNVDEEFSDCSIPQEKSNAEINAELDLSDASCEDEAGASLHKPSGPEKKKLTASKQSTWKLIRLNHFLHRARRTQTIDEIMVCHCKAPVGGRMGCGNGCLNRMLNIECVKGTCPCGELCSNNQFQKRKYAKLKCFRSGKKGYGLQLLEDIHEGQFLIEYVGEVLDMHAYEDRQKEYALDGHKHFYFMTLNGSEVIDACAKGNLGRFINHSCEPNCRTEKWMVNGEVCVGLFALKDIKKGEEVTFDYNYVRVFGAAAKKCVCGSSLCRGYIGGDPSSGEIIVQDDSDEENLESVIVCEDSDDYLDATVSASSFVEVTDTRIAEKDVLGNNARAIEHSEGTEKMHASYSLSKQRKETNVDNSAAGCMVMSSSIEESTQETSSGDTDLKSVAEMDGMIRLPASVQAMDTFMQLADRKKKILAVAPPEPCLAEEESSKSLSTTQSFELSTTKLSRSSVDKSSSRRKLKDDLREDRSVITASHPNAKTSHTTSSLKKVKPRKSDLLSKNSAERGNKLHPLPYRSRKTVDSSSSDPFEAVQVKLNELLDSEGGISKRKDASRGYLKLLFLTAASGGSGNGEAIQSNRDLSMILDALLKTKSRTVLADVINKNVSPGFDLLQSSGLQMLHNIMKRCRKEFHKIPILRKLLKVLQYLALREILTPEHITSGPHCAGVESFTYYMNTKTHALHVCLK >KZM96235 pep chromosome:ASM162521v1:5:39421707:39423103:-1 gene:DCAR_019477 transcript:KZM96235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEQVDVSLTLSKHILLNYGKDSNLVFSPISIQVILGLVAAGSSGQTLDQLLSFLKARTIDELNYLYSSIVDRVFAKDSSSSGPCLSLANGVWLQKSLTLKPSFKEVVETVYKAACGQVDFQSKEEEARNVVNSWAEKETKGLIKELFPAGSFDSLTRLVFANTLYFKGAWSCEFDASKTKHFDFHLLNGDRVQVPFMSRNEERYISKFDGFKVLKLPYGNGGIQSSDKHSFSMYIYLPDANDGLPALIEKVGSESGFLDKYIPWMAANGGNFWIPKFKFEYAIEASAALQSLGLVLPFKPRVGCTEMVYDPRPLHVSKIFQKSFIEVDERGTEAAVATGSGFRRLCYYVVDFVADHPFLFVIRENNTGIVQFIGQVLNPSIST >KZM93632 pep chromosome:ASM162521v1:5:8640826:8641769:-1 gene:DCAR_016877 transcript:KZM93632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLATESKFHVLAVDDSIIDRKLIERLLKTSSFQVTAVDSGIKALEFLGLHEDDEQISPNKAFTSPMNQQEMAVNLIITDYCMPGMTGYDLLKRIKESSFRDIPVVIMSSENVPSRINRCLEEGADEFFLKPVRLSDVNKLKPHIMKRKNLDGENLKRETGQEQALEGLEVIQSLQQEAVAPQKQGIGNNNKRKAMELEEALSQDHRTRPRCSGLTVI >KZM93278 pep chromosome:ASM162521v1:5:4369024:4372980:1 gene:DCAR_016523 transcript:KZM93278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLKKASRKLVTAVAMTHPRTLASPPPTTLPIHILNYKTPHLHSSTLSPHLNLRPPPRLTYAPPPSSSAASKTMSTEAALDHDTLLKQKRILRTKVKSDLKSMDPAQRAQEDIAIQKIVLEAPWYKSCKGLCAYISCSSLREVDTSNLLSDVLQTTNQDGHSLMGRKLYVPRVEDKNRHMRMLKISSVDDLIANSMNILEPNPVDADGNEREDVMLANDPVDMFILPGLAFDKSGRRLGRGGGYYDTLLMNYQNLAKERGWKQPLLIALSYSVQILDENVIPVAPYDIPIDALVSPSGLILIRQTAFERCQ >KZM93136 pep chromosome:ASM162521v1:5:2705638:2705817:-1 gene:DCAR_016381 transcript:KZM93136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAMTGTMEAIIPKLFVPRSSPLLEDINGFMYVEQVLALMGFVSEDGSVGKASVSGDNA >KZM92905 pep chromosome:ASM162521v1:5:664284:667467:1 gene:DCAR_016150 transcript:KZM92905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPNKDLDMSDLAASLPAAAAALSAEDRAGLVDALKNKLQNLAGEHSDVLESLSPVVRKRVEFLREIQGDHDELEAKFFEERALLEAKYQKLYQPLYTKRYEIVNGIVEAEGASEASADKEGEEEKGVPDFWLTAMKTNEVLSEEITERDEEALKYLKDIKWYRIDDPKGFKLEFYFNTNPFFKNTLLTKTYHMIDEDEPILEKAIGTDIEWYPGKCLTQKILKKKPKKGSKNAKPITKTEDCESFFNFFSPPEVPEDDEDVDDEVAEELQNQMEQDYDIGRVEEHLVGKVSRVSVPRNASSSN >KZM93399 pep chromosome:ASM162521v1:5:5691915:5692955:1 gene:DCAR_016644 transcript:KZM93399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEMNKLGYVCSSVIASLCYCYFVSSKISPGLSRFLSVLPIFCLFIILPYYLSSAFNTALITFFTTWLSNFKLLLFCFGHGPLSSPNCSLTFFISIASLPIRIRQNYDTHKRVLPLNFSLKVLVFAVLLKVSCCYGGALHHKMIQCVYCCLCFLLIEILIGLSNTLSGALVGGEFEFEPPSNEPYLSTSLQDFWGKRWNLTVTTSLRDTVYKPVRKASEVVLGKNLAPVPAVMAAFLVSGLMHELLFYNVTRSYPTWEMTGFFVLHGICVVVEVGLKNWARGRGWRLPWPVSTGLTVGFVLATSLWLFFPPLIRSGADTIVLEEMAFFVQLMWTHLTNLFSSIYK >KZM95577 pep chromosome:ASM162521v1:5:33561574:33562779:1 gene:DCAR_018819 transcript:KZM95577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATVLGQGKGNDDGNKGKVSGNGNGNGNGNGNGDDKGKGKGDDKGKGKDKEDKGKGNDKKDKDDDNKYDKKDPVPGTGQERGRCKNKGACYNTTLTCPSQCPERKPKKNKKNKGCFINCGSKCEATCKCLLVAMESCFTSMEQREATLQLFQTAICRLMLTSLALALKEGHGRTRDYTWVQALSIMFDSHTLVLATKRVSQWDDKVESLAVRWDGEEISIPTDGEAEWRIDSEQREVVIERTDDTNTVKVTVTGLVELDVKVTPIGEKENKVHNYQIPSNDAFAHLETQFKFSNLSDLVEGILGKTFRPGYVSPVKRGVPMPLMGGEDKYQTPSLYSPVCKVCRFERQSSVATM >KZM95626 pep chromosome:ASM162521v1:5:33958133:33958609:-1 gene:DCAR_018868 transcript:KZM95626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCIPNCLDDGWIPVRATYENLYKWPESDAEFVRSVSSNGRATDNDPSSRPFTRSMSTNGLLDGPSHPRVVDSMSCRQIYLRSYTFSRKESMPQKARKCACRIKERVSSRGRPRKASGEAHGSIVVIRRAKEVSCAAMNVVFRRLLSCTTKVDVVG >KZM94665 pep chromosome:ASM162521v1:5:24731310:24732023:1 gene:DCAR_017907 transcript:KZM94665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQSTXNGNLQNLLHDLPLGLQTIEDWSTDTWEEDNNGIQNVGSEGLLTTWRFRYKIALGTARALAFLHHGCSPPIIHIDVKSSSVYLDMNLEPRLSDFGLAKIFGHSIEDEIARGTPGYVPPEFLLPESGSPKGATPYSDVYGFGIVLFELVTGKKPAEDAYEDKETTLVSWVRGLVRKNEGSRAIDPKIRGTGPKSQFVEALKIGYLCTADHPSKRPSMQQVVGLLKDIEPSMN >KZM95924 pep chromosome:ASM162521v1:5:36809426:36814205:1 gene:DCAR_019166 transcript:KZM95924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLSGDPLQHGLYEGGSSYSNNQSEDGSGGGGQWYYSRKEIEENSASRKDGIDLKKETYMRRSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHARNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHRKDPDGAQKIKQKEVYEQQKELILMGERVVLATLGFDLNVHHPYKPLVDAIKKFGVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPHDAETSWWLEFDVHPLQLEEVSNQMLELYEQNKVAHLISMKFLDLYDAPPRTTPNGNSDYASTHINGQPDQKGDIMVNDGQFFGSESFPHQGNPEEVQGGSMYDTEGHDGTDLERNDGRSGTRVAKDFRDKWNSRYKDHRDGKIGHSPREAVINIDKNKVKAALEKRKKARGSTTRKTDFTDEDDLIERELEDGIELAVESEKSRSKREQNWSSDEYGHHSRNQDEDGDGEHETKWQSPLKNEFDNVEEGEVPTFDDTGRSYQSSNIRKRKAGSPLDRSVVGKRHEYMTSVSNQHDHDNFQEDRGGW >KZM93312 pep chromosome:ASM162521v1:5:4676636:4681952:-1 gene:DCAR_016557 transcript:KZM93312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHLTLCVDHLVPPESLQSPQKVDAPGSIQGSCSKVTGSASFPIDIDEEEDSGAADEEQPLIQTVECRICQEEDSINNLEVPCSCNGSLKFAHRKCVQRWCNEKGDITCEICHQPYQSGYTAPPPVAEDTTIDISGAWTLTGSPLDLHDPRLLAMAAAERHLLEAEYDEYDDSDANGAAFCRSAALILMALLLLRHALAMGDGDSDDDDASTFFALFLLRAAGFLLPCYIMAWAISVLQRRRQRQEAAALAASDVAFMVQTGQHRGLQVTIAAGAPITPAPASTPGPALTPHQEPIQ >KZM95189 pep chromosome:ASM162521v1:5:29761762:29771930:1 gene:DCAR_018431 transcript:KZM95189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKRRLDNTSLVLEPRKHTVTSTRVDIAIPRAKDRRVRPCFLSKKAKRLLLHLSELEFRKAFLLFSYIGRNNIVDIMSFEDAVELVSLTDLPMETFEEYLWNHDGILTILFQLVLQHHDWDSRRTNLFYCYVYQDGTYSFKGPYLDTTRTHLQRALGDENILIVRFHDCDISISDYDAMCTKIAEEGILVGWRLYRHFGFKDGGREEKRKNPSLSSVKFYFVWTESIVPSYTNEAYILENRTIQEARSLFMHIHMTPSMAKYASRFSLILSKTIKLQVDLDSVLIEVIEDIPCCDQNGCHVYEDGERLIHTDGTGYIAEDLAMKCPKDIFKAKYMKDQQFEPLLIQCRLFKNGLAVKGTLLVNKKLRSGTIQIRRSMIKVEADSTLPTEKCFNSLEVVAVSHKPKRCTLSKNLIALLSFGGVPEDFFLNLLQKALEETRIILLERSSALKFLMKLKDRDNVGLMRRMLVSGIALDEPCLQHCLTHLASEENKRLQRGKLPISESYYLMGTADPTGLLENDQVCVILGDGQVTGDVLVYRNPGLHFGDIHVLKATYLESIADFVGNAKYAIFFSAKGLRPMAKEIGDGDLDGDMYWVSKNPKLLGYFRSHPPWKRTFPAGPHVLHSKVIEFSSEQLEQALMQQYLIIRRQSNNIAAAADSWLAYMDRLLILGDNNPSETEHMKKTMLHLIEIYYNALDAAKSGKKVDFPNELKAELYPHHMERTNIYASTSVLGLIYDAVQRRQTQSVSVEDTWERRNFKEAKVPHECLKLWRERYKKYKEELYYAVKSDEFQSVSVDNIILKYKQMLYGAEELDHSKREMNDIYNDALAIHNVVYDYAKSVRDAGKCLFAWQVAGDALCKFYGMKEK >KZM95181 pep chromosome:ASM162521v1:5:29678982:29679689:1 gene:DCAR_018423 transcript:KZM95181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTNLSEYEISCLQSIEQFLLNDNSEFSEEIISSHNSAAGSSCVEEYFMKDMQNLISEEDGPNKRVEKDVKDTTSSSSTVVAAASKRYRGVRRRAWGKYAAEIRHPIKKGSRLWLGTYHNPEDAALAYDRAAYLIRGSRATVNFPHLIRRSLFLDYAQQINYKKPIKRVFHEPPPSHTSPLASSSASSFILNNSSPLDQELSSLHDFSSTSWSNDNGLLNDIILGAQANKDQF >KZM92845 pep chromosome:ASM162521v1:5:239382:243566:1 gene:DCAR_016090 transcript:KZM92845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFVANRLVKTAAAASLFSSNKTTTTTTSSTPLLSRFLTSSPPPNPIMPSHSITLDFINPKVIECEYAVRGEIVNLAQKLQQELVDKPGSHPFDEILYCNIGNPQSLNQQPITFFREVLALCDHPAILDKSETQGLFSADSIERAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGFPADPNDLFLTDGASPAVHMMMQLLISSKNDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEISELKRQLEDAKSKGVTVKALVVINPGNPTGQVLAEENQRDIVEFCKAEGLVLLADEVYQENVYVSEKKFHSFKKVSRSMGYGDKDIPLVSFQSVSKGYHGECGKRGGYMEVTGFSPEIREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESYTAEKDGILSSLARRAKTLEDAFNGLEGITCNKAEGAMYLFPRLHLPEKAIKAAESAKTAPDAFYARRLLNATGIVVVPGSGFRQVPGTWHIRCTILPPEDKIPAIVERLTEFHKGFMNEFRD >KZM93275 pep chromosome:ASM162521v1:5:4333542:4335640:1 gene:DCAR_016520 transcript:KZM93275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQQTQFQFYDNDRNDSGIRAEKEKPQRADALNFLSSIKDGFGSGSQEYKYFVDLLIEYKQQRITIPVVVARAKLLFLDRQEFIEGFNKFLPAGYEISLPLSRGSHISYEKARNFVSKVQAAFSQDEERYVSFRQMIVGFLQEGKMSVLELSAKVCEFLEDRQDLLAELFDFICP >KZM93184 pep chromosome:ASM162521v1:5:3226101:3230077:-1 gene:DCAR_016429 transcript:KZM93184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKIETETIRILCNKLLIINNEAGIQWLIGSPFFPQFTIASTFRCIHTLNSDPLAPHYEKESDDLRTLLLKGFEVIGALIVGNNSEFERVASEAIDASRKLRKLLHNNVDEDSLEKRNLVGAVTESGNGDVRFFVSRSGSDRIKSVVSVVYDDQPEKYVWERGCLLCCQLPIKLPLYYPVNRSKDVKDIFTKATEAVIATFRDPKVAYIVETLNETSNEGPQHVIFRGADLNLTTKLANARFSNVNSEEADPTALSCSYFCLKDRDIRTFTSLEEVSVLRMQIRFKYKSCTVDRITEKSLLPPMLNIFQGYRYKCLYLSDYRVRVPYIDRYVLFSPEETKLLVVDYKLEVLCYASKELSIASAISNLLIPALTDQLHAVKTMILPDLFTQQSNIYPYHFSPPGVSHPITVMYELTYGETEMKQVYYLCFKIINGTGRISGD >KZM95951 pep chromosome:ASM162521v1:5:36999744:37004430:1 gene:DCAR_019193 transcript:KZM95951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDSNPFDEQEEDNPFAGENGRGRGSSQPNYGAGAFYMTNPGNVASATSLSPLPPEPADYDRGATVDIPLDSSKDLKKKQKELQAKEAELKKREQELKRKEDAIARAGIVIEDKNWPPLFPIIHHDIANEIPIHLQRMQYVAFTTWLGLIVCLLWNFIAVTVAWINGEGPTIWFLAIIYFISGVPGGYVFWYRPLYRAMRTDSALKFGWFFLSYMFHIAFCVFAAVAPPIVFKGKSLTGILPAIDVLSDHAIAGIFYFIGFAFFTLESLISIWVIQQVYMYFRGSGKAAAMKREAARSTMMAAL >KZM94840 pep chromosome:ASM162521v1:5:26394021:26395343:1 gene:DCAR_018082 transcript:KZM94840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIRAKKGRPSKQLPPLSCNPGPKSSSLIIPSAANVASSEDLLFQILLHVPLKPLTSFKSVSKHWLSLITSPHFVRLRGTFYPSPSSLFFRVPCPWRSRTTPEYRFISLDVAGECLIPFKTLDFIPEYCSAREIYVYESCNGLLLCSLQAPQKEKVFCVYNPTTNELATLPPISLEHIVHASRMSLAFDPAKSPYYKVVCLGRRPIFPETFQIMIYSSETRLWKMSGQPFMPPEFSNFSECVYWNGSLHWWDSYYNQSLWEHEPYALYFKVEEEKLEELPMPKNHTEMGYWQDQQCQYPCFCAGCADYSYFGESADSLYFISVNPDYVLIVYELERDYLGWFVKYQVDLSAIPRKFPEINQYNKYCAHAFAILSLVWRGKEEKAGSFVILQIRYKIIRYNLVDQSMEMLYELSPTGYLNDEYDLNSVLLLPYIKSLASV >KZM95211 pep chromosome:ASM162521v1:5:30244473:30247178:1 gene:DCAR_018453 transcript:KZM95211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEEFILNPQESLKKKTTVSRNWILIDTNGESEILEMDNIMSRIKFFCFQHIKAIITTEEVMLQDPLDDNVVPIVQELRRRLPLGNLISKGQGEDKVNWEALNDLETSEDNEFPFELRALEVALEAICSFLDARTLDLEVVAYPALEELTLKISSRNMDCVRKLKSSMTRKLHGASSPDSVNGVHNWLTASPTSGSRKSRASAITIHGGNDVEELEMLLEAYFIQLEGTLNKLTALREYIDHTEDYVNIQLDHHRNQLIQLELFLSSATVALSIYSLVTAIFGMNIPYTWNRNYGYLFKWVVIITGIVCAAIFMSLIIYARHKGLIGTLFWCPQ >KZM94361 pep chromosome:ASM162521v1:5:21437505:21439633:1 gene:DCAR_017604 transcript:KZM94361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRISKELKDLQKDPPVSCSAGPVGEDMFHWQATIMGPADSPFSGGVFLVTIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >KZM93365 pep chromosome:ASM162521v1:5:5276355:5276648:1 gene:DCAR_016610 transcript:KZM93365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLERFRVAVFRLIMLQSALSKGPTHEKRKKASSSAVHQYHRSCYSYDSHHNEAVADCIEFIKKSSAIDEEDRQSTVSSPAVDGTLSEVVIPMPVM >KZM92944 pep chromosome:ASM162521v1:5:1093809:1098854:-1 gene:DCAR_016189 transcript:KZM92944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLNGSTFLYNPVIGVSRSYAQRRVSAPSVVVSSASSSNADRKATVLMETNLKAPAALVIESDSKTSVGGGVEDVYGEDSATEEHNITPWTVSVASGYSLMRDPHHNKGLAFSEKERDAHYLRGLLPPVVVSQDLQVKKLMNCIRNYQVPLQKYMAMMDYQEHNERLFYKLLIENVEELLPIVYTPTVGEACQKYGSILGRPQGLFISLKEKGKILEVLKNWPEKKIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPVTIDVGTNNEDLLNDEFYIGLRQRRATGQEYAELLHEFMSAVKQNYGEKVLIQFEDFANHNAFDLLAKYGATHLVFNDDIQGTASVVLAGIMAALKLVGGTLADQKFLFLGAGEAGTGIAELIALEISKQTNTPLEETRKKIFLVDSKGLIVKSRLESLQHFKKPWAHEHEPIRELVDAVKAIKPTVLIGSSGVGRTFTKDVVETMASLNEKPIIFALSNPTSQSECTAKEAYTWSKGRAIYASGSPFDPIEYNGKIYASGQANNAYIFPGFGLGLIISGAIRVHDDMLLAASEALAAEVTQENFDKGLIFPPFTNIRKISANIAAKVAAKAYELGLATRLPQPHDLVAYAESCMYSPSYRSYR >KZM95258 pep chromosome:ASM162521v1:5:30593802:30601462:1 gene:DCAR_018500 transcript:KZM95258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDIARDVTDLDPELLQLPEVSPLALKTSPQIAEELFGQWLSLPDTGRLVKSLIDDAKTGTSFGASGNSTSTNASGISPLPSLFPAANTPPLSPRSAVGSPRTMKQRASFSSLGSPLKLVKENVREVIPQFYFQDGPPPSEELRVQCNSRVDEIFNRNMDGLQVNEFKTVTKDICKLPSFLSTTLFLKIDTNCTGLVTRDAFIKYWVESKMITMDVATQIYKILKQPDCKYLTQADFRPALKELLATHPGLEFLQNTPEFQERYAETVIYRIFYYINRSGNGHLTLRELRRGNLVAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIKYGNHALTYRIVDRIFSQVPRKFTSSIEGKMGYEDFVYFMLAEEDKSAEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMGQEPVLFEDILCQIVDMISPEREDYITIQDFRGCKLSGNVFNILFNLNKFMAFESRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDGESNGSAEVWDESLEAPF >KZM95778 pep chromosome:ASM162521v1:5:35472010:35481298:1 gene:DCAR_019020 transcript:KZM95778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVRMRRSTRGFKVLRSGKRVWTDQLVEVGKKQPRKRARAKDKKVSAEKSVEEAPVLKDNEKVDDGFDMSREKIEMDVDEDTRKDSVKGREKPRGAVYVRKDKMGTVESPVSSTFGSFGVHKRRSLRLRKGRICSPLVAGNADGALPGDSSIGPDVFPCSSVESGQQAISSLVDNSITSVRKRKHSLPGLIENIDTSTCCTNILVIESDRCYRVEGAAFNLVKSDANQWFVAIEKDGTRRYDVIVQQVMRTCSFNRITHAVIWTCDNGWRLEFPNRRDWLIFKELYKELLPTEGNSYFSGSYSWQEKVMYSTSYQKWKRPAKNFFIKYSCRISKSKAPLRRFNANRITCSSSSMDSSPPGYRRNVGICLINSDNKGGIDESENPRDAAIRELREETGVTSAEIIAEVPHWLTYDFPPDVREKLNRQWGSDWKGQAQKWFLFRFTGKDAEINLLGDGTEKPEFGEWSWLSPEQVLDRAVEFKKSVYKEVLEVFSSHLNSNL >KZM93063 pep chromosome:ASM162521v1:5:2077100:2077968:-1 gene:DCAR_016308 transcript:KZM93063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGGLLGGSGSCSSRSNSFQRDLARKKKNNRFKVNSMYSPVSSDPYKTLNVHPGASESEVKKAFRRLALQYHPDVCRGNNCGVQFHQINEAYEALMNRLREEEEAAENNERRMYGGDEDDEQMRGMYDPDWDMWEEWMGWEGAGIRDYSSHINPYI >KZM95975 pep chromosome:ASM162521v1:5:37168277:37171394:-1 gene:DCAR_019217 transcript:KZM95975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTGTNSLVSSKSFQTQQNSLAASSKSFLASKVKSVRSVQVISAVHSPDPSKNPIVSDKAAKQSSTAAAAASSSSVTAAPAVTKQGSSKWNIESWKTKKALQLPEYPDKEELQAVLETLDAFPPLVFAGEARHLEEKLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDGFEEKDGVKLPSYRGDNVNGDAFDLKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEESEQGDRYRELANRVDEALGFMSAAGLTVDHPIMTTTDFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHFIWVGERTRQLDGAHVEFLRGVANPIGIKVSDKMNPTELVKLVEILNPQNKAGRITVIARMGAENMRVKLPHLIRAVRGAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVKAFFDVHEQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRRRRIGNKQSLPSLN >KZM96409 pep chromosome:ASM162521v1:5:40788743:40798081:1 gene:DCAR_019651 transcript:KZM96409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDESALSWVKQQSGNKESEARSPLSEKSNHDFDLPKSPLGFNSSTYKSTHVLPPLKFHSGLLGSQVKLNLESSDEDDFDYEENYGESVASVADDFDENFSEDDEFGGASVEHYEEGICGLKASTEGVKVNTGLNLNRGFLQENLRIQVPENRKCFRGEGAQSATSGSSYRLRDSVQFHSAYGTPVGGADLGTPSAPPFMDMGRDDSNSEMESGTVHHIHIAEELTKSHALNSDKKELDSRCDPGESGNSGVLKDTAVPVSNCQMDPVNQSVYYSTSGQNAWQSLVAYDACFRLCLNAWTRGCMEAPEFLRDECLLLRNAFGLHTLLLQPRGAQSTGKGSANTADMACPSKVKKTIGKIRVEVRKLRIIPRRKLKSTNSLRGAMYMQVGAEYVRHVSSLVKNSITSLRQASSNLTSEELWKCSFYLKSSLEDGKDDSVQDFTLRPGTGDYHEFFPESQGDALILEVQDLKKTVQGRATIPISSLIENPNDRMKWWPIYHDDHECVGKIQLSVYETITYDDSHMKNGPIVETLAYDILLESAMRAQNFHAQNLWIDGPWKWLLSEFADYYGVSDSYTKLRYLSHVMNVATPKKDCLELIYQFLVPVIKTRGEKRLTRQEKSMLLDCETQVESLLANIFENYKSLDENSPTGLSDLLGPLPEAAAPALAPAVQVYTIIHDILAQDAQMVLRNYIKTAAAKRCKKHMVETDEFVSSNSDGFLMDSFTSSTAYLKIKKLCIDLSNEIQADIKIHNEHILPSSIDLSSITAAVYSSELCKRLTVFLAAWPPSSPNPHVNELLIATADFERNLELWNISPVPGGVDSRNLYHNYIMVWVQDMQLNLLEYCKAEKVSWSGVITNYSTSPFPEEIYERIREMLIEYEVVITRWPQYTLVLENAIANVERAIIKALEKQYSDVLTPLKDSIPKRLGIQVQKLTRRQSTTVYALPSQLGTFLNTIKRILDVLHCKVEDKLKTWASYLPVGGDKKSNFGEQMNEITVLLRTKYKNYTQAIVVKLVANVQANRSTRLQRILEETKEADGEAEIRERMQMLISQVIDSISNLHEVFTSPIFIATCRGFWDRMGQIVLKFLEVRKENRVWYRGSYHALGILDDTFASQMQRLQGNALKDKDMETPRSICEARSILCRDTTNATDTYF >KZM94836 pep chromosome:ASM162521v1:5:26375528:26381615:1 gene:DCAR_018078 transcript:KZM94836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRWSRAVTHLCKSAGIRSNIENSRYRDFSVFSCRGFAKVADARVIDDGAEDASAVRSQVNLNKMFWSKPCSLALPADSPIRFEERKYTGIKHIMMKLMLAYSKESRSIERANVIYRRVVYHVDPPAIYDVFNLEKTFRTNFSLLVLHMWLILHRLKEEGDEAADLAQNLYEIYNHDVELRVYNAGVNLLLARWMKELEKIFYGSVAAYEAALVPEAKQDELQNALFRNVFAEEGVSIEDALHEVQAMSRYVRREIACMSLTEKEAILSGNFLFTQFDNVVPLSGQHGETHN >KZM93913 pep chromosome:ASM162521v1:5:12110464:12111572:1 gene:DCAR_017158 transcript:KZM93913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFKCTILAIVVSILYGAVQIHAQAPQRSTCFSRQSACFLRRIRCPEECPTFRPSNPRAKACYINCDSPICQAECKNRKPNCNGNGAACYDPRFIGGDGRVFYFHGRSNEHFSLVSDHNLQINARFIGLRPAGRARDYTWIQALGIQFGFQKFSIEATKAAAWNNNMDHLKIQYNEEDVILEETELSVWKSEEGNIKAERIWSKNDVIVSISNVLEISVRVVPVTKEDDRVHNYQIPSSDCFAHLEVQFRFFSLSPEVEGVLGRTYRPDFENPAKPGVAMPVVGGEDKYKISSLLSSDCRNCIFSPTNNATEKGTLDFEYGTLDCTRGLSKGNGIVCRK >KZM95822 pep chromosome:ASM162521v1:5:35892589:35898576:1 gene:DCAR_019064 transcript:KZM95822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALNSHFVLIDVHNSWHSATHIPSATLAYLNAVSKFSTFSAANRRTGGSHVKVSSCNSSEVIRSPEIRRPRDNWSAPGNGLSSVSSNTVSTSGSESVPELNLFLELVPLRMRNELYRHQEIGDLIEIVMDLGRVPLARFPSGDWVISEQPVKLEDLQHAISKVGDFSDDNRSGINNSLHRISAIRNRKMQIIGLTCRVGRAVSGSAEIIRDLIEGGGSILVIGPPGVGKTTLIREIARMLADERKKRVVIIDTSNEIGGDGDVPHSGIGRARRMQVPNVNMQHNVMIEAVENHTPQTIIIDEIGTELEALAASTISQRGVQLVGTAHGMTIDNIMKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVEMISRTECRVHHRLDATVDALLKGKSPLFEIRHMDAEANNKYLEFTSTPELSRLESGLLNDVKGLETESDDEDFSGSIETSSNAERKRSSPVYVYTYKILEAELQQVAAVMGLEDEIFVTEDIGLADALLATSSELKQNPWIRSVAKFQKLPVFVIKSSNMAQMVKAIRMILEKFSFVAKSKKPVKGSPDFEIEDDAPKRKPSLEEIDALEEVRLAIEYIVIPGGEPVELLPRRSEIFALQLELVESYQLAVENTGTEMNPRMQILPHKLNKRTSANNSKRSASLQEGFSIQAVPNKGVGTSVPQLPLLPE >KZM94171 pep chromosome:ASM162521v1:5:17658539:17663702:-1 gene:DCAR_017416 transcript:KZM94171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEELHSNGKTVEDIVQCLKQVPVHPRIVAAIRSVHALGCDMKVLSDANQFYIETILKHHGVLDLFSEIITNPTFVDENGRLGITPYNDSSNPHSCNLCPPNLCKGLVMEQIQASCSSKGKYMFIYLGDGKGDYCPTLKLGEGDHVMPRKNFPLSKLICDNSTLVKAEIHEWDDGEELEAILLRLIEAETSITTPSSRSSHLSRSSD >KZM93633 pep chromosome:ASM162521v1:5:8643351:8643686:1 gene:DCAR_016878 transcript:KZM93633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFSLYYCRGGGALRRWWSGEFCRSKVYLMHPFSLYYSRGGGALDDGRADFTILSYSPLPPPLSCSIEHMLLIDLSFGIPFLRLGSETRVIDCVGVDVAKVDGDDGLQRN >KZM94475 pep chromosome:ASM162521v1:5:22861983:22863614:-1 gene:DCAR_017718 transcript:KZM94475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTTEEQTKHNLDKTLTEHEQSDPQAPQSIEASSNNTSPDAGVSAGDEELKVNSGDPAEINNGGEDSKAKDPAENNSSVALKKRRAERFGMPVQLSEQEKRNSRAERYNPDPQKLDKLVSHKVHVVVKWMSGYKLYYTAVQLSWFGTGSGLPESDTVKKSEDNKRKARAERFGLEQSVPAAEDEKKKARLARFTPASKTDPVEEDKKKARALRFSETSASALHHNGKEIEPKTAISNKAVGGP >KZM93025 pep chromosome:ASM162521v1:5:1808509:1809975:1 gene:DCAR_016270 transcript:KZM93025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVLHYTLLLILLCLPLGMPFTVIMSDSGVPSALVDAPQIGSSMSSSGARTDKHEQEAVYDIMKATGNEWATEIPDVCRGRWHGIECMPDKDNVYHIVSLSFGALSDDTAFPICDPNRSFISPSITKLRHLRGLFFYGCLTNNPQPIPSFLGKLGSTLQTLVLRENGHVGSIPNEIGNLTHLRVLDLHKNNLNGSIPVSLGRITGLRSLDLSINKLTGSIPSVTFSRLNILDLNQNLLSGLIPASIGTCHSLLKLDLSHNRLSGSVPDSINHLNNLVLLDISYNSLSGPLPLSFKGMKSLQALILNANPMVYSTMPDNLFDGLKDLMILVLSEMNLHGPIPESLGLLSSLRVLHLDGNRLNGSVPLSFRNLSNLGELRLNNNELTGRVPLGREMAWRMKRKLRLYNNSGLCYDERNGSADDLDLLFDSGIRHCGTQNTGTGKTVQHVSTGTNVVGVASRSTAATTHKSLVLSTLLQLVTIFVFIARQ >KZM95101 pep chromosome:ASM162521v1:5:28685301:28685453:-1 gene:DCAR_018343 transcript:KZM95101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSNMLTNLIIAIATYCQINLKLLQVLEMSSSPPGQVSTDSDKLAKPNL >KZM95338 pep chromosome:ASM162521v1:5:31252536:31255686:-1 gene:DCAR_018580 transcript:KZM95338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTATFKNTATRLSLAMERTNQWVFSQEIPTDLLVEVGGASFNLHKFMLVAKSNYIRKLIMDKKDGTELERIDLSDIPGGAEIFEKAAKFCYGVNFEITVHNVAALRCASEYLQMNDKYCDGNLAGRTEDFLSQVALTSLSGALVVLKSCENLLPLAEDLNIIKRCVDVATTKACNEANFPSRSPPNWWTEELSILDICFFGKVITSMKGRGAKGLTIASAIITYTERSLPDLVRDHSGSNAIKQESSNIRVQQRELLESIVALLPPDKAVFPISFMCCLLRTAIFLGASNSCKTELEKRISAILEHVTVDDLLITSYTYDGEKLFDLESVRRIIAGFVDKEKNVAVFNGGDFKEVCSAATQRVAKTVDAYLGEIAVHSELSISKFNGIANLVPKAARKVDDDLYRAIDIYLKAHPNLDEIEREKVCSVMDPLKLSYDARLHASQNKRLPVQIVLHALYYDQLKIRSGVDDRKAPDAISTRNQLQADVSLARENEALRTELLKMKMYLSDMQKSGSSNSLGTTSAATKGVKKQTKFFSSMSKTLGKLNPFKHGSKDTSHIDDVGVDLTKPRRRRFSIS >KZM94133 pep chromosome:ASM162521v1:5:16376229:16376719:-1 gene:DCAR_017378 transcript:KZM94133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFLYTCLGSFAGLGFLSAYLAGKIRAFDRRGHVAKLCIVFLPLVVAALVGVSRVDDYWHQWQDVFARGLLGLFMETICHLQFFQPPYHTDGRPFSSLLYCS >KZM93943 pep chromosome:ASM162521v1:5:12629997:12637058:1 gene:DCAR_017188 transcript:KZM93943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTNGSANSHSRARLQRLRCSVQNYDWGRVGYESAVARLYCKNSGVEIDENKCYAELWMGTHASGPSFVVGENGEQSLKSWIENNPGVLGDKVFDKWNTNLPFLFKVLSIAKALSIQAHPDKKLAEILHRTQPDMYKDDNHKPEMVLAITDFEALCGFTDLEELQNILRDFHEVSEVVGTAYTDQVLNCTEKDEVNKKASLQALFTKLMSTSKEVISDVLAKLINRLNLESKARELTSKEALVLRLQKQYPDDIGIIAALLFNYIKLKPGEALYLGANEPHAYIFGECIECMATSDNVVRAGLTPKKRDTEILCSMLTYKQGSPEILPGVNINSSIKRYTPPLDEFEIDHCVLKDENSVVFPVVPGPSIFLVTAGNGTMKSEAEEIVSEGDVMFAPANSRICVTAASELHLYRAGADIFLVPQIDAAIKRFNIDMARELTSKEALVLRLQKQYPDDIGIIAALLFNYIKLKPGEALYLGANEPHAYIFGECIECMATSDNVVRAGLTPKKRDTEILCSMLTYKQGSPEILPGVNINSSIKRYTPPLDEFEIDHCVLKDENSVVFPVVPGPSIFLVTAGNGTMKSEAEEIVSEGDVMFAPANSRICVTAASELHLYRAGVNSRFFRLD >KZM94514 pep chromosome:ASM162521v1:5:23142171:23142491:1 gene:DCAR_017757 transcript:KZM94514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPNHKKSSSNVEHEPYTEDGWSFEDVKKFETILYKFDTPPPPAFFEEVAHEMPWKTMKAIKLHYELLLKDLGKIKNLEFEMICVEDEGQACSICGEQSADSQSD >KZM96088 pep chromosome:ASM162521v1:5:38134620:38140699:1 gene:DCAR_019330 transcript:KZM96088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQIKREISIMKRVRHLHVVRLHEVLASRTKIYIILEFITGGELFDKIVHHGRLSEAESRRYFQQLIDGVGYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEEGVSLLRTTCGTPNYVAPEIERADYSCPSWFPVGAKSLIRQILDPNPQTRIHIEDIRNDEWFKKDYTPAGFLEYEDINLDDVNAFLDDSEGERPDEQCRDEDAGPLNLNAFDLIILSQGLNLSALFDRGQDSVKHQTRFVSQKPAKLVLSSMEVVAQSMGFKTHIRNYKMRVEGLSENKASHFSVILEIFEVTPIFVMVDIQKAAGDAGEYLKFYKSFCNNLEDIIWKPPSEYTKSRITKAKSKQR >KZM95625 pep chromosome:ASM162521v1:5:33954770:33955798:-1 gene:DCAR_018867 transcript:KZM95625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRVSEVNDVSDVEVENSLLNPPTPRPVTSSPQKSKSCEIIEEVQVFQTANWKPREKDSSISFDNQFSDQENSASDCNPQDDLSDLCHSNPSILKGLKNLKVQSKRGRPRKANPKHLNKHFKLPRKKKAKGEGLQQASHFFLNSNFDESEAIFETGVMMGLLPLHSKADSLKLIKENLL >KZM94548 pep chromosome:ASM162521v1:5:23512869:23514452:-1 gene:DCAR_017791 transcript:KZM94548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKKRKEKRKRQRENKRHYRNQEVSERNFLRHVNAPVPRVEINSYMLELSFKSSFKGLAPDIPSRPLFAMHSLNPCHYPGPGFKIIQVCNPEPFIRPARVDDPILDNWHDFVTFWPPLKKSLLPGYYGIWDYMGFWLYQTHKHGSQKLFIGDFLQVALRLGKNYIQKIEDFMRGFISEYGSSHGGMFLCGDFRDKLERALKFKARVVFGWQEKSSSEEDSNSEEEKDKEKSEQPYILDLFTIQILLDLDLKPIDYATIQRCIEDPSYFMMSL >KZM96338 pep chromosome:ASM162521v1:5:40201407:40202430:-1 gene:DCAR_019580 transcript:KZM96338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRDDVSVPNLNPNEVLVRARAVSVNPLDTRMRAGYGRSIFQPLLPIILGRDVSGEVVDVGGSVRSFRVGQEVFGALHPTAARGTYADYVILSEDQLVPKPPSMSHVVILSGLNI >KZM96242 pep chromosome:ASM162521v1:5:39477344:39477556:-1 gene:DCAR_019484 transcript:KZM96242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGFQKDQNVRTALVVICRYVGTVAGLQKCSCLSWACELNGDLKTDGACGVFWQHVDVGKCELLAQQAT >KZM94626 pep chromosome:ASM162521v1:5:24415264:24415695:1 gene:DCAR_017869 transcript:KZM94626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNFSADVKEDHDNKDHGDQDSDQQPLDLRKENGEFVDDKKDEGSANTTLTAEEDLEKKPRQSGEEGRDSTEEDENDGFRTPTSIEHRIPVPTHCPPAPRKSLKRKFEDSSITEILRSARKVIQDHIMVFRKAREDDEALS >KZM95522 pep chromosome:ASM162521v1:5:32967293:32967997:-1 gene:DCAR_018764 transcript:KZM95522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTNISICLISLFTFTLFLHASVAADHLGYSCINQQNFTVGTPYELNLNKLTGLIDYLTQPSGYGQASVGDTHGLGLCRGDVSSFDCLTCITKAGIEARKLCSGNKGAVTWYDYCMFKYLDQNFFGQIDTSVTVLLSNVNNATNQTLFKQRNSELLNVLSAQASISLERYAGGEIAVDENTTIHGLTQCTRDLSSYDCRKCLDGQINSNKPLEKIGGRILGGSCNVMWEIKS >KZM95281 pep chromosome:ASM162521v1:5:30784125:30787488:-1 gene:DCAR_018523 transcript:KZM95281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWQFTCLFLLFNCYIFCNSFSHAEVVGKVEVDSEVSILLSIKEKLIDPLDQLKDWISPDKGIQNSSVHCKWTGVSCNSIGVVEKLDLSNKNLSGIVSSDIQKLQSLAFLDLSCNGFSSPLPKSLSSFNALKIIDLSQNSFVESFPLGLGNVVGLTALNASSNNFSGYLPEDLGNATSLESLDLRGNFFEGSIPKSFKNLGKLKFLGLSGNNISGNIPPELGQLSSLESIVIGYNDLRGEIPAEFGNLTNLKYLDLAVGNLQGAIPPELGRLELLDTVYLYNNNLEGKIPPEIGNISSLMFLDLSDNMLSGEIPAEIADLKKLQLLNLMCNHLSGSVPSGLGGMPALEVLELWNNSISGTLPSDLGRNSPLQWLDISSNSFSGPIPESLCHNGNLTKLILFNNGLSGPIPAGLSGCMSLIRVRIQNNNLSGTIPVGFGKLPKFQRLELANNSLTGQIPNDIVSSTSISFIDLSHNHLQNSLPFSILSIPNLQNLLVSNNELVGEIPDQFQDSPSLSILDLSSNHFTGSIPASIASSEKLVTLDLGNNQLSGPIPKAIAMMPTLAILDLSNNSLTGGIPGTFGNSPALEVLNVSYNKLEGPVPENGMLRTANPNDLVGNAGLCGSILPPCSHDMAYTSGQGRFHAKHIIGGWVIGISTLLALVIAAFGAKTLYNKWYTNGSCFEEKYELGKGEWPWRLMAFQRLDFKSNDILASITESNVVGMGATGIVYKAEMQRLNTVVAVKKLWRTTTDIEMGGSDDLVGEVNLLGRLRHRNIVRLLGFLHNNGNAMIIYEYMQNGSLGEALHGKEAGTLLVDWVLRYNIAVGVAQGLAYLHHDCHPLVIHRDVKSNNILLDANLEARIADFGLAKMMPKKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSYGVVLMELLTGKRPLDPGFGESVDIVEWIRRKRLDNKALEETLDPNVGNCQHVQEEMLLVLRIAILCTAKFPKDRPSMRDVITMLGEAKPRRKSSSNTSGNAANKDKPIFSTSPVNGLL >KZM93750 pep chromosome:ASM162521v1:5:10442798:10443285:1 gene:DCAR_016995 transcript:KZM93750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEDRVDIHEAMEQQTISIAKAGITTVLNPRTSVLAAANPPSGRYDDLKVDDYYGSKNLSFLFCAAYINKFIFQTAHDNIDLQTTILSRFDLIFYCEGHQDVQPRQE >KZM93135 pep chromosome:ASM162521v1:5:2695621:2699948:1 gene:DCAR_016380 transcript:KZM93135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRSRKTITTIDAIGDDLFRNILNRLPAVHFASAACVSRSWHRVCDSILSTPKLASALSLNPSIQDAVEEVCSKVFAEPIRPHFAIVAIGQSFEMHVALRLITKKLGSGIPIICTAAFGLMGRDVATNSFKEIPQWGEEEEDDFFNNGYMYDPSVYADPEFWDRPKTPSNPAVLLTVGFLPGMKVAAVTMSQSKKDVPCVDEFVTNIRECSAIASGVTSPIAIMLFVGLGTYMDPVLEKLDCAMSPETAIVGDGSSVFLCGGNCVQQNSKYSVAGVGLLFMRDRDKPHGIGNIQFHVALSTGMSPIGPMYKAVSVREIRDKGTTWLTARREGSIDKLDGQSILHQIYDEMPGRIKYPSLCLGVLKRRKCFLGSSEMKWITSQVFHEVHGGDEAHLFVEGRGIKSGDPFRVFQSDSHKALSSLEKVCDKFRSLKQHSSSAVGSSSCLTPTLGNNDIIFGGIIFACIGRDDRFFNKYDVDSTPFVENFPGVPLAGLYCGNFELCRGDSSSYDQESGSYLSFAHSYSSVYFVMSYTPSGSGI >KZM93684 pep chromosome:ASM162521v1:5:9210311:9211102:1 gene:DCAR_016929 transcript:KZM93684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMIDLGSQRGPIVLHIMDTATSVDCGRDVRLRRTFRSLIECMVPACCAFQPPSPDYSSSSPTSLSSSSSVDSFSDSSSLSTSTTSTHASTITGTFFGFRRGRVSFCIQEDAKSSPLVLLDLGIATSYLAREMQYGLLRIALVECESRHDVNAKQENGSLFSVPLWSVFFNGRKIGFASRRVATESDVRVLKLMQSVSAGAGVLPAVSTKGGSLDDKVCDERMYLRAKFERVSGSVDSESFHMINPDGSGSGQELSIFLLRS >KZM93510 pep chromosome:ASM162521v1:5:7371981:7372246:1 gene:DCAR_016755 transcript:KZM93510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGHGVTYKGVTIHQPKRWHSVTGKGMCAMMCCGHYDLISFEFMPDGFVCFDSFG >KZM95249 pep chromosome:ASM162521v1:5:30534468:30535924:1 gene:DCAR_018491 transcript:KZM95249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDRSAFINAQGKIVVPDKGVSGRAVDILSGSPRTDHNKGVSRVNFLSVNCGSRSLSSVCNSCSDSYRRNPKYLNENKQRFYRSTNRQFEERDDSDKLEDSEIFSSKNGTLHSVSGGPNYQATAARGTKEEDFVELFRKVQTQLRERAALKEDKKLAEPPVEGKENETVDSLLKLLKKHSVHQGKRDISSKDSIMNQPEVNGSSSTEKKSTFFRLNSIEKNQVQESEPSSFSRPTSSFQRRSPVPREKIQPTDSSTSHTNLEGRENDTSHLLDEVEHMPKLLVKVDPIVLSSSQEEPGFGFPARDMLDKMPNGETNELGEGNTDEDTDEQNMSKRIDLSGMKLLELRALSKSRGIKGFSKLKKDELIKLLA >KZM95165 pep chromosome:ASM162521v1:5:29371701:29375620:1 gene:DCAR_018407 transcript:KZM95165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENYSVNINVLVVVSPEITLVSGDFFCLFPHNEISPARASGRPERNSFTRELPVKLRRRSPFPEPVLITSSAGLLPATIQSPADMIRWNYLAYEAMFTESDVVVFAKGVNKRQGKNWDPWELNCVDRLFHYADPAPAFLQMQQRQRRRRQLVNQALDDADAQDLSGSPLQFARVDIPDSVTILAG >KZM93057 pep chromosome:ASM162521v1:5:2031477:2032983:1 gene:DCAR_016302 transcript:KZM93057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSSTFDVDTSLDLNAKPLQLFRDTPKQEVQTSFIDFGRRTSVKEENNGALVEELNRVNTENKKLTEMLTVMCENYNTLRNNLMDYMSKNPEPAADNNATKKRKSAERSSTTTSCMIKNNASSTKNNDNSESCSTDEDHNSTKKPKEEHVKAKISRVYFRSEASDTTGLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSYAPTCPVKKKVQRSIDDQSILVATYEGEHNHPHPAKVEANDSSSNRSAALASFPCSTSLNLSAPAVTLDSRKTKSKPLNEDAIRRSSSTKTDSPEFQQFLVDQMASSLTKDPSFKAALAAAISGKILQQNQAAKE >KZM95145 pep chromosome:ASM162521v1:5:29124858:29132127:1 gene:DCAR_018387 transcript:KZM95145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGIRIKNDDKLIQSKGVEALLEAEVHKACRERGIHVAEEMQQQLREWLDVSLIHDVPSSLLIISRFFTETKKLKPEEAIVAALSSLPRDLIYMVGVVYLPCNDPRIDKRRKIAALKLQEKVIKEEEEKKLPEMKQSNAIQGDVALKEMNVSKPEQARERAMDKQEQLFELITDATTVLTSIVNVSTECDKLLRVFNKEMNLYSSYQGSKDPEGEREAIKAYRASQEESGKDVELDVSDNVSSALLNRANGMLRKLGKETDGMAAKISYRWRVLERYCDGKVSLDVVAAAAFLKDALDKEGIEELIRNLSKYHKETVKLGVKVEDINQGQNQPGGSGTL >KZM95164 pep chromosome:ASM162521v1:5:29361092:29364147:-1 gene:DCAR_018406 transcript:KZM95164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNRITYYQNKYERNPTKRPTTKTGLLGIFGETVDAIDYYRAEIEKLLKEIIDLHSKLTSMKVVDICAVIEDFDLRSINLKRVHRMISYHCMKFLYVEAAEREKVINDPTYIIPAAFVSFKSRWGAAVCAQTTQSSNPTIWLTEWAPEPRDVYWDNLAIPYVKLTIRRLLMAVALFFLVFFFMVPISIVQSMANIEGIEKVFPFLKPVIREDTVKSFVQGFLPGIALKLFLIVLPTILMTMSKIEGLTSMSSLERKSAAKYHFFLLVNVFLGSIITGTAFQQLHKFLHQSATEIPKTVGVSIPMKATFFITYIMVDGWSGIAAEIVRLSPLVVFHLKNTFLVKTEKDREEAMNAGSLTFAESEPRIQLYFLLGLVYSTVTPILLPFIVVFFAFAYVVFRHQIINVYDQKYESGATFWPDVHRRIIIALIISQLLLMGLLSTKKATKSTPLLTLLPFLTVWFHLFCKGRFNSAFTEFPLQDAMVKDTLEKATEPNFNLKAYLEEAYVHPLFKAAELDRRISLNDEENNPLVATKRNAATGSKYPSNEGSPKQVPLD >KZM93104 pep chromosome:ASM162521v1:5:2434640:2434837:-1 gene:DCAR_016349 transcript:KZM93104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKDGGSSSGGNKKKNESLVLPPRKHVITMVGERLAQSATAPFNNKNKKINPENGDDNVAAPSS >KZM94691 pep chromosome:ASM162521v1:5:25018807:25021434:-1 gene:DCAR_017933 transcript:KZM94691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSRVLFCKSLLSSIPSLPAAYRFNSLASSSSASASRVFKMSADSSSTSSPSDTSASSAIDFLSLCHRLKTTKRAGWVKRGIQDPESIGDHMYRMGLMALISSDMPGVNRDKCIKMAIVHDIAEAIVGDITPSDGVPKEEKSRREQEALDHMCKLLGGGPRAKEIHELWREYEEASSEEAKVVKDFDKVEMILQALEYETDQGRNLEEFFQSTAGT >KZM94341 pep chromosome:ASM162521v1:5:21220740:21227595:-1 gene:DCAR_017584 transcript:KZM94341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSETMKENDYLMLKDLKLEIQENNDSATRGFAVCFWLYLQTCTSFPSILLHHQVNTDGTCSVPFLGLNEKKKLMLFPLSFLHQEAPSPGDQSWIENTCASSKTEFPLKKWVHVGCEVSVDFVRLYTNGALVGVKPLSSALNRDSHLNGPGLVSLSSTHGEAAGSYEIQGYVHGVEILSPTSPLKNYYLKDPPLQLSIDESSASDIDEEFDGVWGIVGGKASCRRNFSLDVILLDAIGQPVNKDVEVVASLLYADNEAPVEKPIDAEAPLLTSYDGIEFASWDRPSKLINGRASFKLKISQLSSKCDNKLFCLGFEIPDLGKYPFFRAISHPIRCISRHRYPRASPSTWKHQTSSMHLLNSSQSFGMGEESSDIPQNTVSAEKQSPSSKRIKLAQEKPLALGRVGILLEQVNREGNPPALICNKDNVTSLQKLQETYVEAEKCSSGSESSEAGISKSCSISSSEAPFSDSAIFRYCLEGLSERSLMLKEIAKVASEQELVNFAEQVSLYSGCFHHRHQIGMSKGLIENGNKAWNSVSEKNKQVLWDNLSSEIEEEFKKISCCSTRYLSVEDLELLRKIAGCQEVVKRENFERLWSWLYPVAFTLSQEWVKVVWDSVLPKWIEGFITKEEAEASLQGPNGLQDPGTFVLRFPTSRSWPHPDAGNLVVTYVGSDYNIHHKLLSLDLINSSGAKERNVKPLKEMLLEEPELSRLGRQETLTINLKSLFFKFD >KZM94886 pep chromosome:ASM162521v1:5:26746820:26748256:1 gene:DCAR_018128 transcript:KZM94886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETWFSKVKKSISNTFNTTPPNAKHVIKKSTNVGVLAIEIASLISKIMHLWRSLSEKNIARLKSECILLEGVHKIVSNDEAFLVSLACAEMVENLRNITKSLARLSKRCEDSSLQSFDRVLEEFSNTGRDPCNWIMSWKEMEAKIKKMDKYVKATATLHRELEELSAMESVVKKSMKSCKEHEASIKQLKIIDQQQKLAWQRQEVKHKKEKSLWNRNFDTVTILLARSVFTILARIKLAFGIGHAYPVPASSLPRSLSASAAVYPSENHFDNSVSMVSGPLIMMKNSKLQQESQPPSQGFFELNSEILKPPTGTLGAAALALHYSNLIIVMEKMIRSPQLVGYDARDDLYAMLPQSIRWSLRGRLKGVGFTATDPVLAGEWRDALGKILGWLSPLAHNMIKWQSERSFEQQNMTPKTNVLLLQTLYFANQEKTEDAITELLVGLNYIWRFEREMNAKALFQCSNLNGFLKLQTDAS >KZM93436 pep chromosome:ASM162521v1:5:6368976:6370175:-1 gene:DCAR_016681 transcript:KZM93436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGAIASEAWSQLVRSILSNTPPRDMSRDYYYTSPETGSDYLHKQSKRLERYKYKLGTKNIQTRMRYGMRSEQVYEDDGDKQGIEHIRPYLISTKHSQTNNADESPQDIEHVRESERSTKHSQPNVEPIPYSPRSVLHYENPQGIEHVQYSQISSKHSQGVQREEDESEQGIGDIRGSQRSSKHNQLVRVNEDEDENLQGIEYIRDCPRSNKVSQVVQTKKEEYRQESAQDQSNEAGIHIEHTRDSIKSTVSFKPAEYDDRQLIDDINQKRITTEPEDAYQQWNNYKDAMKMSTLFDELLEVIEKEDLIGQQKPTLRFQVLKVIKLLHHYGLDIIKRGVEKDLEDENLKCDEMEQNTEIYYKKKGLETMLRVCNTESCQATIYDIIGKAERHQVFFI >KZM96109 pep chromosome:ASM162521v1:5:38351829:38353238:1 gene:DCAR_019351 transcript:KZM96109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILKDEMEEQEDDDDTDERKEIEDKTMLETKMALEKIVNARLSRISSATKSCIKYKPSQRCSSAFNSGAKERIIRMVEMPVDQLEPPKLKHKRRVPKANGSSPPVPVMQSPPRPVTVKDQQDWKIPPCVSNWKNSKGYMIPLDKRLAADDGRGVEEVQINDNFAKLSEALYVSEQKAREGVAMRSKVQKEMMLRDKEKKEQELRKLAQIARSERTSAAAADKCMVDVDDMRGRDNDNGRERGAARETKEEREDRLRREKIREERRCEREIERRLEAKDAAMGKKSKITRDRDRDVSEKVALGMATTGGARGGQVMYDTRLFNQDKGMASGFGTGDQYYNVYDKGLFTAQNTLSTLYRPKKDADADTYGGEDHEQLDKIMKTNRFKPDKSFTGTSDKTGPRDRPVEFDKEPEEADDPFGLDQFSTQVKKGKKALDKVGGSGTMQARAGSSMRDGNEGGRSRVGFEKGQH >KZM94704 pep chromosome:ASM162521v1:5:25125144:25129538:1 gene:DCAR_017946 transcript:KZM94704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVSIVSPLQAIAGSLSAHQFPTIHKWGSGRDIGGRLIIRRSKSPSFRVLANPNASTGKENAKEVIMVDPVEAKRLAAKQMEKIKAKEKFKRRRQIEAINGAWAMIGLTAGLVIEGKTGNGILAQMIWLHFYPRL >KZM95142 pep chromosome:ASM162521v1:5:29094210:29096882:-1 gene:DCAR_018384 transcript:KZM95142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKYVARVLSSTNTTTLLRRTPSSFLRPTTALRNAPTAGGARGLSSGGNLMKGLLDHGADGKHWIIWVKEPEGNPTRDEIIKNYVNILARALGSEEEARMKIYSVSTRHYYAIGALIDEEICSKLRDMPQVLSINEDTYMDLEKRDYGGEPFIDGRPVPYDRKYHAAYWAMQEGMVSSPTNMDDHKDAEIRATNSTSQDKEADYKLSIPRAWFSRVRVWWNGPSP >KZM95840 pep chromosome:ASM162521v1:5:36082105:36083125:1 gene:DCAR_019082 transcript:KZM95840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCRKRKQLYQLDHSDSDSESSTSTDSCTLKRCKTVDTRGGGEQKSCHQCKRSDKGNVVSCSKCERKRYCSSCISKNYHEMTEEDFVKACPFCRDICNCKSCLRLELPEKNKIRLSKEDKVHYSKYLLKRLLPFVRQFNEQQILEREMEAKIRGTAH >KZM93718 pep chromosome:ASM162521v1:5:9677995:9685787:1 gene:DCAR_016963 transcript:KZM93718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDTSSSLIRLNIGGKKFCTTIDTLTQREPDSMLAAMFSGRHSVCADSGKGYIFVDRDGKHFRHILNWLRDGVVSSLSDSEYSELLREAEYFQLLGLIDEINTVLNNRKEEEELNTELTRNDIIKCIQSEKVRFRGVNISGLDLSKLDLSYVDFSYACLKNVFFSRANLQCAKFRDVDAEGSIFHNATLRECEFTGANLRGALLAGANLQSANLQDACLVDCSFCGADLRSAHLQTANLVHCQTADLTNANLEGANLEGANLKGAKLHNANLKGANLQRAYLRHVNLRDTHLEGAKLDGANLLGAIR >KZM94193 pep chromosome:ASM162521v1:5:18401124:18403826:-1 gene:DCAR_017436 transcript:KZM94193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISSKPASVCHEQDVPVKEKESAKRKLVLERCKGDEEDTRCIDVAEKLPPLQISESVKLKMDRIRGDGEETGNIDVVEKLPPPPPLSEYKKMKMGQCRMDGEAGQNDVAEEKIARDNAESRKSLLETHTLGRKPVALVIEKLY >KZM93702 pep chromosome:ASM162521v1:5:9397334:9397888:-1 gene:DCAR_016947 transcript:KZM93702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAYEESCKNIIIETDNLEAFGLLKFQHNGISTEARNIIQQLMILKKDNSWKCKIGYVYPRRNRVTAYLALLGADLFFRLILFFEPLGRAAELIDMDIGLGFYDPRYQEVPMDEFEMELLDQALEEGWGAPNGPGHAAQFMNTAGIHGVQHAEIPGEMEIHDLIYEDKIEEEEKRDNPVMMTG >KZM94080 pep chromosome:ASM162521v1:5:14994641:15000203:1 gene:DCAR_017325 transcript:KZM94080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISVLNLLLLALCLGFEVVQCSVSYDNKAIVINGQRRILFSGSIHYPRSTPEMWEDLILKAKDGGIDVIETYVFWNGHEPSPGNYNFEGRYDLVRFLKTVQKAGLYANLRVGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKNAMKGFTEKIVNLMKSEKLYESQGGPIILSQIENEYGPQSKNLGSAGHDYMTWAAKLAVGMDTGVPWVMCKEDDAPDPVINTCNGFYCDDFSPNRPYKPTIWTEAWSGWFTDFGGPIYKRPVQDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLTELHKAIKLCEKALIATDPTVTSLGSQQEAHVYSAKTGDCAAFLSNYDVKSAAKVLFNDKHYNLPPWSISILSDCKNVVFNTAKVGVQTSQMEMLPSNVDINSWETYNEDISSMEDSSAFTTSGLLEQINVTRDASDYLWYITSVEIASSESFLHGGELPTLIVQSTGHALHVFINGELSGSTFGTRENRRFLYKEKVNLRAGTNKISLLSVAIGLPNIGGHFETWKTGVSGPVALHGLDQGKLDLTWQKWTYQVGLKGESMKLDSPNGVSSVDWIQGSLITQKNQPLTWHKAKFDAPEGDEPLALDMKSMGKGQVWINGQSIGRYWTAFVSGNCNGCSYPGTYRPSKCQVGCGQPTQQWYHVPRSWLKPTQNSIVLFEELGGDPTKISLRKRSVTGVCAEISEYHPNIRNWQIDSYGKTQEFHMPKLHLGCNQGQSITSIKFASFGTPSGTCGSFQQGTCHASTSHATLEKKCIGKQRCAVAISNTNFGHDPCPNVLKRLSVEAICAPVTSTTTQSNTRD >KZM93180 pep chromosome:ASM162521v1:5:3183492:3186687:1 gene:DCAR_016425 transcript:KZM93180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIISPTSSSLTVISREKGSDSKCKFNTHPRILAACFVKGSRKISQKGSERCSIYKTSVRNGVMCSAAAASVLPSALLFDCDGVLVDTEKDGHRISFNDTFAEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTKWPEKAPKSEEERKDFIASLHKRKTELFMVLIEKKLLPLRPGVAKLIDQAFAKGVKVAVCSTSNEKAVSAIVSFLLGPERAEKIQIFAGDVVPRKKPDPAIYNLAASTLEVDPSSCVVVEDSAIGLAAAKAAGMKCIVTKSGYTADEDFLNADAVFDCIGDPPEERFDLAFCESLLQKQFVN >KZM93641 pep chromosome:ASM162521v1:5:8760997:8768743:1 gene:DCAR_016886 transcript:KZM93641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGALKAVINRFSSSISPRFLRFRDVSASTCFHQMDGSNSCFASAQIDETLGEASETTYVNGLLPKKFSNQARRSLVNFHDTSNISRHYSSYYKDHTVKHKLLGTIPGFVKIWEVGPRDGLQNEKEIVPTAVKVELIKMLVSSGLHIVEATSFVSPKWVPQLADAKDVIQAIQNVEGARFPVLAPNLKGFEAAVAAGAKEVAVFASASESFSRSNINCSIEDSLARFREVALAAQKLSIPVRGYVSCVVGCPEEGAVPPSKVAYVAKELLSMGCMEISLGDTIGVGTPGTVVRMLEEVVNVVPKEKLAVHFHDTYGQALSNILVSLQLHVTTLPKFV >KZM93735 pep chromosome:ASM162521v1:5:9940952:9942337:-1 gene:DCAR_016980 transcript:KZM93735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDSQVTVVMVPFLAQGHLGQLLHLSRLISSYNIPVHYVSTTTHIRQASSRHQGWDLAAHPNIHVHEFPIPPFHCPAPNPNAQTKYPSQLQPAFEASIHLRDPVARLFTSLSNTFRRVVIIHDFLMGSVVQDFVSLPNVESYIFQSTNAFFFSSYYWDLAGKPGSPDDNVFQQLPSFEGCFTPESMAHGEKQQICVKNSSGELYNTSRSIEGHYFDLLQKFQPDKKQWAIGPFNPVDIFEKPDQQRHECLQWLDNQASNSVIYVSFGTTTSLTDEQIHAIAVGLENGGQKFIWVLRDADKGDIFGGDVRKSELPMGYEDRILETGQGMIVRGWAPQLEILAHASTGGFMSHCGWNSCLESLTMGVPMATWPMHSDQPRNAVLISKVLKVGIVVKEWTPGHDLVESFYIENAVKKLISSTEGHEMRKRAADLRNAIKKSVAQGGVSRLELDSFIDHIMRLN >KZM94997 pep chromosome:ASM162521v1:5:27787361:27794108:1 gene:DCAR_018239 transcript:KZM94997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGTSRVVGCFVPSYGKGAAEMDFLEPLDEGLGHSFCYVRPMIFDSPAITPSNSERFTIDSSTIDSETMSGSFRHDTIEDISGLHKLNKSFSETTFKAISGASVSANVSTARTGNQCALFATDALEPAASFESTSSFAAIPLQPVPRCSGPLSGFMSGPLERGFASGPLDRGGGFMSGPIEKGAISGPLEVTDKSNFSAPLTHVRKRPGFRHLVRSVSGPMRSTLLRTFSRHSAGVGWMQRLFPQPVNQFIWHPKEPRFQTEVSHNFSEDGPLEGECRETRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPEFLMSHLYKSIDKELEGLLWDYEEKSVHRDVQLDLTQTRNTLTDSQCQQMVDTQSHIGDVHYGNSENLSTIGIALNQSNGDKIEEIGVDQHLIRSEEPSLLGTKICPLSTEKIAGPARKSKRLYELLQIEAKDNEVQLSQSSTEQKTRSNSNSVQNVTNICPEGFFREEQLNLKRGSCNNLVEEPSTSEVYVGNSVTANTKIFLDSSSVTRQGRTRKSIISSKIRQLYQNRKSLRKKLLRWNYDWHREESSAEERIPEPETFRPIRRCKTGIVDHDNVLRAMARALETTEEAYMKMVEKDLDRTPELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQEKPHDRHQSSTFLRDDARNQNKSRESLVRMELDRISEESPIHNQNSQASDLNKNREISICRLKMRAVQLSSDHSTSVEEEILRIKSEHVDDNQAVINGRVKGQLKVTRAFGAGFLKKPGCNKALLEMFQIDYLGTAPYISCIPSVLHLQLSSNDRFLVLSSDGLYQYFSNEEVVAHITWFMEKVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPNGDRRKYHDDVSVMVISLEGRIWRSSG >KZM93517 pep chromosome:ASM162521v1:5:7435896:7436753:-1 gene:DCAR_016762 transcript:KZM93517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIATTKVSAHSRSISLPSRSHPLTATVEEHLCRLRTPEGASSSTVSKCDKLSALQDLYECVEDLIQSQAAQQDRLSCGEDILCGSIRLLDLCSTSKDALSHMRDSVQDLESSLRRRQTDVSSRIASYLVCKKKANRMLSKCFAGSKKSKINKSIETPAIVSLLREVEEVSISVFESIFSSICPAKEASTKSTWSKVFKSTQSKRVHCEEDTEEIINQVHNMDMALEAISKKSSKKSDITETEDVQKCLTALDMNMQECEEQLDCLVRSLIKTRVLILNVLNH >KZM95135 pep chromosome:ASM162521v1:5:29017796:29019304:1 gene:DCAR_018377 transcript:KZM95135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNVSESKRVVPLNTWILISNFKLAYNMLRRPDGTFNRDLAEFLDRKVPANTIPVNGVYSFDVIDRVTNLLNRVYRSAPDSETQRGIIDLEKPLSTTEIVPVIIFFHGGSFAHSSSNSAIYDTFCRRLVGICNAVVVSVNYRRSPEHRYPCAYDDGWTALKWVHSRPWLKSGKDAKVHVYLAGDSSGGNIAHHVAVKAAESGVEVLGNILLHPFFGGEERKDSETRLDGRYFMTLRDRDWYWRAYLPEGEDRDHPACNIFGPRSKSMKGLQNFPKSLVCVAGLDLVQDWQLAYVEGLKEAGQEVELLFLDEATIGFYFLPNNDHFYTLMDKIKDFVNHSCQ >KZM95542 pep chromosome:ASM162521v1:5:33141148:33142790:1 gene:DCAR_018784 transcript:KZM95542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPENSNGTSVHGVTGREQTSDKTAEFDLPVDSEHKATALKIFSLAQPHMRAFHLSWISFFTCFVSTFAAAPLVPIIRDNINLTRSDIGNAGVASVSGSIFSRLVMGVVCDLIGPRYGCAVINILAAPIVFSMAFVSSPEGYIAIRFMIGFSLATFVSCQFWMSTMFNSKIIGLVNGTTGGWGDMGGGVTQLLMPFLFQLIKLAGATPFAAWRIAFFIPGLLHLIVGIMVLTLAQDLPDGNLSSLQKKGDVTKDKFSNVFWYAVTNYRTWIFFLLYGFSMGVELCINNVISEYFYDRFNLNLQAAGMIAATFGMANFASRPLGGYASDVAARKFGMRGRLWILWIFQTMGGVFCIWLGRANSLPLAILAMILFSIGAQAACGATYGIIPFVSRRSLGLLSGLTGAGGNFGGGLTQLLFFTGTTFSTAMGLTWMGVMAVVLTLPVALVHFPQWGSMFFPASGNEEHSEEHYYGSEWTEDEKEKGLHKGSLKFAENSRSERGKRMIVALPFTSPDGSPSHA >KZM95185 pep chromosome:ASM162521v1:5:29731440:29732081:-1 gene:DCAR_018427 transcript:KZM95185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTFGRNLQMCFTNIMHPPSSSQSSSPIQQQTQENNNQNPSKISSILINNFNSLYHDPDNYNHATTSSSSTMPPPSPQSDDTDSTTPDFAAVYKSRRFFFSSPGHSNSITESATSSLSSSSCSSSLPERDNGVVDGGVPVPTFSPDPYLDFRRSMQEMVEARDLADAREQMDYLHDLLTCYLSLNPKSTHKFIIGAFADLLVSLMTSSPVEG >KZM93029 pep chromosome:ASM162521v1:5:1850971:1851426:-1 gene:DCAR_016274 transcript:KZM93029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPELENITFVKAEPENFRDVVQKLTGSSTDPAVQRISVTLSARPSNGLYHTVEVGQTRPSFMLQERRQATRNLAIQLNQNTTSHGQYAFLPDMKVTDFSPVSTLDMFSPSVPEEHAIANKGFYLQPGPVSTSRKSEPKLLNLFPTSSDS >KZM93101 pep chromosome:ASM162521v1:5:2424018:2425956:1 gene:DCAR_016346 transcript:KZM93101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTQKERTHKHEFPPPYASEPLDGVETIKNALYVNGVLDIAITILTWLASYCTVVQSHMVNQVTNKLLEKKIVENSNEQDSIQKLLCHSVSKDKVCLYVSIIYICLCLFSSLIYLLTFKFSLKIRDSHSHD >KZM95956 pep chromosome:ASM162521v1:5:37025529:37032234:1 gene:DCAR_019198 transcript:KZM95956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFSYFIKHFHGSPSSSKLLILLSISGGGLVAYADSPVDSRSNYSKSTNVEPKKKRILVLGTGWAGTSFLKDLDISSYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRNGEIKYWEAECLKIDPASKKVFCKANLDEALVGSNYFSLNYDYLVIALGARVNTFNTPGVLEFCHFIKEVEDAQKIRITVIDRFEKAVLPDLTEEERRINLHFVIVGGGPTGCEFAAELHDFIYEDLVKLYPSVKDLIKITLIQSGDHILNTFDERISTFAEQKFRRDGIEVLTGCRVLSVTESSINIKHKSTKELSSVSHGMVVWSTGVGTRPVVMDFMEKVGQTDRRALATDEWLRVKGCEDVYALGDCATIDQRKIMEDISSIFKAADVDNSGTLTIEEFRQVMEDMIIRYPQLDLYCKSKHLLELTDIFKDSKGNVRAEVDLKGFRRALAHVDSQTKSLPATAQVVAAQQGAYLSRCFNRWDRCKSNPEGPLLFDKSGRHEFRPFLYKHLGQFAPLGGEQAAAELPGDWVSMGRSTQWLWYSVYLSKQVSWRTRVLVVSDWTRRYVFGRDSSRV >KZM96262 pep chromosome:ASM162521v1:5:39604567:39606725:1 gene:DCAR_019504 transcript:KZM96262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKTLSTTGLKHRPLTPFRILRGLICLVIFLSTAFMFMVYFGPVSFLLLRLFSIHYSRKVVSFIFGHWLALWPFLFENINETKVVFSGETVPPGERVLLIANHRTEVDWMYLWNLAFRKGSLGYIKYVLKSSLMKLPILGWGFHVLEFISVKRKWEIDESTMHQRLSTFTDIRDPLWLAVFPEGTDFTQQKCEKSQKFSAENRLPILKNVLLPKTKGFHACLETLANSLDAVYDVTIAYKYQCPHFLDNVFGVDPAEVHIHIRRIPVAEIPSSESEVADWLMDAFVLKDQLLQDFNSRGYFPSQITEKEISTSKSLVNLTVIVALTVVFTYLTLFSSFWFKIYIVLSCVSLASATYFSIRPTPILDYVKAAVFQRKDK >KZM96285 pep chromosome:ASM162521v1:5:39791631:39801709:-1 gene:DCAR_019527 transcript:KZM96285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSPLLDSRHNHISQDGQGFNNHIPLSPQWLQTKPGENKTGISTGENQFSPYSGHSARADVTKSPGNGDQAHDVQKRKDVFRPSVLDAELGRRDRWRDEERETNTSVRRDRWREGDKELGDHRKADRWTDNSSGRQFGEVRRAPPERWTDSSNKDNNHEQHRDTKWSTRWGPDHKENDSVREKRVDYGKDATDVSLHKGLSHHTYQGKDDKDGNHYRPWRSNSSVSRGKADSPNQQTLVPNKQISSFVQSQGRGRGENVSATFSLGRGKVPFGSNSFNNLSNYPRSPGSVADKGEYHDQSPLRYSRTKLLDLYRNTDMGSCGKILNGITQVPSLTQDEAVEPLAFCVPSSEELVVIEGIDRGEVLSSGAPQSAKDGSVGRGSIDNTPSRRTRLGSREDLPIGSDGNDMSKGKEGASYRRNDQITASNESSTQGISSVHPSAWRSSAMGEHTNSALPDRRGVPSDSWSRTSDFSWPQSQKDLSNNVGKTPTWGDDPVIRRQFSAVVDREQEQRTLSQPSPEELVLFYKDPRGETQGPFSGVDIIGWFEGGYFGLDLLVRLANAPTDTPFTPLGDVMPHLRSKARPPPGFAAPQQNEMTDAVNRPNNSNLGKLHTSSSEVDMTRNDARYMQGPTDGASRFLESLMSGNISTPAENFSPGMQGYHGSGEVPPLGMESGDNLSLLVKRMTLERQSSLPDPNQFWPGREAPSVGPKSDYLHGSSLQQSNISSSITDNPCSPLHSQNADFISVLQGLSDKSSPVVNNVASGWSNFPVIGGPDPHQDKLNMLHGQNIPPQVAYQQRLQSPNQPSLTALSGQGVDNTSGMLTRENLLSGLSQDPQLLSLLQQQHLNPQLLSLLQQKYLMQLQSQGPAPSQQLSVFDKLLLLKQQQQKQEEQQQLLRQNQLLSQVISEQQSQQHFNETSHGQLQDTGLLEENASVALNRFQTSHESLQTGAQIQVSNMPDEPNSTNLPPNMSQGKGIVVGSETSSIHLPHEMFGNAIHQRVWGTNLPERLDDIQSQAALLASPPHISKVLDNYQQENIFCNNLKTDKPNIPELSEAGRRYSSLEQTVVPATNPNVQTEVLLPGKVNKLNVTAATVSAGTQIGSVPGGSSSILKEVKSIDEKDLKKGAEKKSRKHKSLKAQASDQAKDVPKVQQLKELEYEVKSGFDINLESQTIFLSHDSSYEVPLQETQESKSNAIMGEIVAQQGKIDSPGLPGQSYVEDGEIMGAKSISGQLGLLPQLNAHKQGGPRGWKPSPGLKPKSLLEIQQEEQEKAHAQMSAPDTSLSLGSTSATIPTAWAGVIASSDRRSIRERQHNGGSLESQMGNTGGSVTQKSMKSQLHDLLVDEVVKPSVAVGVLDSKSNLPLVSAQNSEFDSIDNDNFIEAKDSKKNRKKSAKAKSSGGKVSAAAASADASFASSPTEKAKSSRFIQQDKEVLPAVPSGPSLGDFVLWKGDNANTLAAPAWCTDSGKLTKPTSLRDILKEQGKKVSTGQHQNAIQIPTHSAHGNGSSKTVIGSSPVKVASAVQNTPQASSQTKKRVDDDFFWGPLDQPKKETKQPDFPQLASQGSWGKNSPVKGALGSSVSRQKSTGNRTAEFASPASAHSSSRGKKDVVSKQSEAVDFRNWCESECVRLIGTKDTSFLEFCLKQSRSEAEILLTENLGSYDPKHEFIEKFLNYKDFLPANVLEIALEGQNDQKVTGHGPGDVSSAVSGFGNSDQGMATVPDGTTKGGGKKKGRKGKKVSSTVLGFNVVSNRIMMGEIQSVED >KZM96239 pep chromosome:ASM162521v1:5:39467387:39471496:1 gene:DCAR_019481 transcript:KZM96239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERPETELISIPATPRASTPEILTPSGQRSPRMGTSKEGKSSSAWTPTSFISPRFLSPIGTPMKRVLVNMKGYLEEMGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVIPVAFSFLGWSWGIISLTIAYIWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQILPNLNSIAGLSLIGAITAITYSTMVWVLSVSQPRPPSISYEPLSFPTVSASLFSVLNALGIVAFAFRGHNLALEIQSTMPSTFKHPAHVPMWRGAKVAYFFIAACLFPVAIGGFWAYGNLMPSGGMLNAIFAFHSHDISRALLAVTFLLVVFNCLSSFQIYSMPVFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFISFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPTKYSFNWYFNWILGWLGIAFSLAFSMGGIWSMVNSGLKLKFFKPPN >KZM93534 pep chromosome:ASM162521v1:5:7536076:7541518:-1 gene:DCAR_016779 transcript:KZM93534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASSSPPLPAADLSPEITKRKKKKGRPSFADLQKRNQSKQEQKSLSLSSSPNSSRRSTRRNPSPELGDDDDDERKEKKVKLVVRLPQSTYQQHNEQHFDNLARSTSSFSEEEEEDGENIEAVVKKRKINAVTDRSGDNDQEEKVLKATDPPNGSPLGSGPTTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDPNELPDYHEIIKNPMDFGTLRRKLDAGSYLNLEQMEADVLLICSNAMQYNSSDTVYFRQARSIQELAKRDFENLRHEGDDGELRPKVVRRGRPPSKHLKKPPISTSVDRFAPEISSGAALATAEPTNGSGAYNLRRAPASYKFQTTDGSSHRSRNGENYSEWLADWNDEFPASILRADMKYGKRLVLIDETRRETYKQFHPSAFGHEPSSLSDLVGDTKQLMPIGLHVEHSYARSLARFAANLGPVAWKIASKKLGSVLPPGMKFGRGYVGENDASYQSPFFSFDKQQPLSSPAFDGNKGRPVTPPTLGANPVMTTGFQDKNNLVEVDRRISSQNNLALLQGTPVSGIRPGQGVLTPNKTASQAERNGFNSVFGYSFPSQMGLVRPGMLSAHSGPEEASSASQLPSMVPICDSTSTPITSANNVDTAEQKGSEDSRTLNSGFPVAPSTGSDQYTVADGNSSWQAVASHPRQYSLPVQPDLNVKLQAPSSPSSGLRIGSPQQPDLALQL >KZM94443 pep chromosome:ASM162521v1:5:22391722:22393367:1 gene:DCAR_017686 transcript:KZM94443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLLVLTISLPLLLLFKLGNYYVSNLNPRPPGPRGLPLIGDMHKFDTLNTHLYLYKLSQKHGPLMSLQLGSVRILVISSARAAKEVFKYHDLCFSSRPTSLSLQKISYNGSDIAFAPYSLYWRDMRKLCTLHLFSSQRSQSFQPIREGEVARMVRAIRDEAAAGSSIVNLSKTLTTLTSSVIFRITFGKRYDEEEEYGSMNDTQNKISSKFHWVLTETQANLSSLFLADCFPVMGHLIDRLSGAWSRLEKSCNEIDAIYQQLIDEYLHTSGTASTQDGSILDILLQMKKDSSDFTLDHIKAILMNVLVAATDASAAAVVWAMTLLIKNPAPMKQVQQEVRDLMGKKGFVDENDVQKLVYLKAVVKEAMRLHPPSPLLLPRETIDKCVINGYQIEAKTRVYVNAYGIGRDPECWDNPDEFLPERFMNSSIDFRGQHFELIPFGTGRRICPGISMGVATTELALANLLYSFNWELPPGKDRKDIDMAALPGITMHKKNHLCLVPVIVN >KZM93783 pep chromosome:ASM162521v1:5:10691882:10692052:-1 gene:DCAR_017028 transcript:KZM93783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAPEKSPGLVGSLDGIKSASNLEKSLERQEMPGGVNSSFGSEKSLKRQRTLLSF >KZM96290 pep chromosome:ASM162521v1:5:39849415:39862198:-1 gene:DCAR_019532 transcript:KZM96290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADIPCCGTEFVLYVFASLGLVLFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQDRKHAAKIYPVVKNQHLLLCTLLIGNSLAMESLPIFLDKLLPPWAAILLSVTLILLFAEIMPQAICTRYGLTVGAAVAPLVQLLLWLFFPIAYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALELTEKTAKDAMTPISKAFSIDLDGTLSLETLNAIMTMGHSRVPVYCGKPTNIVGIILVKNLLTVDPVDAVPLRKMMIRKVPRVSEDMPLYDILNEFQKGHSHIAVVYKSLNATKEFTKKTNDATQNMTSKTRSHVADRTDGNENKKSPVAAPAFKKRHRGCSFCILDIENTPIPEFPPNEVVVGVISMEDVIEELLQEEILDETDEYVNIHNRIKVNMHATQEQDSENLERDSPTSTHQSLKLMLNYGIKRLNFYLILKSRLNFYFFLKSRLDFFLLGMAIVARFGRQAKRFNGVCIKTSAVAVLGLCFILVWSAFSSSYTSVTDQRSTFGEISQPISSNQNRGKPQIHEFKKDNTESDLEKDVRKRINGSEPLVKVDSKEVKEGDVKVEKDSSKESENGNEEEEEHKVSDEPENEEVRKESEEEEGKVNGEVQGDDDVNAVLDSEGEAKVVVNEEDESSELEGEKKVKKKLGPLFDPKEKYNWKLCSSRSKHNYIPCIDIEKATGRLKSYRHHERSCPKLPPTCLVPLPHEGYGIPVSWPESKLKILYKNVAHPKLAAFVKKQSWVVESGEYLTFPQNESQLKGGISHYLEFIEEMVPDIEWGKNIRTVLDIGCTDSGLASSLLDKDVLALALGVKDDLVDLAQVALERGFPAVISSFGSRRLPFSSGVFDVIHCGECLIPWHSNGGRHLLEMNRILRPGGYFILSSKQDSIEAEEAMSKLTASICWNILAHRSDEVSDIGVKIYQKPESNDIYELRRKKVPPMCKENENPDAAWYVPMKNCLHAIPTAIEQRGTEWPDEWPRRLMTFPEWINNKEKLIADSDHWRSIVTKSYLIGMGIDWSKIRNVMDMKAIYGGFAAALSQQKVWVMNVVPVNAPDTLPIIFERGLVGVYHDWCESFTTYPRSYDLLHADHLFSRLKDRCKQPVGIVVEMDRILRPDGWAIIRDKMEILEPLEGIFRSMHYEIRMTFAQGKEGLLCAQKTLWRP >KZM94172 pep chromosome:ASM162521v1:5:17676111:17677202:1 gene:DCAR_017417 transcript:KZM94172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPVFSGQGEFLMNISIGTPPKPFSAILDTGSDLIWTQCSPCTKCFDQPTPVFDPKNSSSYSAIPCSSQLCKDMNIFRCDNGCKYLYTYGDQSSSQGYMAMETFTFLDSTNSKPMSVPNIGFGCGVNNQGSGFGQGSGLVGLGRGPLSLISQLGFKKFSYCLTSIGDNKTSSLLLGSLADFNYSTSSSYAKWTPLIQSSYQPTFYYLDLQGISVGETLLPISDSLFKLREDGSGGMIIDSGTTISYIQEDAFEMLKKEFSSQMMLRVTKSDSGGLDLCFDLPTNDISEIKVPKLKFHFKGVDLELPVENYMIADINMGLVCLGMAASNSMSILGNVQQQNFLVLHDLETESLSFVPTQCDQL >KZM92927 pep chromosome:ASM162521v1:5:922309:922962:1 gene:DCAR_016172 transcript:KZM92927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPSTYSQINLQFPEQEHLKCPRCDSSNTKFCYYNNYNLSQPRHLCKNCKRYWTKGGTLRNIPVGGGSRKVTKRSSNPKKSTNSKSTQEKQEPLSASASVINTKDQDGSLLEIGGTFSSLLEVNNGQFGNMIEGLNASGSPSLQLGDFSQNQSNSGLGSSLVANPFVEFGNNKDSEGFLGVQHDQDSSSWSGTSGDPDLAMYTPGSSFSVDKSFNL >KZM94356 pep chromosome:ASM162521v1:5:21381337:21381816:-1 gene:DCAR_017599 transcript:KZM94356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTLATPSTQFLRFSGDNTLSAAGKSASDSSIALPRRNHRCTAALHAVAEPEPSVSSITRPASLYDILGVSKNASAVEIKSAYRSLAKLYHPDSSESSDGGDFIEIHKAYSTLSDPSARALYDLSQRSRERRPFNYAAASSDQKGYYTPRRWETDQCW >KZM95592 pep chromosome:ASM162521v1:5:33679837:33681682:1 gene:DCAR_018834 transcript:KZM95592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAQTKNGLSAKSGCCKPPTECGYVYGNETIWTPGSGLVGNSLDCSKWSNDQSQLCYSCDSCKAGVLASVKKSWRKVSVINIVVLIILVIAYVVACAAFRNNKRMDNDEPYGETRMEKAQPSRIHF >KZM94384 pep chromosome:ASM162521v1:5:21772600:21776037:-1 gene:DCAR_017627 transcript:KZM94384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFCLQDVVKRCLLDSLPLLCLEAVGYTGVVRLGSKHLHSLSLWCFERQLNPHFSGNGLRCFEIELNPHITGNDPRTKGFLVAIVLRVALCDQ >KZM96395 pep chromosome:ASM162521v1:5:40688887:40693350:-1 gene:DCAR_019637 transcript:KZM96395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEGVVAEAAGDVNSSAVKSVESGENCVNVVPVSDDGKKSDSNSKSEYGMQDLVDMLSKLNPMAKEFVPSRYSIDRNRDQYGVTFFVPAQKNLGNGNDGQSNNRRRRNTFNQGRKRMSGRAFRAQREDSIRRTVYVSEIDHNVTEERLAALFSSYGQVVDCRICGDPHSRLRFAFVEFGDEYSARAALNLDGTLLGFSPVKVLPSKTAILPVNPTFLPKSEDEREMCARTVYCTNIDKKVSQADVKIFFESRCGEVSRLRLLGDQVHSTRIAFVEFVMLLWRSSQLYGGLIDRYKKHSDSNMRFEGYTRLMAKNRDPDPSAESAILALNCCGQVLGSQPVRVSPSKTPVRPRAPHPGMQY >KZM96388 pep chromosome:ASM162521v1:5:40651668:40654257:-1 gene:DCAR_019630 transcript:KZM96388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMTSHNLEFGRSGLDFVACGCSSNASYLVRNSSKGVLRRVKYEFGARKWLCFRRDFGSCRVVSTKTPEAFVNENPVLMSAAEQIFSAGGKRMRPALVFLVSRATAEFVGLKELTKEHRRLAEIIEMIHTASLIHDDVLDESDMRRGQETVHQLYGTRVAVLAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDVELDEYLIKSYYKTASLIAASTKGAAIFSGVDSDVCEHMYQYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLSKGNLTAPVIFALEKVPRLRDIIESEFCETGSLDEAIELVKSCGGIERAQNLAKEKADLAIKSLQCLPQSAFRLALEGMVKYNLERID >KZM95131 pep chromosome:ASM162521v1:5:28958647:28962817:-1 gene:DCAR_018373 transcript:KZM95131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPQFNLAPDIPVSRLCLGTMTFGQQNSLSQSFHLLDYAFDAGINFFDSAEMYPVPQSAQTHGRSEEYLGCWIKDRKIPRDRVVLATKVSGPSGQMSWIRGGPTSLGAGNITQAIDNSLLRLQTDYIDLYQIHWPDRYVPMFGETEYDLSRQFDSVGIEEQLDALGRAADAGKIRYVGLSNETPYGLMKFLQVAGSTSRFPRIVSVQVVSLLAYSPLAMGILSGKYLSPDKGPNDARLNLFRGRYSEGESRYNLSKTIIKEATKAYVAIAKKYDIHPVSLAIAFVLKHPLVASAVFGATKLWQLQEVIKAIEVELSPEIVSDIDSVHSKFPNPCP >KZM94477 pep chromosome:ASM162521v1:5:22874473:22878287:-1 gene:DCAR_017720 transcript:KZM94477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDGNEKRDIGLEGANGPLQNVAAINEAGNLGEGMSDSGGDGLRLKPDKNVKHDCEEALQLQQQRSQGSMVHWQTFLHVSSIKVLLVENDDSTRHVVAALLRNLNYEVIVAANGLQAWRILEDLSNHIDVVLTEVVMPCLSGVALLCKIMSHKTRKTVPVIMMSSHDSMGLVFKCLSKGAVDFLGKPIRKNELKNLWQHVWRRCYSSSGSGCESRTHTQLSVKSKSGIKYDKNNSSDENLNTGLKIGASNEDSDSQSSWTKEVDSSQAVSSKDQITKRSDSSAQLCSRAEPSTKFPATEPKQYQGIGDYSDDVHHGEDLVLGQPKTRELQLNSKVEVPFELLNTNRIIDSKLDLDSNKTSRANNQANHSLFNKDKTITARIPSPHTASKDHAKSSEVNIKAFDDSKVKAEIAHNLKRLRVAKDSGQAISTERNVIRCSDLSTFSRSKWYNTTSTDCKTSNVIDVSGSLEAIKDKSDIRTYSNRNTLCPSSEEIKKKVDIDLITDKLSINPAAVSKDTVDTTSNKLKATPAINDLNLTSVFAPTGLDLNCPPQQVILVKTDDLASQALLSPIRGSPSEPTVQHIHHHHHHVHHFHSLDREQPLSNLEKLPSKKPEVSPQSRSLNVIVGSVEGNTGICSLNKRGSGSKYGSNGQNGSSTVVHAGGTNAESEAYIKGNSENGDASGSANRNRIDSLTKFRHKKERRFDNKARSRNKKRPAE >KZM92960 pep chromosome:ASM162521v1:5:1212275:1214123:1 gene:DCAR_016205 transcript:KZM92960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNPRNWNQTALLVIDMQKDFVVQEGAMRVDGGLAIVPNVIEAVEVARRRGILVVREHDPMGRDVELFRRHLYSEGKAKPTSKGSVGSELVDGLVIKDTDYKLVKTRFSAFFCTHLHSLLQGAGISSLVVTGVQTPNCIRQTVFDAVALDYPSVSVIVDATAAATSEVHAANISDMKNVGVATPTLQEWSKSDD >KZM94963 pep chromosome:ASM162521v1:5:27414539:27430283:-1 gene:DCAR_018205 transcript:KZM94963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDTDQDTAIPNKTSPEQSQPHDEDDKTSLGSVSPAQPQSPNSLQSQQPGAPGPRHAPTYSVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGSPGYIGPRLILFGGATALEGNAGGGSGTPTSAGSAGIRLAGATSDVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQPRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDAKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDSKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIYIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAASNVQSGRYGFIEERTAQPNSDSPSDGAVVLGNPVAPPVNGDMYTDISTENAMHPGTRRLSKGVEYLVEASAAEAEAITATLAAAKARQVNGEVELPDRDRGAEATPSGKQISTLIKPESAVNNSIPAGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFTSEPSVLKLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETIALLLALKVEYPLNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVKEFCSNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPALLSPEESPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >KZM93545 pep chromosome:ASM162521v1:5:7636851:7639186:-1 gene:DCAR_016790 transcript:KZM93545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKTGLLPRILKIKQEKQEKYKSIYLTGSHIKSAAQCIGGGRITKVSYRTSQPPAKRAIFVQAGYSDGGRPSSGNSIFIGGFVLGGILIGALGAVYAPQISKALAKADKKLPALIYGEEKALEMTRKKLEATIDGLNADIDDISAHLRAEVSPNGVSNHSDEIEA >KZM93978 pep chromosome:ASM162521v1:5:13174037:13174387:-1 gene:DCAR_017223 transcript:KZM93978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCAQFNAFVGIPFTWSVLRVIPRSVRSYYTFDVKLLMMGLTISWNAIAVNGPMFAKVVPAKHWTMIYAFDRAFEGSFSSFATPVVGILAEQVYGYNPRPRPAPPVPLSYPTGAPS >KZM93051 pep chromosome:ASM162521v1:5:1976477:1977262:-1 gene:DCAR_016296 transcript:KZM93051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQREGKQVDGHDDQELKTPNLLLDLSLSSCSQVQDLDPDESVIKHQEPADHNLNLMINYLDDENDHTYSPRANNSSEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTIAKRRQRIGFMDSSSSSFMDQNPSMASLPLHGSYNRSLGIKVHSMIHKPSQVTYNFSSNGFGHLVPSLQQNRTKPTVYRPPVIGRLAQPPENSYHLVAPPSGGGAARFDSVWKFSPGGDGGRGYNRCESGSLLKKTNHQEDFQKLDLSLKL >KZM93537 pep chromosome:ASM162521v1:5:7574767:7576665:1 gene:DCAR_016782 transcript:KZM93537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAVFLWQSHPLYPFLLLLNRDEYHNRPTKASGWWEGGEILGGQDEVGGGTWLACSKQGRVAFLTNVLELHASPEAKTRGDLPVRFLESNKSPKAFAEELVKEAHQYNGFNLVMADLVCKSMFYISNRPKGEPVLIQEVKPGVHVLSNAKLDSPWPKAQRLELKFRKLIENAEDAISMEELAEKLMRDKEKVADRSKLPHIHSLDWEYDLSSIFVEVDTPLGCYGTRSTAGIKVRASGEVSFHEIYLENNKWKAHTVTYNIHRSNQKVEQLCI >KZM95487 pep chromosome:ASM162521v1:5:32576650:32583073:1 gene:DCAR_018729 transcript:KZM95487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKMGRVSVSGVNHAVKQKFVFGKYPEKEWLMKLKALAVNHHTPGITTNSIRPLWDQTLRVREFMALTNFPQRKRKVQQFLKGNEKREAQKLCDEQREAEYVRGQLLRASSASCVHKLNMTDSIENLHKRIHLNSLSSGSLLTSKDNIESLPYVSCIMDNVKDWYHSKKITSLIVDITGSIYTSNPVTPEHINLQEQQSLHLEKGRNSLNLEEARYDCLPSRSNRLVDFFGYQIKRMAVPVGQHFQADVSEWNGLSVNSDFDNSRWLGTKVWPIKMQNVKATSRSVGKGRPSSCSCASPGSTNCIRHHILEKRRLLQSDLGPVLHIWKFDEMGEEVSRSWTVKEQEGFDLIAKRKSSSSNFIQNAMKFFPSKCKEDITRYYFNVFIPRFMSSQARSLLKEVDIDIDDVDDVYTLNWRRSHEDRSRSYQRSCKDVISK >KZM93321 pep chromosome:ASM162521v1:5:4764058:4764555:1 gene:DCAR_016566 transcript:KZM93321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSVSFIYICMFLNWLSELHDFYSLKLHATLDFIYKNSAAEKRSLPEEDSDSGHEPVLLPGDVEFVFEKLGFICSSGEKFDEMENLFDENEPSIDEVKQAFCVFDENCDGYIDEYELKKVLERLGFQGLCTTECQRMIAAYDFNGDHKIDFADFFRIVQDSFC >KZM93451 pep chromosome:ASM162521v1:5:6569967:6572450:-1 gene:DCAR_016696 transcript:KZM93451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVASSKAVQSSSSSVWTRHSSLISLATLTLISLTYLSQKSLRIPSMVQAKSEIISIKDEVSDDVYHSPEIFRLNYAEMEKKFKVFIYPDGDPNTFYQTPRKLTGKYASEGYFFQNIRESGFRTEDPDQAHLFFIPISCHKMRGKGTSYDNMTIIVQNYVESLIYKYPYWNRTLGADHFFVTCHDVGVRATEGLPLLVKNSIRAVCSPSYDVGFIPHKDIALPQVLQPFALPAGGNDIENRTRLGFWAGHRNSKIRVILARVWENDTELDIANNRINRATGHLVYQKKFYRTKFCICPGGSQVNSARIADSIHYGCIPDPEALPVEYATYQI >KZM95746 pep chromosome:ASM162521v1:5:35138649:35144385:-1 gene:DCAR_018988 transcript:KZM95746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSIGKNGFLQSVSAAVWRTRPDEALAVGNEVETINQAPVYDAEPESPVQSKPPEQMSIPKSEKKEVAKPKKPQMKRVPSAGLRTDSVLQRKTGNLKEVFSLGKKLGQGQFGITFLCVEKATGKEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVSVHVVMELCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEECHSLGVMHRDLKPENFLLVDKKEDALLKTIDFGLSIFFKPGETFSDVVGSPYYVAPEVLRKRYGPEADVWSAGVMVYILLSGVPPFWDETEQGIFDQVLHGDLDFSSDPWPSISESAKDLVRKMLVRDPKRRLTAHEVLCHPWVQVDGVAPDKPLDSAVVSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKQMFEMIDTDNSGHITFEELKAGISRIGSNLKESEIYDLMQAADVDKSGTIDYGEFVAATLHLNKVEKEDHLFAAFSYFDKDGSGYITQDELQQACEEFGIEDTRLEEMIREADQDNDGRIDYNEFVTMMHGGNAGHVAKKGLENSFSIKFKEAIKH >KZM95126 pep chromosome:ASM162521v1:5:28922894:28923358:1 gene:DCAR_018368 transcript:KZM95126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKNLHGYIEVSPFLLFESTGDSEEDSHLTNCSCDDDDDNKEVTCDDVDDCQSCCSDSSEICDTYHDNDKSTDLVRVHGHVYDSNKRHRNDYDEDDDEDDDDGAVSQECSSRNAGVGYSRTQLKKCKVSVDSRVDSIKKEEKDKLFWDACLAS >KZM93552 pep chromosome:ASM162521v1:5:7693907:7697352:-1 gene:DCAR_016797 transcript:KZM93552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLPSELILWQNSGETMAAKDANRNVYFPGNSSFLAQFNSNERPSYDTMQLSLLPTTSNWSRPCMMPLLSIPNVDEGSSKANIILMGTAKNGGTGPSVGAVDIGTSKTAYYFRVSLPGVKKDPVFHLLAYNIVKRLDARPGKNSLKQKCKTDGDAQSFRTKKTPIYKKEKSQFSCEIERDGKVHVRGVTTTGENFVSRHTRVFEMKVQQQCPPGQFSCEIERDGKVHVRGVTTTGENFVSRHTRVFEMKVQQQCPPGPFTLSFSLPGPVDPRMFFPNFRSDGILEAVALKYE >KZM95953 pep chromosome:ASM162521v1:5:37011637:37013317:1 gene:DCAR_019195 transcript:KZM95953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFTRFRRTGHSNSGSIFRDRSWFHPFLASLSILITLFMSAGLLMFSSSFFWDPHQFDIVSFARSDSSGYFVESELNRLSETSRVLKTEAPRFAYLISGTSGDCQRMLRTLQAVYHPRNQYILHMDLAALPREMLNLTMSVKNDPVFHEIGNVYVMEKSNMVTYRGPTMIATTLQAIAILLKKSASWDWFINLSASDYPLMTQDDMLHVFSNMSRNMNFIEHTKIHGWKLHHRARPIVVDPGLHLSKKSGIFWTTQRRSIPTSFKLFTGSAWAILSRSFVEYCIWGWNNLPRTILMYYTNFISSPEGYFHTVICNTDEYRNTAISHDLHYIAWDSPPKQHPKSLTMKDFDNMVNSSAPFARKFAKDDPVLDKIDRELLGRTNRFVPGAWCIGSSEAGSDPCFFRGNDSVFRPGPGAKRLKVLTDQLLSKEFRDKQCKL >KZM93006 pep chromosome:ASM162521v1:5:1642025:1649667:1 gene:DCAR_016251 transcript:KZM93006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPREDASEQGNVDFKWGHFLAKGGANKDVHFYESFTFDGVEYCLNDSVYMWRDDVEELDIGKLVKVWETASRKRKVKTVWFLRPNDIKHWLGDVKPLKNELFLASGEGVGVFNINPLESICGKCNVICTSYDRRNPQVSEEELKIADFTFFRTFDVGNCKISDEFTDLIAGHRVENFFNKKTDQKNKRLHVEAHLNQEARKVGSPARVVRDNDYNRTSCVKQLDKVASLAKKDLFRDKNITQRPKAILGNGSAKTPSGQLLAKRKVRYLEDLTRANESDTSPYKKRAPQKNPVTSGSKEDLANFDGLLPNLPDHIQNLLNSRHPYTDKRGPSIGELNNKKSSSNLDRRADQSEHQKGLGILRQTIKVSRRPDVAMESNKWFKQKSWQERLERAHETNCLILLENLDPSYTSQDVEDIIWHAFQENVSAKMIQRNKFCSPRNGRALIIFKSKVVADSVLSELNNRCLMLDDQRPVLACRRNIRHPDNPSKFFGHLYMYRQRYQKQRDANAVSTSHYCQQNTIEYELALQWWILQSKSTLVWDALHEIHVQNV >KZM94679 pep chromosome:ASM162521v1:5:24890849:24891780:-1 gene:DCAR_017921 transcript:KZM94679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSRIVHKTNVTATRATAAEQLDSGNIPPRSKRKPCFDAEDLVLIVVVKRCGEGTFKYFVPFWFVLIYNHFSVHFFQIELSALLMLCIKFKDLSCIMQLWPYKATIKRCKFSVCSLLISVLTPEACFLS >KZM94889 pep chromosome:ASM162521v1:5:26763224:26764680:1 gene:DCAR_018131 transcript:KZM94889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAAGFVGSGLFSLGVSTPTRKLGNEKLRRTVIVRAEGINPEIRKSEEKVVDSVDLTQLSKAVTPYCRCWRSKTFPLCDGSHVKHNKATGDNVGPLLLKKP >KZM95571 pep chromosome:ASM162521v1:5:33509688:33516829:1 gene:DCAR_018813 transcript:KZM95571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLVLVSLISLLLFSLLVSPSLSKSPAGDDTDDDEDLTFLEDDAGEDDAASHDDSHFSDPEHSDAEFDDDFNYDDFDSPAGSEFDEYGSSAEFDESDVVVLNGGNFSEFIEKNRYVLVEFYAPWCGHCQALAPEYAAAATELKGEKVVLAKVEATEESELAEKYEVQGFPTIYFFVDGVHKVYAGQRTKDGIVTWIKKKVGPGIYNITTTEDAERILTSEDKIVLGYLNSLVGPESDELAAASVLEDDVNFYQTVNPSVAKLFKLEDNVKLPALVLLKKEAEKVSHYDGPFVKSAIAEFVFENKLPLVTTFTREAASLIFESTIKKQLLLFATLNDTEKVLPIFQEAAKHFKGKLICVYVQMDNEDVGKPVSDYFGITGDAPQILGYTGNDDARKFFLSGEVTVENIKAFGEDFVEDKLKPFYKSDPIPETNDGDVKIVVGNNFDELVLDESKDVLLEIYAPWCGHCQSLEPTYNKLAKHLRSVESLVIAKMDGTTNEHPRAKSDGFPTLLFFPAGNKSFDPITVDVDRTVVAFYKFLKKHASVPFKLQKPATTPTSPDAEPEAKESTEAKPKNLKDEL >KZM95174 pep chromosome:ASM162521v1:5:29570286:29571401:1 gene:DCAR_018416 transcript:KZM95174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKTIASLNSDLYYDILRRLDGASLASAACTCASFSSISKEEVLWENVCSTMWQSTHREDVKSLIKSIGGFKKFYADCFPLLVNKEVPEFRWSSYVDYPDELTEAEYYGDMDELENVSPSDLVSIVDIKYKDKTICSKVLWGIPNANGFNGWFSNCPFRIDLLAYSDRDNDDTGEVTLSETDGLPPVMSMERERKDGKLWQELRAGIRLSWIVVNRKLKQAANLSSLIPLGGQRHWPTDKDFLIRFGSVLPSKDIIPWQVVECILLMKFRVIYSDGTGEGQTTLKLTELSMQLGDVEGAHVNGRNSLLILKESLSCPRSKNYSEVLESYKLYSKAQSELKEEKIRNESMLDRLCILSGITAFATFCYYML >KZM96022 pep chromosome:ASM162521v1:5:37478472:37480222:1 gene:DCAR_019264 transcript:KZM96022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQKVVCCATPPVATPTSVPPQRTTSLPVRTIPGGYGWPVVGPLGDRLSYFWFEGPATYFKKRIEEHKSTVFRTNMPPTFPFFTGVNPNVIAVLDCKSFAHMFDMELVEKKNILIGDFMPSTGFTGDMRVCAYLDTSEPKHTQVKKFAMEILKRSSKIWVPTVTSNLDTMWDSIEAGISESGSVTPLAQLQQFIFNFLTICLIGADPAKSPEVAEKGYMWLDAWLALQVLPITNIPTLQPLVEIFLHSFAYPSFLVSGGYNKLVEFVEKEGQEVLELAQTEFGLSKAEALHNLIFILGFNAFGGFSVFLPSIFSALGNDTTGLQQKLRNEVKEKIGTNSLSFDSVRQLDLVNSFVYETLRLNPPVALQYARARKDFTLSSHDSAFEIKKGELLCGYQPQVMRDEKVFDEPEKFVADRFTKEKGSELLSYLFWSNGPQTGSPSESNKQCAAKDYVTLTSSIIVAHMFQRYESVKIDSSGAISDYEAAK >KZM93979 pep chromosome:ASM162521v1:5:13189270:13190787:1 gene:DCAR_017224 transcript:KZM93979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGGATGRLPTWKERENNKRRERRRRAISAKIYAGLRAQGNFKLPKHCDNNEVLKALCNEAGWIVQEDGTTYRKGCKPPPGEILTGTPTNMSACSSMQPSPMSSAFPSPAPSYHASPTSSSFPSPTRETNPSSYILPFLCNLAAIPSSLPPLRISNSAPVTPPLSSPTARGSKRPEWELPTNNSMLFRHPLFAASAPASPTRRHHHHHFTPATIPECDESDASTVDSGRWVSFQPSPSQAVPTSPTFNLVKPVAHQIGAVDTHGGLGWGAAAKRARGPEFEFESCTVRAWEGERIHEVGVDDLELTLGSGKAH >KZM94367 pep chromosome:ASM162521v1:5:21489097:21494318:1 gene:DCAR_017610 transcript:KZM94367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTHEPVTPGNAATSLSPPLSHPPPDAEYDELVQNQELFREKLEKFHQFKRTKIKIPTIGGRPLDLHRLFVEVTGRGGMDKVINDRKWKEVFQGFNCPTTITGASYALRSHYQSLLYDFEQVYYFRNSAPSVIDNDSASTIVNGSSALSLFEDSASSSIMEPGSLLNGMLVAKCELGYMVTVDVGREQWKGILYHNPSGSESLNDSDQNHTVICRSQNELWDPARFEENGVGYKYFHAEIWEKLKRFYGNEEDGMNKKIWLIWNRKSEAEKQLLDLISLSHEGVRKEYTTGACTLDFPAVEKFDQHRD >KZM96010 pep chromosome:ASM162521v1:5:37402232:37403651:1 gene:DCAR_019252 transcript:KZM96010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPILMFLLLAQMFFMLVPSSAGGKVPAIIVFGDSSVDAGNNNQISTILKSNFEPYGRDFAGGRPTGRFCNGRIPPDFISEAFGLKPFVPAYLDPAYSMADFVDGVNFASAGTGYDTATSDVLSVIPFFKELEYYKQYQSKLRAFVGDAKATYITSEALYLISLGTNDFLENYYILPTRRNQFSVDMYEDYLSGLAGKFVRDLYALGARKISLGGLPPMGCLPLERTTNFLQGNGGTCNEEYNRVALNFNGKLGALVNNLNQELPGIRVVFSNPYFTLQKIVQNPSSYGFEVAGVACCSSGLFEMGYLCNRLNPLTCGDADKYVFWDSFHPSQKTNQIVSNYVVRNVLNQFL >KZM94838 pep chromosome:ASM162521v1:5:26388675:26390243:1 gene:DCAR_018080 transcript:KZM94838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLKVLLITAVGSFLALDRIKILTEDALKHLNTVLFSKAGVIGLGPKFMSIYIQKLAIERHIYYDEVEGLTAEWLDATCTLVLNLSTINISSEIESIKKWRKQRQQSGFPGDGKDFDINLSFEDENLLESLNKKRPGVLEARHNKVLARVNQEPNTAETTNDMRCYSKRFLPEQEKEDLNG >KZM96375 pep chromosome:ASM162521v1:5:40572339:40574123:1 gene:DCAR_019617 transcript:KZM96375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMISAQTLTICKDAAGVAGNIFAFGLFISPIPTFRRIMRNQCTEDFSGLPYLYALLNCLICAWYGTPFMSDDNVLVTTVNTIGAMFQLTYVILYIVYAEKSKKLNTFVLLMAIFGVFAIILSASLFLLDRPTRHLIVGFLTCASLISMFASPLFIIRLVIRTKSVEFMPFYLSLSTFAMSVSFMLYGIFNFDPFVYVPNGIGTILGSVQLLLFLYYERKDTDHTREPLIESFP >KZM92916 pep chromosome:ASM162521v1:5:766614:767245:1 gene:DCAR_016161 transcript:KZM92916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVMPDRLQKLYRGTTVEGIINMEQKTGKVTEDFVQQSGSVVVFVATVKTDIIAKSEWVFGYVAGVAGLYFCYKNGETSRLREEVAEQNKIIGRLVDDVGRCGQLQSACWNLFPRFAEQVLNIKPGT >KZM95841 pep chromosome:ASM162521v1:5:36133647:36134001:1 gene:DCAR_019083 transcript:KZM95841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYYVIVAAWYDVRILGPVWPNINPKPSGSSKNKGSRKKAHARHMTGLGMLVLKQLREEKIQSRGLRFLQMYLRSRSGDSLVSS >KZM96536 pep chromosome:ASM162521v1:5:41700186:41701094:1 gene:DCAR_019778 transcript:KZM96536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKIQGPEEPAKVYRSCHPPPNPPPINRPVRVYADGIYDLFHFGHAGSLEQAKKSFPNTYLLVGCCNDEITHKYKGKTVMTELERYESLRHCKWVDEVVPNAPWVLTKEFLDQHEIDYVAHDSLPYADTSGAANDVYDFVKSIGKFKETKRTDGISTSDIIMRIVKDYNQYVIRNLDRGYSRKELGVSFVKEKRLRVNMKLQMIQEKVKEHQEKMRTVVKTAEMHRNEWVDNADRWVAGFLEMFEERCHKMGTAIRDRIQKRLKREQARVRVATNNGRSIDDDQEVYYDDNGVEEYYESSE >KZM96492 pep chromosome:ASM162521v1:5:41375856:41381141:-1 gene:DCAR_019734 transcript:KZM96492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMQSGIATSKVLILVGAGLTGTVVLRSGKLSDIICQLQELTKGVSESESSPGLDGAYYAAQIRQLAQEIRELTTSNPITIYTGSSSSSGSYSSYLMPAAALGAMGYCYMWWKGWSLSDVMFVTKHNMANAVATVSKQLEHVSETLASTKKHLSKRLENLDWKLDEQKEISNIISSDVGEVKSNLNQISFDIETIHQMVSGLEGQLELLESKQDATNTGLWYLCQAASGINDGTNSKPSQDVGAKMIEHPSIAYKDKSPKGLSLFAENDDAISTHKAIVATRKIIHPDNPGKDFSAARTRIHRSYPVSLSATLDILG >KZM93765 pep chromosome:ASM162521v1:5:10549275:10550426:-1 gene:DCAR_017010 transcript:KZM93765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGRMPVADGEVQQAENSVRRVPHEKPPFTIADIKKAIPPHCFERSLIRSFSYLVYDLAVCFLLYYAATNYINLLPKPLSYLAWTAYVYVQGCFMFAVWVVAHECGHHGFSNYHWLNDTVGFVLHSLLLVPYFSWKISHRRHHANTNSLDRDENHIPRFKETIRSYYHHFNNPLGRVFIIAFTLTLGWPLYLIVNIAGRTYDRHASHFDPYSPIYSERERVQILLSDIGFLAGCYLVYRVALVKGFTWVMLVYGAPLHVVNGFLVMVTLLHHTHLSLPHYDSSEWDWLRGALATVDRDYGILNKVFHHIADTHVLHHLISSIPHYHAEEATEAIKPVLGDYYHYDGTPFYVAMWREAKECLYVEAEDNDKAKGVYWFKNKL >KZM94489 pep chromosome:ASM162521v1:5:22973152:22974565:1 gene:DCAR_017732 transcript:KZM94489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPVSSHDQSPLSNVTSNHNGSGFIASTEKTPLANNGKHSLLTPGSVLNMNAPVSSHDQTPLSNVTSNHNGSSSTGIFCPLDRSVGRLPLAQTNRKRDKRATVFNSSGQTGSSTRHPLSNITNQIPLSPGTVFNSSGQTGSSTRHPLSNITNQIPLSPGSVLNMNGSMIDNDKPPLSNVTIQHHVKSQKNLKKTKDQL >KZM94122 pep chromosome:ASM162521v1:5:16069405:16078951:1 gene:DCAR_017367 transcript:KZM94122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEDMLAGAPAGFRLPISASVGVNPKKKIKQPSSFQHFLTPLPSPKIPGTQVIYIKTFGCSHNQSDSEYMAGQLSSFGYALSDNADEADLWLINTCTVKSPSQSAMDTLISRCKTAKKPLVVAGCVPQGSRDIKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLNRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTVDSLVGRVRSVVADGVKEIWLSSEDTGAYGRDIGVNLPILLNAIVAALPLDGSTMLRIGMTNPPYILEHLKEIADVLRHPCVYSFLHVPVQSGSDAILSAMNREYTVGDFRTVVDTLTELVPGMQIATDIICGFPGETDEDFAQTVNLIKDYKFPQVHISQFYPRPGTPAARMKKVPSTSVKKRSRELTSVFESFTPYNGMEGRIERIWITEIATDGKHLVGHTKGYMQVLVVGPGSMLGTSAIIRITSVGRWSVFGEVIETLNSTKDNVESKERSDRSENCCSLSNLEESCACAGELDACACGHESCGGLNLTEENGGSLSKSGSWADDRSNLNVFGWLLRKRKNHQTTKLEDDSLQHIARQESAAGAHMWTAVDRLLLGGICASFLTILALFLYFASNILSS >KZM95397 pep chromosome:ASM162521v1:5:31763638:31766403:-1 gene:DCAR_018639 transcript:KZM95397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRPVVPKFGNWDSEDNVPYTVYFEKARKGKNGAKMINPNDPMQNPGMFPTAQVTPAAVKNAPKEPVGRKAVRPKTLDIHSSSESGGGPRRDNNVGRQNGGTGSTNQNRIGNGSLQGSGRPRKQNAGSEHRVNQSPLDPQYQAKLIGKGSGSSWESKHLNDSGHGTTERSRMKPVSTGVESPDKGAAVPRFGEWDEYNPSDNFTEKFNKVRQERNASSTAVSGTNTGPAGRAYADKRKQINYNKKKVHCIR >KZM94005 pep chromosome:ASM162521v1:5:13596357:13598485:1 gene:DCAR_017250 transcript:KZM94005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPILGISIGFFCNCAKNDNEVDLSRLSTIRFISYLVDNYSSLGDLFHFNLAVFLYSSFIDDMKELSYNHLKLDSFSSLQCDEGGSRSDTRLFSDCNKGNMSQPFDSTREHGSRPWGLVNTQPSQRVNERSPLSNMSNIMNGTSRVSLNKCQKRILGETVYQSQIPLSHPG >KZM93034 pep chromosome:ASM162521v1:5:1872434:1872793:-1 gene:DCAR_016279 transcript:KZM93034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASMITFLIGIILCITQATYAVHLSSATTDDPPSQCQSLPGRDTRDPLLHVPSNWRPDYAKNDPCWTPQDDKNCSVSEHVFDPDRGVASYTFTCFNCTYHMQSSPSGSSSSYSCNNK >KZM96110 pep chromosome:ASM162521v1:5:38354528:38354855:-1 gene:DCAR_019352 transcript:KZM96110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYFVDVSDPYKNQENKEEKLSSEEIIDECKTFYFAGKETTANHLTWALLLLALHQEWQEKAREEVSRVCGESSFPTADNSTDLKIEQVSA >KZM96505 pep chromosome:ASM162521v1:5:41484457:41485971:1 gene:DCAR_019747 transcript:KZM96505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLKLSLLCFFFFQAVYCINNSGSIGVNYGRIADNLPDPSKVVELLKSNGITRVKIFDSDHSVLSALANSGISLTLSMPNGLLSSAANDQSFTDAWLKANIVPFLPKTIIEAIAVGNEVFVDPQNTPHLVPAMKNIYASLSKFNLSSVIKVSSPVALSALQSSYPPSAGLFKSDLIEPVIKPMLSFMRQTGSYFMVNCYPFFAYEANTDTISLDYALLRPNQGVKDSGNGKVYKSLFEAQLDAVFAALDALKFDDVKVVVSETGWPSAGGDKEFGAGPDNAASYNGNLVRRVLTGGGTPLRPNENLNVFLFALFNEDQKSGPVSERNYGLFYPNTHKVYDIPLTLSAMNDTTSNPTNGSKAEAPAASAPVNGGDLSPSTRGRTWCVANVQAGQEKLQSGLDYACGQGRADCGPIQPGATCFDPDTLEAHASYAFNSYYQKMSRASGTCDFGGAAYVVTQLPKVGNCEFPTTRG >KZM96281 pep chromosome:ASM162521v1:5:39776960:39781766:1 gene:DCAR_019523 transcript:KZM96281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAFATASAIGDQRQKIEQYKHILSSVISSNDVVQAQKFIQHILSDDVPLVVSRQLLQTFATDLDKLEPENQKQIANYTLTQIQPRVVSFEEQVLIIREKLAELYESEQEWSKAAHMLSGIDLDSGMRVIDDTFRLTKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHQVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEQRKIEDEVIDEEALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIEAFAEELKAHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIAPNKAEKIASRMIYEDRMRGSIDQVEAFIHFEDDTEELQQWDQQIVGLCQALNDVLDSMAKKGLPIPV >KZM96006 pep chromosome:ASM162521v1:5:37369628:37375401:-1 gene:DCAR_019248 transcript:KZM96006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQLSHCSSSTNNISSCLIKKHTLLLKPLVIVPSRINSFKRVSLIHSSIHPRKDFEFKNSNGHPLNAVSLQDGSAEKSVEREPTSLEMGREESNLSVTVVGASGDLAKKKIFPALFALFYEDCLPKNFLVFGYARTKMTDDELRNMISNTLTCRIDKSANCGDKMNQFLERCFYHSGQYNSEEHFSELDKKMKVKEAGLRSNRLFYLSVPPNIFVDVAKCASAQASSANGWTRVIVEKPFGRDSESSAELTRCLKQYLAEDQIFRIDHYLGKEIVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTDGRGGSDVLVAMEFSAEIRVQFRHVPGNLYKNNFGTNLDKATNELVLRVQPDQAIYLKINNKVPGLGMRLDRSDLNLLYSASASLLKSCFRATLVKELHPKCATNIFRRFWYRWQRRVKVLRSMRPLQLEDVIVGQYKGHSKGTKSYLGYTDDPTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRSDVLVAMEFSAEIRVQFRHVPGNLYKNNFGTNLDKATNELVLRVQPDQAIYLKINNKVPGLGMRLDRSDLNLLYSARYSKEAIDAYERLLIDAIEGERRLFIRSDELDAAWSLFTPLLKELEKKKIAPELYPYGSRGPVGAHYLAAKHNVRWGDLSSDE >KZM94165 pep chromosome:ASM162521v1:5:17586620:17589780:1 gene:DCAR_017410 transcript:KZM94165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLKVVLMISIVVLAYNNNISTCEAIWIEVGSTGVTKCVSEDIKQNVVVIADFSLVNLEDVSSQLLPVLSIKVTSPYGNNLHHQENVTHGQFAFTTTEAGTYFACFWVDEGHKATTSVTVSLDWRTGIAAKDWESGIELELRKLEDAVDTIHQNLIYLKTREAEMREVSETTNGRVAWYSIMSIGVCITVSVLQVFHLKLYFRKKKLL >KZM95333 pep chromosome:ASM162521v1:5:31215276:31230420:-1 gene:DCAR_018575 transcript:KZM95333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHECSPELPVSVNACIDSMGVVVNHKGGVSNDLSSNQTDIEETKVELSQHYVCYEQARRQLEFLEQGGDPLDFRTGTENAASLSVQSTSLTDQHPEQFVISETKGSFALAASPPGDSDESSGRPRAPSVNDPNSADNLMLFIKENGIPKGERSLLRSSRGTVGPFEQSSQLVGGQHAKESDESTAFGLPKKAYERRNRSRPNRVVVRSNSTDVMSSSSARTALSSLHVPKVVKGTVDADNQKDHMISSNSNMNPTSPNCIIIPKEEPYKLQIDMELDGGNAAESTVAQPNVGLTNAQIDRSASENMQDSRHNQIMEFEFQRTQNETVLSKPESQAGSEQISVGQECDPSLNIANNEVQDTCSLINRFGGSIGDGKDPLNEIGNKNGVLEAKGSDLETSGSKAGLQVNGIVDNEILTNLKSIGSNGCTKEDALESGEPTNMESTKSAEDNNVTKVDNICDAANVNNSSCHSQEIGSLLRDEEALNEKVSNSESKAKNLMVIEGNEQVETSFLENERMPGNVIDSNHLNGNKDTHTGRLHCSIDTCVPETPDAMFPPRDSSISLEQQTCSQDLKLETKAREDSILEEARVIEAKRKRIAELSVYTLCVESRRKSHWDFVLEEMAWLANDFAQERLWKTSAAAQIGCQAASAARLKFEEQKQVTRRLAKAVMDFWCLVEEMSKEQEMSKEREFQKPGNDFGHAVQGYALRFLQYNSSTVQYVQAEVAATPIISNLGVVDMSWKDHLTEENLFYSVPAGAMQIYKKSIESYLLQLEKTGICMQEEVETSGYDAVADYDSRENALEEDEGDANTFYLPGAFVNSRPSKLEQKKKRHLIKGFAAKSYDMVSDSPFMQSNENKSGNHRSVLTGKRTSDADNGSIPTKRMRTASRQRVLSPFNAGAHGCLQAPSKTDASSGDTNSFQDDQNVLHGGSVVLNNMEVESGSTYEHNWRSNSNFQDEQTASGQTGPGTSWSLFEDQALVVLVHDMGPNWDLVSDAFNSTLKFKGSARQLFQRLQGPMEEDTIKSHLEKITAIGKKQHHRRAQDPKHLQQPHNSHTYALSQVCPNNLDGGPVLTPLDLCDATASSPDVLSLGYQSPNASMLPNSNQGNVAPMLPASGVTSTSAVPGSNFSSASSQINASVRDGRPGIAKSVSISTDEQQRLQQYSQMISGRNFQQSNMPVSGVHPGTDRGVRMLSSGNGVGSPNGLNRSMQMQRPGLQGVASSNMVGPGSMHPSGMMAVPNPVNIHSSPGAGQGNSMMRPHDPMHMMRVSLQGVLKIWIMACPIFRWYHILDEDSKPTQNVEHQRQMMIPELQMQVSQGNSQGITPYGGLNSSFSNQSAAPSVPSYPLHHQQLHPMSTQHSHVLTNSHHPHLRGPNLASNAQQQALAIRIAKERHIQQQRLLQQHQQQQFAASNSLMPPVPAQPQLAVSSPQNSSQSQTSSPQVSLPPLTTSSSMSPISQIQQKHHIPPHSVARNPRVGGSGSTNQVGKQRQRHSQQLQLQQSGRQHPQQRHQSQVQHQSHSHNQKQLSSHISHQPQPQQVLYSGQTTSSKQHQQTRSHSENSNQNRVLPVAGLTSTSGQAVPPNQQQRQQSQALPKLVNQPQLAVQRLVQPNRQVTSDQSNRVQARETHTSLHPTNSSSQAVSSAAAPSCVDVANVMSADFSASTPQLKALDQVSDSSMSNPATPIDSAGTPPLTIESLPPVRPGTDHIYSSNSLPCVGPGGAVQWLEEPVQLESLTPPPPLEQQQEHLKPQQQQEDSKQSQEQSLLLQEVGNSTSPPEQ >KZM93089 pep chromosome:ASM162521v1:5:2284472:2285973:1 gene:DCAR_016334 transcript:KZM93089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQLKPTFLTLLILLTHSTSTPTPTPWPEQFHSAIFMTTNGTLLQKVDLWYDWPNGRNFNIIQSQLGKLLYDLEWTNGTSFYYTLDQNQECRVVHFGVGILPPDWLSGASYVGQKRVDGFLCNVWEKVEFITYYEDVASKRPVSWTFYTGMTAHIMTFEVGKVLDDPNWQAPVYCFNNAAEQRTKGDLKRSGIAVESVASTQSTNGHHQGSLMRSLAMNLTAAL >KZM95328 pep chromosome:ASM162521v1:5:31193017:31193247:-1 gene:DCAR_018570 transcript:KZM95328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVDDMGSPPDSWEVADMDAIMARLMLKNRDSIASSKSLELRDVASASALGSSVPPIASEDLVNSVDQFLHEAI >KZM94071 pep chromosome:ASM162521v1:5:14715440:14716903:1 gene:DCAR_017316 transcript:KZM94071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMSIVMLLSLIAFAPLAFSQKTGSLSPKFYDRSCPKAVEIVKSVVANAVAKETRMAASLLRLHFHDCFVKGCDASILLDSTGTLISEKRSNPNRNSARGFEVIEQIKSALEKECPQTVSCADIMALAARDSTVLTGGPSWEVPLGRRDARDASLSGSNNNIPAPNNTFQTILTKFKLKGLNVVDLVALSGSHTIGNSRCTSFRQRLYNQSGNGQPDYALDQSYAAQLKPRCPRSGGDQNLFVLDFVTPLKFDNNYFKNLLASKGLLNSDQVLVTKSEASLELVKKYANDNKAFFEQFAKSMIRMGNITPLTGSKGEIRKICRKMNK >KZM96048 pep chromosome:ASM162521v1:5:37703397:37718491:1 gene:DCAR_019290 transcript:KZM96048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPSLQFKVSPGQAYPLGVSEIENGINFAIFSQHATSVTLCLSLPERRAHDFPDDDEMVEVILDPRVNRTGDIWHIHVEDLPRSNVLYGYHIDGPPAWHQGHRFDRSLLLIDPYAKFLEGRRIFGDVSNKMSKFFGTYDFSGLQFDWGNNYKIPNIPEKDLVIYEMNVRAFTADESSGLDPNIRGSYLGLIDKIPHLLELGINAIELLPVFEYDEMELQRRPNPRDHMLNTWGYSTINFFAPMSRYASSAGGPLKASREFKEMVKALHGSGIEVILDVVYNHTNEADDANPYTTSFRGIDNKVYYMMDPNNQQLLNFSGCGNTLNCNHPVVMELILDSLRHCQQLPEKIFPMSPDDPAIPTLRVLEYHVDGFRFDLASVLCRGTDGSPLSAPPLVRAIAKDDILSRCKIIAEPWDCGGLYLVGKFPNWDRWAEWNGKYRDDIRRYIKGDAGMKGSFASRVSGSADMYKVNKRKPSHSVNFVIAHDGFTLYDLVSYNQKKNDANGEGGNDGSNDNLSWNCGHEGETTDPQIKALRSRQMKNFHLALIVSQGTPMMFMGDEYGHTRYGNNNSYGHDDALNNFQWKQLESENDHFRFFSEVIKFRLAHHIFRQENFVGENEVTWHEKNWDNYESKFLAFTLHDSNGGDIYLAFNAHDYFVKVAIPSPPPKRQWFRVVDTNLMSPDDFVHDGVPGIGDSYNVAPYSSILLKAKNSVECEGTDAVENLLYLHRMKAYTGHVGINSWCSRVSGNFVFVWTSGLFQLKICIWDSLVLYSCLRLYRIGTCCWIAVQEATEVDTLLYSSLISILF >KZM95138 pep chromosome:ASM162521v1:5:29056627:29058459:1 gene:DCAR_018380 transcript:KZM95138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFQLCQAQRNDSVSCPLDFDVLRRLIQGYKPPSGDRCQFILEGLRLVQSDYLKRTDSFLPRVDSAESCWNAYQALYNQFVPNFDIRKNCGFQTDWISRGCKNITSRAEYEANNSPQTLAAVSTACNQSLLNSSPCATCTTSLSSLQPSDSVNNTVGNVSDCTAYRSIYAAAFANSFGPDDKGNAECLFSLNFTPVKSSNNKQKKAVIAVVVVLGFVVLVLCGSAVWLIRRNKLKKKIRQREITRRWSDLNMNTSNSALESINSSTTLIRFTFDEIKEATKNFSRFNIIGRGGYGNVYKGVLFDGSEVALKRFKNCSAAGDSSFAHEVEVIASVRHVNLVALRGYCTATTNFEGHQRIIVCDLVKNGSLHDHLFGSIGSKLSWPVRQKIALGTARGLAYLHHGAQPSIIHRDIKGSNILLDEDFEPKVADFGLARFAPEGVTHVSTRVAGTMGYVAPEYALYGQLTERSDVYSFGIVLLELLSGKKALMMKNDEQHALVADWAWGLVRSGRALEVIEEDIPELGSLEVVEKYVLLAVLCSHPQLYARPTMDQVVKILDMGLAVPTIPERPIPLTAGIDDIEKSVSGHWGSGRVSSYSRLQAIKLVTDNST >KZM93683 pep chromosome:ASM162521v1:5:9196104:9196649:1 gene:DCAR_016928 transcript:KZM93683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEVTRGKILFTLFKLVDNSDAYYGAIANVNSLFTFQTNKDVPRYKLVRVDLKEPSTWTDVLDEAEKDVLESAIVVNKNQIIVSYMSEIKDVLQLRDLKTGTFLHKLLIDIGTVVDISARPEDTIVFISFISLLSPGIIYQCNLESCVPDLKIFREIIVPAFDRAEFHVNQVADLLFWNL >KZM93953 pep chromosome:ASM162521v1:5:12752419:12753717:-1 gene:DCAR_017198 transcript:KZM93953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILICFNIRKLQFKRDPTADEVFYYAHTRRLKKKKNLIVDAEENDMDDEDDEDVEVIWIDKKNQQIYKEENRMVED >KZM93208 pep chromosome:ASM162521v1:5:3534610:3535310:-1 gene:DCAR_016453 transcript:KZM93208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILALITTVSFLANFILLWFLCAYVFCHITYSSTESEPETRPEPEPEPTIKYDEKDHAEPISDEFPHTFLFKIKFGKTLKRLSVSIIDGNLNTNMDNLRDRITYIFDLPRYTELEMSYIDEDEEEITMTDENDLMDIMTQKKLQYRLWMYVELRNIQATTQAIRVSSTEEWNKKTAPVGLAGLEPICNSKPTNTPEFEMDDWLLT >KZM95030 pep chromosome:ASM162521v1:5:28124067:28124330:-1 gene:DCAR_018272 transcript:KZM95030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLGTDEGWKPGLKVLARSVAHLNIERPVRVMSSTDWSAKELCLEQIEFACAHVYACFRVAFELLKHGNEMPRMMKSPPPVDDDDE >KZM92846 pep chromosome:ASM162521v1:5:244511:249784:-1 gene:DCAR_016091 transcript:KZM92846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDAHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMSVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNGKAIGSGSEGADSSLQEQYNKDLTLKEAETIALSILKQVMEEKVTPNNVDIAKVAPSYHLYTPAEVEAVISRL >KZM96327 pep chromosome:ASM162521v1:5:40134433:40136537:-1 gene:DCAR_019569 transcript:KZM96327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTASSKHKSSNKKKSKLSSQTRRKKTSKRNKSKRVYSDDSVSSDSDESLRSVSVSLSDSEDGDIGRKGRSRARGKVKGSKKRVRRSSSSDDSSVDVKVRKHSKRIGDSKVRKRTHKKKKKKIRRKVSVSSSSSDSGIVRTRRHDSSSGTEESEHERKRGRSRKRKSDRTSSGKLGSKKSRLRSRSYSSGSRYSNNSDDVIKDNVASEIDQNREIVASEILPRRLKSIITVVRPQYEEEASGQDKDENKEEIFYDQDDYPSCRSNDSNDGGKRDLAHKSYDGSEKERAEDMVGQEDFSYELVANESKLSSIDRIDQSYRASIEHPGNKNEIDTSTPIGGFGSEDLETILRQKALENLMRFRGPQKNAKPTSYHEYETERNVKSFAAKADDVQNKSSKQDPTIGVGETGGKNQQNRQTLRTNSSRFVQTEDMKVDGREIEIEISTAKPMEQPPESSLEKLPQSPVSANVRGTSVIHKSAAEKAETVAGSIVNNENVGANDASHVVPESSCSKPISDEHNSKQCQEESKGNSFEQKTMSVMRGGEMVQVSYKVYIPNKAPALARRKLQR >KZM95775 pep chromosome:ASM162521v1:5:35456538:35458992:1 gene:DCAR_019017 transcript:KZM95775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLYQDTEEGKTFRELVWNLMVEAGKPNLVDFFPIFKWIDPQGVNRRVAGYCEKLLELFDGLINERLELKRSGNSSEKSTTDVLDELLTMLQTNEMDKTQIQHLFVDLFVAGTDTTSSTVEWAMAEILRKSETILVKAKAELNQVIGRGKIIEEADISRLPYLQCIVKETLRLHPPAPFLVPRQVQEDVHLCGYTIPKNSRILVNAWTIGRDPLIWKNSLSFQPERFLDSEVVDVNDHDYELIPFGAGRRICPGLPLAMGVVPVMLGSLINCFDWILEGGIAGEEVDMEEKFGVTLAKLHPFRAVPTLVVGL >KZM94675 pep chromosome:ASM162521v1:5:24804404:24809196:-1 gene:DCAR_017917 transcript:KZM94675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHELDQALVKHSVVEVPRKNGATSRSRSRDISSRYRSSTPSSVASPRRCPSPNSRTATTSSVSVPKRAISAERKRPSTPPSPQSLSASARDTIKKVHMVVPTKLVSDRTAEGLWPSTMRSLSVAFQSDTFSIPVSKREKPVTQALSDRNLRQSLNVAHKVASTVPASRKPTPERKRSPLKGKNAPDQSENSKPVEELNTRQAGEHRLPSIFGGRSSSGSSVKSIDLYGKTSKALAASQGMAAPSLRRMSLPGSLATPLQKSASDVVRLMSPDGEVENGNNDILRLTKLNSSILFERTKLVTPAGRTRSLPGSHPSSPNKTSMPSSFVSRCPSPARTTLNSAPSRGVTPSWSRPSSPSSQAKSSTSVLSYIADIKKGKKVTSHIEDVCKLRLLYNTHLQWRYANARAYVTQNYQKLSAEKTLINVSRTTSELRVSVIEKRIFLHQLKLKLKLASVLNEQITYLDRWHFLEKDHSCAVSGAIKDLQASTIRLPVTEGARADLDTVQAAMCSAVNVMHTVGLSISSVLPTVEEVNCLVSELANVAAEERAMIDEFEALLATTAAMQDTKLTAVRSASTNDTQLLAS >KZM95761 pep chromosome:ASM162521v1:5:35342207:35344590:1 gene:DCAR_019003 transcript:KZM95761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFETLDKSSPPISEIVPADIFKPSPPATAITLYGPSDCPITSYIRFALSYKPVSLEFIPCNTLSDMLLDSPVLQFGSDRVSGSPATILGYLDAKFPAPAVARRRSAAAMEIVEVVVLQHRSVRWHVEKVVTWAEDLVKRKGIARGDSKVGSTRMEVDKFGKRYGELMEVMLEHARMEERVVFPVLEKADRGLPKAANAEHARDLPIMNGINEDLKAFRVLHSANPVYLEALRNLSTRLKTLQKHCHQHFQEEEKKLLPYMEAVDLYKGQQERLLDQCIEVMHGTHSHLFRFLIEGLCPQDAVHYLDLVRSCSDREKVLSMFSTVLE >KZM93340 pep chromosome:ASM162521v1:5:4928249:4930029:1 gene:DCAR_016585 transcript:KZM93340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIDTKPIESVQASISLFGDKSVNSTDQAKLVLVHQQIEKENLELLLKLDQHEKTAEELFILLQITEFEREIYMNACREAKIGIYELESRIKEMDAQLSETVKLKDENFHVSVELNTVKEELLQMEVELVEAKNAKIEAMKETEVMETALNMEKERAEELSGQVAELNETILHLQFAVLEAKKEKDLVSSENQAALMEATKSVEEIREEMESTRNQLKNLEGEVSDKSSLIESLQLELEQANKDRNSAERATSDAVNDIGQLISDMELLMTANSKKDGQIMAQEMELSQSRVELKIAMKEQELLKCDLETIQSKNEKLTSEMNEICEKESAAQIEIALLKSELHRGRSKAAAADASEERAKREKLALELCIQQLALQAQEAKNALQTMTDLVQKDDEEMKYSKVIGTDEALTQEQTNACISISAEDYKALLTKADEVEETRNENDALKREMEMTAGKIGELRTRAEQAVWRAEVAEKAKALLEEERKKWRVKEEKRKVALEALRQESISLQKSFKKHPEPPKTYQPLGKILKMKF >KZM93172 pep chromosome:ASM162521v1:5:3046050:3049658:1 gene:DCAR_016417 transcript:KZM93172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVSRGIAGVVPDSLQTHTNLNVILQVADEIQAEDPNVARILCEQAYYMAQRLDPNSDGRGVLQFKVGLVSLIKKQLAKKDGARFDRNHDAERLWDFYQKYKRRHRLDDIQREEQRYRESGTFGANLGNLGLRSNDSKKALSTLLALVEVMKSLSNDARPDGVGRLITEELRRLKKMDATLSEELTRYNIVPLEASSITNAIGVFPEAVIIVYLWPSLSYCPLVKGTISAIRYTNQFPRLPNDLEISGRQELDMFDLLEYVFGFQVGVCILISSLLLFECY >KZM93749 pep chromosome:ASM162521v1:5:10437186:10440315:-1 gene:DCAR_016994 transcript:KZM93749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDHEASTSGTKEEVEMTRMYYFETSDSSDEDCDRHSYVYEIDEDAEGELIDIPPNNVPLENIHVPPENIIPPPPPPPPYTYMKYNSQKVVLHPFTANIIGFKPNGSREPLNNFLMFSNSARGDTSGYSSTYGRR >KZM95906 pep chromosome:ASM162521v1:5:36653490:36657981:1 gene:DCAR_019148 transcript:KZM95906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEQDSCKEGLDEEAEEGNPILGFMFGNVDNAGHLDIDYLDKEAKEHLGALAHKHASFTYIYLSVKNSDDATEQDYCGKTEYAVDYQDIAERYERPEVNDMTEEDFLLPERDYISHDGLVHVKATTSVFDDEDYDEVKTEADENYAQELVDDQKLTPLPVLYIEDGAVILRFSEIFGIHRSKKKSEKRGCRYSVSKDWFMSMGAADVVEDDDEEFLRGLCQGFTWKCQTRVNDDILPIKDDESDLETSGASVVEENWRDTCLGDEPMKEDIAIDPFSEKITPLSPEFYLLEQQDWEDGIVWDNSPSDRSAEILEISGALVVESLDSDIEEQHDHQELQIETEETTDDVSFQRSYLVSLEPFGSRKVSGSISSERGNHPQLLRLESRIKTGLDNRKSSSTFEEVGQHDAIRSYNKISLLNKDLLEGSWLDNVIWEPPQLMTKAKLILDLQDEHMLFEILNDKDSKHLKHHAGAMIITRCEKFTGDLLKSHSKKRTAHGVKVLHSIPGLKLQTMKAKLTNKDMANFHRPKALWYPQDNEVLLKEQEKLCKQGSMNIILKSLGGKGIKLHVYAEETISSVKAKASRKLDFKPSEAVKIFYCGVELDDHKSLALQNVRPNSLFHLVRTKIHMLPRAQKVPGENKSLRPPGAFRRKADLSVRDGRVFLMKYCEERPLLLGNVGMGARLCTYYQKSSTGDQTESSDLGNLVTLDKSPILGDIKASCSQSCIETNMYRAPIFPHKVSSTDFLLVRSPKGKLSIRRIDRIDVVGQQEPHMRVMSPSSKDVQRYSMNRLLVYLYREFLAAGKRGLHPSIKVNELSAQFPDMNKASLRKRLKHCADLQKQSNGLFLVMKRNFCIPLEEQLRRMVTPEDVCSYESMQAGLYRLQHLGITQLTSFRGLSSAMNQLPVEAIALAAASHIERELQRTPWNLSNNFVACTNQDREIIEHLEITGVGDPSGRGLGFSYVCTAPKACRQPMSFLQLQQQKRKKCQEIWDRQVKSLSAVDDGENESDTEANCDLDSFAGDLENLLDAEGFKDAQAKEEFEDEAAEAAESCKMLMDVDEERVKKTSAGFKQHTPHVQPRIVAKDNFNIDMKHDERFPDKKNFSMNPEKVKIFGEGIKNLKERKSARDSFVCGACGQLGHMRTNKNCPKYGKEPETQPESRDTEKASGELTYSLDKVAVAQQKPLSKKSTPKSVAKNLKVKCSSTDKLLHKAAPATSPIRPEDTQVEQNKPSVVIKPPVVMDREHSCKKLTIKRPREHIDSDRIPGREQTAKRRSFESVRHGAEHAPPTKHRRGGGGEVGLANILENIVENLKQKIEISYLFLKPVLRKEAPDYHRIVKRPIDLCTIKEKARNLEYKSRREFRHDVWQITYNAHLYNDKRNPGIPPLADQLLELCDHLLTENDASLTDAEASIENG >KZM94260 pep chromosome:ASM162521v1:5:20139164:20139714:1 gene:DCAR_017503 transcript:KZM94260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVIKAMRSFAYGMWYYLTFEALVDGNSTQIFEAKLYEMPPFTDLSTVTVEFLRPAITHVTDLEVLHIAKALKDQWTIGDSD >KZM93581 pep chromosome:ASM162521v1:5:8018301:8021866:-1 gene:DCAR_016826 transcript:KZM93581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDLKQNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQETAGDVRMTDTRADEAERGITIKSTGISLYYEMSDESLASFKGERKGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEDAYQTYQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTTKNTGTATCKRGFVQFCYEPIKQIISTCMNDQKDKLWPMLKKLGVSMKSDEKDLMGKPLMKRVMQTWLPASTALLEMMIHHLPSPATAQRYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEVDAHPIKAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLSKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIQSDPVVSFRETVLEKSVRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKIRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALCEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEGALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSAQLRAATSGQAFPQSVFDHWDMMSSDPLEAGSQASTLVTDIRKRKGLKQQMTPLSDFEDKL >KZM93390 pep chromosome:ASM162521v1:5:5632090:5632516:1 gene:DCAR_016635 transcript:KZM93390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATATLIGALLGFSTQIYSNALRKLPLMRHPWEHVLGMGIGSVAVNQLVKWEVKLDGDLDKMLEKAKAANERRYFGNLFPSCRKIEFLLLVLFVS >KZM94977 pep chromosome:ASM162521v1:5:27613331:27635934:1 gene:DCAR_018219 transcript:KZM94977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSEIYFSEDEDQDDEEEEFEHFDDFTLASSWERFISEIEAVCRQWLADGPKKLLEKSADCLEISKNLYKVKSELKYLTKNYCMDYYFVNGGNGSIGHWNYDLHDLQLSFGVEEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNSSSLWPAFVPVHVPSRKAYIGIQNMGTVFTRRFESDRIGSQVPVKLMHLEGLYELFISKFVFSTLDLSMHRFKVNFTMKLTYKTILHDDENEVERPNAEVYDSNAVSGSEMHRKAQWDEDCPWSEWYSAEDPVKDFDLIIIWTEKTIESSLEMAELENASLHEADKWIITPTFSQNIIGNSVGNIVGFASQLRLLVNALYISSRAKYIEDYVSAESLGSDDMRSSAIIPPPTVLDRVLKDIFHEGKRANFSDVGDKTSRNIKGAPLESLFAQFCLHSLWFGDCNIRAIAVLWIEFVREVRWCWEESQPLPRMLGSGSIDLSTCLVNQKLQMLAICIEKVRQQDKESEDGIESEESVSAYAEDDITIGSESSQSPRSGNSFNRERDSSLSPAPPVADIKSPSVMTSASLKNTDSAMSGSAGIVGSMMLLNSRQYMHAPFTQDAPIMTEDMHEERLRAAEALGDFSFSAQLEKDVLLSDMSAFKAANPDAVFEDFIRWHSPKDWEEDTQDGSNAEGQSETKWPPRGRLSARMSDNANSWRKIWNEAPALSVSNQKPLLDPNREGEKVLHYLETLSPYQLLEQMVCTAFKASADTLHQTTFGNLQNMKTKLGQLYPSMASVLKRLQANTADSDFTEDISRLCVIYEHIEKLLYVAASLHRKFIQAPHLSEAIFCDFFNTYIPKMGTGTIGADYFQEFKMKQVVSIPDREVVASLFSPPTADQSWRKVLSMGNLLNGHEPILREIIFSLRDRINGSYYAASTPWSHEQEIETYRMYICGSSNDLQVALSVASCD >KZM96501 pep chromosome:ASM162521v1:5:41456954:41459410:-1 gene:DCAR_019743 transcript:KZM96501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKLRKAIEAVKDQTSISIAKVSNNHYTSLEVAVLKATTHDDVPVNERYVKEVLHLISADQIYAAACARAIGKRISRTRNWIVALKSLMLVFRIFQDGDPYFPREVLHSMKKGARILNLSAFHDDSNSSPWEYTAFVRTFASYLEERLDCFLTGKLQRRYTSIDRSREEEDGEKSTNDSIGNMKPSVLLDRIEFWQRLLQRTIATRPTGAATTNRLVQISLYAVVQESFHLYKDILEGLTYLVQCFFHLRYEDCVQAFKTCLKVTGQFAELRQFYTLCKRISVGRDSEYPSVETLSEEKIETLREFLKDQSSFPTVSRSPNSLPNSNQTPQESYERDLESTKPPRRKSSHRASKLGPKQTSLENLLDATKDGTSQGISIDLEAYPDNFKQQSDRNDTFQMSESDSTHSLPITNTMSDLISLEDWSGQEQETVTKKNIEEQRQQTNAPTSWELVLAEAIQLPATQSEPDIRATFNAFPEQEHEEQHTKAGEGWELVLAETATQPMQPSLDPNLLYDKHVHSVSNTLHAFPGQEQNGKEASSRQSWEVVLFETAKQPPQPTPFSLENSCFQTTVLPPFSLDNFNSQPAQLPQHYNPFLEDPNESRGVPIVTGSTTAGFETSFSAKNFSSAPTFQGTPTYSTHNLDMGVGALSAPAFQATQKITGNGMEAVSVPAFQATPTFSAHHRDDGTVASSATFQATPTFSAHNPGDTLSLPTIQATPTFSAHNLDNGAGDLSARTFQATPTFSAHCPDDVTTAYGNDLFAEFTNPGNRSGVVSNNSTMSLASAQMFEGSRNQQNLMHEQQIWLHEPKKFIAKNIA >KZM94724 pep chromosome:ASM162521v1:5:25303194:25304326:-1 gene:DCAR_017966 transcript:KZM94724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALAASNLNQEVDVTWGDGRGKILNNGELLTLSLDQTSGSGFQSKNEYLFGKIDMQLKLVQGNSAGTVTAYYLSSQGTTHDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNAESVGVAYPKNQPMRIYSSLWNADDWATRGGLVKTDWTKAPFTASYRNFNANACISSSSSPSSSPCSSTSQGDNAWLTEELDATRQERLKWAQKNYMIYNYCADSKRFPQGFPKECALNA >KZM94176 pep chromosome:ASM162521v1:5:17822898:17826604:-1 gene:DCAR_017421 transcript:KZM94176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKDNMYQATNDFNMSTSDHVLRKATRTSPHTLSELSQNTLMTPVKGALNNVIYNNHNIIERSPSASIHKRSRRPSDFDLIKSNSADGLMVTQDKENITPGQSTKRVRFAEDDPVVNIGRNENLFKHGTVENVSRLNNVEFLSKKLEADNHFHNISFPNKMLMYLYADRVVNTKRQVRRKKPTFIGWSDSILLDRQMVDLTSEKFFYGKIALPLRHKDEDDESSYEDQTWNTDDQELSDDDEVQGFYDTNNCMIITPAKTNVETDVLNNYPPENIIQAGSSNFGGLVKQHDDSHFKGKNQASQSESQQDASQHIQIPTYVIDKLSENMDIYEDIQKKCMMYLSSAKGLFRKNQMVENLEAKFIKLIQDANQFIMDELTVQYNKDCEISNDGNAEEDSKKIGTSTDITVYTNPLDEDIFLSSQEWMLIDRLTAPENGSEIKNADIFNMESQSKTLATNTENLTEVDMVCT >KZM93486 pep chromosome:ASM162521v1:5:7113740:7114039:-1 gene:DCAR_016731 transcript:KZM93486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNYNHKSVPESLRWLGIAEKLLINNDLIGRKTFATRARESNPTLYPPIINTLIAAETQVSLNNLECYATLQLARAHDSELIATRYHRLTVLLNPKNAV >KZM94324 pep chromosome:ASM162521v1:5:21012942:21014451:-1 gene:DCAR_017567 transcript:KZM94324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSASLALSLPSPLYLAMVLEQPQLTTPTLLHGVPVIDLSNPDSIPCLVKACEDYGFFKVVNHGIPAHFISTLESQAIDFFSLPLHEKEKAGPPHPFGYGNKTIGRNGDFGWLEYLLLTTDHAFDYHNLASVSAQTPETFRRAVNDYVCAVKNMACQILELLAEGLRIQEKNVFSKLLMDEQSDSVFRLNHYPPSPDKNLIGFGEHTDPQIISVLRSNNTSGLEICLKDDSSWFSVPPDQDSFFINVGDSLQVMTNGRFKSVKHRVLANSEKSRVSMIYFGGPPLSEKIAPLPSLLMEGENSSLYKEFTWFEYKKSAYKSRLADNRLCLFEKIAAS >KZM92942 pep chromosome:ASM162521v1:5:1075000:1077974:-1 gene:DCAR_016187 transcript:KZM92942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGFSRRGLELRLAPPGDQEHSLACSKTSTNFTKHTFQEKDHDPSLLSLGNSYSTITTHSACSGSKRGLDKSWLNESQTQTHKFLYYDQQKDLDLLPGMKKESSQSKGLVSELHKTEKQQAFSPDASAVKNTAGPNTSHKRTVPAPVVGWPPIRSFRKNIASNTSTKLKVEPKQEIVAPPHKSANEKQVESCCKGLFVKINMDGVPIGRKVDLKAYDSYEKLSCSVDELFRGLLAAQRDPSDENIQNQEGDTLITGLLDGRGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSSDVSSLFARGNKQSKNSTDAALIQ >KZM94228 pep chromosome:ASM162521v1:5:19651293:19653468:1 gene:DCAR_017471 transcript:KZM94228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQLKKAEATERRKLKNEKAARESEEPYNQAQETERKRALSMFLSQSKHQERRDAEVNMKPKVPTKTVCEEHLELRKEILNLLNLRKQVYFVYFNSCDLHALLALLMILMFSVVTLPLCCNNFATGYMDLPLCFALLTARTQ >KZM94782 pep chromosome:ASM162521v1:5:25835086:25835286:-1 gene:DCAR_018024 transcript:KZM94782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFFDQIQFFDQIQDSLFNQYVIQKLSGQPLIEIQQENKEEKVMVEEFLMVLYFESYQLVLIFSF >KZM95850 pep chromosome:ASM162521v1:5:36232703:36236439:1 gene:DCAR_019092 transcript:KZM95850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIIQISPLTKHPLDSSRLLLFQSQLNFPSSSSILQLGFEYSNRFCVIKGGNLRALTNNYTQGSVQNGLESSPVKLELISSETQFDRVIADAQQLDESVIVLWMASWCRKCIYLKPKLEKLAAEYFPRVRFYCVDVNNVPHKLVVRAGVTKMPTVQLWKDSQKQGEVIGGHKAYLVVNEVRDMIESECPLALHCGLCCYSGNEMKPVSYSETISTAIVYRAIEHGKNNHITSAAVMMVRSATNIPAVYPKNYNCRISLSYDRLDWIKNRLV >KZM94392 pep chromosome:ASM162521v1:5:21866002:21874084:1 gene:DCAR_017635 transcript:KZM94392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNHLLLEEPIRMASILEPSKSSFFPAMTKIVGTLGPKSRSVDTISGCLKAGMSVARFDFSWGEPEYHQETLENLKLAVKSTKKLCAVMLDTVGPELQVVNKVEKSISLEADKTVIMTPYQGQEASSEVLPINFDGLAKAVKTGDTIFVGHYLFTGSETTSVWLEVDSVKGDDVVCKIKNSATLAGSMFTLHVCQVHIEMPTLSEKDKEVISTWGVQNKIDFLSLSYTRHAEDVREAREYLSKLGELSQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDMYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTGATNESVLKVALDHGKSAGVIKSHDRVVICQKVGDASVVKIIELED >KZM94960 pep chromosome:ASM162521v1:5:27382506:27385759:-1 gene:DCAR_018202 transcript:KZM94960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATEAPCSSEDRRIHVFWHEGMLQHDTGKGVFDSGIDPGFLDVLDKHPENSDRIKNMLSILKRGPISPFLSWHSGRPALINELYSFHTREYINELIEADRCGGREFCSGTFLNPGSWHASLLAAGTALAAMKHILDGSGKIAYTLVRPPGHHAQPTQADGYCFLNNAGLAVQFALDTGCRKVVVVDIDVHYGNGTAEGFYRSNKVLTVSLHMNHGSWGSSHPQSGSVEEVGEEEGFGYNLNIPLPNGTGDKGYKYAMTKIVAPAVQKFEPDMVVLVVGQDSSAFDPNGRQCLTMEGYREIGKITRSLADKHSDGRLLIVQEGGYHVTYSAYCLHATLEGVLNLPHPLLTDPIAYYPEQESYSIQVIDSIEQYQKDVPILKGI >KZM93819 pep chromosome:ASM162521v1:5:11128571:11131941:-1 gene:DCAR_017064 transcript:KZM93819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPTKNPPFLSPCNHQIFFFSFFTLILSLFLSSCYSLDVQSQALLAWKASLNSSSDALRSWNSTDSSPCHWFGVHCDSNDQVTEIVLKSVELQGPLPSTLQPLKSLSKLILSSANLTGPIPKELGECTELSVIDISKNSLVGKIPVEVCRLSKLKTLALNTNFLQGDIPLDIGNLSSLVNLLLFDNQLSGGIPKGIENLKNLEVLRAGGNQNIKGELPWEIGNCSNLLVLGLAETSISGSLPLSIGKLRRIQTIAMYTSLLSGSIPDEIGNCSELQNLYLYQNSISGSIPRRIGELRKLQSLLLWQNNIVGTIPFGLGSCTELTVIDISENLLTGSIPTSFRALSGLQELQLSVNQLSGIIPTEIINCTALTHVEIDNNNISGEIPSLIGKLKSMTLFFAWQNKLTGNIPESLSECENLEALDLSYNHLFGSIPRQIFDLKNLSKLLLISNDLSGFLPPEIGNCSNLYRFRVSDNRLAGTIPPEIGSLKSLNFLDMGKNRIVGGIPASISGCKNVEFLDLHSNALTGSLPDRLPKSLQLLDISDNMLTGPLAPTLGSLTELTKLNLRKNQLSGRVPAQILSCSKLQLLDLGSNGFSGEIPKELGQIQSLEISLNLSFNQFTGEIPTEFASLKKLGIIDLSHNKLTGNLENLKSLENLVSLNVSFNDFSGSLPDTLFFHELPVKDLSGNQALHISDGTAELADRKGEAAHVKTMMKLTMLILLSASVVLVLLAVYVLVKTRMTSNRMFENETWELTFYQKMELSVDDIIQNLTSANVIGTGSSGVVYRVTTPNGESLAVKKMWSSEESGAFSSEIGTLSSIRHRNIVRLLGWGSNQTLKLLFYDYHPNGSLSSLLHGAGKGGAEWETRYDIILGIAHALAYLHHDCVPAILHGDVKAMNVLLGTCLEPYLADFGLAKVVNNSSDDDFSKQSQKPYLAGSYGYMAPEHASMQRITEKSDVYSYGVVLLEVLTGRHPLDPTLPKGAHLVQWIREHLQSKSDPSDILDPKLRGRPDPQIHEMLQILAVSFLCISTRANDRPLMKDVVAMLKEIRSVDPIRSDSDLKRGVPTPSPAPPTRKLVLQGSPNCSFAFSDE >KZM92941 pep chromosome:ASM162521v1:5:1070706:1072329:-1 gene:DCAR_016186 transcript:KZM92941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTTRWLRGLLGMKKEKENVDTFDLNDQKGKKKWSFAKSGKNSRSANQSPAINPATDSSWLRSYTETEKEQNKHAIAVAAATAAAADAAVAAAQAAAAVVKLTSQGRPNLRMSGRERWAALKIQTVFRGYLSRKALRALKGLVKLQALVRGYLVRKRATATLRGMQALIRAQVAVRSQRARRSFNKDHLSQLEFIQRKSIEKFEEPRGEYHSKRLSASYETSIHASEESPKIVEMDTLKLKSRSRRMNFSASESGEDRCDQTSSSPHLCLNPSRLYIPDHRQIHDYDWGFIDEQYKFSTAQSTPRFAYSGHFKTPATPAKSVCGESFFRSYSNHPSYMAKTQSFRAKVRSLSTPKQRPEDVQKKRLSLDEIMASRTSFSGVKMQRAVSHIQEYSNP >KZM95231 pep chromosome:ASM162521v1:5:30412193:30413203:-1 gene:DCAR_018473 transcript:KZM95231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHVPFHIHESHAHALALVSIKNQQNSSYQASLQPHAGNGFPILALGVLGIMATAFVLVGYYIFVNKCCLNWQQIDPLRRFSVVQTRRNQDLLMDYSPSWQSRGLDDLLIREIPTFQYSKSQGDLMSLYKCVVCLNEFQEQDTIRILPSCKHGFHLDCIDIWLQSNDNCPLCRLSISGATRYPIDRIVAPTSSPQDPRPFVTRGLVGSDEDFVVIELSGEQNRNEPRIQYHSPGKVQQKTVKLKPRKFHHASIMGDECFNVRDSDDQFSVQPIRRSFSMDSAADRHIFLSVEEISRRNRHQTEFRNNEESSSRVRRPFFSFGHVRGSKSTVLPIEF >KZM95017 pep chromosome:ASM162521v1:5:28020374:28021619:1 gene:DCAR_018259 transcript:KZM95017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHW >KZM96279 pep chromosome:ASM162521v1:5:39760398:39768595:-1 gene:DCAR_019521 transcript:KZM96279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSANHEDMMVPPVEGVAGGGTAYGWDDGLHDPGLLGGTIDPTKVPSAELMHVWCMPSTANVGLQDMPRQYEPISLLAARNERESVQIAIRPKVSWSASSVAGIVHIQCSDLCSASGDRLLIDKSITLRRVVPVLGVPDALVPLDLPVSQISLLAGETTAIWLSVNVPSAQPPGLYEGELIITAKADSESLSQILGKAEKQQLYRELKNCLDILEPIDGGKPLAEAVERAKSATTSLRRILVSPSFSDFCIDNGPVDMEEDAISNFSIRLKLNLTVWDFVLPETPSLPAVIGISDTVIEDRFGVEHGSIEWYEALDRHFKWLLQFRISPYFCRWGESMRVLTYTSPWPADHPKSDEYFSDPRLAAYAVPYNPVVPSGDAAKNYLQKEVEILRTKNHWKKAYFYLWDEPLNLEQYNAIRNQASDIHAYAPDARVLTTYYCGPNDAPLASTSFEAFLKVPEFLRPHTQIYCTSEWVIGNQEELAKRIVAEIQPENGEEWWTYVCLGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATAASAEIRFRRGLPPGDGVLFYPGEVFSLSHQPVASVRLERLLSGLQDIEYLKLYASKFGREEGTAILEKTGMYMGPECYTHDHTPIDAMRGEVFRTCRS >KZM93842 pep chromosome:ASM162521v1:5:11379759:11380541:1 gene:DCAR_017087 transcript:KZM93842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEARSDVDQEPILSTYYYTSILAHESLGRALANHLSMKLSNASIPSGTLYDLFLGVFTDDQEXVVYNINAVRERDPACISYVHCFLNFKGFLACQAHRVAHKLWSQNRKILALLIQSRVSEVFAVDIHPGAKIGRGVLFDHATGIVIGETAVIGDNVSILHNVTLGGTGKACGDRHPKIGDGVLIGAGTCVLGNIKIGDGAKIGAGSVVLKSIPARTTAVGNPARLIGGKENPFRLDKIPSLTMDHTSHISGWSDYVI >KZM94365 pep chromosome:ASM162521v1:5:21467789:21476440:-1 gene:DCAR_017608 transcript:KZM94365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLLKKVWESVSNRSSSSSGSTSITTRSSSSSSSSYVDVAEICLHTSFTGAFDRIPIDIFVQILKLLGPKETAKLSAVCKSWKFIVSDNRLWIYFLQNNEQPWESVFFAENYLRSGYDHLLSLPRQMPELSFMHIYGQRARVPGAIIIDGGSGYCKYGWSKYDIPSGRSATFLEFGNIESPLYSRLRHFYATIYSRMQVKSSRHPVVVSVPICQYDDTDSAKAARRQLKEAMYLALYGMDVPAIVAINQEFGNIESPLYSRLRHFYATIYSRMQVKSSRHPVVVSVPICQYDDTDSAKAARRQLKEAMYLALYGMDVPAIVAINQATLALFAARRTSGIVVNIGFHQTSVVPILHGKVMRKIGVEVVGIGALKLTGFLREQMQQRNMNFGSLYTVRTLKEV >KZM93351 pep chromosome:ASM162521v1:5:5156942:5162495:-1 gene:DCAR_016596 transcript:KZM93351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGKSLAETPTWAVATVITAMVVLGWLFLASLELFDKWLDRTKRKALLAALEKIRDELMLFGLLSLMMGHWIVYVAKICIKSSSVSRRFYPCALESELSDEPSQIEISVFNHTFMNHSAPRQLMKTAVHHYCPQGHESFVSHESMEQLHRFLFVLGTSHVFYSFVAIALAMIKIYSWKVWEDQAKVMALQRSEEAISSNERMRRMTTFISNHTSNSWSKHKVLVWLLCFSRQFWSSINRADYMALRLGFITTHQLPLTYDFLNYMLRSMEDEFRDIVGIRYLYIYYLKTLDIMLQMGSRFKKTIISNDVRNSLHKWQRRVKARQGDHPFTLINEVSTASSDTTGDTESLYTVNSGKAKGSSITRHASLEHHTSSGNQTSFYSSCRSEDDIDSDELEDLH >KZM94019 pep chromosome:ASM162521v1:5:13883653:13889455:1 gene:DCAR_017264 transcript:KZM94019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPFIGETMGYFKPYSATTIGAFMEQHIGRYGKIFKSNLFGGPTIVSADAGFNRFILQNEGKLFECSYPSSIGGILGKWSMLVLVGDMHRDMRAISLNFLSNARLKTKLLKEVEAHSLFVLNSWKDNSAFCAQDEAKRFTFNLMAKHIMSLDPGEDETEKLKKEYITFMKGVVSLPLNFPGTAYRRALQSRSTILNFIEKKMEERMKEMDEGRLNKVDGVGDDDDLLGWVLKHSSLVKEQILDLVLSLLFAGHETSSVSIALAIYFLQASPHTVEQLREEHQEIAGAKRQLGEVGLNWDDYKKMGFTQCVINETLRLGNVVRFLHRKALKNVRYNGYDILRGWKVLPVIAAVHLDPSLFDHPQHFNPRRWQSNANGSTYLVESNPTTNSNNIFMPFGGGPRLCTGSELAKLEMAVFIHHLVLNFDWDLLDPSDHPSAFPFVDFPKGLRIRVRRRDTVNILHKD >KZM95623 pep chromosome:ASM162521v1:5:33936499:33936810:1 gene:DCAR_018865 transcript:KZM95623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KZM93789 pep chromosome:ASM162521v1:5:10741555:10742811:1 gene:DCAR_017034 transcript:KZM93789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEMPNANTMNLDLNLGPVVNPDEEIGSGESMNLEEWLGRPVNRLRETFMRQRASRPRAGQRWRSVWRPVPIPPETRDLALELMAGAGLEMGEGSVAPAERPSEETKVCDTNNGYLENEALGKKDNDEKGNGEDGSFFDCNICLDLAKEPVVTCCGHLFCWPCLYRWLHLHSDAKECPICKGEVTMKNLTPIYGRGNNSKQEPEDESSLKIPQRPQARRVESWRQAFQRNAFTIPMEEMIRRLGNRFDLSRDVAQGNPRNTGSPRVSPERSNLLLNRFLTSRGMRREQNVGLPSEEAVDLSQSSPTNSEVVESLRVLRRSHPHRAAVISNFTSALSSAERLVESYFRSHPAENVDDRDSVSSIAAVIQSESQTVDTAVEIDSRVSLSTSSSRRRHDASRISDVDSGDSRAPRRRRLN >KZM95777 pep chromosome:ASM162521v1:5:35466096:35467502:1 gene:DCAR_019019 transcript:KZM95777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNNEAQKQATESEVAVVIVPFPAQGHLNQLLHLSRLVSSYNIPVHYVTTAIHSRQAKLRVQGWDSLSSPLINFHEFSVPAFDSPPANPHSAFQFPSHLVPSFYSALHLRKHVAELLSALSTTAKRVVVIYDYLIPYVGQDVASLPNGEAYSFHPLSAFRIFCETVGESGFETIDDQVVKQLPSILSTLSSEVMEFFLKQREDLVQYSSGALFNTCKAIEAPFLDVLAKGNEKQWAIGPFNPMDLRKARDSSYVRHKCLEWLDKQVRESVIYVSFGTTTSLTDDQVESLAIGLEKSGQKFIWVLRDADKGDIFLNDVLKIGVVVKDWESRDKLVESSTIENAVRELMASEKGEDIRKRAAELGSAVKRSVAIGGDTRAEIDNFIAHIQR >KZM95501 pep chromosome:ASM162521v1:5:32693049:32696400:-1 gene:DCAR_018743 transcript:KZM95501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSANSFMPQAFQGTTVDLTSQIGLLWELIRVPLIVPLLKFAVVVCLVMELMLFAERLYMGIVIVLVKLFWQKPEKRYNWEPMKEDLEAGSSTFPLVLIQIPMFNEREVYKISIGAACNLSWPADRLVIQVLDDSTDPIIKDLVEKECKRWAAKGLNVTYQIRETRGGYKAGALKEGLKRDYVKDCEYVAIFDADFRPEPDFLRRSIPFLMHNSKIALVQARWRFGKPANHLIKLINSDECLMTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTGGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRYQQHRWSCGPANLFRKMVMEIATFIGLFEAKRANEWVVTEKLGDGLKNKNNKSNKKFQFNFNIGDRIHVTELGFAAFLFFCGCYDYLYGKNNYFVYMFLQTITFSIVGFGYIGTIVPT >KZM94692 pep chromosome:ASM162521v1:5:25026171:25033816:1 gene:DCAR_017934 transcript:KZM94692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRRLLPLRSSLLFKFSLQSTSFSPAFRQGFGGSCRSYNAGITSTEGLVKLVDEAQLPDADTAAFEEKVARIRQEFDAAKKMFLKIPDALKEMPKMDPEGIYVNKNMRLDNIQVCGFDYDYTLAHYSDNLQSLIYNLAKEHLVNELKYPASCLEFKYDNTFPIRGLYYDKSKGCLLKLDFFGSIEPEGCYFGRRKLGLKEIEEIYGTRHIGRDQARGLVGLMDFFCFSEACLIADIVEHFVDAQLEFDASYVYEDVNRSIQHVHRSGLVHRGILSDPQRYLVKNGQLLQFLRMLKEQGKKLFLMTNSPYYFVDGGMRFMLEESLGKQDSWRELFDVVIAKANKPDFYTSEHPFRCYDVEKDTLGFSKVDAFLPNKIYYHGCLKSFLQITKWKGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELENEIRIQNGDSYRFEQAKFHIIQELLGKLHGTMYSYQKSKAYISLFEELNQERQKARCTMKGMFNRSFGATFLTDTGQESAFAYHIHQYADVYTSKPENFLHCPPEMWFYVPYDIKIMPHHVKHHKSWLIYLPGPFKLVQDSLVSNQESAGGKNRVRYARLNYLGGSCIEFSEREA >KZM93871 pep chromosome:ASM162521v1:5:11713024:11715376:-1 gene:DCAR_017116 transcript:KZM93871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRNIPYSGPVTDIEMDQHGQAHFSPYGSIANFPQPNAILAAPGNRNNFDVHHLPEHHGSYGMPLYNSVQHQYPARDIDFVASAASNHYSMYMAPSSSARGFPVPLNSGPFDQFLSSSHHGIDFSADNYARNAQFMDGLGGSFKRKNAEVLPRNFQYYSSAGASSSVAPIIARPVESDFGPREVSFTVPDSRGNDLSSVMDVGSHRSMRNRPSSVGVDSLVPHNPNHLIRAQYPGQAFQTAATPWMDQQFNGNAGDAGTLTWNQTHTLPYLHGNFNGSCMEAANIGVHAYQVTANRSPAALFPPPPILPGHFNLHHPSPPLPPLQGARGRSLELHPQVVTSSQRPSTSGTAQASMNAIQDSGEMICRFVGSGQPGGLRIYRPQRRELMLDATARQRNLHHLRVLPEDGVALLELSDYNGMGDSVDHHREMRLDIDNMSYEELLALGEQIGSVGSGLSEEFITGRLKSNTYTLSRSSSNVGDATSMDQELNFCVVCQNNHFKEHIPA >KZM94004 pep chromosome:ASM162521v1:5:13594010:13595412:-1 gene:DCAR_017249 transcript:KZM94004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASITTRYDAAKKKTHVAGTLAFDNSDINLTATVADTSTLLSGGFNLNSIALEKPGSFGINFDIPDQDVRFQFMNSFKVLKKPVDLSYAHSRAYNRTALGGRVELDLSNTVSGKYDFGSGHSKIKYRYSHNGLTTVEPGYNFDRKGWQLAASHKFSGGDVVKASYKSDRKILGVDFVKNTADGNFKVSASLDLAEKLTFPTVNAQSTWNFNM >KZM95459 pep chromosome:ASM162521v1:5:32307179:32308979:-1 gene:DCAR_018701 transcript:KZM95459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNRSGGVGKERLKWTQEMHELFEKAVDQLGGPDKNKFKRSNSDILPNFSATVGAQLHEALQMQMGVQRRLSDQIEVQRNLKVKMEAQGRFLERIAEEHKSRPNINGKPRKSSSPVSLPCLCKESESESDSDIQKSDIRSDEKFQGSKRAHFDQEDVLLRRHKNFAAGYCAQQCMFVPKG >KZM93623 pep chromosome:ASM162521v1:5:8565820:8566996:-1 gene:DCAR_016868 transcript:KZM93623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDETQTLPLQGRVAIVTGGSRGIGRAISLHLASLGAKIVINYSSNSNQAQLLASQLNSTSNSSSPVAISVGADISDPDQVKSLFDQAEQHFNTKSHILVNSAGILDSKYPTLANTTLEDWDNTFNVNAKGAFLCCREAANRLKHGGGGRIVTLTTSLVGALTPGYGAYVASKAAVEAMVKILAKEMKGSGVTVNCVAPGPVATEMFFAGKSDEMVKRIAEACPLGRLGEPDDIAKVVGFLVSDAGEWVNGQIVRVNGGFVI >KZM92971 pep chromosome:ASM162521v1:5:1274578:1275945:-1 gene:DCAR_016216 transcript:KZM92971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRAPMPTCCPNTPASSKKHFSTSVPTSLQWSTSSSSGSQKLFSHALPGRGGFGQVRKGNLSNGKEVAVKQLKIGSGQGKRDFQSEDDTVSHIHHKNLVSLVGYYSTDDLRLLVYDYVPNKTLDLYLHGTRGKPLPSNWAPLNWATRIKVALGSAKGLAYLHEVCQPKIIHRDILLDNSFEAKSRPLLSQAICDADFGTLVDARLQKNYDFTEMSRMVTCAAACVRHLARLRPKMSESSSECSGLNDVTQQPSPSSSERLRV >KZM95587 pep chromosome:ASM162521v1:5:33639891:33640997:1 gene:DCAR_018829 transcript:KZM95587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNAKKPVSVCGYSFYSSYSRPPTRALRKRISKRNRAASKPVLDESRFQNAVSQLLPRFSPEELCNVITMQDDPLVCLELFNYAAQQPRFRHNVSSFHITIKKLGAARMYEEMDVIVNQVLAIRSIGSEALYNTMIYYFTEARKLNRAVNIFMHMRSNMKLSCRPSIRTYNLLFAALVGREGNSYVNHLYMQSIRGLFKLMVNDGVEPDIFSLNTMIKGYALSLHLNEALRIFHQMEVVYNCPPNSFSYDYLIHGLCAQGRTNNARELCDQMKEKGFVPSNKSYNSLVNALALGGEIDEAVKYLWEMIAERRLADFITYRTLLGEIRRHQRSEDGMNLLKDLQAKGIVDGHTYIKLQNELKDNFRRL >KZM94541 pep chromosome:ASM162521v1:5:23416363:23426021:-1 gene:DCAR_017784 transcript:KZM94541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEMLFWRIMTRHLHTPMPLPPLHPDFTCLVTGCTNGIGHKIARQLAEAGTCVVMAVQNTTRANVLTEKWQFDWSKRNLPLNIELNLLSLDSVARFAEAWNALSVLLHVLINNAGIFSSGGPPSRIVYVSSTILGFVGPEDMNVSTGKKKYTNLVGYSGSKLLQVMFSSIPNNKLPSETGISIVYASPEVVQTNFVREASFQSSVLSASPGSCCIAPSRRADEHIESGDGEFLDISQTHRPNKQYYEL >KZM96173 pep chromosome:ASM162521v1:5:38852853:38855621:1 gene:DCAR_019415 transcript:KZM96173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSSMLTQYDIEEVQEHCNHTFSLQEIASLYQRFCQLDRNGGGFISAEEFLSVPEFAVNPLSQRLFRMVDGLNFKEFVAFLSAFSSRATLQQKVEFIFKVYDLDGNGKVAFNDMLDVLRDLTGQFISEQQREQVLTHVLEEAGYKKDSFLGLADFMKILGNSGLKMEVEVPVD >KZM92966 pep chromosome:ASM162521v1:5:1261355:1261648:-1 gene:DCAR_016211 transcript:KZM92966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLQGRVVCATNDKTVSVEVTRLAPHPKYKRRVRKKKKFQAHDPENKFQVGDYVQLEKCRPISKTKTFLAIPVPPRNAKKEAVSNELGLPLASAQA >KZM92841 pep chromosome:ASM162521v1:5:211439:218602:1 gene:DCAR_016086 transcript:KZM92841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKKNATLLLLTILHLLSSSSFVKADTDPDDVSALGVLYTSLNSPQQLTKWTANGGDPCGESWKGITCSGSKVTEIKLPGLGLTGSMGYQLTNLKSVTTFDLSNNNLGNQIPYGLPQNLQRLNLAGCGFTGGVPYSISLMTKIEYINLSHNQLQGQLTDMFGSLSALSTLDISFNSMTGDLPESFTALSSMANMREGNSWSSGPAPPPPPGTPPSSSGNRNRKSNDNKNSSDGGGSKKSGLGGGGVAGIVISILVVGAVVAFFVVKKRSKRSSADVEKADSQPFTSYASQEVQETKPTQASSMPVTKTFENSASFNLRPPPADRHKSFDEDDLSVRPIVTKKVNTASINAISYSIADLQIATNSFNVEDLIGEGSAGRVYRAQFDDGRVLAVKKLSSSALPSHLSGDFVNMVSDISQLRHPNVAELVGYCSEHGQHLLVYEFYKNGSLHDFLHVSDEYSKPLTWNTRVKIALGTARALEYLHEVCSPSVVHKNFKSANILLDTELNPHLSDCGLASLVLDADQALNHNAGSGYSAPEVAMSAQYTIKSDVYSFGVAMLELLSGRKPFDSSRARSEQSLVRWATPQLHDIDALAKMVDPALNGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVEALVRLVQRTNMSKRTVGNEQGLSPRDDNDREF >KZM94583 pep chromosome:ASM162521v1:5:23852417:23852746:-1 gene:DCAR_017826 transcript:KZM94583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVRSESTFRRSGSSGLVWDDKFLSGELKPVQTKEGGDQDSDTKDNKGKEEQQRQAYKTVEVTPTVDPPSPKVSAGCGICFGKSKPAKKTATAAAAKRSKPSGHRKS >KZM96553 pep chromosome:ASM162521v1:5:41784809:41786935:-1 gene:DCAR_019795 transcript:KZM96553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFLPGVTDRIERERKWLVLVAAVHLLLLGGSSSLVFETHPGRTLLRKTIHRRTLYVKAEVDFVNAEEATKLVTQGYTVLDVRDRTQFERAHIKACKHVPLFIENKDNDPGTIIKRQLHNNFSGLFYGLPFTKPNPEFVQSVKSQFSPDSKVLVVCQEGLRSAAAAQKLDASGFQNVACITSGLQSVKPGSFDSVGSTELQNAGKAGLVTVQGKISAVLGTVLICAYLFITFFPDQAEQILALFPSA >KZM93566 pep chromosome:ASM162521v1:5:7901803:7904433:-1 gene:DCAR_016811 transcript:KZM93566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTYDQLGSLNGTRTDWSVKVRVTRMWPSINYSGGKQNFRGYNPLLLDEDNCHVHAFVYADNWNALSKKIEITEGGLYAITNFYAKEAFGSLKPVSTKTLINFSNSMREIDTVNGRRHIVRFRMTDGRYSSVVAIWGDLAKAAQKEKDKAIETPVIAIMTCTKLKTFRNTVQINTVPSSRIYMNLDIDAVIAMRQRLIDEGYTPGEGNTATPANRTVHQIVETMSLNELSEKITEDYIKKNIFCVVKIVSVEETGWWCNSCGGCGSEVEKQDGKLFCTSCEHCIPVAEKRYRVVVLGEDSTEAYNFVLMDRAVKRKVGISATKMISDKLKNQTSTDFPEQIRTISSKELRLKLLINEDNVKVNSRLFFAVDAIDASAPISAICSVSGTYSTTSSIANSAAVKLSEENDTPSTSKSSSKRVKTVCTFFRPNLPSYSTPLNL >KZM96341 pep chromosome:ASM162521v1:5:40215321:40227594:-1 gene:DCAR_019583 transcript:KZM96341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRGDDDYDYLFKVVIIGDSGVGKSNLLSRFTKNEFSLDSKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVNKWGNVIYDEFVRPVDYVVDFRTQISGIRPSDLKKAKDFRVVQNKVAELLKGRILVGHALRNDLKALLLSHPKMDIRDTAEYQPFLKEGRSKALRHLASEFLNVVIQNGEHCPIEDARAAMLLYQKNKKQWERSIKDRIRFTQKMKKRKHRKGSVAQGDYQVAEMEALLEAHDYAMPSREILDALAEKFSLTAERSGKFVVQMKQVWHWFQNRRYAVRAKASRPLTQDDSSTVTNMPQAPQSKPSPQDTAIDVTEGPQTSPEIQGTGRNGADSNSMEFEAKSARDGAWYDVAMFLSHRSVNAQDPEVLVRFAGFGAEEDEWVDIGRLVRKRSLPCESSECVAVLQGDLILCFQEGKDQALYYDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQQLYASNEPASANQSKAGAVPCTDNTLRVYPPVEPVQKQRKIQDILDNVPAVPGTSQTNLLVGTEIKAEQTDVDDIADAGTIENSGALQSNDSPQPEDLKTDSPSMEISDAGDNSLQPQVLKIESPSAMEVSDAGNNSLPPQVIKVSSPVTMETSDAGNSSLHPEVMENVGPSTTEISDAGGVQQPKVTEITNVGDLDSLNASPTIVMQQEVAEIVSDADLGNSPVPHVNDSQENLPVAPQSEIEETSGSSGSENIPLGAAA >KZM93822 pep chromosome:ASM162521v1:5:11174430:11175578:1 gene:DCAR_017067 transcript:KZM93822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPCEMLDEILIRLPVKDLLRARCVSKGWCSLIDSTPFVKRHLSANAGAGSLLIRGSAGIGNFYLASFNAAQDEATALEINDPLKTLLVGARIQGAANGLVCVSKNNINQLFLINPATNKSRMIPSAPQEFPRSFYYNESSVCGFGYDHINDDYKVVKIADCFIQFRGIMAIVYSLKTNSWKQIHNVPSNTRFTRDSGAFADGALYWLGINKPVDINDTCCKDFLVVFDLGLDQFKEILIPAMKGPVISFSTRCVDSDGRSLCIIDSYPDCHTDLWLMNNSGAEIPWSKLLSVENHRTQPFRFFRPVYFSRSGESVLLEVDNSKLVWYDLGKKAVKNFLIRGIPNKFSSHVYTESLVKLTEDKLLQKPSQDKPEIKQQKRR >KZM94250 pep chromosome:ASM162521v1:5:20006305:20006901:-1 gene:DCAR_017493 transcript:KZM94250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGADPLWRINPHGAVAVRRYKILDSYWKFKKGQSDLIAAVDGAWGLAKDLNYNGGIGGYLQEPSGKMVMVFSGPSNSKNAVETEVDAILYVIDWVIKLNLVQMRTVICSDSSVAVNAFNEGIYIKFPLKAMEFKHQFLINSKIFVQFVPRYLNEQADDLAKKGMARPIMKTQLVGSGNSGENPEVHQAAVSSSCQC >KZM94290 pep chromosome:ASM162521v1:5:20624332:20626582:1 gene:DCAR_017533 transcript:KZM94290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGERTVCVTGASGYIGSFLVKRLLERRYHVRATVRDPGNQKKVKHLLELANASTHLSLWKAELAEEGGYDDAIQGCQGRRDRFNNIEWNTEHYEIMLQGQNCEEMYVVAKTIAEKAAWKYAEENGIDMVTVHPSFVLGRPFITPYTNISIDAAISLYTKDEAGIASLKKLNGFSAVHVDDVCNAHIYLFEHPLAREDTFAPLMLLTYLKLRIHLASSTQTGIYELSTYKEISSFFSERFEGLDEAQTIIPCSSKKLRDLGFEFAQQQRY >KZM94630 pep chromosome:ASM162521v1:5:24463081:24464437:-1 gene:DCAR_017873 transcript:KZM94630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIPAYLDPPNWQQNQSYRSGNTEFLQLQQQPPPVPQPAPPQPHGVGGAGTIRPGSMADRARMANIPMPETALKCPRCDSTHTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRSVPVGGGCRRNKRSSKGSTSSSKSEPASSEPANSGSTNSALPSNSTPSGGILGGLTSQIPQLGYMPPLTHLNPGTHESGLNYTGISGAPNVSGEMNFQSSNLYSGSGDGGVSSLLSSSGGGLELWRMHHAPQFPNFLGGFDPSVSQGMLYQSNYQGVEGLGSAGYDGAKLSSSASMMSQLASVKMEASHNYISTANNQDHGEPNLARQFTGSVQGNEHQWTSTAGATAWTDLSGFSSSSTSNPL >KZM93635 pep chromosome:ASM162521v1:5:8722653:8723630:-1 gene:DCAR_016880 transcript:KZM93635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSLKKQGNGEETLKEKEIRAITEKCYDAYFADPDKDYSSAEFFFAVSRTVEEINKMIGSTQIRVPSAATLEDAFNKYHQDKGKALTKEEFQKILQAVILDTGVSGMGAKDMLLYIFGVPLTAVFLKQQIIPGAIRNDVFIPAVTSATVFLLAKLNKI >KZM96084 pep chromosome:ASM162521v1:5:38102521:38104377:1 gene:DCAR_019326 transcript:KZM96084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVVPVQQNLEGFCLFQSDCRENSRKIQPLGSKVSVRACFTIGSVRVFDEDKSCFLVLSGEKCNGWGRKEGFRKKRGSSVCAILKSESLSSNGHVSNLDFKNGGGSSSNSSQYYASRNFEEYESNNNLRRLVRNGQLEEAFRFLENMVYRGDIPDIVHCTSMIRGFCRLRNTKKATRVLEIIEESGAVPDVITYNVLIRGYCKVGEIDDALEILDRMSVAPDVVTYNTILRSLCDSGKLKQAMEVLQRQLQRECYPDVITYTILIEATCKEVGVGQGMKLLDEMRSKGCKPDVVTYNVLINGICKEGRLNEAIKFLDNMPSHGCQPNVITYNIILRSMCSTGRWMDAEKLLTEMVRKGFSPSVVTFNILINFLCRKGLLGRAIDILERMPQHGCTPNSLSYNPLIHGFCQEKKMDRAIEYLEIMVSRGCYPDIVTYNTMLTALCKDGKVDIAVEILNQLSSKGCSPVLITYNTVIDGLAKMGKTESAITLLDEMREKNLKPDIITYSSLLGGLSREGKIEEAIKFFRDLEELGIRPNAVTYNSIMLGLCKARRTDRAIDFLVNMVAKGCKPTEATYTILVEGLAFEGLAKEALEILNELCSMGVVRRSSAPQVLVRI >KZM95002 pep chromosome:ASM162521v1:5:27822334:27825428:1 gene:DCAR_018244 transcript:KZM95002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALPRPTRVKNKTPAPIQITAEQILRDARELQEPEIRPPKQKITDSTELSDYRLRKRKEFSEESKDFNRARSVCERALDLDYRDHTMWLKYADMEMKNKFIKHARNVWDRAVTVLPRVDQLWYKYIHMEEMLGNVAVARQIFERWMSWEPDSQAWLMYIKFELRYNEIGRARGVFERFVECRPEVNAWIRFARFEMKNGDIGRARSVYERAVDKLGDDEEAESLFVAFAEFEEKCNETERARVIYKYALDHMPGRGEDLYRKFVAFEKRYGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERATANIPPAEEKRYWQRYIYLWINYALYEELDAQDVERSKDVYRECIKLIPHNQFSFAKVWLLAAQFEIRQLNLEGARLILGQAIGRAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLVWSPENCYAWSKYAELERSLSETDRARAILELAIAQPALDMPELLWKAYIDFEISEGEFEKTRRLYERLLERTKHLKVWISFAKFEASAMIENQDPELPEDETKQSQQLQCLENARKVFESAINYFRTSAPDLKEERAMLLEEWLNLESSIGELGDVSLVRAKMPKKFKKRRLIDTEDGNEIGGLAIEGDINDFEKFEEDEFLKCHGLGKGQEEVFWPVVLKFSVSL >KZM93122 pep chromosome:ASM162521v1:5:2612789:2614311:-1 gene:DCAR_016367 transcript:KZM93122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENHQRLSRIAATISLLLLLMLAIPTALHHSRISFTHPLASPHRKLLIHSEPSAPSATLVEPARVWGDKCSRADILINQGPAAPLPTGVPTYTVEIINVCVTGCDIAEIHLSCGWFSSIRLVNPRIFKRLRYNDCIVNDGKPLANGRTLSFHYANSFRYPLSVQRIKC >KZM93527 pep chromosome:ASM162521v1:5:7499627:7506623:-1 gene:DCAR_016772 transcript:KZM93527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSLTPFSTQLASFKKVEQRPSISAVLTDENSDMMQMEEDTETVAVLDLDPGLQPYKDHFRYRMKRYIEQKKLIEKYEGSVENFAQGYLKFGFNREKGVIVYREWAPAAQEAQIIGDFNAWDGCNHKMEKDQFGVWSITIPDSGEKAGIPHNSRVKFRFKHAGGVWVDRIPAWIKYATVDAARFAAPYDGVYWDPPHSERYEFRYPRPLKPTAPRIYEAHVGMSGSEPRAIVGDKTIAFLLMDQEMYSGMSALGPASPAIDRGIALHKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWNLVDEDHLRYKFMCAFDRAMNMLDEKFAFLASHKQIVSCANDEDKVIVFERGDLVFIFNFHPENTYDGYKVGCDMPGKYRVALDSDALEFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFQILSPPRTCLVYYRVEEGNKTTSVEAIE >KZM96282 pep chromosome:ASM162521v1:5:39782456:39784237:-1 gene:DCAR_019524 transcript:KZM96282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVLNQQQVHIEKLEEVVNNLTELVYKLESFFKESVKIETFEGEEKNPVGELVETESEEGGSGSSVSDGEIVGAVSITKHSLFWSERFQFVSAVKLDSRVSCVGVLPFKDSEGLSKYVAVGNDQGKVYVFSRNGDVLIEFSTLALSPVTTMLLYMSSYNRSMLVTGHENGAILMHSISEMSGGEEGYSLVMETDTRFVISEMEEGGSAITILEIHHVGRTRYIISTDASGKIKVFRENGALHGLAVPMSRPLVFLKQKLLFLTETGAGSLDLRTMKILESGCEGLDQSVVRNYVFDATERSKAYGFTSEGELIHVLLLGDMMNFKCRVRSMKNFDVHKPLAIQTIKGYLLLSNRDKVFVYNVSSSQSYRSAGPRFLFSAGLEEIVASFLKCQGESDDEKEGVIPLIASDQEKLVILSLGAGYVGVYRSTLPISRVEYSTMIWGTPLVIFIVFLFGAWKFFGNKKEAYSLWGPEDPFTSTSVTNENEAASVSDSGDRSFLDSSPKSEIMDVRGSSLRGPSRRYGSPPRYTGGVASSFRPNPSDTNSRPAPVDSNYRTASELKFRGSNLESTTYSHTRESLYGNRPVVDDSN >KZM95795 pep chromosome:ASM162521v1:5:35658431:35661550:-1 gene:DCAR_019037 transcript:KZM95795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSETFVLQSSTRAGVLGQVGMLWDLAKAPLIVPVLRLMVNVCLAMSVMLFVERVYMGIVKLFIQVLRRTPKKKFKWEAMKEDLELGSLAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKEMVELECKRWANKGINIKYEIRENRTGYKAGALREGLKHGYVNQCDFVAIFDADFQPEPDFLWRTIPFFVHNPDIALVQARWIFVNADECLMTRMQEMSLDYHFIVEQEVGSHTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRATLKGWKFVYAGDVQVKSELPSTFKAFRYQQHRWSCGPANLFRKMAVEILTNKKVTLWKKLYVLYSFFFVRKIVGHIVTFSFYCVVLPMTVLVPEVEVPIWGAIYIPSVITILNSVGTPRSLHLVIIWILFENVMSLHRTKATIIGLLEIGRVNEWVVTEKLGDSFKMKTSGVKHLKKFFQFNPKFWGRFNRLEIITGMFLFSCGWYDYYYGKNNYFIYLFVQSMAFFVVGLGYVGTFVPN >KZM95233 pep chromosome:ASM162521v1:5:30424659:30425516:1 gene:DCAR_018475 transcript:KZM95233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRTAFFAYFLAFLRKCVFMVLSRGPIPSHIGFIMDGNRRFAKKNKLMEGAGHRVGFSALMSMLKYCYEFRVKYVTVYAFSIDNFKRRPEEVQAVMDLLQEKIEGLTKQESLVNQYGVKVRFVGNLQLLSQPVRLAAERAMVATADNSKAVLTICFAYTSTDEIFHAVRESCEERKEELSALESTGSGPYGLLGLNSNDRGHEEHFINLKDIEKHMYVTPDPDIIVRTAGETRLSNFLLWQSSSTLLYSPLVLWPEIRFRHLIWAIIDFQRNYLYLKGKLKQQ >KZM95909 pep chromosome:ASM162521v1:5:36667922:36682381:-1 gene:DCAR_019151 transcript:KZM95909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDALPNGNSPAATTTPLPATTPAGAVPVSRAGQLSESLKLEHQFLRVPFEHYKKTIRANHRVVEKEMSSVISGVSQAADGDLSRDDAVSQLNSLVSRLQGLKRKLEEGNLAEHLQEHRCRARIDHLESVDLENVAEWNNMRVKRILVDYMLRMSYYETATKLAQNSNIEDLVDIEVFQEAKKVIDALRNKEVAPALAWCAENKSRLKRSKSKFEFQLRLQEFIELVRDGNNMRAITYTRKYLAPWGATHLKELQRVFATLAFRSNTECLQYKALFEPKQWDFLMDQFKQEFCRIYGMTLEPLLNIYLQAGLSALKTPFCYEDDCPKEDPLSQEGFRKLASPLPFSKQHHSKLVCYITKEIMDTENPPLVLPNGYVYSTKALEEMASKNNGSITCPRTGEVCDYSQVVKAFISLLVSVNLDDKASLRAVFSLLVVAFEQNLVSRSIGDAYLKRSEFNRAPLLQKFRVREPFHKPILKAEPSIVVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNSPRNGSARKLIKAALREAAKKREMRYSDLKQIERGVRRHFHDDITVIVLFLDSNLISQSPCNGPMLSVRGGGDSNPRTREVLPPALDSTSEPPAIFDGTTRLYISYTCPYAQRTWITRNCKGLQDKIELVPIDLQNRPVWYKEKVYPPNKVPALEHNNEVKGESLNLIRYIDNNFEGPPLFPDDPVKKEFAEELLNYIDSFRKAVITFFKADEMSEAAAAFDYIETSLATYDDGPFFLGQFSLVDIAYAPFIERFQPFLLEFRQYDITKQRSKLAAWIEAKYRAANQVLMRSKDKKNPNPIYQNVDLDIFDGELNKIEGYTQTRRDPKEHVESYKKRFSVQQ >KZM94409 pep chromosome:ASM162521v1:5:22078819:22079134:1 gene:DCAR_017652 transcript:KZM94409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRSTSGVNDAGGLKAMDDAGSGAFAGGSDEIPAYGA >KZM94001 pep chromosome:ASM162521v1:5:13585966:13586997:-1 gene:DCAR_017246 transcript:KZM94001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFARKVPRTTAIRKGISVTQATVTQATVTPEVTVKAAAPKEKLKAKRRMTFDEEACDENEEFDNEFDNDTESDNDVIAEGDIPSNEREEINSMPKIKDTRKSKIRDMHNII >KZM95139 pep chromosome:ASM162521v1:5:29060735:29077408:-1 gene:DCAR_018381 transcript:KZM95139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQSSVIYYLVLQVFVVFTALIEVHRNKYGFALSEGSWSARLSSIFERLGYHVRIGFCLLVPAIQLVVGISKPSWVSLPFFICSCVGLVDWSLSSNFLGLFRWWKQLWIYAGINIVLLYVYQLPIGFPRMFHVLAEFVGLYKISANSEGLEVLSGVSMMVLYFMLSCVKSDLEDMDCIMSSEEGNLKEHLLPSKQSFFIRESRSGARHANVMIRGAVFRTFSINFFTYGFPVSLFALSYWSFFFASICAFGLLAYVGYVIFVFPSPFRQHRLNGLILVFILCWAVSTYIFNVAYTFLDGKLGKDMEIWEMVGLWHYSIPGLFLLAQFCLGILVALTNLVNNSVLLYMSDEVMQSSCDNSTDEVSEKTEVLIVATIAWGLRKCSRAIMLVLIFLIAVKPGFIHAIYSLIDYDSSWDFVKIAVLACFCAIHNHGFEMLLSFSAFVQNTPCRPVGYTILKAGLNKSVLLSIFSSRATRGIHVNPSNGVNGRKITTFLGAISQKILSLYRSLGTYIAFTTIFVAVCLVCPNYVSFGYLFLLLIWITGRQLAEKTKRRLWFPLRAYTIMVFVFVYILSIFPSIETWLSGKLNLYSNFGYSPKAPSLKNLWQSLAIIVVMQLYCYERRQSKFIIKEDLVRVQLGALGFIRRLLIWHSQKLLSAALFYASLCPISACGFLYLLGLVICSNLLKASRIPSKLFIVYTGILVIAEYLFQMWGKHAEMFPNQKHYSLSLVLGIQVYDPGFWGMVAGLRGKVLVIAACSLQYNVFNWLEQIPKSPLDDDRWAEPCPLFVPPENVLPVVSSSNGDQDPTFVSSHEEINSLTSNSWSSYNSGKKQSSHDVSCSGSGSESNRFKKYSFGYIWGSIKEGHTWDKMRIIALKKERFDIQTTTLKTYIMFWIENMFNLFGLEINMITLLLASFLLLNAVSILYVASLSACVLLGRQIIRKMWSIFVFLFASILLLEYFAIWNHVMTRTPQDSNGANLHCHDCWRFSDTYFYYCSKCWLGLVVDDYRILISYYVVFMVACFKLRADQFSRFSGSFTYRKMISQRKNAFVWSDLSFETKSMWTIIDYLRLYCYCHLLDLVLALILVTGTLEYDILHLGYLAFAVIFFRMRLTILKKKNKIFKFLRIYNFIIVVLSLVYQSPFLGDYNAGRCETRDYVYEVIGFYKYDYGFRITSRSAVVEISVFVLVSLQSYMFSSPEFDHVFRYLETEQIGAVVREQEKKAAWKTAQLQHIRENEEKKRQRNLQVEKMKSEMLNLQSQLYSMNSAAVCDDVLNGSEGLRRRTTLRSDKDSKSLDFEGRMMKQEINAYEGVMSPDEPQETLKNLESESSHSKAFTKRLMGMPDNEITELIEDSRDKEFIDSGEENKIRLQGKENALHSSVELIGDGVSEVQSIESQAVNNFLNFFNTHEKGSNNGSFSAQDGEDKDTDILNMNYVQSDKRRAVFDTAKLQIERIFSHIWSQMRSNNDVVCYCCFLLAFLWNFSILSMVYLAALFLYALCINTGPSYIFWVIMLIYTEIYIMVQYLYQIIIQHCGYNIQSTILQVVGFPKKPMTSLFVISLFPLFLVYLSTLLQSFITAKDSGWMSWTEFSTLKESEEYQNDYGLSSSWCRRLCKMLQPTIVMVKILIKKCYRYLKSLTRETESPPYLVQLSVDVHLWPEDMIQPERIESGINQLLRVVHDERCEEDNSIACPCASRVQVQSIKKSEDDPNVALAVFEVVYANSSRECEPTERYKSLTPAADVAKEILIAQQTGVPEHIGFPYSILSVIGGGKREIDLYAYIFGADLSVFFLVAIFYQSVFKNQKEILDVNQLEDQFPKEFVFMLMMIFFLIVLDRIIYLCSFAIGKVIFYLFNLILFTYSVTEYAWQMQLSQESSTGLALRAIYLTKAVSLALQAIQIRYGIPHKSTLYQQFLTSKVSRVNYLGYRVYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKCDAVLNRSRHKQGEKQTKMTKFCNGICLFFILIFVIWTPILMYSSGNPTNIANPINDATVQFDIKTDAGRLTLYQTSLCERNPWNKLNDISYLDSNGYLDEYNGNDIQLICCQADASSFWLVPDVVQNNYILSLYSNMEIRFSWVLTRDRPKGKELVKYEKNIDPIDLPKASEIEKVLNGSVDSFRTKNLYPRYFRVTGSGDIRSLEQKVDDVSGDLVLNRGNSEFWSFHDVHSSDLKICGDLTGPMAIIISEETPQGFLGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLIAFCEDIYAARAEGELGVEEVLYWTVVKIYRSPHMLLEYTKPD >KZM95507 pep chromosome:ASM162521v1:5:32792393:32795953:-1 gene:DCAR_018749 transcript:KZM95507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERLFCKTTLLSDITVNVGGVKFHLHMFPLISKCGKIAKIVEESKPTRDSTFTISLEEFPGAADAFLIAAKFCYQLRVDYTARNIVMVYCAANYLEMTDHYGEDPSLFGWPMLMYGSLQSPGGSILWNGINTGARIQSSESNWWFEDISYLGVALFKRLIETMEARRIRPEILVGAIIYYSKKYLPGLGRWQGGQGSKTRTVASFSMTPAAIDERNLLETVEKLLPEKKGKSFCRFLLGLLRVAFILGASPPCRESLERRIGMQLEFATLDSLLMPCYADSDTLYNTDCVERIINHFVSSEQKATVFSPSSLNSEISPSSGPLRKVAKLVDGYMAEVASDTNLKSEKMRSLAQALPESSRNFHDGIYRAVDIFLKAHPWLSDKEKEQLCTIIDFQKLSIDACAHASHNERLPLRIVLQVLFFEQMQLRRVLAGSYAFDSGSRAPAHQLTGTGDLVTQVVQRDEWVTVVRENQVLKVDLDSMRSKVGELEGEFNRMKQQVKRVTKSHSSLFSPGSVVRRIGCNSLPQSSNAHADIIESTAPSPRASVERAESSHHSRHSRSLSSCG >KZM95315 pep chromosome:ASM162521v1:5:31107346:31109421:1 gene:DCAR_018557 transcript:KZM95315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHAQLIKLGLSANPIFATRLINDYARSATSNSLSHAHQLFDEVPNKDTVLWTSLIAAYTHSNNPYKALQLFSVMLRQPESTEKPNHFVFATIARAIASCPEHIVLGQCIHAHVIKSGFLPGNVILETSLVDVYAKCHVVEYARKMFDEMASRNLVTWNAMVSGYVQNYMEVHGLNLFCTMKCVEFLVPDEFSVATALTGCAWIQDLVMGMQVHGYVIVSGLDSKCKNSIGNMYFWCGEVCSAERVLSGTECDIVSKLIKIRGYALNNRYFDVLNYIALECDIAEILNKDNSVVVPILNACANLSLTKVGKQVHTLIITSGHSNYHLSENNAIIASTLIDMYCKCRCINEASKIFKNWYHTREISPWNSIISGYIYNGYIEDAIMLMESIPEKNVISWTAMISGYVQIGRPHEGLELLHKMYSVEEKITVDGNCMTFVVGLEACSHLTDFSRGKQIHAKIIRTLNYADTSNVIVGTALVDMYSKSGYMQYAQIVFDMMLEKNVVAWTSIITGYAVNGFGLRVLETFKQMISMGVEPNEVTFVSVLTACSHCGLVDEGLYYFKLMRGKYKLVAREDHYTCLIDMLGRVGRLEDAWNLLKEIDDKDIGNFSVGTVWAALLGACHLHGNVELGSIVGKKLLENRKQGSTTYITLSNVYAAAQMWNEVQNVRESLRNEGNASPEPGLSRIHAKQ >KZM93040 pep chromosome:ASM162521v1:5:1904687:1908443:-1 gene:DCAR_016285 transcript:KZM93040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGQWLKAAMEDDGTLAAEVLVKLLQAPVAPTPPPPAAVVPMKWTVRQPRTKSGSNKRARARGSPTTPLSWTGGSTSLSGGAAPAAAGDGSEQSSRRVAELGLRMIVDKPRPDPSEDVQLGSRVWERRLLEGLVQVTAVSEATPSKKSRKKKTTNELKGEEILTAQDNKQIRKTIASIRAKLGKKKSENVLLKKLKANLAAVPSAKPIAGPRAARPVPVYVPVNVPLPMNVPLPVNVVVPVPVPVPEPVTVSLFSSLTEVKGGSDKFVIPDLNLTFVESKDAGASDV >KZM93158 pep chromosome:ASM162521v1:5:2925500:2938331:1 gene:DCAR_016403 transcript:KZM93158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSNNNKRSSSSDEKLPSPKRQKAEKATTSEKPTAVEDSKEVAAPVQVDPQECVAADSAVASREAVIEAKPDVAPEASVATPVAPGTTPTVGEKPKSSFTSWKQNQSTTMSAPWCRLLTQNQLNPTMSVYTTNFLVGTSNNANLLLNDHTISGILCAIKLTQRGSSAIAVLESKNGKGFVQINGKTIRKNATCDLHSGDELVFGLLGAHAYIFQQLVCDVIVKTPSLVGGPNFKLVQAEKREGEISAAGATLLATLSSMRQDISRMRSTAQSISKTYQTTELPSSPVLEEELDALEVNSSTNADSDSAVDIGATTKILASDWNVDGGIETGTMTEEKGWGKDVKPLNPSAACLRYAILKDDIYKGVLDGRDVKVSFDDFPYYLSENTKDVLIAASYIHLKHKEQVKFTAKLPTINPRILLSGPAGSEIYQEMLTKALANYYGAKLLIFDSHSILGGLSAKEAEHQKEGKSVDKSNNTTKQNTVASVAAQSLGDSSAEKDIPSTSKVSVAKSLESQPKMKTETMPSPSGTLKNHSFKTGDKVRFVGAVSASLYATPSPARGPTSGYKGKVVLPFEDNPSSKIGVRFDKPIPDGVDFAGLCDSGHGYFCNAKDLHLESTGRDDPNKLLINTLFEVVFSESRSSPFILFIKDVEKSVVGNTDTHSLCRSKLERLPDNVVSHPGGLLFTKFGSSQTALLDLAFPDSFGRLHDKGKEVAKATKQLTRLLPNKVTIHMPQDESLLAGWKQQLDRDSETLKMKGNLNCLRTVLFRCGLECDTLETLCITEQSLTIESAEKVVGWALSHHLMQNPKADPEARLVLSGDSIQYGIGILESVQNESKSLKKSLKDVVTENEFEKKLLAEVIPPNDIGVTFEDIGALENVKDTLKELVMLPLQRPELFCKGQLTKWFGEGEKYVKAVFTLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAVTNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSADVDLDSVANMTDGYSGSDLKNLCVTAAHRPIRELLEREKKEQSAAQAEGKPTPALSSSADIRPLNMDDFRNAHEQVCASVSLESQNMTELQQWNELYGEGGSRRKKSLSYFM >KZM95297 pep chromosome:ASM162521v1:5:30949515:30962891:1 gene:DCAR_018539 transcript:KZM95297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALEMARSKDTKERMAGVERLHQVLEASRKSLSSSEVTVLVDCCIDLLKDNNFRVSQGALLSLSSAAVLSGEHFKLHFNGLVPAVVERLGDSKQPVRDAARRLLLTLMEVSSPTLIVERAGSYAWMHKSWRIREEFARTVTSAIGLFASTELPLQRAILPSILQMLNDSHPGVREAAIVCIEEMYTHIGPQFRDELLRHHLPTSMARDINARLERIQPKSSLSDGRISNYASGDVKSSSLHPKRSSPKAKSSSREASLFGGDSDLTEKPVEPIKVYSEKELIREFEKISLTLVPEKDWSVRIGAMQRVEALVIGGAADYPCFRGLLKQLVGPLSTQLADRRSSIVKQGCHLLCFLSKELLGDFEACAEMLIPVLFKLVVITVLVIAESADNCIKTMLRNCKVSRVLPRIAECAKNDRNAVLRARCCDYALLILEYWADAPEIQRAADLYEDFIKCCVGDAMSEVRSTARACYRMFANTWPERSRRLFSCFDPVIQRLINDEDGGMHKRHASPSLRERNSQMSANSQTSVSSTLPGYGTSAIVAMDKNASITSRASLSSGLFSSQATSVGKGAERSLESVLNASKQKVTAIESMLRGLDISGKGQSVSLDLGVDPPSSRDPPFPLAAPASTSLANSLSLDSTSGFLKGNSRSGGLGMSDIITQIQASKDPSKLSYRGGIGSEPLSTFSSFSGKRGSERHQERGHFEETDIREARRSVNLHSDRQYLDSSYRDANVRDSQNSYIPNFQRPLVRRNVAVRASAGRRRSFDDNQFSVGEMSSYVEGPASLNDALSEGLSNSSDWNARVAAFNYLRSLLQQGTKGVQEVTQSFEKVMKLFFQHLDDPHHKVAQAALSTLADMIPACRKPFESYMERILPHVFSRLIDPKESVRQPCSTTLDIVGKTYGVDSLLPALLRSLDEQRSPKAKLAVIEFAIGSFKKHAQNAEGSGNTGILKLWLAKLTPLVHDKNTKLKEAAITCIISVYSYFDSAAVLNFILSLSIEEQNSLRRALKKNTPRIEVDLMNFLQNKKERQRPKSSYDPADVVGTSSDEGYVVAPKRSHLYGRYSSGSIDSDGGRKWSSGQDLAHNTNVIGHMSSSDTQDHLYHGHESVSNTDRNLRSGDVNYGISIPSENLGSWNYRQETNGVHLEVSSPKHNDMNGLADSEHQWVSTGITTDNGAAQIDHSKLAINSNPVPDTELSIPQILHLICNGNDEGSSASKRDALQQLVETSVANDHSIWTKYFNQILTVVLEILDDSDSSIRELALLLVVEMLKNQKDPMEDSVEIVIERLLHVTKDPVAKVASEAESCLTLVLSQYDPFRCLSVVVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMTQLPSFLPSIFEAFGNQSADVRKVLAVISISNTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISLARTGTPIEANNE >KZM92986 pep chromosome:ASM162521v1:5:1431206:1432017:1 gene:DCAR_016231 transcript:KZM92986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRESITSAINYEETELTLGLPGESRGTKRAFSEETMSGESVSKKSKKDSAVVGWPPVKEHRKIVMRSCKYVKVAVDGTPFLRKVDLQSYGSYDELLRALQDLFGCFVVRDLVDEKKLVDVFNGKVEYVPTYEDRDGDWMLLGDVPWKMFSQSCKRLRLMTGTLYIGSSSSC >KZM93829 pep chromosome:ASM162521v1:5:11233558:11236564:-1 gene:DCAR_017074 transcript:KZM93829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPSKADPNIKYAVDHVKPQANKCQSVHIHVRVSIVRHRKNGFAVETGRLKNLNQVELQQ >KZM93421 pep chromosome:ASM162521v1:5:6139975:6141467:1 gene:DCAR_016666 transcript:KZM93421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPVTNQGHHERIEIQPVSSFCDIMLNVNDVSLLSRTISLIESYGDTFTAKTKAPSTLEDIEDDNHVSFDAANRSNDSSVLLTPCCILGVLKADGMLL >KZM95727 pep chromosome:ASM162521v1:5:34890861:34892192:-1 gene:DCAR_018969 transcript:KZM95727 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MESENCRKSIVMLPHLAHGHISPFLELAKQLAKRSFVVHLCSSPINLASIKSRVHENDHVQLVEFHLPYSPELPPCYHSTNGLPYSLIPTLEQAFEKAAPVFIDILRDIEPDLVIYDFKPSWPAEVALSLNVPAVYFAVTAAAASCLVAHLCKRAGEEFPFPEVGGVSIDAQKLPEPVLKAFRENVLCFERSCSLAFIRSFREVEEKYINFLPDLVQKSIIPIGPLVHDPTDNEDDEMKNIIKWLDRKERSSVLFVCFGSENYLSAEQVIEMSNALEIAKCAFIWSVRSPQGEENGCLQLPEGFVEKIGDSGLILEGWAPQKAILGHPSTGAFLSHCGWNSVNESMKLGVPIIAMPMRGDQYTNARLVVEIGVGMAIARNTEGKFKREEIANVINKVLAEESGEGVRRKAREIKLKIEGKGEDDLDKAAEELKQVCSKKTQAY >KZM95125 pep chromosome:ASM162521v1:5:28916933:28917409:1 gene:DCAR_018367 transcript:KZM95125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKTLHGYIEVSPFMLLESTGDSEQDSDLSNSSFDDDDDVQVTPYDEVDDAQSCCSDPSEIENVYDNDQFGECSYDSNKIDLKRVRSRDDYDFNYRHQNDEDEDDDDGAIDQECTSRNVEFGYLRTQKKCKVYGDCKMEPVKKQETDKLFWDACLAS >KZM96256 pep chromosome:ASM162521v1:5:39563473:39566024:-1 gene:DCAR_019498 transcript:KZM96256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVESSFGYSHQLKSCGDSSEEELTVLPRHTKVVTGNNRTMSVLVGLHGVVKKAVGLGGWHWLVIYLGTAGKDGILGGGLVVNGGGAGEKLDLGKMIEELDSIETPPVFIFPISLEPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTIMQVLWDDSVTPSSTLYQLSYSWFSQKYEAIKKRSEDVQGRV >KZM96564 pep chromosome:ASM162521v1:5:41932580:41936116:1 gene:DCAR_019806 transcript:KZM96564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNIDDSPAFSLGNNNNNAGGGEGEKCSSSSNNITRPRHRYSNSVDSTTNSSSALLFNTANPNPTESIEAKKAMAPDKLAELWNLDPKRAKRILANRQSAARSKERKARYISELERKAQTLQTEATTLSAQLTLFQRDTTGLTNENTELKLRLQAMEQQAQLRDALNDALKQEVERLRVATGEMSTHSDAYELGIPHVPYYQSAFSPHHPQPGSGGPLNGQLSRFYSIQSSMSNQQQHPVLSAAANAQVLSETLQQDPLGRFQGLDISNRGSHLVKSEGPSVSASESSSTF >KZM92977 pep chromosome:ASM162521v1:5:1331244:1344660:-1 gene:DCAR_016222 transcript:KZM92977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYKIRGMDVEFPFQAYDCQLVYMEKVIQSLQSRCNALLESPTGTGKTLCLLCATLAWRKSLGGFSTGKSSRRGDMSSQSDSPKLPTIIYTSRTHSQLRQVIKELKRSHYRPKMVVLGSREQLCIHEEVSSLRGRAQTNACHSLCKKRQKRYCAHFPRVAEFMTNNPDLGEEPIDIEDLVNYGKTSGACPYYMSRDLHKVVDILFAPYNYLIDPGNRRSLNIEWNNAILIFDEAHNLESLCADAASFDLSSGLLTACISEAKNCVDLSVARRDKSNDTSCNPDNFAILKALLLKLEKRISEIDINSKEVGFTKPGPYIYELLADLNVTHKTSSMLIDIIEEAALLLEEDTSTTDRGGQNKTKGAVCRLESIGNILKLIFKDGGTSHANYYRVHVQDVQSTASESFGGKPSRTLSWWCFNPGIAMEEFSKLGVGSIILTSGTLSPMDSFAEELKLDFPIRLENPHVISESQIWAGVVPVGPSGYSFNSSYRSRDSPEYKLELGNAIVNFARIVPDGLLIFFPSYYLMDLLIGYWKNTNNPNSTNSTIWERICKHKLPVIEPRQASLFPTAIDDYMAKLKDTSCSGSIFFAVCRGKVSEGLDFADHAGRAVVVLGMPFATLTDPKVRLKREFLDEKALAVPLRTGSKLLTGEEWYSQQASRAINQAVGRVIRHRHDYGAIIFCDERFTYTNRQSQISVWIQPHIKCYSKFGDVVFTLTRFFRDGKSRKSTLLQNSHTEDHLSSKENVKRQDNLKPMDKLFNSFLVPMGTTKDPTCSEPSTSLTESKTQNFSAQIRVIPPANRCRDYTSTMKYSSNPFQIENKNSAAAKRNLDNSEQMVVDLTEDGFQDEKQGKGIIASCSLKKTKLVKTEDDLCQRTNFQENQSSVSISAKHNPSTKQERSGNTEIMQSTRDAKKGNLQSRIHNNLGAVSSSAPADDEEKRGSTFLSQVKEALTDAEYNDFVGLMRALKSKAEKASHVLQSIAKLFSTPDRLPLLRRFKDYVPAKYQHLYERYLE >KZM94676 pep chromosome:ASM162521v1:5:24818917:24820662:1 gene:DCAR_017918 transcript:KZM94676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFICGEPDLVDKEKMFRIVEGGGIKLDFSSTRCGEFPGFQQMRTMFTSCFWKEFVARGAELHNNCKANTKAALDQAESSASAGKVEADTGSGERKRSPVLHAVAESQNAAP >KZM96046 pep chromosome:ASM162521v1:5:37682724:37684282:-1 gene:DCAR_019288 transcript:KZM96046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPREENVYNAKLAEQAERYEEMVEYMEKVSNSLAESEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIKEYRSKIEKELSNICDGILKLLDATLVPSASSGDSKVFYLKMKGDYHRYLAEFRTGGDRKDAAESTLNAYKAAQDIATAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGPDEIKEASSKQEEKKEDEQQQ >KZM96440 pep chromosome:ASM162521v1:5:40983326:40983965:1 gene:DCAR_019682 transcript:KZM96440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCVISSVSSQPQFLYTQPPSKVLHLKNLPWECTVEELIELGKPFGKVVNTKCNVGANRNQAFIKFVELNQAIAMISYFASSSEAAQVRGKTVYLQYFNRQEIVNNKTTADVAGNVLEMLQITENLVA >KZM93885 pep chromosome:ASM162521v1:5:11832263:11833293:1 gene:DCAR_017130 transcript:KZM93885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSLLILSLFLASSSTEAYNFLVGGKNGWSTNPSEDYNQWSGRMRFLINDTLSFKYPKETDSVVVVSKDDYDKCNAAKPIVKLDGGDSSFKFDRSGPFYFITSNKTNCDKGQKLVIVVLALRVKSPPASAPAPSAQAPVPSPESQAPAPSPSSESPSPATSGPAVSPAPGTSGPAASAPGADATPSPLSPGNTPADISSPPGPSNSFAAAVSPAAVVSVLLSVTVAAFITIT >KZM93490 pep chromosome:ASM162521v1:5:7211380:7211568:-1 gene:DCAR_016735 transcript:KZM93490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKVMKSVTKSVAVYQYPWQEQLMKHQDDLLEGVWGYWHLGVRKKFSLLEKTGLMIQKGKE >KZM95483 pep chromosome:ASM162521v1:5:32547211:32549294:-1 gene:DCAR_018725 transcript:KZM95483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWARVLILVACFLPLLVESRVRHYKFDVSMRKTTRLCSSKPIVLVNNRFPGPTLYAREGDTVLINVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLFWHAHILWLRATVHGAIVIHPKLGVPYPFPKPHREIVVVLGEWWKSDTENVINQALKSGLAPNVSDAHTINGLPGSVSGCATQNAFQLSVDSGKSYMLRIVNAALNEELFFKIAGHKLTVVEVDATYVKPFKTDTILIAPGQTTNVIVDADQNSGKYLVAVSPFMDSPIAVDNVTATATLHYSGILANAPTTFTKPPPQNATPIAENFLQSLRSLNSKRFPAKVPLTVDHSLFFTVGLGINPCPSCRAGNGSRVVASINNVTFVMPTTALLQAHYFKTSGVFTTDFPANPPSVYNYTGTPPANLGTTTGTKVYRLPYNATVQIVLQDTSIISPENHPIHLHGFNFFVVGRGLGNYNPKKDAKNFNLVDPVERNTVGVPSGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVENGKGPNESILPPPKDLPQC >KZM95402 pep chromosome:ASM162521v1:5:31838958:31839617:1 gene:DCAR_018644 transcript:KZM95402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVEEGSNPKTHPTQNDAVPRSSKRKTSKRRPICICIATTLAILILLILIITILALTVYKAKRPVITVSSVSLNDFDFDVDLPLKIHLNLSLDVDLTVKNPNKVAFRYTNTSALLKFEDNVIGEVPLPAGKIKASGFTPLNLTLTVMADRLLSDSDVYSDVFKSGTLPVSTTTRIKGRVRILHLFDIHVVSYSYCDINIGILSRSIQNQTCQYKTKL >KZM93893 pep chromosome:ASM162521v1:5:11911228:11912215:1 gene:DCAR_017138 transcript:KZM93893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAILSIFPSHGMVNQTRISFAEDKFKQFSPPPPPGIPRFAYLISGSRGDLEKLWRTLQSLYHPLNHYVLHLDLESPAEERLELVSRLEREPIFAKVGNVFMITKANMVTYRGPTMVSNTLHACAILLKRTRDWDWFINLSASDYPLVTQDGYSSSFLAYLLSTFFGLNRDLNFIEHTSDLGWKEGQRAMPLIIDPGLYQTTKSDVFWVTPRRALPTAFKLFTAADL >KZM94016 pep chromosome:ASM162521v1:5:13836464:13837570:-1 gene:DCAR_017261 transcript:KZM94016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFREALTFDLKLNKPRTFKSINGASHHTHQRVKKPADIGIANFFAPLHISEIAKQLNLSPDHYDLYGKYKAKVLLLVIDELEGREDGYYVLVGGITPTSLGEGKSTTTIGLCQALGPFLDKKVVTCLRHPSQGPTFGIKGGAPGGGYSQVIPMDEFNLHLTGDIHAITVANNLLAAAIDARMFQESTQSDKALFNRL >KZM96077 pep chromosome:ASM162521v1:5:38015676:38017974:1 gene:DCAR_019319 transcript:KZM96077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTCVLCISMIALKLDLPLVHHMSNTYIPGVSSCYVFKSLLQEFAQKVKLPNPTYNTIKEGPSHEPSFRSTVIVNDVTYESLPGFFSRRDAEQSAAEVALKALSNSGTMGECISQPVHDTGLCKNLLQAYAQKMSFAIPSYLCTKDERSCNFSCTVSIGGIKYIGGAARSKKEAELKAARTALLAIQSDPTTSSMEVNTSSSTYTVVPGKKKVSDSVIKAQETAAALKPKKGRYKKRQRKRHYRDRNDQNANNNVNVNIDDQVAPDTVNSGVIVADTIDTGFTPEGEIHEKISEFSGGDQLVDGISIQCSGGDFLESHATALDFHQSVHGGSSLEHTSMPTS >KZM93357 pep chromosome:ASM162521v1:5:5226338:5227390:1 gene:DCAR_016602 transcript:KZM93357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGMRGRRRIASRQSRTTPYPVSNCKQDVSKCSIEKKYSDGILHKKDWEDATCSVCMEYPHNAVLLLCSSYDKGCRAYMCGTSCRYSNCLNQYKKAYWKVSTPHQNQALHGATADSSSLVSPPVSSWPMEECGEVGELACPLCRGQVKGWTVLEAAREYLNGKKRTCMEDKCSYVGTYKELKKHVKLQHPTARPREVDPAHEQKWKRLEREREREDVISTITSSMPGSMVFGDYVIERSPFGFDISEYEDSDDEDEGFNLSRLRNNVEDLDSELMNVFLLFEELRRGRNVGSFEGLRRRGEDDADGDGEDNENGDGTSLASSLQGRTLSSRSRRRRRRHGRGEANAGQT >KZM96423 pep chromosome:ASM162521v1:5:40875922:40876542:-1 gene:DCAR_019665 transcript:KZM96423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTLFGAKERKPAPPQSHFNAEGRSSALKIIHAGGTVEYYYMAVPASGVMEKYPSCILARPEVFKRPWDSVVRPEEILVPGQKFYVVPKRTVKKLKRRVSKIEPDDDSSNSPLGFLVSECKDELSNKSYLPMKDVSKPNAEKRHVSFTGIDKKSGGNDQEKRRKKRNGGLKKSSSSSKSNEVKTRRVKMITWEPSLTVISECHVE >KZM95693 pep chromosome:ASM162521v1:5:34611381:34615301:1 gene:DCAR_018935 transcript:KZM95693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVNLDLATPSCLELKLFLESFQNFRNQNAAKFKALYILEQGLKPAEKRKHKGLSCRCNQNKNNLERKREVLCSTIAAKSLKQFKVEFEIMRSPSLVGASRGDTKLQDRLKPDKSKLSYADLHSEVVNDVKFMNPPSPSPHRKQHARVEATKRGVLVKPVSRSPAYLEKEVEVVDKPLDIGGLDWRSFEDWQYYHPRTPIRSTRYSSPSNIAPNCFDGSSNRRPRGHSSSPIGRRGRRPTLQSYLDLSTSEGQLEFVKSSWRNTDKFQDVKAVPINSVDGHANVLKEFRFFNKKPSEVKLKECNKIPKTKTSRNIDGHGTASHMKGKFEAKYDEYMKMSQKLLDQNNEMPRVVSPDNKRIVLLLPRDSPGNTDAAVSRNSETNGRKSTERSRMNLEGFHFEKLSRADLCSSIPHSLPPQEIDTVEGSQKGQHDDDTIKFKFFPSPPSKTSSVSPLKSKFEDAKSPVMPKVLTLSNGSRGSDMNIGAAPTTKLRHASPKRLLGIGLSKIGVIASPKNSAVVLQSGSQVVTAKNSAGLEGGDSSSCSKSPISHIPNTIRKGSSPLRRLLDPLLKPKAAKSQSLPDQSSDYSASSNRVSTSFHAEKEPSSVHSTNVNLDVVPLCETRGSSTKQAYIKVAVKNNLPLYTFTVDNDSFVLAATVKKFSLRKDDTVWIYTFFKIQETKKSVGWLNYGPKNKEYIPNVIAQMKVSDMQHLDSGGKHLADRLISKEYVLFAADLRLFDKQPSDVEPNDELAAIVVKPTTKTTASLHESLPDLGNSYNVRGLSKNKLSVRSHKVQSMTVILPGEAHGMPSKGEPSSLIERWKSGGLCDCGGWDLGCMVKVLGNQHQNSAGQFELFTQSQDEVSKCPPFFALSSLNSGIFSVKFDSSISFLQAFSISIAAIDSRKPSELQEPSGLFKENLAETHH >KZM93983 pep chromosome:ASM162521v1:5:13261196:13262417:1 gene:DCAR_017228 transcript:KZM93983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPFAPGGDLFSLAESRYQVDCGIQIDKKLLIDPKHLTFETRIAAGVYSVVYKGILHGATEEKLSKNV >KZM94277 pep chromosome:ASM162521v1:5:20364118:20364608:-1 gene:DCAR_017520 transcript:KZM94277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTLGGVQQMPSQPAGKPKETAVEETSEIEGV >KZM93690 pep chromosome:ASM162521v1:5:9257003:9264521:1 gene:DCAR_016935 transcript:KZM93690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKESGQGDGPVRTSDRIRRSPKQYSRFANFYTTHKKHAKRKKTRTRTAASQIAKIIASGNRSGVALSANSVATNLRRSTRKRRMTVNLEDYTDSSGTEDNDMMTPSFGRSRSRSNNRANQDQSLPRREPRRAGLRPRRGRPAPREQVNLEFVDDEEVSSEEEKVSQDGEENRNSEDNDVDDGEDEVDGDGDREDEGEGEGEGEGEDDDEGDEGEEDGDDEEGEEEQEGRRRYDLRNRAEVRRHLEESKQRPRSPRRVLQQGMGTKVSRDARRGGSRVHKRHRSTRAEDSDDSLLVDELDQGPSMPWGRGGSRAGPPWLLGGLDMHGTSAWGLNAAASGWSHQNDAISNLTSGIQTAGPSSKGGADIQPLQIDESISFDDIGGLSEYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFQLPGCDARAEILDIHTRKWKQPPSNELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVEVEKFHFIEAMSTITPAAHRGSIVQSRPLSSVVAPCLQRHFQKIMSTISDIFPVVSSSAELNKLSKLSYGSGVPLVYRPRLMLCGGDGVGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWVQNAHEQLKAVLWTLLEELPSDFPILLLGTCSLPLDELDSDHFSVFPPHNVHVVHVPTDEDRSLFFDRLIQAALSISNDSKTKNSRKPDSLPELPKAPKVDTGPKISELKVKAETEGHALRRLRMCLRDICNRILYDKRFSAFHYPVSDEDAPNYRSIIQNPMDMSSLLQHVDSGKYITCKAFLEDFDLILINAKVCV >KZM95776 pep chromosome:ASM162521v1:5:35461082:35462488:1 gene:DCAR_019018 transcript:KZM95776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHNEAQKQATQSEVIVVIIPFPTQGHLNQLLHLSRLVSSYYIPVHYVTTPIHCRQAKLRIQGWNSLSSPLINFHEFSVPAFDSPPANPHSAFQFPSHLVPSFFSALHLRKQVAQLLSALSETTKRVVVIYDYLIPYVGQDVASLPNGEAYCFHPLSAFRTFCETVGESRFEIIDDHLIKQLPCVAPTLSSEVMEFFSKQREDLVQYSSGALFNTCRAIEAPFLDVLAEEKGRQWAIGPFNPLKIFKPKDPSHGRHKCLEWLDKQVHESVMYVSFGTTTSLTDDQVESLAIGLEKSGQKFIWVLRDADKGDIFLDDVLKIGVVVKDWESRDKLVESLTIENAVRELMASEKGEVIRKRAVVLGSAVKRSVADGGDTHVEIDNFIAHIRR >KZM93241 pep chromosome:ASM162521v1:5:3979788:3982382:-1 gene:DCAR_016486 transcript:KZM93241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVVTSEIQEEGLVIEPNENGNSTILKENSIAEESVNSPKSPRVGGNGYAVDEVVDTSIEHLYDNVCEMQSSDQSPSRRSFGSDGEESRIDSELRHLVGGEMREVEIMEEEVGMQKVEDDDFRSDSDSKKESSSAGRKARKSRAKSASSSNSKKASHLNLESDATSSPKSRSPQEKPPLDKQNKVLVKPSGGSSSGKKQKNISLRGVTSQNGADDSSDSGLENPDLGPFLLKQARDLMSSGDNPQKALQYALRAAKSFEKCANGKPTLDVVMCLHVTAAIYCSLGQYSEAIPILEHSIEIPVIEEGQEHALAKFAGHMQLGDTYAMVGQFESSIMCYTMGLEVQRHVMGDTDPRVGETCRYLAEAHVQALQFDEAEKLCQMAIDIHRDNGSPASIEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQEKEVASVDISIGDTYLSLSRYDEAVFAYQKALTAFKSTKGENHPTVASVFVRLADLYNKTGKLKDSKSYCENALRIYEKPLPGTPPEEIASGLTDVSAIYESMNELDQALKLLKKALKIYNDAPGQQNTIAGIEAQMGVIYYMLGNYSESYTSFKSTISKLRASGEKKSAFFGIALNQMGLTCVQRYAIKEAAELFEEARNVLEQEYGPYHPDTLGVYSNLAGTYDAIGRLDDAIELLEYVVGMREEKLGTANPDVDDEKKRLAELLKEAGRARNRKARSLENLLDANPHAIKGDGIKV >KZM96433 pep chromosome:ASM162521v1:5:40921822:40924557:-1 gene:DCAR_019675 transcript:KZM96433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGAVQFLLDKLTTILYQQASLLGDAHDEIVEIKHELESMKSFLADAERTKHRSELVETWVRQVREVANEVEDVIDEFMHYKDARRDRKGFKHFVQDVIDGPKHVSSRHRISSKLRRIKEKVCEVSDRSKRYAFERSVDEGRRRRPPDDWWQHHGESSMFDGGDEIVGMEEDKSKLLARLTGADPRRTLISVVGMGGLGKTTLVTKIYNEQAIQGFDCCAWISVSRIYESDIEELLRSMIREFFKAEKVMVPSNLGSMDYRHLVGMLIEVLRLKRYFVVLDDVWSIDLWIRIRGAFPDNGRGSRILFTTRNETVANSVGPGSHVHRLEPLKEDDAWSLFCKKAFWTDPDRNCPSELESSARFILKKCEGLPLAIVAIGGLMCSRNKLAVEWKKVCDSLNWQLSNNPMLERVKGILLLSYNDLPYNLKQCFLYCCVFRDGYPIKRKKLIRLWVAEGFVLEQKGMTLEEVAEDYLTELIYRSMIHVTETSDVGRAKTFRLHDVMRELAMTTSQKENSCAEFDGRDSRLEERIQRLSVYHRGENIQISKKTLHHLRSLFVFQIDECFSFSLSAMASKFRLLRVLDLQGVNIETVPGTLVNLFNLRYLNLRETKIRELPKSMETLRNLQTLDLRNTGVKKLHKDLSNMQKLRHLFLGCSKNHNSDSPGFCHGFPVPVGIGRLRDLQTLTCIEANEQLIQQLSTLTDLRRLDITNLTPVCGQKLCTSIQKMTNLCRLSVTASEDQELTLDDLAIPPLFLQKLTLIGVLKRLPPWLELLDSLTHLYLGSSRIRDDPLSPLQNLPALVFLELNKAHEGKTLCFKKHGFPKLVRLKLRELVALESVRLEEGTLQCIKDLHLINCPELKVLPQGIENLISLQKLNLETMPDEIVQRLQADIAEKHSSTAQRISKILRVF >KZM95636 pep chromosome:ASM162521v1:5:34046632:34047619:-1 gene:DCAR_018878 transcript:KZM95636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRNSISRPLKRFMSLFFLAITITSATSARILEAETSSNDETESTVPPVAASTTATGGAAIGHDHPLTFFMHDILGGTNPSARAVTGIVNNPALNGQVPFAKPNGANFAINNGVPSTSGNSGLLDNNNIPFLTGLGRSTSNVIQNNNGGSGLFNGGQFQASHYYSGPGADLRKLMFGTITAIDDELTEGHELGSGLVGKAQGFYVTSSGDGNSQTMAFTVMFESGGYKDSLCLFGVHRSAVSQSQIAVMGGTGKYLNAKGYATIKNIADANPQINNGNTDGLETVLEITLYLAY >KZM93270 pep chromosome:ASM162521v1:5:4285485:4288225:-1 gene:DCAR_016515 transcript:KZM93270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKQSTNQATMTREFKQRVLTCLNKLSDRDTYSAASVELESIARTLSHDSLSPFISSITATDSADKSPVRKQCVKLVSLLSESHGNLLSPHLSKLISSVIRRLRDNDSAVRSACVAAIGSISSHITKPPFTSIAKPLIDALVTEQDLNSQIGAALCVAAAIDGAPDPDPVFLKRLLPRLEKLLRSESFKAKAALLTVFGSVIGANGSLSQQIVRNFVKCFVEFVGSEDWAARKAAAEALVKLAVVERDVLPEYKASCLKTFEAKRHDKVKVVREAMTLMVEAWREIPDALDEVSPLSESQSSSKEVPSNGRYAPGLKSTRSINSRPPQMSKNNGLPLLDYSSAAIASKRNPPEFTEKKTGPAMFRKLDRKKPPNRKIETATPVSAVVSEDFKFRNEKFCNKDGEEINRVTKVETRRELFSRNSEENTHNFDGSKAGSSLHLCDEVSDYVAKANNVVGNHHGNHKESEDLLLIRKQLLQIENQQSTLFDLLQGFIGTSQNSMRSLESRVHGLELSLEEISLDLAVSAGRISGTRSAGTTCCKLPVADFLYSKLWRRAEGNNSASTEAIRHNMAIKNGSKLENRRARLQNSSGFIVNPLAEVHGNSQGISEIVQNST >KZM93201 pep chromosome:ASM162521v1:5:3490399:3491144:-1 gene:DCAR_016446 transcript:KZM93201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPTILFLAVISLSFLALNCYANGTFSALQNSLLVTSSPKAGQVLKAGEKNITVTWSFNKTFPAGTDSAYKTVKVKLCYAPISQENRPWRKTKDELNKDRTCQHKIVSRPYSPSTNTFTWTIERDIPSATYFVRAYVFDTKDKEVAYGQATDAAKKTNLFEVEAITGRHASLDIASVCFSVFAVVSLAGFFYLEKRKGKTSK >KZM93229 pep chromosome:ASM162521v1:5:3897716:3900198:1 gene:DCAR_016474 transcript:KZM93229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAKKGFAKRQCILSTSSEEVAQYSDVESDASDQEQDDNLTEEASSAYAEGGGGKPGLISFYNHPYKLEANVLKYSTNSNQSKVLWFVGPAVLVASFVFPSLYLRRILSTVFEDSLLTDFLILFFTEALFYCGAALFLFIIDHLQRPVEQKITTNNRNPPPEREYQITSVAVLVLSLVIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYVKSPSYPVVPVIFQVYRLHQLNRAAQLVTALSFTVRGAEMTTHNIAINSSLGTLLNVLQCLGVICIWSLSSFLMRFLPSAIITEQ >KZM93164 pep chromosome:ASM162521v1:5:2973388:2974683:1 gene:DCAR_016409 transcript:KZM93164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLASRTVVVTLQYWMAFTRFVLHKILLPSAAQIQESSMDTVPNPGFGSAARNGNQLGNGAVRRIIPASGPFVLVRRGREERRIGVSGSYKAIREAIRCAFGLQTKQEFCLQDEYGILRPLDRNLQLGTYELVVNPGVIMTFCYARDPNHSVCEVYTKTLATQADLSDFLDRNHWIGLVSRGDTEIIDIMDDLQNGLVYHGLNKMWYP >KZM96243 pep chromosome:ASM162521v1:5:39479192:39482170:-1 gene:DCAR_019485 transcript:KZM96243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVVNLQSTPVGTALAPAVIIGGISLFLIKKFVVDHKVKSPSNLPCLPEVPGLPLIGNLLQLKEKKPHKTFTKWAETYGPVYSIKTGSSTMVVLNSNDVVKEAMVTRFPAISTRKLSKALKILTADKTMVATSDYDEFHKTVKRHLLTHILGPNAQKRHRNNRDTLIENTSNQLHTLLKNHPLESINFRDLFEFGLFGFAMKQTLGEDVESIYVDELGTKMSRHDIFNCLVHDPMVGAIDVDWRDFFPYLKWIPNKSFENKIERMDIRRMAVMKSLVRRARELSASREGVQCYLDYLESEGKTLSESQILMLLWEVIIETSDTTVVATEWAIYELAKDSKRQERLYEEINRVCGSEKITEEKLPQLPYLYAIFQETLRMHSPVPIIPLRYVHEDTQLGGYFVPSGSEIAINIYGCNMDKDVWENPEQWNPERFMDEKSDSMDLHKSMAFGGGKRVCAGALEAMTISRMAIGRLIQEFEWRVTDGQIEDVDTVGLTSRKLHPMMAIIKPRS >KZM93916 pep chromosome:ASM162521v1:5:12160444:12166004:1 gene:DCAR_017161 transcript:KZM93916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKLILLALISSLVLVPQVSARRIASDLVSDGASRIENVGQVQGEEEGSVCEQTYGFMPCTDTGLGNLFLIIVYGYLMYVGATYLSDGSELLLDILGPGIIGGLFLPVLGALPDALLILVSGLSGSVTTAQSQVSVGMGLLAGSTVMLLTVIWGTCVIVGKCDIENSVAIDGKDTKGFSLSGSGVSTDIWTSYAAMIMIVSLIPFVVVQVPQVLHSTAGRHLAVLIALVISVLLLVSYCMYQVFQPWIQSRKLAYTNYKHVKYGILKSAVGRLSTDQGTPDTEFIKKLFEQMDLDGDGYLTASELRALILGIQFDQINLNHDDAVAKLMKDFDKSADNQVDLPEFIDGIAEWLNDVKKSKASSTVTNSETIKYLNDYHEQTKREYGLLGEQSDEAVEVIENPKWTTTKAILLLMLGTVLAAVFADPLVDAVDNFSSATSIPSFFISFIALPLATNASEAVSAVIFASRKKLRSASLTFSEIYGAATMNNILCLSVFLALVYVRGLEWDFSSEVLVIIIVCIVMGLLGSISTTFPLWTTSIAFMLYPLSLVLVYVLDYIFGWS >KZM96414 pep chromosome:ASM162521v1:5:40817145:40820889:1 gene:DCAR_019656 transcript:KZM96414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEDFVNWFIWQIANLDLPLLLAFLRPLFINPSFSLWAGNDLFISPAHSLTVIAVAAAMEDAESVVAVKTPENNAQQKVAKSTWNEFAAEAKLISLIFLPMVLVTTSQYLLRFASTLMVGHVGKLYLSGAVLAMSFTNVTGFSFLFGMASALETLCGQAYGAKQHKKLSVYTYGAIISLLLLCIPVAILWIFMEKFLILIHQDPLISHEAGKFSIRLIPALFPYAILQPLVRYLQSQYLILPLLVSSLATLAFHVPVCWAFVYKFNMGSDGAALAMGLSYWFNAIFLGLYAMYSPKCADTRAPFSMEVFGTIKTFLRLGIPSALMICITVAVLHYFAPFALGVAASIRVSNELGAGNPKAVRMTVWVVMVLGVIEVSISAAVLFSLRHVLGRAFVSDNQIVDYVRRMTPFICLTMALDSIQGILSGVARGTGWQKLGAYVNLGSYYLVGIPVALLLGFLVHLKAKGLWIGLVSGALVQSILLAIITSFTDWKKEVEDTRVRVQEVKVPDEK >KZM95001 pep chromosome:ASM162521v1:5:27815101:27820681:-1 gene:DCAR_018243 transcript:KZM95001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTATASRSQEADRNSENHENSSIGKEKKSGGAVPFYKLFSFADSTDVILMILGTIGAVAHGLCNPLMTILVGEMTDAFGKNQYTSNVVHEVSKVSLKYVYLGIGAGVGVFFQMSCWIVTGERQAARIRSLYLKNILRQDVTFFDVETNTGEVIARMSGDTILIQDAMGEKVGRCAQLFTTFIAGFSIAFTKGWLLTLVMLSAIPLLVLGAGIMSLFISKIAVREQTAYAKAATIVEQTIGSIRTVASFTGERQALVNFSNSLASVYKLRVKEGLITGIGFGVVISILFYNYALAVWFGAKMILEKGYTGGDVFNVILGVLFGSTSLGQASPCVSAFAAGKAAAFKMFETINRKPEIDAYDTRGKKFDDICGDVELRDVFFTYPARRDEQIFSGFSLFIPNGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIRGKISLVSQEPVLFAATIRENIAYGKDGATTEEIKAAAEIANASKFINNLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMANRTTVIVAHRLSTVRNADMIAVIHNGKVIEKGSHSNLLKNPEGAYSQLLLVQDVNNESIDTEDTSDITLSGRQLSQRMSYQRSLSQGSSTVGNSSRRSLSVSFRLPTGLTAPEITIGEPQAPIQQKSDKSKKVPLRRLAHLNKPEVPVLMLGSVFAIIGGTILPIFGILISGMIKTFYEPPHELRKDSKFWSLVFVGLGVATVLVFPARSYFFAVAGSKLVRRIRSMCFDKVVRMEVGWFDEHENSSGAISARLYADAATVSALVGDTLAQIVQDAATAVAGLVIAFTACWQLALVFFALLPFVGVNEYIQVKFRKGFGADSKLMYEEASQVANDAVGSIRTVASFGAEEKVMDLYRRKCQAPLGAGIKQGLITGCGVGISFTFLFCVHATTFYAGARLVQDGKTTFTNVFRVFFALTMAATGISQSGSFAADKSKASNATTSIFSILDRKSKIDPCDESGMTLDNVKGNIELRNINFTYPTRPDVQIFRDLCLKIHSGKTVALVGESGSGKSTVISLLQRFYEPNSGHILLDGIEIQKFQLKWLRQQMGLVSQEPALFNETIRANIAYGKEGGATEAEIINASRLANAHDFICGLQQGYDTVVGERGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESERVVQNALDGVMVHRTTVVVAHRLSTIRGADVIAVVKNGVIVEKGKHESLMNIKDGVYASLVALQTNS >KZM92996 pep chromosome:ASM162521v1:5:1564059:1565770:-1 gene:DCAR_016241 transcript:KZM92996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYEGVHSEVDAVQEIPTGETQAKGEDNVDDLLLQMRQTKIKGKRCVPLPSVSSGSDVPSIQEGVSRAEEGSCEQGEKSVYPSVLDKLKDFLPVMSAANKKSQLAAMDLMLDVADLNNPEAIVAARVCN >KZM95893 pep chromosome:ASM162521v1:5:36553848:36556797:1 gene:DCAR_019135 transcript:KZM95893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSGKTVVEIKLKTIEGTPENFEEFGQVIGLMPDDKDFAPQAAQMVLSQGTPRFRIMSLGCRSLKFSSIMHHPRVTQCFGSIGGHVWYLGVAKPSLLDPDQVEGDRGSNVVQSHDGHFYMPPAIEDVRVFRFSGRKFVKLNMGTWHAGPLFDPQMKMDFYNLELSNTHRKMASSGKTVEEIKLETIEGTAESFKEFGQVIGPTPDDEDFGPQDAQLVLSEGIPRLYIMTLDCRSLKFSSITHHARVTQCLGSIGGHVWYLGVAKPSLVEPDQVEADKGSNAVQSHDGHFYIPPAIEDVRVFRFSGPKFVKLNMGTWHAGPLFNPQIKMDFYNLELSNTHHVDQTTHYFRKDCGDVKNGKVMFTFAE >KZM94598 pep chromosome:ASM162521v1:5:23984694:23990305:1 gene:DCAR_017841 transcript:KZM94598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGFGAHDLSISGVFEAEPKSCPGYIYRCSIPLGRIDMPVSEFRNFIENVASEYHGDTYNLISKNCNHFTDDMSCRLTGRRIPGWVNRLAKLGSVCSCLLPESLQVTAVRQLPEYYPCAENDAAESVTSRQSTDMDDLEKDHKQLLSPVSGNGEVVSFIREFLVRAMRPMTHEAFADEFRMGAVAASELKDAG >KZM94685 pep chromosome:ASM162521v1:5:24927244:24931704:-1 gene:DCAR_017927 transcript:KZM94685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRFRELKNGVPDDDGLPVYEVVNDLGNDFEHLYTGIMFLGLFKNTPDTPVVIEEDPVDIQENARNGEPTEEVDGKVDEKMEGSQTTHQMDNTSNPTVNSSIKGTTHGGTARPFKPPAKVGPLGVEASSVGKKKTFTSLRNLEKAAVIRKNGLGKKD >KZM95961 pep chromosome:ASM162521v1:5:37064308:37065387:-1 gene:DCAR_019203 transcript:KZM95961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSSSSSSSCCSHWWHALFSFAMSITLAIIITTSFHSNPSSPTTSTQSIKHQLSLNATLVLKSHGFNVIATLLQISPHLFLSSQQCTIFAIQDRAFSDPLVPYSKLKELLLYHIAPTRYTFQQLLNRPQNSCLDTLRLKKAVVTRSSPRRRSVEINNVPITHPDLFLQGPVSIQGVLRPFFPVNQDWDTLQSPICNSSLRQHHQQKRVPKNKIEWPRIIHLLRSKGYAPFAMGLNSVIEGIIQDFKDLDSVTILAPPDLPFLPSASPLLQRIVKFHVLPRRLSFAELASLPEETLLRTLLDQKELQVTTSSSFSEILAINGVQIASPDIFSSNKFVIHGISEVFPMEEVELSSVFR >KZM94488 pep chromosome:ASM162521v1:5:22965344:22966663:-1 gene:DCAR_017731 transcript:KZM94488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRREASLILGVRESTAMEKVREAHRRVMVANHPDAGGSHYLASKINEAKDMMLRKTQGGGSAF >KZM93927 pep chromosome:ASM162521v1:5:12294531:12299482:-1 gene:DCAR_017172 transcript:KZM93927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIFLNPNPILRSSIAKLIISFSTMSSSSSKIIAEYAKSNRSSCKKCSTSIDNKSLRLGSVSRDPRGFDSTKWYHLNCLPLTSTSVDSISGFTSLKTTDQAALKSLIEATHEKDDDEEKKDTRKVSPPYEAPNMKLRFSVFDILDKYMDATLLPKWKAFQTIIFLEGDDGLHHSSKIAAFDFDQCLAKTSLRRVGSDAWSLMYPSIPEKLQSLYNDGYKLVIFTNESNIDRWKNKRQIAVDSKIGRLSNFIKKVGVPMQVFIACGIGKSGNKAGDPFRKPETGMWRIMEQHFNSGIPVDMDQSFYVGDAAGRPDDHSDADIKFAEAIGLKFYVPEDYFQ >KZM94804 pep chromosome:ASM162521v1:5:26086318:26087523:-1 gene:DCAR_018046 transcript:KZM94804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCITKTFKRGLQKVPTQRLGGQNVTPITTISKWEEKLSEAKRDNKIMVVSFCASWCGPCKAISPVFAELSNKYPSLMFLTVDVDGLAEFSTSWDIKATPTIFFLRNGREVDKLVGANKEELQRKVAGVASSQTKLT >KZM93887 pep chromosome:ASM162521v1:5:11857989:11858417:-1 gene:DCAR_017132 transcript:KZM93887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMIDAQRALLDELVDADIALLSFPPPPAATVAVYHIFIFCNLKCMHFIYLKNPSTSNSNQTWKALTDHLDSSFQAHIASMKQNYFDEGQ >KZM94854 pep chromosome:ASM162521v1:5:26490990:26491565:-1 gene:DCAR_018096 transcript:KZM94854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTKPVSYALLFLFCLQITSASSASAPKADKQFVKTSCNVTTYPSVCNKALSPYAGYIKSSRLKLTYLALSLTLKAANASSTLISNLAKNKRLTPYEAEIIRDCIENIEDSVDQLQQTLLAIAHLRGEDKAFQLSNAKTWASAAITNENTCIDAFQESPVSASVKKRVQNSLVGVTRLNSNALYLINHLY >KZM93202 pep chromosome:ASM162521v1:5:3494693:3495448:-1 gene:DCAR_016447 transcript:KZM93202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPTILFLAFISLSSLAFSCYANGTFSALQNGLLVTSSPKAGQVLKAGEKNITVTWSFNKTFPAGTDSAYKTVKVKLCYAPISQENRPWRKTKDELNKDRTCQHKIVSRPYSPSTNTFTWTIERDIPSATYFVRAYVFDTKDKEVAYGQATDAAKKTNLFEVEAITGRHASLDIASVCFSVFAIVSLAGFFYLEKRKGKTSK >KZM94441 pep chromosome:ASM162521v1:5:22367244:22369475:1 gene:DCAR_017684 transcript:KZM94441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNELPVLISYLIHLHFLSIPVTGGIINSESPASVIGGISASPASECITSRSRSNVRPTNDLDKQNKPNSTKMTTDKGLFNSQAFSSISNRVVLKNNCENGGMPEYLVEDDEMLYDGGKL >KZM93471 pep chromosome:ASM162521v1:5:6867041:6875883:1 gene:DCAR_016716 transcript:KZM93471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVIRRKLTSRGASSALLIGKSVRPALSTPRLSNTALREEIGHRLIHDRILAHHAISKLIGSLQPFYVQTSRMKFSSDSGDLVEAVVPFMGESISDGTLATFLKQPGDKVDVDEPIAQVETDKVTIDVASPEAGVIQKFVAKEGDTVEPGTKIAVISKSGEGVAVSKKPSDEASPKPPATETKTEKKPESTTPPPPAPEKSMEKTPSLPPPRTSASEPQLPPKERERRVPMTRLRKRVASRLKDSQNTFALLTTFNEVDMTNLMKLRSDYKDAFLEKHGVKLGFMSGFVKGLVVPVIRDADKMNFAEIEKEINNLAKKATAGSISIDEMAGGTFTISNGGVYGSLLSTPIINPPQSAILGMHSIVNRPMVVGGNIIARPMMYIALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >KZM95913 pep chromosome:ASM162521v1:5:36704288:36705052:-1 gene:DCAR_019155 transcript:KZM95913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNATYNLVTRINLGGQEVRYGIGSGEIYNRIWSKKAMPYASVSGFTGSFPSPDNYPPVSVLTDAIMFNASDPMTLTIDLPQSTPQSAYIVLYITNLGDLFNPNTSATVKIKIDNQDQGTVEALHFGETTVITKYPVMVSGPSVNITLSPADKASLPPMISAMEVFTKWPTHKSAAAPKHFSSAHSLIILFMLLLVA >KZM96012 pep chromosome:ASM162521v1:5:37407926:37411614:-1 gene:DCAR_019254 transcript:KZM96012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFGRLGVPNIKRFTVHQIKKRALNSWTAVQDTYYSIKDTFERHKVVFTISTSVASVATAWLGYTLRHLHQSKVEKRLDSIENAMKKNYSMQHNEFKKLVGSSSYNPATHVVVGGASLLIGIAIYGPKKRYCTGQKLQGLNL >KZM94056 pep chromosome:ASM162521v1:5:14465775:14466671:-1 gene:DCAR_017301 transcript:KZM94056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFLSYVNLKKQARMDLQEEADIEKGLHNPTHELNLSQFFEEVEAIKSELESISKLQYDLQKLNEETKSTRSAKILRGIRDRMDSNMVSVLRKAKFIRSRLSSLDKSNVSNRRISVAYREGSTVDRTRISVTNGLRVKLKEMMIEFQLMRERILMDYKEYLKRRYYNMTGDVPREEMIEKMASGSGKIEIFEGKAELHLENKERHEAAMDIQRSLNKLHQVFLDMAVLVEAQGAGTNDIEHNVGSARSLVSGGTNSLLYAKQMKKEDRTWAYWLCAAGFVVVLVCFVAMLSA >KZM92969 pep chromosome:ASM162521v1:5:1269437:1269817:-1 gene:DCAR_016214 transcript:KZM92969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKALIEDTDMPLKMQIQAMASASQALDVYDVLDCKSIAAHIKKDFDKKYGNGWQCVVGSNFGCFFTHTTGTFIYFTVETLNFLIFKGAASTPPSSP >KZM94500 pep chromosome:ASM162521v1:5:23036201:23037043:-1 gene:DCAR_017743 transcript:KZM94500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHYSVVIAALSTLTPPQLSDLIASISALFYCHHRRLTALLSSPTLFSLTLHHLKYLSLHHKSLLITQYLLSNLTHLVHFMHTKTSSPSYSATNIKLCDLDAVLLLLLFCELRQHDPNALETSPVEWHRVLCNYYFHDTMLVLSGLVVSEVEVLNKFVELLTKFSRFVGVDIEHDSERKEGREVAAAAAVVVALPSVDIRGGEKECVICNDEMKRGTDVCELPCAHLFHWMCILPWLRKTNTCPCCRYRLPSDDISIEIQQLWEVLLHMGTDHSDSSRD >KZM93341 pep chromosome:ASM162521v1:5:4940832:4944276:1 gene:DCAR_016586 transcript:KZM93341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKYRVSELHLAVLLVFFILLKGRYVIGDSIDTDKQVLLDLRLFLKDQNYVDRGKYTLWNPLDLSPCNWPGITCNNSRVTQIDLSHIDISGHIYSNFSALTELVHLDLSGNSLSGPVPADLGLCQSLKSLNLSHNIIAGELNFTGLNNLETLDLSENRIQGDIQSILPRFCSSLVVANVSSNNLTGEIGKSLDGCLKLQYVDFSANHLRGEISFAFQRLKHFQVSQNNLSGRIQPWVFSENCSLEDLDLSENLFIGELPKEISLCKNLVALNLWGNRFSGKIPLEIGSLVNLQALIMGKNRFHREIPESLIDLKSLSFLDLSNNNFRGQVQEIFGRITQVKYLLLHGNSYTGGLDSSGIFTLPNVSRVDLSKNKFTGQLPIEFSQMSHLKYLFLSFNNFTGNIPPEYGNFEQLQALDLSHNRLNGSVPQSLGKLNFLLWLMLANNELSGEIPAALGNCSSLLWLNLANNQISGKIPHELASIGNNPMPTFLLNRDNDQISAGSGECSAMKRWIPANYPPFSFVYTVLTMKKCRSLWDTLLKGYGLFSVCSPGTSVRTNQISGYLQLGHNQLSGELPREIEQMKNFSMLHLGNNRLEGKLPAGIKNMPLMVLNITGNNFAGEIPAELGSLSCLQGLDLSYNNFSGAFPYSLSRLNDLNKFNISYNPYISGSIPTIGQFATFEKWSFVGDPLLRLPHYMDNTTKESPSITKIHRTTKPVVLVILVVLIVLLVFGIMSLIICVVLKRPEDSPNYIMEGAKERHDRYSSSGGSSPWFSNGIKVIRLDKTAFTHKDILAATGNFSNDRIIGQGGFGTVYRGMLPDGREVAVKKQQREGIDAEREFRAEMEVLTGNGFGWPHPNLVTLYGWCLDGSEKYLVYEYMVGGSLEGLISDRKHLTWRHRINVALDVARALVFLHHECYPPIVHRDVKASNVLLDKDGKARVTDFGLARVVDAGESHVSTMVAGTVGYVAPEYGQTWQATTKGDVYSYGVLIMELASGRRAVDGGEECLVEWARRVMGSKSKDYLHMLITGPALEPGMGEGAEELLEVLKIGIRCTAESPQGRPNMKEVLAMLVGVSFASQTYFKYSASPPSW >KZM95428 pep chromosome:ASM162521v1:5:32022936:32027239:-1 gene:DCAR_018670 transcript:KZM95428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLKNWIFGTLAHNRVNSVLGFSVMALLLAAIYLTRDATNLDEYLLIDEESPPQCDLFSGKWVFDHKFAPSYNDQQCSFMTDDYACQKFGRNESIYQHWRWQPHDCDLSRFNATTLLEKFRGKRITFVGDSLNKNQWTSLLCLIESSIPPSERFVQWNGSLATFKTSQYDGVSIQFYWEPLLVESNCDDPAEHHVLERVINVHKIEKHAMHWKDADILIFDSFMWWLSPKMTVLEEECSFMIDDYSCHKYGRKDSKYQHWKWQPHDCDLPRFNGTTLLEKLRGKRVIFVGDSVNKNQWISLLCLLETSVPASERLKQWHGSLMTFRSSVYDVSIDFYWEPMLVESNCDDPIDHHVMERVIKVQRIESHAIHWKDADMLIFNSFMWWLSPTTTVLWESSKDSLDIYKEVGRARRYEMALKTWTDWMNLHINRTKTELFFMSLSPYHQVGRDWGKGENTNCYNETEPIQDEGFWGSGSERPMMEVAENAVQQLKNHGLKVQYVNITELSDKRREGHPSIYRKHWKPPTEEELANPILYSDCVHWCLPGVPDVWNHLLYAYILNYF >KZM96321 pep chromosome:ASM162521v1:5:40100376:40102134:-1 gene:DCAR_019563 transcript:KZM96321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYVFAQFKQVDDNELGLFAIYDGHLSHDIPDYLKTHLFENIINEPDFWTETEKAVRRAYHITDKTILDKASDLGRGGSTAVTAILINCKKLVVANVGDSRAVISKNGVAKQLSIDHEPSKERSSIEDRGGFVSNFPGDVPRVDGQLAVARAFGDKSLKKHLSSEPDVSVETISESTDFLILASDGIWKVLSNQEAVDCIKHTKCPQTAAKQLTEEALARKSTDDISCVVVKFR >KZM94103 pep chromosome:ASM162521v1:5:15666110:15666982:-1 gene:DCAR_017348 transcript:KZM94103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHYADLTDASSLRRWLDTISPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHISATGRSHVKYYQAGSSEMFGSTPPPQDETTPFHPRSPYAASKVAAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRAVGRIKVGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLEVAFGYVGLKWKDHVVIDKRYFRPTEVDNLKGDSSKARKVLEWKPKVGFEQLVKMMVDEDVELAKREKVLVDAGYMDAQQQP >KZM93296 pep chromosome:ASM162521v1:5:4575445:4577199:-1 gene:DCAR_016541 transcript:KZM93296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNAIPTVDLSPFFTTGDDEGKKKAKDLIHQACSTYGFFQVVNHGVPLELMNRAMQVSKTFFELPDEEKLKSSPASGAPLPAGYNKQHEDSGDRNEYLLMFPPASNFNVLPSSPPEFKKEAEELFSSFVKTGQLLEAIVAECLGLPSDFLKKFSDDRNCDFMVALRYFLATDDDSNGLSEHEDGNIITLVLQDEVGGLEVRKNGEWIPVTPTQGTLVVLSNDKYKSATHRVITTKGKNRYSYAFFYNLDGEKWVEPLPEFTTLIGELPKYRRFLFKDYQALRMRNKTHPPSRPEDVIHITHYSIPT >KZM96184 pep chromosome:ASM162521v1:5:38936884:38938711:-1 gene:DCAR_019426 transcript:KZM96184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGTYVALAAAFMSIILLVLILFIVRRWFYSNKQAPNSMVANSENLETGSRFQHLDQENNKRPNFHVFRRGFASKPLFSWADNPSLVGEAAEQGWLAFAFTNYASYTASPSLRSARTLLAICSAGDKVNEMGVEIDWEACQGSNEFLQKIRLNSGLKKINTSSYANSVIKTALPFPGPSFGNSAFPQEAYFEIRILFINEGRDRKGVDGEGEKAMLIGDDSESVVSVTSSSNGHGNGNVRIDDRRVGFREGKGEAATLLSLGLSVGGSLPLKLPGSYPGSIGFNSNGSVYLDGIKLISESETKEWGRKEKVIGCGYNPSKRKVFLTVDSEIVHEIHCKSEEFETPLYPTLAANGDITFLVNFGQTEFQYAPANPQRIVNPCFTSPLGNSPLLSYDDKELFSIGRINSQWRNRSTTRGHYLYGSSTNRGTADFDEISEGDLFEIALNSSGRSPYSDSVARG >KZM96453 pep chromosome:ASM162521v1:5:41090664:41092019:-1 gene:DCAR_019695 transcript:KZM96453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLSSFLVILLLYISSPISLTQTLTGVKLPLIHRLSLPENSNETFQQLVEINLKQLEMEFATLDDQEEFSLETPMIRFGGLTYFVNVTIGNPPVQQYLQVDTGSSLTWVREGTDPPRPDDYVPSKSYTFRQMSCGDPICTSNNTFECLGPKINLCGYKIFYADGTQSSGRIGYDQFGFVNYEEPKSHSFVDNVVFGYLGNIVNGSRSTKDTHFYGILGLGPRSISLVNQLPAPKLFSYCVSNLSSADVSEGYIHFGEPNDYTGDLPTTPINQGYKQYIIEIQSICLDKVCLAIDPSVFKHIPGVKSGVSIDTGAIYSYLPDIAYDAVEDAVINLMKSKNKTYVPDLYKNKSLLCYDGKLDDDESSYPTLIINFVGGGAEMEITRNVYLHETSPALHCLSFRRSSRFGEKLKKYTMLGLLSQQYHVFEFNLDSWTVGILSDNFCNDPIL >KZM95396 pep chromosome:ASM162521v1:5:31760507:31762483:1 gene:DCAR_018638 transcript:KZM95396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRCFTCGKVIGNKWDTYLDLLQADYEEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSEGS >KZM95768 pep chromosome:ASM162521v1:5:35390889:35393203:1 gene:DCAR_019010 transcript:KZM95768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDIASHTTLKPRKEGQDTQEELQKRNLRDELEERERRHFSSREDKDRRKGGHLLLEGSKREIEDRMIPRSADADDEVEIKSDDESDDEDDDEDDTEALLAELEQIKKERAEDKLRKERQEQEEQLKVKEAELIRGNPLLNNATSFSVKRRWDDDVVFKNQTRGETKTPKRFINDTIRSDFHRKFLQKYMK >KZM95418 pep chromosome:ASM162521v1:5:31949206:31950228:-1 gene:DCAR_018660 transcript:KZM95418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQVVPEKTLQDIRLSRMDSYSQRSHKRLEGKVAIVTGGAKGIGEATVRLFAKHGAKVIIADVEDTLGNALADTLPHSATYVHCNVSIEEDIANLIDSTITRYGRLDIIFNNAGVLGNQSKHKSIVNFDIEEFDHVMNVNVRGVALGMKHAARVMIPRGGGCIISTASVAGVMGGLGPHAYTASKHAIVGLTKNTACELGRYGIRVNCISPFGVATSMLVGAWSHDDEDEEMGMNFGTGPSEKQVEEMEEFVKGLANLKGPTLRARDIAEAALYLASDESRYVSGHNLVVDGGVTSSRNCVGL >KZM95725 pep chromosome:ASM162521v1:5:34875901:34886737:1 gene:DCAR_018967 transcript:KZM95725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLATLPILSFDGEKVGETTLSLKSAPPETARAVVHRGITTDLTNRRRGTASTLTRAEVRGGGKKPYPQKKTGRARQGSRRTPLRPGGGVVFGPKPKDWSIKINKKEKRLAISTALASALSNGIVIEEFGDRFEKPKTKEFIGLLNRLGIDPCEKSLFLMTDVPDNVRLSGRNIGTLKMLTPRTLNLFDILNSDKLVFTKDAVEYLNEAYGYDLDGDSEIDEDEDEDEDEGEDEAYGLYRVFYSGGDASSDIVKSYKKNDGDPSNMGKNGSISDEVKSKNGEKYMQKSRVREKDMVISAGSYDDKVDKSANVEDEDADKDVKDVKEVKVDSGADAAECSSCKGYVGCDEDDCKDVKDVKEVKVDSGADAAECSPSKADVGCDEGSKVYSCRKGSSEASTDSQEERLEDEQKNVVEPEDADTERNKRLENMIARRKARDIFRFQVRHTLMRRGKNDPVEHLASILVPRNKLLVPSHVNEQVSPGSAPSVLLPMHNPFDIPYETHEERPNLTDESFRREFLTEQKDLGLCRSASFSWGAVFSLDAIGDEDGVLEKESCREKEATSSGCSAEEHATREGQAAQQGPDSADIHHTEDKGETQPDETVRENIGVLDSVDRHHKDDKGDKKKDKTVAGNIGVGSSSSSSSEEGLPISKPNKEAILRSLLSFQRNAAPSKDDDEMQVNGFTHDTDQTTSHHIKSDDSFLYATRVLNNHPRSSSLASDMLVEVSEVSSPRSISSVDEEYSENEEVKEGSSHLSQVGTSDESNSREGPEISDQQHISREVSRINQEAASDVLAEKVFEENSINSTDSLSSLRTEMPDSAQTQSLNSNIEGLLDSDSSEKNLGDITMSNVSDSKTPTNDNLEESDTANKMDNDIPESSDGSNSNSVNHQDLSKSSLQNQQQENKEKFKDENGESESQPSQNSLSDNSTPVQSNHSLRSPENRRDEDVVAEPVAEPELSASSPENEASGVVSETETQQVPNISSSGSSPKSVLQPKFSCDNVSSLDLNQGTSTEHQQPHNEIVDDDSVLPVLNVNHSAFQNVSPRQDDSTSQTSHAIDRLQSPRFSDALYCVPFRSTPEECQNHDASITTSTSKVDQDIHDPYPHQSNFNQEADTSNHIDNSVVNDNQERTNRESVETIEGANHNESSMQTENAAVFIEPSSSKSIQDTEEGNKEIEKQEGLMASQKEDSSLNTYRLLEGSEEDDLYTEDGTVDYLDNIANRRTTGNWKACSYILGTEFSERLASFGMSSNLVVYLKNRLNEHSVTASKNMANWSGTCYAMPLIGAVVADRYLGRYGTIATFSIIYAMGMSLLTLSASVPGLKPICDEGGVCYSTDAQTAVFFIALYLVAIGSGGIKPCVTPFGADQFDDTDEVEKAQKGSLFNWFYFLISIASLIAHSVLVWIQVNIGWGIGYGIATGAMVIAVIWFFSGTTLYRNQRPGSNPLTRLRRVIVASIKKRNLKVPTDESVLFKNVDAETTTIRSHKLDHTESLSFFDKAAVELESDRIEGSINTWSLCTVTEVEELKALVRLLPLWATGCVMDTRVGNSSFEFPPASVGIFDFISVMFWVPVYEHIIVPVARKFTGQKNGLTPLQRLGTGLFIAIFSMICAGILEYLRLELVRRHDYYELDHVPMSIFWQIPQYFIMGCAEVFTQIGQMEFFYDQAPDSMRSFGAALSLSTTALGCYMSSFLVTVVTKYSTRNGNVGWITDNLNYGHLHYFFGLLAMLGTINLGVFILVSRWYTHKKSVKALD >KZM92901 pep chromosome:ASM162521v1:5:652104:652484:-1 gene:DCAR_016146 transcript:KZM92901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNQLIRHGREEKRRTDRTRASDQCPQKQGVRPRVPTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNLQEHSMVLIRGGRVKDSPGVKSHCIRGVKDLLGIPDRRSGRSKYVTRNDLRTG >KZM93500 pep chromosome:ASM162521v1:5:7299796:7304233:-1 gene:DCAR_016745 transcript:KZM93500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAEYDESLQQTDPVAVIRSGKEVLLQAFNWESHKHDWWRNLDSKVSDIAKAGFTSAWLPPPSNSFAPEGYLPQNLYSLNSSYGSESMLKALLHKMRQHKVRPMADIVINHRIGTTQGHGGRYNRYDGIPLSWDEHAVTSCTGGLGSRSTGDNFDGVPNIDHTQTYVRKDIIGWLRWLRNTVGFQDFRFDFARGYSAKYVKEYIEGAKPVLSVGEYWDSCKYHGHRLDYDQDSHRQRIINWLDAAGQLSTAFDFTTKGILQEAVKGELWRLRDPAGKPPGVMGWWPSRAVTFLDNHDTGSTQAHWPFPANHIMEGYAYILTHPGMPSVFYDHFFDWGKSIHDQIVKLIDIRKRQDIHSRSSIRILEAKSDVYAAIIGEKLCMKIGEGSWCPAGREWTLATSGNRYAVWHK >KZM93901 pep chromosome:ASM162521v1:5:11958874:11959967:1 gene:DCAR_017146 transcript:KZM93901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDQTLQVRSITTADVSLGQHVKPVSDATTSENLNGYFDCNICLDTARDPVVTLCDKQPDCPVCKARISETSLVPLYGRGDTRSDPDAKQPLPRRPPGVRAETMAQEQSQSSPLEPHQQPFHPQHYFSHPLANYPTLSPPNIVTAGMISPTVGMFGEMVYARIFGSSDTSLFSYPHAYPLVHNSSPNPRIRRQELQVYWVAVQRTCTLLPH >KZM95270 pep chromosome:ASM162521v1:5:30682261:30684221:1 gene:DCAR_018512 transcript:KZM95270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLGCFGSSKDKKRKKQRRYGSPRLQRIGSQTLVQTSTTETPVLSIPEKVAYSVLESCDEKSEEQLSLSTRKKVTFDTNVQTYEHVTVYGSTDLLLDKNDVSEKEMEEEGDKKDGLARSSQSRTSSEDNSTISSVGSYPQNHRYNNCRDSDDEAVESEYEDSDLDDEEEDEYGDDDYDDEINDGSVLCQEVWSETIPVESKESRTGVSLKRVGSEEVDSPVPCVMPEQEVKTLLLNRNARDRSVYVHPVLNPVENLSQWKAVKSKGTSLLNPHQKENSFADGEAPRISLSTEPSFKQSSYSSKPQSNYQTKNQNKEMAVDASLSNWLVTPEITPDKKSSSIKLQPVNSEKSMSQGSNSVMSIEDRPILGALTLEELNQFSATSSSPRKSPSRSPDEMVLMGTVGTYWKHTGEAKNRGSASSYKGIPNTTSKYREVYMMK >KZM92908 pep chromosome:ASM162521v1:5:689924:698265:1 gene:DCAR_016153 transcript:KZM92908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAHTRKFEIADDMFWKDGKPFRIIGGDLHYFRVLPEYWEDRLLRAKALGLNAIQTYVPWNLHEPRQGQLVFEGIADLVAFLKLCQKLDFVVMLRAGPYICGEWDLGGFPAWLLSIEPAVRLRSSDPAFLHLVDNWWGILLPKMAPLLYSNGGPIVMVQIENEFGSYGDDKSYLHHLVKLARSHLGEELTLYTTDGGSRETLQKGTIRGDAVYSAVDFTTGDDPWPIFELQKEFNAPGKSPPLSAEFYTGWLTHWGENIAHTDAKSTAAALGKILEKNGSAVLYMAHGGTNFGFYNGANTGEGESDYKPDLTSYDYDAPISEFGDVDNAKYKALRRVIEKYSAVSLPPLPRNNKKTGYGNFRLQRTAFLFDMLNKTDHADILKSDDPVSMEVFGEMFGLVLYASEYIHKGKKSILSIPKVHDRAQVFVSCSTKNKQARPKYAGTIERWSNQAIRLPNLSCTSPIKLLILVENMGRVNYGPYMFDRKGILSSVYLDGQPIHKWKMLSVPFQKIPEVKKISPILKDALSDNIKISSHRKLKINLDSISKQPAFYVGSFAVGEVHDSFISFTGWGKGIAFVNGFNIGRYWPTKGPQCNLYVPAPVLHRGQNVVVILELESPNPDLLLSSVDDPDFTCGTKSSKVHQL >KZM94620 pep chromosome:ASM162521v1:5:24371232:24372354:-1 gene:DCAR_017863 transcript:KZM94620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSIADLILEALPQNMSDGCCHGAVLAAKCFNVTLLLCKVDVYQQSPTATHGTHGCFKQVDPSFRILMQKIRYPISKIKSEFLVSCARVYQIASVAVSRCIDLFFVKLRMRTMQTRNLARIIPVSILVNRIVRHMVNTHICPTN >KZM92915 pep chromosome:ASM162521v1:5:750385:760389:1 gene:DCAR_016160 transcript:KZM92915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEVGSEEMKMKEEGRAQWKSLIPILYDWFANHSLVWPSLSCRWGPVTEQATYKNRQRLYLSEQTDGTVPNTLVIANCEIVKPRVAAAEHISLFNEESRSPFIKKFKTILHPGEVNRIRELPQNSNIVATHTDSPDILTGHKDNAEFALAMSPTEPFVLSGGKDRSVILWSIHDHISTLATDSGSTKSAKPGGIKNSKGNGSDDSPSSSPSVQARGTFQGHENTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGFSPAVKVEKAHDADLHCVDWNPYDVNLILTGSADNSVRMFDRRNLTSGPIHIFAAHSEAVLCVQAYSSSVFGSSAEDGILNIWDHKQIGQKDNPNGLFFRHAGHRDKVVDFHFNSSDPWTIVSVSDDCESTNGGGTLQIWRMLDLLYKSEEEALAELEKFKGHLLSCPM >KZM94666 pep chromosome:ASM162521v1:5:24736935:24737953:1 gene:DCAR_017908 transcript:KZM94666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSTLAGKVSTSKTGKHGHAKCHFVAIDIFSGKKLDDIVPSSHNCDVPHVTRTDYQLLDITDDDFVSLLTENGNTKDDLKLPTDDNLWKQIKEGYEQGKDLLVTVLSAMGEEQIVAVKDMGAK >KZM96240 pep chromosome:ASM162521v1:5:39472480:39472662:-1 gene:DCAR_019482 transcript:KZM96240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTIRDQILCVRIMGMMIDSYPWLNASTFLNLATLSTKSRKFRVGLFHGFGIWYGMRVA >KZM96227 pep chromosome:ASM162521v1:5:39338475:39339479:1 gene:DCAR_019469 transcript:KZM96227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETEVILPSQSMIDFEFTNVSNSPGFTAPSSPARIGDYYFSAPTSPRHLSQFYSELDEFLMNNSVDNDVIRRNSVSSAMPGVAKSGFTKSDVFEDDFAFDVSLEMDAASLTADVLFDGGKIKPQEVNKNSDILRDEEMIRGRERSVSSLSSSQSRHRVTRSLSPMRVSEYPWEEEFKHQQQQQQRKNFNQLNSKQPSSKPNLTSSVSALSSTSSKGHKKWKLKDFFLFRSASEGRATDKADPLKKYASLFRKQEDVRSSSFRSIHHDQGNSISSKRKGKGPVSAHELHYTINRAVSEDLKKKTFLPYKQGILGRLSFNPAVHAIANGFGFSRK >KZM94808 pep chromosome:ASM162521v1:5:26142979:26145887:-1 gene:DCAR_018050 transcript:KZM94808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVCRNLGDPTIPPDSSPDSPLTISKSHPVPALASPTCVRVRVRANTLNFATMLKVEGKYQEKAALPFVLGSDFSGVVEAVGVDVSRLRVGDRVCGAIDVDSFAQFLVVDQAKLYRVPDGCDLVAAAALPLAYGTSYSSLIHRAHLHSGQVLLVLGAAGGVGLAAVQIGKIRGATVIAVARGTEKADILKSIGADHVVDLNKGNVTASIKEFLKSRKLKGVDILYDPVGGKLTRESLRLLNWGAQILVIGFASGEVPIIPANIALVKNWTIHGFYWGHYNDVIRPGAQEDSVKELLSWLAKGLLTVHASHVYGLSEINLAFAALRERNAIGKVMIVFDDLQTGHSKL >KZM94024 pep chromosome:ASM162521v1:5:13985144:13986779:-1 gene:DCAR_017269 transcript:KZM94024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRLQPHFSLKFRNGYELLVVLNAEHSTVCGLSTLYDDFELRGGEMLVFEFDGVSGFIVHVIGNDNSEIEYPNLVHHMQRKQPRVVSLRNDGLKFVNFVKEENPICDELVPPVSFKRACGLILGYQNFGFSNEKQIQGGYANKIYVFDDNFVEVLFAGTPLSPGLNLHNPSVGGRIEITVQPFHMYQYAYGVDIPSEYGGVTIFWCQKDYVYIYTAKNAWKLQVKKRRGNSNRTALKDGWLEFRDDMGLSVGDVLVLEYAKYCSHHFVVHVIKNGGDN >KZM93446 pep chromosome:ASM162521v1:5:6472439:6475525:-1 gene:DCAR_016691 transcript:KZM93446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHWVRYTHGTIPSTSVSGMALHAAPGAREVLSLYDNDFHGSIPNEIGRLFRLQVLSLVKNSFQGGLPPNLSHCVDIEVIDLADNHLHGELPSEFSSWTKLQYFSLKENKFAGSIPPSIGNISSLGALDLSQNNLQGHIPFEVAHHTYLEHLNLGTNSLSGMVPLPIYNISSLIFVDLSRNNLEGTLPADLDFTQLQYFLAAENRISGPLPPSLANASNLIIFDIIGNIITGPIPNNFGRLLNIQCLNMGYNPLGGGMLPNDLSFLNSLVNCSHLDSLDFASTGIRGELPNAIVNLSTTIEHISLDENHIYGSIPREIGKLENITKLSLFHNLLTGAIPESIGKLSWLGELNLAENKLSGSIPTCISNVTNQFVRLRLEGNMLNGSIPAELFNISTLEQVSLADNRFEGVIPEKIEVLSHCIHLNLSQNLLSGALPSNIGRLEHLVELDVSNNKLSGDIPASLSRCVMLETLYMGGNSFQEYGMGGEISTKGDVYSYGIFLLEFFSGRRPTECGDGSNLHEYVRNALPYKVMDITDPRILLDQEELGLPANQSYNRAAVELCMGSIFEVGILCSQEMLQKRIDISVAIKHLHMARDKLLQLI >KZM94530 pep chromosome:ASM162521v1:5:23279776:23282022:-1 gene:DCAR_017773 transcript:KZM94530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSSVAETSVFSGGNPEYHNHPSSQLNVVENSSSQPRSVNIDRELDTLSKIESLQIKFLRLLQRLGHMQDNLLVAKVLYRIHLAILLSAGESDLKRANIRRDTARAIAAEQETSGVPELDFSFRVLVLGKTGVGKSSTINSIFDETKVMTDAFHPATDRVQEIAGTFNGIKISFIDTPGLLPSSTGTVRKNRKILYNVKKFLRKHPADMVLYFERLDLINNGYSDYPLLKLITEVFGSAIWFNTIIVMTHSSSGLPEGANGYAVTYESYVAQCTNLVQHYIHQAVADTKLENPVVLVENHAQCRTDINGEKILPNGQAWRFQFFLLCMCTKILADVNTLLKFQDSIELGPPSNQRLPSLPHLLSAFLRHHIMSNTGDNNDIDKLSLSDIEDDECDEYEQLPPIRILTKSQFKKLTNDQKKDYLDELDYRETLFLKKQLKEEICTREKKYIKGEASAIDGSDNLEETPEPVALPDMAVPLSFDPDYPVHRYRCVITSDQWLARPVLDPHGWDHDIGFDGINLDTTAELRRNVFASVSGQMSKDKQDFNIQSQCAAAFIHPGGPTCGVGVDVQSSGAEMIYTVHGNTKLRTLKHNFTECGLSATSFGNKYFFGAKLEDGISVGKRLKFVINGGRMGGLGQAAYGGSIETTFRGKDYPVRNDKVSLAMTVTSLNNETIFGGNIQSDFRLGRGTNFSVNANINSRQMGQLCIKTSSSEHMEISLIAVVTIFRALMRKMAIGDSSNEKLKIG >KZM94536 pep chromosome:ASM162521v1:5:23362213:23364956:-1 gene:DCAR_017779 transcript:KZM94536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNKITIKKKKKGPSFDIETFKLDIDDLIQAFVKVIQALYSSITAVTTPIDLCYFVELQDESRTLVDMKRVWIKKKFSYIFEARPTTNIACFMQSLYSHSIGYVTSDASLSTRLGGLYCLYCLHETQPFKPPFRVYISLGELRKLRRLVVDSKKENVKVAPALVNRMLEKNMFLFGAVDLKEGSVTEKIKELRAREDATIRTAQKKLFENSRIEHFIHMDLGMELDVDYLKKMSSDYEAAKKFAVKEAGDMVDIQNIKHLTENQRLIGDVLEDTAEQWSNVKELFYQETQYGQRSVEGHSEYGAPYNLRQRQVTVHSELGETSGQSHTQGDNDNHQLEEDGFDDDLAKELEQALAHNGEDSEDDLAMDLEQALSDYEGDSED >KZM92978 pep chromosome:ASM162521v1:5:1347013:1359415:1 gene:DCAR_016223 transcript:KZM92978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGLEIKRKVANRSDRVKSVDLHPTEPWVLTSLYTGTVCIWNYQSQTMAKSFEVTDLPVRSAKFIPRKQWVVAGADDMHIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYILSSADDMLIKLWDWENGWNCTQIFEGHSHYVMQVTINPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENALNYGLERVWAIGYMKGSRRVLIGYDEGTIMVKIGRDEPVASMDNSGKVIWAKHNEIQTVNIKSVGAEYEGTDGERLHLAVKELGTCDLYPQSLKHNPNGRYVVVCGDGEYIMYTALAWRNRSFGSALEIVWSTDGEYAARESTSRIKIFNKNFQEKKSIRPTFSAERIYGGPLLAMFSNDFICFYDWAECRLIRRIDVNVKNLYWAASGDLVAISSDASFYILKYNRDVVSAHLESGKSADERGVEDAFELLYEINERVRTGIWVGDCFMYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVIGYTLLLSLIKYKTLVMRDELKRASELLSSIPKEQLNSVARFLESRGMVEDALEVATDSDYRFELAIQLGKLQIAQEIAGVAQSESKWKQLGALAMSTGMLEMAEECLKHAKDLSGLLLLYSSLGDAEEILKLALLAKEQGRNNVAFLCLFMLGKVEECLELLIESNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNLKAAESLADPEEYPNLFEDWQVALGVESKVADTRSNYPPAAEYMNLANRSNASLVEAFRNMQMDHEETLENGGSDYELAEQNGKIQNKQEGQDEAVVVDPDSTDGVVLVNGNEADEDLGTTGKPSA >KZM95083 pep chromosome:ASM162521v1:5:28508692:28510214:-1 gene:DCAR_018325 transcript:KZM95083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLIAGGKSKRTKRTAPRSDDIYLKLIVKLYRFLVRRTGSKFNAVILKRLFMSKTNKPPISLSRLIRFMTGKEDKIAVIVGTVTDDVRVHEIPCMKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGKAPGVPHSHSKPYVRSKGRKFEKARGKRNSRGFRV >KZM95015 pep chromosome:ASM162521v1:5:28002359:28002885:-1 gene:DCAR_018257 transcript:KZM95015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASEKVVLCELGGRKEIVRCFEDEDFKSSNADGTSFYNIVLEYADGGTLQQLIKSKGWILNMKLVADFGLAKKGGEKSLGAGEEYKNRGTLLYSSRNPLFGVHEAAMDIWAVNCIVLELLLGEDH >KZM93737 pep chromosome:ASM162521v1:5:9957082:9958464:-1 gene:DCAR_016982 transcript:KZM93737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDTQITVVMVPFLAQGHLGQLLHLSRLISTYNIPVHYVSTTTHIRQARSRHQGWDLLAYDNIHIHEYPIPDYDCPDPNPNAPSKFPSQLQPAFEASIHLRGPVCKLLTTLSATSRRIVIIHDYLMASVVQDFVSIPNAESYTFQTCSAFFTFTYYWDYAGRPIAADDQILQQLISTEGSLTPELLAFGEKQEIYVQKSSGEIYNTSRAIEGYYLDLFEKMQVGKKHWAIGPFNPVDICKEPDQKRHKCLEWLDNQVSNSVIYVSFGTTTCLTDEQIHAIAVGLENSGQRFIWVLRDADKGDIFTGDVRKAELPTGYEDRILEARQGMIVRGWAPQLEILAHTSTGGFMSHCGWNSCLESLTMGVPMATWPMHSDQPRNSELITKALKLGVVVKDWTPENDLVGSLQIEKAVKKLIASKEGDEMRKRAAEYRNDIKKSVTEGGDSRLEMDSFVDHITRE >KZM94538 pep chromosome:ASM162521v1:5:23386721:23387392:1 gene:DCAR_017781 transcript:KZM94538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISYIFLLLLFVIGLFATYFIKFTPKNKPTEQNEISDVQNTAVIHKSVAPTTNGTINKNNLQFKAELKSVFATFDKNSDGYITSDELKSSLKNIGITMSDKDVKEMVEKVDANGDNLIDIDEFCTLFESIMSSSHVEDDAEKVGDGGGYLREAFNVFDEDGDGVITVEELGMVLSSLGFKEGKLLESCKEMIRKVDVDGDGKINFDEFKMMMKAGTKFLPVS >KZM94716 pep chromosome:ASM162521v1:5:25268852:25269448:-1 gene:DCAR_017958 transcript:KZM94716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSFILLIILCLSTHSSNNTVLSVNTSSTPPPKITPLRSDNNTIYKISQQLCWNCVAEQFQFLFAHNIVRAAKWEWPLTYDTQLEDYAKWWAGQRQADCELIHSFPEFEFKLGENIFWGSGSDWKPTQAVQAWAEEEKYYNYAANSCAEEQECGHYTQIVWKTTRRVGCARVVCESGDVFMTCNYDPVGNYVGERPY >KZM95289 pep chromosome:ASM162521v1:5:30847070:30850102:-1 gene:DCAR_018531 transcript:KZM95289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNKQKLVVEVIGAHNLMPKDGEGSSSPFVEIEFENQRQKTQVKYRDLDPVWNEKLVFYVNNVADLPYRTIDVNVFNEKRSNNSRNFLGKVRVSGSNVCREGEEIAQLFTLDKRSLFSHVRGEISLKIFLSSSEQVKHVVGEGNDGFSKKNKKLQQQQQQQQQGMAMAVKQQQMGQDNKVSNQKIQTLQNPKGENQNNGDLKPVIITNVPVQVGSGAGAGAGGGSGGGGVGGFGVISNGSSEFLLKETRPHLGGGSLSKDSTYDLVEQMQYLYVRVVKARELLGFGGGEVVAEVKLGNYRGITRRVGLNNAEWDQVFAFSKDCIQSSAVELFVKERDKDDFLGRVLFDLNEVPKRVPPDSQLAPQWYRMDDKKGDRSKGGEVMVALWFGTQADEAFSEAWHSKSANVHMDGLCSIKSKVYLSPKLWYLRVSVLEAQDVVLGDKGSSMMRYPELMAKVQVGNQVLRTRIAPATPNRSLHNPFWNEDLIFVVAEPFEDYVLFSVEDRVGPNCDEVVGRVVLPLTNIERRLDDKPVASRWYNLDLRYNNPNESKAVTRFSSRIHLCATLDGGYHVLDEATMYSSDVRPTEKRLWKPHIGVLEMGILGASNLMPVKIREGKGGCTDAYCVAKYGQKWVRTRTVVDSLTPKWNEQYTWEVFDPCTVITIGVFDNARVDKNSATAAGIRDSRIGKVRIRLSTLESDKVYTHSYPLLMLHPSGVKKMGELHLAVRFTCANMLNVLQIYTMPLLPKMHYVHPLSVNQIDSLRYQALNAVSSRLSRAEPPLGREVVEYMLDHDSHMWSMRKSKANFFRLMNVLSWFVALNRLMDSMRNWHKPLYSTLFLINFLVLVMVPELIIPTILLILAVMGLWRYRTRPRQPSHMDTRLSYAESVYQDELDEEFDSFPTSRSAEVIRMRYDRLRSVAGRIQTVVGDMATQGERLQALISWRDPRATFLFVIVCLLAAFGFYLVPIRWVVALWGLYFMRPPRFRSKLPSRAVSFFKRLPTRADSML >KZM93523 pep chromosome:ASM162521v1:5:7453356:7461470:-1 gene:DCAR_016768 transcript:KZM93523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTPTPPFNALRLDLSNHHRRQFEFVELKKRRKLSQSSWIKCSASADRPAVIRHRKLSKKPEEWPFHPKNSTVSRRFPIHLTPALPFASSRSRLSSKQEKFFPRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLNEHVNEVGTNEDGSTWYRESGEDIGDNGYRCRWARMGGQSHDGSSEWKETWWEKSDWTGYKELGVEKSGRNAEGDSWWETWREVLHQDEWSNLARIERSAQKQAKSGTENAGWYENWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPTAERWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEADPHYGWADVVGDSSQLLSISPRERPPGVFPNLDFGTSPSPPNDDEPELPSSPR >KZM96233 pep chromosome:ASM162521v1:5:39402657:39404612:-1 gene:DCAR_019475 transcript:KZM96233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEQVDVSLNLSKHILLNYGKDSNLVFSPISIQVILGLVAAGSSGQTLDQLLSFLKARTIDELNYLYSSIVDRVFAKDSSSSGPCLSLANGVWLQKSLTLKPSFKEVVETVYKAACRQVDFESKEEDARNVVNSWAEKETKGLIKEILPSGSVDSSTKLVFANALYFKGAWSCEFDASRTKDNEFHLLDGSSIQVPFMTSNKKKQLIRVFNGFKVLGLPYKQGDKRQFSMYIFLPDAKDGLPRLVEKFGSVPGFLERHIPYQEVEVGAFHVPKFKFSFEFEASDVLKELGLVLPFTRNDGLTEMADSPVGPKLCVSRIFHKSFIEVDEQGTEAAAASVSKVRLCLIAYIDFVADHPFLFVIRENNTGSVQFIGQVLNPT >KZM95617 pep chromosome:ASM162521v1:5:33904298:33908385:-1 gene:DCAR_018859 transcript:KZM95617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLLKGSSNMHVNLESVKIHKDDSGSSGDHGPSTLPPSTSKSTFSRLGSKFKASTKGLKGYGPRRAPGAKSGAFRGLKSVKFIDKKKINKDGWKDAEHRFHQMAVNGKLKRDKFGICVGIQDNSEFAAELFDALVRRRNLDIENGIDIEQFKMFWEDIALQDSDTRLHIFFDMCDRNGDGKLSVDEVKEILLISAAANKLGNFKKHAGHYAALIMEELDPDQLGYIEMWQLEALLRGIGHTSGGGNSSVSSSMQKSQSLTRTMIPTRYRGKFGKYGNLAKEKAYENWRQIWVMSLWLLLNAILFTWKFKQYETMPGFQVCGYCVCIAKGAAETLKLNMALILFPVCRRTLTKLRETFLGAFVPFDDNINFHKIIALGIAIGVLLHTVFHLTCNLVRISTCPKPLFMSTLGSSFDYKQPSYMDIVMTVPGITGVIMLCIMVFSFTLATHSFRRKVVKLPWKFDRLAGFNAFWYSHHLLIVIYILFYIHGTMLIIGKQKTTWMYITIPVLCYSTERLLVMYDLNYEVHIIKAVIYTGNVLALYMSRPPPFKYKSGMYLFVKCPDLSNYEWHPFSITSAPGDDYLSIHIRALGDWTNELKDRFERICEVQDAKPREGNLVRLETRTNARTSNVTPLGRISSVAPLARMSNATFNERSSNVERLSVASISDVIQNSRSIHEQPDLPEPIFPKIFIKGPYGAPAQDYKEYDILLLIGLGIGATPFISILKDLLNHITPSYIDLGSNEGSFRGKVPERAYFYWITREQASFEWFKGVMDDVAEHDFNHIIEMHNYLTSVYEEGDARSALITMVQSLERAKKGVDIVSNSKIITHFARPNWRKVFEELSQRHNKHCIGVFYCGSATLTKPLRDLCEEFTLETSTRFEFHKENF >KZM93459 pep chromosome:ASM162521v1:5:6718188:6721112:1 gene:DCAR_016704 transcript:KZM93459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITRNLDPPSIPTTKSPNPSASQHSDLPTKTRLPSSIRKPPHRKPRNTAAGIRFKRHGAPSGKRSRPEKQLVTESTRKNVRIVNKGKDVSVSISARKLAAGLWRLQLPEAPSTVGDRLSNEQLGLQQSTSGHIEYSHFSHSNSKLYSSHLKDLPSSPHSAHGRKNETSRKKFSLHNSNPAMEGATKWDPVLYKASDEVQSIYSHAKPIREQVGAVYMVSSLELELEQARGRIHELETERRSSKKKVEQFLKKLSEERAVWRSREHEKIRAIIDDVKADLNRERKICQRTQLVNSKLVAELADAKLSVKRFMHDFEKERKARELIEEVCDELAKEIGEDKAEVEALKRESLNLRDEVEDERRMLQMAEVWREERVQMKLVDAKVTLEEKYAQMQKIIADLETFLSSKNSITDVEETGKAEFLRQVAASVNIKDTSAFTYEPSNPADIFSIFENTNFGEANERETGHCGMYSPASHASKVRAVSPHAKDLYNNEENQKYANAHLSQNRDLEDDDSGWETVSHVDDQCSSYSPDGSDPSVNKMHCESTVSGSGTDWEDNAGENTHTEIIEVCSVPTGQLKKVSSISRLWRTLPNNGENYKIITVDGLKGRVSHGRLSNGTVTSPDQGSGKGGFSPTELTGQWSSPESTNHHVNRGTKGCIEWPLGSQKKSLKTKLLEARMETQKFQLRQVLKQKI >KZM96188 pep chromosome:ASM162521v1:5:38978523:38978996:-1 gene:DCAR_019430 transcript:KZM96188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPNPPSNENVANNAAIPIQTSEPTDLVPTSPDSSFISQLVELYRTIDTPRTIKTGDINSTSTSRSP >KZM93021 pep chromosome:ASM162521v1:5:1791715:1793025:-1 gene:DCAR_016266 transcript:KZM93021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLMFPWLGHSHVSSYLQLGKRLARRGVSVHLCSTPVNLNSVKKTLDNNPSIKTIDLNLPNLPELPPHHHTVNGLPPNRLSTLKKAFDMAAPEFSQILQTLKPDLLISDVFQYWAPEIAVSQNVPSVVYITSGAVTFCYFYHLQNNPQSPFPFPEIYLTDYEKATNEKISKVNAQGMGTPERVSSCVRQSQLVLVKSCDEIEEKYINYLSSLCGKKVLPAGLIVSETVDWKEDDEDTAIFEWLDKKDEASTVFVSTGTECHLSKEDVEDIANGLELSGVNFIWFVKFPDGDFMGEFLEKFRERCIGEKGMILETWAPQARILQHSSIGGFVSHCGRGSVTEALAYGVPIIAIPMQYDQPLNARVLQEVGVGEEVKRDSSGRLQEDNVAKVIKKIVFDQDGDEIRRKTKNLSTSQKENGDKYLDIVMEELHKLCNI >KZM95359 pep chromosome:ASM162521v1:5:31432588:31441399:-1 gene:DCAR_018601 transcript:KZM95359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFGIFARDLGYKPQGKSAPMKSAGIGSDRPSSAPFSDDQFGDVFGGPPKYSSSNSNAKAAASSMSDFDYDSIFKASRNEAKSKWDSAPSSDPVYDKPVYDDDIFDGLPGLKSKTVSSSSRFEENVFADIASSPRKGSSGRDHFEDLLGNLTKKEKVEPKKKSISRTASDFDDLLPGFGSSSPSNRPIPESNQTSRPPANSNQTSKVIDDPFVVLESTSTPGVSFISDPLEEISKLSKPGNTEENNSSASAGVFNDLDPLGDFVKSVPAHSSGIKNSGKDGSPARARSSMKRTDESASTEAFGIPAFNTYTERSSQKKVPVDDYHESHQTLFEMPAGPIGSQKPTGQRASSPSYSVDVSESNSQSDQSYRTEEHSQSSEEVWLTVSEIPLFTQPTRAPPPSRPPPPIPRHTSKSEKAFSTSNSRQKGNDFSPPSSAQYPQSHNPFRSAPKNTMDSSIDELEEFAMARNYNNFNENFEISSGHNSSTNSAAAASAAAMKDAVDRAEAKLKNAKEIRERENAKAARSKESVQREKDEQDVLDEEIVENQERLNRERQQREEEEREQRRLERERAREIEREKARQAVERATREARERAAAEARERAAADARLKSERAAVQRAQAEARSRAAAEARERAERAAAEARERANAEAREKEAKEKAARADAEARRRAERAAVDRVAAAARERAAAEARDRQAAAAAAAAAARTSQQKNDNDLESFFNMSSRPNSAPRPRASSSDPSLDPHSQNRGGADGTRRSSQSGVTSNMKKASSTTNIVDDLSSIFGGPPSSGDFQDVEGETEERRKARLERHQRTQERAAKAVAEKNQRDLQLQRDQEERSRFAETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGASVKKVYRKATLCIHPDKVQQKGANIQQKYIAEKVFDLLKEAWNKFNSEELF >KZM96160 pep chromosome:ASM162521v1:5:38775704:38776882:-1 gene:DCAR_019402 transcript:KZM96160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQERPLRTPFTDITNKLPRSKSKTNEDRDKAKAKYCTRGNAELKDWSRNLFEDEFSKKQTASAIVYDENCGMTFCSCFSYPDFAKRALSNNEEKKVSIMKKVTVAELKALGKTAVEAFFMLHVKFKSIDESMGWSYHACTSCEKETRNENPCPICESCNRYVSYPDRKFKMHFVAEDTTGQMQVVLVDREVRTLIGRRALDSAAEMKAGSTIPEAFFSTLNKEYSVIQAQLFPTKKHKHHSQPHQPTMRKGSLIST >KZM92844 pep chromosome:ASM162521v1:5:236528:238493:1 gene:DCAR_016089 transcript:KZM92844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNVREFLVGMSDAAKQKKRRLYIGIFAFVVVVAVVISIAVGVSSSHGSDGSSDHSHITSFRATAAHLVLKNSCSATLYPELCYSTIASVPGMSKKITSQKDVIEQALNITHQVVEQTYSRIKKLSMHKHLTKREKVALSDCLELIDETLDELHETSQELEKYSTKKSLKQHADDLKTFISSAITNQESCIDGFSHDGADKKVRKELLASEHRVEKMCSNALAMICNMTNTDIANEAKLKGRNLKEEGYEYNVWPHWLSAGDRRLLQSSTVTPNVVVAADGSGDFKRVSDAVAAAPEGSSKRYVIRIKAGVYRENVDVPKKKKNIMFLGDGRSNTIITASQNVQDGSTTFKSATVAAVGPGFLARDITFQNTAGPSKHQAVALRVGSDLSAFYKCDILAYQDSLYVHSNRQFFINCLIAGTVDFIFGNAAVVLQDCDIHARLPNSGQKNMVTAQGRTDPNQNTGIVIQKSRIGATSDLQPKQSSFPTYLGRPWKQYSRTVVMQSSITNVIHPDGWFPWDGNFALDTLYYAEYRNTGAGAATSGRVKWKGYKVITSATEAQGFTPGSFIAGGSWLSSTTFPFSLGL >KZM95012 pep chromosome:ASM162521v1:5:27980715:27981805:-1 gene:DCAR_018254 transcript:KZM95012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCFQLLLKTMRKSLFSLDCDHPQATVFMRKIPFYALNMFIVASYMGLVCLSDSCRETFVRSMLWNPLTGEGKVIEYPRRRLMSRADVAIGFGYDGNNNHGQIPFRVIDDVGHSRVTMFSIHDKIVAILTDTPHHCVRSYNLEADGSWSKGFTVGPFHFFFSQKVYAAVSNGGMILCSNLYNGIEFLDVVNNVLVPVSEPETFAADWLQAIPYRETLVGFGPGTLVLSEENLVRMAGSSKSLVEDIYNDLVSRRNALIKALTKDLDQFHSCLDAGPCYNHWFY >KZM94269 pep chromosome:ASM162521v1:5:20292531:20300695:-1 gene:DCAR_017512 transcript:KZM94269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLVSSSSTPSSSSSLSQFHQTCFLPFLPQRLLLNSIWQKSPSEEFHFSTIKCRLARVKDPPPPSPDNLIKEPHKYFDQVMVTVRAGDGGHGAVLTMPTPKAPSKSHTKYDKGKTRKRVSFKRDFDGSLILPMGGHGGDIVIYVDEGRDTLLEFHKKSRFSAKRGGNVDAMSILTSHLSNGSAGPSLRIPVPPGTVVKHKRGKFLADLARPGDEILVARGGQGGISLLEMPDHKKKKMMTMTSNVMRDESDKEVSLQLILRVVADVGLVGLPNAGKSTLLAATTLAKPDIADYPFTTLMPNLGRLDGDPSLGAGKYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAAADDPVNDYRTVKEELRMYNPDYLERPSIVVLNKIDIPEAFNKLPYLKEEIMRIGSDEPSAQVDLNSEDTLQSPSSSNMEQKDNLSSEISEKARRIKEIEEYPRPNAVVGVSVLKGTNINEMLKEIRAALRKCSDPNEVLNK >KZM93058 pep chromosome:ASM162521v1:5:2034977:2039722:-1 gene:DCAR_016303 transcript:KZM93058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAESESLRPVLGPAGNTARSVAEARKPVAKQPTRMDKKSPTLSEISEVKGKKSPTLSPDSKLMPSILRKQRGGDKVFRPSLSMNASCSSDASTDSCRSRASTGRIVRRSVPNLRRQSAPRIGGSEGGNVDSLEAVESSFDGSLMKKRCAWVTPNCDPCYAAFHDEEWGVPVHDDRKLFELLSLSTALAELTWPAILNKRHMFRDVFRDFDPVEVAKLNEKKITAAGSSATSLLSELKLRVIIENARQMCRVIEEFGSFDQYIWGFVNHKPTVGHFRYPRQVPIKTSKADAISKDLVKRGFRGVGPTVVYSFMQVAGITNDHLISCFRFQDCISAECAREKDDSVKDKSEGKRTEDEMDLGVAADISSLSLSRD >KZM95616 pep chromosome:ASM162521v1:5:33900466:33902444:-1 gene:DCAR_018858 transcript:KZM95616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPHHRLPPFVSALRNPITWFFISVLILYLLYSSNVALYNDKANCSLPSELLNPREALQTVEHLSNSSLTTSPDEDHNSTSLMLPQTTTPPPPPPPPSIQRFDTKIKHIAFGIAASEFFWENRKEYIKQWWRPGETRGVVWLDKNVSTDRNEGLPEIRISGDTSQFKYTNTQGDRSALRIARVVSETLRLGMKDIRWFVMGDDDTVFMVENVVRVLSKYDHNELYYIGCISESHVQNIVFSYAMAFGGGGFALSYPLAKELERIHDRCIQRYPGLYGSDDRMQACMAELGVPLTREHGFHQYDVYGNLLGLLGAHPVTPLVSLHHLDVLDPVYPKMTRVQAIHHLFEAAKYDSASIAQQSICYDRNREWSISVSWGYVVQIIRGVLSPRELEMPTRTFLNWYKKADYTAYAFNTRPFARQPCQKPYVYYMTSMRYDEKRRQIVAFHSLNKDSYPNCRWQMDSPEEIDDVIVMKKPDNDRWQKKLQHN >KZM93200 pep chromosome:ASM162521v1:5:3483360:3486816:1 gene:DCAR_016445 transcript:KZM93200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERAIEFPHTNMDRRPRKRQRLGWDVVPQAPKAQVGLFYGQDVGNLNGFTSKAPTDNTSSLFVKGVARNGSPPWRPDDKDGHFMFAVGDNLTSRYKIMSKMGEGTFGQVLECWDKERREMVAIKIVRGIKKYREAAMIEVDVLQQLGKNDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLDCVAFMHDLRLIHTDLKPENILLVSPEYVKIPDYKGSSRSPIDSSYCKRIPKSSAIKVIDFGSTTYDRQNQTYIVSTRHYRAPEVILGLGWSFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPMPQHMLKRVDRHGEKYVRKSRLDWPDGAASRDSIKAVLKLPRLQNLIMQHVDHSGGDFIHLLQGLLRYDPSERLTAREALRHPFFTGDNLRR >KZM93098 pep chromosome:ASM162521v1:5:2397741:2409707:1 gene:DCAR_016343 transcript:KZM93098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFFQRWWRDQSEATQNTVKRLVESGQLELINGGMCMHDEAAPHYIDMIDQTTLGHRFVKQVFNVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRTKRKAEKSLEVVWQGSKSLRSSAQAEDVVATALACMVESASKSECESPTTKFQQANDCDISVCPLLNISYCPPTEVDLSSGKKLVIVVYNSLGWKKTDVVKIPVMDKRVAVFDSNGNSVESQLVPIASVSIGIRNFYTKAHVGKSPNATPKYWLAFTASVPPLGFRTYVVSTPKGADAAASLVMRKSYKSFQNDDYTIEVGTGHLKLLYSVNEGKLTHYVNDESKIKASVQQSFMYYNGVTEDSQADGAYVFRPNSSFPLGSKGQTPIQVFRGPLYDEVRQSINPWIHQITRVYKDKEHAEVEFKVGPIPIDDGVGKDVVTKITTSTKSNKIFYTDSNGRDFLQRIRDFREDWKLEVNQPVAGNYYPINLGMYLKDDSSEVSVLVDRSVGGSSIIDGQMELMLHRRLLHDDGRGVAEALNETVCISGKCAGLTIQGKYYLRIDPLGEGAKWRRSYGQEIYSPFLLAFTEQDGDKSTKFQVSSFSYMDSSYSLPDNVALLTLQELEDGTVLLRLAHLYEFRQHYVVGSSIIDGQMELMLHRRLLHDDGRGVAEALNETVCISGKCAGLTIQGKYYLRIDPLGEGAKWRRSYGQEIYSPFLLAFTEQDGDKSTKFQVSSFSYMDSSYSLPDNVALLTLQELEDGTVLLRLAHLYEIGEDKLLSKLASVELKKIFSKRKIHKIDEMSLSANQGREEMERKRLVWKVEGSHDEPKVLRGGPVDPQKLVVELAPMEIRTFVIKFGSQGSTASW >KZM95794 pep chromosome:ASM162521v1:5:35651189:35655671:1 gene:DCAR_019036 transcript:KZM95794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALCIRPKPWLFSFLSLTSSTQHRLIQSPLKLFTTNTENCRRHNHSTACRIGCYRGGGAASIWHAILPAGGNYIRPPAFHEQKGEGSWNVAWDDRPARWLHRPDSAWLLFGVCGCIGGAREAVDSTSDANNLSLCLNLDAEEEKSEDSVVCSEEKVNYRVTGVPADGRCLFRAIAHVTCLRNGEEAPDENRQRDLADDLRAEVVAELLRRRKETEWFIEGDFDTYVERIQRPYVWGGEPELLMASHVLKTKISVFMLDRSSDSLINIANYGEEYQKSDEIPIKLLFHGYGHYDIVEVPNNN >KZM96345 pep chromosome:ASM162521v1:5:40253421:40254587:-1 gene:DCAR_019587 transcript:KZM96345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSAQNQQITASRLGGLRKTSSSIGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKAAIDELEELPAWTPTTGSIPIINPPNQDQSMHHQLQQQQQQQQLHLQQHPPNDNSSSFLPASMDSDSIADTIKSFFPMSASADTNTSNAANVQFHQHNFQQHPRSTSQNQDLRLSLQSLQDPMLLHHQNQHEQTTGLFSGTHQMSNFDGSGWSEPPSHDISRFSRMVSWNAGGDTGGSGVEGGAFVFNSPSSSMQMQQQQQALYGQTQLLFSQRRPLQSSNTPSICAWIDPSTYDHQAASSFPYQPSSMSGIGFASAGEFSGFRVPARIQGEEEEHDRVSDKPSSASSDSPQ >KZM93059 pep chromosome:ASM162521v1:5:2046806:2047843:1 gene:DCAR_016304 transcript:KZM93059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLYFSSYCAISSPSEHRGSESLASWQANLLFEPVKFEIIAFTLAQDLCIKYSFKNNKRFSTCVNLLVQNAFLHWTY >KZM93141 pep chromosome:ASM162521v1:5:2754925:2758157:-1 gene:DCAR_016386 transcript:KZM93141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEETELPQTRQELAMEGQKQLEETIEAGFQILSAMNDELCDPTLWSTPPTYNSNSNSNTTSAVLNHQSLSNSSNGEVSYDSTTGSVAQHFEMGGGALDEARLRYKSSVAALRNLLAAIPNSQAKAYEMDSTDGSISPMDEDDTEKLEERAATLRKELANKNKYLKVLIDQLRELINDVSTWQSPCSV >KZM94359 pep chromosome:ASM162521v1:5:21422244:21423388:1 gene:DCAR_017602 transcript:KZM94359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVECAHNVKVLGSGLQTIVLAHGFGTDQSVWKHLVPHIVDDYRVILFDNMGAGTTNPDYFDFDRYATLEGYAFDVIAILTELQVQSCIYVGHSVSAMIGSIASISRPDLFTKLILVSGSPRYLNDVDYFGGFEQDDLDQLFEAMQSNFKAWCAGFAPLAVGGDMDSAAVQEFSRTLFNMRPDIALSVAKIIFMSDLRHLLPLVTVPCHIIQSMKDLAVPVVVSEYLHQNIGGKSIVEVMSTDGHLPQLSSPDVVIPVLLRHIHNDIVV >KZM94101 pep chromosome:ASM162521v1:5:15640353:15643048:1 gene:DCAR_017346 transcript:KZM94101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQYTMLAALTPQTKNHSVLVRVTRTWEAVNRKTNTILHTNVVLLDEKENHMLAIIRNNQRDTFGKQVEEGEVYSITNMKIVPGPKSYRTIDSELSINFFYNTKFKKEFDGRIIPRFKFELQPFNQIKNLVGDVRSFIDVVGMIKTYGKLERRSNGTKKMDVMLTNNRNEDMVVTLWEQHALSFLASIEAHETAVFVVITRLLAKKLSSNASLSTMDATRVYYNIDYEPLNKLKHEIYRVVVRAEDDLGTTSFTLFNKEAEQIISVPIQTLINEEGEKQTMKDLPTAIKNLIGRQFAFHIKVTTYNMTHGCEEYTVTHVTDCSSPSMTPNNPIEEENRKKKKKCD >KZM95788 pep chromosome:ASM162521v1:5:35603909:35604280:1 gene:DCAR_019030 transcript:KZM95788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEKERLAAASAAEEMMAMILRVQNEKSLVKMEAKQYRRLAEEKQLHDKDVIQSLKWIAVKYKTERNWLEGQVRLMKQKLKLDSEDAEEDQLEGIAESPCFRGASCGDDFEDGLISSLDNGL >KZM93745 pep chromosome:ASM162521v1:5:10111557:10111820:-1 gene:DCAR_016990 transcript:KZM93745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQCLKDSEEEEAKEAEEEYDRMLEEEGHTREELHSVFQNFMKELKELEEEEDDDDVKDEELT >KZM96416 pep chromosome:ASM162521v1:5:40824914:40827062:1 gene:DCAR_019658 transcript:KZM96416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEGGVAVKTPENKAKQMVAKSTWNEFVAEAKNISLIFFPMLLVSTMQYLLRFLSTLMIGHVGKLSLSSAVVSMSFTNVTGFSLLYGMSGALETSCGQAYGAKQHKKLSIYTYGAIISLLILCIPVTILWIFVEELLIIIHQDPQISHEAGRFAICSIPALFSYAILQPLVRYLQSQYLLLPLLASSLSTLAFHVPVCWAFVFKFRMGSDGAALAIGLSYCFNAIFLGLYAMFSPKCADTRAPFSMEVFSTIKDFFKIGIPSALMVCLEWWACEIIIFLAGVMKNPQVETSVLSIIITVVLLHNFAPYSLSVAASVRVSSELGAGNAKAVRRTVWVVMVLGVTEMSISALVVFSLRNILGRAFVSDNQIAAYVRRMTPYICLTMIFDSIHRILSGVARGTGWQGLGACVTLGAYYLVGIPVALLLGFLVHLRAKGLWIGLLTGGLVQSLQLAIITSLSEWQKEVPFSKLASSRIILTFHFKSIRKIKG >KZM95385 pep chromosome:ASM162521v1:5:31646369:31659119:-1 gene:DCAR_018627 transcript:KZM95385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAELCELLTELGYEESAVDPDSLEWPFQYEESRPILNWLCSTLRPSNVLSPSELNQYEQFLQQGQLLEGEDLDFAYESISAFSTRRDNQEAVFGTEEGVKDIRDATSALKAEALGLQRQLKHLQSHYDMLTGQTSAIIQGRRSRVAATSTVNGQLTSIDDSLSARNLEMNAVLESIASTAEELTHYHSGEENGVYLSYSDFHPYLLVDASYMGDLNEWFSKKLDTGPFRLVAEEGKSECSWVSLDDISDTLIQADKEKSHHQRVSELQRLRSIFGTSERQWVEAQVYNAKQQAILLALKAQVTSDEAHVHHDIHSLRRRHVELSGELTNLYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEHYIYWQKVFIGHLINQLARHQFLKIACQMEKKNMLEAYSLLKVIELEMQGYLSATAGRVDRCMALIQAASDVQEQGAVDDRDTFLHSVRDLLNTQANLSTYVSAPGIAQQISGLHSDQMILQSDLEQALPEDRNRCINELCTLIQSLQQLLFASSTTAQPNLSPRILMKEMDEMEKANAELAAAIETVSFEHRKKNEIVKHHSQEIALQRQVFVDFFCNPDRLRDQVRDLTARVRALQGS >KZM95650 pep chromosome:ASM162521v1:5:34159688:34163155:1 gene:DCAR_018892 transcript:KZM95650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMQTKKTALCIVTKTPTNYYYETSTGNKFRSLREVERYITGEVYTPRRSRSKTLRIGYRGSRGKSQNSVYRKMVVSGGKSQNSVYRKMVISGGKYYYEPGTGRKFRSLLSVRRHLAEQNESSTLSETLAELKEYNLPLSKAFKIGSHVKSSNSLWKNTIPREKVQSATFNSPPLKINWVIASAKGDSWNPFIGGSLVPESVKQQWSKRFMMTVSEGSYSAPDI >KZM92906 pep chromosome:ASM162521v1:5:669579:676187:1 gene:DCAR_016151 transcript:KZM92906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRIRPAAAAAPSLRRISSTYSAQSTTAQIAPDTPQESEIIFVGTGTSEGIPRVSCLTNPYKKCEVCSKAANPGDKNKRLNTSILIRYSQPAGNLNILIDAGKFFYHSALRWFPTIGIRKLDAVLITHSHADAIGGLDDLRDWTNNVQRNIPIYVANRDFEVMKKTHYYLVDTSVVTPGAAVSDLQFKIINEEPFVVYDIKFTPLPVWHGKDYRSLGFRFGNICYISDVSEIPEETYPLLKDCDLLIMDALRPDRSSATHFGLPRALEEVRKIQPKRTLFTGMMHLMDHEKINEQLIKLKDSEGLDVQLSYDGLRVPVTL >KZM93293 pep chromosome:ASM162521v1:5:4541848:4544652:-1 gene:DCAR_016538 transcript:KZM93293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGTFLKVIFKNFDVLAGPVVSLAYPLYASIRAIETKSTVDDQQWLTYWILYSMITLFELTFAKLIEWIPIWSYAKLIVTCWLVIPYFSGAAYVYEQYVRPFYQNRQSVNIWYVPSKKDVFSKPDDILTAAEKYIKENGPEDFKNIIHQYRNTSILVSKEFAKAQFTADLIVLAIGHQVPRVAITSSQARITDIEILITWQDVA >KZM94805 pep chromosome:ASM162521v1:5:26089007:26091624:-1 gene:DCAR_018047 transcript:KZM94805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHFLGVVTTLLFVVHNLRATDADLSSDRQALLDFAAAVPHQRKLNWNVSVPVCTYWVGIQCNKNGTRVIAVHLPGVGLYGSIPVKSIGKLDALQVLSLRSNYLIGDLPSDIPSIPSLQALYLQHNNFTGHIPLSLSPHLTAIDLSFNSFSGIIPPTLENLTKLTLLDLQYNLFSGAIPSLNNTRLRLLNLSHNQLNGTIPNVLEKFPTSSFIGNSLLCGPPLTNCSALSPSPSPSADSSPAVAKHHGSRHFGKFGLGSIVAIVIGAVLILFLVFVIFLCCFKKKKSEVSIVSKPKVTNNGKNEKSEEYFGSGIQASEKNKLFFFEGSTYSFDLEDLLRASAEVLGKGTYGTAYKAVMDEGTTVVVKRLREVGVAKKEFEQHMEILGKISRHPNIVPLCAYYYSKDEKLLVYEYMPASSLSVCLHGNRGTGRTTLDWESRLKIALGAARGIAHIHSEGSVRLTHGNIKSSNVLLNRDLDGCVSDAGLAPLMNSVPAKFRGPGYRAPEVIESRKVTQKSDVYSFGVLLLEMLTGKSPIQIAGHGNDEIVDLPRWVRSVVREEWTAEVFDVELMKYQNIEEELVQMLQIALACVAKTPDMRLSMDEVVKMIEEIRQCDSENRASSDDNNSKNSNVQTPTP >KZM95460 pep chromosome:ASM162521v1:5:32311924:32318918:1 gene:DCAR_018702 transcript:KZM95460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVLVAIIVSILVFIFNIYLLINYQHPDDKNQAYFPKFVVVFGLTVAFLSILMLPADVANRQACKHAIYNGACNFTLPMKDLWLAIYIVDAILVFFVIPFAMFYYEGDQDKTIGKRMKSALCWVVTTAIVVALVLGILYGLVGKVDFTVKHLTSDTTTFTNNWSSFTSGQQCIGNGARQCSAFTSNSSSETTWTQRATFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKELKKAADALHQEERSGSKGRKWRKNTKALEKELLLLEEDVKALEEMYPQGEKAETTWALTVLGYLAKLVLGILGLIVSIAWIAHIVIYLLIDPPLSSFLNEVFIKLDDIWGLLGTAAFAFFCMYLLFAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCSTAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAGLTFVYYAAFGWRRKKPSGRFQLSS >KZM93627 pep chromosome:ASM162521v1:5:8606528:8608912:1 gene:DCAR_016872 transcript:KZM93627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDESLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRGILAARSLFFRKFFSEAADGGGSSRISGGGGALASSPRGGPGPQHVIPVNSVGYEVFLLMLQFLYSGQVSVVPQKHEPRPSCGERSCWHTHCTAAVDLALDILAAARSFGVEQLASISQKQLESIVEKASIEDVMKVLIASRKQEMHQLWNSCSHLVAKSGLPHEILAKHLPVDVVARIEELRLKSALIHRSIAPHHHANPSSNFEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGQARKTPLHIAAEMVSPDMVAVLLDHHADPNVQMAEGITPLDVLRTLTSDFLFKGAGPGLTHIEPNKLRLCLELVQSAALVISREEGGGGSGGSSGGNLNVDSRMVYLNLGAAAGSGHMNDQEENQNNQRDPCMYHRSHHHEF >KZM94796 pep chromosome:ASM162521v1:5:26020308:26026759:-1 gene:DCAR_018038 transcript:KZM94796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLPTSTPPPITTATHHNGVVSLNQPHHLLFPNAGSVHGFPPFNRSSGDPGPKTTRELTAGFIDESNRFFQTVEFGRPVYAAPVHAWNVNDGNGLDGDDDDDDNDNDDEDDEYNEDEDVKFSGPKGSDRGLLVKERNVVAMGNSNDNDISNCNDVGGSLRGNQSVTITGTDADLYYSDRYVHGQEGSTSMGRKDGSFGENGCGLSSRKDVLYSTESGESLRKILSDPLTGSLMDDAMILPCGHSFGGGGMQHVIKMKACYTCSQPVSEGSVANNLSLRAAVQAFRREEEMQSHRASKRRKEKFEQDKSNYGDASLADQPRGKGVQFPFSLTDRVIIKGNKRTPQRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVPDDTTTKPPSTMLTPNWL >KZM94552 pep chromosome:ASM162521v1:5:23529135:23538388:-1 gene:DCAR_017795 transcript:KZM94552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCCSSHIQTPGLCSCGFSPVLRTSWTDANPGRRFWGCSQYVAGSRFASHPWQRIILQCSYHCDDSNWRAGDGPAAPFCLARINVAAWQVRGQLRILIISYRQAKAISDCSEALF >KZM94749 pep chromosome:ASM162521v1:5:25492031:25492846:-1 gene:DCAR_017991 transcript:KZM94749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSGSTVTLWEGFIHVALYHWGQTQYLCSYTSADALSLDLSVLAVNLMHIVIKTVAATNATYRKACLVGANLSSSVSEGGLDVNHKTTFRHLLDTVNKESRFTDAISRVEAAVQNHEDPLLDIRWLQHLSSEGEMVEGSKWNSPFIETGAYSYLVGQHIDKAESVFTHASSKFSSEVIFENVEHSYKMLLEKYKRARNQYRDGMLSLHCKEGET >KZM93253 pep chromosome:ASM162521v1:5:4064133:4065912:-1 gene:DCAR_016498 transcript:KZM93253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKGEFRRLFGEVKELWMLDMGLGTSKAGGPGERRQ >KZM94922 pep chromosome:ASM162521v1:5:27034011:27037722:1 gene:DCAR_018164 transcript:KZM94922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIKPPPPGFDFRTEYLLDSRETIAQTHPELLDLADNGTLVLIRKSQFGPVPGWRTEFVEPEVIWLIGTSHVSSQSAVDVERVVRTVKPDNVVVELCRSSLNSLVAEFIFRAGIMFTSNDNENGPKLKSNMFSLSGDGFFGAVGRSLNLGGQTALALRLLLAILSSKVSTDVKRPFGDEFRVARKTSEEVGAQIVLGDRPIEITLERAWNSLKLSEKVNLIGSVVRGITLTSDISSDTLKESSSDNSNFQLYEQLSFSFPSLLQPLIHERDTYIAWSLKRSKAVNSSKKVVGVIGKGHMNGVIYALVSDSGELRFRDLVGQRSSGNENGGWLDTLAKNLVRDTVIGVLLWALFEQLNTGVWNIDFASWSEFLGERWAL >KZM95614 pep chromosome:ASM162521v1:5:33880451:33881498:1 gene:DCAR_018856 transcript:KZM95614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASSLLAPFARRSESKILLANNEIFRNLMDVNVYGTFLSCKHAARAMIPAKSGSIILMSSAASVSSGPISHGYLASKHAIVGLTKNLGVELGKSGIRVNCLSPFIFDTELSRKEFGLDDEEAVKRFVSDFSNLKGVILDAEDVAAAAVYLGSDEAKYVSGLNLVIDGGYSTTNEAITQAIMRKNSYKLSRIETHYTLLGVCLRVLQLVFYVCFCFFKV >KZM93764 pep chromosome:ASM162521v1:5:10536419:10544999:-1 gene:DCAR_017009 transcript:KZM93764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMEEEQSDIERKQSLYNSMDEEFKIQKEMYRGQQYSQIYFARLHLMRTLLYSLVPTWKPHLPVCTVLGLEGDKECVIVGTLYKHMKLKPCVLDEYSKERSVTPLVQPHNFVHSDDYLILEDESGRVKLSGTTLSPSFYVTGIVVALHGKETGAGDFLVEDVLEAGLPPQLDPPNKLGEDKYVVFVSGLNLGSSSSNPLQVQLLVDHITGHLGDEKEQNNAAQIVQVVIAGDSVEIPRELLNGQNLAPKDQSRLSEPIKEVDILLTQIAASIPLDIMPGPNDPSNFAMPQQPLHRCLFPSSASYNTFKSCTNPHSFELDGVRFLGTSGQNIHDFEKYSEGSEEVEFLERTLKWRHIAPTAPNTLGCYPFTDRDPFFVESCPHVYFAGNQNKFGTRLITGSDGQVVRLISIPRFSKTGTAVMFLPGSVSVDSRNSKLSEKEAGQVPVLASSDELLSKFAQVGATSNKLALTLVTNKKNKKLQLSSKRQRSIDRSNPDCESPTGVSVADRKLLLPPANKRGAATFIRRLGIGKAKLRSRNINNKSLLGGIEKTWRKTLKGASKILIEKHYNQHKRLISDIA >KZM95108 pep chromosome:ASM162521v1:5:28751285:28753477:1 gene:DCAR_018350 transcript:KZM95108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALVSVIRVCSAGSKFTVLNKFEPFRLLSSTASLLALETSSFKENQLVSADECIGETDDGNGRTVHATGACISVESNTANGRFCPVFQRDAMFKSVNDENNSMYWLGPEDIGRLKRIKLILSSFGWNFCFCNYGYGNIEIDQHNVIQILNKIYGESRDASLAFFFYQWSQFGRDPKHSLPTVCTMIYILIDGNMNHKTIDLLFLLVRENDREDQWLLLMEVLHETHIDAKALGTAYSMLVNCYVEENRLQIALELSRQIKRYNLLPSKGVINSLIKGLIQSEHIELAWDYLEEIQIQGLVADASIMSLFIQSYCRKGNLKCAWKLLMEMRNHGIDLDVVVYTILINALCKIRLTREATSLLFKISYMGITPDAVCVSSVIDGICQSGGFDKAINILKIFRLPPNIFIYNSFIAKLCIDGNMALALNIFQEMMESGLHPDCYNFTTILEGYCELKDFNGALMFWGKMLKSGIKASVATYTALIDGYCKSGDVYTAEYFFLAMIKEGLLPDVAAYNTLIVGYGRNGNLYKAFDILDMMKCAGISPDIVSYNAIIRGLVLQGYVNEAKDILQELVCRGFSPDVVTFTNLISGYSNKGYFKEAYLMWFHLRDLHMKPDVVMCSALLYGYCRVHLMEEADALFLRMLGIGLVPDVILYNMLIHGFCYVGCIEDACRLVDMMVNQGIIPNDVTYQALTFGYKKNRARHPVETAVYKVQKILQKYGYSTDVGCLYI >KZM95255 pep chromosome:ASM162521v1:5:30570929:30577310:1 gene:DCAR_018497 transcript:KZM95255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARGWLQKLQPRDRGKSSAKKKGSEVSDDDETKETKPLTDEEASNVTKQRVAAAKQYIENHYKEQMKNLHERKERRTLLEQKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGADDFELLTMIGKGAFGEVNIVIYACSMLVLIRWXREKATGHVYAMKKLKKSEMLRRGQVEHCKAERNLLAEVDSNCIVKLYCSFQDSDYLYLIMEYLPGGDMMTLLMRKDTLTEEEARFYIGETVLAIESIHRHNYIHRDIKPDNLLLDKHGHLRLSDFGLCKPLDCSTIEEKDLSSADSPTGSSKNNVRSPPPKRTQKEQLQHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYSLECDWWSLGAIMFEMLVGYPPFYSDDPMTTCRKIVNWKTHLKFPEEAQLSSEAKDLISKLLCNVNQRLGSKGADEIKVHSWFKGVDWDRLYDIEAAFIPEVTDELDTQNFEKFDEARSEHQAEASARSGPWRKMLSSKDVNFVGYTYKNFEIINDYQVPGMAELKKKNTKPKRPTIKSLFEDESETSDTSEERKPQGGSFLNLLPPELEVSDKQSSKSA >KZM95466 pep chromosome:ASM162521v1:5:32355131:32355827:1 gene:DCAR_018708 transcript:KZM95466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHETLGTGNTSGLGVILPSRNKVATYLALLGAELFTRVFLFTEPLGRAAELMDLDIGLGPHDPEFLEAEMAEDELEQLEVVMANVDDGPLVLGLAATFLGVAGFQLAPPVPENQEPDIQDVAYEDDLDVVDGAVIMQA >KZM93161 pep chromosome:ASM162521v1:5:2960379:2964197:1 gene:DCAR_016406 transcript:KZM93161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHAVSCLSEPGLPESYYILTRIGEVKETGMNSREREGLVVCGDRNLGVGLTIYDIESGDRSLHISTCASPRYGVTCLRNQYLVASQIHRPGCVAGGIIFTWSLNKAPLRSYTVEVIGPLCCTKDGVYLAGGAPSGTIYIWEVTTGKLLKTWHGHNKSLTCLLFSDDGSLLISGSEDGMVVVWSMISLLDPMNNESCSSVLSCLSAHTSSVTGLLTTSCGLSSVFLSGSLDGSCKAWDIFSGTLLQTRTFATPITAIVVDPEEKLLISGSSDGRIFVNTIEVGLLEDPYHISDDQQIVLKGHTESITALTFCGLGLISASEDCTACLWDVVDWVIIRTFEHQKGAISNLLVMPQSSLVPLKSHQRPSNSFRCSLLEKYTQASPTSNGMAPLLPSRDHEIMEEYCTTDLLNQHITDLQEDHTVEAMQMKVDTNVENRAWITTMTKHTMRMNKQLQVKLLDIAEHRLKELEKTESPADEPKKKKTKENGPPIDGGKQPQH >KZM94710 pep chromosome:ASM162521v1:5:25176980:25183373:-1 gene:DCAR_017952 transcript:KZM94710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNELTHMKSMQTKVFLVHVTSPDLASRLFSLARDMGMMSQKTAWIITDALSNSLSSIKSTTIESMEGVLGIKYYEPKLKDMEYFKIRWIKYMWKQQQQQQQKLSYKETTSTDLNIFCWRAYTTIWALTRAVEKIQFPEVGSYEKKIASCTSITNLRISEAGPGIVKELMKTRFHGLSGEFKLKHRQSKAAAFEIINIVGSRDITVGYWTKGKGYSRRIVSAGVDDHGVVYSEGIDGVLKPIIWPGESTKKPKGWDVAGLGQKLIEGRGSQEKSIDVFKAAATLAALPFELEPEFIPFVNDNGGTVGTYNDLVNKINGSEPPCYDAVVGDITITSDREEKVDFSLPYSESGVVMVVKAEPNKLKNMWIFLKPLSWDLWLMIVVAAIFIGLVLRTLERRLNPQRQLGMLSYTANLSSILTVSQLTPSGDKIDCAGFQEHSFVEDMLKNMSIKSVKCKTMEDYDKFLSLGCKKGGVDAIFDEMPYIKLFLHKYGSKYKMAGATHSTGGFGFAFPARSPLVKPISKAILKLMEKGTIQDIEKDYFGEGYISGFKDEDISREGSSLTTYSFAGLFTITGFLALLALVCSECSSTISRYLDPDGADISEVNSVDEATGVISPEGGQQDSMVQVQITGQEVESDEQQVLQESTTLNIHPADDG >KZM94657 pep chromosome:ASM162521v1:5:24638496:24639050:-1 gene:DCAR_017899 transcript:KZM94657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMTSTVEITLRKFKSSDVEAFMEWAGNPNVTQFCRWDTFSSKDDALNFLNGIIKSHPWYRAICINDSPIGSIYVMPGNAGKDERRGEIGYAISEKYWGSGIATKPVKMVCSCVFKELDYLDRVEALVFDVNTASQKVLEKAGFYKEGTLRKYFFVKGESRDIVVYSILNPDRYDVDCGFVQA >KZM95059 pep chromosome:ASM162521v1:5:28278038:28282069:-1 gene:DCAR_018301 transcript:KZM95059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDSEILAQEKAHASSTSNQEVDLDELMDDPELEKLHADRIAALKKEAEKRQSLKKQGHGEYRDITEGDFLGEVTGCEKMICHFYHREFYRCKIMDKHLKTLAARHLETKFIRLDAENAPFFVGKLGIKTLPCVILFRQGIAGDRLVGFQDLGAKDDFSTRTLEALLIKKGIINEKKEDENNVYDESRRRTVRSSAYSDSDSE >KZM94353 pep chromosome:ASM162521v1:5:21346284:21356089:-1 gene:DCAR_017596 transcript:KZM94353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADEQIITLDVDRDESVENLKALLEVETQVALQQQQLLYNGKEMRNGEKLSVLGLSDGDLVMMVSGSSTPSPSANELSFNQDGSAVNPAAFQQHIRGDSNLMSQLFQTDPQLAQAILGNDLNKLQQLLRERNRHKSEMRRQQDEELALLYADPFDVEAQKKIEAAIRQKSIDENWEAAIEHNPEAFGRVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDPRFKGIAHGVGQSEILGRIHVAPIKIGSIFYPCSFLVLDAPNMEFLFGLDMLRKHQCMIDLKDNVLRIGGGEVAVPFLQEKDIPPRYLDEERFAQEASSSGAQVTSGATEKSAVPTGGQSSGAGSGSATQGSEFEAKVTKLVELGFGREAVVQALKFFDGNEEQAAGYLFGG >KZM96454 pep chromosome:ASM162521v1:5:41095788:41097143:-1 gene:DCAR_019696 transcript:KZM96454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLSSFLVILLLYISSPVSLTQTLTGVKLPLIHRLSLPENSNETFQQLVEINLKQLEMEFATLDDQEEFSLETPMIRFNRCLYFVNLTIGNPPVQQYLEVDTGSSLIWVRGGTDPLRRDDYVPSESYTFRQMSCVDPICTWNNTFKCLGQKINQCGYTVWYGDGTNSTGNIGYDQFGFVNYAEPKSHSFVDNVVFGSLGKIGNGNVATKDANFYGILGLGTQSISLVNQLPGPKMFSYCVSNLSSADGSEGYIHFGEADDYTGDLPTTPINQGYWQYIIEIQSICLGNVCLAIDPSVFKAIPGVKSGVSIDTGAIYSFLPDIAYDAVEDAVIKLMKSKNKTYVPEIYNIKSILCYDGKLDGDESSYPTLTINFVGGGANMEITRNVYLHEASPDFHCLSFQRSSRFGERYKKYTVLGLLSQQYHVFEFNLDSWTLGILGDKFCNDPIL >KZM94990 pep chromosome:ASM162521v1:5:27722500:27724374:1 gene:DCAR_018232 transcript:KZM94990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGEEKLIAVARHIAKTLGHTDNTADDILQIFSNFDGRLREKLSEKLIDDDDVLKNSSLSSLDQNLKSLDRRISRYLSADRLIWSDSADSAAFLDAVDDLIAVIRDWTPMAAEKSVASSLDRAEDLLQQSMFRLEDEFKSLMERGGESFDVTRYSNGESTGFDSDEEIDDDENDDDEEIPVAHRISDFNIVIDALPSGTINDLHEIAKRMVIAGYGKECSYAYSSYRREFLDESVSRLGLQKLSTDEVQKMQWADLEEEIERWIKAANVAVRILFPSERRLCDRVFYGFSNASDLSYMEVCRGGMTQLLQFADAVAIGSRAPERLYKVLDVFEALRDLIPHIQMVFADQYCLFMCNEAISIWKKLGEAIRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYIRVACQSRQTLEQVFEDGVSSPVDRPSSSSSLSVQMSWMMELLESNLEAKSKIYRDTALASVFMMNNGRYIVQKVKDSELGSLLGDDWTRKHTAKVKQYHVNYQRSSWSKVLQVLKLDNNSSSPTVELRAMKEKIKLFNNQFDEICKIQSNWVIFDEQLKQELRISVFSNLSMAYRSFLGRVQSVPDTGRQMERHIKYTVEDLESKINELFRGNGRRK >KZM93225 pep chromosome:ASM162521v1:5:3869235:3873035:1 gene:DCAR_016470 transcript:KZM93225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHLFFTTFFSVLVLASSAVNPQGQALLSWKKGLKGSAEDSLRDWDASHDTPCKWFGVSCDQNNQVVELSLKYVDLLGNVPSYFNALGSLKRLVLSGTNLTGLIPKEIGGLQELVHLDLSDNALTGEIPSEICHLPKLQQLLLNSNHLEGSIPDEIGNLSSLIWLILYDNQISGAIPSTIGNLKKLQVIRAGGNKNLEGPLPQELGNCTDLSLIGLAETSISGFLPPTLGLLKKLETLAIYTSLLSGPIPPELGDCTELQNIYLYENSLSGSIPKHLGNLKNLQNLLLWQNNLVGTIPPELGDCTQLLVIDISMNTLTGSIPTTFGNLTLLQEVQLSVNQISGPIPAQLGNCRGLTHIELDNNQLIGSIPSEFGNLENLTLLFLWQNQLQGEIPASLSNCHNLEAIDLSQNALTGPIPKGIFQLQNLNKFLLLSNNLSGVIPPEIGNCSSLIRLRANDNKLTGSIPPQIGNLKNINFLDLGSNRLSGVIPPEISGCRNLTFLDLHSNSIAGILPPNLNQLNSLQFLDVSDNMIEGGLNPSLGSLNSLTKLVLAKNRISGPIPDQLGSCSKLQLVDLSTNLLSGEIPASIAKIPGLEIALNLSWNKLSGEIPSEFGELDKLGVLDLSHNSLSGDLKYLADLQNLVVLNISHNNFSGHVPDTTFFSKLPLSVLAGNQALCYSGNQCPDDKFGSSKHGGARVAMIVLLCAACALLLSALYIILAGRKQDRKSHDNDLESQDDVELGPPWEVMLYQKLDLSIADVARSLIVNNVIGRGRTGVVYRATISSGLVIAVKRFRASEKVSASAFSSEIATLARIRHRNIVRLLGWAANRKTKLLFYDYLGNGTLGSFLHENNGGVVDWEIRFKIALGVAQGLAYLHHDCVPAILHRDVKVQNILLGDRYEPCLADFGLARLVEYDHGSFSANPQIAGSYGYMAPEYGSSVKITEKSDVYSYGVVLLEIITGKEPVVPTYPESQHVNQWVRAHLKRKQDPVDIIDAKLQGHPDAQIQEIIQALGIALLCTSERAEDRPTMNDVATLLKEIRHDSPAGNEAIKPAASKLLSKTSDVASYTSTSVTPAQLMQFKGSSNSSLAYSSSSANYPSRN >KZM93507 pep chromosome:ASM162521v1:5:7346111:7358048:-1 gene:DCAR_016752 transcript:KZM93507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKNYQNTFYCLFVVAVLGLLYGSSASGEYLIGVGSYDSTGPAAGVNMMGYGNMDQVTGGVHFRLRARAFVVAESSGGSRFAFVNLDAGMASQLVTIKVLERLQKRYGKLYTEENVAISGTHTHAGPGGYLQYVVYIITSLGFVPQSFEAILTAIELSIVQAHENLKPGSIFINQGDLVNGGVNRSPSAYLFNPPEERARYAQNVDTQMTLLKFVDGKSGKSIGAFNWFATHGTSMSRDNKLISGDNKGAAARFFEDWFSSSTNSTSIRQNYFNNKFNKKAFIQKASEIKATGGQPCGNSTSQESKVRKNDGTRFVGAFCQSNVGDVSPNVLGAFCTDSGLPCDFNHSSCHGNDLLCVGRGPGYPDETLSTQIIGERQFQKAVDLFTSAKEELTGKIDYRHVYLNFTDIEVELEGNKVVKTCPAALGPGFAAGTTDGPGAFGFQQGDTKINKFWKKLRDVLKEPSQYQVECQKPKSVLLDTGEMSWPYAWAPAILPIQILRLGKLIILSVPGEFTTMAGRRLREAVKQTLITNGNGQFDEDTQIVIAGLSNTYSQYIATFEEYKQQRYEAASTLYGPHTLSAYIQEFNKLAKSMAKGENPPTTGLLPPDLSSVQISLVTDPSGDSPPPGKKFGDMQQDIKQPKSGSFRKGDKPSATFWSPNPRYDLLTEGTFAVVEMLQGQRWSPAYDDDDFSLYFKWNQDNSTLFGLGNIEWEVPEDVISGVYRLRHFGSSKKTKDSPVEYFTGASSAFTVECFYQVFEDEIHRVIVDNHLFKPGERIAIGASGGKDSTVLAYVMAELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKVVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKVVTGHNADDIAETVILNILRGDIARLGRCTSITTGEDGPIPRCKPFKYTYEKEIVIYPFSMSIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGEDFRIATSTKMPEQGTCERCGYISSQKWCKACVLLEGLNRGLPKLGIGRRGIDNERNSRKSIDNKKCGSLDF >KZM93075 pep chromosome:ASM162521v1:5:2161539:2164674:-1 gene:DCAR_016320 transcript:KZM93075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSVKILKGGEFDIRAQPTDTIMAVKKIIEDIQGKDKYPCGQQILIYKGKVLKDESSIAEIKICEDGFLVLMLSKLTSAPGPAPSANTSPAVEAPSSTAPVTYGQATSNVVTDPDLEQTTQHIMDIGASPISTSPNISPLNMFPQGNLSGATGGGLGLLSFLRNNQQFQALRSMVHTNPEMLEPMLEELGKQNPQILRQIQENHTEFLDLVNEPVNSSEGNLFDQPEDTPLAISVTPAEQEAIERLEAMGFDRALVVEAFLACERNEELTINYLLEHSGDYED >KZM96082 pep chromosome:ASM162521v1:5:38076647:38081179:1 gene:DCAR_019324 transcript:KZM96082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHEDGEAEITGELQDPGFYVLRRISNRSISSMMRTLEISDQCTPPEYHLALVQIIRQQLRRLQSKLLTMGVSLTLPGAILRFSLSIMCFLLHLASATASNAGAITRHYEFNIKLQNVTRLCHTKSIVTVNGKFPGPRIVAREGDRLLIKVINHVPNNISIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLFWHAHISWLRSTLYGPIIILPKLNVPYPFPKPHKEVPIIFGEWFNADTEAIITQALQTGGGPNVSDAYTFNGLPGPLYNCSSKDTFKLKVKPGKTYLLRFINAALNDELFFGIANHSLTVVEVDAIYVKPFETETILLTPGQTTNVLLKTKPNYPEASFLMTARPYVTGSGTFDNSTVAGVLEYKGSSHHSTKKLPLFKPTLPSLNDTSFAANFSKKLRSLATAQFPANVPKKVDKQFFFTVGLGTSPCGLKNQTCQGPNGTRFAASINNISFIQPTTSLLQSHFLGQSDGVYKPDFPVSPLQWFNYTGNPPNNTLVSNGTKLMVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGDYNPNVDPRSFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWLVLDGKLSNQKLLPPPADLPKC >KZM96181 pep chromosome:ASM162521v1:5:38899896:38902296:1 gene:DCAR_019423 transcript:KZM96181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADMMDTESPAPTAPATDEDSIRKLLEVARQLVDMGNPSQALHAVVVATKNTGGDEAVVKVMDRARNLYRNKLQTSGAADELASLFAECAIAEAASSKTEAARPKTEPSQSSTVGQSFELDAQGSSILAEAGRKQIMLDAFADGSSFVCLQCGGLVASERKDEHYAYWCCKI >KZM93133 pep chromosome:ASM162521v1:5:2672128:2674164:-1 gene:DCAR_016378 transcript:KZM93133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGKKLEEKKEEEKPMKTEESEKKEEEKPVKAEENEKKAEEAPKEPPPPPPPQEIVLRVYMHCEGCAKKVRRCLKGFDGVEDPPVITVVLKVHMHCEACAQEIKKRIERMKGVENADPDLKGSQVTVKGVFDPLKLVEHVYRKTGKHAIVVKQEPEKKKEDVKVNEEAKEEKKGDEGGDKDGKKAGEPAAPEAPEDGKEKKEEGGDKEAAKPEETAPPAEKEEAKMEVMELKKNEFYYYYPPQNYQIYPPRVATEMYAYPPAPQIFSDENPNACSVM >KZM93369 pep chromosome:ASM162521v1:5:5294403:5296262:1 gene:DCAR_016614 transcript:KZM93369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNASASAAERGEDSNNHNSKSPAKRLKDVEVSVPIVYGTISFWLGKKATETQSHKWTVYVRGATNADLGAVVKRVVFQLHPSFNNPVRAVESPPFELSECGWGEFEIAITLYFHADACDKPLTLFHHLKLYSEDEPGTQSIKKPVVVESYDEIVFPDPSENFFARVKNHPAILSYVSAPLGLHSVEEVNEAKEEETKDHPLSQWFKNFSEGDELSKLAAARQQIRYKILITVLAYAF >KZM93920 pep chromosome:ASM162521v1:5:12182466:12186499:-1 gene:DCAR_017165 transcript:KZM93920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEALVPIANGTEPIEAAMIIDILRRAEANVTVASVEKQLLVNACHGVNIVADALITDCANTTFDLIALPGGLPGASTLKECATLESIVKKQAAEGRLYAAICASPAVAFGSWGVLKGLKATCYPSFMEQLSSTATTVESRVQQDGRAITSRGPGTTMEFSVALVEQLFGKDKADSVTGPLVMRSNPGDEYTIAELNTVKWISSDPPKVLVPIANGSEEMEAISIIDVLRRAKAEVIVASVEDTLETVATRKLKIVADMLIDEASKLSYDLIVLPGGLPGAQKFASSETLVELLKKQKSSGKPYGAICASPALVLEPHGLLEGKKATAFPSLCEKLSDQSLIDKRIVVDGNVITSKGPGSALEFSLAIVEKLFGRQKALELGKTMLV >KZM93019 pep chromosome:ASM162521v1:5:1777318:1777746:-1 gene:DCAR_016264 transcript:KZM93019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSWECELRSIFSTRNEAAFILSRYALYNRENLVDMPSPISAIREQRTSMRVFRPHREMLIIQPNHGMGEVVTVDAKQEVNKTSETIIIEDEQGELQVSQVAQKRSGIVIRENTSTIQNRARVVANKGKERVHFGGSGSGF >KZM94025 pep chromosome:ASM162521v1:5:14006388:14014073:1 gene:DCAR_017270 transcript:KZM94025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRAVSRGLRIPARRSVAGETTKPQYRRLFSTQAQVGGSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMASDGSCQGVIALNMEDGTLHRFQASSTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLEDQEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPQKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTVKGNDPDAIVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQRPGAKQKPLEKDAGSRTIEWLEKIRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLAEGVKLIDETWNSFHDVQVKDRSLIWNSDLIETLELENLLINAAITMHSAEARKESRGAHAREDFTKRDDENWMKHSLGYWENEKVRLDYRPVHMNTLDDEIETFPPKARVY >KZM95888 pep chromosome:ASM162521v1:5:36520232:36522381:-1 gene:DCAR_019130 transcript:KZM95888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTTSSPVLPWKTRIAISVASFITDFTRRPNGTVNRRLLNALNVKSPTYPDPFNGFKSYDVTVDRTRNLWVRIYLPVTQDSEPAHLPVIFFFHGGGFVYMSADSRNYDAVCRRFCTKIPAVMVSVNYRLAPEYRYPAQINDGFDALKYLDENNKKDKLLPDYADMSCCFLVGDSAGGNIAHHVAVKAAGFSFQQLKASDASITFFLWLVIGLVAIQPFFGGEERTESERRLVKAPIVNISRTDWMWKALLPDSDRDHEAINVSGPKAVNISSLLEFPATLVVIGGFDILQDWQRRYYEWLRSHNKQATILEYPTMVHAFYVFPELREAGYLIGEIRQFVHNQMSALSKGVPFVSM >KZM96265 pep chromosome:ASM162521v1:5:39629502:39632632:-1 gene:DCAR_019507 transcript:KZM96265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEAVENYSSEEELSVLPPHTKVVVTGNNRTKSVLVGLHGVVKKPLGLGGWHWLVLTNGIDVKLQRNALSVVEPPSGNEEDDDLEFENVQWNGSDLGELASDDTQKSHRSNHRIRTSTGSSHKNEHKNVHKSLSCDAQSKRLTTSPSAHNDTQKSHRSSHQAHKSTRSSHKNGCKSASKPLSRDVQSKVDLSKLDRAALWKYYRHFNLMDVMPNPSQEQLLDIVETHFMSQKLDEVQVITGFVKSAKRLKTQTIGRRY >KZM95224 pep chromosome:ASM162521v1:5:30327356:30327799:1 gene:DCAR_018466 transcript:KZM95224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKYHQEDSTNSSSGEESDQVELHNSGLSRSYECVFCKRGFTTAQALGGHMNIHRKDKAKTRPCKDNKQQQDSYNGKVPAIFPVSTSLCSHDQNPLPGIEDYQLGLGLSLQFRTSKFRQEVLEDKRRGGLVEDDDLDLELRLGHNP >KZM95439 pep chromosome:ASM162521v1:5:32111721:32118659:-1 gene:DCAR_018681 transcript:KZM95439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTHFSWLFLTFLFQISLFLSLASGQCLDSQRSLLLQLKQSFSFDSSSSTKLVQWNQTTIDCCHWQGVTCKKSSGLVIGLDLSNEGITSGINSSSTLFRLQFLERLNLASNSFNSTEIPSGLSNLTSLVYLNLSNSFSGQVPNVFSRMQKLVVLDLSYSYSLRIENPKLSIIVQNLTQLSELYLDAVDLSSQGSDWSRAISSSLPNLRILSLTECHITGPIHPSFGKLRFLSVIELDGNNLNSPIPKSFENLSGLTALSLHSCNMTGVFPQRILQIPTLQTLRLSYNELLKGSLPEFPRNGSLRELFLYNTNFSGGVPESIGDMARLYEIDLSQSNFSGRIPQSMAKLTQLVRLNFAYNKFSGIIPSFGKFKNLTYVDLSNNQLSGPIPSTHFEGLDNLVHIDLSFNIFSGSIPSYLFAFPSLQNFLLHFNHFDGILSNFSRASSSQLKTLTLSSNKLNGPIPSSFFELKKLESLSLSYNNLSGTLQLERFQKLKNLNALDLSHNRLSITTSPSNSSTSLLPRIYLLYLASCNLKRFPDLRNQSELQNLDLSDNQIGGEVPNWIWNIGQGSLSSLNLSHNLLKSLQEPYVLPKLSYLDLHSNHLTGKIPLPPAIYEYADYSSNNFSSTIPPDIGYNISAAYFFSASRNNLTGSIPESICNAKNLAVLDLSYNRLNGKIPSCLLHKSKVLEVLNLGNNNFTGNVSKTFFEGRGLRTLDLHANQLGEIVPTALPNCTSLENQKNLLVQLKDGLEFDTTVSTKIVQWNKSTEDCCKWEGVSCNTSSGNVIGLELDGEAIQDGIYSSSSLYKFQYLQKLNLANNNFNSTPIPPGLFNLTSLTYLNLSNCGFAGQIPEGFSRMERLEILDLSTYFLSNKARLKIENPNLEMIVQNLKGLTELYLDGVNMTTQANSWSKAISSSLPSLRKLSLKRSFISGPIDPSLGRVRFLSELFLDQNNLSVTVPEFLANFKNLTVLHLSSCNLQGIFRKRILQVPTLNDLDLSDNKELQGSVPEFPQNGSLLKSLVLTFTNFSGTLPESVGNLRLLSRMEITSCNFGGMIPNSMANLRFLVYLDFSHNNLSGPLPLLQKSKNLTYLDFSHNRLSGTIPSTYFIGLDNLVHVDLGFNAFMGTISSSLFALPSLRQIKLSQNQFGGLLANFSEASRSQLDTLDLSSNNLNGSIPLSWFELKWLNILSLSSNQLTGSLQLDTIHKLANLTNLDLSYNHLSIETSHNSSSETLLPQYNTFRLASCKLKSFPHLGKQIRLSVLDLSDNQIRGAIPNWIWPIGYLTYLNLSRNQLVSLQEPYAFPRLSVLDLHSNQLTGRIPVPPETASYVDYSDNNFSSSISLDIGKNLTFALFFSVSSNKLTGTIPDSICEATYLQVLDLSNNNFSGVIPPCLLGQRLRESLALSTFDEGFFRGNEGLCGKPLNRTCAEPVPEAEPYNQDSSDMTVGIMISAVIGFFVGLEIVVMPLFYYKNWRAYYFEHVDRALAKILQKQSPKRKNQARKRRNGIHRAR >KZM95599 pep chromosome:ASM162521v1:5:33754978:33757220:-1 gene:DCAR_018841 transcript:KZM95599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGHQNRGGWITFPFIIASTAALSLAAGGWMYNLMVYLIQEFHIKSIDAALIFNLVNGCTSLIPVVAAIVADSFLGCYAVVWIFSVISVLGIKFLYLISSLHCLRPQPCQELESLCKSPTNLQFTALYTGIILASIGTGGVRSTITTMGADQFVKPKDQQVYFDWYFVATSLVSVIAATAMVYIEDNLSWATGFGLSVAANLFGLLIFLLGTRFYYRPKLDKSPFTDIAHVIVATIRKWNVPSSSKSEEYYCGHDAKMKKMKAEPTKSFRFLNRAALITNGNVDLEGSNADPWTTCTVSQVEELKTLIKIFPIWLSNILLGTAIGVQSSLSILQSLTMDRGITHHFKIPAASVLVFVLISTAISLTIFDRFLMPACKKLFSLTLSPLQRIGTGHILIVISMAISAVVETKRRNAAESGNLLERSMVVPMSVFWLVPQLAITGIGNAFQFPGQINLFYQEFPASLKSMSTALNHLVIAISFFMSSALMDLVRKVTNWLPDNINNGRLQNVYWMLVLIGLINFCYYLVCACLYKYHNVDKVEEKSCHE >KZM94971 pep chromosome:ASM162521v1:5:27515006:27520995:1 gene:DCAR_018213 transcript:KZM94971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLASYGNPDRDVEQALIALKKGTQLIKYGRKGKPKFCPFRVSADETTLIWYSHGEERQLKLSKVTRILPGQRTPVFRRYHRPEKEYLSFSLIFNNGDRSLDLICKDKVEVDVWLAGLKALISTGQTTRSRRSRSDISDINDAFSDKSPFASTLDYTPSISSRSSIDYGSDAGYERPSMQGRTSGADGFRISVSSTPSCSSQGSGPDDIESLGDVYVWGEIWSDGAVSDGSDRTVSTKSDVLIPKTLESNVVLDVLQIACGVRHVALVTRQGEVFTWGEESGGRLGHGIEKNFGRPKLVEFLAVINVDFVACGEYHTCAVSTSGDLYSWGDGVHNAGLLGHGSDVSHWIPKRVSGPLEGLQVVSISCGTWHSAVSTSNGKLFTFGDGTFGALGHGDRESVPYPKEVQSLGTHKTIKVSCGVWHTAAIVEVNSPSASNVSSRKLFTWGDGDKYRLGHGNKEACLQPTCVSSLVDYNFFQLACGHNLTVGLTTSGHVFTMGSPSYGQLGNPQSDGKSPCLVQERLVGEFVEDIACGDSHICVLTSRSEVFTWGRGANGRLGHGDLEDRNTPILVEALKDRHVRSISCGSNYTATICVHKWISGTDQSVCSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDSCYNKLKKAEETGSGPNFTRKSTGSHRITDSVRPSRMSRILLSPTTEPVKYHEVKSGRTGTAADSFSIVRTSQVPGIQQLKDVAFPSSLSALHSTWKPSMSTTPPAPAPPFRLPPSVPSSAASNSRPSSPYRRPSPPRTATPVFSRGVIDSLKKSNELLTQEVSKLQNQLKNLKQKSEKQDAEVRKLQKTVQEATKLASEKSSKCNIAIEIVESITDELKAISENSPPEISESENFKSIQAKAESFLSTAATEASESIFTSLQNNVSSQQSRHSKSASVSEFNVEKLDETEGAAHESNGSSVSNKDGGVQSSTGSGSKSKPAPADGRKEVIEQFEPGVYVTLIQLGNGTKIFKRVKFSKRKFAEQQAEEWWKANKDRLLKKYSASKNITTTSESSTAPSQPSGENGDTAASS >KZM94934 pep chromosome:ASM162521v1:5:27164607:27169001:1 gene:DCAR_018176 transcript:KZM94934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPVVHPEDYYTPTTKPNRPVPFWKKPQVYTIVVILCITSYFLGAWQHNGAATTTTTTSNLEATNIQCNPVVETTIKSPALDFTAHHKAEDVPVSKEVTKTYPACDIKLSEYTPCQDQKRSLKFSRDRLIYRERHCPEKRELLKCRVPAPFGYRAPFKWPVSRDLAWYANVPHKELTVEKAIQNWIRFEGERFRFPGGGTMFPNGADAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLMSRDILPLSFAPRDTHEAQVQFALERGVPALIGVMSSTRLPYPSRAFDIAHCSRCLIPWGQNDGAYLIEVDRVLRPGGYWILSGPPINWQKHWKGWERTKEDLNAEQTGIENVAKSLCWNKFIEKGDIAIWQKPLNHMECKLNKKISQNPPFCPAQNPDEACQLGQAGRYRNLLDMNAHLGGFAAALIKEPLWVMNVVPVEANVNTLGVVFERGLIGTYQSWCEAMSTYPRTYDLLHADSVFTLYKDRCEMEDIVLEMDRILRPEGSIIVRDDVDILVKVKAIADGMDWESRIVDHEDGPLEREKLLFAVKRYWTAPAVPDEQIN >KZM94661 pep chromosome:ASM162521v1:5:24670826:24676353:1 gene:DCAR_017903 transcript:KZM94661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICMGKSAKCAHASSNQFMGFLGEGRFSFRTLKFYCLNFPGHLACPTSTAMPQGDYIELHRKRSGYRLDHFDRKRKKEAREVHKRSKTAQNVCILPPRLSVSVLLAMHEESSSRRKVDDEVHDGALPSYLLDRDSTTRAKILSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFKVIRTGKRKTKQWKRMITKVTFVGQGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLEMIGVKKNPNGPMYTSLGVVTRGTIIEVNVSELGLVTPAGKVVWGKNSLLLLY >KZM93228 pep chromosome:ASM162521v1:5:3891784:3893414:1 gene:DCAR_016473 transcript:KZM93228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRTAAAFMTMMMMMSVMVCLKMITTNATESTQYESPEYTLVHSESDYEIRLYRVAAWMIAPVKSQISFEKATRNGFHRLFQYIEGANLNSSRVPMTIPVLTSIVPGAGPLRSSGYSVQFYLPVKFQATPPLPLPELELEPDHWNSRCIAVRKFSGFAKDKNIVTEAEKLAISLSRSPWANYTSSETEYAYSIAQYNSPLRFIGRLNEVWVEVDGCQSNLLIAAS >KZM95629 pep chromosome:ASM162521v1:5:33977029:33995885:1 gene:DCAR_018871 transcript:KZM95629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTSEEVVQFTKQPYIEDVGPRRIKSITFSTFSEADIFKAAEVQVYKDKYYDEKRKPVEGGLLDPRMGPTSKNGFCATCDGSFRDCPGHYGCLTLSVPVYNVGYLGTIVDILKCICKSCASILLPEKEYADYLKKMRKDIDPLKKNELFKRIVKKCTAMASSTVKRAGLGVEHDRSKVTDSSLEESRSAISHLKDSTGSKSPSIINPDRVLSLFKKIQDKVCDLLYLSDRPEKFLITSIAVPPVPIRPSVFVDGGQMSNENDISERLKLIIQTNATLHQNLTTASYAPKALELWPLLQVEVARYINSDVRGVPLSMQESKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMAQILTYPERVSSHNIEKLRQCVRNGCYKYPGANFIRMADGTLMVLKFPSRVRLADELKFGQIVERHLEDGDIILFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRASFSLMCCYMGDAMDHIDLPTPAVIKPIELWTGKQLFSVLLRPHANMRVYLTLTVKEKSYTSGETMCQSDGFVYFRNSELVSGQLGKATLGNGNKDGMYSILLRDYKAHAASVCMNRLAKLSARWIGNHGFSIGIDDVQPGDLLNKDKEGKITDGNVQCDELINKYNNGKIELLAGCDAAQTLETLIAKVLNDIRGEAAKLCMKSLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVSGGRAPNGFLDRSLPHFHKNSKIPAAKGFVGNSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYISRRLMKALEDLAVHYDKTVRNASGCIVQFTYGDDGMDPSQMEEKGGLPLNFDRLLLKVKAACPPEEHIGMPSSEIVKVVDETLTKHVMASEGDCSKAFNKSLSEFLKACAERSNNTRVALKLEKELRSEDFDNLENVAKNISGITHQQLQVFMETCISRYHQKVVEGGTAIGAIGAHSIGEPGTQMTLKTFHFAGVASMNVTQGVPRIKEIINAAKNISTPIITAKLEFSDSLVSARLVKGRIEKTVLGQVAKSIKIVMASRSASVTVTLDMDVIQASELCINAHTVKESILSTPKIKLKEQNIHVLDARKLEVIPTSDRSKLHFELHRLKDRLPTVVVRGINSIKRAVIKECDGKKGTYELAVEGTGLDAVMGTEGVDGPKTTSNHIMEVQKTLGIEAARKCIILEIQKTMKDMSIDVRHMMLLADLMTYKGEVLGITRHGIQKMKDSVLMLASFEKTSDHLFNASVNGRVDKIEGVSECIIMGIPMQIGTGMLKVMQRVPPVELQRGADPVIS >KZM94954 pep chromosome:ASM162521v1:5:27338148:27341020:1 gene:DCAR_018196 transcript:KZM94954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLPCCEKGVKKGAWTAEEDKILVDYISKNGHGTWRSVPKNAGLLRCGKSCRLRWTNYLRSDIKRGPFTPEEENTIIRLHGELGNRWASIASHLEGRTDNEIKNFWNTHLRKKLNKTQNTNLLTGQPSLSSSKKMDLKTESPSTSHMVQWESARVEAETRFSLSSSLAVPPPKVEHQSDYFLKLWNSEVGESFRKIKKEPEMTFQQPKQEMCSPSKVESVSVITTQAEGAGATLPLRDMADNGKEMQLITPDNENDIVGSISSGSYELNSCSDAALDLLLDIPGGNYMDFLQEDNYDVSLYLQSLM >KZM92911 pep chromosome:ASM162521v1:5:711641:712055:1 gene:DCAR_016156 transcript:KZM92911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFFFESRNSKNDPVVIWLTGGPGCSSELDLFYENGPFTIVKNMSLMWNEHGWDKVFNLLYVDQPTGTGFMCSSDRQNIRHNEEGFSNDLYDFLQVPCLKKWSV >KZM93018 pep chromosome:ASM162521v1:5:1773651:1776101:-1 gene:DCAR_016263 transcript:KZM93018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVAPLPGTKPIQFSALRHPKLTKFVPSRTLEITRLPHCANVKIVAFSSAWSSIGKWVSPVVKAASISVPESGEEEGNFGKIFLSDVVVKRRRNVYWGRQWSSMDVITISVVGSMHVLSLFAPFVVNWAAVGVAFGLYLVTGLLGITLSFHRNLSHRAFKLPKWLEYLFAYCGAQALQGHPIDWVSTHRYHHQFCDSERDPHSPYEGFWYSHMSWFFDNKTIVKRCGGPNNASDLEKQPFYKFLEKTYAFHPVALAALLYALGGFPFLVWGMGVRTVWVYHITWLVNSACHVWGKQAWNTGDLSRNNWWVAVLAFGEGWHNNHHAFEYSARHGLEWWQLDATWYVVRFLQAIGLATDVKLPSEVQKQRVGFACNNSQ >KZM95056 pep chromosome:ASM162521v1:5:28264771:28272979:-1 gene:DCAR_018298 transcript:KZM95056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQSDFCALAAENVGPNGSATSPPTQAYEDDDALEGGYRLPPQEIRDIVDAPPLPALSFSPKRDKILFLKRRSLPPLSDLARPEEKLAGVRIDAKCNSRSRMSFYTGIGIHDLLADDTLGPEKEIHGFPDGSKINFVTWSPDARYLSFCIRTGEDDDVGSKLRVWFADVETGKARPLFQSPDICLNAVFDNFVWVDDSTLLVCTIPLSRGNPPKKPLVPFGPKIQANEQRSVVQVRTYQDLLKDEYDEDLFDYYATSQLVLASLDGAVKEVGSPALYTSLDPSPDRKYILISSFHRPYSYIVPCGRFPKKVDLWTADGKFVREICDLPLAEDIPITTSSVRKGMRSLNWRADKPSTLYWVETQDGGDAKVEVSPRDIIYSEPAEPLQGEKPSIMHKLDLRYGGISWCDDSLALVYESWYKTRQIRTWVLSPGNDDTSPRILFDRSSEDVYSDPGSPMFRRTRVGTYVIAKLKKEGDDGTYVLLNGNGATPEGNIPFLDLFNINTGSKQRIWESTKEKYYETVVALMSDQDEGDINLNKLKILTSKESKTENTQYYLQRWPEKKPIQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPARDGPLPCLVWSYPGEFKSKEAASQVRGSPNEFSGIGSTSALLWLARGFAILSGPTIPIIGEGKEEANDKYVEQLVASAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSVYVEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFTALKGHGALCRLVILPFESHGYAARESIMHVLWESDRWLQKYCVLNTSDANVDSDLSKDAGKESTDSENKAVAAGGGVQELELLEFDTFQLIRRSSLW >KZM95263 pep chromosome:ASM162521v1:5:30633703:30637465:1 gene:DCAR_018505 transcript:KZM95263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMFPSSESLATKDYSTSVYSSRAGETEQKLDTGNIEEAELSLRENGSLNYEEARALLGRFEYQKGNIEAALHVFEGIDVAAITPKMIATLGARGEPHKRRSRSFATQPMSLHSVSLLLEAVFLRTKSLQILGRYKEAAQSCTVILDIVESSLPGGFPENFGAEFKLQGTLTNAVELLPELWKLSDSPREAILSYRRALLFRWNLNAETTAKIQKRFAIFLLYSGGEASPPTLRSQMDSSFVPKNNMEEAILLLMILSRKVSLRLIKWDPSILDHLQYALSLCGGGMDLANQIEELLPGVIDRKDMYYTLALCYHAERDDLGALNLLNKLLHSSEDPNHMPALLMASKIYSESCNYADGTKYALRALKNSKGRCELIFGVANSFLGISLATLSRSALTDSERTKTQSEALQFLETAARMTNLTNSSVIYHLSLEYAEQRKLDAALLYAKSLLKLGGSNISWWLLVARILSAQKRLDDAETIVDAALEQTRKWDQGELLRTKAKLQIAQGRLKSAIGTYTQLLAVLQIRSKSYGFEKHLHEDAVNRIRSLELETWHDLALLYISLSKWHDAEICLSKSKAIRTDSASRCHATGVLYKAKGLHKEALEAFSIALDVDPTHVSSLVSMAVVLRRFGERSVPVARSLLTEALRLDRMNYSAWYNLGLLYKDKGAAFASEAAECFEAAILIEETAPVEPFR >KZM96100 pep chromosome:ASM162521v1:5:38245628:38250224:-1 gene:DCAR_019342 transcript:KZM96100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMNDNNTSNNSRGASSFLYGIGGTSSSSSAHQLPLVMNGFHLPNDESSRFHHHPMVKMEAGNHNSVHHRFHYPSAIRSHQENIDQSEGAAAEAIKAKIIAHPQYSSLLQAYMDCQKVGAPAEVMARLAAIRQDFEARQQASVNCGEASKDPELDQFMEAYYDMLVKYREELTRPLQEAMEFMQRIETQLNMLSTSPRQIFNPDEKCEVIGSSEEDQDNSGGETELPQIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKDARQKLLSWWDLHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAALYMEGHYVGEGPYRLGP >KZM94518 pep chromosome:ASM162521v1:5:23164235:23165702:1 gene:DCAR_017761 transcript:KZM94518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSSSSSITAVRLGSRAIDRYNPIIRDSSRLKKPSENTDIPSSNEQPGRERDSDIFALPLPARAKNSATRKKHHIFSKSKENNPEIFTGCVSFSTKLSSTDDKRKNVAATKTSEIVEDTCKKNINNRVIKKKSSWSCTNPGEFFSPPGSSRYLLTSTYQKSSVNLPDFDPLLPPPSPFLLPTSCTPKHNDELSAASPPTPPSNPVVVVLRVSLHCRGCEKKMRKHLSRMEGVTSFDIDFAAKKLTVVGNVTPLAVLASVSKVKNAQLLTLPPSSAPTATTCSETKGNVGVV >KZM94191 pep chromosome:ASM162521v1:5:18245980:18246627:-1 gene:DCAR_031979 transcript:KZM94191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNITNLSFVALDISGENYLSWVQDVKLHLGSKKLSNTIKAENTSTIEENFTSIIFLRHHMHEDLKYEYLEVEDPIILWESLKDRFDHQKLVYLLVAENDWGNLRVQDFKSVRAYSSTLFKISSRLIMCGEVVTEKRKIDKTLSTFHPNNINLAEMYRERKFTKFGDLLSTLLVAEQNHELVIKNQSRPTGSAPFPEVNITTFQQNVRGKGHRSG >KZM94406 pep chromosome:ASM162521v1:5:22070499:22072538:-1 gene:DCAR_017649 transcript:KZM94406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATSACLLPKPVAPKFPCSPTIVASATHLTNAPFLNNASLSDQLCHAPRPCFRRYAKKNGWLDPFDFGDDPEMEYGSMYSEGKQDEDPRPPESPDNPYGFLKFPMGYGVEVASLGLKIRGDVRRCCCVISGGVYENLLFFPTIQLIKARYPGVQVDVLASPRGKQTYELNKNVRWADAYDADEDFPDPAEYTDMIGILKNRYYDMILSTKLAGLGHASFLFMTTARDRVSYVYPNVNSAGAGLLLSQTFTPDCVNLSDGGYHMYHQMTDWLGRPARGVPREPLPPLKVSISRKLKEYVMEKYTKAGVEKGKYVVIHGIQSDSKASMQSRGDTDSLLPIQTWAEITSTISSGVKPVFVIPHEKVREDVEDIIGEEASIVFISTPGQLAALINDSVGVIATNTAAVQLSNAREKPWQHCLVQLRREGEALCSRRRREKMQDYFF >KZM93332 pep chromosome:ASM162521v1:5:4848201:4850942:-1 gene:DCAR_016577 transcript:KZM93332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVFEGYERQYCEISSSLSRKCTSAAVLQGEQKKQKVSEIKAGLDDAESLIHKMDLEARSLPPSVKAMLLAKLREYKNDLNNLKSGVKQITSANATQARDQLFESGLTDANMHSTDQRGRLLMSTEKLNHSSSRIQESRRAMLETEELGVSILHDLHQQRQSLLHANNTLHGVDDNIGRSKKLLTSMSRRMSRNKWIIGSIIVVLVLAIILILYFKLSR >KZM96437 pep chromosome:ASM162521v1:5:40963964:40964548:-1 gene:DCAR_019679 transcript:KZM96437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDNESGGHNGGEMMSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLAKYREMEGEKTNIAGGDSGSGGLQSPASVGAHTSGFQYQSHYNNNIGGGNININMNNSGNNNNHNNNQGHVYGGGGFHQMGSGAGGRQR >KZM94498 pep chromosome:ASM162521v1:5:23024880:23027930:-1 gene:DCAR_017741 transcript:KZM94498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRASGISNTTADALQRITCNAREEITVLYYDEESNQSCSYSDRTHCTRSERSSDFTCRYKSVIVATMGRKGIACFSSVKKAFSTPKSKEKKNQKWKKWFRKQTSLKRKSSLEACSTPQNRAQLPQIHTTGIVRPITVSRVAGKSREEVAAIKIQTAYRGYTARRTLRALRGLVRLKSVVNSAAVKRQTTNTLQTMQGVSRVQYQINSRRIRMSEENQVLQKQLLRKRAKQLESLQMEGEWNRSSQSKEQIEAKLLSKYEATMRRERAMAYSFSHQQTRKKSARSTNQLFMDPANPQWGWSWLDRWVAARPESKTEKEFTDDHSSVKGGRRNFAGNAMAKSHARPQLICEKPMPACYSRLYSPRNHLSPSTPVCKVTSPKPTRRLKLHSPGASVLSIDYDSKSMFSIDSELNRRQTIAGSSVRDDESLVSSPSIPRYMAATHSAKAKSRTQSLLSMENGTLAKKSAGYGKKQLSYPASPARATQHFSHPSLDSPSIADNNVSDIAVN >KZM94385 pep chromosome:ASM162521v1:5:21795494:21796869:-1 gene:DCAR_017628 transcript:KZM94385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMSSRGTASWTAKQNKAFERALAVYDKDTPDRWQNIAKAVGDKTADEVKRHYEILVEDVKRIENVVVLIISLCLTLHIYKMSNSSSTRGAGKLSQLSQLWKALDSDFKDHILNLENKNCLFGLLSMIDVEPDMMASFIASIFDGGYYKSDRQIFLFDTHALGLTLEDVLYITGLPITGEPVLYKDSYDDGAFHRVFGKEHFQKKTTLPVKEIINIALDKDRVEDYRARKIAVLLIVLFAFIAPNNNKHEIDSVSVQFVENLDRIDDYAWGAALLAYLYNEMNRCPKKIKLY >KZM95253 pep chromosome:ASM162521v1:5:30557439:30563832:-1 gene:DCAR_018495 transcript:KZM95253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREEEDNAFLSSLGVTSADPEDIERVVLQQVLICNLTVFINLAQQGRSNVGGNSESGRTVDRKAIDTAEDTEPSSSHRHVEIYNKLRAVNIEIDAVASTVEQVHSVKSEDCDPDEATGKQHSSFNPWSSDPIQQALASDRLRSLKKTKTQLENELIKYKGKSAEGSASEEFIQDVVKEEPKRKRKDPKLNESQKKRRKAVSFEDDGDFDAVLNAASAGFVETERDELVRKGILTPFHMLKGFERRIQERGTSGERDLPEDVDKTDDFASSSIDRAARSISQAAEARPTTKLLDPESLPRLDAPTRPFQRLRAPPRIPRSLRNMPETDKDFRRKKRPLAGKKWRKLTSQEVSNLEISEDLRTSSNEEDNQESVDDEPSFVTLEGGLDIPETIFTKLFDYQKVGVQWLWELHCQKVGGIIGDEMGLGKTIQVLAFLGALHFSNMYKPSIVVCPVTLLRQWKREAQKWYPAFHVEILHDSAHDITAKKKQAESDESDYESESDIRVNSSRRNDKKWDTLIKRVLKSDSGLLITTYEQLRLLGGKLLDIGWGYAVLDEGHRIRNPNADVTLVCKQLRTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPIFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDIILPYLLRRMKADVNAQLTKKTEHVLFCSLTSEQRSVYRAFLASSEVEQIFNGNRNSLYGIDVMRKICNHPDLLEREHSYGDPDYGNPERSGKMKVVAEVLKVWKEQGHRVLLFAQTQQMLDILESFMISCSYTYRRMDGVTPVKQRMTLIDEFNNSDEVFIFILTTRVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQTKDVTVFRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQKRFFKSRDMKDLFVLTDDGEHGSTETSSIFSQLAEDVNVVGNHKDSEHDAKLNKPTAFSASIASTENGHDSTIKSSKKGKERAENSGKETDVESNILQSLFDAHGIHSAVNHDLIMNANDEEKLKLEEQASRVAQRAAEALRQSRVIRSRESIAVPTWTGRSGAAGAPSSARQKFGSTVNTKLIGSSRPSEGLPNSEGRNLSLAAGAATGKALTSSELLAKIRGNQERAIGDGIEQQFGMASSSTGSSANDRKMKSSNLSGVQPEVLIRQICTFLLQRGGRAVSASIVQHFKDRIPSKDLPLFKNLLKEIATLEKNPSGSSWVLKPEYQEEQ >KZM93585 pep chromosome:ASM162521v1:5:8089314:8090210:-1 gene:DCAR_016830 transcript:KZM93585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLAFSLAMFSSLVLLLVVGSDAGSISIYWGQNGQEGTLAETCATGNYEYVNLAFLATFGNGQTPMINLAGHCDPYSNGCTGLSSDIKSCQAQGIKVILSIGGASGSYSLVSAADAREVATYLWNNFLGGQSATRPLGNAVLDGIDFDIEGGSGEYWDDLARYLSAYSKRGKKVYLTAAPQCPYPDAWVGGALQTGLFDYVWVQFFNNPPCQYSSAATSNLEDAWKQWTTDIPATKIFLGLPAAPDAAGSGFIPVSDLTSQVLPAIKGSDKYGGVMLWSKFYDDQSGYSSSIKSDV >KZM93037 pep chromosome:ASM162521v1:5:1879597:1881234:-1 gene:DCAR_016282 transcript:KZM93037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSGFDEHRWIIHIRRTLDEDPEEEADIPVSIFDVPKSLLFNNVDSYIPQQIALGPYHYWRPELYEMERYKLGSAKRTLRSLRSVRFQQLVDQFTLIEPTIRACYHKYLDVSGETLAWMMVIDVSFLLEFLHIYAVKSQGKVLRRISSRMSHLIDFAERKSAHNAILRDMIMLENQIPMFLLRKMLEFQLSSVETADDTLLSMLGGVCKALSPFKMIMDLNSVLIRDQAHVLGFLYQMIMPTKPEGQLSELNESEGQDETKESQEKISCIGKKLLDKVSRFLSVMIGFCKRILLSRHVKAILRFPWLIISNLPGFSTLKQPIEYLCCSRDKDEEMKAGNCSKENDHNKPPMVEEISIPSVTELFKSGISFVPTNGSVMTLGFNSKTSTFFLPTITLDVNAEIVLRNLVAYEACNASGPLVFTRYTELMNGIIDTEEDARLLRESGVVLNLLKSDEEVANLWNGMSRSIRLTKVQFLDKMIEDINKYYNGKWAIKIEKFIKLYVFKSWKILILVAAVLLLVLMSLQVFFSMYRLYHLFHMTNIR >KZM94554 pep chromosome:ASM162521v1:5:23543021:23547376:-1 gene:DCAR_017797 transcript:KZM94554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNQNLPLYDTKDNKEKPQHASTMSRQGVFAAVSYMLCAVLLIMFNKAALSSYKFPYVNVITLCQMLCSCAFLYVLRRWKIISFTAGESQGKDTNSKILVPLRTVLHTLPLAFSYLIYLIVSMESVRGINVPMYTTLRRTTVAFTMLFEYLLAGQKHSLSVVGSVGIIIFGAIVAGVGDLAFDPYNYAVVFTANICTAIYLASIARVGKTSGLNSFGLMWCNGLICTPFLLFWTYFSGDLEMTLQFPHLFSPGFQAVMVLSCLMAFSLNYTVFINTTRNSALTQTICGNLKDLFTVGIGWLLFGGLPFDLKNVIGQSLGFLGSCVYAYCKLKGK >KZM96366 pep chromosome:ASM162521v1:5:40507113:40512918:1 gene:DCAR_019608 transcript:KZM96366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRENWGDKMSMLVLTLIFIYNFLVVFQQQAGAVTVVKGSKCDYFQGSWVADKTYPLYNVSSCPFIEREFNCQNNGRPDQLYLHYRWQPHACNLQRFDGRDFLEKMRGKSIMFVGDSLSRNQWNSLVCLIHSAVPSAKYTTSLLGDVATLTFTQQAGAVTVVKGSKCDYFQGSWVADKTYPLYNVSSCPFIEREFNCQNNGRPDQLYLHYRWQPHACNLQRFDGRDFLEKMRGKSIMFVGDSLSRNQWNSLVCLIHSAVPSAKYTTSLLGDVATLTFTEYGVKVMRDRNVFLVDLVREKIGNVLILDSVEQSSKLWNGIDVVVFNTWHWWNRRGPTQPWDYIRVGNKVYKDMDRLVAFGIALNTWANWMNTKFDPSKSKAFFQGISPSHYNGTEWGEPKAKTCKGQTQPILGPTYPGGMPPALGVLKKAIAKITRPITLLDITNLSLLRKDGHPSIYGLTGAQGMDCSHWCLAGVPDTWNQIFYNYL >KZM93657 pep chromosome:ASM162521v1:5:8993239:8993772:1 gene:DCAR_016902 transcript:KZM93657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNVKKCKRESNSTSTSDATQNFNHLVKVLKPRVYITHPSNFKSLVHQLTAGGIPIPSPTPVSSQSSMPSIVLQPTDDQQIQLMPDKVLADQDFHCGVLESSPEWAFERSCSEIIDVPFTPFGAWTVSEDLSLSAQILSDDMMEQFHSSLFGDEVESSWISEMNNPSQVCEFAYI >KZM92894 pep chromosome:ASM162521v1:5:605528:610608:-1 gene:DCAR_016139 transcript:KZM92894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGKIRAKLKRSSLYTFGGCITPQAEDADGPHQLQGPGYSRAVFCNQPQRHQKKPYKYVSNYISTTKYNIITFLPKALFEQFRRVANVYFLLAAILSLTPVTPFSAVSMIAPLAFVVGLSMAKEALEDWRRFIQDMKVNLRKASVHKADGVFAYKPWMKIQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLDDDDSFKNFAGTVTCEDPNPNLYTFVGNLEIDRQLYPLDPTQILLRDSKLRNTTYVYGVVIFTGPDSKVMQNSTRSPSKRSRIEKQMDKIIYVLFTLLVLISIISSVGFAVKTQYQMPDWWYMPPDDKNLYNPDKANLSGFYHLITALILYGYLIPISLYVSIEVVKVLQAKFINQDLHMYDEDSGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGLSASEVELAAAKQMAMDLDPQDHDFERNLPSNNAGFNNGRSNHSSEIELERIIRSKDENHHKPVIKGFNFEDSRIMNGNWSREPHAEVLLLFMRILAVCHTAIPELNEATGSFNYEAESPDEGAFLVAAREFGFEFCKRTQSSIFVRERHPSSKEPVEREFKLLNLLDFTSKRKRMSVIVRDEDGQIFLFCKGADSIIFDRLAKNGRMFEGATTRHLNEYGEAGLRTLALAYKKIEEADYSAWNEEFLRAKTSIGGDRESMLERISDMMEKDLILLGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRHGMKQICIAASAEMLSQETKEVVKENILMQITNGSQMVKLEKDPHAAFALIIDGKTLSYVLETDMKHQFLNLAVDCASVICCRVSPKQKALVTRLVKEGTGKITLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRIFGWMGNGLYSSLVIFFLNIIIFYDQAFRKGGQTADMAAVGTTMFTCIIWAVNCQIALTMSHFTWIQHFLVWGSVVTWYIFLFLYGMLSPLVSGNAFRILIEALAPAPLYWITTLLVTATCNLPYFAHLSFQRAFHPMDHHVIQEIKYYKKDEEDRIMWTRERSKARQETKIGFTARVDATIRQFKGRLQKKHHASSSPMSPV >KZM92830 pep chromosome:ASM162521v1:5:81372:81668:1 gene:DCAR_016075 transcript:KZM92830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYLLETLNDLYSKKLVQTYSVFPNKNEISDVVVQPYNSLLTLKRLTLNADCVVLLDNTALNRIAVERLHIPNPSVSQTNSLVSTIMSASTTTLRYP >KZM94809 pep chromosome:ASM162521v1:5:26147481:26148298:-1 gene:DCAR_018051 transcript:KZM94809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPTATAITHVLNNKLEFPFCNGVDDLLIVSLGNGEIYNGSIARKSATSFIKIAREGVSDMVDQAVSMVFREAKTGDDYVRIQANGSVASVDQMLRQKNLESALFEGKKLVEITNLDKLESISGELIKEHERRKTGILSAVVLKQAAGGSPRTSSVRSSSTLSSE >KZM96293 pep chromosome:ASM162521v1:5:39886670:39887986:1 gene:DCAR_019535 transcript:KZM96293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNPTSFSASPLAPALYVLLNKNPINFSFVRLCSRQTVSNLSRLSSRIVSKNGHLCVTASPISSLSVDIYGGFVSKNPVRPNGSEPIWFGRRFYQSVQELGLGEVESDGEDPEDDGSMNEFLSRMVWIMRGKLSEVYVDSDRQTIDAMLMIIASKVVAEIEKSGLEKMLSSVAMVPSDDFSEDLWRMVWEVCTMVLEDMEKAGKKEKMKKFLQDDEVREMYKFAGEIGVRGEMLRELRFKWAREKLEESEFYESLERLREEEKQAQEAEESGTVREGETAESGTEMGSEKKDKVFALPKRHGKIKYKIYGLDLSDPKWAEVAKKIHETGEIIWPQEAKPISGKCKLITEKILTLQADDDVSPLLAEWVELLSPRRIDWIALLDKLKEQNEPIYFKVIL >KZM93000 pep chromosome:ASM162521v1:5:1592759:1593973:1 gene:DCAR_016245 transcript:KZM93000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKDVDDGGGSAVSAGREIPDFGDDMLTDCGVDAGFADLSYKLSKDAMESGLLPLISDSQVLEMCCYLNTSRMLNLYCETSEETQTQYPSTQTPYFVDDPNTRDFERKQFEALFELEEIDRGIIDINVLVDCLCGKENPREENSVMDNVVEDDPIEENAVEVSDHEHASFHEDNSNIDSADDDVRSHKKKKSVIVDVPVHTENWIPDNVESDFSDCVMSDEERMVANNTDDEDGEQGYTAFHEDNDEVSFEIGLTFASADNFGKTVKNRAIKDMRAIKQVRNYSR >KZM95579 pep chromosome:ASM162521v1:5:33572100:33572822:1 gene:DCAR_018821 transcript:KZM95579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPLTFAILLLLQCSTTILAQPAAAPAPAGPTNVTKILEKAGQYTTLIRLLKITQVGDQINTQLNNSNQGMTIFAPTDNAFSALKSGTLNSLNDQEKVQLIQFHVLPTVLSTSQFQTVSNPLRTQAGNSNGGQFPLNVTTSGNSVNISTGVNNATVANTVYTDSTLAVYQVDNVLLPLAMFGPKAPAPAPGLAKKPEKKSGATDGPSSDEGTADASGTSELRAKGLVVGFVALVAGSFL >KZM96509 pep chromosome:ASM162521v1:5:41513064:41513537:1 gene:DCAR_019751 transcript:KZM96509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIFSHKPALRLKTPNLDTMLSDNLLKPPNLKFLSSPFKDSSMENPDKNSHINVGRGAESWMGMGKMVQAIALVLARLREVKATLVVCALVAMSQWVNEINRFT >KZM93281 pep chromosome:ASM162521v1:5:4388972:4398379:-1 gene:DCAR_016526 transcript:KZM93281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQQIPIVSDTQNNESTDTNVVRRERPARACTLRSAARLHAAAAAEAVIVAAQRKQKRKFRPPPKEAESPPPSPPQDKQCSKIVTQLVMEPEPEQLPRWSIRSMWEFASILNFLNVFRPILNIKAEFSAEEFETALLTPDSTLADIHIPLLKVADGELPIIASQGTEIEAYNILPPGVRVVILKALCDIRVEQEDIRNYIDNSIKQGVHISTFRKERIGGDSHGISYWYEDDPVIGQRLYREIRTVEVRKGKGKSVQPVPNSFYQWETAATNVDEFQDVSEKLFSSKNRTEASLGKKLKIEMLPEVEKAHKTKEKLLKKQQRQALLLDNMISADGLSPGRSLRGRKNVSYTFGKKRKQSPPDSTVRREGTRSYISTNGELGGPSQVPEHSFSMLTPESHDENDEDLTYETLGRGRRRQRPERYPAKEFVEEMSDNDAEFDSDDEIVGEAVYDDEYLKKRKETRIMSSGSEGDEEYQWEGENVEEEEEEDEYSLSMGDDSDECISDDSGKRQKPKKLQGRTRRESKLRSVNEFDSGLRRSNRATRNRIDYRKLEVSDSETESLKPEKSNASDEHTDASEKDDFSINSESEESDASDIQYRDIDSPPEEQPEASDEQPEASDEEQPVPMQEDQSEAIYGNQQEVVYEDPSERMYESQPEGAYGNHSEGAYGNQSASVYHQQSEGAYGNHSASVYQQESEGAYGNQSEAVHVNQQAAAEEDQVQFPIKADSPVQDKAEVQRRGFLDLNELAPGSGFDDGPDSMMKGEDTDNF >KZM96083 pep chromosome:ASM162521v1:5:38082493:38091382:-1 gene:DCAR_019325 transcript:KZM96083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRDKIKSVVKKLFTRRSSTSGTGYMPPNGVRLFVQGNHVVIDNGILQVTLSNPDGIVTGIRYNGVDNLLEVLNKEDNRGYWDIVWNALDGTKKGGTFEVFKATKFNVIVETEDQVEVSFSRPWDPSLLGKLAPINIDKRFVLLRGCSGFYTYAIYEHLGSEEWPGFSIGETRIAFKLRKDKFQYMAVDDNRQRWMPLPDDRLPRRSQPLAYGEAVRLINPINPELYGEVDDKYQYSCENNNLKVHGWISMAPPVGFWQITPSDEFRSGGPVKQNLTSHVGPTCLAVFLSGHYAGDDLCPKIGQGEYWKKVFGPVFIYLNSAMNGSHPRTLWDDAKNQMMIEVQSWPYSFPASEDFPSSDKRGSVSGRLLVKDSYMSSDLIPATGAYVGLARPGDVGSWQRECKDYQFWTRADEMGCFSINNIRPGDYNLYAWIPGFLGDYKNEALITISSGCHIIKEDLVYEPPRDGPTLWEIGIPDRSAAEFYIPDPNPEHVNRVLYNHTDRFRQYGLWEKYAELYPNGDLVYTIGESDYRKDWFFAHVTRRKEDGTHQGTTWQIKFKLDSVDQKGLYKLRVALAAAALAELQIRINDPNTNRPLFTTRLIGRDNAIARHGIHGLYWMYNVDVPGNRLLQGDNTMYLTQPRCASPFQGIMYDYIRLEGPPCL >KZM92875 pep chromosome:ASM162521v1:5:451220:451677:1 gene:DCAR_016120 transcript:KZM92875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSGYLGKQGLLKLKAKYDCNLAQLLAVATASTRHGVGGWGTECYASSETICIIKTQKYATSD >KZM96190 pep chromosome:ASM162521v1:5:38988124:38989254:1 gene:DCAR_019432 transcript:KZM96190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNMKVHQYEFPRGMLIRSWDQQSQLIESASPASLSSFCQRFRPLLPSSHTTSGSNSSTAFRFDLKSFIKPHHCSSNETNVVSSGSDDKVGDHRLTQVETTTHPGGTRWNPTQEQIGILERLYKEGMRTPNSQQIEQITSQLAKFGKIEGKNVFYWFQNHKARQRQKMKRRSLGLAHSPRTSMATISSTSSSMEDQEFEDGPLKRKRGARSFLERSECDEMSTREDNRGVSTKFEALSDGLSGLLTNSTLNVQNQTLELFPLHPEGRSRLIIN >KZM95985 pep chromosome:ASM162521v1:5:37241273:37242457:-1 gene:DCAR_019227 transcript:KZM95985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSGITLSLLLALLFATTITTDAHNITRILAKHPEFSTFNHYLTITHLAAEINRRLTITVCAVDNAGMSDLLSKHLSIFTIKNVLALHVFSDYYGSKKLHQITHRSTLTATMFQATGKAEGISGYVNITDVKGGRVGFAQEDNAAKFESFFVKTIVEKPYNISVIQISQILTSPEAEAPVAAPSVNITDIMKAKGCKAFSDLLTSEGAMDTFVQTVDGGLTIFCPSDDVLKSFMPKYKNLTEEGKLLILLYHGVPEYNSMGTLRSHNGVIDTLALEGANSYNFTVQNDDEGVSLKTKVVTASIKATLVDDEPLAVYKIDKVLLPRELFNTDAPKSKKGSTSEDEEAEAPGPADDEDAADDTASDDNSSTSIGGVRIVVTVLLGLLLGVLASL >KZM96289 pep chromosome:ASM162521v1:5:39845940:39846251:1 gene:DCAR_019531 transcript:KZM96289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKVIAKRKELSVAISESSMAEQEEGPQIITSPRKRGRPRKVVEQETSAEEQEDETETDDDHKKPKTSDLGALRIEEEQKQQQQPRSSRAKRKGKPRKSST >KZM94334 pep chromosome:ASM162521v1:5:21157855:21160633:1 gene:DCAR_017577 transcript:KZM94334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKMVEQYPLYLYFISLLSATIFFYKWLTLKKIALRNLPPSPPRFPVIGNLHQVGPDPYISLRALAEKYGPLMLLKFGSVPVVVVSSAEAAREILKTHDLVFADRPFLSVANRIFYKGRDVAFARYSEYWRQVKSMCVTQLLSSRRVQSFHNVREEEVALLIQNIEHPPSKIVNLSDLLAELAQNVVCRVALGRKYGRGINGNSSYKILLGEIMELIGYSRSMRDFFPLLGWVDRLTGLNARAEKAAKEVDTFLEGVLRDHPSTVASNNGHANKDFVSILLEIQNTDAGSSMDKDCIKAVIWDMFVAGTDTTSSTLEWAIAALIKNPHVMVKLQNEVREIGKGKSKISEDDIVKMNYLKAVMKESMRLYITAPLIVPREARQDVKVMGYDIRKGTQLVINAWAIARDPSLWDSPEEFRPERFLNSPIDYKGLHYEYLPFGAGRRGCPGYHFAMAVNELALANVVNKFDFELPNGERKEDLDMAGVNGLTLRRRSPLLVIATPRVEFGLICSNF >KZM96185 pep chromosome:ASM162521v1:5:38942704:38945934:1 gene:DCAR_019427 transcript:KZM96185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANPSFGLHPPQISSRKSNPSIHFSNFNPPQAIPIAHDLKRRCDVFDLHNELVPYAEAWAWQKSIVEKRKKLIDNDEDVCDSVVILQHPSVYTLGTGSSEDHLKGIKNFYRTDRGGEVTYHGPGQLVMYPILNLRYHTMDLHWYLRALEEVVIRVLSSCFTIKASRHKGLTGVWVGDQKVAAIGVRASKWITYHGLSVNVTTDLTPFDQIVPCGIQDRQVGSIKQLLSKTLSCEGHEKKHFTDYQLVDTTFESLIKEFSEVFQVQLCMRPIPWTYITEGNNSPLTI >KZM92832 pep chromosome:ASM162521v1:5:85247:90450:-1 gene:DCAR_016077 transcript:KZM92832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKTGMGAPGLKAILKQILSSPFNPEIIAAVQKLHSIIIQRDSSQDKHVRRWISQTNTAKAEKGGSLIYLAFDENVKGAVVVGQEAGKIVEENTQKILTEDTVMEPTVNAVQGHIGKTIFDKDFKNHRESDTRSIYFFELKRMIEFLEEEVTVTSEILKPVYKYHDLREKQYRDVYGDWRFHDDSNYLSPIEEVQNDVEPDNKVIDLTAGDEDKHPQQTSQSNASEAQREQSSTFNYQDQKERLMKRYMQINREFSYRPTYVPYQMEIDESKLRTLSMKAWSWVDKISDEIENESTIVCRHCSEHRLRHGIAESPLLEEQKQRLIAIKDSLKDVDNMLVVLQRMQSHQRQQCQEAFIKLEKSRKHLIENIKHFQEQGGKLNVIEELNACFRNENTEKQVHELKVDDDNSRVFTNCVRGFFNPLNWHGTAKTAITLALISVSVTSTYNFRQSRQENRCASSTGSVIARNFDFLAIDSDSPMDVSYGRG >KZM95806 pep chromosome:ASM162521v1:5:35769057:35771687:-1 gene:DCAR_019048 transcript:KZM95806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIITILPLPSPHSNASFSLLNRRFSSPFLSPAVNLRRITPLPCGFRVAAVPDAGAAVLHDAGAAVAVMTGAYALVATFDTLTQRNIIQQKFSRKLVHILSGFLFMASWPIFSTSTEARYFASIVPLVNLSRLVVNGLSYAKDEGLIKSVTREGKPEELLKGPLCYVLILIICAVVFWRESPVGVISLAMMCGGDGIADIMGRRFGNLKIPYNQQKSWAGSISMFVFGFLMSIGMLYYFSARGYFQLDWIWTIERVAFISLLATAVESLPTTGIVDDNLSVPLVSMIAAYLSFQ >KZM94496 pep chromosome:ASM162521v1:5:23017755:23019614:-1 gene:DCAR_017739 transcript:KZM94496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFSDKDTVLNSSSPNNCSPFDVYGIETCLSHTTQGTCGLSTEVTKRKRKTGNRDSHSNKENVGSSHLNMWQSPPFKKVMDDSSTLHSPLSPMSENIINSKTPGIFESAYDLTKKKRKSAYRHIYANAEKDNKGLFKEQDPTSHSRPNSKSVCTDETPVSTLCDIFNSSCRESNNGKKNLKDILEVPSPVALDFEMKDSTDENLNSIEEAEFCRVTNVLDDHDESDIYEHDGK >KZM96449 pep chromosome:ASM162521v1:5:41072491:41075146:1 gene:DCAR_019691 transcript:KZM96449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCHDHERSALLHFKQSLPASSSSSAYSKTASWKASGNSSRDCCSWDGIECDEATGYVIGLDLSSSLTNATLHSNSTLSSLVHLQNLNLAENNFMNSLIPPEISLLSSLSFINLSNSLFSGQIPQELSGMSKLASLDLSNNYLYGDFPIAIFNLPGLLVLNVSGNQNLRGYLPEFNKTSLFRELNIAFTEFSGTIPFSIGNLNSLTKLQLIKCHFSGSIPASIGNMTELNFLSLAYNMFNKSDDLSWVQKLTKLTVLDLAKTNLYGDLQPSFANLTQLTILSLGNNSFVGEIPLWLRNMTQLMYLDLGYNELTGQIPRSFSQLKNLEFLSLSQNNFTGTVEADIFLSSRNLAFLDLSGCQITSNSPHHSNFSLPKLELLVLCFCNLTEFPYFLQFASFERNSVSIQSKSLRYIDIGNNMLQGNLPNPPPKTYSYVVGNNRITGEISPMICGVKSLKVLDLSSNNMGGPIPHCLADSLEAFFLQNNNFSGTIPQTYPKECDLKLMDMSQNQLTGEVPKSLSNCKMLQILDLSKNQLKQSFPTWLGTLPRLQVLLLHFNMLHGEIGSPRSPLEFPLLRIINLSHNALTGALPVNIQFWNVMKVVRTGTRPYMDTDLYFTVILDHFHFTYDYHRYSSMTVTNKGAEREYNKISNIFTAIDLSSNKFTGQVPESLGSLKALQLLDLSNNDLTGSIPPSLGNLTQLESLDLSRNKLSGVIPQQLAAQLNFLSFFNVSHNHLTGRIPQGTQFSTFDNNSYIGNSGLCGFPVSKNCGALQSPPDDNEDGSEEDKFPSGFDWLFILLGLGSGLVVGFVVGDISMDRHPWLIRRIVRKFGRTQKKPRMRRRQIIRA >KZM93005 pep chromosome:ASM162521v1:5:1628652:1631681:-1 gene:DCAR_016250 transcript:KZM93005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWGDLPEEDYYASQGVKNSKQYFQTPHGKIFTQSFSPLSANSPVKASVFMTHGYGSDSGWLFQKICISFATWGYAVFAADLLGHGRSEGLHGYLGDVDKVAAASLSYFVSVRKSGEYEKMPAFLFGESMGGMITMIMYFQSEAGLWTGLIFSAPLFVIPQAMIPSKVHLFAYGLLFGLADTWAAMPDNKMVGKAIKDMDKLKIIAGNPRRYSGKPRVGTMREVVRVTNYIQENFHKVTAPFLTCHGTSDGVTCYTGSEMLYEKASSSDKTLKLYEGMYHSLIQGEPDENANLVLGDMRAWIDERVQRYGPKCDT >KZM95061 pep chromosome:ASM162521v1:5:28297572:28299676:-1 gene:DCAR_018303 transcript:KZM95061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFHNSLCIYKLLILAVLHTTICFSQAQAPQNSPDQCNEILVTYTYVSGYAVPPMVPSDLSQQAYRFQSTLTVLNNGLQELPSWRVFVGFRNNEVLVSASNAVLADGTSLPANVSTGAILAGFPVTNLRTGIQTAGDFNLMLAQVDLVGTQFGVAAPDVPLPGSLRIANDGFSCTSPFAQGNASQVCCFKDSDSPPSFELEDQEIFEPLQNGDISIMYDVIRSYESNYLAQVTISNQNPIRRLDNWHLSWEWMREEFINTMRGAYPFIVDTNECIYGRQGEFYQDLDFSAALNCERRPVIIDLPLERTNDTNLGRIPFCCRNGTILPPNMDPSKSMSIFQMQVYKMPPDLNRTQLTPPQNWKINGTNSPNYQCGPPVRTNPTLFPNAEGLSSESVAIASWQVVCNITRSASESPKCCVSFSSFNNDSVIPCNTCACGCNSDQSDVCSATTPALLLPSQALLVPFDNRTKMAKEFSKINNRKMPDPLPCPDNCGVSINWHLLSDFKDGWSARMTVFNWGETDFADWFASVQLDNAMPGFEQAYSFNGTALSSSDQILFIQGLPGLNYIVSERDGKNPRKDPRVPGSQQSVISFTKKTTPGINVPRGGGYPSKVYFNGAECSLPSMLPSDGYGITAATSVFSCLIASFVLLLLQL >KZM95694 pep chromosome:ASM162521v1:5:34616645:34618831:1 gene:DCAR_018936 transcript:KZM95694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNSSEMESAELAALPAFRIYSLDELRAATSGFSKENIVSEHGAKAPNVVYKGQQEDDDRWIAVKRFNRSAWPDTRQFLVRATLHQWEKQPLKWAFRLRVALYLAQALDYCSSKGRALYHDLHAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVVYSFGTILLDLLSGKHIPPSHALDLIRGKNYAMLTDSCLEGHFSNDDGAELVRIATRCLQYEARDRPSAKSLVTALASLQKETEVASYILLGVSQETDSSMQFTKLSPLGEACSRLSFQMWTNHMQETINCRKRGDSAFRAKDFITAIHYYTQFIEAGALACPIVFLRRCLCYLTNDKPQEALGDAMQAQVISPDWCSTLYLQAAALYSLGMDKDARETLEDGFLLEAKTKEAKI >KZM95175 pep chromosome:ASM162521v1:5:29572679:29575109:-1 gene:DCAR_018417 transcript:KZM95175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGRSEIEFSNVETAAESLDRCVIYHVVIDVLGFILFMHQQIPSILQDISLEFDALHSEYKDLDAIIAQPDMKASLRRVHAGRKREVRMGIRKFEKLMKNVSNIQSALQLVITEIPDIKGVILVLGTSSVRPQHVYELCFSHGKVASGSDCDFLKSKAAEGLSRKAIRTLVSKSAGSDSYAGPTKLFLLVKAPVSFSQPMHFLPKRDYKYNKKILPLRLRFKCRNQNRELNAPCGGSLNAISTDSVDTNSDDLIWFQCRHVIKGMACRTSPTED >KZM94083 pep chromosome:ASM162521v1:5:15108622:15109790:-1 gene:DCAR_017328 transcript:KZM94083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFFSLGGGGSSERSRGGASRTTTSNINQEEQERSRLNQSAETWNLYGRSGHGPHHDQHYLYSIPPDRIPFELWNQHPNPVLLQPGGAGAGAGGVMAGGEERSGDFLMMSSSSSAGGSGVINCEDCGNQAKKDCTHRRCRTCCTSRGFECQTHVKSTWVSASKRRQRQQQQLQQQQEPQLQQHSDVPKRPRQTHPNPSASGFGNFPPEVRSPAVFNCVRVSSIDDVGEDQFAYQTAVSIGGHVFKGILYDQGPENTAPH >KZM94186 pep chromosome:ASM162521v1:5:18085985:18097527:1 gene:DCAR_017431 transcript:KZM94186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFKIGQRVHSVGDCRRIGSVKYVGEVEGYSGKWAGIEWDNDAQGKHDGSLNGVRYFQAKSATSASFVRPHKLSRGISLLEALDLRYRSTSTKEEEDEMYVLSATNRRVSVQLLGKDKIQDKLNQFEDLASASLFYLGVSSCGPPSQLGVSVPNLKELDLTGNLLSEWEDVGTICNALPALVALNLSYNRMSHHVVGLQLKNIKILVLNNTGLNWTQVELLKDSLPVIEELHLMGNKVKNIQPTSSTTVQGFIHLRLLNLEDNCLADWNEILKLSHLQRLEQLHLNKNNLNCIRYPDDDAMQKLASGCESFEKNIVPFQNLCCLLLGGNNIGDLASVDSLNSFPRLTDIRLSENPVSDPGKGGIARYVLIARLAKVHILNGSEISPRERKDSEIRYVRSVISELQGNQEAIKQRHPRFSELKTYHGIEDERPSNQAAGPQKMASGLISITLKCVGASIGEKPPMTKKLPASTTVGKLKNLSGSFFKFKAIKPILFLQEEGSPLPLVLDDDMASLTEAGVCNDSTILIDEEN >KZM93588 pep chromosome:ASM162521v1:5:8145425:8146664:-1 gene:DCAR_016833 transcript:KZM93588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVGYLVRIMGRYTEEQQHSNSSSTALITRDLLGGRSDSFATIYSQELDLDLQVPSGYEKRLDLKSGKVYLQRCNSPKTPVSSCEHKQQTNQIVSTLQDSKCLPATKQPLNLFDDASLELKLVQSSPPQSPYNYQSVCTLDKVKSALKRAEKEMTRKRSISMSQSSSPSNSSSSVKETENMDSEDKSCASFAGSCPSCLLYVLISKSNPRCPRCNMIVPSHMPSKKPRIDLNISL >KZM93636 pep chromosome:ASM162521v1:5:8726496:8730310:1 gene:DCAR_016881 transcript:KZM93636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDRNNSLLESYVPPPTKPTKPAAQDVTLFHASHPLSLELARLENQVRDKDRELGDQRSEIKALKYSDRLKGKAVEEVTDELHKADEKLKLAEALLESKHLEIKKITEEKKSALAAQFAAEATLRRVHAAQKDDQMPPIEAIIAPLEAELKLARQEIANLMDDNRALDRLTKSKEAALLEAEKSVQVALTKASFVDDLQNKNQELLKQIEICQEENKILDKMHQQKVIEVEKLTHTVHELEEDVLAGGAAANAVRDYQRKVQEMIEEKKTLDRELARARVSANRVAVVVANDWKDANDKVMPVKQWLEERRFLQGEMQQLKDKLAIAERTAKAEAALKEKYQLRFKVLEGTLKADLVGFTHTTSAAKRMTNGPSRRQSMGGAENLSRSPSNGFSLRRTNCQTGSVQVNAATALLRQSKVSPVFEDGSRSVEQKKLVQDANDPDNGRITDDECIQNGILSCIFEENENWRTESGKLQKNEDCVSGVLYDMLQKEVITMRKACLKKDQGLKEKDNTIEMLAKKVETLNKAIETESKKMRRDMRAMEKEFTEISGVNGQDHRAPRRSVNGSRSVHLKQISKYT >KZM94236 pep chromosome:ASM162521v1:5:19816752:19818834:1 gene:DCAR_017479 transcript:KZM94236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIAFTSLQEVSSGSYEWAVKVRVLRKWRGVSNTGKEFKGFNLLLLDTQNCRLPGFVPALIAQKYERKIVVGNIYALSNFTVKDYKPDDKFRCVCCEKQIYFTNFTEVEAIAEDGVMIAHDMFDFSDLGDLIDIADDNTYLTAEEFELALKTVSVHPAIVIVASAKITSWQKKVDIANVTATTFYLNYAHHSVSDLRQMLGSPLFSKCDFSSQILETFEEHGIESVKTLGVGYLNMEVVCELKIILIVDMDWYRAECSSCYREISVVDGEYKCIVCNRDVPFADKKFQVMVEALDEKEHIELYLNDRTIRKLIGKTVDELLEKGETQEKVPSILRALEGKWYSAKLKISPLNIEVPSSLFYVSDMFELGGSFRSSTSTPSAASEEACEHEFNTFGWA >KZM95858 pep chromosome:ASM162521v1:5:36310862:36312620:-1 gene:DCAR_019100 transcript:KZM95858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTAKNVVSLRCAAEYLEMYEENGEGNLVTQTETFLNAVFANWIDTIKALETCEEVLPHAEELRVVSRCIDSLALRACSDQPLFIWPVSGRNAAQSPASTLVWNGIRTAPKAQHMSEDWWYEDVSFLRLPLYKRLILAVGSRGMKPERIAGSLIFYAKKYLPLMGRQSNSQNGNRAGPQINLSASTEADQRILLEEIVELLPDQKGVISTKLLLKLLRTSLILHASQSCRENLEKRVGTQLDQAVLVDLLIPSMGYSVETLYDIDCVQRILDHFMTVEKDAADTPSNGVVDEEQFLGISQSLSPLTLVANLVDSYLAEVAPDVNLKLPKFQSLAAVLPPYSRQLDDGIYRAIDIYLKAHPWLTDSEREQLCRLMNCEKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSVSNWFFVSDNDSQVPSGNLALPRTENTVQPASGENQIVRFDDMKERVFELEKECMGMKEEIDRIMKTKGSWNSFFKLFGLKLKMKSSEPKAAKKKCSAEVVPPAATTPLLNGKPDEAKGELVH >KZM94411 pep chromosome:ASM162521v1:5:22085281:22085655:-1 gene:DCAR_017654 transcript:KZM94411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSVTSRALVDGTASTVPYRVYAVLGRDYDFLRGQNAEIRRMMDTLVQERRVPVEDAVARSRAIEHIARQCLAEFPSTNGWEVEARRVTRLVCWILRELHTVRGRTTRAWIWGAELRGKFPR >KZM95373 pep chromosome:ASM162521v1:5:31553162:31554280:1 gene:DCAR_018615 transcript:KZM95373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQATHTEDASETHPKEDYPEPRRSHSSSTTSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPNQRSLENRSACDAGNDIAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHISLKVLRFGMLGSAVGSVMGCLFLMLSMINVIQIRLGMLSCGSKQTVHSVTALVVLVTSALVVYISTAVYAFLH >KZM95570 pep chromosome:ASM162521v1:5:33505553:33507573:-1 gene:DCAR_018812 transcript:KZM95570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRVTQERLLGAALGALFTGALVFEQRRRIYKSISENEAQFASLTQTKEPIFEKRSRLEFAHLWNKAVDHTFGPVIESLSSRGK >KZM95690 pep chromosome:ASM162521v1:5:34600799:34602862:1 gene:DCAR_018932 transcript:KZM95690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMNYEHGSSAESVFSGFGSSSGLNTPAGSDLDSLESEEDDFMAELTRKMAENMLLEDDNKTTPVSPVSDNTAQCGQYEHQKKAHFQHQPRNREYGYAHARRPGVLSAAQAGSGMRVVFLGSKNGSSGTGVFLPSQATNNNNIVNPAYDHQYRKKPDSEAAFREEIKCSRRAAIAYATESTVAVAGESQPGAATSARMDLLMIEALVMAVFVRWN >KZM94918 pep chromosome:ASM162521v1:5:27008704:27009018:1 gene:DCAR_018160 transcript:KZM94918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSDGSVRICQRCFSVTVWGVRYHVLSLPDEVVEEMDFETHLEVQFLTMNCYLHEERLREEAEARRLAAIRRREWIVRFAGMMSSILHKQEEEEKKAEEESSS >KZM93284 pep chromosome:ASM162521v1:5:4421129:4425496:1 gene:DCAR_016529 transcript:KZM93284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNILKVNRNATVDDLKKAYRRLAMIWHPDKNPTHQRTEAEAKFKNISEAYDVLSDPQKRQIYDLYGEEALKSGQVPPPPPASRVGHPGSYANNYYHQKHPNPSFRFNPRNAEDIYAEMFSGSEGGASGSGGGRSRAYNDGFFRGSETEFGNSSGGSGGAQRKAAAVENVLPCSLEDLYKGAKKKMKISRTIVDASRTRNVEEILTIDIKPGWKKGTKITFPEKGNQELGVIAADLIFVIDEKPHAVYTRDGNDLIMNQEVSLVESLTGKIFEITTLDGRIVPIAVTEIIKPGHELVVRNEGMPISKDPRKKGNLKIKFDVKYPTRLTAEQKSELRRVLGGSM >KZM93198 pep chromosome:ASM162521v1:5:3461125:3463645:1 gene:DCAR_016443 transcript:KZM93198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGRILSEHQRRELEKRVIGEEILSNWLHRKQLEEEVMTEMFGEEMLQRVKNSIASENPEVTSDTCNKSPTDLQKIIHIQDKGEDSGLVPHLDGPMSLNGQERIDQSPVNEKEGGLTGSIPIQRPPRAPNTTKPGMFIGLGRTATTQSELTLDNFIEMQKGEGSSLVLYAQDPAFLNGQSPVNDTDSGLNCSLPFQRFPRAPNNTNPEKLVDLGKEATTPLVNSDTKRTSEGELNVDPPPIGGDNETAGKTTAKRKRWDCDLCCVMTNAESVLQAHLKGKKHLAKLKASSETAKPPTSGEDHGKSIQEDNLPK >KZM93665 pep chromosome:ASM162521v1:5:9062730:9063182:-1 gene:DCAR_016910 transcript:KZM93665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLRLLCLKALRKRPAGSLYITKTSMFKMPHGQQHATAAHSAVAPPATSVKRFRDEGLYSEEEERRRAWFRLGGGELRAVMVGQKIENFEQYRRARPCWTRRDDFWRDQWRKKQGNVVVLYRDCERRSEYQGVCVCIHLRFYLFCVEVE >KZM94362 pep chromosome:ASM162521v1:5:21449815:21456809:1 gene:DCAR_017605 transcript:KZM94362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQLIGIEGLEVYDESRDRRSDFENSEDERRRSKIGNFKKKALNASNKFTHSLKKRGKRKIDYRVPSVSIEDIRDPNEESAVHELRQKLVDKDLLPARHDEYHTLLRFLKARDFDFEKTIQMWEEMLKWRQEYGTDTILEDYEFEELEEVLQYYPQGYHGVDREGRPVYIERLGKAHPSRLMRITTLDRYLRYHVQEFERAIHEKFPACSIAAKRQICSTTTILDVQGLGVKNLTPTAANLVSAMARIDNNYYPETLHRMFVVNAGGAFKKVLWPAAMKFLDPKTIAKIHVLEPKCLGKLLEYVDSSQLPDFLGGSCTCPAEGGCLRSNSGPWNEPQIMKGNDGSTTEAVTEIDDPSSFITQDSCEISKTASLHRSAGVSDSTVYYSCEDHFSQDDNSNETGYVSGNSKDLCDEGTLVIQWLDIIQEKVVKRTFQCVAKVLMCFVVRLFAFFQSLPFGSRRWRTDVHPSNVIEHNPDMHSHGGTVAVTEEDHIHPCVERLQKVEQILEELKSKHAQIPKEKDQMLLHSLERIKSVEFDLDKTKKVLHATVMKQLEISKLMDNLQESKCRVPVDRASYMAITSLCSSIIKILLFALSILAQMSVSCSLSDDISESHSLVGRNLLQAQKACPVSFEFENYTIITSQCKGPNYPAGPCCKAFKEFACPFVDELNDISNICSETMFSYITLIGNYTADLFGSICVEGKDGLDCSEFLPPEPDDTTSGAGATHNTHQLLVFTTSFLVPLLSML >KZM94391 pep chromosome:ASM162521v1:5:21832015:21833978:1 gene:DCAR_017634 transcript:KZM94391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPSPSSVPTPTRTPSSPTGLSLPPLPPLAPQPGAVSTAPTTASRLSNSLHSTSADPSNDLSGDIPREISSLRRLVRLDLSNNNIRGSIPTEFSSLTRLLTLRLDNNEISGLIPRSLDSIPHLKQLNLSNNLLYGRVSENLLKRFGTESFSGNEALCGATLECSNRTVQSFPTSSNIDNVKNSKPRGLSSGAIVGIVIANAVILLVVIAFVAAYCCGRYSRDLNSRLGSESGKRRSSYGSEKKVFANNGGGDSDGTNATDRSKLVFFDRKKQFELEDLLKASAEMLGKGSLGTVYKAVLEEGITVAVKRLKDANPCGRKEFEQYMDVIGKLRHPNFVRLKAFYYAKEEKLLVYDYLPNGSLHSLLHGNRGPERIPLDWTTRISLVLGAARGLARIHEEYETARIPHGNVKSSNVLLDKNGVACISDFGLALLLNPVHATARLGGYRAPEQVQLKRLSQKADVYSFGVLLLEVLTGRAPSRYPSPTPSHGMAEEEQSVDLPAWVRSVVKDEWTPEVFDKELLRYKNIEKELIAMLRVAMVCVVPQPEKRPTMSEVAKLIEDIRVEESPLGEDYDESRDSLSASAATTEG >KZM95093 pep chromosome:ASM162521v1:5:28572175:28575649:-1 gene:DCAR_018335 transcript:KZM95093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKRYEEYMQGQDHQKLPGVGFKKLKKILKKCRREEQQNHQFHSQNQSRDALHDSSTCPDHCSVCDGTFFPSLLNEMSAVVGSFNERAQKLLELHLASGVSKCFIWFKYKIQGNHVALIQEGKDLVSYALINAIAMRKILKKYDKVHYSNQSQVFKSQVQKMHIEILQSPWLCELMAFHINLRETKAKKRKGAAFFDGCSLVFDDDGKPSLSCELLDSVKIDIDLTCSICLDTVFDPVSLTCGHIFCYMCSCKAASVTIVDGLQAAESREKCALCREAGVYEGALHLEELNILLSRRCPEYWEERLQSERMERTKQAKEHWESQCRLFIGV >KZM94864 pep chromosome:ASM162521v1:5:26583458:26585383:-1 gene:DCAR_018106 transcript:KZM94864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACIARHLPLFDKDFPQQIFPAKMAKVVNFKMNQDEDTNANKSLVEKSVHNADEDSADIPDAAKETDTSQQEKTHEDHRGSEIDVSLSEKRIDESMSSPNRIDQPTSQNAKQLLAEGSKSRRPYEEEEALNEGVEERLVVLEKDYSPPQRKTPIHNK >KZM95720 pep chromosome:ASM162521v1:5:34817814:34826368:-1 gene:DCAR_018962 transcript:KZM95720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNDNIRFISSSIKIPCETMPQKADIQFTLPSMKISSFRSSHYLRRKHHHIISPFASVQFSNHNSVLQFIDSVKTPFTQLVDFVKTPFTQFVNSLQPSSNIFNSTKNHSKRNPFHLKSLLLSSASLALTGPDEGSLMTPPKIGSQSASQNDEERVLISEVFVRNKDGEELERKDLENEAMTALKTCRPNSALTIHEVQEDVHRIIGSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFRGLVCEGANALPSKFVEDAFRGGYGKIVNIRRLNDVIDSINGWYMDRGLFGLVSGVEIFSGGIIRLQVSEAEVNDISIRFRRKTGEPTVGKTRPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSIVPQPAGDTGKVDLTMNVVERQSHGISAGGGISSGIANGPLAGLIGSCAIHHRNLFGRNQKLNLSLERGQVDSLFRLNYTDPWIEGDDKRTSRSIMVQNSKTPGSLVYSQPDGNILTIGRVTAGIEYSRPFRPNWSGTAGVTFQRAGARDDRGNPIIRDFYSSPLTASGNAYDKMLLAKLESVYTGSGGPGSSMLVLNMEQGLPVWTEWLAFNRVTARARKGFVAGPVSFSFGLSGGHMVGNFPPHEAFPIGGTNSVRGYEEGAIGSGRSHVVGSGEMSFPLLKPLEGVVFADCGTDLGSASAVPGDPAGARLKPGNGYGYGVGVRLESPFGPLRLEYALNDHGTGRFHFGVGYRN >KZM94448 pep chromosome:ASM162521v1:5:22445563:22449492:-1 gene:DCAR_017691 transcript:KZM94448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLGVDLANVVGEIENTNIECNRNKDDDKKSHIRFRITDGRTSLNLTFFNQIGEEFEKALKANISAQVIVVIVSAKANEHEGSPCLNNYPATRFYFNVAHHSIKALKKSLLDRNKVVMMTDECEEAVVPIFTIAEIKKLGNEYKEKQVQCIITVKKIDQKSNWYDNVCTTCGEEVNIVEGRYKCVICSRSIPFPDKRFRLATICSDSTGVLAVILPDDEIQRIIGKNAFDLENEEEYDQSEVKFPKVLKDFEQKEFSLTLKISERNLDKTSNIYHALKVNGPLEKLGYHSPTNVQTNPAQEISAPLITIPSSSLKRSPPTAKSSSKARSKEKREGDGLEIEDNVPIGKYKIVKTEKT >KZM93713 pep chromosome:ASM162521v1:5:9544797:9546879:-1 gene:DCAR_016958 transcript:KZM93713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSPGGSEEKVRIPPFTMWVVTRKHGRWENGMNVRYAALRAKTGPDIFGELSDAVIEVVCQLRILLDEKFRCVMCNRNVPYPQKRFLVSTICKDKIGKIEVLFSDRQVQTIIRKPVFEVEEEEVNEQNFPKALKCMENSECTVKLSIREGNLNNLFNTYSAIDLYIGFTVEDDLLEEQPEWNPASSTSSQVNEISSSTYHLDGLSQMNFETPTLTNK >KZM94009 pep chromosome:ASM162521v1:5:13671894:13672685:-1 gene:DCAR_017254 transcript:KZM94009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLKLHISRAITSSFHSCRSKDPSVSNPIPSFFRFSPNLAVPPPQPPPSAVKPDHLSSKSHVEPQLFQWQNKEEEWQLVYHKSPPTKKKKIIRRNKKERGESSRSFSSDSSAEFHPIREKPRKKKKKKKGCVTTGLCRLSISSSPARLSVFKKLIPTVVEGKVRDSFAVVKKSEDPYEDFKRSMMDMIWEKQMFEEGDLEQLLQCFLSLNSPRHHKVIVDAFAEIWNAMFADSNITGTSTTRNSNSVSVVDCEPTSSIDFS >KZM94239 pep chromosome:ASM162521v1:5:19857934:19860737:1 gene:DCAR_017482 transcript:KZM94239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIPYHMISDLEPHIGSQWRLKVWVTRIWQQFNHNGESIGTNMILVDVLFNNLTEVLFDGGVDLIQPHVFHFTNLSNVMATATQDEFLIDVVGILGRVQPINTFINKYNLQQSCIRFTIYDMDTSAKVTLYNEMAESFDQAIHDVVQHPIIVIISSCRAHSFRGQAELTNLAPTRFFINHNHAAVEELRDAISLHQKQMEHNRKNKRRMLAAEKKDESEYSPPKTNSSSSTTSRKMLLPSEKNNEVGESSKTLSDKIDKLIEAIEKLTAAIKKNQRRKFKCSITS >KZM95361 pep chromosome:ASM162521v1:5:31465130:31470958:-1 gene:DCAR_018603 transcript:KZM95361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASANPSGDNNNQNDGGGGGGGGVSNGNGPTCNSIPVPDNSAVGPTQAALKHNPGLSVEWSPDEQTLLHDLLTKYAAESAVTKYAKIAMQLKDKTVRDVALRCRWINKKENGKRRKDDHNSSRKNKDKKEKVADPSAKSSSHLTNRSNGPPYAQTVVSMDSDDGISYKAIGGATGQLLEQNAQALDQISANFNGFKYHDNINLFRQARNNILSILNDLNDLPEIMKQMPPIPVKLNEELAVSVLRLPPLHKTS >KZM95988 pep chromosome:ASM162521v1:5:37260898:37262659:-1 gene:DCAR_019230 transcript:KZM95988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFERPSERVKCVDLHPTQPWALLSLYSGTVCIWNYQSQETEKSFKITESPVRCAKFIRAKDWFVAGADDKMIHVYNYSTEEKIKEFEAHTDYIRSLDVHPSLPYVLSSSDDKLIKLWDWEKGWECTQTFEGHDHYVMQAVFNPKETNTFASASLDAKTMIWNLGSPDPKHVLEGHSKGVNTVEFFVTGDKSFVVTGSDDFTAKVWDYQTGTCLHTLEGHTNNVTSLRVLPNVPNGPLIITGSEDATIRVWNATTYRVEKTIISKFGRVWTIGCMTSSAQIVAGCDQGTIIGEVIYAST >KZM93234 pep chromosome:ASM162521v1:5:3933549:3937208:-1 gene:DCAR_016479 transcript:KZM93234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQCPLHPSAYTPLKYPRLQLPNCLLSVSNSFAQVKKSKSLVFNQASNIGSDNSSSSPVSFSELIETLIAGVDLSESEAESSLDFLLNDANEALISAFLVLLRAKGETYEEVLTLFIVFVVGLARAMIKHCLKVEGLSDGVDIVGTGGDGANTVNISTGASILTAACGAKVAKQGNRSSSSACGSADVLEELGVVIDLEPEGVRRCVNEAGIGFMMSPIYHPAMKIVRPVRSKLKVKTVFNILGPMLNPARVPFAVVGVYKEELVMKMAKALQSFGMKRALVVHSEGLDEMSPLGPGLVLDVTSDKIEKFLFDPLDFGMPRCTLDSLRGGGPEYNAEILRRVLSGEKGSIADAFVLNAAAALLVSGHVKSLAAGVDLARETQLSGRAVKTLDSWIAVSKKAKEAASEYCLST >KZM94807 pep chromosome:ASM162521v1:5:26128282:26141181:1 gene:DCAR_018049 transcript:KZM94807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLRTSRTRYSSLVSTFRFLQAPLSSLVSHYFPVPQSPNFTFSNEIDVSSVDSGGAGGGPTEVSIEIMADQSDDRDGGGVLRGNGGGVVGEIGVSEGDQVGGDEGESLIRSSGGAHQNVGSGGDESAQSSSSYEHRYDLQQAARLIERVIPFSFLLLLVFIRQHLQGFFVTIYITAFMFKSNDILKRQTVLKGERKLSVLVGYFLVFVLHVIGLPWWYWNEDMLNPLIMVPPKAIPPFWHAMFTILVNDTMVRQAAMAFKLILLMYYRNGRAHDFRRQGQLLTLVEYTLLLYRTLLPTPVWYRFFLNKDYGSLFSSLTTGLYLTFKLTSVVEKVRSFFSAFKALSRKEMHYGSYATLEQIDTQIHTQMANAYGFLTPSVQSVRGRINPSIGVYGSGFCSNKGIATRVLCSSSVEDVEKLGSSASASQSRVPRFVSKGCKLVGCGSAVPSLKVSNDDLAKLVETNDEWISTRTGIRNRRVISGADSLTGLAAEAARRALEMAEVHPDDVDLVLLCTSTPEDLFGSAPQACDIEDDGLFGFDLHSDGDGQRHLHATMKQEELDDPAASNGSVLGFPPNRPSYSCIKMSGNEVFRFAVRAVPQSIEYALQNAGLTGSSIDWLLLHQANQRILDAVANRLEVPLERVISNLANYGNTSAASIPLALDEAVRSGQVQPGHTIATAGFGAGLTWGSAIIRWG >KZM95055 pep chromosome:ASM162521v1:5:28261708:28261899:1 gene:DCAR_018297 transcript:KZM95055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVAHATLTGPSVVKEIVIATVLGMCAGGLWKMHHWNEQKKTRTFYDLLEKGKIGVVVEE >KZM95321 pep chromosome:ASM162521v1:5:31141457:31142817:1 gene:DCAR_018563 transcript:KZM95321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRRPCCDNTGLNKGAWTAEEDKKLVNFVITNNYKCSWRDVPKHAGLLRCGKSCRLRWVNYLSPDLKRGLLTEHEEKLVIDLHCQFGNKWSKIASHMPGRTDNEIKNLWNTHIKKKLSKMGIDPLTHKPLNADPPPDNHHDHYQSQEAELANGEETKEHHKDQQISLILEGSTITHADDQPAKEQELMGTKDGFIPNENNDNAFSIYEVPLIEPYKNTEDGFPTHEVLLLEPNENIIDGFSTPKVQFTESEGTLPGHHLPSSCSSTACSNTSASTTISISTTNSILEDLKSLQSFEDWQSDGHRKICMENAGDAYEDDFIDWNWLINDFDIDTIDFELIKSLPDPITLQNNLG >KZM96137 pep chromosome:ASM162521v1:5:38561642:38572571:-1 gene:DCAR_019379 transcript:KZM96137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDEFGDLYTDVLTPFANSSSLPVQNNVAIDDDHRVLDGESEERKFEDPNLIDESQINVGEGDDGDGEDGRGVGIPGLSILGGSENPVNGKDEDFMEGEDDWDSDSDDGLQIVLNDNNDEMDLGGMGGVEGDDAEEDNLVIVGDGDGNQIEEQDWGEDGGAIQGGEGERKEAGDGERKVNGVVQPPKTGYGYHNQFHSQFKYVRPGAPPIPGAAPLAPGVSPGQTRPPLSMGPTPGRGRGDWRPAGLKNAPPMQKGFHAGYGAPVWANNTPGHGFGRGLDFTLPSHKTIFEVDIDSFEEKPWALAGVDISDYFNFGLNEDSWKNYCKQLEQLRLEATMQSKIRVYESGRTDQDYDPDMPPELAAAAGIHDIPADNTNPEKSEVGQSDISMASLRARPQFPPGRPIQVETGYGERLPSIDTRPPRMRDADAIIEDSADDDSVNGNDIAKLPEDSSREELGVGRDVEENNSQEDTAVFDSAPQSYKDRKRELAGRKAPLNKAVRGKATGDEKPPIMLEAPVQKPSSSREKTPIYPRSHRDERHRKGRASERSPSKTRSGSTTEKPRHGIRKDESAESIDGKSGSSPHLSSSHNGGVPEDQYFEQNDATPDEQLDGRFEMDRVDSDLNMTDTHKDETSSQSIKKQKLNSRVEQLPLEEVDDREESKAARSSENSKAISGNSRDYQNLPDGTEDEVVQHGMSARMGTKRTNEDEHRARSKVHKERSEVDRHHMAFKGREAPYSRKNWESNATHHSHLKADVDRRKDSENSDGAWQRKDDESHVRRARMEDTRMRDRSDDMGPRHRSKVREVDRNDIDQYQLRKQLDNGSWRGYDKDMGSRDRDRDDNVKTRIDNFDSKRRKEDIHSKRDRGEKEELLHAHRENTSRRKRERDDIMEQRKKDEIARVRNDDQQSLRHKDDIWFPRERIDRPREREDWQRLKQSHEEIHSKREREDVRGVKSVRTVEEKSWSSHSRAKDELKGSDRDYHLKDPGRHVEQLKRRDRVETDSLPRHRGSEDIYSRASQPNNDERRSRQERPSARNDRAINASDHQKMPEKKHKEYPRKSKESVGDLNSTVPSRRNQEHNSQISERARLRGMIDQGGGDQGIPVPRQSSKRRKEDASSDDEQHDSARGRSKLERWTSHKERDFDAGTQLSSLNVKENDDHNAGTLAANTVSDVSTKTVEAGQNSHTLANETDAGDQVNIKKGGEVKPKEDKHLDTVAKLRKRSERFKLPMPSEKEPVAVKKMDNEQLPPVQTESRTDSEIKHERPPRKRRWTGN >KZM96292 pep chromosome:ASM162521v1:5:39879829:39880958:-1 gene:DCAR_019534 transcript:KZM96292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSITSSRNCGSTWTQRQNKQFEEALATYDRDTPDRWHNIARAVGGKSTEEVKRHYDILVKDIMQIESDQVPLPNYKNTGGNGRGFNNEQRLFKNLRL >KZM94993 pep chromosome:ASM162521v1:5:27738083:27739845:-1 gene:DCAR_018235 transcript:KZM94993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLLSVESMFIGRYVLSNKDSFTRGETVAMESKLLSVESMFIGRYEVLLHRYIAMMELQERNERLFYKIFIDNMEELLPVVYTPTVGDACQK >KZM93125 pep chromosome:ASM162521v1:5:2624041:2624409:1 gene:DCAR_016370 transcript:KZM93125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGCFFVYVGPEKQRFVIKTEYVNHPLFKILLEEAETEYGFMSDGPILLPCDVNLFYKVLAEMDSGKCENQVYMRSKSCGLAYGLCSPFSPTRRSYKNGGMGKEFGSYGLLTPSRLIRMN >KZM93549 pep chromosome:ASM162521v1:5:7668278:7673410:-1 gene:DCAR_016794 transcript:KZM93549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAALVAIVATIGNMLQGWDNATIAGAVVYVKKELNLGTTAEGLVVAMSLIGATLITTCSGTISDRVGRRPMLILSSTFYFVSGLIMLWSPNIYTLLLARLLDGFGVGLAVTLVPLYISETAPSEIRGLLNTLPQFLGSAGMFFAYCMVFGMSLMDSPSWRLMLGVLSAPSIVYFLLVVLYLPESPRWLVSKGKMTEAKRVLQKLRGREDVSAEMALLVEGLAVGGDASFEEYIIGPVNDLTEDQDPEAEKEQIKLYGPEAGLSWVAKPVTGQSAIGLASRNGSLVNQGMPLVDPIVTLFGSVHEKLPETGSMRSMLFPNFGSMFSMADPHAKHEQWDEESLQREGEDYTTDAGGEDSDDNLQSPLISRQTTSMDKDMGAPRSNGSVLGVRRNSSLLKGNTGEESMGIGGGWQLAWKWSERQGEDGKKEGGFNRIYLHEEGIAGSRRGSIVSLPGGEINPENDYIQAAALVSQTALYSKDLMNQHPVGPAMVHPSETVSQGVGWSALLEPGFSGINGVMYYTPQILEQAGVEVLLSSLGIGSESASFLISAFTTLLMLPCIAVAMRFVDVAGRRTLLLTTIPVLIVSLVVLVIGNVVNMGTVLHAIISTICVIIYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWICDIIVTYTLPVMLTSIGLAGVFGIYAIVCVISWIFVYVKVPETKGMPLEVITEFFAIGARQVDAAKTE >KZM93240 pep chromosome:ASM162521v1:5:3971211:3976712:-1 gene:DCAR_016485 transcript:KZM93240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAEPTNNSPSYIDKLVVPGDVVLDLSSMSNQTIKLGGGLRQDCDSITAMKAGMLRFSKPNKYWVESSQKRYVPSAGDAVLGIVVDTKAENFLIDIKGPALAYLPVLAFEGGTRRNIPKFEVGTLIYVRVVKANIGMNPDLSCMDASGKAAEFGPLKDGYMFETSTGLSRMLLSSPACPVLEALGKKLSFEIAVGLNGRVWVNAAAPSTVILVSNAIMNSETLTSVQQKLMVDKLLQRTQ >KZM93273 pep chromosome:ASM162521v1:5:4323058:4327713:-1 gene:DCAR_016518 transcript:KZM93273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLAAQLPRLRGVVLHSVILSGLRVLCHVSSHFASTSTGCNFILSKALVLQNINKIRKVKSPVLVIHDVNNPVLKEILLSGPISLTACSLVYCIITPFLEETVYRGFLLKYLASTMERPQALLGALARPVFDESGGRLRSSELFAMLPKGVPVPPAGPSPGIN >KZM93659 pep chromosome:ASM162521v1:5:9004310:9022239:1 gene:DCAR_016904 transcript:KZM93659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLTHQPLDQEDDEMLVPHQEVQVSPPVEGPLLVEGPQPMEVVSQTESTNAVDSQTVEEPQASRFTWMIENFSRLNTKKQYSDVFVVGGFKWRVLIFPKGNNVDHLSMYLDVADSSTLPYGWSRYAQFSLAVVNQIQPKYTLKKDTQHQFHARESDWGFTSFMSLSELYDPNKGFLVNDTCIIEADVAVRKVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDREDGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDMKRVLEEQYGGEEELPQTNPGFNNAPFKFTKYSNAYMLVYIRESDKEKIICDVDEKDIAEHLRIRLKKEQEEKEDKRKYKAQAHLYTIIKVARDEDLKEQIGKDIYFDLVDHDKVRSFRIQKQVQFASFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPQEEAQTVGQLREVSNKNHTAELKLFLEIQTGQDLHPLPPPDRSKEDILLFFKLYDPKKEELRYVGRLFVKSTGRPLEILSKLNEMAGFDANEEIELFEIEDGDIICFQKYPQPQNEEECRYPDVPSYLEYVKNRQIVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARKLRLEDPSKIRLTPHNCYSQQPKPHPIKYRVAEHLLDMLVHYNQISDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHNVRLPKQSTVGDVLNEIKTKVELSHANAELRLLEVFYHKIYKIPEEEKNLGPHDRLIHVYHFSKETAQNQMQVQNFGEPFFLVIHEGETLAEVKMRIQKKLQVSDEEFLKWKFAFLSLGRPEYLLDSDVVSSRFQRRDVYGAWEQYLGLEHPDNTPKRAFAANQNRHTYEKPVKIYN >KZM93247 pep chromosome:ASM162521v1:5:4039068:4039554:-1 gene:DCAR_016492 transcript:KZM93247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKIEEKVEEAAKIDLFEDDDEFEEFEIGLGLLISEVVMTEFEEKNEIGTDVTQEWEDDWDDDDVNDDFSVQLRKELQNDTSEEK >KZM95409 pep chromosome:ASM162521v1:5:31897167:31904378:1 gene:DCAR_018651 transcript:KZM95409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDQCSQSYWMEFLQLLIILVQPIGSSQFLIASSRYICLLRLALTLPCISVHTGVLVRCTRCLSMNTQRIKIKLDFQNLLRPSCALTIDDYKNEGDVLHCYQMKSKSGHANKNGPLITIIKMIACANIGITIASSCVSLSDVNHFLVRTILVLKAAISRSMIFSALAVLRQSGKFQRRLLRPLLYHVGANSSEIDKALYLLPVRTILVLKAAISRSMIFSALAVLRQSGKFQRRLLRPLLYHVGANSSEVMCDTYTPAHEPILANKRCNAAKILSHPDLQLKFLEGVVLALTENTSLGRFGIEQEYTLLKKEVNWPIGWPIEGCLGRQFKLKRNPIVSCQGQGQILYLAKLQSLLPQTYQLHIASDLRAKWWDNSRSSMAKEYINIFSGIN >KZM95824 pep chromosome:ASM162521v1:5:35903943:35906057:1 gene:DCAR_019066 transcript:KZM95824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYSLHQLKCSRPLLARFLHQHSSPDDKIYSILASDGLSKAVSALFSSPSPFSFNLYEHLFSLCSSNRSIVEARKVESHLTTFATTPPAFLINRAIQCYGKCGCLSDARELFDEMPHKNGGSWNAMITAYAKNGCVDEGVSVFLEMNGVGVLPNEITFASVLGCCGVGLELGLSKQIHGLIFKLGFAGNVILGCGLVDIYGKCSVMGDARKVFDETGNPNVVSWNVIVRRYLENGEGKEAVFMFSEMIRNNLVPLNYTVSNALGACSSISGLNEGSQIHGYSLKINFKEDCVVLTSLINMYVKCGALRDARVIFDRLGTRDLGHWTSMVSGYAKHGKIREARELFDQMPVKNLISWNAMLDGYTHLPQLEEALDFVFLMLKEIRDIDYVTVGLILHICAGLSDIELGKQVHGYSYRHGLCSNGFVVNALLYMYGNCGNLRSCRVLFYYMGHLRDYNSWNAVLTTLARHKMSEEALMIFSKMINDSEAKPSNYNCGTVLSVCANISALNAGKEIHGFMVRNGYIFDVVATGALVDMYSKCCRVEYALKVFHRAACRDLILWNCILLGCSHNCRVDEVFELLEMMDEDGIKPDHVTFQAVFAACINGSRVELGRRYFDAMSNKFFITPHLEDYESMIELYGRNGFMADLENFVKNMPFDPTVPMLSKVLNFCREHEHLSFGKWAANRLNDMNSSDAYRFEPVVAVG >KZM96139 pep chromosome:ASM162521v1:5:38579839:38582668:1 gene:DCAR_019381 transcript:KZM96139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVYADIIEGDVFKYYSDGEWKKSSSGKSVSIVNPTTRKTQFKVQACTQEEVNKAMETAKKVQKQWAKTPLWKRAELLHKAAAILKEHKAAIADCLVKEIAKPAKDSVTEVVRSGDLVSYCAEEGVRLLGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVACLHMVHCFHMAGFPKGLISCITGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVASNVIKGGFSYSGQRCTAIKVILVMQSVADTLVEKVNAKVAKLTVGPPEDNSDITPVVSESSANFIEGLVKDAKEKGATFCQEYKREGNLIWPLLLDNVKPDMRIAWEEPFGPVLPVIRINSAEEGIHHCNASNFGLQGCVFTRDINKAMLISDAMESGTIQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKIKTTVINLPSPSYTMG >KZM92940 pep chromosome:ASM162521v1:5:1067034:1068678:-1 gene:DCAR_016185 transcript:KZM92940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASQQDSIRYNRVTSIAMTNYKQKFLRHDRLRFDKYVEKKVRSAKATLAYGALLPHKIIASLDDKDLGMVAELQGQGIVDDLSSNVKLKNCIAICDISSSMKVVYMDMDKVEGEDLRSTVDFVRGLEVESATDFQKVFHVILKVDEEHLDRPQPLPSSNVGLSQGGSIVRLHLGLDF >KZM94696 pep chromosome:ASM162521v1:5:25067609:25070596:1 gene:DCAR_017938 transcript:KZM94696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLSNNLVGILNIITFILSIPILIAGVWLSRQGTTECERFLEKPVIAIGVFLMLISIAGIIGACCGVSWLLWTYLLVMFLLIVLLFCFTVFAFAVTNKGAGEHLSGKGYKEYRLGDYSNWLQKRVNNDKNWRKIKSCLQDSKVCKSMTEDADTTPVEKFYLERLNSIQSGCCKPSDSCNFTYVSPTNWTKTTEPAPNSDCALWNNDPKVLCFDCQSCKAGMLDNIKSDWKRVAVVNVIFLICLIIVYSIGCCAFRNNRESNSWKRYP >KZM94862 pep chromosome:ASM162521v1:5:26551296:26552703:1 gene:DCAR_018104 transcript:KZM94862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSHIVPPGFRFHPTDEELVDYYLRKKITSKRIDLDVIKDIDLYKIEPWDLQELCGLGVEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKLDLVGMRKTLVYYKGRAPNGQKSDWIMHEYRLETDENAPTQAKGWVVCRVFKKKIAAVRRMSEHESPIWYEDQVSFMPDMDSPKQPNITYRYPYPCKKEQEFQYQNISGSDHFFQLPHLENSKMLQSPLPLIRSCNYMPPYGLNVNLSRNIPQSSSIAQDEISYPSSYDQAVEQVTDWRVLDKFVASQLSHEDVPQDNHEYLNVNAAALSSVVSADDDNSSTLVRNLNSQEILDPENTSNSISSCQIDLWK >KZM95415 pep chromosome:ASM162521v1:5:31934235:31935247:1 gene:DCAR_018657 transcript:KZM95415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETIIPARNIGNHINTVTREILQPGMVLLTNYISLPEQVNIISRCRELGLGPGGFHQPGYQDGAKLRLQTMCLGKRWDPETKYEEHALGNVPKTLGIPDEFVLLVKKALTDAQLLIKKNEKLRDVEEMLPGMSPDVCIVNFYTTNGKLGLHQDRDESKDSLRKGLPVVSFSVGDSAEFLYGDERDISKAESILLKSGDVLIFGGKSRHIYHGVTSINPNTAPRALLKETNLRPGRLNLTFRQF >KZM93960 pep chromosome:ASM162521v1:5:12847913:12857316:-1 gene:DCAR_017205 transcript:KZM93960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQDPELPGRLLLEQYQAQLISAVRTALDASSGPILLEAGLQLATKMLTSGIISGDQIAVKRIFFLISRPLDDFKDLYYPSFAEWVSCKIKIRLLTAHASLKCYTYAFLRRQRSEIPDEQLALLPLFAKSSSLLGKYWIRTLQDYSYVCFRLQTKSEWKPFLDGIQSSLVSSKLEQCLEEAWPVILQAVSLDAVPVNSSENGSSISKEDTSKSDLYSGYSMVELDLKDYQFLWGFALLVLFQGRDKLDKNIIPVGSVKSKSGKHSLAEDTLTALKLYEIVLPVFQFLSTERFFSNKFLTMDICNELLQVFFYSTFMEDTWDSLAISVLSKIVQNCPKEFLEADKFAYLAAELCLAFLFKFLRSANASSQCLPGCEDLISVSLTAAKEILGRSELKKQFQLMLAYISMGYKCSEGASTESCFAKANDFVQSISHLIKNHVDDKSKLGEDGIFVLRTIIGACIDLFISLTKDCIKSIHLVDNKKSNSCKLLQMKLALFLEQMASFAKLAYEIESFGDNVETKPMLFTVLSNCTRCIQASLADQEIQVKSVGLQVLRGILLRGTHGGRSCFLIFFISEILKDVITIVQQNLVKPINMEAVIVTGECLKILMLLQTLSNSTECQKGLMHLLLEVVVLVFSTTEKEMSQEVKELRNTAVRLVSQLAQIPKSAAYFKDVLLAMPAGRREMLQGVIRASVMQDQKTTQTKSPTPLLVIKLPPQAESSKEKKVASSPPIEHENSSEEEEDDDWDTFQSFPASANEVDPSTEVEEPNDIPIPDVTTEDTEFQEDRETSSAVEKPDQRKEVEVNMEDEVEGKNTTDD >KZM94708 pep chromosome:ASM162521v1:5:25168436:25168678:-1 gene:DCAR_017950 transcript:KZM94708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLEKEKYMSASDHFMKTLTKTKIVPLYPKIVVGALFSAAFHFLVQSCVGLRSQTDIHPIDIKIIATLRIRIEALAMFC >KZM95392 pep chromosome:ASM162521v1:5:31720379:31722012:1 gene:DCAR_018634 transcript:KZM95392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRGSSASNKLWVWMRRQSMMVKVMMGIMVVISALVGLRMFVKDHNHFFVASEAIHAAGILVLIFKLATKRNCSGLSLKTQELTALFTALRLGCSFYMEGDIHTVLDSLTLVFTLWVIYMIRFKLRATYMDELDTTPLYILLVPCALLSIFVHPYTNHSIYTRMLWAFCVYLESISVLPQLRMMQKAKLIEPFTAHYVFALGVARFLGCAHWVISVYETSGTYLFLFGSGLLWLPTVLLSEIIQTFILADFCYYYVRSAVNGQLLVSLPTV >KZM93700 pep chromosome:ASM162521v1:5:9376500:9379644:-1 gene:DCAR_016945 transcript:KZM93700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRFRSRVRLYQVWKGYNRFNCGGRLVFGPDGPSAALSASLIGIPSIVFCIKMYLNLSKNSASHGYSVVIVGIVLLVLDFIFLFITCASDPGIVPRCPRPCESETSSVASTDWGKGSMNSRKMPRTREEIINGQIVRVKFCDTCWIYRPPRSSHCSVCNNCVLKFDHHCPWVGQCIGITTYESFKKQHDKKKNPYSQGVLNNLKEVFFTKIPPPLVNFREVVFEDDYSCTDSVRSREPLSRDASKNVYSASKKVSLIELRYSMKGKGKELNTGGSFEIGSLAPLDPEPRLKKWNSFTGETSSHEDLSSEDAMLHRNISSSSYM >KZM95863 pep chromosome:ASM162521v1:5:36346083:36347668:-1 gene:DCAR_019105 transcript:KZM95863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKDEVSKKDKIQVVKLDKAFKLAEKWVKNMSKSSEDQSTKGPLKARPPRLGLGAAVPRQTPVAPSSDPVERKLRGNLDAAKRKAAKDVLESVPSARDESVDEDSEEELESRSKAISKRPATNSNSSLQARKKQK >KZM92967 pep chromosome:ASM162521v1:5:1264381:1265788:1 gene:DCAR_016212 transcript:KZM92967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSEIGVWMTCPMSTYLQDQLAQRFSVYKPWNIPSPSNPDSLRPHSPSIRALVGNGNYGANAELIESLPSLEIIASHSVGLDQIDLGKCKERGIKVSYTPDELTDEVADLAVGLALATLRKICWCDGLVKSGFWSRGGQFGLGTKFSGKSVGIIGLGRIGLAIAKRVESFGCTISYCSRSQKMDSGYRYYAHVIDLASNCQILIVACALTSETYHIINRRVIDALGSEGVVINIGRGAHIDEPELVSALVEHRLAGAGLDVFEHEPEVPEQLFGLDNVVLSPHRGSATEETRKSMADLIIANLEAHFSGKPLPTPVLF >KZM94189 pep chromosome:ASM162521v1:5:18193908:18198222:-1 gene:DCAR_017434 transcript:KZM94189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDLLRRKSDMLDDFFSIHVDEEGNLGRLPVILDQYTPEMDRLPEFVLCLGNDVDWDDEKTCIQSVAAAIGNFYAMHPPLLPNPAGEGLQFYKRKPSCKSEERDATTLGDSGEIKDDIEHELLSEAENAWAQREWTIQHILFPSMRLFFNPPCSMARNGTFVQVASLEKLFKIFERC >KZM93016 pep chromosome:ASM162521v1:5:1757784:1759079:-1 gene:DCAR_016261 transcript:KZM93016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSEVIVDGSFIYEKETQLTVRKTCLFFPGDGFTVYDCSGHLVFRVDTYATDASHRSELVLMDPSGRCLLTVRRKRPSLHQRWEGFLGERMEGQKPIFSVRRSSIIGRSSVTVEMYNNPGEEYQIEGSYAQRSCAIYDMDKKTVAEIKRKVDCTTNVVLGKDVFALFLKPGFDGAFAMGLVLVLDQINGDDHSDDDSGPDLDTASEDSHKSS >KZM94402 pep chromosome:ASM162521v1:5:22023657:22027062:-1 gene:DCAR_017645 transcript:KZM94402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFCAKKRTANQAIELKNHSVLNGKVIRVTWSSRDPTARKTGIGNVFIKNLNESVDNAKLQELFQKFGNIVSCKVVMSEDTKSKGFGFVQFEHEESAHAAIEKLNESIFEGKQLYVGKFVRKSDRASSGLDDKYTNLYVKNLDLEVNEELLKEKFSEFGKIASLIISKDENDKSRGFGFVNFDSPDDARRAMETMNGSQLGSKVLYVARAQKKSEREQLLRRQFEERRKEKILKYQASNVYVKNIHDKVSDTELREQFSQCGTITSAKLMRDEKGISKGFGFVCFSTPEEANKAVTSFHGFMLHGKPLYVAIAQRKEERQAQLQLQFAQRVAGLAGQPAVFSGGYPPYYYTAPGIIPQVSPRPGLMYQPLAMGPGWSANGFAAPSRPAFQPSHRPTRFDFFSKMPNNSRQHRQNRGRVNGHLHPQGGPRSVSFVPHLQQTPQLLVSSNDASNQQGSGHASYVPNGRARGVNKGTTASSVPMNSDGTEGLEMPVPMNPDGTEGLEMLSSRLAAASPDQQKQMLGDRLFPLVNQLKPDLGGKITGMLLEMDNSELLLLLESPESLSAKVEKAVEVLQLSKTKVADQEALHPSYLSAQVAVN >KZM94327 pep chromosome:ASM162521v1:5:21117070:21117567:1 gene:DCAR_017570 transcript:KZM94327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVPENFESVASCEFNVDGALLLSQFLDESNVEDCEDDERLTSVIRSLEAEIMEPILMTEDGDSFMELEWDDNFVMIRDYIFDGPAENEMNSQDCSTSSESDDLDNYNWMDIEDMIQSGVGDDYCRYSQYDTNEYYVNHNSYVINSLDEQSYGSLWQDANVLM >KZM95844 pep chromosome:ASM162521v1:5:36181310:36184274:1 gene:DCAR_019086 transcript:KZM95844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSADAFRTDLMTITRFVLNEASKYPESRGDFSILISNIVLGCKFVCAAVNKAGLAKLIGLAGETNGEEQKKLDVLSNEVFVKALVSSGRTCILVSEEDEEATFVETTKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMMNDGEEPTLEGALQPGKNMVAAGYCMYGSSCTFVMSTGSGVNGFTLDPSLGEFILTHPDIRIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKFPTDGSPAKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPTGKLRVLYEVFPMSFLMEQAGGQSFTGKERSLDLVPKHIHERSPIFLGSYDDVEEIKALYAAEAKNS >KZM94129 pep chromosome:ASM162521v1:5:16305869:16306180:1 gene:DCAR_017374 transcript:KZM94129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEADKIILDTIKENTKMKETVTLLEEENKRLKDKIKLLEIHHSNNERMIDLLKRHRDEQQALGLHMIDPTKFAPPQIGNKRKLEHGEGSQVPRDEGEQKKE >KZM94092 pep chromosome:ASM162521v1:5:15364747:15365328:-1 gene:DCAR_017337 transcript:KZM94092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVNGTEFSLTGLLGLISEPDVKESWQMVVSATLWIIWLFRNAYVFNKVKTCKEGVLSVLRARITKWLESTGILCGEYVNLFWVNPWGTARVVFKHKYEEFWEGIMSRYDWVVTVDGAVHKSNNMHVKAGIGGVIRSSRGKPEFIFSGPSGAINAFDAELDACLHILRILEGNFEEGTSIVICSDSMEMVAY >KZM96325 pep chromosome:ASM162521v1:5:40118438:40129666:1 gene:DCAR_019567 transcript:KZM96325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYGLSIELAILLSCEVGLLLATYLCFWACRFDRELNKEVAIKVIDLEESEDEIEDIQKEIAVLSQCQSPYITEYYGSYLQQTKLWIIMEYMAGGSVADLCTPECVITISLYPFSAANILLTENGDVKVADFGVSAQLTRTISRRKADIWSSGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRAIKEYVSLCLKKLPAERPSAKELLKHQFIRNARKSQKLLERIRERPKFQLKEDGGTQALTGPSGTVKVKRDSKVEDTIRASSQGKTLKSAGWDFSIGGSSSTGTVRSAVKPQARERKAEVSLSRASSKRTLKSGNRRSSSGITTNKSDVSIRRDSGAQLIDEKQDSEENEDLAASWTGTVVVRSPRGVKSSSAFGDQSTLSRSTFASAEDASTSGTFVYRGPHDDLDLPRTPKSRLGIQEKTSSSSHEDSAKNLSEAKAALQRGLKKGSVKEKSALGKGNRYGNESKGTDQSTNSSDSPRRSRDYADALKAFPRSRQANDGAKSAMLASAPVATILLSAPIATLLIPSLKEAVGDDDPDASLVRGVATSFAEMESLKPGSFKVFMSRILQRLASSEESSLKDLQLLASDVLTKGRTAARQTNNANAETVSRKKQQNKEADQSSNLSPLAKFLLSRFVK >KZM93902 pep chromosome:ASM162521v1:5:11961328:11961993:-1 gene:DCAR_017147 transcript:KZM93902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTEITGRLGEKLLKLIINTLRTESLYYKKPCNEAQHHSPQRDVDTEVEPPDEEVLNENFSIRRDDDVGLNQLESVDYDFDGENEL >KZM96278 pep chromosome:ASM162521v1:5:39750526:39752480:-1 gene:DCAR_019520 transcript:KZM96278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPWRIQQHFRKQGVDGPDYQPFYGNTAEMIRMTKEAQSRAISFNHDIVHRVLPDYYQWSVKYGKIFLCWFGTRPRLAVVDPDMIKDVMLKTSDTIERDDFSPLSKLLFAQGLPGLRGHTWAVHRKIANPAFNLDRIKVWVPGMVASVTKMLDNWEAKVGEMGETEMEVHKEFHELSAEIFSRTALGNNYETGKRIFKLQQQQEISTYLAMQNVYIPGFRFLPTRMNRLRWRSEKETRDTMRMIIEASRNTSENSLNFLSMLMSANMNKRGPRLEIEEVIDECKTFFYAGKEATANALTWALLLLAQHQEWQNKAREEVLLVCKDNEHPTVENLQELKIVSMIIKEALRLYTPDNSICRRTLKNVYLCHTKDRK >KZM95613 pep chromosome:ASM162521v1:5:33876532:33878962:1 gene:DCAR_018855 transcript:KZM95613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKENICSETTEVGKRNPTDKDKYVKYNLLGNRFQVSSTYVPPVRLLGTGAYGIVCCATNSETKEEVAIKKIANAFDNRIDAKRTLREIKLLCHMDHENVIKIKDIIPPPEKENFVDVYIVYELMDTDLHQYFMYQLLRGLKYIHSANVLHRDLKPSNLLLNSNCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIKREPLFPGKDYVEQLLLITELLGSPEDSDLGFLRSNNARKYIKQLPHVPKKSFAEKFPDVSPVAIDLAEQMLVFDPSKRITVEEALNHPFLSSLHEINEEPTCESPFVFDFEQANLSEEDVKELIRLECQKFNPDKMQE >KZM93792 pep chromosome:ASM162521v1:5:10769900:10770466:1 gene:DCAR_017037 transcript:KZM93792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAISLLSALSILSLLLLTGESQDFTKRIPKNSLGLKKEKLSHLHFYFHDIVSGRHPTAVRVASAAITNTSSTGFGAVVMIDDPLTVGPEPGSKQVGRAQGIYASASLSEAGTLLMVLNYAFTEGKYNGSTLSILGRNPVFSAVREMPVVGGSGLFRFARGYAQARTHFFDLKTGDAVVEYNVYVFHY >KZM94143 pep chromosome:ASM162521v1:5:17059694:17061483:-1 gene:DCAR_017388 transcript:KZM94143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FLS-2 description:Flavonol synthase MEVERVQAISKMSSCMDTIPSDYVRSENEQPAATTMQGVVLEVPVIDLGSADEENVVRLITEAAQEWGIFQVVNHGIPDEAIAKLQKVGKEFFELPQEEKEVIAKPEGYQGVEGYGTKLQKEVGGKKGWVDHLFHIIWPQSAVNYHFWPKNPPLYREANEEYAIALRGVADKLYEALSLGIGLERHELKEASGGDDLIYMLKINYYPPCPRPDLALGVVAHTDMSAITILVPNEVQGLQVYKDDHWYDVKYIPNALIIHIGDQIEIMSNGKYKSVYHRTTVNKDKTRMSWPVFLEPPPELLTGPISKLITDQNPAKFKTKKYKDYVYCKLNKLPQ >KZM94577 pep chromosome:ASM162521v1:5:23804495:23805550:1 gene:DCAR_017820 transcript:KZM94577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGALSEGVLKKILLSYAYVAVWIFLSFSVIVYNKYILDRKLYNWPYPISLTMIHMAFCSSLAFVLVNFFKIVEPVTMSKDVYLKSVVPIGLLYALSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVMFKKESFKSDTMVNMVSISFGVGIAAYGEAKFDVFGVVLQLGAVAFEATRLVLIQILLNAKGIKLNPITSLYYVAPCCLVFLSVPWFIVELPVLMETSSFHFDFFVFGTNSICAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTAINLVGYGLAFLGVAYYNHAKLQDMKASEAQKKSQQADEEAGRLLEEREKEVEGGTRKNESEK >KZM96331 pep chromosome:ASM162521v1:5:40163634:40166465:1 gene:DCAR_019573 transcript:KZM96331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHSHHLLSSLHPALHSHPRIPPFSLSPPLRRPIPVFTRPGLCLAQPNDEVGPIELPPESNSIFATDDDPTNLQVATSVLLTGAISVFLFRSIRRRVKRSKELRFRSDGVKKSIKEEALESLKAMTPAGSLEANANSPPSVAQTLLGGISAGVIALILYKFTTTVEASLNRQTLSDNFSVRQITVTIRTIINGICYLATFVFGLNSVGLFLYSGQLALNSLMGEETSEQTEGSSNLTGSDNSEMNQSSGDNNIDSPQ >KZM96014 pep chromosome:ASM162521v1:5:37433180:37435517:1 gene:DCAR_019256 transcript:KZM96014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEHKSLCAVTHGDGDFCKTIHVIQTFSPPIKPSLPAAAANSDSDDELLNPPLNFAMVDNGIYRSGFPDPTNFPFLQTLGLRSIIYLCPEPYPAANVEFLKSNGIQLFQFGIEGTKEPFVNIPEDAIREALTVVLDIRNHPLLIHCKRGKHRTGCVVGCLRKVQRWCLTSVFDEYQRFAAAKARVSDQRFMELFDVSAFKHLPITCSFLKSCEGRTHSFPSEDK >KZM95148 pep chromosome:ASM162521v1:5:29150657:29153332:1 gene:DCAR_018390 transcript:KZM95148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMMATKYVARFLSSTTTTSVLRRTAALRNGRTARVGGYLVKGLLDHGADGKHWIIWVKEPEGNPTRDEIIKNYVNILARALGSEEEARMKIYSVSTRHYYAIGALIDEEICSKLRDMPQVLSINEDTYMDLEKRDYGGEPFIDGRPVPYDRKYHAAYWAMQEGMLYFPTNMDDHKDAEIRATNSASQDKEADYKLSIPRAWFSRVRVWWNGPSP >KZM96264 pep chromosome:ASM162521v1:5:39625775:39627089:-1 gene:DCAR_019506 transcript:KZM96264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRIISKRFLFTKKRDLITPPEPVTEEGFVTRFLTRKSINQYSVPKPPEFLYAPVGDRLREKLWPLNKSSSDHLRFDMGSETLRADNLDGVSLSVPDVKKMWKCWQMEKLKAKLGEMNASSISYSEFTKICCEVCENHERGLECAKMLDDAGNVLVAGHVVFLRPDQIARSMEKLLYESTALPNDPRKQELDKLEKEKAELDAKAESLVRRELYCGLGFLLAQTMGFMRLTFWELSWDVMEPICFFVTSLHFALAYGFFIRTSKEPTFEGYFRRRFVVKQAKLMRDHNFDVEKYEQLRKVFYPGYGKSEII >KZM95935 pep chromosome:ASM162521v1:5:36899701:36907511:-1 gene:DCAR_019177 transcript:KZM95935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKTWKETLKLCTNDNHSTSTSSYNSSLSDPIDTNRNPRIPPKTSLFKQLLRIQDPSVSLLQIEPESETHVIDEKEADGSSLGGSKSDISLFDNTGPYEPLVLSESPLVQVPASINCRLLEHQRGGVKFLYKLYKNNHGGVLGDDMGLGKTIQTIAFLSAVFVKDATSGYPRSLNAEQANKDRPVLIICPSSVIQNWENEFSKWASFDVSIYHGANRDLIMEKLEVHGVEILITSFDTFRIHGDVLSEIHWEIVIIDEAHRLKNDKSKLYQACLAIGTKKRYGLTGTIMQNKLMELFNLFDWVVPGCLGTREHFREFYVEPLKHGQRSSAPERFVRIADARKQHLVAVLRKYLLRRTKEETIGHLMMGKEDNVVFCAMSEVQKRVYQRMLQIPEIQSLINKDLPCSCGSPLKQVECCNRTVPNGIIWSYLHRDNPDGCDSCPYCVVLPCLIKLQQISNHLELIKPNPRDDPEKQKKDAEFASMIFGHDVDVVGGNTRNESFMGLSEVKHCGKMRALEKLMLSWISQGDKILLFSYSVRMLDILEKFLIRKGYTFSRLDGSIPTGLRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRFGQKRHVIVFRLLSAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKQFQGELFGICNLFRDLSDKLFTSEIIELHEKQGQEQENPSARQDKNDLVAYFLPSLETCGTSSSINSDPNDKSIKLVPDDFGIVYAHRNEHVVNYGPGAQDEEELSVAQDEQLKESYIPCSLKRKQDKASKKENMSVKMQKKSQYSLLAKYMGMKEVEFSKWLLSAAPAEREKVLRDYKQQRNR >KZM96458 pep chromosome:ASM162521v1:5:41123088:41124687:1 gene:DCAR_019700 transcript:KZM96458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSHELEELAGMTIQEIRLCRTGLMEVLDYIANEHTEIETPSPEVGCHHLFITQGISEVLKGIEMRQFFIGNFEEYESTQLSTESEYTWYKVNATAMYLCSIPEFRLLCTSFVKVVTDYGFTEGP >KZM94614 pep chromosome:ASM162521v1:5:24216574:24230356:1 gene:DCAR_017857 transcript:KZM94614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQACLLSSRPGDIAFALPRGKIVVHRGLLQKLNSDAELLFLKDALGHIQNVQSLKSSKNDSEKVLTKFDLFDGRILAMKNEEVKSPPPEEVKYQTLTVKEIQSLRSESSDAPSVNTKNITLLIIIIMDDPERILDAYIHDYLVKRRYSATSRIFQAEAAVPCNSTVIDAPGGFLYEWWSVFWDIFIARYKLQVPGVLSNSETQVTHEQENPQQRHQHSLESRRNLQMNEYLLQGKIQQQHQQLLGQQRRKEIEMDHRAHDLCSRQPDIMAIDANKALTMNSLAGQSRNIRNSPSAGISYLHPSINEGVTNTPLEGHPLNVTGSSNDGKLFSASEVVPNEGSGLQEGYPLSASGDGDVLTKQTQHNNEHRQQSRQGAIRKKSQNSDCTLYRNDKEGFGGSSTMFDDSLSNSSQRNDQASKNKTGQKRKISSSAHARKAVTTSTAGPSPTALPASSSSHRAVDSPRFSRSSCLLGNYGGGKHASGALSTPLDCFAGGQSLEVNDNTNMISNSADHQVDPGEGGSTFSEIGQISAACQVNFCNLSTDGKLLVTGGGYDKKANLWSTDLGELRGVFEEHSDEISDACFSPQRPCIATSSLDKTVKVWDVEKPSYSLQTFCGHSAPVTSIDYHPINGDLICSCDSVNEIRYWSVKNGECDRVLKGGGTKVRFQPNHGRYIASVGSGLSLLDVETTQVVSNQFESLDLWNMVENRLMTPVVEPVCALAVSETSGLVASASWDNGVKMWKWI >KZM96170 pep chromosome:ASM162521v1:5:38831761:38834889:-1 gene:DCAR_019412 transcript:KZM96170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNACELDQGSHKHLMMETSRSPGGVAERNSESTRRSKTREVPSRYRSPSPSAGSGPKRCPSPGTTRKAVVSSASAPKRAVSAERRRPATPPSPPSPYTPVEDTAAVIQLASKKLVGNRLPEALWPSTMRSLSVSFQSDSFSLPVSKREKPVSHAPSDRTLKPSSNVAHKQSMTAPVSRKSTPERKRSPLKGRNSNHQSENSRPVDSLHGRPSDQHRWPSTTGGKVSSPSSTKRTDSGDRTMKALVQPQPGLAASSLRRMSLPNCLSKPLQTSPSDAMRLISSDGSGKIELEACPTEDNAPRIPNVVSTRSSERTKTVTPSVRAQSLPTSGARPASPNKMHVSSTSHSRGVSPSRTRAFTPSSARGVSPAPSRGVSPVPSRGVSPSPSRGVSPSPSRGASPSRTRPSSPSRQSSSSTSVLSYISDMKKGKKVASHIEDAHQLRVLYNKQLQWQYVNAQADAALYSQKVTSETALCNVWRTTSEMWESVAEKRVDLQNLRLKLKLNSVLKEQMAYLDRWPIIEKDHSCALSGAIKDLEASTLRLPVTEGARANIDTVKAALCSAVEVMQMTGNSICSVLSRVEGRNSLVSELAEVAAQERAMLDECEALLATTAAMQVEENSLRTHLLQLKHGFGEEHLIYDDY >KZM93062 pep chromosome:ASM162521v1:5:2074098:2074966:-1 gene:DCAR_016307 transcript:KZM93062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGGLLGGSGSCSSRSNSFQRDLARKKKNNRFKVNSMYSPVSSDPYKTLNVHPGASESEVKKAFRRLALQYHPDVCRGNNCGVQFHQINEAYEALMNRLREEEEAAENNERRMYGGDEDDEQMRGMYDPDWDMWEEWMGWEGAGIRDYSSHINPYI >KZM93891 pep chromosome:ASM162521v1:5:11899870:11900331:1 gene:DCAR_017136 transcript:KZM93891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSLLKFAAILSLFTILFISPSSQNLLNDEDDEALEYVLDTPFSNARSRSRFLADKTVVKKGTTCSQSNKQVCDGVSANNGTSLLYCCKTHCRNVLGDRNNCGQCGTKCPFPQRCCGGKCTDVESNDLNCGKCNKKCAAGVQCENRICGYA >KZM93912 pep chromosome:ASM162521v1:5:12102479:12106315:-1 gene:DCAR_017157 transcript:KZM93912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKYGKELDVAVKVVQMACSLCKTVQKGLISGSFNQVKSKNDDSPVTVADWSVQATVSWMLCEYFGSESVSIIAEEDVQALSEPDSEGLLGMVVTTVNEALAKAPIYGLNGPGKALMAPQVLEAISRCNSSGGPVGKHWVLDPVDGTLGFVRGDQYAIALALIDDGKVVIGILGCPNYPLEKESLNYHDNGLHKFSSSSTSEVGCVIYTSKGSGEAWMQPLIHGNEGLQGPNCAKLIKVSAIDDPALATFCESVEGANTNHSFTAGLANGVGLRNKPLRVHSMVKYAAIARGDAEIYMKFARGGYKEKIWDHAAGVLIVQEAGGVVTDAGGRPLNFSRGMYLEGLDRGIVACSGVKLHEKIIGAVYASWDSSNL >KZM95472 pep chromosome:ASM162521v1:5:32396037:32396240:-1 gene:DCAR_018714 transcript:KZM95472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRDMGLLFDEHPPANYGLGEVIDGEEPQAGGRILLCQDQRDAPPFLWRLLSLPARLLRAIAKWLF >KZM93024 pep chromosome:ASM162521v1:5:1801305:1808100:-1 gene:DCAR_016269 transcript:KZM93024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRKKETKYTAAPTPPAIMCPGFSNGQAKQENPETVSIPTLRPSLTLHIPRQGFRFRMEANMSHLTGEVVFLIRQFLEEKEFKNAIRWSDYGKAVEMLRKELTVFSVTHDNDLTKMTLLLRSPNFRANAEFSMYGDTKEARSNVLEMLKMSIEENPLFRDKLQLPNIENSRLQRLINQSLKWQHHHCKNPKPHPGVQTLYVDHSCGQIYGARAPSGVTTQLVSCDFPPHVAPGAGTHVMFHTLGGPKGLSARDNTGNLDDSTQFVDGIYVNHPSSTNPEMVYHTADYESVQKRARHLQSVDENEQSHTWPLVNHGMTGEMAKSRVWKPEEMSEQSQLHFLRLPDTSSSAQITRLIYTDSGEAILALAYNGLHKLWKWHRNEQNRDGKATVKFLPELWRPATGRLMINDLSMTKPEDAVACLALTNNDAFVISGSGGKVSVFSIITFMICVWSTEVWNDQANKPVHISSGRGRNPPRKNRVQFHQDQIHLLVFNETLIAVYEAPELKCIEQWFRGHSTGPITCATYSCDSQSVYAAFESGDIIVFSAALVLQCKISPEAYLTSSPRKTVYPLAIAAHPRDPSQFALGLNDGGVLVLEPLDQTQDGVL >KZM94105 pep chromosome:ASM162521v1:5:15713143:15714918:-1 gene:DCAR_017350 transcript:KZM94105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVPVFDDSDDGSKAERMEPRNIYKAKVDMSGVPKIFGRFEGGGSLYQYPEDDTELLGLCWQPSAKMRCLCQLLGEIHFSYEHPDVDLYPFEDVLKV >KZM95394 pep chromosome:ASM162521v1:5:31743176:31746057:1 gene:DCAR_018636 transcript:KZM95394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIRRRRLLGLSSGRSSIEDPLPKTSDNGHNPETPMKNSRASSVHPVPFIDIDQVKENDASEVHSGSSNVSIPSSSKEQHHKKFSGISTVVEFKRRKRYRRKQIGNQEPCIMRGVYYKNMRWQAAIKVEKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEKDKEALRKLSWEEYLAMTRSEINSKRHERRTRGRSSRKVDTIDSGYCDVDEGSISGSED >KZM95837 pep chromosome:ASM162521v1:5:36019018:36038534:-1 gene:DCAR_019079 transcript:KZM95837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKSEETSAEMLKNTPSNIRRLADEIEQCEGRQKYLAQTSSASDGGDVRWYFCKVPLPENELAASVPRAEIVGKSEYFRFGMRDSLAIESAFLQKEEELLSSWWKEYADCSEGPRAPKASSSKLDAQLRSSVLESAGSPQLFTPEEERVGVPVKGGLYEVDLVKRHCFPVYWNGEDRRVLRGQWFALNKGLDWLPLREDVAEQLEYAYRGRVWRRRTFQQSGLFAARVDLQGSTLGLHALFTGEDETWEAWLNSDASGFSSAFNFGGNGVRLRRGYASSQSSKPTQDELRQQREEEMDDYCSEVPVRHVVFMVHGIGQRLEKSNLVDDVATFRQITANLAERHLTRYQRGIQRVLFIPCQWRRNLKLSGESAVEKITLDGLRGLRVMLSATAHDILYYMSPIYCQAIIDSVSNQLNRLYSKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQDNLLSPFHVDLASKENLYRTSSNANVGKQHFESGSTSNLGKDILVKNESKGMEDATDEGMLNVNSELSSVEDLANSAPAIPPVSSADELSPVPVGSKQTNNDSTSADEHSPLPVVSKQPDDDSPSADELSPVPEGSRLPNDDSKSDEIQTNSVSGRLEGSSDDEHSLPHVESIEPHDDSPSNEVHANFVPAIHLVSASDENPPVHVGGSEPNDYSLSDNNITPPILDHCSILADVRDESNKSENRNSDLAEEKDGISENLVGCSMGNTAEVSENIEVKSIDALMKEIIALRDRIRELESHTGAKGAEKETSLTGQPASEKPPPGKNITEKTYTHYINYTKLKFKVDTFFAVGSPLGVFLALRNVRLGIGEGHDYWDEDNIREEMPACRQMLNIFHPFDPVAYRIEPLVCKEYINRRPVIIPYHRGGKRLHIGFREFAEELSTRSQEVFDQIGSIKVKVVTLCQSRNDDSNEEESEVTEEERSYGSLMLERLTGSEKGRVDHVLQDKTFQHAYILAVGAHTNYWRDYDTALFMLKHLYRDTPEEPSSSVESDEENSSKHRSASECWYDQRELADEELPLTFADKVSVKDFCRKAKRAIKG >KZM94673 pep chromosome:ASM162521v1:5:24790471:24795929:-1 gene:DCAR_017915 transcript:KZM94673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDHTLLSLRPGGGVRGSRITASAFSSSAFASSDLPILRTHASKTRDSRFEGHEVVRFTRDQLLQLREAVKITDDILRVKQEVEAEFFGADQDWNRVENNLQNQPNQSAIRQLEPDSRDWRSRPTPLPSTVDLRHNGGNQYNRQDQQDLQYRRGTFSSQHGGGPTPALVKAEVPWSVQRGTLSEKEQGVISLLFDKAVLEPTFCPMYAELCSDLNDNLPPFPANEPGGKEITFKRILLNNCQEAFEGADKLREEIRQMTAPEQDWDRRDKEKMMKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGPDNKTCPEEENVEAICHFFKTIGKQLDENQKSKHINDVYFIRLKQLSTNPQLEARLRFMVRDIIDLRSNGWVPRREEVKAKTITEIHTEAERTMNLRPGATAIIRNSRAMLSNAQGGGISPEGFPLNRPGTGGMMPGMPGTRKMPGMPGIDNDNWEVPRSRSMPRNDPNQLAGRVQSPSVGRSAALNARYLPQSGARNGGPSGLLQGNAVTSVRPSHFSSSVEPTPPFPARPVTGAAVLPVANQSSASGRTSPEVCKRKTTSLLEEYFNVLMLDEALQCVKELNSPDYHAEFVKEAISLALEKSPPCLEPVAKLLDYLYAKNVLTSADINSGCLLYGSNLDDVGIDLPKAPLNFGEIMGKLILAGELSFKVVEEVLKKMADDIFQKAVFSAALRIITSSPSGQAVLDMHAADIESCKSLF >KZM95151 pep chromosome:ASM162521v1:5:29186373:29187725:-1 gene:DCAR_018393 transcript:KZM95151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYSVSTRHYYAIGALIDEEICSKLRDMPQVLSINEDTYMDLEKRDYGGEPFIDGRPVPYDRKYHAAYWAMQEGMLYFPTNMDDHKDAEIRATNSASQDKEADYKLSIPRAWFSRVRVWWNGPSP >KZM96316 pep chromosome:ASM162521v1:5:40075774:40076001:-1 gene:DCAR_019558 transcript:KZM96316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGCICMCVLVADLGVVLRQSRDEIGVKLSRRGLVVRKRVGCRGITIGEVEKHRMAGELTLGFTKEKRRRLKRR >KZM93598 pep chromosome:ASM162521v1:5:8253832:8257662:1 gene:DCAR_016843 transcript:KZM93598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-23 MKDIQGRKERIRSLFSKVELSVSAYDTAWVAMVPSPRHSQTPCFSNCVDWILENQLNDGSWGLPHHNGQWLKDDLSSTLACILALKRWGVGKEHINRGTHFLELNFGSAIDDSQPAPVGFDIIFPGMLEYATTLDLKLPLDQTTFNDMLKKRDREIKRYSENQSSQSEAYLAYVSEGMGNSQNWDMIMKFQRKNGSLFNSPSTTAASLSHTQNTGCLNYLRGVLKKFGDAVPTVYPFDIYARLCMVDNLDKLGIDWHFRQEIRTVLDETYSSWLQDDEEIFKDVATCALAFRILRVNGYDVDSEKLTQVAQEDYYCNSHGGHLNDTYEALELYRASQNIIYPNETALEKQNSWSKNFLQRKLNNRSVHSDKYTSAMFQEVEYALKFPYYATLERVVHRRSIEQYNTGNLRILKTLCLSENISNTEFPRFAMEDFNTSQSIYQEELKLLESWTVDNKLKTLEFAREKNVYCYFCAAAMIFPPELHEARITWAKYSILTAKVDDFFDNGGSMEELLNLIQLFKKWNVDVHTECCSENVRILFLALRSTICETVDWASKWQGRNVTNHMIEIWMEVLDTMLKEAEWARGTYVPKMDEYIENGYVSFALGPIVLPTIYLVGPQLSESVVGSDELRNLFKLMSMCGRLLNDIQSYKRESKQGKLNYVPLHMINTDSSSEEDAVGEIKRIIDDQRRELQRLVVEEKESVVPRVCKDLFWKMSRVVHLFYMNDDGFTLENMFGAVKDVLHKPISSDLK >KZM93452 pep chromosome:ASM162521v1:5:6576151:6577254:1 gene:DCAR_016697 transcript:KZM93452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GGPS-6 description:geranylgeranyl pyrophosphate synthase MAFIQTNTAHENSLLSKNLLFDHLKNTGKTPSSYCTRHHPMKIQGANAVNSTLVQSVNVQELLTNPRVTPGPEFHFEGYMKTSGKKINKALDEAVPVQKPAIIHEAMRYSLLAGGKRVRPVLCIASCELVGGLESWAMPMACALEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVYGEETAILAGDALLSLAFEHVADATDMVTPGRVVRAIAELGSAVGSQGLVAGQIMDICNQGKDVDLNDLEYIHVHKTSKLLEASVVCGAILGGGNVIEVERLRKYARCIGLLFQVVDDILDVTKSSAELGKTAGKDLVTDKTTYPKLMGLERAKRFATELVAQAEEELSYFGSSARVAPLYHLAKYIAYRQN >KZM93191 pep chromosome:ASM162521v1:5:3382982:3385610:1 gene:DCAR_016436 transcript:KZM93191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGRILSEHRRRELEKRLIGEEILSNWLHRKQLEEEVMTEMFGVEMLQRVKNSIASENPEVKSDTCNKSPTGLQEIIIIQDKGEDSGLVPHPDGPMSLNGQESIDQSPANEKEGELTGSIPIHCPPRAPNTTKPGMFIGLGRTATTPSKGEGSPLVPSAQVPAFLNGQSPVNDTDSGLNCSLPFQRLPRAPNNTNPEKLVDLGKEATTALVNSVTKRTSEGELNVDTPPIGGDNETAGKTTAKRKRWDCDLCCVITSAESVLQAHLKGKKHLAKLKASSETAKPPTSGEDHGKSIQEDNLPK >KZM94044 pep chromosome:ASM162521v1:5:14244310:14248837:-1 gene:DCAR_017289 transcript:KZM94044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTLSKPQTEWVEKAGFGQLLGFRMRTYQHRLGYKIVDAFCSRTCQLSLKAGDVLITEKLVHKIMGLPLGDMDIVLREGKIGKTDWDKQYSGTSISPFMVMNAIKESRLADNNFKMNFLVLVYNFFIEANQNRWISRKMLSFGGNLDECGQYNWCKLLIDKLRKTHAFWAEHKWRNFAGPLAFLINLSGDLAMREMVVPDSVSGDFEEEFVGGCAGMDVDKDSDGENLSGLLREAELPGGQKQNANTDDHFIQYDTDREQVQELVKEKGKTASSFEENVGQGTRKEVGVDAQDCGMHESPAGGNNTQARVDIEIEKHFDEEPYMKRFEANLEDLEAAYNRCLTNFIDSMALYPQNAKLAELKQRYKVFFKMFGESSPVTKSLSSLCTNRGPSKKVDVEQDSGVPSFSLGLSQMIPRNLGDAIETCVVTPAAAVALGKKASGSSDNEGLHEPLKARPRRDIIPSAICRSPYMTRVTDINRHNLTSEERDVWEWLCEDRTNQSDYIFEWMGRRCTKAHFHSLEVNKQVESTVIDTWTHMLNENEIFRSEASPLRMFLTSETIVRLGYFFHNVLERYGPMLMNVAAGDTDAKLCRYAAFDDNMDVVLELVNKIHSRPVNIPEFELVRFKWFLLQFSMNNGKFKIMYRCVTLPITGNMQFLFPVYTGCHHYIICYNIKKPRWEIIDNLVQEMSFEDTYGDLPWKLVCVFII >KZM91485 pep chromosome:ASM162521v1:6:25505153:25505302:-1 gene:DCAR_021150 transcript:KZM91485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNPNSSTISTTAQLIQFNPTSQLPIKLQGSQNFTTWKAQFELLLHG >KZM90438 pep chromosome:ASM162521v1:6:15801745:15802105:1 gene:DCAR_022197 transcript:KZM90438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM91390 pep chromosome:ASM162521v1:6:24727433:24733543:-1 gene:DCAR_021245 transcript:KZM91390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGLLTEGSSNGGSGGVKTTTTSSSSSQNNNNQRAQPPKYGRRSNVIAYGSSYQKAAALVDLAEDGVGIPEEVLDQPNFENSAKLYFLFIQFDVLWTLNYFALLVLNFFEKPMWCTQDAAISCHNRDYYFLGQLPYLTAAGSLIYEGVTLLILMAHMFFPISYEGLNLYWRSRLNKMKVIFLLALVVDVLLYALFLSPVGIYYLPFRIAPYIRVIFLILNIRDLRDSIVVLAGMIVTYLNVLALGLLYLLFSSWLAYVMFEDTEQGKKVFTSFGATTYQMFVLFTTSNNPDVWIPAYKVSRWYSLFFVLYELLGVYFVTNLILAVVYDSFKDQLAKQIGEKDRMRKRILKKAFNLIDENAYGSLNIDQCIHLFEELNRYRTLPDISREDFELIFAELDDSHDFKINLDEFYDLCNAIALRFQKEDSLSWLEKFPTFYNSPLSRKLKAFVRSKTFGYVVEFILVLNIVTVIIETTLDVQDNSGQKFWQNLEFAFGWLYVLEMALKVYAYGFENYWRDGQNRFDFIITWVIVVGETATFVSPEGLTFLSNGEWIRYLLIARMLRLIRLLMHVRRYRAFVATFLTLIPSLMPYLGTIFCVLCFYCSLGVQFFGGIVNAGNPYAYETDLADSDYLLFNFNDFQSGMLTLYNLLVQNNWQIWIESYTELASTGWTYAYFVSFYVITVLLLLNLVVAFVLEAFFAEMELEDSEKREGVDKESGKKNRRRNVGTKTRSQRVDILLHHMLGPELDHGS >KZM89453 pep chromosome:ASM162521v1:6:864328:865385:-1 gene:DCAR_023184 transcript:KZM89453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGSGSEMWSREEEKCFENAIAMHWIEDSKEQWDKIATMVPTKSVEQLHEHYKLLVEDVNAIEAGLVPLPKYAGEEEAAAAAAAVSSSSTKSNHQQGLMNATAKRSSCNFSSGFSAFGGDSTCLGSKGSSRLEQERRKGIPWTEEEHRLFLIGLDKFGKGDWRSISRNYVISRTPTQVASHAQKYFIRLNSMNRDRRRTSIHDITSVNNGDVSSQHIPITGQQLTSDPSNGMAVGPPMKHPGPPGMAMYGAPLGHPVVAGSAHLGSAVGTPVMLPPPIHHHHPYVLPVAYPMAPPPAMHQ >KZM92482 pep chromosome:ASM162521v1:6:33715857:33718097:1 gene:DCAR_020153 transcript:KZM92482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIVSLIYNGCRLARDLEVNLPNIANQPEMLWNNCEEIIRIFTDTRDRINAQFGGGSQGEDVGAMQQWLRYTPQIVQQQEGGSEGAVVAPSEAERWAAGGRGDQLQPVEGAARGATFQRTRRREEDAERRIIRVPAPQIGNTEIPPEDGFTWRKYGQKEILGSRFPRAYYRCTHQKLYNCPAKKQVQRLADDPFTFEVIYRGDHTCTVSSTAPSMSALPENTEASRVMFQQAAGTMSSSQLLHSSTTTSVLPGSQNWLSMRTQPAGLDATNTNNPFVNMHMFSNISGMVESSSGVAGPSTMRDAGDQYYEPLAEFVDVMFNSGTSSNSNMDHIFSADIEDHKWDSGEDKQD >KZM92567 pep chromosome:ASM162521v1:6:34426724:34429495:1 gene:DCAR_020068 transcript:KZM92567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSNSNSSAPNWLGFSLSPHLKTEDHYQPAAAAHNVSASLYISASSNGMVSSSSPRLENFLGGGHHQYESGIEKYHSLDIIQQPFSTQEEMQYLSGLTSQNIMYQTLPQGEHREEGQVGDWILGQHMISSGLIVDVGSGSVGSMGCGDLQSLSLSMSPGSQSSCVTASRQISPTGTVCLAMETKKRGSEKMGTKQPSHRKSLDAFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDCAALKYWGVATHINFQLENYQQQLEEMKNMTRQEYVAHLRRRSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVEKIMASNTLLAGELARRNKDRETTNDAITTFVSPMKTNNEEGIQQGQNPSVLDWKVMQQKQNAAGQHYKDSAFSMALQNLIGSETNSSQLTVNQATKINNHHLSTPSSLVTSLSSSREGSPEKSGAAVIPFATPSIYSSWITSSQSRAAQISAANLPVFAAWNSS >KZM90523 pep chromosome:ASM162521v1:6:16515547:16528142:-1 gene:DCAR_022112 transcript:KZM90523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKRQKRHRRTVRFFTACFGFRDPFKVLCDGTFIHHLVAHDINPVDTALSNLLGAEVKLFTTRCVLAELGRLGNAYSDSLSAARNFMVARCDHDKRKSAVDCITEIIGENNAEHFFVATQDADMRNGLQEIPGVPLIFGLRNSLLLEPLSNSQRHFAKSAEEERLHLNDLELKMLNMKKYSRPVLKEEDSPDMHEGMDHKIMGSKPIKLFGEEKKIDPKDKVQFKRKKAKGPNPLSCKKKKTQGTQNNAPKKKEDAGGDRGEEELKVKEGDIINLTDLLFTENRDYLVKHSDDQKVKAEHLVGKAILLYFVPICSELTEFEREYTTSLVDIYYDSLPNNDFEVILVAVNNLCASYSRSHLQSDPQKNFEEMFSQMPWTAIPFSDIASQKRIARRFSISEFDFYCTVSFLLDSKGIVLTCNACPYFTRYGTQGYPFTKERIRDLESGDDRAAKQPSLETLLGSPVRDYVISNKEERVPIHTLKDKVVALYFYEDGFTDEELTVKLKMAYKELAKNKENFEVVLLYLYDTLGTIHSTNEESFWNVFKTMPWLALPFKDPNHKKLKRIFGYPNSLHGPEPVPTLVIFGPHGKVVEPCGADILMEFGISAYPFTRNRLTKLETKKVKELKLEMLFDPNTFFKVKRDRSKIMKSKLEMLVDPFMFVIVKHVPEFLPNFYPLLKLTIPDTKVPFSQFDGKRLLIYFEMGKYYNHLEKLQLMKDIYLRNKGTDDEFEVIHIKKSPSRNKHVKDMPWLVHYYGEGYSLSKELESSIFYFNNRHCRPIEQCLLLAFERDGSIVRKTFYPSFDNKAFPFYAGGLEKEFLEQLNHAFGWYYWEYASQKRQIYKRRLKLLIKHVI >KZM90198 pep chromosome:ASM162521v1:6:12429379:12434737:-1 gene:DCAR_022437 transcript:KZM90198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVEDAHKPAGLINGAHTLLDEIRLLKEMQDHPGVKKPISSELWHACAGPLVSLPQVGSLVYYFPQGHSEQVAVSTNRTATSHIPNYPNLPSQLLCQVHNVTLHADKETDEIYAQMSLQPVNSEKDVFPIPDLGLKTSKHPSEFFCKTLTASDTSTHGGFSVPRRAAEKLFPQLDFSMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSMFVGAKRLKAGDAVLFIRDEKSQLLLGLRRAKRQQAALPSSVLSADSMHIGVLAAAAHAAANRTPFTIFYNPRACPSEFVIPLAKYRKSVYGTQLSVGMRFGMMFETEDSSKRRYMGTIVSISDLDAIRWPSSKWRNLQVEWDEPMCGDKQNRVSPWEIETPESLFIFPTLTSSLKRPFQSGFLGTQNEWEMLNRPIMRVPEPINPDFSNLPISGQWSEQLIKMLMKPQAAGYSGSLASAAHDSANNGVPSQRTKFLTQATVDQKTQATEHMLTQAENCAQFHRIQPEGIDSNSLQSRLQERLQSQNVIETKAPHISDEMTKPEIAISPEQLHRFNSERQCSENIAEIRQSNPNILVNEPTHVSESHNSAQLQSNSWLMQSQFNSNPFQPSQIEAATLNALLPNLDNSEWISNSSNSQNISGCLRSPGSAGLFSETTNPTLPSSSHEMWDQQINDSKPLSETSQFQSSCPQDLCKAYSISSTYNLKNISEESNTQSDLYSCLNFETSNGGSTVLDPSVSVLDPSVSSTAIDEFCSFKSGHFQNPSAYLVNNFGSNQDLQSQITSASLAESQAFSLQEFPDSSGGASSSNGEFDESSLLQNSSWQQVAPRVRTYTKIQKAGSVGRSIDVSSFKNYDELCCEIERMFGLGGLLSDTRGSGWKLVYVDYENDVLLVGDDPWEEFVGCVRCIRILSPSEVQQMGEEGMQYLNSTAAQGINGSEGGHA >KZM91363 pep chromosome:ASM162521v1:6:24509570:24513386:1 gene:DCAR_021272 transcript:KZM91363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFIRISDPAYCVNTFEHVTEETPPLFSVEDIKKLQMDYVEVRCKITVKKVDEKSNWYDDVCSTCGTEVTTVDGRYRCVICSRNVPFPDKRFRIATLCNDTTGLIAIVFPDDEIQRIIGKNAFELEDEVGDENKFPSLLKGFEKKDYVITLTITERNVKKTSNIYTATEISDPVEVLGNHSPTKEAEVTTGEEPVVNLGMSPRVDNSDNVTATEIPCSLTAKTSPPTANSSNKSRSREKKKDVEYELEDDVPIGKFKIPKTEKHPQNIFYSYDSMANRKYDSFSSLNASKSDWIVPTRVLNLWRGYRKTGEPFKGFNLLLLDHKRSRVHAFVPYNLVDEFEPMIQIGNLYVLENFSVKPYTADDKFRCLRKKYQIVFNEETELTHMEENVVNVENCCFDFFDIADLPTLSQQNTYLTEQVIVTNVGATNFYINCNHRSVNELRKLLAQKKISTKTVPTENRGMMKFYKCGDISKLGVDHAERQIFCQVQLTNFQQVKTWFQPICTSCYAKTIRIENQDTCRGCQRIVPYPDNMFELYAIASDETGSILIILEEREVKKLIGKTVYEINAEGSKEEIFPTILNSITAKDYTLKIRVQMDNILKKSEFYMVTDIMQGFYNGTYQQQQTTIPHPIESLEAQASIS >KZM92490 pep chromosome:ASM162521v1:6:33801940:33805689:1 gene:DCAR_020145 transcript:KZM92490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGAITVQQTLTTEAASVLKHSLGLARRRGHAQVTPLHVATILLSSRGSLLRRACLKSQSPQSVHPLHSRALELCFNVALNRLPTTPGPLLHGQPSLSNALIAALKRAQAHQRRGCIEQQQQQQQQPLLAIKVELEQLILSILDDPSVSRVMREAGFSSTLIKNNLEESANSASPVFQYCYNSSTSGGVYSSPCSPNSTAEATNYPTNFLHTQFLNYSSEQNPLFFSPLKKVQESEYVKEEDVKLVFEVLLRKKKRNTIIVGDSVAITEGLVTEIMSRIERGRVHSDVPEELRSAHFIKFQFSSIPLRFMRRDEVEMNLSDLRRKVDSLSLGGRGVIIFTGDLKWTVENHYDDSRENKYSPVDHLVSEIGRLLFEYSNSNTKVWLMATADYQTYMKCQMKQPSLEMQWSLQAVSVPSGGLGLSLNATSVHETRMAPSQMLERKLFTSKEEVDVFTCCAECTINYEKEAALFKSGQQNKPSWLVSQSSDSLQRDNLAELRRKWSRLCHSLHQGRIQNHMDSSLSHRGLIGTNQSYTSTHPWWPNKNSTSPDTNSISFADSGLKHNRVSGSTIPRFRRQQSCHIEFNYDRYNKQVEEPNLNSLKDGEGKEEIITLALGNIRPLDSGNDERKIQEADLCKVLQENVPWQSEKIPSIVQVLIENTKKENTWMIIHGSDSIGKRRLALGISELVFGSSDYYLCMNMSDKGNKTASCSKILERALRIHEKLVLLVEDVDLAEPQFLKFLREGFETGNFGDTTKKTDCVFILTKASHKTHQSEDKESKTSVIQMKLELNETSPRFDQKRKLDYEFSGRSKSARFNEKEIEGLIISENLKNKKDLSRESSSNTLDLNLMADDNDDDGDDKKDSLSSDLETSLKFLETIKNQFVFDQECRKMKDTFLHKIKRAFEAAKQDVDHGELQVEEAVLDELVGGCDQFLENLFDQWLTDIFRSNLNSVKNGGKEAGNIIRLCLGDKKESGGIQEGGGIQEDGFKGSILPKKIHF >KZM90929 pep chromosome:ASM162521v1:6:20474820:20476752:1 gene:DCAR_021706 transcript:KZM90929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVRSLSSLFIRKVYANNIRPFSTTAKRGGGEEEWNDTWESAWLPEDLSAKNRAPWETDVNFSIDSNDTSNSSSVMLSPEVDAETKAFVEDMTDNWDQRRRSPKSQQKQKEFESSNSLYSLENVKKDYRLKKQRIHAALWAKEIDKQEEAKLGDSMGGTGGDDIEKLLDSCSEIFDFANTDLSNSKTPGLNDIKSNPDGWETTSKAQDGNIWEMSQREEDILLQEFERRIAFSKFQIASFIKTHIFSRRRPIDGWKYMIEELGPNAKKGRGSVSRLPSLSDPSTQPFKEEKAPISAAIPSHRGR >KZM92138 pep chromosome:ASM162521v1:6:30821510:30826385:-1 gene:DCAR_020497 transcript:KZM92138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTEVENFPGFPEGITGPDLMDGMRKQAERWGAELFQEDVEFLDVKTRPFTVQSSERKVKCHSVIYATGATARRLNLPREEEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRKDQLRASRAMQDRVFNNPNVTVHFNTETVDVVSNTKGQMSGILVKKADTAENSVLEAKGLFYGIGHSPNSQLLEGQVDLDTSGYVLVEEGTAKTSVEGVFAAGDVQDHEWRQAITAAGSGCIAALSVERYLASNNLLVEFHQVQLPSLYLPWQVSYMSGINLPQTEEVKKELTTRDVLEHFDISLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEYDENVHFVEIDIEEDPEVAEAAGIMGTPCVQFFKNKEMIRTVSGVKMKREYREFIASNK >KZM90010 pep chromosome:ASM162521v1:6:9547723:9547950:1 gene:DCAR_022625 transcript:KZM90010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEELEQLEVALAAPHGPMEVGLAANFLAGAAFQLAPQAPENQEADIHDFVFEDDLDIEEGGVAVGMLVAFEVT >KZM90428 pep chromosome:ASM162521v1:6:15780551:15780964:-1 gene:DCAR_022207 transcript:KZM90428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQGRTVMLLMIVLQTMHMID >KZM92097 pep chromosome:ASM162521v1:6:30522647:30527903:-1 gene:DCAR_020538 transcript:KZM92097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHSSLRPTSSSSTPSSRLLLLLTLLPLSLAALAFVLQWRGGYDDPVARWPGLMDDHHHDRDMFPGMDKSAPIRTTSINCADVLSQKRTPTFPYLRGWNFEYGSDLQPKICITTSTSAGLEQILPWMFYHKVIGVSSFILFVEGKAASSNVSKVLQSIPGVQVIFRTKELEDRQAKSRIWNETWLSNFFYKPCNYELFVKQSLNMEMAIVLAREGGMDWIIHLDTDELVHPAGTREYSLRELFANVPQKADMVVFPNYESSVERDDIKDPFSEVSMFKKNFEHLPKETYYGNYKEATRGNPNYFMTYGNGKAAARIIDNLRPNGAHRWHNYKKTPMEIKLEESAVLHYTYPKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRAVIIQGLRESGIFTSVIESAQENPLKDTFLSSVESLNASRVDKFKMVSSRKMGKHIETQANTRNILAISDTDLPAIPPLSPPSMEDMHLDT >KZM90688 pep chromosome:ASM162521v1:6:18118599:18134446:-1 gene:DCAR_021947 transcript:KZM90688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHTKFISSVLLLFLVISYTPNPTSSQTIVKALPGFPGELPFKLETGYVEVGEREDVVLFYYFVESERNPATDPLLIWIAGGPGCSTLRSFFFQIGPFTIEYSDTSKEIPDLHLNPYSWTKLANVIFLDAPTSGFSYAKSPETYTNSDTLSAKYTYQFLRKWLEKHPKFISNPLYVTGISYSGITIPLIVQETFNGNEAGNEPRINITGYIIGNPLTDRNIDFNTRIPYAHQVALLSDELYESTKAHCNGEYVNVHANNKLCQSDLQKVDEENTYLYTDVWANVPSVRKALNIREINLFQLSFSQSFITSLPGFSGKLPFKLETGYVGVGEQDEQQLFYYFVESERNPVDDPILIWITGGPGCSAIRSFFNQLGPLRFDYENTHGKIPQLLDNPYSWTKVASVIFVDASGSGFSYAKTKDGYKTSDTITSQSIYAFLKKWLTDHPKFLNNPLYVSGLSYSGITVPIIVHDIIKGNEAGNVPQLNIKGYMIGNPLTDRFIDFNSRIQYAHNFALLSDELYESTKAHCHGDYINVNPANGLCTGALAEVNECLKDLNEHQILEPNCDDTAVLQQWDRSTFKTNPATGRVLAQLQDSDTWCRVDNYELAIAWATDAAVQKALHVRQGTTKWSKCNDDHYYLGRNDTETYKYNVPSSVVYHRNLTKKNCRALIYSYTESFSHDDYSLTFATVKGAGHAAPEFKPEECLAMVDRWFADTQL >KZM92462 pep chromosome:ASM162521v1:6:33596735:33598176:-1 gene:DCAR_020173 transcript:KZM92462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFYTIFLFLSLVYVSSCHENFDPHTLPRPLIVDLYKKLPISEDVALHCTSWRFAGEANNLSPWKTIPQECKDYVDDYINGKAYKSDLERVSREAILYAQTVELKGDGKDIWVFDVDETLLSNLPYYADHGYGLEIFDNVAFDKWVDKGTAEAIESSLKLYEELVKLGFKVFLLTGRSERRRNVTVENLTKSGFHDWEKLILRGAEDQGKPATQFKSEKRSQMVEEGYRIHGNSGDQWSDLSGSAISARSFKLPNPMYYIA >KZM90558 pep chromosome:ASM162521v1:6:16861653:16861877:-1 gene:DCAR_022077 transcript:KZM90558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVMDSWTNELTKLRAWGHTMLSSGSSQIDEAGQSEKVGSKSPTIFEFMRLNSPVKVNYSEATVCMMVECFNP >KZM91638 pep chromosome:ASM162521v1:6:26721366:26722871:-1 gene:DCAR_020997 transcript:KZM91638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRPALRKAVFVKVDALKPGTQGHNVVVKVVSSEEVLKKGQSKIPSLRKTRVSECLVGDETASILFTARNDQVDVMKPGTSVIIRNAKIDMYKGNMRLAVDKWGRLEVTEPVKFKVKTDNNLSSIEYELVDVVNPN >KZM90763 pep chromosome:ASM162521v1:6:18989137:18989902:1 gene:DCAR_021872 transcript:KZM90763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNKPHAMCIPVPTQGHISPMLKLAKLLHHKGFHISFVNTEFNHRRLLHSRGPAALDGLPDFRYYAIPDGIPPSDPNATQSPPLIFSYTPVHCLKPITSLVSQFNEANVDSDVPPVTCIIADGLMTFALKVADQFRIAKVLFWTAKD >KZM90730 pep chromosome:ASM162521v1:6:18635834:18639878:1 gene:DCAR_021905 transcript:KZM90730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRNLRVSMFGNEAMNSSIQQHNPQIEDNEEEEDIPSGGESIDNPHIRYEAASHALQNGGVVGQPSNGMEPMNGNGLDSINVVPHQHQMYVPGSDVVPHTGGGGVDQLTLSFQGEVYVFDAVSPEKVQAVLLLLGGYEVPTGIPTVGMPSQNQNQRGSSDLPGRASQPQRAASLTRFREKRKDRCFDKKIRYEVRKEVAIRMQRKKGQFTSSKAISEDSGSASLEWNAGSGQEEQETSCRHCGISSKSTPMMRRGPDGPRTLCNACGLKWANKGILRDLSKVPTGGIHDPNVKPIDQIDGESHHSDVVPALADVITSSNGDNSALTAEKC >KZM92362 pep chromosome:ASM162521v1:6:32831330:32836570:1 gene:DCAR_020273 transcript:KZM92362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMALPSIGGFNAQSGGLNPGPDDLSKKIRKPYTITKSRESWTDPEHDKFLEALHLFDRDWKKIEAFIGSKTVIQIRSHAQKYFMKVQKSGTNEHLPPPRPKRKASHPYPQKASKNAPVLSQPKSAQTSSLPEAGFIRRPESSPSVRNPAAGTTAVSSQTENSMQTVGFTNMTKGDMISARQPMANNCCSSTESAPRTHPTCEMNDQRNHGSSLRVLPDFAEVYSFIGSVFDPNTKGHLQKLKEMDPIDVETVLLLMRNLSINLTSPKFEDHRKLLSSYQIDVEKENTDDMVNNLLDDQADHSAQLG >KZM90485 pep chromosome:ASM162521v1:6:16212213:16216564:-1 gene:DCAR_022150 transcript:KZM90485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLRRAPLRLGAMVAVGSGLIYSNNASNYETSVCGVMPVQFRDTLHSSWLVMQDMLPHQYFSSMDPSKYGHFPFLFSRFASAESAPSEDAKKSAPLDGGDGTKPCCGCLGRDTIANAAAKVGPAVVNLSVPRGYQGLTVGKSMGSGFIIDKDGTILTCAHVVVDSQYLSTSSKGKVEVTLQDGRTFEGTVVNADLHSDIAIVKINSKTPLPCAKLGSSRKLRPGEWVVAVGCPLTLQNTITAGIISCVDRKSSDLGIGGMRREYLQVDCAINAGNSGGPLVNIDGEVVGVNIMKVAAADGLAFSVPIDSVTKIVEHFKTKGRVVRPWIGLKMLDLNDMIVAQLKERDPSFPVVSKGVLVPVVVPGSPADRSGFRPGDVVVEFDGIPVQSITQITDILGDKVGKPLKVIVKRSNDISVTLTVIAEEANPDM >KZM92516 pep chromosome:ASM162521v1:6:34034274:34036391:1 gene:DCAR_020119 transcript:KZM92516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIQGNTGDVETSPKQKKKLGGIRSTLFIYVAMVFENIAFVGNAVSIFTYFYGYMNFSLTKSATMLTNYGYAVLTVQAFVKELRPSPCKDVSPLLSYQCESADSGQVAFLYIGLYLVAIGAGGLKSAAPPLGADQFDEEDPEEAESLSVYFNWLLFSIVIGSIFGVTFLVWINTFQGWNWGFLVSTLAVLAAVLCLGSGKSFYRQQVPRGSPITRIVQVFVVAIKNRNLPVPETADGFHDVQQGSGNEILKKTDQFKFFDRAAIIRTTDSPDSDSKGPWRTCTVTQVEETKILVRMFPIIISTIFMNTCLAQLQTFSIQQSNTMDRQFLGFEVPGPSVTVIPFIFMIILIPIYDRICVPALRKLTGIPTGIRHLQRVGVGLVLSIASMSVSAYVEKRRKSVAIDNNLVDSPAPLPISFLWLGFQFAIFGMADMFTLVGLLEFFYAESSKGMKSLGTAITWCTVAFGYYLSSVVVNVVNNVSDGWLASNNLNRDELSKFFWLLAGLSVLNLGAYLICASWYKYKSVEMKQIEGDQSNVEMGTV >KZM90050 pep chromosome:ASM162521v1:6:10024049:10024498:-1 gene:DCAR_022585 transcript:KZM90050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTIRVIVFVTTMTMALTVLLTMKHLSFDESSSLHDNTAADEVLVMPFKRVNRFLAEERNPRAADHCHKDNEVCNYQGTNSTCCNNKCLDLSTDNKNCGACKNKCKYTDTCCRGECVDTTYDKRHCGECNNKCSPGGYCIYGLCDYA >KZM90582 pep chromosome:ASM162521v1:6:17059167:17059772:-1 gene:DCAR_022053 transcript:KZM90582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMVPLAARDFSFDSSNSVPLSPRGFSYYFDQNGGGKYRDSEAFTFSIRGDLNSASADELFDNGKIRSGEPIRKKIIASFGRNNKVCSEHSTVSKENAKELSSAGSNVGWLEKKWKLKYMFPKKLKEEDMKNSSAKKKKNERGVVALSAHERHYKVKRAAAEEMRRRTFLPYKQNLMIGCVDFAAAGVSDPSRASIKVSF >KZM91356 pep chromosome:ASM162521v1:6:24480504:24481040:1 gene:DCAR_021279 transcript:KZM91356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNDNDPGRSYNVHGTMCVLHRQARRRTALGLLGLPHEPPVTGLDLAIIESLPTIVFRHDLKDGAIECAVCISNLEDGEMIRFLPNCEHNFHVACIDTWLGTNTTCAVCRTVAEPMKVVLTPVVATPEAAKPIDVESTDRTGEDSGKYLVHHQG >KZM92453 pep chromosome:ASM162521v1:6:33498353:33502713:1 gene:DCAR_020182 transcript:KZM92453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWSLGAANGATLRTVQRAAGATSVATEPLSHTPTSPSPKPTKTSSSSCKSTAQTSLLITTSNPSSPFSRNQSSTPVSWTFSSSSSSAGDDFDEQWECIDHGVFQEVEDVGYESDHYVFGSVPSVDEVQHAVSSLQQVLEPVSYSQLIRDRAAYDSDKDVANYTYSPTGLIRKIPSVGSEVDWMEPSLQPWNSRFLKPRGSDRVCNALHLLHTEPAIQRMVVALSSDTAVWDAVMNNDVVRELRDSFVKVDKNVGESADLDKGSDDPESAVGLLSLIFNNTKTRIMDLIYKITGVINKLFLPPENEKSGIATDLFEAKLTTSLLLSVVVLLVVVVSRASRA >KZM91985 pep chromosome:ASM162521v1:6:29595101:29596735:1 gene:DCAR_020650 transcript:KZM91985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSLRQLLLLLLAFSLLLSTTAAVPTSRSLKSIKDTSALQGLHDEVMANNGELLVVEEIAMEGRMDLENTDYPGTGANNRHDPRVERTRMVVMGLMVMVGVLVVMVILNHGVGVGAGGDPGFLV >KZM89924 pep chromosome:ASM162521v1:6:7521610:7531041:1 gene:DCAR_022713 transcript:KZM89924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSKSSSTWGSSSFTIGEKICAVFIPFVALCEALVCNLAACFHLKPSSSPAQPILYTFNDIVRLSQETPFTVNEVEALRELFKKLSSSIIDDGLIHKEELQLALFNSSAGKNIFLDKVFDLFDEKQNGVIEFEEFVHVLGVFHPAASITEKIEFAFRLYDLRQTGFIEREETFEDADADKDGKISKEEWKSFAVRHPTLLKNMTLPHLKEIRFANMLLQYPMVSLNVRAYSSSSLDTKTHQRLSYTPHRTTPKLPNTPLPSPPAITTTTFKSDIVISSPKQGDVRGTTCMGYETWLPAPPKVDNPRSIYNAASLAFIGDCIYELYARRHFLFPPLNIEEYNDRVMAVVRCEAQDAMLRKLLNDKVLSEEEIDIIRWGKNIGSAAKTRTKKRAGVAVYNRASSFETLIGYLYLTNTKRLQEVMLKLGFVADGPPT >KZM91594 pep chromosome:ASM162521v1:6:26319378:26330821:-1 gene:DCAR_021041 transcript:KZM91594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNKYTASSSVPSDRTEKLLRDTESSQGSKASSSEASDTKSASEISELKLPSMKRLGPGISLAEKYPEGASSSKKLKEGMAPRPRLLVVANRLPFSAVGISNNSWSLEISSGLKEFEAVYFGWAGMHVTDEAGQKELTEALIEKRCIPVFIDEEIFHQYYNGYCNNTLRPLFHYLGIPQGDGPAKTKSYQSQFDAYKEANRIFSNAVIKHYKEDRFFEALETPEVRECIKEFTDKFSGRKVILGVDRLDMVKGIPEKILAFEKFLEDNKDQHQKLASRVHMLVGRINGKFGTLTNVPLIHLDQPLKFHTLCALYAVADVALVTPLRDGMNLVSYEYVACQKSKNGVLVLSEFAGAAQSLGAGAILVNPWNIEELASSIGRALNMEDDERKKRHQYNFQHVITHTSQEWAEAFVRELDDAVIGAQQRIKGVPTALPVTDAIEHYLQSNNRLLVLGFNAVLTEPVDSLNRRGEDPIGGLELRLNPDLKNTLMELCSDPKTTIVVLSGSNKLVLDGNFCQYKMWLAAENGIFLRSATGEWMTSIPEHLNMDWIDCIKPVFEYFAKRTPRSYFEFRNTSLVWNYKYADAKFGRVQAIDLLQHLCTGLSNAFVDVIQGSSSVEVRALGVTKGTGIRHILGELAGNSDPTQIDYVLCIGHFLGKDEDLYTYFEQEHPVETPRTTGNRMSEPRLQNSGSVSGKKTACNVLDLKKENYFPCTVDRTRSSARYFLESSSDVVSLLKALAAASSLS >KZM91920 pep chromosome:ASM162521v1:6:28969617:28970210:1 gene:DCAR_020715 transcript:KZM91920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSNLGKALTVIFICSLVALFSELLYVLWRRRILFRRHRDPPQTFNVEQSPDLLLTSNISSKKDLLYFLCLRTQSRVEPDGSAPPRRSDGSGEDDDVEIIDLYKLREMYGPSRVLFTIKEEDKEDLESEKSTTKSRNLSQSFGDAGASPEFVVAIEEGIEETPFSTPCDSPMYFTPAASPVHHVITGASGDETTL >KZM92621 pep chromosome:ASM162521v1:6:34908988:34910136:-1 gene:DCAR_020014 transcript:KZM92621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSEDILTEILKRLPVPSLIRLMLVQKSWYRLIQSPDFNKALSWYHQNNTPAYILFHTNYCNRPISLCVNDKQFNQYSSLPFPQDFKLNTANVLGISNGLICLSHLCHNPRPLRIFLWNPVIRKFKTSPRCPIPDPSSSGSFDATGLAFGYVHKMNDYKVINLVRPYDKKGRYIPDKIVVLVYGLSTNSWKTSWKTVSKGMFPQFGPFDKPVIVNGVAYWNWRGGLIIACFDIESETIREIMMPLKYRSQVSNITLVQNFSELFLFGFDYVNGFPSFLDIWLLGDADVWTHKFRLDLEYIEQDRLFWNPVCFMNNHEILLIRYYPYGFKSYDIEKGETTEIIDDLSDLCSALDIGPFTRGIGASPFVESLGLLGEESYT >KZM91110 pep chromosome:ASM162521v1:6:22223072:22226964:-1 gene:DCAR_021525 transcript:KZM91110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFNASRDSPPDSSSDDFIVNVVAKDSRARLYNKMARDLHEKGALFLKQGETSQSLSLSDIFSLKDGSVTPILKAADPPVRANVLHLSPQQSFPISEAVRNIFLPHFNKVIWFQNSSMYHFSMYHASHHIVPVPATAVEIEAEANAVKAVAKTVCPLKIVLDRVVLTSTGVVLGCWQVSFTVLIYPMVFFTRVVSWVLSGTDPVTIRAKLKTALPRSPEKQLYDNVMLHTSFARLLGRPNTYPKEVDKTFELKFFDELVTRANNQLSGFQATVTELWYVEEYDVLALALNGRMKARKFQLGCSNA >KZM89939 pep chromosome:ASM162521v1:6:7762817:7763836:1 gene:DCAR_022698 transcript:KZM89939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRSRCKPVMRRKNPSFSSSLLDHIYHSIDNQPPTGAATAAEEERHQKLGKKKMEQNADMMLLEKTAGRRKSASAEYYGDLSSNYFPQSFSLNSCSTSSDSSFWTSSESETSKSYKPKLAVKTSFSCQQHQERKPKNECGGFVKAKSRALKMYGDLKKSKPTQPISPGSRLANFLNSLFTTTGNSKKVKINDTKCTSANAASASSSSRSCLSKAPSSRGKLSDGAKRSVRFYPVISVMDNGIRPSKDTIPARNSVCGEEIMERNRRAREVLDNYEKRLEERRMMNNNDDDDDCESCTSSDLFELDNLDSVSQRYCEELPVYETTSLDANRAIAQGLTV >KZM91262 pep chromosome:ASM162521v1:6:23645306:23649039:-1 gene:DCAR_021373 transcript:KZM91262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPVVKAFLLFSLMAFLGTHARTLPEDLFKRRPSEHPSPQVDPPHHNVISISFGTGNLRNMITRPSQAPPPPPLPNVGPPRIHTGAEGKLMSIPSITSESLHEEIIKRKHLSSPPPHVAPPHVQTIPTVPQRVHAYSDNEAEKFKWLSPFQKDPSINQYDMITRTTAAPSPPDVAPPHIPTNAYEKWNAIFAIYGRTEADPSNPSLQVAPQQLRSSNYDATALSHQEIIKRPTLLAPPPPRVAPPHVQASA >KZM91049 pep chromosome:ASM162521v1:6:21662783:21663160:-1 gene:DCAR_021586 transcript:KZM91049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLRSKVVQRCFFFFLIITFCNFFTKASWFTCLAILGALVISTAVIVAVKTTVVTWITLLVLLAFSGKRRRVLVIERKKITVDVAMFLVRVVLKEKNVVAAVACATTIVSSMAAMMFLRENQLS >KZM90910 pep chromosome:ASM162521v1:6:20347215:20349472:1 gene:DCAR_021725 transcript:KZM90910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYATARLLARSAPSGARRFATDLEASPPTDAAFVEAWKKVVPNIDPPKTPLAYMKARPATPTSIPSKLTVNFVLPYASELANKEVDMVIVPATTGQMGILPGHVPTIAELKPGLMSVHEGTDVKKYFVSSGFAFIHANSYADIVAIEAVPVDRIDANQVQKGLAEFTQKLSSATTDLEKAEAQIGVDVHSALNSALSG >KZM91370 pep chromosome:ASM162521v1:6:24545072:24560429:1 gene:DCAR_021265 transcript:KZM91370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGKQDMEKTPEGEGKVKRKMKTAAQLELLENTYALEQYPSEALRADLSVKLGLSDRQLQMWFCHRRLKDRKPTPPKRPRKDSSPAAGLGSSGGVGIGDEIRALGSFSHIDLQKRMIVPNLGVDVPDMKRFYQPPQALSELRAIEFIEAQLGEPLRKDGPILGLEFDPLPPGAFGTPIALTSGQQKSVGGHYEVKLYERLNAKPIQASARALHEYQFLPEKPCVKSDAYERPVSLYNHNSPAHLPSSRTSSSNVRLVAHGNEQLPSAYGFQVPFPNLSLLPQQGRQGHLLASTSTDHDTRAFSQSFPIIVEDAHPVSHPISGFDSSLIPSERQVILDDDILRIEKKRKFDEARIAREAEANEKRIRKELEKQDILMRKREEQMRKEMERQDRERRKEEERLLREKQREEERLQREQRREMERREKFLLKESMRAEKLRIKEEMRREKEAAKLKLANERATARKIAKESMELIDDERVELMDIAASRKGLPSIMSLDSDALQNLELFRDVSSNLQVWRFLVTFADVLGIWPFTIDEFVQAFHDHDSRLLAEIHVALLKSIIKDIEDVARSPSFGLGANQNSAANPEGGHPQIVEGAYAWGFDIRSWQRHLNPLTWPEILRQFALSAGFGPKLRKRSAEQTYFRDENEGNGSEDVILTLRDGSAAKKAFAIMHERGYSNPRRSRHRLTPGTVKYAAFHVLSLEGGRGLTILDVADRIQTSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRAPYRKDPADAEALLSAAREKILVYKNGILDGDVEDVDKEEAERDADSESDVAEELEVEDIGSNLKPSKDVSSCEPTDSQLETKSASGKEILQEVLEPSEHHLENVVMTSLEAVPNKEDTEIDESQSVEPWVQGLVDGEYSDLSVEERLNALVALIGVANEGNSIRIILEDRMEAANALKKQMWADAQTDKRRMKEDCVMRIPYSSIICSKGEENISTAVVAGKQSSRNDGKSDISSIQSEVMHEHLGDLYVNTNSMHAERCSSMQELPVATDSSPLVQAVYAAEKSRSRLKSIILQKADEMYVHRSLPLGQDRRRNCYWQFVTSASLNDPGNGRIFVQMCNDSWKLIDSEEDFDALLASLDVRGVRESHLFSMLQLIEMSFKETVRKNLSRVSSEKNDESVETMAPEMNSDDQPCAADSPSSRICLSNPDMPESSVSFKIGLGSNETEVKDALQRHQEFEKWMWKECFNPSILRAMRYGKPRGKPVYSVCDFCRSLYEESCPCQYTSNVSTGDSSFSEYVNCREKLCSDAGITAPSVRISLLIAQLASIEVSIPVEALQSVWSDDSRKSWGMKLQTATSAEELLQILTLLEGCIKRDFLLSDYETTSELLVSSDSTGPKTHGTSTPEVVPVLPWLPQTTSSVALRLMELDSSISYMLSQKEDSQKEEGYSDLAAPSSIDVVKEYISEGEAPEASQDAGSLHQEACVKTSGHRSSGRRRGRGRGQGHSYGGRSQKRVGLEAGQKNTSNADGFKQLRGWKSGKTRGRGGRKRGQWSVGNRSAKNKQKATKKSTGVSGDSGETGDISVDRTPANSVLKWNIEPPPAYSPEESSTSDSQSEDNVENGLASAEYDDIMVDNYPNMYNSRQLEYDVNGDEDYDDRAEAEEDGNDDDMDDFVEDEQEDVERYFNGYSDEDGSMEDMDKKQTGNTDDITESASEDGD >KZM90041 pep chromosome:ASM162521v1:6:9918960:9919154:-1 gene:DCAR_022594 transcript:KZM90041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVNGLRLEKLRRRAQERLADEREVRVPIILEEQGRRKMNNVEVQHTPTLNPTPYKDVLVDQT >KZM92352 pep chromosome:ASM162521v1:6:32755196:32756641:-1 gene:DCAR_020283 transcript:KZM92352 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MEEIKGSCLVLYPSPGVGHLVSMVELGKLILSHHPDSFSKIIVLITTSPHLDTGATAPYMSTVSATTPSITFHHLPTILLPHHCKASADAISFELQSLNNPNVRQALETISSTQYNIKAFIIDFFCSAAFDVSSGLDMPTYYFFTSPASSLSAFLYLPTIHKKFSTSLKDLNDFVQFPGLPPIFSSDFPKPVHDRNSVEYKYCVETAVKMAKSDGIIINTFHRLEPRAIASISDGLCIPDAPTPPIYSIGPLISEKRMNSEGDECLEWLNSQPSKSVIFLCFGSLGVFEAEQLNEIAVGLEHSGHRFLWVVRNPPPKDDDDDNKSILAPRELDLGTVLPQGFLERTKGRGLVVKSWAPQVAVLSHDSVGGFVTHCGWNSILEGVCAGIPMIGWPLYAEQRMNRVFLVEELKVGLGLDESDGGSFVRGAEIERRVRELMDSDNGKRLRHRVAELRDAAKVAITEKNGSSRVALSKLITKWKA >KZM90648 pep chromosome:ASM162521v1:6:17781737:17788535:1 gene:DCAR_021987 transcript:KZM90648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTVSKGRTPSQNYRANPVFPQYLRRIIKWQQMDIEYTFWQMFHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVSTMAYCAAYDHSAGHAVFVVISVLLFHFLVTGALLATCGWFLTNTYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPMFVVLYVIHYFLSPLLVAHGFIPVLLSNLLLMVAASYYHYLNFLGYDVLPFLEKTTFFLYPIGFVIILSPILILSGFNPSRYFMNIYFSRWL >KZM90965 pep chromosome:ASM162521v1:6:20882984:20883145:1 gene:DCAR_021670 transcript:KZM90965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAKHSLTREARLIAILTTLSGLGSNYVLSNSNWDKLLYPKNKLLRVFFIVI >KZM92328 pep chromosome:ASM162521v1:6:32555881:32558370:1 gene:DCAR_020307 transcript:KZM92328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAIRAQTIAEKKFKDRDVVGARKFALKAQNLYPQLDGISQMLSTFDVHIAAENRVSGEMDWYGVLGITPTADDDTVRKQYRKLALLLHPDKNKSVGADGAFNFISEAWSLLSDKAKRLAYNQRRSSVMLQQRVHTQPQRPYSAPNSNGVGHSTNSVHSKPTSQRTTFTPAPSRPTTQRSTAAPQHFRRPDAFWTMCSHCKMQYEYLKEYLNASIRCPTCRSIFMAAEVVPPVEPFHSTSQHSNQNLGNHAPSSISGRNTVASENAREGGSTVPNISKHTDFYMGADPTMGQTVKADPFVAAKASNVYQHAKSRLKREYEGSQGSTLKGEASLKRRVLNGNDIHYGVRPPFQMPSDYSKNSKGYVSGLQGFVGVNSRPKNTRELTPLENRKMLTDKAMMEICKKLNEWKSEALNMAAEKERRGEKMDSALADSVAKSSMTKRDDKAGKNLGTKSADDGKNPDFHDFDQDRTEMSFEDNQVWAAYDDDDGMPRFYAFVQKVISHKPFKLRFSWLASKTNSEFGSMEWVSYGFAKSCGDLRIQKYEVIKSLNTFSHRVKWTKGPRGVIQIFPQKGDIWALYRNWSNDWNEHTPDDVIHRYDMVEVLDDYNEEKGITVAPLVKVAGFKTVFRPHHSNIMTIPKEEMFRFSHQVPNHVLTGQEGPNAPKGCQELDPAATPLDLLQVIDEVEVPAVENHGLANKEILPNASGISVI >KZM91747 pep chromosome:ASM162521v1:6:27522702:27526283:1 gene:DCAR_020888 transcript:KZM91747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITSEVRIIDNKLLVKDRTILTNVPEDVVLTSGSESVPVGGIFLGAKFDEENSRHVVSLGKLDGVKFMACFRFKMWWMAQKMGDKGGDVPLETQFLLLETKSGSQVEDETMYVVFLPLVEGPFRACLQGNVQDELELCLESGDLETKGSDFYRSVYVGAGTDPFRTITGAIEAVKLQLKTFRQLKEKKLPSIIDYFGWCTWDAFYQEVSQEGVENGLASLTAGATPPKFVIIDDGWQEVGGDTDQPQSEEQQLMRLIGMKENSKFKTKDDPPIGIQNIVSIAKEKYGLKYVYVWHAIMGYWGGVRPGMEGMEQYGSVLKYPVISKGVMENEPGWKVDPLALQGIGLMDPKNVHKFYNDYHQYLASAGVDGVKVDVQSMLETLGTGSSGRVEVTKKYHQALDASIAKNFPDNGCIDCMSHNTDSLYCSRQTAIVRASDDFFPRDPVSHTIHIAAVAYNSIFLGEFMQPDWDMFQSLHPAAEYHASARAISGGPIYVSDAPGNHNFDLLKKLVLPDGSILRARLPGRPTKDCLFADPARDGVSLLKIWNMNKYNGVLGIYNCQGAAWNSTERKNIFHQTQSDAITGFIKGHDVHLIADVSMDDNWNGTCALYCHQSSNIHILPYDMATPISLKILEHDIITVTPVKMLAPGFQFAPFGLVDMYNAGGAIEGLKYEVKNGAQLSGETAENLAEAVISLEVKGCGRFGAYSTTKPRSCTVGSSKVDFTYDAASGLVILSLDYMPDENQKVHTVSIEL >KZM91622 pep chromosome:ASM162521v1:6:26606994:26607218:-1 gene:DCAR_021013 transcript:KZM91622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRFWFCVVFFLVLVTCSEARPLESFPRKRKQALIETGTEIIRVSMRKHESNKGGFYETNRLSPGGPDPKHH >KZM92796 pep chromosome:ASM162521v1:6:36373728:36377616:1 gene:DCAR_019839 transcript:KZM92796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASPNGFEIYFDDVGDGSSSAGGSPAKKGRGGGGAGAGAAQVSRCQVEGCNVDLSDAKSYYSRHKVCAKHSKSPKVLVSGLHQRFCQQCSRFHHLPEFDQEKRSCRRRLAGHNERRRKPQVTSLLSARYGSSSIFGNDTGGGSFLMDFSAYPRHAGKVESGSQDPGSGNFPPIPWRNNPENPSPELQRSNKNITYPGHAIPPGGCFDGVSSDSSCALSLLSNNSRGSINRSLSLGTNYQMDTYGSHVVQPAATHGLNMSQFSSNSWGFKGNDESGSVSYDMHPELGLGQIPNPGTSQFTGDLQMTQQSGKQCMDIQHPMGYDDSVQHMHWSL >KZM90293 pep chromosome:ASM162521v1:6:13903490:13917255:1 gene:DCAR_022342 transcript:KZM90293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKEEESSCSAIMDGFITGIQFALASRDEISKSSNSDCPISHASQLSNPFLGLPLESGKCESCGTAEVGECEGHFGYIELPTPIYHPSHVTELKKLLSLLCLKCLKVKNKKNQTKNVGVAERVLSSCCEEASQVSISEEKTSDGACYLQLKLPSRSHPPNGFWHFLERYGFRYGDDHCRPLLPAEVMVILRKIPQESKKKLIGKGFFAQEGYILQHLPVPPNCLSVPDVSDGSSVMSSDHSISVLKKVLRQADVIKSTRSGIQNFESTEVEANDLQVAVSQYLEVRGTAKASRDVDGRFGIKKEGNSSTKVWLEKMRTLFIRKGSGFSSRSIITGDPYKDVGEIGLPFEIAQRITFEEKVNQHNMMYLQKLVDQKLCLAYKDGSTTYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALKVYIHDDHTVKINPLICAPLSADFDGDAIHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLATDSVLSLKLMFKKYFFGRAATQQLAMFVSGVLPIPALLRAQSSDSLWTVLQLLQISLPPKFDCCGERHNIWQSQILDVDYNRDLMQSIINDVVSSIFFGKGPIEALRFFDSVQPMLMENLYTEGFSVGLEDFYVPKEIIQNCEARIQEYSSILYRMRESHNELIALQLERQIRSLRVPIANQILKSSAIGYLIDSKSESAINKVVQQVGFLGLQISDRGKFYSKTLVADIASLFRTKYPSGASYPSEEYGLVRGCLIHGLDPYQSIVHSISSREVIVRSSRGLTEPGTLFKNLMAILRDVIICYDGTVRNVCSNSIIQFEYGMQTGTLSQSIFAAGEPVGVLAATAMSNPAYKAVLDSSPSSNNSWAMMKEILLCGATFKNDEVDRRVILYLNGCDCGRKHCGENAAYMVKKQLKRISLKDAAVEFLIEYNSQQTDYCSANIDAGLVGHFHLNEDLMKDSNVRIDDVFEKCQDTLHKFSKKKKGRVGILFSRIGLSVSQFCSFRQTPGSKGCDMPCLKFFWKDSTDIHLEKAAHIFSSTICPVLLETIIKGDPRVCSADIIWVSPDTTSWIRNRSSSEKGELAIDVVLDKKSVKQTGDAWRIVLDSCLPVIHLIDTRRSVPYSVKQLQELLGISCAFEQAIQRLSTSVTMVTKGVLREHLILLANSMTYSGNLVGFNKAGIKALSRSLNVQVPFTEATLFTPKKCFETAAEKCHVDSLASIVASCSWGKHVAVGTGSSFDLLWDTREAELKGTDVYDFLSLVNSSSGVEKNSACLGAEIDDLDWAFDYDEEALSPVHASEKPVFEDIIDIENPEGNGWGNGTTEPGSDEVQVSGWNSMSNEEAGDPWGKKVETPQVEVQVSGWDTMSDHHDKISNEESGDPWGSKVEIPQESGWAKKAENGKKKQEVEIKDVWGEKKADKLDSAWSEKASDQAEMSGDIGQLSGWDTNRDGNASSNWNKRDMPSLPDGNRNSVQCNKAERSRSDAWENVGKSQSDAWGNSDGNAGLCKNDKNDTSDWNKDSTKAGSSQKSGSSSPWYTKPDQTDESQWSHKATQPAKQSEWGQKDDESSNWNKGIQVDGTPEPGSWGVKSSSKQQPGDEADHSQWSQKDAQPDMQSQWTQKDDKVDSSNWDKDTQVDETPKPGSWGVKSSSSQQSGSLSPRSMEPNKADDSQWSKKDTQPDRATGLGSSSGWKKKEGWSSSNAAGEGRPKSNRPYKPIGGTNSESVPVPMTATRKRLDLFTAEEQELLTEIEPIMQSIRKIMNQPGYNEGDPLGTEDQAYIVDHVFNYHPDKAAKMGAGIHYVMISKHSSFQDTRCLYIVSTDGRKEDFSYRKCLENYMKEKFPDRGEAFMAKYLKRNQQPRPGWNKERSTPDTSNSAVKNKRKGWGNGWGNEGSVSEAAATENKGGWGDPGSGPGAGTTGGKSGGWGDASSGPEAAAGNKYGGWGGGGSGTEAAATGNKSGGWGDGGSGTEVATGNKSGGWGDTGTGTGAEAATTEHKSVWATANSGAPEEGETVNKPGSWGDGGSGAEAAATGNKSGGWGDGGSGTEAAATGNKSGGWGIPGTGSEAATTEHKSGWATANSGAPEGGETVNKPGSWGDGGSNVTEEAATKKSSGWE >KZM90212 pep chromosome:ASM162521v1:6:12652811:12653878:-1 gene:DCAR_022423 transcript:KZM90212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLPMLFTTAVIVGGLYWFICILGSPERKGKRAIDLSGGSIDNDKVQDNYNKYWSFFKRPKEIEKTDNVPAFVDTFYNLVTDIYEWGWGQSFHFAPSLPGKSYLEATQIHEETAVDFLNVKAGDKILDVGCGVGGPMRAIASHSGANIVGITINEYQVERARMHNKKAGLDTLCEVVCGNFLEMPFDDNSFEGAYAMEATCHAPKLEDVYTEIYRVLKPGRLFVSYEWVTTEFYDANNKDHVKIVQEVERGNALPGLRHYSDISKIAKKVGFQVVKEKDFAKPPAGPWWTRLKMGRVAYWRNHVLVVILSFLGIAPKGTVDVHDMLCVTADYLTRGGEAKIFTPMHTILCRKPE >KZM89665 pep chromosome:ASM162521v1:6:3018644:3022511:1 gene:DCAR_022972 transcript:KZM89665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDASFPPNNNMLYTSPDPVMDLDLDELLFDGCWLEANDGSEFFNQSPPPFDPSFLWSSMENYNGDFNWTSVPKDSQEERQRSSYPGNLSISQPPNQIQGLGNDMLTSTSHIGNYSVEGSQLGSNLWIGPRPIHGRAMSVMDRVVRALEYFKSSTRDTDVLLQLWVPIDRGGRRVLSTCGQPFSLDSNSPRLANYRAISVKYQFSAEHDSKEVEGMPSRVFMGKVPEWTPDVRFYKREEYQRVRHAQQYDVRGTLAVPVFEQGTCLGVIEIVTTSQKINYKPELESVCEALKAVDLRGSEVASTHSMKICNGSYQSALPEIMEVLRCTCETNRLPLAQTWIPCVQQGKEGCRHSDENIINCVSTLDSACYIAEPSIRGFHEACSEHHLLRGQGVVGKAFMSNQPSFSPDVTSDSKTEYPLSHHARMFGLCAAVAIRLRSIYTGTSDFVLEFFLPVNCRDPEDQKILLNALSIVIQKVCHSLRIVTDTELHEETLMRAGKAVVPSADRLGKQEIAEVENSAKSSHEVSFRTSSNMEMQESKPRELTESASSPSQYQQISADGHVTFNQDLCASGRGSYSSVGKTGEKRRGKTDKNITLDMLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGITRWPSRKIKKVGHSLQKIQGVIDSVQGASGGFQIKSFYSNFPELASPNSSKHILLTASKPIGNSSQNEGSALSPEAVASKSPPSSCSQSSNSSHCYSSGTQAQPCNLNGLNNEDQVGCNPGEGILKRATSAVHLHASTHDVPKVLSRSQSYKNLIRQPRTESPSRLPNDIGENIPEGDSTSRVKVTYGEEKIRFRMLNSMTYDDLLVEITKRFCIDDRTGFHLKYLDDDSEWVLLTCDDDLEECREVCESAHSQTIKLSLHQNPTQHLGSSYGSSQL >KZM90284 pep chromosome:ASM162521v1:6:13817733:13818215:1 gene:DCAR_022351 transcript:KZM90284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPKILTLRSSDNETFEVEEEVVVESQTIKHMIEEDCADATIPLANLTSSILAKVIEYCKKHVEFTKLAEADKTSAEDDLKSYDAELVDNANQHVLFDLIVAANYLNIKSLLDLTCQKVADTIKDMKPEEVRKYFNIDNGFTPEEEELVRNENAWAYE >KZM91678 pep chromosome:ASM162521v1:6:27023957:27024772:-1 gene:DCAR_020957 transcript:KZM91678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISFFLLLTLLLLGTNYSSATVFRVENSCSYTVWPGTLSGNGAALGQGGFALSPGQSLSLTAPPGWSGRFWGRTDCTFDNAGAGKCVTGDCPGGLKCTGGGAPPATLVEFTLAGGNSDKDFYDVSLVDGYNVGMGVRPEAGTGDCQYAGCVRDLNENCPAELQVAGGGKTVACKSACLAFNAPEYCCTGDHGTPQTCSPTKYSALFKSACPSAYSYAYDDASSTCTCAGTDYLITFCPKT >KZM92065 pep chromosome:ASM162521v1:6:30240051:30244439:-1 gene:DCAR_020570 transcript:KZM92065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDKGGSEYYLENDEINDVERNLITGISENVIYNNDDLAVEDDDINEVARKSVSSSTSSTTYTQQWPRSFREATDSYTIAVSPNFGLLGRSPFFRQSSHEISARTSFGNDYKTPLLGENENSYEKEDLETKSRSSWSVKSSLHENFIEERPISQGCSFTQTIFNGVNMLAGVGLLSTPYTVKEAGWASLGVLVLFAVICCYTASLMRHCFESKKGILTYPDIGEAAFGKYGRLFISIVLYTELYSCCVEIIILEGDNLSMLFPGASLNWAGLNLDSMHFLGILAAIVVLPTVWLRDLRIISYLSAGGVLATIMIIICVLYLGTVNGIGFHETSPVVNLSGVPLAIGICGFCYTGHSVFPNIYRSMADKTKFSKAIIISFILCVAAYGGVAVMGFLMFGQDTSSQITLNLPANFFASKVAQWTTFALLINPLARSIEELLPVGVADAVWCSILLRTALVASSVCVAFLLPFFGLVMALIGSLLSVLVAMIMPCLCFLSISGKKATTMQKVLSTIIVAVGIASAVLGTGSSLSEIAKKKKKNI >KZM90247 pep chromosome:ASM162521v1:6:13094875:13103424:1 gene:DCAR_022388 transcript:KZM90247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFVVINGQDTIPAIQCSAVDNECICMAMKSVTILIHQSQIGEVAAAGIMVHVWVTMEKMVRENDAFSRNDQSSCSWIQKQMFTNSDDTQSSTRTPLSTVNTNQFSSNSIQSRLSWNQNQTGTNSGEPELQEIQVTREDEILITGCDGLWDVMSSQFSGHELAANISQHAAANTSVSRSSIPKRRGRGPGVNNVINGLNTTNDHSRRLAPDINSCTGSMHDDKEIKLSGQGFQEGDETTMVGRVTCQLVRQR >KZM91772 pep chromosome:ASM162521v1:6:27736077:27744074:1 gene:DCAR_020863 transcript:KZM91772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLIAQGVYTVSTPIHPFGGAVDIIVVQQPDGSFRSTPWYVRFGKFQGVLKGAEKIVRIEVDGVEADFQMVLDNSGEAYFLREISSGRDSECNDRPKDFESLSSARDDASSIDFNETDDGRKENVEVLQDEHISLGVDRMEINESDNEMPFYDFQDEHSFLDDSLELSEYGSNRYDNLDNVDDLAESRILDSEVVLVSVDGKILTAPISSLERDLENLQSRSPQFQSGPVEETNDSENNVDFSTSVVTSAAHHSGDLDTSRPQSVSGDAQEVKSNINSIERESKFQEGIEGDDCQDQETQTDEGRQELKQGIAGYVDDASIGLKRDDVFKSCLELNELDRTKSSLSDPQEKSPRSLFRLDEDEGESVNVIINSEADEGTHENSPHNFLVADEADDGEVSVLLDSNKNSPLKSNAPSTTYADSEVENELVESNMTSTAQNSPDTLPVKSFTNDMEQNAHGGSEHQQRIAIFEGECAHGPTVESRTSHEEIKTDLSMRFEISLCGNLLSAGMGSREAAEVFDAHRIFEEEYKTSPASVIKNENLVVRFQKKYLTWEKAAPIVLGMAAYGLDLPVKQSDIIRVEHDEILKHGEDEGALPSTPSGRRWRLWSLPFRRVKTLEHAASNLTTEEVAANVEPMVSTSASSVVNDSPRKQLLRTNVPTSEEIASLNLKEGKNMVNFIFSAGVLGKPNEVQAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVARLFGAIKENGYQLLFLSARAIVQAYLTRSFLVNLKQDGKALPHGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALSPPDYNPFYAGFGNRDTDELAYRKVGIPKGKIFIINPKGEVAISHRIDAKSYTSLHTLVDDMFPPTSMVEQEDYNSWNYWRMPLPDIDIL >KZM91844 pep chromosome:ASM162521v1:6:28301944:28302386:1 gene:DCAR_020791 transcript:KZM91844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKDERAEKEERAQAAAELAAKELRDVNQDRERGIKVVEHKEEVSGGPGVIGSILKSVQGTLGQAKEVVVGKAHDTAEVSRENTDYAYDKGREGGDVAAQKAEEAKEKAKMAKDTTTGKAEGRGG >KZM89962 pep chromosome:ASM162521v1:6:8676125:8681299:1 gene:DCAR_022675 transcript:KZM89962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATARIVSGIILVFAIYCGTDPFKQGAISEFPEFEAVKVDMPDWSQVPAEKDVENLLQNSEIHFLNQVQGPESMAFDPLGRGPYTGVADGRILFWNGESWLPFAFTSNNRSDLCDPKPSPINYLKNEHICGRALGLRFDKKTGDLYIADAYLGLMKVGPEGGLATSLVTEAEGVPLRFTNDLDIDEEGNIYFTDSSNKYQRRNFMQLVFSADDSGRVLKYNPKTKETSVLLRNLQFPNGLSLSKDRSFFVLCEGAIGRLRKYWLKGEKAGTAEVMAILPGFPDNVRTNEKGDFWVAIHCRRSLYTYFCALHPKIRKLLLKLPIPAKIQYLIHIGGRLHGIIAKYSPEGNLLKILEDSSGKVVKAVSEVEEKDGKLWIGSVLMPFVAVYKL >KZM92361 pep chromosome:ASM162521v1:6:32808017:32814803:1 gene:DCAR_020274 transcript:KZM92361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDENSGLFPIFILTILAIPLVPYTILKLCRAASKKAKPIHCDCSVCSRSGKYRKSIFKRISNLSTCSNMTLVLLWVVMGVLVYYIKHISREIQVFDPFTILGLESGASDSEIKKAYRKLSILYHPDKNPDPDANKYFVESISKAYQALTDPVSRENFEKYGHPDGRQGFQMGIALPQFLLNFDGASGGILLLWIVGVCILLPLVIAVVYLSRSAKYTGNYVMHQTLSAYYYFMKPSLAPSKVMDVFIKAAEYMEIPVRRSDAEPLQKLFVVVRSELNLDLKNIKQEQAKFWKQHPALVKTELLIQSHLTRDTAALSPELQRDFKRVLELAPRLLEELMKMAVIPRTAQGHGWLRPAVGVVELSQCIIQAVPLSAKKAGGGSPEGNAPFLQLPHFSEAVIKKIARKKVRSFQDLRDMTLQDRDELLSQVAGFTAADVQDVERVLEMMPSLTVDITCETEGEEGIQEGDVVTVQAWVTVKRGNGLIGALPHAPYYPFHKEENYWFLLADPNLNNVWFSQKVIFMDEAAAITTASKAIEEMMEGSGASPKETSKAIREAVEKVKAGSRLVIGKFQAPAEGNYNLTSYLLCDSWLGCDKKTNVKVKVLKRTRAGTRGAHITEEGPITEDGAEEEEENEEEEYDEDYESEYSEDEEDARETNNKGTADQNGRHSNSESSESEED >KZM92175 pep chromosome:ASM162521v1:6:31191721:31200304:1 gene:DCAR_020460 transcript:KZM92175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTVDELPAIQEPFLEFRAGKMRLEGKRVVPDLRKGLVRIGRGEEGLIHFQWLDRSNNAIEDDQIIFPDEGIFEKVNQSAERVYILKFQTDDRKFFFWMQEPDSDNDMQLCSAVNLYINQPMEFPGDEELNASAPPQNSEDMTEEDVSSRAGNLVGPSMGAELTSDVTSSGPVKLVDLQRILSNIGSTDVAGDLDAGLGLGDILKPELILPLIDTLSLEQGLATYLPEGQWSPEDLMEILQSPPFRQQVDSFTYVLRTGQIDLTQFGIDPSKYKFTVLSFLEALEDSVLNTSESTSKKDSKNDPMDEDQ >KZM90058 pep chromosome:ASM162521v1:6:10144649:10150827:-1 gene:DCAR_022577 transcript:KZM90058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVTRRKLASGASSALVCRNSFRSVLNTTRVGNSALGEEVLSLPRRLSNAHFYSHLIISGRSTFILRPAREVVGSLQPFNIQTSRMKFSSDSGDLVDAVVPFMGESISDGTLATFLKQPGDKVDVDEPIAQVETDKVTIDVASPEAGVIQKFVAKEGDTVEPGTKIAVISKSGEGVASSKKTSDEASSQPPSTERKIESPKAEAATQVEKPEETTPPPPPPEKPKATTPPPSRTSSEPQLPPKERERRVPMTRLRKRVASRLKDSQNTFALLTTFNEVDMTNLMKLRSDYKDAFFEKHGVKLGFMSGFVKAAVSGLQNQPVINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVVRNADRMNFAEIEKEINNLAKKAVAGSISMDDMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVNRPMVVGGNIVARPMMYIALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >KZM91556 pep chromosome:ASM162521v1:6:26028396:26028951:1 gene:DCAR_021079 transcript:KZM91556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKVVIRVDMSDPKKSRAKAMKIAATFSGVESVAIKGDDKDKLEVIGEEIDTVVLAKLLRKSXGRADLVSIGPVKDDKKDKKDEVKIKPKLQGYGSNYPYNYYYCEPVPSYQHVYETRDSYNDPNCSIM >KZM90356 pep chromosome:ASM162521v1:6:14572933:14575502:1 gene:DCAR_022279 transcript:KZM90356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSRDDLNCKYGAVSVKDSSVGLFRLIVFLIIFVVGVVIGLLSSSHVDRLLQLEKQQFYGNQTAWHESGYINVTVSCPDIPKCEKEDCLSMKSFLAPKNLTHRMTDKELFWRASLVPFKVEYPYVRKPKVAFMFLTRGPLPFLPLWERFFYAQSRELYSIYVHALPGFELNVTDTSAFYKRQIPSQKVKWGTVSLFDAERRLLANALLDFSNERFILLSESCIPVYNFPTVYKYLTESQHSFVQSYDDPSRYGRGRYSRYMLPDIHLRDWRKGSQWFELSRNLAVGIVSDTKYYTLFKKYCLPACYPDEHYLPTYIQKFYGPFNSNRTVTYVDWSQGGPHPAAFTAENITEDFIQYIRNNGTLCPYNFDETPICYLFARKFAPTALKPLLNLGMTVMGF >KZM92721 pep chromosome:ASM162521v1:6:35797194:35807792:-1 gene:DCAR_019914 transcript:KZM92721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKRAAPKSNGEQADAQKSRSSKRSKGDAIKEEEDFVLQISDAEENDEEELVQSKDEQEVEMNMVRKPKRLENEEPSFFIGEPINVDEAKRRWPDRYLAEIRGGMEAPRSISNPEKCQARRHYKEARVDGHVSFALGDDAHVMAGDGADNYICRIIEMFEGVDGEPYITAQWFYRAKDTADLDTKMKTVAKCDYYYDMLYHVPFSTFLNAKHDDTKDENDSASAISSENDANQDEGNKNQKEMNLLDLFSGCGAMSTGLCLGANLSGVKLVTKWAVDLNQYACQSLKYNHPETEVRNEKAEEFLALLKEWQRLCAYFSLIEDKGFQKKYVSPEITNNDENDEEVEVDDEEIFEVEKILSICHGLPAGQKKPGLYFKIRWKGYGEDEDTWEPEDGLSGALDKLKEFVTNGFNSKILPLPGDADIICGGPPCQGISGFNRFRNYKNPLEDSKNEQLLVYMSIVEYLKPKFVLMENVVDIVKFAKGFLGRYALGRLVGMNYQARLGMMVAGAYGLPQFRMRVFLWGAHHTMKLPQFPLPTHNVVVRGHSPVEFEICPSWVIFCGRSPEMLGSAPPLLPQSDCLLYDHRPLKLNNDDYERVCHIPFKKGANFRDLKGVRVGPDNKVEWDPDVERVYLSSGKPLVPDYAMTFVRGTSSKPFGRLWGDETVPTVVTRAEPHNQIIIHPEQNRVLTIRENARLQGFPDYYKLFGPIKERYIQVGNAVAVPVARALGYSLGLSVRGSGWSPLQPLFTLPAGFPICQSHASDEV >KZM91853 pep chromosome:ASM162521v1:6:28382073:28386024:1 gene:DCAR_020782 transcript:KZM91853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQSIKARQIFDSRGNPTVEVDVGLSNGNWCRAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVGNVNSIIAPALIGKDPTDQTGIDNFMVQELDGTVNEWGWCKQKLGANAILAVSLAVCKAGASVLNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGATSFSEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQQNKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKEENNDGSEKITGDQLKDLYKSFVSEYPIVSIEDPFDQDDWEHYGKMTSECGVQVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQILRIEEELGSEAVYAGVNFRMPVEPY >KZM90174 pep chromosome:ASM162521v1:6:12007094:12008623:1 gene:DCAR_022461 transcript:KZM90174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCELVEAVQVGLDGVGPFSYSRLNHYRNSPSNQLQEHVPIPEEQLEAFRLFNTVHANHYEEGKTNRQISWVPEHMNEAARYMAEYGLEHFSSFVELPGLETVGNLQFFMDRDMGMIIANPEVELLPNLGLGEVVDPPYPSPARLKRGYNYLNSDECNFFLESAFSTAAAEAIPPWVLSAPTWSNDPILAKISPFKPSASTSTDRGKGKAKMYEGYAFYENGTLSKRAVEILESGALLHFSDVFANRPLDLEAHVNNGLYAKDILHYAVLDCLGMFEHMLVDKHPFVADIVKKNELDLMPVSSVLSLMGYDDTDTKPPSKKSRRAASV >KZM89845 pep chromosome:ASM162521v1:6:5916566:5919641:-1 gene:DCAR_022792 transcript:KZM89845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENEKGNVDMMHRGQSSVGALLKQNLSNQLDMSQFNTAQLRTQMRQFSPSYVESSKRIGIPPSHPQMPPVSPYSLSPGSRPGALQAGLQNHGTGPSHARSLSQPSFFSLDSLPPLSPIPYKDSSPTNNCDGLNVDLPLMEDKGVNANSLLPPSPFTRGNTLRVGDSLPPRKAHRRSSSDIPFGFSTIMQSSPPLIPLQGHGGLERSASPRDNLGLKPAVLVKQESSWDKGSEGKTEATGDGKSEGEVVDDLFSAYMNLDKLEALNSSGTSNNKVFENHEDLDSKASGTKTNGDCSDNEGSSSSISKSGTSIQRAGISSSGERREGVKRNAVGDIAPTARHYRSISMDSFMGKMNFGDESPKMPPSPGTGPGQLSPSNSVDENLNTFSLEYRNAEFSGDELKKIMANEKLVEIASSDPKRVKRILANRQSAARSKERKLRYISELEHKVQTLQNEATALSAQLTLLQRDSAGLTNQNNELKFRLHSMEQQAQLRDALNEALTSEVQRLKITAADLNGQSAKFQQLSLNSQMFQFHQQQPNQLSGHQLQQQQLNQTSQLQQIESTNTKHAST >KZM91352 pep chromosome:ASM162521v1:6:24398677:24400710:-1 gene:DCAR_021283 transcript:KZM91352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMNGESEEPSKVYQRKSFKKNVSLQKESDELGGSDLMKRQKLCDDGGGKFGFFGEANRVTISLALKSKKEVRECRRKLQGELNLVRNWMSKIEGKESEGVDVGGLGPSEMKMEKKRVRPEVESRPLNQLSVSVVENSQGGIESLGKEKDEVDVDDSGVGGVKRKRVHPEVESRPLNQLSVSVVEYSEGGIENVGKEKRTLKANQFYRNSEFLLGKDKIPSVDKKSKVSGKKQGGGGKDNILSVAKKSEGSGKKQFGGDKNNIPSVTKMSKESGKKQGGGDEGSGLRDVKSRVLQTCKGLLQKLMNHKHGWVFNVPVDAEALGLHDYFDIIKTPMDLGTVKSRINNNFYQSPMEFMVDVRLTFQNAMTYNPKGQDVYVMAEQLLQLFEGKWAVIEANYERQLQLVVEREATLTTPTPTPTPKKADTPQQPPVETERSMEKSGSFTPSDLNTKLVNLGHRRRPAAPKKPKARDPNKREMTYEEKQELSSNLQSLPAEKLESVVDIIKKRNPSLCQHDDEIEVDIDGFDAETLWDLDRFFINYKKHLSKNKKNAELATKAKPAEGRSDHKKIPSPVVDLVEESAKETKKDKEANQTKSRSEASKSSGSGSNSSSTSTGIA >KZM91560 pep chromosome:ASM162521v1:6:26055343:26060367:1 gene:DCAR_021075 transcript:KZM91560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGTLKPPQSSSAGTCSSPARDFRRTTSLRKLSFSSQVSGAKISHSVQRRRSRGAAVVVSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEYLVLAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPQGEQLKAMKVDTTILGLDDVRAKEMPYIASMGIYVVSKDVMMSLLRDKFPGANDFGSEVIPGATSLGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRSLAAKGGVPIGIGKNSHIKRAIIDKNARIGDNVKIINSDNVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >KZM91415 pep chromosome:ASM162521v1:6:24957639:24959918:-1 gene:DCAR_021220 transcript:KZM91415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVGHLITDLLIHLVYLTEVEFLHGRSPKQYSCLLLIQEVRRLACQLFIYAPALPLDILVAESYRQTAWKPKFDVKKGKQKAEEETSCVSDSLKGFQNHKFKILDLIEKAERQPNITIGVLVSIVVATPKVSVKPAKEEVTEASNNGEDSSEEKERNEYTTAAPRRRTRLED >KZM90672 pep chromosome:ASM162521v1:6:17950910:17954366:1 gene:DCAR_021963 transcript:KZM90672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGIVKNGLKKYTSGVYIYNNQSIKAPIFKNELYRINESRAFVTRSKNKLGFSNLINSRYCSTTSSSSKNGFVGWYLGLIKSRPILTKAVTSGIIYTAADFSSQMISPQTTKPYDLVRTLRMASIGLIFVGPSMHFWFNTVSKVLPKRDIATTLIKIVMGQTIFGPAMTSGFFSVNAGLQGESGPEIIARLKRDLVPTMISGVMYWPFCDFVTFKFIPVHLQPLVSNSFAYLWTVYLTYMASLEKPDVT >KZM89605 pep chromosome:ASM162521v1:6:2464018:2470346:1 gene:DCAR_023032 transcript:KZM89605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLRFFRDQMLKAGMTPITRSASEGDLDVDSLEAKLGELEAELIELNANSNKLQRGYSELVEFKLVLQKAGEFFQSALSSAEARQREIGSAQAREESLETPLLEEQEMGTDQSKQVKLGFISGLVPKAKSLSFERILFRATRGNVYLKQAVVEHPVTDPVSGEKVEKNVFAVFFSGEKAKNKILKICEAFGANRYSFSEDISKQAQMITEVSGRITELKTTIDIGSLQRDNLLKTIGEDYERWNILVRREKSIYHTLNMLSIDVTKKCLVAEGWSPIFATKQIQDALQRATLDSNSQVGGIFRVLHTKESPPTYFKTNKFTSSFQEIVDAYGIAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLYFLVMEKKFSSKKLGDIMEMTFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFSPSAYGCRDPSCGDSTTMGLIKVRDTYPFGVDPAWHGTRSELPYLNSLKMKMSILLGVAQMNLGIILSFFNAKFFGSAVNIWFQFIPQIIFLNSLFGYLSVLIIIKWITGSKADLYHVMIYMFLGPTDDLGENQLFSGQKTTQLVLLLLAFVSVPWMLLPKPFILKAQHNVRQNGQSYIPLQDTDESLQVETAHDPHAHAEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYNNIFILIIGAIVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALVSEEEE >KZM91530 pep chromosome:ASM162521v1:6:25845932:25847948:1 gene:DCAR_021105 transcript:KZM91530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDRPEPLDFFIWTVEDVGLWLEQVNLGSYRRIFMENGVNGEYLEVACLKGEQRVRRPWWAPPCFSIVFTKAAKRNRQARVVSLKLEA >KZM89443 pep chromosome:ASM162521v1:6:766261:766977:-1 gene:DCAR_023194 transcript:KZM89443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYNLAIFLLFLAPFLWLIHALITPLLPFKSSRRKLPPGPRGLPIIGSLNLLGKLPHRSLNDLAKKYGPIMSMKLGNVTTIVVSSPQIAEKILKTHDLVFASRPQNEAGKHVSYGNKGIAFGEYGHYWRNIRKLCTLELFSAKKIDSLAEMRREELVVMVSTIKKAALARQVVDVSDLVGDGIEKMTYRMLFGKKDDDRFDLKGTMQEIMDQAGAFNIADYVPMLGPLDIQVSYQFS >KZM90289 pep chromosome:ASM162521v1:6:13846092:13848540:1 gene:DCAR_022346 transcript:KZM90289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNYVIECIPIYKAAIEGDWETAKRIFKKEKKDLNASITCWGETTLHIAVGTNSSHRFVEQLVEQMMQIDPQMLLTGNCYGSIPLQYAAKVGNIQAVRLLVSLNPEMTQINNNIGETALKLAAMHAERETLLYLLETTKDVVGEDGTSPYRGAHGADLLSFSISADFYDVALYLVNKYPDIVPETNIINSHTSLQVLAAKHTAFQSGSGFGFWQRFIYSWIPVNREKALKSLIGGKSEVFSTQNGTRAPHIKRIHDSKVKNVRAEELVKHMCSAVIRKVDHATAWDVLGTAIATAVKHGIHELIQECIHQYPGIIWYDIDGFYLFSFAIRHRSEKVYNLVYQMSGHKAYVVAESRDGENSLHYAGKLAPPHRLNTVTGAALQMQRELQWFKYPLLVELVRSTYGCGIFYKQNNLLLH >KZM89632 pep chromosome:ASM162521v1:6:2730291:2734507:1 gene:DCAR_023005 transcript:KZM89632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSLAAVLVSALVVASVQAEDPYRYFNWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLNQPFLISWQVLYFSIAIMYNVLKFSLQLNTLWNGIQQRRNSFVDGVYGTTCPIPPGKNYTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPSGDYTVLIGDWYKSNHMKLKAILDNGRKLPFPDGVLINGRGPNGVSFNVEQGKTYRLRISNVGLENSLNFRIQGHKMKLVEVEGTHTLQSTYSSLDIHVGQSYSVLVTADQPAKDYYIVVSSRFTSKILTTTGILKYSNSAAPVSGPLPGGPTTQIDWSLNQARSIRSNLTASGPRPNPQGSYHYGMIKTSRTIRLSSSAGQVHGKQRYGINSVSFVLADTPLKLADYFKIGGVFRIGSISPNPTGGGLYLDTSVMGADFRAFVEIVFENPENIVQSYHLDGYSFFVVGMDGGQWSSGSRNNYNLRDAIARCTVQVYPRSWTAIYVPLDNVGMWNLRSEFWARQYLGQQFYVRVYTNSNSIRDEYPIPKNARLCGRAAGRHTRPL >KZM90405 pep chromosome:ASM162521v1:6:15546107:15546558:1 gene:DCAR_022230 transcript:KZM90405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGEARVMRIIEEAKQAVGIETDAGGLPYHVYAALGREYEFLRGQNAEIRRLIDTLLQERRVPVEDAEARSRIGAIEHIARQRLAEFPSTSEWDVEARRVTRLICWILSELRTVRGPHN >KZM91540 pep chromosome:ASM162521v1:6:25891414:25900892:1 gene:DCAR_021095 transcript:KZM91540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRAIPNPADDDPSQSRSKRKRTASNVENLESAATGQGTIEGKKALYHCNYCNKDISGKIRIKCAMCSDFDLCVECFSVGAEVYPHKSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWNEVAEHVGTKSRSQCIDHYNTIYMNSLCFPLPDMSHVMGKNREELLAMAREQGEVKKGLPVPMELTVKEESSYPARVKIEDQSRDVLGRPLSSLVPEVGTGAGSSNGKPSAGGVKRASSIVQSKVDSDGPKIEDRSVGEKKPRISGDEGPSLTELSGYNSKRQEFEIEYDNDAEQLLADMEFKDTDSDAEREIKLRILRIYSKRLDERKRRKDFILDRNLLYPDPFEKDLTPEEKEICQQFRVFMRFHMKEEHAEFLKVMIEEHRIRRRIQDLKEARAAGCRTSVEAERFIEQKRKREAEEHARKAKENSQTGPSGKFLQRANHLKGELDNSPRGGPPGSVFEDWNVNGFPGADLLSESERRLCSEIRILPAHYLKMLETLSIEVMKGRLSQKSDAHVLFDVDPGKVDKVYDMLMRKGIVQP >KZM91783 pep chromosome:ASM162521v1:6:27819485:27819791:1 gene:DCAR_020852 transcript:KZM91783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFVE >KZM91659 pep chromosome:ASM162521v1:6:26881368:26882933:-1 gene:DCAR_020976 transcript:KZM91659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGNARVNSGATARGGGDGLPAIGIQIHSRRLPDFLQTVKLKYVKLGYHYLISNLFTLCLIPVIIIILIEASQMNFDDIRQLWLHLQYNLVFVVVCFAVLVFGFTAYIMTRPRSVYLVDYACYRAPDHLKASNERFMRHSRLTGDFDDSSLEFQRRILERSGLGEETYVPEAMHVIPPQPSMAAARAEAEEVMYGALDNLFANTGIKAKDIGILVVNCSLFNPTPSLSAMIINKYKLRGNVRSFNLGGMGCSAGVIAIDMAKDLLQVHRNTYAVVVSTENITQNWYFGNNKAMLIPNCLFRVGGAAVLLSNKSADKRRAKYKLVHVVRTHRGADDKAFRCVYQEEDGAGKIGVSLSKDLMAIAGGALKTNITTLGPIVLPISEQLLFFATLLVKKFFNPHVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPIHVEASRMALHRFGNTSASSIWYELAYTEAKGRIRKGHRVWQIAFGSGFKCNSAVWQALRHVKASSNSPWDDCIDKYPVTVVS >KZM91993 pep chromosome:ASM162521v1:6:29637823:29640934:1 gene:DCAR_020642 transcript:KZM91993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLVFPKCLTKASQFLLTSLNFITFLFLDFLDFILCIYFYYIDGFLEGKSSPCYCRTGSEDKKCDTEVSETLYMRRNVFRKLRFVRKFENLKENGNGVVGNRWSDCGCESCVAWMMNAHQRLFVAVSETSSQASLEQVHAKPAEDVLFLHGFLSSSAFWTRSVFPAFTESVKDNYRLFAMDLLGFGRSPKPRDCLYTLRNHLEMIEESVIHPFQLKSFHLVAHSMGCVLALALAAKYPDTVKSVTLVAPPYFPSSSTDAGTIALKRLAGKSLWPPILFGSSVMTWYEHLGRCVCFFICRNHRTWEKIVKLFTRKRDLLLTLNTITQHTHHSAWHSMHNVICGGAKYMDEYLETVRKSGVKVSVIQGSQDQVVPLECSNNIKTKVPDAEVRVIADEDHGSVILGRPEDFARDLKLIWASTADARVQGV >KZM92549 pep chromosome:ASM162521v1:6:34265277:34267844:-1 gene:DCAR_020086 transcript:KZM92549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRNSNTQLLDELEELTQSLYQTHTATNRRTASLALPRNAVPSIPSIDEADAAADEEKFNPKPRSRRMSLSPWRSRPKAELDVDNKIQSKAGNKKELKKIDDKSTEKKGLWNWKPIRALSHIGMQKLSCLFSIEVVTVQGLPASMNGLRLAVCVRKKETKDGTVQTMPSRVSQGAADFEETLFVRCHVYCSSAGGTQKFEPRPFVIYVLAIDAKELDFGKTSVDVGDLIQESVEKNFGGERVRQWDTSFSLTGKAKGGELVLKLGFQIMEKDGGSGIYNQGVAGQKPSRAGTFSPSFGRRQSKSSFSIPSPRLTSRAEAWTPSQAGASAEFQEIDDLNLDEPASVHSPSAVQKAEEPESKMDDLDLPMDDLDIPDFEVVDKGLEVQAKEWTDGQSEENSDKRSVSGEVVKEVVHDQVHQARLTELELIAQQIKALESMMEDEKSVRNDEETASQQLDADEETVTKDFLQSLEIDEADEIQTFHQEYQQEKQNRADDEEANSMVYLPDLGKGLGCVVQTRNGGYLAAANPLDTLVARKDTPKLAMQISKQLVLRADQSMTGFEIIQSLAATGTEKLSSEILSLMPMDELLGKTAEQIAFEGIASAIISGRNKEGASSTAARTIAAVKSMATGMSTGRKERISTGIWNVNENPVTVDEILAFSMQKIESMALEALKIQAETAEEEAPFDVSPLNENDNLLASAVPLEDWIKDSSVETSTNEDGDSETIIITVVVQMRDPIRQYEAVGAPLLVLIYATRVDAKTDGYEEEKRFKVDSMHIACLKIRTGGGKKNAWDSEKQRLTSMQWLVAYGLMKAAKKGKRVVSKGQDLFWSISSRVMADMWLKPLRNPDVRLPN >KZM90855 pep chromosome:ASM162521v1:6:19839554:19841240:-1 gene:DCAR_021780 transcript:KZM90855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYDPDLTDDSSSESNPDSSVRITNKFSDLLEKTERLGAGGFGSVYKCVHTLEGKEYAVKRIELKNNRKQLEWCLREVKALSCLEHKHVSWTDTNWDGFSSTEGSQSQESEESKSQESEGSEDSPVKNLLYLFIQMEYCPSTLKSKLEEQPVNHFEVLTYLHHLVKGLDYIHNSGFLHRDLAMKNIFIGKDKRIKIGDFGLAKELIGQDDDDMYCSHSPHSESIGIGFHRAPELKQHQPYSKKSDFYALGITYLDMLLVHVETDHERSHIIDGIKKGIFPVDWKFDTALPRALLAELPEARPNATQILKMIEDAKKARG >KZM90266 pep chromosome:ASM162521v1:6:13679038:13679298:-1 gene:DCAR_022369 transcript:KZM90266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMETYMGEMTIRKSLLNKLRIVHNHKLLSWEKNTKNDIVISGTMRLAKGKKVVGLDDY >KZM92124 pep chromosome:ASM162521v1:6:30724458:30725510:-1 gene:DCAR_020511 transcript:KZM92124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVYPAQASHANPFAGLIPSTFPPGTDPSVVACFQLADADGSGFIDDVELQRALSSCDQNFSFRTVHLLMYHFTNSNARKIGPREFTELFYGLQHWRAKFESFDQDRSGKIDASELREAIRSLGYVLSPVVMDLLISKFDKYGGASQAIEYDNFIECCLTVKGLTEKFKEKDSALCGWASFSYEDFMLTVLPFLIA >KZM92087 pep chromosome:ASM162521v1:6:30428422:30431436:1 gene:DCAR_020548 transcript:KZM92087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQIDGGEAPQKSCLTSFTDQGSLESHRYYLSRRTVLEMLRDRGYSVPDSEIELSLREFRGIYGDSPDTSRLRISATHQTNPSKKILVVFCDQGIVKVSQVRSIAGQITNKESLSRLIVVIQNRITDQAMKTWDLFSFKVEIFQITDLLVNITKHELKPKHRVLTNEEKEALLKKYSVDEKQLPRITVKDAIARYYALEKGQVLEITYNGEITEFYVTYRCVW >KZM90785 pep chromosome:ASM162521v1:6:19239296:19241226:1 gene:DCAR_021850 transcript:KZM90785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALTHALFSPLTSHSPISNRTLLTPSLALPRKQFGSPVLSTHSNLTHKAFIVNCTPPEKESTNQELPIELRYPAFPTVMDINQIREILPHRLLLKSFGDTIDGVLSFVSGNRYAMAQVGGLVMLQPEVGGSKDNFFFAGIDKVRFRKPVIAGDTLVMKMTLTKLQKRFGIAKMEGKAYVGGEVVCEGEFLMAMG >KZM90638 pep chromosome:ASM162521v1:6:17705044:17711725:-1 gene:DCAR_021997 transcript:KZM90638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPLILLVFFQVLATILFPVSVSSLDEAYPSPYQDDEASCFKKTETLQPIRREIYGNGRIIDITHKFTPKTLSGHPDGLVDFLKLSSDMRNGSLYNFSVMKLPLHSGTHVDAPGHMVADYFDAGFDVDTLDLDVLNGPALLVDVPRDSNITAKVMKSLNIPKGVRRVLFRTLNTDRGLMWKEEFDSSYVGFMKDGAQWLVDNTDIKLVGIDYLSVAADDDLVPAHLVFFEGRGLKSALGSGDVVLCKITFDSDKEPILKLGSKVLIMFLKVAAKMKTKDKTMRISREDLGFIWMEYLVRDK >KZM92802 pep chromosome:ASM162521v1:6:36415024:36417393:-1 gene:DCAR_019833 transcript:KZM92802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQANPNQDDYKVKDTKPKLGEQWPHGGVRGGGGWISSERITSTYDLVEQMYYVYVRVVKARDLPPNQVTGSSDPYVEVKLGNYKGKTQHLEKRANPEWKQVFAFSRDKIQASVVEVFVRDKEMVARDDYLGRVVFNMHEVPTRVPPDSPLAPQWYRLEDRRGESKIRGEIMLAVWMGTQADEAFPDAWHSDAATARGDGVFSARSKVYVSPKLWYLRVNVIEAHDVESADKSQLPQVFVKAQVGNQILKTSMCPTKTTNPFWNEDLIFVAAEPFEEKLVLTVENKTPSREETVGRIQLALNVFEKRLDHRPIHSRWFNLEKFGFGALEGDRRMELKFSTRIHLRVCLEGAYHVLDESSMYISDQRPTDRQLWKQPIGILEVGILSAQGLLPMKTVDGRGATDAYCVAKYGQKWVRTRTIVNSSAPKWNEQYTWEVYDPCTVITLGAFDNCHLGGNDSGPGKDSRIGKVRIRLSTLDTDRIYAHSYPLLVLQPSGVKKMGELQLAFRFTCLSMANMMYLYWHPLLPKMHYLHPFTVSQLDFLRFQAMNIVAARLGRSEPPLRKEVVEYMLDIDSHMWSMRRSKANFFRIVSLFSGAISMSKWVREVCHWKNPITSILVHVLFFILICFPELILPTIFLYMFLIGIWNFWLRPRHPPHMDIKLSWAEAVHPDELDEEFDTFPTSKAQDVTRMRYDRLRSVAGRIQTVIGDMATQGERFQALLSWRDPRATCLFILFCLIAAVVLYVTPFRIVILGAGLFLLRHPRLRSKQPSIPSNFFRRLPARADSML >KZM89601 pep chromosome:ASM162521v1:6:2427099:2430707:1 gene:DCAR_023036 transcript:KZM89601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTMSRPVERPYRPSLEKIDLESSEDERKTRLGTLSIKAVNASTKFRHSLTKRGRRNSRVMSVVVVDEHDAEELQAVDALRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWADMIRWRKDFGADTIMEDYDFKEKDEVLKYYPQGHHGVDKEGRPVYIESLGKVDANKVMQATTLDRYIKYHVQEFERTFNDKFPACSIAAKKHIDQSTTIIDVQGVGLKSFSKAARDLLQRIQSIDGNNYPETLCRMYIINAGSGFRLLWNTVKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCNCAEKGGCMLSDKGPWNDPEIMKMVRSGAHKCSTKISISFDDKPVSEEEPSTRKSVSFKAANEGSMPSREQIEKPHLSPVHEEITPNQSSTDENTDSVPMVDKAVDKTWPKSVQDDRLAVSKAQDFYPIHDCCKPSDGISSHIINGMMSFLMGIAAMVRLSRNVPKKLTAATLYSSSMPGNDDLDKGQASCNMPLVTVTHNDYVMMMKRMSDLEDKVITLSQKPPTMPPEKEELLNAALSRVHELEQELSSTRKALDDALVHQAELIAFMEKKKKKKKFFAF >KZM90754 pep chromosome:ASM162521v1:6:18875607:18876899:1 gene:DCAR_021881 transcript:KZM90754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQILTREFVKPLIPTPPNLRNYKISFTDELAPVINVPSILYYQAHEKIKATSMCDILKTSVEKALPKFYPFAGRYVKTSRVIDCSDQGVEFVTAQTDSQLAEILGLGKKLKVELIDQLLPCDVQEADEVTDPLLCIQVTMFDCGGLALTMCFAHRIGDASTISAFMAGMVAASQGLSLELFHPSFDVASSYPGKGLPHWKLNWTNKNVTKRFVFDSKAIEILRNRARLTGANGKSKPSRVQLVNAIILQALISIDRAKYGHFRATYFMQTMNLREKANPPIPTESFGNLFGLATVNLEAGQAKDKGYGHHADMLSDSVKEALEAYKTMLLHHEDGHRKVIDPFLESNEKMSDPDVNAIMHTSWSRFPYYEADFGWGTPVWASAASLPLKNVGILLDTKDCTGIEAWVYLEDTDVPLFEQHPDILALTT >KZM92140 pep chromosome:ASM162521v1:6:30840239:30848902:-1 gene:DCAR_020495 transcript:KZM92140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNVVLLVHFFSGIILMVISFIMGVIPTTANANSFLKNFFRISPGFCFADGLASLALLRQDVKNENGSGVFDWNVTGGSICYLAVEGIVYFLLTLGFELLPPQKVSSIFANECCESIKRLCRPTAQSHSEPLLRSSSESENVNLDFDLREDIDVQSERNRVLSGSVDKAILYLRNLRKVYPGGRHRGAKVAVHSLTFAVQEGECFGFLGTNGAGKTTTLSMLSGEESPTGGTAYIFGSDMRMNPKAARQHIGYCPQFDALLEFLTVQEHLQLYARIKGVPDYNLADVVMDKLLEFDLLKHSNKPSFTLSGGNKRKLSVAIAMIGDPPVVILDEPSTGMDPIAKRFMWEVISRLSTRQGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVISTELEYMCQIIQERLFDLPSQRRGILGELEICIGGTDSVPSENASVAEIRLSAAMMVTIGRWLGNEDRIQTLLSPSRGSDEISDEQLSEQLARDGGIPLPIFSEWWLTKEKFSIINSFILSSFSGSSYQGCNGLSIKYQLPHGEDHSIADIFGHIERNRNQLSIADYSISQSTLETIFNHFAANSN >KZM90401 pep chromosome:ASM162521v1:6:15464306:15464606:-1 gene:DCAR_022234 transcript:KZM90401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTTTRGGLVEYAVAKQEVMVLRPLELSAGECAALGTRGLTAYQCLITAGVVL >KZM91182 pep chromosome:ASM162521v1:6:22832422:22832835:1 gene:DCAR_021453 transcript:KZM91182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKAGGIVKKGQEEGLKLAISLLEEYNLPLGLLPLADVVEVGFVKSTGYMWIVQKKKVEHKFQMISKLVSYDTDITGYVEKKRIKKLKGVKAKELMLWPPVSEIIVDEQPTGKIQFKSLAGITKTFPADAFAAGQ >KZM89901 pep chromosome:ASM162521v1:6:7171655:7172959:1 gene:DCAR_022736 transcript:KZM89901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLGKTEPFLSKNDECPLDFAGSNPYVNLTRVMKPVASLAFRLFGNSLRCKENEDLAKNVFKELMEKHMLESRARVVCVGEGAASAVVLLRDLGILNAIGVRRHPFFSLWKKRFVYELDLKGDYFDFVFSRSLDKVSVPALLVLEIERILRPGGIGAMLVGAHAFYSGSLVRSATPVSSFLKSSDVVHVCRIGSFTLVIFKKRLDNVNQLEHYHLPDHCPSIMNNKPFLKRIEPLALNQLGQHETEISFLPKFMNVSSRNRMVYINIGASELVSSSITEIFKPYHRVLPRAFDVYLIDHNISTLSSYVRKPGVTFVYHPGLLGDYTAPVLASDEHLSAPTEENSFEFARWFQQTISANNFVVLMMNARAAELKILFELYETGAICRVDELFIHCSDTEYCTDAVCGDCRSLFEGLRKSGVFVHQWWEPGTLI >KZM90002 pep chromosome:ASM162521v1:6:9403349:9449256:-1 gene:DCAR_022633 transcript:KZM90002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIEENIDLESDEEIPFSSSQATDKLQNFHFETPRSILEPNISSSWASNNLGNSLIRSIYIVLIKAKINVLLPFGPLAILLHYLTGKHGWVFFFTLLGITPLAERLGYATEQLAFFTGPTVGGLLNATFGNATEMIISIYALKHGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIIHYKKVQYFNKAAALVNSGLLLMAVMGLLFPAVLHFTGTEVHFGKSELALSRFSSCIMLVAYASYLFFQLKSQPNLYNAIDDDRECVVEDSEDEEAPEITMWEAIGWLFILTLWVSLLSGYLVDTIQGASDSWNMPVSFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWFMGQPMDLNFQLFETATLFITVLVVAFMLQDGTSNYFKGLMLILSYLIVAASFFVHVDKINEDD >KZM90286 pep chromosome:ASM162521v1:6:13827859:13832616:1 gene:DCAR_022349 transcript:KZM90286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVQAQVNDFVVKLQKRKIEGSKATAKLTAELLRSVISQQRIPHGSNQAGVIIEAVRGVGEQLIRANPVELAVGNIVRRVLHIIREEDLSLTTATIGGLSVSAASDDEDELERGDHPALSAAAVAAASRSTLRPPSLQTLLEDVPHSAAVPHTYSSGGDSEGKSKSTDKNSRTRKLKHNIIETVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSTTVIEFLCAAKEKKRSFRVFVAEGAPRYQGHILAKELVARGLQTTIITDSAVFAMISRVNMVIVGAHAVMANGSVIAPVGLNMVALAAQRHAVPFVVLAGIHKLCPLYPHNPEVLLNELKSPSELLDFGEFSDCMDFGTGSGSPLLHVVNPAFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVIQRRPSS >KZM92263 pep chromosome:ASM162521v1:6:31928249:31929908:1 gene:DCAR_020372 transcript:KZM92263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFPTIKTTVNHRPPPPSCCLATKNVAHSKITLPSPSTSIFRFYRNPLSGKIFPVTKLRRSGGTRMAWDGPLSSVKLILQGKHFELSEDVKGHVEDKVGKAIQKHSHLVREVDVRLSVRGGEFGKGPKVRRSEVTLFTKKHGVIRAEEVAETMYASIDAVSSVIQRKLRKIKEKDSDHGRHMKGFDRLKVRDPEAMLLQNGSISSAQVEDEDDEDPFMDEIVRTKYFDMPPLTVAEAIEQLENVDHDFYGFRNEDTGEVNIIYRRRAGGYGLIIPKQDGKAEKVEPLVVEPARKSSVAE >KZM91417 pep chromosome:ASM162521v1:6:24984936:24986150:-1 gene:DCAR_021218 transcript:KZM91417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLLENCRVSPPPNTSADKSLPLTFFDFIWVPFHPLGRVIFFDIPCSTNHFTQNIVLNLKTALSHALAHFSPFAGNLTKPTNTNSETEFQIRYVDGDSVSVTFAECTDDFNYICGNQVRDADILKPLVPRLPSGVALLQESGEKCFASPVLAIQVTVFPNHGISFGFTNSHTVSDGSSLFNFIHEWAKQATSKSDVAGSDFLSPYYDRSSLKDPLGLTTMFKGYFGGADMAEHMEENIPDQSSGDKARATFVLKQAEIEALKSLVIEKKQNFPYVSSFTVVCAYVWTCMAKTRADVVGGMEQEPLNFGIAYDCRARLDPPLPASYFGNCIFSAVPVGRRDILAGEEGLLAAAELIGTALYAKLNNKEGVLNNAHALLTTDFAGVMRGEWYLGVAGSPKLDYYN >KZM90534 pep chromosome:ASM162521v1:6:16647239:16649633:1 gene:DCAR_022101 transcript:KZM90534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGSNEVGLRMLLYPFASNVVVRTACSSVGVALPVYSTFKAIETKDQIEQQRWLVYWAAYGSFSVAETFADKLISWFPLYHHMKLAFLIWLQLPSVNGARQLYTSHIRPLFLRHQRRLDQVVGFLYTQMGKFFSAREAELQFAKAIGTKIMVSANHIVRDIIYPNQNPANSTIEAPPRPDEASNSDHDE >KZM91604 pep chromosome:ASM162521v1:6:26429265:26431976:1 gene:DCAR_021031 transcript:KZM91604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRAVIQLNRWHRFYSSRPALFNLIHSHSPLNIHHRFFFTGLPCYNRDVRFESRALRFQNASLEPSEMSDADDNKKSRNEKKREARRAVAWAVDLAAFSPAQIKRILRVASLETDVFDETDVYDALMLVKRLGRDVREGKRRQFSYIGRLIRDVEPDLMDGLIQASKDGDMSKFESLYTSEIGSSEDDVEEESGSDDDLEIPEECINTANRWFDGLMNRDMDISNEIYSVRTVEFDRQDLRKLVREVYTLQDEIASEEAEGEDKDLMAAKKSLARFLREIAKQLPFE >KZM89406 pep chromosome:ASM162521v1:6:510211:513772:-1 gene:DCAR_023231 transcript:KZM89406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSQTNFQRLLRALTPTVRPRPIPRAYVEGWNKTWNPLGQPITQYYSLGDLWYSFEKWSACGTGTRIENPGGEDVMHYFVPKLSAIQIFTTKSLDKLRILKIKDIKDIDGLEAELWSDESDGEKSSGSPSNDSSNAWEVTADDWGNDYEDPPLYRRNFRNLYFGAEDTTSPYFRAPLFEKIFEATVQYPGLMTLKSTDLTPASWIAISWYPIYQVAAHEVKDDMASPFLTFHTLSSFFIDAADVTADAISLPPFGIASYRLEGDIWFGSEQADYVKFYDLHRAADSWLKQVHFNHYDFKFFNKKADHDCNADCPCRRYGNYHKDF >KZM91359 pep chromosome:ASM162521v1:6:24488527:24488832:1 gene:DCAR_021276 transcript:KZM91359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGVCNNDNKENINPFFIYEDNSPSAFCPSASKKKKNQSKLSERTPLRDITHLFVPRETASKPVSLPASTVTNRQKSKAVQHTDVMQATAPKSSIKHFR >KZM91677 pep chromosome:ASM162521v1:6:27016068:27016346:1 gene:DCAR_020958 transcript:KZM91677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDYLTGGSSKAKDKTWQQGTWDVATFGDPDRLSPIREQRQPQKSRVATQIAGSDDPHLLLVLNEKINVSMSPSSCDDKSIFACLQKDEKK >KZM90926 pep chromosome:ASM162521v1:6:20461585:20463323:1 gene:DCAR_021709 transcript:KZM90926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKAQELVSANGVVVFSKTYCSYCASVKKLFNDLGVTYKLLELDVESDGSEIQAALAEWTKQRTVPNVFIGGKHIGGSDSVFALRKSEKLIPLLTEAKALSVSSSS >KZM91766 pep chromosome:ASM162521v1:6:27699069:27700769:1 gene:DCAR_020869 transcript:KZM91766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGIPPSTGQKGGKNPVKTLINQSHVAPATSGITEKKILRADRFGIPVQLSEQEKRNSRSHRFGTGFGSNGSDDVNKSEKDKRKARADRFGLEQAVTSAEEEKKKARLARFAPVAKVDSVEEEKRKARALRFSELSSSLSGVNSEGIGAKAVVSIKEGGGM >KZM92248 pep chromosome:ASM162521v1:6:31799205:31800733:1 gene:DCAR_020387 transcript:KZM92248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAKADEEKATNFKVLQESPPSSSYFRYNSPLVQVGLIGLVCFCCPGMFNALSGMGGGGQVNHKAANNANTALYTTFAVFGVLGGGIYNILGPKLTLFAGCSTYILYAGSFLYYNHQKHQAFAIVAGALLGIGAGLLWAGQGAMMTSYPTPGRKGSYIAMFWSIFNMGGVIGGLIPFIMNYNRIEASSVNDGTYIGFMVFMTIGTILTLSILHPSKVIREDGTRCTEMKYSSVRVESVAIMKLFLDWRLLLLVPAAWASNFFYSYQFNNVNGVLFNLRTRGLNNVFYWGAQMIGSIGIGYVMDFSFKSRRTRGLVGVAIVGVLGTAIWGGGLANQVGYKRNEKLVLLDFKDSGSDFAGPFVLYFSYGLLDAMFQSMVYWVIGALANDAAVLSRYNGFYKGVQSAGAAVAWQIDVHNVSYVSELAVNWALCTISYPLLVVLIILAVKDESVEDLEGTSKEVALPAAVPESMEDSNVAVSKSS >KZM91681 pep chromosome:ASM162521v1:6:27040352:27045583:-1 gene:DCAR_020954 transcript:KZM91681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRMFDHIQNLEKSRTNWKIKARLTRFWPTFAPETSTIKGYNLILLDDDNSHVHAYVYPDNWRAIGKEVAEGKVYVVENFQVRDTIGKLKPVSTKLCLRLLNSTTIKEVEDDVMIPKHKFEFMDMGDLLEECDRLSENQNPEFAYDVIGAVEEFDKVKRVPTRYGERDQTRFIFTDGRLKFKVTLWGDFASSVSESFKPDLEKPVIGVLTSAKLSTFRGMRYTFHFVVMCKCAEITFWYLSVDQKLEEHQIGALPSTKIYFNLPIDSVAEYRERLREEGYKPGKVYADSTSNASTRIVIEKTSFKQMIEDPGNFRYKRTVMIKFVITKVEEEDNWWFNSCVSCQAEVEKIDKKFKCHECNRSFGYCEKRFRIFVLADDSTLLTNVILLDRVVKRLAGTTVANLLTQIKQDNSVTVASAIFGSIIGKEVIVLLQLTDANVAGDSNLYNVVDLCDSAMYEGAMVLASPTQATSSFSMEGDSVVPGIELFETPGSSHSATKKIKVRSRSAKTDHTGWFMDAHDHLAVVDGSKMTWNVRVRVTRIWPSTIPNGVIVRWNLLLLDSENTHVHASTTPEIWIQFQNLINEGVVCMVRNFSVIPANGIFRPVLHPRQIAFTEATRINVIPEEEFTIQMHRFEIIPLEDLQEHVVEGNPNWLNEFSIDVMGMVEDLEPIQESQTNHGPVEIIKFTIYDGSVRHKVHISGPFNPDALSLYDDQFANPKIVIMASTRISEFRGTIKITNLSSTKIYVNLECPEVTTFRQWLINDGFMEFWPII >KZM91283 pep chromosome:ASM162521v1:6:23796747:23798681:-1 gene:DCAR_021352 transcript:KZM91283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSPVYRSLKVRLHHFAKPTDPTSLFNGCNSMDQLKQIYLQIIQKGLSSDAMIFSKIVASCCVHESGCMDFARRVFETIPQPNVFIWNTMIKGYSQVKSPYFAISMYMKMLENNVEPDNYTFPFLLKCFTPGVAFSCGSQIHVHVCKFGFESNVAVQHALIHMYSLSKQLDMARGVFDVSLKGDVILWNAMISGYNRSKKFDESRKLFHLMEKRRVLPSTVTLVSVLSACSKLKDVDTGKRVHQYVTDHKVESSLTLENTLVDTYAACGEMDIALDIFKNMKKRDVISWTSIVTGLLNVERVELARKYFDQMPERDSISWTVMIDGYLKLNRFKEVLMLFREMQTAKVKADAYTMVSILTACAHLGALELGEWVKAYIDKNKIKNDVYVGNALIDMYFRCGDAEKAVEVFNEMQYRDKYTYTAMIVGYASYTPEISEVFV >KZM89839 pep chromosome:ASM162521v1:6:5722453:5724584:1 gene:DCAR_022798 transcript:KZM89839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSLSSSSSLLRHFLPPKPPSLPPNLLFKQSHPPSFSSTPLHTLFRSLSSSSQTNCQAPIASLTLETPENVVIKDDSELNSKETQVVEAAKEAVGEVDWDSSEKYQELVANLPSLSTKEKKELASYAHSLGKKLKSQQVGKGGVTDAVATALVETLEANELLKVKIHSNSPSELDDAVKQLEGATGSVAVGRIGRTVILYRPSLTKLKAEEKKLQARRVFVRRQQAYRSSLQNKAQAFGKSRAEAAGQSGARRGRSRASPSL >KZM91904 pep chromosome:ASM162521v1:6:28812627:28815724:-1 gene:DCAR_020731 transcript:KZM91904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHNHVMEDLIHDSCKIRKRGCSSSSSTSSKLHNYRFKRAILVGNKTRKGLGSRSSTPVPTWKTTSLLRSGGVVESPKYESSKSRPVSARKLAATLWEMNEMPKVIEEKMKIMKKKERLRSVVSGSLPPHLSDPSHSPVSERMDRSVAGSIQRRTPSVSQRHRLSLETVGQVDSRSSASLMEIETRSQAQTPSGPAIGVRTRLKDVSNALTTSRELLKIIVRIWSHNDPPTSSASLVSALHAELERTRLQVNRLIKEQLSEQNEINFMVKCFAKEKASWKSKQQQAVEAAIESIAGELDMERKLRRRSESFNKKLGRELADTKALLLKTVKDLESEKRAREVLEQVCDELAADIGGDRAEVEVLKRESAKAHEEVEREREMLQLADKLREERVQMKLSEAKHQFEEKNAVVDKLRNQLEAFLRPKKPKKKGARPVTTGDNDEIPTYLKRMNFDFDQNDDKDDGEVENEIDTDEDSRESDLHSIELNMDNNNKSFKWNHTSTTAHDTRRVSVDDKMRGRNSISGDVPRKITLIQRSVSDGVEWGGHTKTMQQSGDALNQERIVEFEKQTPRKGYGDDLQRYKSVKGLRDQILSSSRTGSAGEFPSPVRQLAQSRHPNDPVQLVQERLPVVQGSGSKSRLVEARGEGQMVRRSRR >KZM91021 pep chromosome:ASM162521v1:6:21485945:21488518:-1 gene:DCAR_021614 transcript:KZM91021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIIMESCSSIRVFVHILTQPLKQAQDQDFKMEGDKAAPVSSTSSLWLAPNPSKRWGELFFLIYTPVWLTLILGVIVPYKLYEDFKELEYLIVGLVSVVPAFVIPLFVVGKADSYIPLKDRYWANVWILIFSYVGNYFWTHYFFTILGASYSFPSWKMNNVPHMTFFLAHVCFLFYNVTSNFTLRRLQYAVAGLPQKVRWVAKAAWILASSYFIAYLETLAISDFPYYEFADRASMYKIGSAFYAIDFIISFPMFSRIDEIPGDNWDLSRVAVDALGASMLVTIILDLWRIFLGPIVHVPHTNQCLQPGLPWFSGHTT >KZM91611 pep chromosome:ASM162521v1:6:26508666:26512507:1 gene:DCAR_021024 transcript:KZM91611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFFTCVPSSCNIGWKSSSTLFQELGLLSNNSSLFISPRPKSFQVSASSSNADPLLVKAAKGEPVPRPPAWMMRQAGRYMAVYKKLAQKHPSFRERSETTDLIVEISLQPWEAFHPDGVILFSDILTPLPAFGVPFDIEELRGPVIQSPIRLEEDLKKLHAIDLEKLHFVGDSLKILRQQVGTQAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPHVLRALLSHIATAISDYVVFQVESGAHCVQIFDSWGGQLPPDMWERWSKPYIDQMNMSCRKLKKDMNMSIVRKKCPDTPLVLYINGNGGFLERMKATGVDVIGLDWTVDMADGRRRLGNDISIQGNVDPAYLFSTLPALTEEIKRVVKCAGPRGHILNLGHGVLVGTPEEAVAHFFDVARSLEFDTPAENIVKGEPKLVV >KZM90773 pep chromosome:ASM162521v1:6:19041962:19054318:-1 gene:DCAR_021862 transcript:KZM90773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLSTKLVNLENPRLWVVIGISVAGIVILAESQRRKMKAKKLMIKEDFGAFIERFELLPFPQPPPPAARLSLSNLTFAVKDVFDVMDHVTGFGNPDWKNTHEPADRTALVITMLLKNGATCVGKTVMDELSFGITGENVHYGTPTNPKMPAHVPGGSSSGSAVAVASELVDFALGTDTFGCIRIPAAYCGVIGFRPSHGVISTIGQNILSVVCKVIKDLKGYQLPDHMNFSQYIAANVPSLKDFIEESTKLQNGFSALKALCSAMFSLLRHEFKSNHHEWVQSTEPKVGPDVSARVLEALTTTHDNVKTLYKVRTEIRAALRSLLKDDGILIIPTVADPPIRLNSKKGVSSEFHDRAFPLLSIASMSGCCQVAVPIGNHNDYPISVSFIASHGGDKFLLDTVLDMYSSLQDQASIVSNSAPLPDMNGNMDASDLLKEKGNAAYKKKEWNKAVSYYTEAIKLNEGNATFYSNRAAAYLELGCYQEAEEDCTKAISLDKKNVKAYMRRGAARESLLFVKEALQDFKHVCVLEPQNKTASYAEKRLRKMMR >KZM92320 pep chromosome:ASM162521v1:6:32501600:32502413:1 gene:DCAR_020315 transcript:KZM92320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTSLLALSFAALLLIGGESATFTIKNNCPMTIWPAAFTGEGSQPSTTGHLPYRRVRTWRRSLQWSYGVPPATLVEFTLNDNGGLDTYDISNVDGSNLRVTLAPDNSACVTTSCLGDINADCPADLARKSGSETVGCLSDCAALNRPEDCCTGAFNTTQTCQPSRSANYFKEKCPQAYGYAFHDQTSTFTCSTGTNYRITFCP >KZM89644 pep chromosome:ASM162521v1:6:2827083:2827595:-1 gene:DCAR_022993 transcript:KZM89644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGWNKKTVENNPQVFIYSGAERLMRMGPWNGVTFSGYPEFTVSGADQVSKLIYTDNEEEIFWYYTINNPANISIFVLNETRGLAQRFNWDPVTQKWYPFWTGSEDSCDFYRHFGAFSTCNPADVGAQGCECLPGYKSQGNPLRDKYQCLRHSEALVCGKGRGSWRSQE >KZM90139 pep chromosome:ASM162521v1:6:11621916:11623696:1 gene:DCAR_022496 transcript:KZM90139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCCFSLEDILKANQTIRDGSTIVSSGGHFELGFTSPGNSTNRYVGIWYKKISKHTIVWIANREAPLNTKSGLLKLNSRGNLVILNGSDDEVWSSNISQSSNNPVVQLLDSGNLVIRDENESDPGKYLWQSFDKPGNSFLPGSKFGWNLETGLERYHSSWISEDDPAPGEYTNHIDRNGFPQLMLRKGSAIHFRAGPWNGVRFSGMPNLKPNPIYKFDFVFNDKELYYHYELVNASVVMRMILHPLGYIQRWIWIEKLQIWQLYLTVQMDDCDRYALCGAYGTCNINNSPACGCLNGFQPRNQQEWDVADWSSGCVRKVQLSCADGEGFVKHSGVKLPDTQRSWFDRNMSLDECKRECLKNCSCSAYSNTDIRGSGSGCVLWYNELIDIREHKENGQDLYVRMAASELAKKGRSKLRFIIIPILLAVTLMLGICLWVIRKKKKQQREGIRKLYSENDGGNGNDDEDLEMPLFDFTTLAHATNGFSVDSKLGEGGFGIVYKVTTHCCI >KZM91213 pep chromosome:ASM162521v1:6:23233296:23235134:-1 gene:DCAR_021422 transcript:KZM91213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVLMAHNNTLPFNKYAFLTTHNSYAIEDEPLHTPIPRLCPFNQEDNVTMQLNNGVRALMLDTYDFQDDIWLCHSFNGNCHDYTAFEPAMDTLIEIEAFLSANPSEIVTLILEDYVHTPNGLTKLFTDAGLMKYWFPVTSMPQNGQDWPLVSDMVANNQRLLVFTSIESKQADEGIAYQWNFMQGSSGCAASPGPSPNPNSQGK >KZM89769 pep chromosome:ASM162521v1:6:4491400:4501111:-1 gene:DCAR_022868 transcript:KZM89769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIEKFCIRYWWRNKEDDAIGVAGWIQNTGTANAMAAKMYEERLKVPLQRDSLDDAAMKQRFGDNVGQLLDPNHASILKSAAAAGQPSGQVLHGTAGGMSAQVQAQARNQQLPGSTPDIKTEMNSVLNPRAAGPEGSLIGVPGSGNNLTLKGWPLTGLDQLRSGLLQQQKSFMQGSPPFHQLQMLSPQHQQQLMLAQQNLTSPSANDVESRRLRMLLNSRSMNMGKDGLSNSVGDVVPNIGSTLQHPSLPRGDPDMLLKQDKLVGSGSVTGDGSMSNSFRGNDQVSKNQTSRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALAHNGSSSKPLMMFGSDGTGTLTSPSTQLWDDKDIAQADMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRMDVSKGFTFSEVSSVRASTSKVVCCHFSSDGKLLASGGHDKKAVLWYSDTLKPKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFTGHSASVMSLDFHPNKEDLICSCDGDGEIRYWGINKGSCARVFKGGTTQMRFQPRHGRLLAAAAENVVSILDVETQACRHSLQGHTKPIHSVCWDPTGELLASVSEDSVRVWNLGSGSEGDCVHELSCNGNKFHSCAFHPTYSSLLVIGCYQSLELWNMSENKTMTLSGHDGLIAGLAVSTVTGLVASASHDKYVKLWK >KZM89914 pep chromosome:ASM162521v1:6:7361067:7361339:1 gene:DCAR_022723 transcript:KZM89914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSPSKTIRIIADKSVLEERIKCLVEEIANLQENLRLMENQLVHHQIVIDLMKKQIEERTAREDFIPVEVESRKASKLIEAERKEDKN >KZM89934 pep chromosome:ASM162521v1:6:7614933:7618776:1 gene:DCAR_022703 transcript:KZM89934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDGAQIHISNGDDEQTQHNNQFMYGEDEDAHGGGYDFDGGDNRRRDDIAQHSEGHIDSSAGKLFVGGIAWETSEESFSNYFSSYGEITDSVIMMDKLTGRPRGFGFVTFADSEVADKVLSKEHIIDGRAVEVKRTVPREDMQVKGVLKTRKIFVGGLPLSLTEDELREYFSAYGIVVEHQIMLDHTTGRSRGFGFVTFDDENSVEKIFSDGQIHELGGKQVEIKKAEPKRAIGDYGNDSRGRRGGGNMKSYGGGFGRGASGYGSGYGSKGGRGYGGQSGYGGYGGYGDYGGYDSYGRGSAGLYAGFGGFGYGYGYGGPMYGAAAYGSYGGAGSYAGAASYGGKGGYGGGGGYGGKGGYGGSGAGYGGGGGYGGGSGGGYGGGSSSGGGYGGSRGGYGGSGNGYDGSSGGGGSGGSGGYDGGSSGGGYDGGKGYGNGSTGGGRYHPYRK >KZM90951 pep chromosome:ASM162521v1:6:20781376:20783607:-1 gene:DCAR_021684 transcript:KZM90951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVDGTPSERSGHYQSNKEGCTNASPRSPLSTHSPDSDSIDLSIDGPVNNSIEQLYHNVYDMQSSDQSPSRYSLLSYGQESRIDSELRFLAGGDVFDEKTKEIVMVNDKALEKNDLAEPEIDQTDEKYKNKTRSAVAKSKKLTPVTKNRSSQDKPPSGKRNLKTSSKGYGLKGEKSSPVGAVKSMNGAEVSFDEEYLGPYLLKQTRDMVSSGENPKKVLDVGLRALKSFETSEDGKLNLDYVMCLHVVSALYCSMGQYNDAIPLLERSIEIPGMDEGEKHALAKFTGCMQLGDTYAMLGLIENSILCYTAGLEIQEQVLGEKDFRLGETCRYVAEAHVQMLQFEEAEKLCQKALDIHRENGSSASLEEAADRRLMGLISDSKGDHEAALEHYVLASMSMAANGQEADVAAIDCNIGDAYLSLARYDEAVFAYQKALTVFKSMKGEKHSSVASVFIRLANLYNKIGKFRESRSYCENALRFYMKPTPGSRKEEIANGLIEVSAIYESMNELDQALNLLKKALKVYDGKVGEQSTVAGIEAQMGVIYYMMEKYSESYNSLKSAISKFRAIGEKKSSMFAVALNQMGLACVQVYSINEAADLFEEARTIMENEYGPYHPDTLGVYSNLAGTYDAMGRWSDAIEILEHVVAMREEKLGTAHPDVDDEKRRLAALLKEAGMSRNRKSRSLEVLLVASSFIVPEGKTK >KZM91240 pep chromosome:ASM162521v1:6:23478449:23486498:1 gene:DCAR_021395 transcript:KZM91240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDGPGGQSAVGGTSAAHRNQTGGPNDAVDCFLKSRGYRGLFSQIELSLSASNLRDRDVLSKSDPMAVIYAKGKDGALQELGRTEVVLNSLNPQWITKHNITYYFEVVQMLVFRLYDVDTPFHDLEVKMIKLDEQQILGEATCALSEIITKSNRSLTIDLKRIEDSTRPSQPGKFGQLTICAEECVSSKISTELVLRCLDLEYKDLFARNDPFLTISKYLESGITSPICKTEVLKNDQSPAWKPVFLNIQQVGSKDTPLIIECFNFNSNGKHDLIGKVEKSLADLEKLHSMGSGVHLYSPTPVGNNYENKVLKSQLFVDTFSESVQYTFLDYLAGRCELNFMVAIDFTASNGNPRLPDSLHYIDPTGRPNAYQKAILDVGEVLQFYDSDKKFPAWGFGARPIDGPVSHCFNLNGSSTYCEVEGIRGIMMAYTSALYNVSLAGPTLFGPVISEASLIASQSLGNKQQKYYVLLIITDGVITDLQETKDALVKASDLPLSILIVGVGGADYKEMEILDADKGERLESTTGRVASRDIVQFVPFRDVENGEVSIVQSLLAELPSQFLTYMRTRDIHPAS >KZM90453 pep chromosome:ASM162521v1:6:15922168:15923615:-1 gene:DCAR_022182 transcript:KZM90453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRFLCVSRVLAISTNPISTSYSSPAVRSNLPGFSSGSEGRREVSRRGMASQGSQFPPQKQDAQPGKEHVMDPTPQATSHDYKPANKLQGKVALVTGGDSGIGRAVCHCFALEGATIAFTYVKGQEDKDAKDTLEMIKKAKSSDAKDPIAVATDLGFDENCKKVVDEVVRAFGRIDILINNAAEQYKASTVEEIDEERLLRVFRTNIFSYFFLTRHALKHMKEGSCIINTTSVNAYKGNAKLLDYTSTKGAIVAFTRGLALQLAEKGIRVNGVAPGPIWTPLIPASFDEEESAKFGSQTPMKRAGQPIEVATAYVFLASNADSSYYTGQVLHPNGGTIVNA >KZM90771 pep chromosome:ASM162521v1:6:19029624:19034618:1 gene:DCAR_021864 transcript:KZM90771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATTGLTFESLPEINLSDLSQSELHTLSLCSVSAFKLHNSNDIITPSVNPSIFNKSARQNYSRPYRRRRISPKPLSSDHCGPAHDPAEVEDRTIVGYLKNLLGDQEREFSGLKRVVEGDSGEFLNPGRVVEGNFGELSNPERVVESNSGAIVVYEDERNGRKRKRGGGGKSDVEVELSNVNSNGVVVNFQELGDSDEYYSEELKKRTEGLRTEEDGLGFLRGLNGKWCSRRKKRKFVDASEFGDKFPVGWKIILGLRRRNGCVSLYCRRYVSPVGEHFVSCKEASLYLQSYFRDRDNQKTDQMAANVEQVGNVASITHAGVVDKESDMQVDSIPSSSLNVIEHNILSTEKLYEVPIRAIFDCSKCELTFEQKSKYFEHMLEVHLNTTRMYTLDKSAGSGLVIDDEIYKRKERSTNEAQVGIHLNNKTKISKESPVQITDQKSSESPSDNGLLSRASMLGAVEEIAYHSMLEYSKDQPTGQPWICSSSNNLNPDISAANSDREQSLDYHLTEMGTGKTTSALAPKMKLRDGGHITPDENIIRINGVSDIGNGNVMCTRTSDHPKLGESKNYRSTEQGNCGVDYDDSNKLIVGAKKGATDSSVLCVQSLHCNPAAEPLSYKQRKERKLLSAGQINDDFSKSEELRLDKIKPLNDGFVNGPQSVSLPQVSMELPNKAATLEVEQETVLLNSCGDTKEVEPEIVALIHGDNVEMEEVDKKRVVLTNCGSARIEEVGPQIVLLNDGGDVGMEDVAQEIVVLKNHEDERIEDVEPQVVASIGGNVEMEDVEQETVVLHNHGDARIEKVEPQIVVLYDSGNLEMEGTKEETIVLNNHGDAQIKEVEPRIVVLKDDGNVGMEDVEPDFALSSSDVCLITSVCVWCAKKFTRETVESEDHSESVGFMCPTCKATISKHLDNGFHDF >KZM91852 pep chromosome:ASM162521v1:6:28373906:28374586:-1 gene:DCAR_020783 transcript:KZM91852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITASASFTLSRLISAPKPSLLPLKASLISSSSSFTSLPLKLKSSPRQPLRHNFSTTPKISATISVGDTLPDSTFSYFDSAGELQTTTVSDLTKSKKTIFFAVPGAFTPTCSQKHLPGFVEKAAELKSKGVDTIACVSVNDAFVMKAWKADLKVGDEVLMLSDGNGNFTKAIGVELDLSDQPVGLGVRSRRYAMLVDDGVVKVLNLEEGGAFTSSSADDMLKHL >KZM90968 pep chromosome:ASM162521v1:6:20899090:20900984:-1 gene:DCAR_021667 transcript:KZM90968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAISQGVFKDFRTEPQGQYNVEYFYSISFGYLLVFEYKGDSKFQVLIFDPSASEIDYSLARKDKPGSVKSAQVKVRQIDIDDSSSSDDLIRPCKKTKAEPSSEASPKLGQEKAKEASKVDKDRALAVANAFKSKNVSFIHVMKESHMVGGGWPNVYIPKSFKEAYTWQSNQKLILVVEGRSWVVFCNMNSKCNQCRISRGWTIFAGDNSLRVGDVCVFELISSSSKKFKVFISRASKETNCEENERLPRVRSEADKARVLQSLKAYKPNRPFFSVEVHRSYLYGGSMTVPLDFIESNITKDSCRVVLQLPDGRVWSVKCYINKKCAKFSAGWKNFATENNLAAGDFCVFELVKERLLNVVIFRVES >KZM90481 pep chromosome:ASM162521v1:6:16143797:16144973:-1 gene:DCAR_022154 transcript:KZM90481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFMRPRKEMHKYGLHECPDYKVATSIFAFDSLGISTIKLKTPSPTCNGISCQGETYTANMLISLVLATRQQIRIMVSLWSIRNFLGRFGAGYVSDVYLQKRGWPRPVFMILTLATMASGHLVIASGFPGNLCLGSVIVGVVMVLSGP >KZM90113 pep chromosome:ASM162521v1:6:11300264:11305193:1 gene:DCAR_022522 transcript:KZM90113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNDQNQRGGRNNSLLQNSIRTFSTYFKAVSSGASTVVKSAASAASNAIAERESDAPNDQVNWAGFDKLEFEGNAARRVLLLGYRFGFQVWDVEDANNVREVICRYDGPVSSMQILPKLEASKQSGDKFADSRPLLAFCADGSFSGGIHEGTVGPCNGNLQNCYDQFSGGFLPTVVWFYSFTSQSYVQVLKFRSVVYSVRCSPRVVFVLQAAQIHCFDAATLEKEYNILTNPIVTNGFINGAIGLGPLAVGPRWMAYSGPSVAISNSGRVDPQHLTPASSQSPASSGSLVAHYAKESSKQLAAGIVTLGDKSYKKISRYYSELLPDSSNAGNPGWNNWGTDNGHLPDAENIGMVIVRDVPSKSVIAQFRAHKSPISSLSFDPSGTLLVTASVQGHNINVYKITPEPSGSSSGSDSSAAYVHLYRLQRGLTNAVIADISFSDDSNWIMISSSRGTGHLFAISPSGGKVNFPYSHSRFTTKTSGPGATSKPSVGSGAATSSLQVLNRQKLCDYGPPVALSPVSRVRSSNNGWRSAVTGAAATATGRTGTFCGIITSVFHHCKENDLLMDSSHLKPKYDLLVFSPSGCVIQYALRMSSGLGGLAGVSGVSNTYDQTNECDPRLVVEAIQKWNICQKQNRRERENNSDIYGENGCSDGSKIYPEEMSKEEVVFPEVKDTKLRISSEERYHMYISEAELHMHLPRAPLWARSEIYFQTMIKDDSVVDEEDTLGGEIQIERFPARMVEARLKNLVPVFDYDKMPLSQIARGLSDDNDGQLSHESSGIAYSEDDIPQRNCDSLDSADASMGEHPDGTENVISDGLWTEGFVNNRTSPKASARPDFVNNRDSSVKNRKQNFVDNHIEDQKMGNQSGDGDDEFEVKPCSFMAMTMFLRNLDMP >KZM90807 pep chromosome:ASM162521v1:6:19399589:19408021:-1 gene:DCAR_021828 transcript:KZM90807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQVCIFYLVLRALDTVEDDTSISTEVKVPILIDFHRHVYDNDWHFSCGTKEYKVLMDEFHHVSNAFLELAKGYQEAIEDITMRMGAGMAKFICKEVESIDDYDEYCHYVAGLVGLGLSKLFHASGAEDLATDALSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPREIWSKYVDKLEELKDEKNSIVAVRCLNEMVANALIHAEDCLKYMSDLRDPAIFRFCAIPQIMAIGTLSLCYNNIQVFRGVVKMRRGLTAKVIDRTKTMSDVYGAFFDFSCLLKSKVDNDDPNATITLSRLEAIQKTCKDSGALTKRFVYVLHLSTDFLTTIKLYCACGM >KZM92155 pep chromosome:ASM162521v1:6:30988885:30993702:-1 gene:DCAR_020480 transcript:KZM92155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITPKISIDNGDLVVHGKTVLKGVPDNIMLTPGSGAGLVSGAFIGATDTSSKSIHVFPVGVLEGLRIMCLFRFKVWWMTQRMGTCGKDIPFETQFMLVESKESLDGESEGPTIYTVFLPLLEGPFRAVLQGNDKNELEIFLESGDNAVETNQGISLVYMHAGTNPFEVINQAVKAVEQHLQTFRHREKKKLPSFIDWFGWCTWDAFYKDVTAEGVDEGLQTLSEGGTPARFLIIDDGWQQIENLNEVVNDDGHDGAEFAIRLTGIKENEKFQKNGKHDNHVPGLKLVVDDAKKRHNVKNVYVWHALAGYWGGVRPSGAGLEHYDSTLAYPVQSEAMLGNERDMVLDSLKVFGLGLVHPKKVFKFYNELHAYLASCGVDGVKVDVQNVIETLGAGYGGRVSLTRMYHQALEASIARNFPDNGCISCMCHNTDGLYSASQTAVARASDDFFPHDPASHTIHVSAVSYNSLFLGEFMIPDWDMFHSLHPAAEYHAAARAVGGCPVYVSDKPGNHDFKILEKLVLPDGSVLRAQLPAKPTRDCLFVDPTRDGASLLKIWNMNKCTGVVGVFNCQGAGWDKVTKKTLIHDPSPGALTTFVTATDVDAIAQVAGSSWSGESIVYAQKSGEVTRLPSGASIPVTLHVLEHELFHICPLKEITPSISFAAIGLLDMFNTGGAVEEVEVHMSSEKNSELFDGDVLSEVTTHLSENRSPSAAIALKVRGRGRFGAYCSQPPLKCTVGNIDTSFIYDADTGLVSLNIPVPEKEMYRWHIEIQV >KZM90069 pep chromosome:ASM162521v1:6:10262371:10275196:1 gene:DCAR_022566 transcript:KZM90069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIWEKITGLFTNRTFAGIDKAGNRYFAKTEQIDGIMKEKRWVVFKGEHEPTSVPVEWICWLNGQRKRAPTPEEMADMEARRERVKHNVALLKKEEEERRAKAGSNSKRTSTGKVEGPDLKSFVQQFPVTSADGKNTDTPAATKGTRSSQEIKTQEKTANQAEHESTEPSGSGSSFRPGTWQPPT >KZM90960 pep chromosome:ASM162521v1:6:20853537:20853899:-1 gene:DCAR_021675 transcript:KZM90960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEWRCIKRKKVLELAAKKEDKKLKFAAKLLLLKNRETGKDKDWVLTRDGYHTERDYGVNQPLKRYYYEKDMNINHAYIQRKIMEKQWLVMLLEIFLQYEMKVKSIQNQDDVEYEKHLQ >KZM91402 pep chromosome:ASM162521v1:6:24852010:24852477:-1 gene:DCAR_021233 transcript:KZM91402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKAETPMAVTITAFKDNTFEFTVKSPSVTWYLKKAAGIESGSSRPGHVEASTISLKHVYEIAKVKQSDPFCAYMSLESISKSIIGTANSMGIQVKKDLD >KZM92191 pep chromosome:ASM162521v1:6:31363556:31366800:-1 gene:DCAR_020444 transcript:KZM92191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTSPRFLMATLQAMLLLWTCMYTCGATRGRVDYDMIEGLISTSAAAAVKVGNISKVEDAVYFQIYYGQTFKVIKNGFDGKSYLLSQNTSRMASRTKYCTSRIKSFVIPLSNYSVDTSFFSISFFELLGLLTSLKGITSLDLVASQCVLKLYSDGGIEILNKSETQQLTRFSAHFISNAEQSQSCNFATFLPNTEDTPLQRAEWIKYLGTFANMEFRANKVYDAVKANYLCLAKAAANKTTSFKPVVAWLAFNDGEWSFTQDPYKLKYVEDAGGENIDKSINKATDNNTIPDDCEDFHAILCTVDVVVDETYTSDPWHYNVSTFLQNINVEDRSCFAFITKQSLWRYDKRLQSANSLDFFDGAISQPQLVLADMIEAVSPSGNYTTTYFRNLVKEEAITNIKPEMCDRDVSSALEPTIVDC >KZM92759 pep chromosome:ASM162521v1:6:36064515:36064786:1 gene:DCAR_019876 transcript:KZM92759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLNLTPIPELHSSEEDVSCHVHDYSNESQLLDLNKELEDVASSEEYLQHEENLEQRQRETTII >KZM90983 pep chromosome:ASM162521v1:6:21045951:21065642:-1 gene:DCAR_021652 transcript:KZM90983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLEIDTGGADEESDSSDSESNDVLDLMDILFGVFCECAELNPDPIESEEVDDSEWNGVLAPTSSIGYSNGDNDLARTALQIDTGGADEESDSSDSESNDVLDLMDILFGVFCECAELNPDPIESEEEEEHNWVFSADQVVTDGAEVDDSEWNGVLAPTSSIGYSNGDNDLARTALQFSTIIENGTSTRIDIMVSLEAPSSRIEIHTREAMSRLRVSEKEDDVDNDDSEHFPDRPDELNCLYCLRTGMCGYDATCRFNHPSKIELKEVRRLACQLFIYAPALPLDILVAGSYRQTTWKPKFDVEKEKQKAKEETSSLSKSLNVFRCNIMTNYTRLQSADIHVLQNHKFKILDLIEKAERQPKLTIGVLVSIVVDLIEKAERQPKLTIGVLVSIVVATPKVSVKPAKEEVTEASNNGEDSSEEKKRNEDTTAAPRRRTSFGSGNAVTGSYGVVIGPITTSGPTEFDVAQTAKLEKLLADAALHESRGEAIKREEVLGGLDQEDFFGELHDMLAEMPEVQDLHPVSDAHVPVMKFKYNGISIDLLYANVAMWSIPEDLDVSRESILQNVDEQTALSLNGCRVTDQILHLVPNIQNFRTALRCMRFWAKCRGVYSNVMGFLGGINWALLVARICQLYPNALPSMLVSRFFRVFKKWHWPYPVMLCPLKESSLGFPVWDPRKNFKDRQHLMPIITPAYPCMNSSYNVSNSTLSIMVEEFKRGHKICKAMEKGCGSWKTLFERFSFFEAYKHYLQIDISAENDEDLRKWKGWVESRIRLLTLKIERDMAGVLRCHPYPGEFRDKNRRFHLSYFMGLRKNTEADDQGGEQIDMRRTVEDFKGAVWKYIYRKPTMLIHVCHAKRKNLPDFVFPGGVRPPQPVKVSGGCQLPAKRRKNEPSRVNIVAPKKMKLDVAGAEISVDESGSVALCGGNGEIERNFLTTQNHETGLAGVALDLKRHTNNKHKGEGSGKCHQPSVAECTGNLIFVDQAGDHGQSNKPISNLQGSSKVPDHLEDNLQPMDRVENDVATEGSLMQKSTTKHQTAGATGGTTVVGDTSRYTFSSEGIDELEVLYAHFLV >KZM89673 pep chromosome:ASM162521v1:6:3147704:3150002:-1 gene:DCAR_022964 transcript:KZM89673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCALSVSSSLGGIKSVYTPTTNPSSESMATSGGPKWAQKTITLPPLKRGCHLVTAKINKEIVQDLGDLLHGNIPSKVQMTCQHTSSHLCLATT >KZM92563 pep chromosome:ASM162521v1:6:34379654:34383760:1 gene:DCAR_020072 transcript:KZM92563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVEGVEPSSFTLVSVALACSNLEKREGLVLGKQVHGFSLRVGGMKTFTNNALMKMYAKLGEVDGSRYMFELFEGRDMVSWNTMISALSQSDRFSEAMAYFKLMLHEGIKPDGVTIASILPACSHLELLDVGKRIHAFVLRNDDLEMMEFSGLFPCPTSMVSVLPSCVHYEAFSDREGMHGYVLKMGFGRDRYVQNALMDLYSRMGKIDISKKIFESMDVRDIVSWNTMITGYVVCGLHEAALILLHEMQHTEEENQKLDGYVERRLSCKPNSITLMTILPGCAALAALAKGKEIHAYAIRNALSSDVTVGSALVDMYAKCGCLSLARRVFDDMRVRNVITWNVMIMAYGMHGKGKQALELFNKMFPEVFYKSNLLAEVCCLYSRGKVFGPVSTDYVVALIVEDVDECMLWVTLTKIPHRYNGCENISPSVLIWRCCQPLNILTWMGSMDDQEGPWPRLVTSIALWRGASLRSTQVVESTS >KZM92330 pep chromosome:ASM162521v1:6:32565217:32567417:-1 gene:DCAR_020305 transcript:KZM92330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHENSMHTLLPEFFQDSDNQFLESNFSVASPEDIFSILEALDEVSTSNINSLPPLSHESRLPPQCFDNQQPGTARTNLVPQNPSFSFDAVPSEDQIGTELEAVSTSHKSKKQKVAVTAEEDANIDGQQKVSHITVERNRRKQMNDNLSVLRSLMPCFYVKRGDQASIVGGVVDYITELQQVLQSLEAKKQRKVYSEVLSPRLVSSPRNLPISPRKPPISPRLIPSLPISPRTPTSPYKHHGNLLQSAAYNLSPTIMSPISSPSVNHHNAMAAANELVANSKSGVADVEVKFSGPNLLLKTVSARIPGQTLKIITALEDLSLEILNVSLSTAEETMINSFTIKIGIECTLSAEDLALHVQQTFC >KZM91040 pep chromosome:ASM162521v1:6:21614852:21615698:-1 gene:DCAR_021595 transcript:KZM91040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTLLFVASILLSSFALSCNASGTFSALQKSILLTSSPKSGQVLKAGEANITVTWSFNKTYPAGTDSAYKTVKVKLCYAPISQKDRGWRKTKDELKKDRTCQHKIVKRDYKPESDSVTWTVERDIPTATYFVRAYVFNAEEKEVAYGQSTNDGKKTNLFEVEAITGRHVSLDIASACFSVFSIVSLAGFFYLEKRKANASQQK >KZM91525 pep chromosome:ASM162521v1:6:25814204:25816152:-1 gene:DCAR_021110 transcript:KZM91525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSXLEAVIMKDRTTGRARGFGFIVFADPAVADRVIKEKHNIDGRLVEAKKAVPKDDHSSAVSRNSGSIQGSPGPVRTRKIFVGGLASTVTESDFRSYFEQFGNVTDVVVMYDHNTQRPRGFGFITYDSEDSVDNVLLRTFHELNGKMVEVKRAVPKELTPSPNRSPLGGFNYGLGRMSSVLNGYNQGYSPNALGGLGVRMDGRFSPITAGRTGFAPFGSGYGMSLNIGMNPNYGGNVNLNNSVSYGRGMGPFYNSNRLNNPPAFDGGNLGNTASLFNSQPRNLWGNGGLDYGANPTNSTGYVGSGTSGIGARNFGINGLNWDNSPISPQAQGGRNVSSQGGIIGYGAENSYNLGGGAYGRNSATTGARMSSHSSSNGGYDATFGDIYGSHPVYGDSTWHSANSEKEDSVAFSYGLGDGSSDAQINSSPGYVDGYGVTRRQTNRGIELLITPSVLKYMSTLEKKFCFKILVHFNFQCKFIFPKSTLLHIFQIYISKINSIPHIRFN >KZM89982 pep chromosome:ASM162521v1:6:9128646:9128825:-1 gene:DCAR_022653 transcript:KZM89982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDPTAPKISVKESTKTCMLLEMRSTVLDESKIAEILKVFGVSEDDGWGRRGFGVHHL >KZM91860 pep chromosome:ASM162521v1:6:28462938:28463444:-1 gene:DCAR_020775 transcript:KZM91860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDNQAPIQFPQPTKPVNAQDTAPFQSFLPPRPEQTRFAVDDDYDHQPQNDYYYYNYNYSDSDDEKNHDHKFLNKLYAKVEEEITSLKASIQDGDVERNKTLGLFKKFGAKLNKKLMKRSEDHGVTFQERGVAGIELDKKLERFKVKTVILGGGGGKGDNGDKKPKK >KZM91574 pep chromosome:ASM162521v1:6:26147871:26148768:-1 gene:DCAR_021061 transcript:KZM91574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEVILLDFWASMFGMRVRVALAEKGVVYEYKEQDLRKDHKSQLLLEMNPVHHKIPVLIHNGRPVCESSNIVQYIDEVWNDKAPLLPSDLYQRAQARFWVDYIDKKLYEVGRKSWAADGEEKEAGKKELIENLKVLEGELGDKCFYGGDTFGYVDIALVTFYSWFLTYETFGNFKFEEECPKLMAWIRRCLKKESVSKSLPDSQKILDFAVFMKETYFTKSG >KZM92152 pep chromosome:ASM162521v1:6:30964506:30966942:-1 gene:DCAR_020483 transcript:KZM92152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHRKTSISQHLAATEVANQEKEENKSAKKLIKKRQDSVISQPKEDDDIGSADADNSALASDHSGGQAKDKNDRRKQEAREAVLPDEI >KZM90252 pep chromosome:ASM162521v1:6:13151182:13156176:-1 gene:DCAR_022383 transcript:KZM90252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTRVCVTGGSGYLGSWLVKKLLHKGYIVHATLRSLDEKSKVGLLKSLPNANTGLVLFKADIYDPNDFQAAIEGCNYVLHLATPLQHNTKSSLYKDTREAAIAGVKTIADCCLKSQSVKKLIYTSSVMASSPLKDDGSGFESYWDESCWTTINDTPFTYCDDFAAEKEILSYNATASDPDTGLEVVSLVCGLVGGDTILSYLPASMSTIISPLFGDSLNSYYQSLQHLQELLGCVPLVHIEDVCEAHVLCMEKPSLKGRFICSNADPTAKEITEYFKNNNPNSELEILDDCSIIGERGSRCSSIELIKLGFEHKFNMADILDDSHKHILMEKNGNWRNPNWLPPIITKCTSVMGLNITTIATHMLGFILLLVFCHFSYMFLRKFSQPRVVSEFIVGLVISNLPFVRSRLSLEVLKQLKYIVESGMVALMFVVGLDISPSIFIHLPVREMKVAMSGFLTTFLLAFLVTPLLHVPIVSNTTFYLGLSFILAGTAYPLLSRLLTDLKIAKSDIGKFVITSAMLSDTMSILSLSVGYIIFDIEDNFAMRKGNEIATMIVTLLMEIFLAAMIAPVIMKWVNRANPEGKPMKGSHLVLALASIIGIASIAPLYAKFSGLLSAFLAGLFMPKEGRISKMLINQVKYFFTSIFYPIFFFWVGSESNLAKFGAKQWRTWENLIFLYSITLIGKVAGSVMSGVLLGFHWRESIEIGLLLSLKGQLHVYLAILAAKMQLITVSTSIVMVFVTLLTIIYTPTVVEKIIERARKRTPTQRMALQWHHPGAELQVLICVHGTQNVQSAINLMEICQGPPEPGIMVYLTDMVELTDKIASTLAHEADGTLTVTDPEVVEMRDSITRIIEEYLDEGGEGVGLRRMIALSTMINMHKDIIILGEDLMISMIILPFHKEQDADGRLNAGNPGFRNINRKVLRNAPCSIGILVDRGFGSTRISRSSVIINIAVVFIGGKDDREGLAFAERFARHPGVKLTVIRFLLDSNNETSVSTRLNKARLLTAEHEEEMKLDDEYFADFYGKHVAGGHVSYMEKYLVNSGQTFSTLRSMEGHYTLFIVGRGGRVNSVLTKGMNDWEECPELGPIGDILSASDFSVTSSVLIIQQHSLKGELQGLQDEFSIMQ >KZM91689 pep chromosome:ASM162521v1:6:27116195:27118340:-1 gene:DCAR_020946 transcript:KZM91689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSSSMSNSFLPLNDVPQSTESNDPNTGPVSSGPSGTTTVGCSHDFLTPSQRRLHGVDLSKVSTPTPSFQDRLGTQSPTGITQKGFIGSSQASGLGNYHGPWC >KZM91508 pep chromosome:ASM162521v1:6:25708336:25713729:-1 gene:DCAR_021127 transcript:KZM91508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTIHILLIILLTTPLSPSSSQSTDDSFISMLITQSGLDFLKQILVTNAIASLTPLQLPQIQKTLKIPFLGQIHVVLSNITIYHVDVPFSYINPGDTGIAIVGSATSNLSMNWHYSYGTWLVPIEISDSGLASVLVEGMEIGATLSLKIQEGVIDISLLEGGCFVKEIIIKLDGGASWLYQGMVDAFQGQIASAVENAIANNLEEGVLKLETFLGGLPKEIPVDDIASLNVTLVNDPLISNNSIGFEINGLFTKNEKGKVSTVFHKNSQSLVSCIDSPKMLGISLDEAVFNSASALYYNAELWTVDTLPDQAMLNTAWWRFLIPQLYRKYPNDDMNLNISLSAPPVVQISPHGISATVYADLIVDVMEGRDIVPVLCISLVIRGSGSVKVVKNNLAGSLQLDDFTMEQKWSQIGKLHMYLIQPIVWTLIETVCLPYANSRLGKGFPLPIIHGFTLQNAEIVFSDSAVSVCSDVTFTDSYNLRSLGYLNKLTQKL >KZM89700 pep chromosome:ASM162521v1:6:3512734:3520449:-1 gene:DCAR_022937 transcript:KZM89700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLIYILCLSILISAASIHCVDDKCAACNAVAMELESGLVNERPRNHLDMRHRLDSKGQRKGKVIDYRVSELRVVELLDGLCDKMQDYTLEKVNSTTQVWIKVANWDVLTTNKQEARAYSKDISSYCGRLLEETEDEFAELIKKGSVQVGDVRKVLCQDLSRHCNQESSTEEDNDDHEEL >KZM91559 pep chromosome:ASM162521v1:6:26044781:26048567:-1 gene:DCAR_021076 transcript:KZM91559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYPILVGLLCFLVGLIFNHHLPLFIAKLKGHVLPKGSFSWPLIGETLSLLKPHPSNASGFYFQHHSSRYGKVFRSHLFFTPTIVSCDQELNHFILQNEGKLFQASFPRTFYGILGKYSVALAVGDAHKRLRGAFLSLVTPLKANPYFLKDLESFAIQILDSWKNKKQVRFCEEARKYTFFVMVKQVLGLSPDDPRTTRILTDFHPILRGLISVPINIPGTPYARAVKGRRRISSIIKATIEERTREKSENPLYGKNDILGKLIHLDALSLDEKVSFALDCLISGYETTSKILSLLVHFLSQSPAALEKLKVEHRNIRSIKKDNISLTLEDVKKMEFTQNVINETIRYGNTVQYLNRKALSDVRFKDYVIPSGWQVLPVFSAVHLDDSLHANASQFDPWRWEDQEQKSKNFFPFGGGVRYCPGSEAGRIELSVFLHHLVHNFRWEAKDVDQPIAYPYVDFQKGLPLAVESLNKTD >KZM91096 pep chromosome:ASM162521v1:6:22086518:22092665:1 gene:DCAR_021539 transcript:KZM91096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKSEVLEAVLKETVDLESIPIEEVFENLRCSKDGLTSSGALERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWNEEDAAVLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVQYPIQDRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAARASRIENQDAIDTAIVNMLADPKEARAGVQELHFLPFNPTDKRTALTYLDSEGKMHRVSKGAPEQILHLAHNKSDIERRVHSIIDKFAERGLRSLAVAYQEVPERRKESAGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVVGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYMAMMTVIFFWAAYKTNFFPNTFGVSSLEKTAHDDFKKLASAIYLQVSTISQALIFVTRSRSWSFVERPGLLLVAAFAVAQLIATLIAVYANWNFAAIEGIGWGWAGVIWLYNIIFYFPLDIIKFLTRYALSGRAWDLVLERRIAFTRQKDFGKEQRELRWAHAQRTLHGLEVPDTKMFNDRTNFTELNQMAEEAKRRAEIARQVACLKSAF >KZM91693 pep chromosome:ASM162521v1:6:27151204:27153346:1 gene:DCAR_020942 transcript:KZM91693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLVLSLYLLSTPVVGAVAALSLWFLKIFVDRKWKSTQKLPPLPEVPGLPLIGNLLQLKEKKPHKTFAKWAQTYGPVYSIRTGSNTVVVLNSNDVVKEAMVTRFPAISTRKLSSAVKILTSDKSIVAMSDYNEFYKTAKRHVLTQVLGPTAQRRNRILRDTLIDNTSDQLHALFRNRPLEAVNYREIFQLEVFGLAIKQTLGKDVDSIYVEELRTTMSRQEILNCLVLDILVGSIDVDWRDFFPYLNWIPNRSFENRIKQLDIRRTEVMKSLIKKTKMQSAFKEECYLDYLESEGNMLSERQIQMLLWEVLIATSDTPVVTTEWALYELAIDSKRQARLYEEISRVCGSDKITEEKLNQLPYLYAIFQETLRLYSPVPIVPLRYVSEDTELGGYFVRSGSEIAINIYGCNHDKNVWENPEEWNPERFMEEKSETMELFRSMAFGGGKRACVGALEAMTISRMAIGRLIQEFEWSVTDDQVNDVDTVGLTSRKLQPLLALIKPRS >KZM89630 pep chromosome:ASM162521v1:6:2713373:2715843:1 gene:DCAR_023007 transcript:KZM89630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQWPFSTPSPSIKSEPPTPLSPLSMMTSPMKKAFTSMQGYLEEIGHLTKLDPQEAWLPITEARNGNACYAAFHTLSSGIGVQALVLPLAFTSLGWIWGVTCLTLVFVWQLYTLWLLTQLHESVPGTRYSRYLWLSMAAFGDRLGKVMALIPTMYLSGGTCVTLIIFGGGTLKLLFQTIWDATTTEWYLVFMCSAIVLSQLPNLNSIAGVSLIGAITAVTYCTLIWILSLTKGRTIDESFHQLEAKSNMARLCDILNALGIIAFAFRGHNLVLEIQGTMPSSPKHPSHLPMWRGVTLSYLIIAFCLFPLALVGYWAYGNLIPANGGLLSALYKYHSQDTSKVILRMTSLFVVINCLTSFQIYAMPVFDNLEFRYTSNMNKPCPWWLRIGFRVFFGCLAFFIAFALPFLPSLAGLIGGMALPITLAYPCFMWIILKKPKKLGAMWVLNWALGFMGMILSVLLVFGAIWNIVTKGIEVHFLKPQ >KZM92252 pep chromosome:ASM162521v1:6:31844050:31844775:1 gene:DCAR_020383 transcript:KZM92252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMQIVPAGEVEKKVEAQYVEMKVPLYSYGCEKKIKKALAHLKGIYSIEVNFEEQKVTVWGICNKYDVLDTIRNKRKDALFWNAEDNLVLKQQEDKDTEPEEEQDTIAAEAAHSFSSSSLRRKAKKLVPPLTLIRCSSSLSWKAWKKVFIRSYSF >KZM90943 pep chromosome:ASM162521v1:6:20706815:20709837:1 gene:DCAR_021692 transcript:KZM90943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHDFRSHFLHRFTVMELPGCLELLSRSGISIKGMKAVVSLRGPKGGMIFFKKDPVLGVDLGSAINNAVFPGLQAVVSNCRSLASKLIGQGYELLQRFLMEFVRLWYPNLRRTTSVRLVINQPAWSSSESEDERENLSFPSEDFCSSSSASKRDHPEFTDKKTIPTMFRKLDRKKSPNQKIETATPVSVVVSDDIKFRNENLCNQDGEEINGG >KZM92429 pep chromosome:ASM162521v1:6:33327972:33331029:-1 gene:DCAR_020206 transcript:KZM92429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFCLFCGCIGQSNVGIVEKWGRFEKLAQPGFSFFNPFAGECIAGILSTRINSLDVKIETKTKDNVFVQMVCSIQYRVIKENADDAFYELQNPKEQIQAYVFDVVRAQVPRMTLDELFEQKGDVAKAVLEELEKVMGEYGYNIEHILMVDIIPDPSVRRAMNEINAAQRMQLASVYKGEAEKVLQVKRAEADAESKYLGGVGVARQRQAITDGLRENILNFSHKIEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFLPHGPGHVRDISDQIRNGLMEADSARVTDI >KZM89926 pep chromosome:ASM162521v1:6:7541057:7542268:-1 gene:DCAR_022711 transcript:KZM89926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLWEIPESETVKINVYCVVVQHPSAYGNTKSIGALMRDEHGGKVWGAMGPFNDFTEEQAIMAGIQSACIYAQEHDLKVTHIETSHHDVFELIRLQKHVPIPEEQLEAFRLFNTVHSNNYVEGSTDRRISWVPEHMNDAARYMAEYGLEHFSNFVEIPGPQPIGNLQFFIDRDMGMVIANPEIELLPNMGLGEVVDGPPPQTMHCKRKHPCHFSSDLMGDMNSAFPADGYLKDLALSPPSWELNPNPWVYNQSAAVNPAFKSAAAVEILESGSLLHYSDVFGDKNLDLEVHVANGMYAKDILHHAVLDTLGMFESKLVDRHPFIADIVRSKKHEFMPVDTVISLMGLKDGSDSTVKDDDSMQPVKKKARRAANV >KZM91665 pep chromosome:ASM162521v1:6:26933220:26933866:-1 gene:DCAR_020970 transcript:KZM91665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQPHDNSPETKEPTTKIQKIDENGGGVHPTRPAHFFRIKKLSEKAILPSRGSPLSAGYDLSSASDVKVPARGKALVPTDLSIAVPEGTYARIAE >KZM91333 pep chromosome:ASM162521v1:6:24247423:24252410:-1 gene:DCAR_021302 transcript:KZM91333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLASGNFPIMGRERDRKRLSRVMTVPGSITELDDDQASSVCSDNPSSLASDRMIIVANKLPLKARRRPDNKGQGISGAEASSDLNGPKKSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEAMNEAISMDEGEKQLRHEKHYRYVSSHDVAYWSRSFLQDMERTCADHFKKRCWGIGLGFGFRVVSLDPNFRKLSIDDIVSSYIKSKRRAILLDYDGTVMPQNSLIKSPSSEVFSILNRLCGDPNNTVFIVSGRGRDSLSRIFSSCKKLGIAAEHGYFMRQSIDEEWEVCGQSTEFGWMEMAKPVMKLYTEATDGSSIETKESALVWQYRDADPGFGFSQAKEMLDHLESVLANEPVAGVSKGLVAEKIFTSMAEKGKQADFVLCIGDDRSDEDMFEIIGSAISANILSSNTSVFACTVGQKPSKAKYYLDDSSEVILMLENLAEATDSPASSDAENDISP >KZM90422 pep chromosome:ASM162521v1:6:15768719:15769132:-1 gene:DCAR_022213 transcript:KZM90422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQGRTVMLLMIVLQTMHMID >KZM90590 pep chromosome:ASM162521v1:6:17101210:17106470:1 gene:DCAR_022045 transcript:KZM90590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSANSTQFNFRKLTYCRKEKSFDLLCSNFLNLYDQDRGEMIETHDACARLGVDKRRLRDFIVILESIGLLKKERKNHYSWKGFGVLPKTFQLLQRGGLGESYTRLEDDEVSQVVEDGRGGKLDNRKENCIELLTQKFVKLFLCSELELISIDEAAILLNGDAQDPSLIQTKVKRFYDIANVLSSMNIIQKTYQPETKRFVFRWIGIRGQAEMATINDLALKTKRTIENEPTNNGVKRHMGDHSVVAPSQTPCEGRSPKKVVENDLEKGSGPDMKKHRFGPFAPTTVPQDKPQGKNNGTQIQDWESLASTQRPQYHQHQGVDKRRLRDFIVILESIGLLKKERKNHYSWKGFGVLPKTFQLLQRGGPGESYTRFEDDEVSQALDDGRGDNSDKRKENCIGLLTQKFVKLFLRSELELISVDEAANILNGDAQDPSIIQTKVKRLYDIANVLSSMNIIEKTYQPETKRFVFRWIGIRGQAEMATINDPVLRTKRRTIENEATNTSVKRHLGDQSEVAPSQTPCEGRSPRKVIENDLEKGSIRDMEKHRFGPFAPTTVPQDKPQGKNNGTQIHDWESLASTHRPQYHQHQAMRDLFSHYRGAWNFYHSEVAGKDPAQP >KZM90501 pep chromosome:ASM162521v1:6:16333991:16336066:-1 gene:DCAR_022134 transcript:KZM90501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALIDQACMNIKNHTSCLSSVRSKINPHTKHQNRNSILKAALETTLDETRRAIETVTKFHTLSVSSREQIAIQDCKELLDFSVSELSWSLLEMKSIRAGSGNKHYEGNMKAWLSAALSNQDTCLEGFEGTDRHLVGFIKGSLTQVTQLISNVLGLYVQLHSTPFRPGRNVTSYNVDMDFPKWITDGDRELVLSGQNGMHVDFVVAADGTGHYRSISQAIYEAPSYSKRRFVIYVKRGVYRENIDMKKKKTNIMLVGDGIGATIITAVSGKGFIARDITFRNTAGPQNFQAVALRVDSDQSAFYRCSMEGYQDTLYAHSLRQFFRECSIYGTIDFIFGNGAAVLQNCKIFTREPLPLQKVTITAQGRKSPNQSTGFSIQDSYVYATKPTYLGRPWKQYSRTVFMNTFMTAQVQPRGWLEWYGDFALGTLWYGEYKNYGPGGSLSGRVPWPGYHKITDPSTASFFTVGRFIDGRAWLPATGVKFSAGLAN >KZM92660 pep chromosome:ASM162521v1:6:35198984:35200150:1 gene:DCAR_019975 transcript:KZM92660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVKDGTAWVESGATIGELYCGIAEKSGALGFPAGLWTNVGIGGFISGGGYGMMTRNYGLAADNVIDARFVNVNGTILSRETMGEDLFWAIRGGGGSSFGVVLSWKIKLVSVPEIVTVFRIVRTSEENAADIFHRWQEVAPRFPKDLDLKCYVQSIVSNSSTRQDGKTIRITFESLYLGPRDRLLVLIRERFPELGLEAEDCSEMSWIESGPFFSNHTAGTSPDIMLNRTALPKFNFKGKSDFARNIIPREAIKGMWEMLFSVAPEAALLQFTPYGGRMNEILESAIPFPHRAGTLYMIYIGVFLEKDASQRLKWIDSLYEYLRPYVSRNPRAAYVNYLDLDLGNNTSSSWGKRYFKSNFRRLMQVKTVADPENFFWHEQSIPTLPA >KZM91754 pep chromosome:ASM162521v1:6:27603420:27609742:1 gene:DCAR_020881 transcript:KZM91754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGKSEGIELLSMYADDDEDDVDEPHHQPHLNDAVSPLQNDAQTVKDRGFNHESSIGSENVGAREGAPPNLSENLTPVGETTTPRGVEVEVSRKERLTIVDYGHDEAALSPEAEKGESMYADTDDIEEQPPPDVNNAVSQPTNPQTEEGEMEGTGRVMFGADLHMSNGEYSEQVTLGTVRGLTPSTHATPQSSGQHDDSQPEAMDYTATALVDVPENVEVGPKEPEDAALDAFLPPLPKSKCSDELQEKIVKFLTLRKTTGRSYNAEVRNRKEYRNPDFLLHAVTYQDIDQIGTCFSKDVFDPHGYDKSDFYDEIEADMKREMERKEQEKKKSQKIEYISGGTQGGTLPTPKVNLPVPGVSSGSGGGLLSVPAAVDSVVREGRPNKKSKWDKVDGDRRNPLPTGGADFLSATLLSAANAGTGYSAFAQQRRREAEVRKSSDRKLERRT >KZM91980 pep chromosome:ASM162521v1:6:29553835:29563671:1 gene:DCAR_020655 transcript:KZM91980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASFSHARLLLLLMFASSICYSEQLSSSQAQTLQRIQVLLNFPSVLSSWNNNTDFCNIEPTPAVTVICYEDSLTQLHIIGERGAPPLPRNFSIESFFTTLVRLPSIKVLTLVSLGMRGQLPSKISRLESLEILNISSNFFHGAIPQEVSLLRNLRTLILDENLFQDRLPDGLNKLSLLAVLSIRKNKLNGSLPESLGNLENLRVLDLSHNRLAGEVPDISSLRNLQVLELEDNLLGPQFPKIGSNKIITLILRKNKFISGIPEKVNSFSHLQRLDISFNRFIGRFQSSLLSLPSITYLNIEGNKFTGMLSENMSCSSQLELVDLTGNLLTGRLPSCLHYEARTRIVSYARNCLVGEDKSQHPVSFCRNEALAVGIVPDHPKSKQPSKTALALSISAGIVGVTVLVVIVFLTLRRVRSKGMVKSPSTRLIQENASVGYTSKFLQDARYITQAMKLGALGVPAYRNFSLKDIEDATNNFDTSTLLNEGSYSQMYRGELRDGSHIAIRCHNMSRRDKSQSYMNHIEILSKLRHQHLVSALGHCFDYHLDDSSVSRIFLVFEYAPNGTLRDWISERRDNQSLTWSQRIAAAIGVAKGIQFLHAGIVPGVFSNKLKITDVLLDLNLVAKISSHNLPLLKEYMGKVGSQISSVGSKEVDTARIAYQGKSDIYDLGVILLEIIVGKHINGNGEVDIFRPQLEASTAADIAAPNGVVDPAVQDLYTDESLKTVMQICSSSNSRPLPEHRRVPSLSKYSTSTFTNDYQIAAALGVDVENPIVNFTPSFLVQPSGESKDTLSCDRIKISGKSRRKLDSYANAYRVTLVPSVVIPETLHSKIQICFHKNASLGSCQCENDNWRSVQNGLWYSVMSPYEDKFLDVRFTGKVLGSVTVTLEEDFQQWRVVCLAVGFVLQLLAPIVSSWVPFYYSSSMAIGIFLVVIILLFQGMKLLPTGRKNFLYLSIYTSVFGAGSYLLHQFSIMINSILLNFGFSEEMHNPVSLLVGLLIGLAGAALGLWLVRKFVISDDGSVDDGVAQFVKWAMRLVGFTCVFQSTLDTSLALTAQGICLMICLASTRFKWKNLEHFSFHGNKSPREPTRGRATTKQTRAEFLSRPGTTNRRQNLWNSGNSPRNSAKWIDSPVKGLVSPPSTKTENQQDFYSTFHKTPNRKKFSKQEWEEFTEESTRQALADWASSPEFTDWIVKNADRVKVVPDESSDDSLGSGSDSTDEAAVETSNNGFGFSKWQQRK >KZM90154 pep chromosome:ASM162521v1:6:11758481:11759359:1 gene:DCAR_022481 transcript:KZM90154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSRDWTQIYSIYGIEDCQTLIFLLIHAVSFASVSVLFLIYFNPIYTSLESLGLPTGPARFTAGFTGSFTALSALCLFFAAGNIFYSSVALQFEMAHRIISSIHDWSTVKHALDVGCGRGILLNAVAKKLKEEGSSGRVVGLDRRKSTVSTLRTAKLEGVHEHVTCREGDARAMPFQDNSFDVVVSSVFLHTVGKEFGQRSASAAAERMKAVGEVVRVLKGGGVGVVWDLVHVPEYVRRLQELNMEDIRVSERVTAFMVTSHVVSFRKPCQHVVGLSEVRLDWRFHNNIC >KZM92192 pep chromosome:ASM162521v1:6:31368635:31372259:-1 gene:DCAR_020443 transcript:KZM92192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEMPRGVPFSVDTWTAVSMQKRHHFLTHAHKDHSQGISSFHSYPIYSTHLTKILTLHYYPQLHDSLFVNIEVGDSVVIRDPEADFTVTAFDANHCPGAIMLLFEGTFGTILHTGDCRLDQECLQRLPEKYLGTKTKNPKCSIDYIFLDCTFGRFSKMPSRQSAIRQLINCVWKHPGAPVVYLTCNMLGQEEILVNVSQTFGTKIFVDKEENPECYHLLKLTVPDILTEDSSSRFHMFDGFPNLSERAEAKLADSRNRSQPEPLIIRPSAQWYACEDVHLKTEITRKVKLSEAVRDQSGIWHVCYSMHSSREELEWALQLLRPKWVVSTTPSCRAMELTYVKKHCFISEVASDDPLWKLLDLSFEVPQIAEQVSVKSASCSSILELGAELEPWLIGVSADQQKHLHLSPPSKRPHVTLFGRARFGLPDSEVFHEQKEREIIENDPLNIDEQVAIVGSASNGLQYSSESHEEMRTDMMYGNPSCEVHDEQYKSPEREYTFKETHMKSPENKNVVDIIKTNNSSEVKMTLADETSFSPDGSSKRFCANLRKMYRKMHVPVPQPLPSLVELMKSRKNAKRKFTFQ >KZM90444 pep chromosome:ASM162521v1:6:15847667:15848614:-1 gene:DCAR_022191 transcript:KZM90444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSSSKHPLGVSQDKADVKKQKLDELTKEIPPREERLKLFMDTFKNRAYLEQDEDENEVLSDGTHPMTFRDIASLELDLYETDGFDVQDYSHVGDASVILTCYDPEANINRGAFYLPEMIECAEQAINQYNGEKGKHFGDVHVIKVNVEAVCPYRYYLTFEAHDATEDITETFEAKVDIHIPITERTVDFVRIRQPPRFYCP >KZM91891 pep chromosome:ASM162521v1:6:28696637:28697395:-1 gene:DCAR_020744 transcript:KZM91891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIDIEAAFASVRSTSGRKVACEPLGGDDPTVVAADAPPESFWLSKDAEFDWFDRNAFLERKESTKGSVVNSSHSNSNSQRFSHNLKSKSKAAAIIGLPKTQKNTFCERRRCKPLNVRLFPPKRPESVGKSTAHMNEPSSPKVSCIGRVRSKRIRRRKPEKKDGKPAVRTEPERVGFCGGLFSLFRSDRRGAVAKSEDSSVKSSSHRKKNRSKRCENVSVSNEPVIEPAGLGGMKRFVSGRRMESWGEIES >KZM89713 pep chromosome:ASM162521v1:6:3652701:3657606:-1 gene:DCAR_022924 transcript:KZM89713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEEGNTDMMHILQSSFGNSSSAPKLSHSVNQLDIPQFNNSQLRAQMRQFSPSFGGESNKRVGIPPSHPQMPPISPYSQIPGTRSGNQQMGPGNFGQGSGVSHTRSLSQPSFFPLDSLPPLSPSPYRDSSVTPVSDIVSPDVSMEDRDGNLHSPFSKGNSLRVGESLPPRKAHRRSNSDIPFGFSTIMQTSPPLIPLRSSAERAASTRDSMGLKPVQLVKREECWDKGIGDSNAEGMGERKSGEVVDDLFSAYMNLDKLEALNSSETGDKQGTENREDIDSKVSGTKTNGDSSDNEATSSINESGNSMQRPGISSSADKKEGLKRNAGGDIAPTSRHYRSISMDSFMGSMNFGDESPKLPPSPGQRAGQLSPSNSIDENTFSLEFGNGEFSGAELKKIMANEKLSEIALSDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSAGLTNHNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKIATADMGGEAAKFQQLSLNSQMYQMHQQQQQQQSSQLNMHQMQQQAQQSQSQQNESTNSKHESG >KZM91227 pep chromosome:ASM162521v1:6:23376986:23380634:1 gene:DCAR_021408 transcript:KZM91227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKAFFYYFVEAERDPASKPLVLWLNGGPGCSSVGIGGFSEHGPFRTNGNGLVKNKCSWNSEANMLYWESPAGVGFSYSSEKSKMNDELTGHYIPHLAQLMTQSNKKQRVFNLKGIALGNPVLDYVTDFNSRAEFLWSHGVISDSTYNIFTSVCNYSRLMSEFYRDSLSSTCSGVIGEVNKETSRFVDIYDVTLDICIASVFAQSKVISPQQVINNIDVCLEDETVNYLNRKDVQKALHARLVGVDKWNVTSNILDYDHLDVEKPTISLIGSLVMEGIPVLVFSGDQDSVIPLTGSRTLVHGLAKQLGLNTTVPYRVWFQGQQVGGWTQVYGNILSYATIRGAAHETPFSQPERSLVVFKSFLKGMPLPEKFS >KZM90055 pep chromosome:ASM162521v1:6:10121822:10122127:1 gene:DCAR_022580 transcript:KZM90055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPVPAKSPSSFIFFSVIIKSFTAAVFWLFPLCSVAELLRCWLPGSRIAVVLF >KZM90909 pep chromosome:ASM162521v1:6:20342650:20343697:1 gene:DCAR_021726 transcript:KZM90909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVENVVAKTPDVEIDPKSAAEKVTNGAAKEGSHENGTKATAGAEEEAKQENVQEQVVKKEVPLQLEPKSGVSFAVELDDGKQLFAAGLRKKSMFGVGIKVYGFGMYTDNEKMKEVMKSKIGKCPTKPTKEMYQAVIDSDFGMTVKMVIVYTSLTMSMVKKSFDEGLGAAIKKLTGGKNDELIKKLLGEASDDMKLPKDSVIEITRLPGYTLQTTVMGKVVSKVESELLCRAYCYLYLGEDPLDKEAKEKFGTSLLSLF >KZM91084 pep chromosome:ASM162521v1:6:22004889:22007130:-1 gene:DCAR_021551 transcript:KZM91084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCWNCRNSSHPSTVSMSVRRALSSETLSHDLIVKVIAVTAASTLLVASVIFYFVYRYAMAREHRKNIYGSRSVEDHKVSQEDRALKRWDIRDDSNDVVFLQKLESGQLDSFSTISFNKSKSEEKSFDSRRHGIKSLEQNKGTPSGRKITNMTDSEQSLSFHSQPMLSNVVFVKQSTPPPPPPPPPPPPILPKKFPVPPIPQLPARRKPPAPPLSRLRPDPTSFKLLNDPKGKTSSTRTEASEKSSKGNTEVQMKMKPLYWDKVTANVDHSVVWNEINAGSLRFDDKLIEALFGYNASTQKPPETKNTSSTSAKCSSVRPAQVFILDPRRSQNTAIILKSLGSSCKEIQDAILDGQGLDTDTLEKLAKISPTEDETEKILQFSGNHINLAYAESFLYELLKCIPSAFIRINAMLFRSNYDQEILHLKEALQTLEYGCKELRARGVFLKLLEAILRAGNHMNAGTARGNAKGFNLTALQKTSYIKSTNGKITLLQFVVEQIALAEGKRCVQNRKRDCESSNQDPNSHTDSEYSEKEILQLGLSILGDLNTEYSNVKKAATINHDVLVNQCSSLTFCVSEIKQLINQCSIDKRGNYVNDMKSFIEGCEDELRIVREEQTRVMQLVKRTTEFYQAGASNEKQGHPLQIFILVRDFLDMVDQACTDTARKHQRSAKTVQSSPRMPSPSIMAEVMFQNLQTYATTDKLDSNSFTNSEEDF >KZM92469 pep chromosome:ASM162521v1:6:33628511:33633373:1 gene:DCAR_020166 transcript:KZM92469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSTRILAVSYPLSPLLISRTYKFHRVKLRSSFKCSSMASASDSDASSIAASVDSDSADQNASAFCIIEGPETVQDFAKMEVQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKNAELGIFNEEPEIELPNFPSFIPFLPPLTSANLKQYYATCFSLIAGIILFGGLLAPSLELKLGLGGTSYEDFIQSMHLPMQLSEVDPIVASFSGGAVGVISALMVVEINNVKQQEQKRCKYCLGTGYLACARCSSTGALVLIEPVAMVNGGDEPLSPPKTERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >KZM89787 pep chromosome:ASM162521v1:6:4848150:4848971:1 gene:DCAR_022850 transcript:KZM89787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVPNPMEDWTVVLPRRNKTRKTIPTLKSCQEQQQATQWFPTDVENDPKRESDLMQKMLTYMKKLQDSQFYQTFLSQIETPEILENFIKILGTESSMQMVIYGIGSIESYEPPRLQLSLAILLKRKFSWIDTIEVFDPIISLAESRVLEALGCSVLSVNEFGRRRALSPTLFFMPHCEAELYDNLLQANWGVDTLNRMALFGNSFSVYQHYGSIISNSTITSSRQHILHVSSFVEEVKIATISDDYFRAFNGSSWHFFRLDSESQLQLVKL >KZM90298 pep chromosome:ASM162521v1:6:13965398:13969205:1 gene:DCAR_022337 transcript:KZM90298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASNPLVLFNIIFCTFALICISTTQGQVCNKPQKGSTLQVFHVFSPCSPFRPQKSISWEESVLQMQSEDKTRLLYLSSLAVAKKQVVPIASGRGLIQSPTYIVRALVGTPPQTFLMAVDTSSDAAWVPCSGCIGCPSSTFASEQSTSFKTLGCEAPQCKQVLNPTTCTATACSFNTTYGGSTVAANLSQDSLKLATDIIPSYTFGCIQQTTGNSVPPQGLLGLGRGSLRLGPTGQPIRIKYTPLLKNPRRSSLYYVNLLGIRVGPKIVDIPASAFAFDPATGAGTIFDSGTTFTRLVQAAYIPVRDEFRRRMGKTAIVSSLGGFDTCYTVPVTIPTMTFMFSGMNVTLPQDNFLIHSSSGSTTCLAMAAAPDNVNSVLNVIANLQQQNHRVLFDVPNSRLGVSRETCT >KZM90644 pep chromosome:ASM162521v1:6:17752058:17755081:-1 gene:DCAR_021991 transcript:KZM90644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTCRRSVHHHLYSVVAVFFFIFASSTALNLDGTLLLSFKYSILSDPLSVLENWNYNDINPCSWTGITCGQVGLSPADNFRVTSLVLPNSSLLGSIPEDLGLIQYLRTLDLSSNFMNGTLPGSLFNASELQVLSLSNNVISGELPKLIPGLKNLQVLNLSDNALAGKVPESLTSSQNLTVVSLRSNYFSGSVPSKFQSVEVLDLSSNMFNGSLPLEFDGEHLQYLNLSYNKFSGPFPLDFTKKLPVNVSIDLSFNNLTGEILQSSALSNQKPESFLGNADLCGKPLKKICSISSTLTTPPNITTANNSAPAIAAIPRDFNSSPSSNQNQNQKQHGLKPGTIATIIVADLAGLGLLVMILLYVYQVKKKKQKDISNASYDKKGPAPSISSAVGAGTSFEISKFGPKCGEWSCTSLTASEDMSGSESEDHKKLSNINAEEIKKYAMEKSLVIVDGETKLEAETLLRASAYILGSSGGSIVYKAVLEDGVVFAVRRIGESGVEKLKEFETQVKAISKLRHPNLVTVRGFYWGEDEKLLISDYISNGSLASAGHKRFGSSPSHLPFQVRLNIARGIARGLAYIHDKKHVHSNIKPSNILLTTDMEPVISDLGLHWLISGKHKYKTDCSTRHFGSKRCTSSSEGPQDHPSSSSPYIEPNGFMGCTSPYHAPESLKSLKPNPKWDVYSYGIVLLELLTGKVFSDRELSQWTGGLHDVDRNRVLMMADVAIRGDVGGREDAMWACFKLGFSCASLVPQKRPCMKDAVQVLEKIVAASSRC >KZM89471 pep chromosome:ASM162521v1:6:1013859:1017965:-1 gene:DCAR_023166 transcript:KZM89471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKERDFEIEHAGKRRKMEDTGSVVCRDIDHGKCKLVEDSYVENDEDSVCGSELKGDGELVHRDGDSCVSGNVCKDIIPCGSKSDGSICGDGDHRVTFIDAARDSTVEVKSVSEGLGNGGQVDGDDVSRERNSSSADQSSCIVSEQTHLDSPTNDSADQISERKKRETITKDENNAQAKFVETNKDGREEKPHLCKGIDVRKKLLVLDINGLLADVVSASSVSDDYKADIVIGMKAVFKRPHCDDFLQFCFERFNVGIWSSRTKKNIDPILELLLGGHRSKLVFCWDQSHCTPTGFNTIDNQRKPLPNTAIFPNTYEYKNLNDDSLGPKGDLRAYLEGLSLAPNVQEYVEHNSYGQQPISRSNPLWPFYAKVMGIDYTKHKEPSCQNASKGINIRLEEEAYRGTRGDNARTQYSERNTRSTAKDSVQLSSKTNQLWNCRAGRTKEARYPRIQHTQFGMNRGSFRKTKLLILDVNGLLADFVSYEPHGFKAHSMLGDKAVFKRPYCDDFLHFCFEKFHIGFWMTTSRRNAESNLDLLLGQELKQNVLFSWPHTAIFPPRYRFKDESTDNTLGIVYLFVFLAPNVSTSGMFKL >KZM90159 pep chromosome:ASM162521v1:6:11817834:11821077:1 gene:DCAR_022476 transcript:KZM90159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAIYTVGFWVRETGQALDRLGSRLQGNYYFQEQLSRHRTVMNVFDKVPVVHKEAFVAPSASLMGAVRVGQGSAIWYGCILRGDVNSISVGSGTNILDNSLLHVAKSNLAGKVLPVKIGDNVTVGHSAVLHGCTVEDESFVGTGATLLDGVVVEKNAMVAPGALVRQNTRIPSGEVWGGNPAKFLRKLNDEERSFFSESTTDNASLAQGHAVENAKPFDKVEFEKVLRKKFAAKVEDYDSLLGAVQETSPEAVLPKNGLPDEAAKSANK >KZM89409 pep chromosome:ASM162521v1:6:529130:531814:1 gene:DCAR_023228 transcript:KZM89409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANKMRWPLLFLVLYLLALADGQLEFSPESTELDTGGLSRDSFPKDFIYGTATSAYQVEGAAHQDGRGDSIWDVFLRQPGLEVNNASGEVTVDQYHRYKEDIDLMAKLNFDAYRFSISWSRIFPNGTGKVNWKGVAYYDRLINYMLQKGMFINYLTFVSPFCITPYANLNHYDLPQALQERYNGWLGRQVVKDFADYADFCFKMYGDRVKNWFTFNEPRVVAALGYDNGYFAPSRCSQPYGNCTAGDSGTEPYVAAHNLILCHASAVQRYREKYQKSQKGRIGILLDFVWYEPLTRGKSDEYAAQRARDFHLGWFLHPIVYGEYPKTMQNIVKDRLPKFTKEEVNIVKGSADVIGINQYTAFYMQHVTVNKSMPPGYQNDWQVGFVYEKNGVPIGPKAYSYWLYEVPWGLYKAIMYVKERYGNPTMILAENGMDQPGNVTIPDGLKDTQRVNYYRSYIQQLKKTVDEGANVIGYFAWSLLDNFEWRLGYTSRFGITFVDFKTLKRTPKMSAYWFQKMLSRKH >KZM90427 pep chromosome:ASM162521v1:6:15778021:15778381:1 gene:DCAR_022208 transcript:KZM90427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM90341 pep chromosome:ASM162521v1:6:14436840:14437199:-1 gene:DCAR_022294 transcript:KZM90341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSTYKPFHPSYEEMIFHAICSLKRRNGSSSSAIAKFILKHYGGLPKNFRKILLHRLKELVACQKLIRVKNSFKLPSQ >KZM91358 pep chromosome:ASM162521v1:6:24485348:24486701:-1 gene:DCAR_021277 transcript:KZM91358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLPPKVPNMTSNWPHLSSHNLATSEAHSWADDFLNFSSSSKRGSHRRSASDSIAFLDQVVLDEEGECGIISSAPGTRMSPAAATEFDRFDEKQFMDMFTDDIDPTVDFPNSSSPSDHNAIIDNPTKSCTTTSTDQQMKQLGNGFEESESALDDQHHGGGAAAGATTDNYSDKIFDPRRVKRILANRQSAQRSRVRKLQYVSELERAVNSLQAEISVLSPRVAFLDHQRLVLNVDNSVLKQRIAALSQDKVFKDAHQEALKREIERLRQVYNYQEDAKKMDNEDTAQASAKSLLHLSADKISDHPNCSAFSEQIMIR >KZM89967 pep chromosome:ASM162521v1:6:8987727:8992323:1 gene:DCAR_022668 transcript:KZM89967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQTLLGLSGVSYGVERQPSELAKGEGVESLAFSSSQEKGEDMSDPLVRVSEGEVSCVVSQGEPLMQEKREIERNAGVNEGFSEQEYQAEYRSILDSVSLDPETFTHGMSSVQAFARMDNQAAERSLNLIHTTSSMLRAKEALAALPANAGDDFQYDDSDEDLNDALEDSLGEEPTTSLPSWLSIQSANATAVSLELQRQATSLLHPQAGSSSSSPSITATFASQALENLRLHKYQSLHFQKEAEHLNSLITSVKTDLSNKIDEKFSTQVQTAISASDKKQAQLEKKVEALEENVQVLNSRMEEMLQHQRVQTGLLQHLLLASGIPLPSPSLDANKKGEKEPLPSPAELVSRIPPPFHTAKEQKRLERLAALDSIEKRLALLDKKAASATSNSQSTSAVPTSFPTTTTVLRVITPEIVIPSKKEKGEPSIVNEFKAILFPNNCGYSRPGKDSSSIYFPLARPDKNEYKLLGQEIKSYKDSTDVALKSHFAIIYREGQKLFIGTGHPHYSFAKAEEVARDCERKEYESQLSLNQEIEVDERYAIELEEELAAELQSENRLALETSPKKKRVKSRSKMPEAAKRREEVPEESVPISKPSSPIKDTTVVHPDVNFHDEPIMPKEEPIDLEDIPIPAFLVQETPKPKNKVKTVAKRMANPPKPPKEPENPDDYLVIANIEEISELELELDDLQEVRGIEATSKLPERLVFSYKSKGDVTWPLHKVLNSEGFSSLTKIYASMKRIGGFTPPAKQMVLKRILEIRKEWSSDASLQRRLKIPYNGKKIHHEPTPIMEFRDSQGVRRFFRPKEQLKINLVLT >KZM90121 pep chromosome:ASM162521v1:6:11358609:11361257:-1 gene:DCAR_022514 transcript:KZM90121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSSGLIIGVSIGVVIGVLLAICAFFCFRIHSKRSQIGNSSSRRAATLPIRTNGADSCTVLSDSSFGTADSPKISVNNNGMSSWLGGLKKANVLSASGILEYSYKDLQKATCNFTALIGQGAFGPVYKAQMSTGEVVAVKMLATDSKQGEKEFHTEVILLGRLHHRNLVNLIGYCAEKGQHMLIYVYMSKGSLASHLYGEKHEPLSWNLRVQIALDVARGVEYLHDGAVPSVIHRDIKSSNILLDQSMGARVADFGLSREDMINRHASNVRGTFGYLDPEYISSRTFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAAMNTDGKVGWEEIVDPNLGGKFDDQELNDVAALAYKCVNAVSKKRPSMRDNVQVLSRILKHRHGRNHLKHSLSTAADELTINMDQLESRSKLTQHQRDESMDSSIADSCEL >KZM90826 pep chromosome:ASM162521v1:6:19615845:19619438:1 gene:DCAR_021809 transcript:KZM90826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAKNVVETWDRQFHCSPREQRLAFLYLANDIIQNSRRKGSEFVAEFWKVLPGALRVVLEIGDKSERNAAMRLINIWEERKVFGSQGQLLKDELVGKNLNISNNPPVFKLTNAAGNALEKIASAYQVLYGGQLDEETILHNCMNSIRYIEKVEKEIGGGISSEKLIQSGTVEEIRGQQAILMGCIEQLRDVQSCRISLVSYLTEALHEQEVKLSHLRHQLQDAESQSEQAENLCRHIINTVHSLAEKKDSHNNSEASQCLTDDIEVQTAPVMYTKQVQYNEKLYHSAENSKSAVAGPAAPVTATTSAAQVLPYVLPAVASDGVIVNTVKGPSDDYPLEKKLKLESGHSVYLQSEIPQPPVPPYPHPDSLQHHVAITSNELAPQVQPPLPLSPPPMPPLPPSNPFQVPQFMPNVGSMATAPAPYSYGLIQQLPPPPFP >KZM91816 pep chromosome:ASM162521v1:6:28030118:28033266:1 gene:DCAR_020819 transcript:KZM91816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFLLQFPCSVPAFRSSSTNPLLAATVVSAHSDHSLTTQQNSSHFTRWAAAFPSKSLSFALSATLAISLSLSGIGYAEAKVGVNKPELLPKNFTPVIDVAGFLSEGQENRIAQEITAIEKDTGFKLRVLAQNYPDTPGLAIKDYWQVDDRTIVFVADPTFGNILNFNVGDLVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASIEAAVMAISNCLREPVGPNNCSEIN >KZM89882 pep chromosome:ASM162521v1:6:6827842:6832930:-1 gene:DCAR_022755 transcript:KZM89882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILINYVAINNHLKCNVPPSSTSHPLLLNQDLLTFRPLTQCVNPPFLNSLYRIFMKSISFMATPPDLVLHLPATTLKKNKASRQQKREELEIEVSTLHKMLDHEHKVHEILDSSVKLQQNGSVVSVPPFLPPKVKELLTELAMVETEIIRLESQISHLKTDLAQEKKVTRESKSVKWKDAAILNNTHVSSSSSELPPNPKPSVIKGFTENIAFETKALHFISKAIKGDYNLSDFSIHEKLKSSRASFPEQKENHFNHEVGFQERMSKKSGILKPPSPFRDPRHKTPKKERNQDMANELPPKYVSTPIHQTEEENIHKLPPNKLSENIMKCLVNIFVRLIRTSRAMELEKSGPISRSANFSLSFRAETSLNTKASLMLQKDSRQQDPYGIFDMEESIPRDIGPYKHLVRFTSSSLDPKCISNSSSFPLLQKLRELMNGLQKLDMRFMTYQQKLSFWINMYNACIMHGFLQYGVPSSPEKLLTLMNKATLNIGGNTINAQSIEHFILRNQTSSLRKEIYQKDDKEAIARELYGLDSSDPNVTFALCCGTRSSPAVKIYTSDVLAELERTKLEYLQASIVVTSSKRVLIPELLIRNMHELIGQNSKNNMESLVEWVCHQLPASGSLRKSMVDCFRGLSSGKLSRVVDKIPYEFEFQYLLSICHTSRAMELEKSGPISRSANFSLSFRAETSLNTKASLMLQKDSRQQDPYGIFDMEESIPRDIGPYKHLVRFTSSSLDPKCISNSSSFPLLQKLRELMNGLQKLDMRFMTYQQKLSFWINMYNACIMHGFLQYGVPSSPEKLLTLMNKATLNIGGNTINAQSIEHFILRNQTSSLRKEIYQKDDKEAIARELYGLDSSDPNVTFALCCGTRSSPAVKIYTSDVLAELERTKLEYLQASIVVTSSKRVLIPELLIRNMHELIGQNSKNNMESLVEWVCHQLPASGSLRKSMVDCFRGLSSGKLSRVVDKIPYEFEFQYLLSM >KZM91314 pep chromosome:ASM162521v1:6:24062699:24063734:-1 gene:DCAR_021321 transcript:KZM91314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYEETELTLGLPGRGTKRLLSGEATTKGDKPMVVGWPPVKEHRKGITMSRRYVKVAVDGAPYLRKVDLQSYGNYDELLSALQELFGCFTESDEKKLVDCVNGTLEYVPTYEDKDGDWMLLGDVPWKMFAQSCKRLRLMTRTPSIC >KZM89927 pep chromosome:ASM162521v1:6:7548318:7550429:-1 gene:DCAR_022710 transcript:KZM89927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIGSTGASKRTEKKGAHGGDHVGAIGEPLTEKGSKDNLGSHNDDLSGTIDHQTRVSHFNLQLELEKQASEACKYWFLLDYDMVKAFQKGVYDLVPVATARIEYLKDAIPAKLLQEGLKGEEDSLWEIHRILFNEGWWFRADNLKIKGAPNNPKADEILGKFLRANEALIHPNSREMAFSGDTEGIRMALNQIHYNSLTNRRSLARSRNSNPKRETDLLDITLSFLQGFAHFIEPSVLEDALAGNDKALSLALGQIHFHSLEVGAEVNQQNPFKQALLKQQSYGGSKEDVISSNKGSSAKQRPLSTYGIKNRKSNHSVFFTGFKEDTHPKDLWKLFKRVVQTIIKKLNAAPTDHGKLYLSKARPRNEVPISLRTGGQGSQKEKSRITPNNTSSPAKVKVTKGPTTRLTTATPLNCTSVQKETAQQEASTRVSSHDGGKLKRASNTGSGTKNADISLKPSDDMLRVIKTSLFVRTAKNETIDTVTMIAEGLGVRNVQIRGISGTTFIAYFANKLDLDHVDIDFLQIGFMEVREVKIDDLLPCRKTWVEVRGLPIMGWNEDNYKSILRDYGNVLQFSTIYDTEGFYQHPKFLIETGYIEEISAQKSITLMGRNWKVRILEVSGSDIILNDISSHCDGELTPVIALVHIQRHWMMGQVSTIIHQHMRLTTTSPTLAM >KZM90893 pep chromosome:ASM162521v1:6:20195836:20198162:-1 gene:DCAR_021742 transcript:KZM90893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTPPLIQTSRFSIPPKCSCISTLKPSSFLSLPAKPISLLHSSSSLWLSHKPSKILRPILVAEASEYGQEEGGEEGGVSSVSTIEPEASVEEASQEAVEEVVETQEEEVVVAEAEEVVFAEEEVVVEEEGEEVVEEEESYSEPPEEAKLFVGNLPYDYDSARLAELFNKAGVVEIAEVIYNRQTDQSRGFGFVTMSTVEEAEKGVEMFSRYDLGGRLLTVNKAAPRGSPPQRVFEPSFRIYVGNLPWEVDNGRLEQVFSEHGKVIDARVVYDRETGRSRGFGFVTMASETEVNDAIAALDGQSLGGRAIRVNVAEERPRRNFF >KZM89944 pep chromosome:ASM162521v1:6:7839841:7842881:-1 gene:DCAR_022693 transcript:KZM89944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDQAAAAVLSQIALAADGAVLGLTLAYVAVRSILKYSSSSSALRKIQDAPAVRVSDLRSVRSSSDHGSDEGRIIVVRGSVEAKSVVEGNWKSLRPNHALVSPESGEKGVVLLRTQTCIYNEWRGFFGWTSDIRSLFARSWKEQESSSLRTVPFILVDGGVWPYSDYVVVNMDGSRHPIPLVTVYHHLQPITASPYTFLQALFGHEYPVGLLDEEKILPLGKDITAVGTCSLKNGTPEIKSCKDLPYFMSDMTKEQMLVDLAFRTKVLFWGGVVLSSLAIGVLSYAAVRNWNRWKEWRQVRRIQQDNSAASNEPDAQVIADEETGDIPDGELCVICLMMRRRSAFIPCGHLVCCQRCALSIEREISPKCPVCRQSIRTSVRIYDS >KZM91148 pep chromosome:ASM162521v1:6:22586667:22586903:-1 gene:DCAR_021487 transcript:KZM91148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSTISSSNSTWTPKQNKLFEKALAKFDKDTPDRWHNIAKAVGGKSAEEVKRHYEKLIEDVKSIESGTIPFPKYR >KZM91483 pep chromosome:ASM162521v1:6:25494020:25497741:-1 gene:DCAR_021152 transcript:KZM91483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFELRMAREKLEKEQKDRKQKARLKLERERKAKQEAIRQREAIEAAQRSRRIDAMEAQLKEDQHMEENLLAGRGIMFNRVLEAVPYPGIGDKIKLPPSCFTELSDQGSFDKGPLHFCLSLVHHVNSSNTEGAQSQNTGTTHAGVLEFTAEEGFVGLPPHIWNNLFSKSVPEVPLVEVRYVWLPKGTYAKLQPIEFGFSDIPNHKAVLETSLRQHATLSQNDVLIVNHGVLTYHLRVLELKPSPSISVLDTDIEVDIVGPDSAPEKTDQHVLIPIMFGKSEFGVVEEGNYMYYKFSIDVDVWELISSGDSEIEVKIDMEAQDGDTDLYISKHPLLFPNRHQHGWSSHDVGSKVLVLSSRDQSLAQGTYSIGVYGFKGKTKYELSVTVQDRSNSKVGQQAVATSSTFMEADTVECRNCRHYIPSRTIALHEAYCRRHNMICQHAGCGVVLRIDDAKNHTHCEKCGLAFHGGEIEKHMKVFHEPLQCPCGVVLEKEQMVQHQSSDCALRLVTCKFCGDMVQAGTSAADVRDRLRGLSEHESVCGSRTAPCDSCGRAVMLKDMDIHQIAVHQRG >KZM92193 pep chromosome:ASM162521v1:6:31377452:31378314:1 gene:DCAR_020442 transcript:KZM92193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVSSQPQFRYTQPLSKVLHLRNLPWECTEEELIELGKPFGKVVNTKGNVGASRNQALIEFVRRLHFSITPLATWNNSYLSLAIKMASQAGAFWGGHDYGVLCWDNKLAGAQVPKFYV >KZM92389 pep chromosome:ASM162521v1:6:33059905:33060813:1 gene:DCAR_020246 transcript:KZM92389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYNYVFKSLAVLAVWALALIFCTQGTLGSIACENLDKESCAYAISSSGKRCVLEQRVRRSGEGAYTCGASEIEADKLKDWIESDECIRACGLERNVLGISSDSLLEPHFATKLCSTQCYNNCPNIIDLYFNLAAGEGMYLPKLCESQGKNARRSMAEIRSSGLVAGGPVSAGKLMVAEGPNAVGELMEQADAPEASTSLVVLDCGTPSPAAF >KZM91408 pep chromosome:ASM162521v1:6:24886088:24887002:-1 gene:DCAR_021227 transcript:KZM91408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSSSFKKEPAFANDMEAGTGRDNGDLDKFFEDVEKVKEDMNAVGIIYKRLQESNAQSKTVHNAKTMKELRSKMDADVSNVLKRVKVIKGKLEALDRSNVENRKLPGCGPGSSADRTRTSVVGGLGKKLKTLMDDFQSLRTRMNDEYKETVGRRYFTITGEQANDELIEDLISSGESENFLQKAIQEQGRGQIMDTISEIQERHDAVKDIEKNLMELHQIFLDMAALVEAQGQQLNDIESHVAHASSFVKRGTDNLVEAREYQKDSRKWTCYAVILAIVLIIVVLYPIWSTKLIQELSKKK >KZM90490 pep chromosome:ASM162521v1:6:16246943:16250069:-1 gene:DCAR_022145 transcript:KZM90490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGYQILCMMFLIIFMFISLHFSHFLIPGAILNAPSYFDIRSLHGGGKGSYHPTRNAGEDTKSLNLGKFRALLGLRSFSIRKESYYKAKCPIGGPAPAPAPALPHQVYSHRYHHHPSPRPQPLPRVTVPFRKIHKDNEGKGRGRRTLVAILVSTSATFVLCGIGLIWGCQKFRKKKKSRSTVSIVHSIEGGTQSESKYVFASHSSVSKVTSHPSPRLLYLDSVRSALEPQPFTIKQSFLNVNASPIHTTPYGKHCEVAELENASDSVITVAELENASDSVTAESSAVGEIVSVNETDELLKHDVDSSSKLSAINSTDEAHTSDDESFHSLCNSHSSSARFSNASEGNSDTSEIESLYVSKALTSPMNFHISSPAPLLKSPSSPPPPPPPPPPLIHTHKSPSEKASMNLSSANKPNLSSPRTSCSSSGSNQTPRSDLPPSPHDHPKVSTGIPQPPIPPPPTKEYGNSLKGPPPPPLPQQTPLSKDGVPLAKLKPLHWDKVRAVSDHSMVWDKLRSNSFEFDEKMIESLFGYNLKNSMKNDDTKSKSPSPSKHVLEPKRLQNITILSKALNVTTEQVCNALVQGAGLSLQQLEALIKMEPTKEEEANLSSYNGDINQLGSAEKFVKIMLNIPHAFMRIEAMLYKETFEDEVDHLRKSFSMLEDACKELRSSRLFLKLLEAVLKTGNRMNIGTIRGGARAFKLDTLLKLADVKGTDGKTTLLHFVVQEIVRAEGLKISESIIGKINNQKIRSKNVEDREETYRKMGLDLISGLSTELFNVKKTATVDLDVIASSVSNLSDGMTKLQHLVNKDLTEEEKSSKFVQVMRSFLNCAEKNLKELQVDESRVFLHVREITEYFHGNVSKDEANPLRIFVIVRDFLGMLDHVCKELRSFKVPSSPNPLAPFR >KZM91145 pep chromosome:ASM162521v1:6:22557303:22559149:-1 gene:DCAR_021490 transcript:KZM91145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIFFFLSLLAFFLKFISSRKPYPPGPKGWPIIGNMLMMDTLTHRGLAKLAAQYGGLVHLRMGFLHMVTVSTPDMAREVLQVQDNIFSNRPATMNISYLTYNRADMAFANYGPFWRQMRKISVMKLFSRRRAESWDSVRDEVDDMVSNVVYHAGLSVNVGELVFGLTRNIVYRAAFGSVSRHGQDDFIKILQEFSKLFGAFNICDFVPGLTWLDPQGFKVRLVKARASLDGFIDSILDEHIANKKSGAVDEANSDMVYELLDFYGEDQVKVNHFDDINSSVRLTRDNIKAIIMDVMFGGTETVASAIEWAMSELMRSPGDLKRVQQELLDVVGLHRRVEESDFDKLTYFKCCIKETLRLHPPIPLFLHETAQDAVVAGYHIPAKSRVMINSWAINRDPNSWDEPEEFKPSRFLKEGMPDFKGSHFDFIPFGSGRRSCPGMQLGLYAFEMAVAHLLHCFNWELPDGMKPNEVDTDDVFGLTAPRATRLVAVPTPRLLCPIS >KZM92746 pep chromosome:ASM162521v1:6:35982154:35984711:-1 gene:DCAR_019889 transcript:KZM92746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIDFSGFSSKPHNNDIDSNGCVDKVKRMEKRPKTKIVCTLGPSSRSVEMIERLLVAGMNVARFNFSHGSHEYHQETLDNLRTAVVNTSIPCAGPEIRTGLLKDGKKINLRQGQEITISTDYTIQGDDDMISMSYKKLAEDVKPDSVILCADGSISLRVLSCDKERGLVRCRCENSAALGEKKNVNLPGVIVDLPTLTEKDKDDILNWGVPNKIDIIALSFVRKGSDLKEVRKLLGDHAKTIVLMSKVENQEGVANFDDILANTDAFMVARGDLGMEIPIEKIFLAQKMMISKCNVAGKAVVTATQMLESMTKSPRPTRAEATDVANAVIDGTDCVMLSGETAAGDYPELAVQTMANICLEAENSLNYYDIFKRVMETAPKPMTPLESLASSAVHTATSSQAVLVVVLTRGGTTAKLVSKYRPKMPILSVILPDGATDSMDWSSTHQAPARHGLIYSGIVPLLTPGWTITPPNSSTEEVMKYSIEYAKTRNLCKPGDAIVVLHYDGTFGMLQILFVK >KZM92363 pep chromosome:ASM162521v1:6:32837834:32839344:1 gene:DCAR_020272 transcript:KZM92363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISEKNRREISKYLFQEGVCYAKKDYNLAKHPDIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSDIVPSTLKKAARPIGRPMGGPPGDRPRGPSRFDGDRPRFGDRDGYRGGPRGPAGEFGGEKGGAPADYQPAFRGAGRGGGFGRGSGGFGGAPPS >KZM91139 pep chromosome:ASM162521v1:6:22507961:22508845:-1 gene:DCAR_021496 transcript:KZM91139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMAERDAAIRERNMALEERKRAFAERDMAMLQRDAALQERDSAMRERDEAMATLRYKGGSSVNENNTSSDFPNNGVGRGTKSIQEDQQMHIMYDMSSGKYSPGDIMTDSYQINDVPTEHVKPRKVKQTEENKEFPKKPAKSRRVSRKGAENLKKEGSASASNICKIEQDLGADENQGSQIAGWKDSLELNQVNFDDSAMPIPVCSCTGVPQPCYKWGNGGWQSACCTTTMSMYPLPQVSNKRYSRVGGRKMSGGAFSKLLTRLHDEGYDLSSPLDLKDHWAKHGTNRYSTVK >KZM90497 pep chromosome:ASM162521v1:6:16311274:16313643:-1 gene:DCAR_022138 transcript:KZM90497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSSSSSDSSIRRHRERRRRRRMDKDHKVDSLKVRKKSSRSHSKRRRRSLSSDSSSYDSRSDSSSDSEREASSRSKRNKDTDKQKKSKEKDKRKSRHQHKRHKHKAKENKQDASSSGPVQLSKFLGRDKDDSVRRSAVSGKKILLKLDKSKEDKVAENNRNQLLKFLNSSYD >KZM91313 pep chromosome:ASM162521v1:6:24057461:24058718:-1 gene:DCAR_021322 transcript:KZM91313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCILTISLQSTFKLVLLLLCFSYTNIVPTSSQGLPGFLQGLACGIVNCGEGTCRGSNTTALGFECDCSPGWKQIPLVSFAIPSCVLPNCTVDFQCGSGAPPISFPPPPADNSTSPCNSVFCGNGKCVVNGTSYYCQCDQGYSNLFGKSTDACFEQCYFGADCKDLGLGPAPPPSTSSAGTPKTGTEEHRDDTKGKNGASNIMKNFQALVIATIALVFIT >KZM91981 pep chromosome:ASM162521v1:6:29566661:29574042:1 gene:DCAR_020654 transcript:KZM91981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSNPQRRSKNGGGEKGLFGFQSLIRRKQVDSIHSKSSSNGDHQLAKELSIAHLIAIGVGSTIGAGVYILVGTVAREHAGPALALSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGLAWIIGWALILEYTIGGSAVARGISPNLALLFGNADSLPAFLSRHHIPGLDVVVDPCAAILVFVVTALLCVGIKESTLVQSVVTVANICAMIFVIIAGGYLGFKTDWPGYELPVGYFPFGVDGMLAGASTVFFAYIGFDSVASTAEEVKNPQRDLPLGIGAALSICCTLYMLVSAVIVGLVPYYAMDPDTPISSAFSSHGLQWASYIITLGACTALCSTLMGSLLPQPRILMAMARDGLLPSFFSEVNKRTQVPVKSTILTGVIAATLSFFMDVEQLAGMVSVGTLLAFTMVAVSVLILRYVPPNEVPLPSSLQEAIDLVSLRYDSIPQEIDAGITKDQVRILGEDSPLIHGTVAAPADHPLIEKVMSQGLEKVFTYFRYLFAVIISEKNRRKIAGWTILLTCVGALVLTSAASSVQLPSYLRLTLCGIGGILLLSGLAVLSIISQDEGRHTFGHTGGFICPFVPLLPIACILINVYLLINLGAATWIRVSVWLAIGVLVYAFYGRTHSSLQHAVYVPAVHVDEIYRSSAGTSV >KZM91633 pep chromosome:ASM162521v1:6:26701605:26703848:1 gene:DCAR_021002 transcript:KZM91633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSATHRSGTNTTTSELFICFSSRNNSMKTSSKSSILSPGRARDTPTSLSTSLSRRLRTSGSIKNGQASPMFPTGNKRRGSGFENPEPSSPKVTCIGQVRVKTKKQGKKMRNLSRRPSGEMSFRKMEHNQMQRQSSVNSIHHQQECSSHRNQRWVHLPLTICETLRGFGSEFSCLFPCKSSCFSGEKVERDGRESGSSCVDVFAKWLVAVEDERRESGGGKRRDIELVVENEDEDEDDDDDDDEDEGKVVKRRHVFDEIEIKDYDEERGRVSICIPPKNALLLMRCRSDPVKMEALANRFWEPQLPAEDEELEDDKGVVNSEKVEVENEEMEVFEERRASVSGNIFDKVEECEVEQPVEENEIKQEELIKLEVDELIGEDLSVCEQDKILEEDLHDNEEEDMQSDGEAQNGEQEKALEDLQSKEDEQDIEPQDTEENNKILVEEVEENESSFVTALEVFVNQESELVELGESRVSCCDSDDELNEEAKHIRYILLDYKSEDEVSKPEPTEKEQETALENHAIDGSTDETQQQDQTQSSPEAQLQVEDQQEEEAVESNVVEVLERGKDMEAKKEAEKVQQLPDCLLLMMCEPKLSMEVSKETWVCSTDFIRWRPQKKKPHAPPCYKNSTSHGNEEQVPEKITTVDSKCGNPFAALPPPYPVTHNQAALQPGRASCCLPAGGVVSMAAMIEQKLVDAVAYEPFVLTRCKSEPMRTASKLAQGACFWKNRAMEPHRRATYGAAGVGC >KZM89383 pep chromosome:ASM162521v1:6:301118:301963:-1 gene:DCAR_023254 transcript:KZM89383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSNSIKEQKADSFAEQAETYYQKRPQLLSLLQDLYNGYLTLADRYCRALAKQHHSPSMTPVSVHQSSDDEVCSNEVSDAESSISFQAPVKPHVVMNDADSIVAEVVIKNVEYEIVIDEMNEEEKQWNESSRKIELQKSLLEVLETERLVLLNENARLEHRVETLVDENKALGEESYFMKTKASELARCVLKLRDDYKANMFSSKVEDLQAQIYVLEKRNKEYYDQLVKQNQSKNKIMKAKRNTIVLMKRYSQSPKKVSKLWKKVKNFDMLKCCSHYNNP >KZM90651 pep chromosome:ASM162521v1:6:17803899:17804198:-1 gene:DCAR_021984 transcript:KZM90651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEKVTYVTITFLLFSLLLCSKAPTTRASRPLPEKTRTSYMEDFLRGHGFSVDEVKSSGPSSRGAGHMKVKGLPSLRTLKDSGPSPGAGHKSIAGDHH >KZM92129 pep chromosome:ASM162521v1:6:30755907:30765968:1 gene:DCAR_020506 transcript:KZM92129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEADMSSWTDLLHSSSKLVEQAAPSAQFPPLQRNLDQLEALSKKLKSKTLRTEAPSQSIAATRLLAREGLNAEQLARDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAVQEAQKDNVRSFNDYMMTVLEEDWQKEKRDFLQSLSRITSLPRTNVGGSSPASGHHNQMVSVSKPHLSPMSSRMELAPPADKSVAEKKAAVYAQTVKTLNDARECGLPFKPATAFKSAYDSLNLDTSGGKSVSMQKIWHLIQMMMGEDSYPQQNVSKKMSLIIGARRHLEWGHEKHVTETIQSHPAQAALGGAVGKLQRIHAFLRIRLRDYGVLDFDAGDTRRQPPVDTTWQQIYFCLRTGYYDEAKDVASSSRVSQQFASQLKEWISSGGMVSAETAASAAEECEKMLRMGDRVGRTAYDKKKLLLYAIVSGSRRQIDRLLRELPTLFNTIEDFLWFKLCAVRDQPGGSSFVLNDGSSPYTLTDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAILYLYKDMGNEAYNIDAVHISIVVGDHGILAEGFGAGQTLGMMDAFAEASSLIRQYGALYLQHDDLLMALEYYAQAAATMGGGQLSWTGRGSADQQWQRTSMLKQLLMELLLRDGGIHLLLGSRGAGEEGELGRFLTDGKSRQQFLLEAARQCQEAGLYEKSIEIQKRVGAFSAALDTINKCLSEAIGALSRGRLDGESRTAGLIHSGNELVETFKYYPEVSLQEREQVLEQQMVLRQLETILSIHKLSRLGNHIDALREVARLPFLPLDPRAPDVNVDVFQRLSPHVQACVPDLLKAALHCLDNAIDTDGSLRALRTKVWQAIFNIDI >KZM90541 pep chromosome:ASM162521v1:6:16700358:16705568:1 gene:DCAR_022094 transcript:KZM90541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLALNNTNTALYLSSRPQTHLINSPFFPYIHRKYGLCNRKNLNFCTLKLSCKLTKTSQDSVNTKNLPIKIVGPADKAPLLSEKGSATSESNSQSKPGRKKATGKMLVKRYSKKVLSVLSNLSLAIGEMFAIAGLMAIGTFIDQGEAPGYYFQKYPEENPIFGFVSWRWILPLGFDHMFTSPIFLGTLALLGVSLMACTSTTQIPIVKVARRWSFVHSPDTIKKQEFSDTLPSASLQDLGVILMGAGYEVFLKGPSLYAFKGLAGRFAPIGVHIALLLIMAGGTLSAAGSFRGSVTVPQGLNFVVGDVLEPIGFLSTPSEAFSTEVHVNKFYMEYYDSGEVSQYYTDLSLYNLEGKEVLRKTIKVNDPLRYGGITIYQTDWSISALQVLKDDEGPFNLAMAPLQMNGGDKKLYGTILPVGNVDSPNVKGISMLARDLQSVVLYDQEGKFAGIRRPSSKLPIEIDGSKIVVVDAIGSSGLNLKTDPGVPVVYAGFGFLMLTTCISFLSHSQVWALQDGTSVVVGGKTNRAKTEFPDAINRLLDQVPEIVASSQPK >KZM90439 pep chromosome:ASM162521v1:6:15804271:15804684:-1 gene:DCAR_022196 transcript:KZM90439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPRDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQGRTVMLLMIVLQTMHMID >KZM90665 pep chromosome:ASM162521v1:6:17907018:17908522:-1 gene:DCAR_021970 transcript:KZM90665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNTLLLLTFTCIIVAGVGAQSPSASPTATPATPTPTATPATPTPTASPPTATPPTVAAPQATPPVSSPPPATPPPVSSPPPAVPPPAATPPPAAAPVAAPPADVPAAAPTTVPASPSPAPMLDSPPAPPMGSPAPSAGDGSPAATPSSPDQSGAQNLMSMHKTIGSLGLGFALLTLLH >KZM91702 pep chromosome:ASM162521v1:6:27195972:27197250:-1 gene:DCAR_020933 transcript:KZM91702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSQYGLVGLRKSWVSSHDECLLELLIEQQNCGKTTSNLFKYEVYKTVTQKLNQRFETNVDENQIKLRYYAMKKDYGVIKALLGQPGFHWDAQKQMVAADDKVWENYTAVRRDARPYRWRSFPLYDKMSIIFEDSVVGDVGARNLHLPSRVNRTLEVNSDPETVQVPEPATEPAQLNVDVHDSDSSFHVKNTQPGKRKSKASGRKRSSHVEAEYNIENAYCEMPRANKTRKSVFVATSGFNKSLQFEADYSIENALDKSPSVAMSKGMQKNVNELQCKEKKSKSAATSGYNKRPQFEAQDSIENALEESPFAARSQGVEDLSDARIIYQRCLEELQRIDELDDSEFSQAVCALKDDKNAIAFMTIRGPRRLIWLRSILN >KZM90502 pep chromosome:ASM162521v1:6:16342274:16342768:1 gene:DCAR_022133 transcript:KZM90502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSFYMHRFAEVAELKTISDLKIYGRINTEMLSQNTKYGAYIIIKIPSGAYGLDAIPSELSIKVGDDHKVARTAYLRHNIDGKKVQMLHLLYGNRLEMLKKRVVQGEECSVQERKDGWLEIELGEFYSGDHGNEEVKMSLVEVKGRQLKGGLIVEGIEVRPKH >KZM92494 pep chromosome:ASM162521v1:6:33829275:33830306:-1 gene:DCAR_020141 transcript:KZM92494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEVDVYRGMNNYINHSIRRTGHARFRRGPADQSTTSTSSYSHDDQAQQKSFQFKLNAEECKSAKSSISSEVLLIAGEEATVSNGKLGALIGGGAKRNYSSAKPPLPFSQRKISPNFSGSSAVVPAMKSSYSSAKPPLPSTHRKRRREIEQIFGDRLSSHGCHCCKRRKKIEITRRIRILKGSSSLSIPSDEYSWKKYDHKSITGSSLRSGGYYKCNSVTGCSARKHVIKDKNDSMVLIVTYKGEHNHRSPGI >KZM90017 pep chromosome:ASM162521v1:6:9623831:9628182:-1 gene:DCAR_022618 transcript:KZM90017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFGEKFVVLISPLCFTMFESHFSPDNRIEQILQPKEDKVVSASSLNTTIAGPPSIITGPPEILASSGALATSYLPNAYAPLEQSFHYGGYENNAGNWGEYPNYVNSNNLQIIPPAMFNDNSSLFYPSGYSFDTQMAYGQFPPLASPMSSFLADGQLXISASIEYNNNTCLVAGLPEVTSIVELSFWAIMDKSGEADDCNLTPEAEDLASKLSEEELKNLPLPGQVEFINGGPSCQGYSGMHRFNQSFWSKNVRNFVSFNKGQTFRLAIVSLLEMGYQVRFGILEAGAFRSVHLYGSPEETLLGWPDSMHAFSAPELKVALSGNKHYAAVRSTQAGAPFRAITL >KZM91132 pep chromosome:ASM162521v1:6:22466378:22467409:1 gene:DCAR_021503 transcript:KZM91132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGIRGRRRIASRQFRATPYPLSKRDVSDSLTEKKCTHGTEHMKDWEDATCSVCMEYPHNAVLLLCSSHDKGCRAYMCGTSCRYSNCLDQYKKAYTKVNSRDLNQPLHGATEDTTSFVSQPVSSGPVANQGEITELVCPLCRGQVKGWTIVEAARKYLNSKKRTCMEEKCLYVGTYKELKKHVKSEHPSARPREVDPAHEQKWRRFEREREREDVISTVTSLVPGSVVFGDYVIERTPHGFDTSDDEEEEGFPGLGRNMEDFDVNLVNVFLLFQTLGRTGGNVGSFDGLRRQGEEVQEDGDTDDLGHDHGTSLMNRLRRQGRTVLSRSGRRRRRREASVEHL >KZM90315 pep chromosome:ASM162521v1:6:14090467:14091657:-1 gene:DCAR_022320 transcript:KZM90315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSHTFCAYSIMFTVTSRDEAEFIQKVVKEVRNKLRLKSMDVPPYLVGMSSHMRDIDLWIRDAATNSSILVIVGMGGIGKTTIAKCVFNKYHEDFDGSSFVSDIKERSKQTKGLIKIQKQLLSDICNRTEELYIVDQGMHQIRDAISCKQVLLVLDDVDHISQLNAIFGVHGSLFEGSKIVITTWNENLIKSFMIHKLYKVKALRNDESVELFSFHAFQQGHPPDVYMEQTKKIVKLCEGLPLALRILGSSLIDKELELWVCLAKKLETIPEGEIVEKLKITYDSLPEDIKDIFLHIACFFPGEDRDKTVEILDACEMHALVGIDYLRDRCLLEIASNNRITMHLLVRAMGRDIVRQESPNEPGERTRLWNSKDCFDVLYDKTVRKLSSSVCLVL >KZM91541 pep chromosome:ASM162521v1:6:25902263:25902562:1 gene:DCAR_021094 transcript:KZM91541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDTHSCTVSFASFDIFAFAGNAFFMILLTLAIGRNLSCSRADNSPPLWPPDSLSESAIIWREESYYMYCVICVCVLRGGDGDGLCVSLGFGRGGFYS >KZM91269 pep chromosome:ASM162521v1:6:23702318:23704963:1 gene:DCAR_021366 transcript:KZM91269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTCFGVAIFLFLFLDSDFSFNSSPVYASAAASEGVEVTYGSVIKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWIVRPIPDTNEKQGNTIKSGTIIRLQHMRTRRWLHSHLHASPITGNMEVSCFGDDGNSDTGDYWKLEIEGSGKTWRQDQKIRLFHVDTQGYLHSHDKKFSRTAGGQQEVCGVRLKRPDNIWLASEGVYLPVTESK >KZM92536 pep chromosome:ASM162521v1:6:34180054:34181724:1 gene:DCAR_020099 transcript:KZM92536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEGRRSAQAPFYDVNQTLEEELAAQGAEIERLLAENQRLSANKGHLEHRVVVSQQDIQRLRADINTTKTEADAQIRAMEEKTAKLEAEKSAAESIKEELQQAHTEAQGLLETNRKLSGQIQQALQETEIAKADIKRLPELQSNLECMKNEYQKLRKTFNQEKGRNVAKIEKIRVMEMDLARETEARDYMRTQVENAARRARGGTTVPSGVGSNTATGNSGGAAFPDSAAGAD >KZM91316 pep chromosome:ASM162521v1:6:24075809:24077157:-1 gene:DCAR_021319 transcript:KZM91316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALKHLHRLDAEKPDSHCCMVRFFYKVASIPTPVTEAEKLIWSVLEAEQPTFSQLHGKSLLDANALFLEKHKDSLMHRVAAAEMLHLLEPKRKAEAIKIIEESPNSPVLKSVSGVVREWKLKDCIAVHKILGTISDDHAVASRWKKRCSEYFPYSTYFEGSQSSVVTKNRVTDNNVNGGMNHLKADQNAAPLSTNGKLENIEALKNLAI >KZM92683 pep chromosome:ASM162521v1:6:35380356:35383758:1 gene:DCAR_019952 transcript:KZM92683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGGGSGARLPAAGGTGKAKGKNVSQVSDSWADPVADWVSDISLDSEQDGGWKEVARKPRKNSVGNVGSVYPGTKVSGNPWTGSKGTSNAWTGPKQPANAWVGKGSVNAFAAPAGNNKWPSAGRGVWNQSSSIVYENIYDEPAVIPPPLENGWQWRNNTSQVANFGNVSASNPADATYDEEEERHDDNDGDEDDFNTEDIYDSEEYDSDESQKSHETLKKTSSLKGFFKTLDEMNIEETSEPGREWHCPACAGGPGAIDWYRGLQALTTHAKTKGKRRAKAHRLLAQLLDEELRLRGASVIPAGEAFGKWKGLNENVVRDRKIVWPPMVMIMNTQLDQDDNGKWLGMGNAELLEYFNAYEAVKSRHSYGPQGHRGISVLIFEGSAVGFLEAERLSKHFEEEGTNREAWARRQKLFLPGGQRLLYGYLAEKGDIDHFNQHAHGKTKLKFELRSYEEMVVNPMKQMNEDNHLLNYYKKRALEEIKQAKVYHDSFAAVSDKLRKTQEENRIVRQMTKVHHEENKEKMDYQEEFYKEQIKLIHEARIEEEEKFEKIQQEKRHQMKIYMEKPSSAEDRQFRINKGATLILSQQKEMDNFAEERDKLLNNLETRKAELKRQRFEEDVRLEKKFDADLSQLMERYTRGNAPDMS >KZM89905 pep chromosome:ASM162521v1:6:7287988:7288699:1 gene:DCAR_022732 transcript:KZM89905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFDLLWHLNKSLPEAELEGRGDPVRAINPVLITGKRLACDKVIMKPWPMYLAARTDSEDEPADPAGRWEREVWDMFGVSSINHPDLRRISTRRGQDSNLQFSDHEYLRRRLRHPAPHKCISLSVKSLSESEITSFIVQGIA >KZM92024 pep chromosome:ASM162521v1:6:29878120:29883659:-1 gene:DCAR_020611 transcript:KZM92024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLVTAGSRHRPHVKGPKLVVVLVCMVSIFLLTVYTYPPSASTSCNLLSSGGCAVEEIPAIPVKELTDEETAAHVVIREILHTPTIQSKNPKIAFMFLTPGPLPFERLWDMFFQGHEDRFTVYIHASREQPVHVSRYFAGRNIHSEKVVWGKISMVDAERRLLANALKDPDNQQFVLLSDSCVPIHNFDYVYNFLIFTNVSFLDRNFFPTTSLHKPVHSSFEDPGPHGIGRYSEHMLPEVEMQYFRKASQWFTLKRQHAILVTADYLYYTKFRLYCRPGMEGNRNCYSDEHYLPTLFKMFDPNGITSWSVTFVDWSEMKWHPRSFRAQDVTFELLKTMTV >KZM91500 pep chromosome:ASM162521v1:6:25650870:25652120:1 gene:DCAR_021135 transcript:KZM91500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNNRSKPATETPIAAAAAGNEPRYRGVRRRPWGRFAAEIHDPWKKIRVWLGILSGAVWANEAWGSYWNWYPKIITYKLVNSGLQ >KZM90732 pep chromosome:ASM162521v1:6:18692035:18692396:1 gene:DCAR_021903 transcript:KZM90732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIIPSIGQTLDESPVDADAVERGFSLNADPTGLPRIHGLPSSSIYTTSGGSTESCTASPKNPRSRESSHSGEPKLFASSVQLLA >KZM90337 pep chromosome:ASM162521v1:6:14428313:14428513:-1 gene:DCAR_022298 transcript:KZM90337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIFQAICSLKRRNGSSSTAIAKFIVRHYGGLPNNFRKILLLRLKELVACEKLVRVKNSFKLPSR >KZM89637 pep chromosome:ASM162521v1:6:2761775:2767338:1 gene:DCAR_023000 transcript:KZM89637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSVDSCVKIHKRVEIVCLGMLAPRKFMQKRKKIEVFKDANDEADQKNWRKLMTEIEESDSAVSVLRSKRIRNEALPKHLILGTLVRFKQLKKWKLVGEILEWLRSQHWWDFNEMDSLMLITSYGKQGDFNKAERILGYMSKRGYPSGVISHTALMEAYGKGGQYKKAEAIFRRMQSSGPEPSAVTYQIILKTFVEGDKFKEAEEIFETLLNKDESPCKPDQKMFHMMIYMHKKAGHYDKARKLFALMADRGIQHTTVTYNSLMSYETNYKEVANIYDQMQRAGHRPDVVSYALLISAYGKARREEEALAVFEEMLDAGVRPTQKAYNILLDAFAISGMVDQARIIFKSMRRDRCTPDLCSYTTMLSAYVNASDMDGAEKFFKRIKHDGFDPSVVTYGNLIKGYAKINDLEKMMMKYEEMCVHGIKANQTVFTTIMDAYGKNKDFGSAVIWFKEMESSGVPPDQKAKNILLSLASTPEEQREAYQLISAGITEDGLIVSGSVNEENHEASILNL >KZM90210 pep chromosome:ASM162521v1:6:12640756:12641823:-1 gene:DCAR_022425 transcript:KZM90210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLPLLLTTALIGGGFYWFICVLGSAERKGKAAVNLSGGSIDDDKVQVNYNNYWSFFKTPKQIEKTEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPALPGKSHLEATQIHEEMAVDLLNVKPGDKILDAGCGVGGPMRAIAAHSGANVVGITINEYQVNRATLHNKKAGLDKLCEVVCGNFLEMPFGDNTFDGAYSIEATCHAPKLEDVYAEIFRVLKPGGMYVSYEWVTTEFYDGENAEHVEIIQGIERGDALPGLRHYTDIAEVAKKVGFEVVKEKDLASPPAQPWWTRLKMGRIAYWRNHILVMILSFLGIAPKGTVDVHEMLFVTADYLTKGGETKIFTPMHMILCRKPE >KZM92439 pep chromosome:ASM162521v1:6:33389782:33392284:-1 gene:DCAR_020196 transcript:KZM92439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPVSLMVAMLVVSGGAAAYTQDYKDALMKSIMFYEGQRSGKLPPYQRMTWRDDSALQDGFDNHVNLVGGYYDAGDNIKFHFPMAFTTTMLAWSVIEFGDFMSPQSGHAIQAIKWSTDYLLQATSIKDVVYVQVGDPYADHNCWERPEDMDTPRTSVAVTKDYPGSEVSAEIAAALAASSIVFRRIYPAYSNLLLTRAEEVFKFADSYRGSYNNSKVGRYVCPFYCDFSGYEDDLLWGAAWLYRATLNKYYFDYVSTNVYKMSRPWNVGEFGWDTKDAGISVLLSEYLFFNKVDWKPFIPYADEFVCSVIPGSPNPSVFYTRGGLIYKPNMHSLQIPSALSFLFVVYANNLKWAKRVVQCEHGVVTPSRLIDLAKSQVDYILGNNPMETSYMVNYGRNFPRRIHHRGSSMPSIKQHRDHIGCKGGTPYYESRGYNPNLLTGAVVGGPDEWDQFADDRNNPGQSEPATYINAPLVGLLAYFSEN >KZM89928 pep chromosome:ASM162521v1:6:7553901:7564619:-1 gene:DCAR_022709 transcript:KZM89928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTETTEEERERRYTQWKSLVPVLYDWLANHSLLWPCQSCRWGPVIEQATYKTRQRLYLSERTDGTVPNTLVIANCEIVKPRVAAAEHISQFNEESRSPFIKKFKTILHPGEVNRIRELPHNSNIVATHTDSPDVLVWDIESQPNRQANLASPISRPDLILTGHKDNAEYALAMCPTEPFVLSGGKDRSVILWSIHDHISTLAGDPKSAKSSKTGSIKTSKGDRSDDMPSSSPYVQARGTFQGHEDTVEDVQFCPSSAQEFCSVGDDSCLILWDTRSGSTPVIKVERAHNADIHCVDWNPVDVDLILTGSADKTVRMFDRRNLTSGPINIFAGHSEAVNCVQWSPDRSSVFGSAAEDGILNIWDYKQVDKQDKSTGLFFQHAGHRLLTSTLILLTLGRLSVSLMILTRGAERCRYGGCLISYTSRKRTLLLIWRNLKVNCYHDPLDISKFN >KZM90594 pep chromosome:ASM162521v1:6:17166996:17176850:1 gene:DCAR_022041 transcript:KZM90594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSKSSSSSSPSVQNSMRSYGSNRNNSGFDCGYSHLKCKCDILAPLQEAWREGTMDPGRRFFGCSNYKDPTKKCNFFLWADPPYSDRARDIIHQLRFKMRVKDAELQKMKEELSFVERKMLVLNEEYGVVRKKLDEAATMKIQKAHLRTKKGWWGAQRWGAHRWGAHSTLAMSSFEQILSSPLAINSKTSDIAYDLFPYVTIHKNGTTKRHSGKPVMLAPENLNGVRTKDVVVSSHPKVIARIFLPESLTPGEKIPVLLYFHRGGFCIESALSACYTPYTSSIASACKVIVVSVDYRLAPEHQIPACYDDSWEALKWVVSHASGSGPDPGLNQHANADLLARLGCGKVLVCTAENDIMRTRGWSYYEALKKSEWKGDVEIVETMGMGHVFHLFNPNCEQARSLIKCYLLQPSSMSISSKSSSSSSPSVQNSMRSYGSNRNNSGFDCGYSHLKCKCDILAPLQEAWREGTMDPGRRFFGCSNYKDPTKKCNFFLWADPPYSDRARDIIHQLRFKMRVKDAELQKMKEELSFVERKMLVLNEEYGVVRKKLDEAATMKIQKAHLRTKKGWWGAQRWGAHRWGAHSTLAMSSFEQILSSPLAINSKTSDIAYDLFPYVTIHKNGTTKRHSGKPVMLAPENLNGVRTKDVVVSSHPKVIARIFLPESLTPGEKIPVLLYFHRGGFCIESALSACYTPYTSSIASACKVIVVSVDYRLAPEHQIPACYDDSWEALKWVVSHASGSGPDPGLNQHANADLLARLGCGKVLVCTAENDIMRTRGWSYYEALKKSEWKGDVEIVETMGMGHVFHLFNPNCEQARSLIKWLASFIKDDKINSVL >KZM92259 pep chromosome:ASM162521v1:6:31889618:31889995:1 gene:DCAR_020376 transcript:KZM92259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSHESQPNHTNTSTTNTTTQENYEFDWSTSLIPRPLSHTTAKIKKQLPVTEYFPSGDKCKECAVCLNIMEAKQLTRILKNCSHVFHKDCIDAWIDNNNLTCPLCRADLLCQNEGLEFMEAKWT >KZM89557 pep chromosome:ASM162521v1:6:2036490:2046951:1 gene:DCAR_023080 transcript:KZM89557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTHCVKLIESFVDSSSTPAQQAASIDAIAALVKNDMLTLESLVREMAMYLTTTDSFLRARDGSDSYQDKNSHMWGTKLKYSEAEHPHKPYALEPKGEDIDVKKEELSRTLMVAFASTPLFEPFAIPLLLEKLSSSLPLAKVESLKYLSYCSDKYGAARMAKHVKVIWSALKDIIYTSPESVLLLESEIVDGMSFQESHTVTEALILLQKVFCQNSDLLIDLIVIDEDIKKTVDSIYQFKEYTGIPLADKQRLHAVGSILYFSAKSSIGSCNRVFEAFFPCLINALGISAENSVALLSSPCNFGATYLCVELLAGCRALVVRTKGNATSNVIVQEAWCDILCTYSTSLTKLFSFTLITGVDGSTQNACLHSGVKGLQILATFPGDFSPISKSVYESILLKLISVVTGNCKDAFLWKLALKALVEVGSFVDKSEDTEKAQSFNAIVVDKIASFMLCDDLSMPLPLKLEIISSIGTTGLSYMQKFLQGLECSLLASLSGVYVRGDPENLEIATSLLECYSCDVLPWFDSIGGQEEVQFHFALTIWGQIGNILSFCASSQEQATMTAMQYAVGRCLAENQTVIIDRAFGILLSTTSLPINDLMDEITSVKVEGYKSNDDLGCMSCREKWIISLFASVVAALRPQAHIANVKVILRLFLTTQLSGHVPSAQALGSIINKLPVKVENMDASNVFSLEDAIDLIFSSRVWSLCNEGPTNCSVARNNNETSIRNNLLIQSHAIEGLAWIGKGLLMRGHEKVKDLIVTLLSCLLSNHSEGSLPCTEQEFLCVMRSAADAFHILVSDSEACLNKRLHATIRPLYKQRLFSIVLPIILSLISKADSSIQRAMLYRAFANVVSGTPLSAVLSEAKKLIPILVDSLFVLSEDILNKDIVYCILLVLSGIMTDKKRSEVVEENAHIIVRRLIALMSYPHMMVIRETAIQCLTAMSELPHARIYPMRTQVLLSLLKTLDDPKRAVRLEAVKCRQAWASIA >KZM92287 pep chromosome:ASM162521v1:6:32125878:32130095:1 gene:DCAR_020348 transcript:KZM92287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFYYLVFGLLGVIVAATELSKSNKDRITTSQPFSAFKNNYLVVYSLMMAGDWLQGPYVYYLYTTYGYGKGDIGQLFIAGFGSSMLFGTIVGSLADKTGRKRACVTYCITYILSCFTKHSPHYKVLMLGRILGGIATSLLFSSFESWLVAEHNKRNFDQQWLSLTFSKAVFLGNGLVAIISGLFGNMLVDSLNLGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLLTQFKGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMMASMLGSSFASRLMARSSIKVEGYMQIVFVVSAASLLLPILTSLLVSPSNVKGGGIKFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITVMFGMCSIFLFVAALLQRRLSVIADKTKTEEWSQLRERDAEAEPLNDA >KZM92377 pep chromosome:ASM162521v1:6:32972994:32973167:1 gene:DCAR_020258 transcript:KZM92377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKGEKNKKIASGCDVEALKKCLQENKGDYVKCQSHIEAFKSSCSVKQPAAKATS >KZM92689 pep chromosome:ASM162521v1:6:35434182:35435012:1 gene:DCAR_019946 transcript:KZM92689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKLPHRSLYKLSQKYGPIMLIRLGSVPTIIVSSPSAAELFLRTHDTVFASRPNSQAYGSKGMAFAKYGSYWRSVRKFCTMELLSVAKIDSMARLRREELGLLVQSLKVAARTREVVDFSEKVARLIEDMTCRMLFGKSRDERFDLSKIIHELAEIAGAFNVADYVPFLGAFDLQRP >KZM90767 pep chromosome:ASM162521v1:6:19013618:19013842:-1 gene:DCAR_021868 transcript:KZM90767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLIIKHPLSSPRFHYTVIPTSDPLKIRLKQILITENSEKKHHHHHHQQRSGVRKSKHGHKHKHSGRLPPHQR >KZM89544 pep chromosome:ASM162521v1:6:1830576:1834804:1 gene:DCAR_023093 transcript:KZM89544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLPVAQLTSNRRPVLNQGEVESCLLSSVDIVCEENPNLPPFKSGLLTLTTHRILFTPDTSSNAVAIPLEAITHIFSSKKSIKAMFASPRVRFQVTTTGEGSVDKNGSKSAVVTLVCRGKSGPDPDVFVGKLWEAWRGRAWEASSEGSGSSLAVTVDQGGSGAGVFKMPVVGVSGILRKEQEMWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLSGSTNQSSSSTDEELGTTKEEMQDWLLSVGIASPVTKEAAGALYHQQLSRQLADFVKIPIERAGGMINLIDVYCLFNRARGTELISPDDLLQACTLWEKFDVPVMLRKFDSGVMVIQNKSHSDEEVLARIKSLVLKPEALRTGVSASDAAMTLGIAPAMAKEHLLSAESKGIYLETVCYAGMSALMASAFISVYLKNSMKWSPGLRNIELLAALQQHSLLAYNQLKQFSTKG >KZM89652 pep chromosome:ASM162521v1:6:2900445:2904100:1 gene:DCAR_022985 transcript:KZM89652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCSAPLNGFFGERLRGRNDYMVKSFKLGKRVRKIKPGVSFSVLTSNNGIDTNTMSIRVPSLERNTAKPKNVAAIILGGGAGTQLFPLTRRSATPAVPVGGCYRLIDIPMSNCINSCINKIFVLTQFNSASLNRHLARTYFGNGVNFGDGFVEVLAATQTPGEAGMNWFQGTADAVRQFTWVFEDVKNKDIENVLILSGDHLYRMDYMDFVQNHVDRNADITVSCVPVGDSRATDYGLMKIDNLGQVVQFAEKPRGADLEAMKVDTTLLGLSPEDAAKSPYIASMGVYVFKKDILLKLLRWRYPTSNDFGSEIIPSAVTEHNVQAYLFRDYWDDIGTIKSFYDANLALTDEGDTIQVPKFEFYDPKKPIFTSPRFLPPTKFERSRIKDALISHGCFLQECNVIHSVVGERSRLDSGVELKDTLMLGADYYQTESEIASLSAEGKVPIGVGRNSKIINCIIDKNAKIGSNVIIANKEGVQEADRPEEGFYIRSGITIILENATIKDGWKLRSSVKMRLQLKFLNKS >KZM92710 pep chromosome:ASM162521v1:6:35642729:35643346:1 gene:DCAR_019925 transcript:KZM92710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLTTTDSATIMDPKPLHPLHQIAENPTHKLLLKQWLKEEELILTRVSNKETQIDSIRKEITQLYCLFFLFHSTSLILLFTTSSSFSGSTKGCQRSWIPSVCSLVCSLGIIWAVRYKTDVEAHLERMLEREKEDGKLLGKCVEELRKKGAEFDLLKEVDALRKAKSLRVESRTVKKWEARDFVTLFFVGVACLVLGLTRVILCN >KZM90380 pep chromosome:ASM162521v1:6:14797126:14801906:1 gene:DCAR_022255 transcript:KZM90380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRENIEQALIKKPIAKNGTEFYGADDIMGSSVTPLLLFSTFVAISASFTFGCATGYSSAAQTGIVADLGLSTAQYSIFGSMLTFGSMFGAIASGKLADLVGRKPGAWYLHLGRLSLGFGSGIQSYLTPVYVAEITPKNIRGAFSAAHQAKIGKEKQFIDTLQCLRGVNADVTKEAAEIQESIETFSRLSRSRFMEMFEKKYALALTVVVGTLVIVTLGGSMGIVFYASSIFKVAGSPISFGTTAIAIIQVPVSALGVLILDRSGRRPVLMASLIGAGFGSFLVGSAFVLQDLNQLKELTPALVLIGVMINLSSFAMAAAIPWVIMSEVTYVYLIKGGGV >KZM90063 pep chromosome:ASM162521v1:6:10205386:10206996:-1 gene:DCAR_022572 transcript:KZM90063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDIKEEFNVEEASRRAYEALMDRLRSLLAGDRTVRDRPVLPSVAEIPSDHPRFEVVMGTNTNQVTFLFRRQDLYLEAYQRGGSETWYEFREKNQKEHAVKGSEFLPFSGSYSGTHGLEENAANRDRSGRVMQGSFDRSRIPLGRYALRDAVNTLATSDAQNERARSLIVIIEMISESLRFEQIEIYILTNWYTGAIPTTELVIVVALIGLLAHNVKGIPKQCSTRSANDDIDGYPGRALLEVFEVIVNDMDEESKGDMYGKITVTDGLLSQYIYDRDGDHTELVNPKQKATLTGPTQSCFSALDNIIIAADLMDKDKYSGSDQVSKGEISWSVYDIPTNVYDKPLVENIHGIYGSVNVVYGVFSNAVQAFVEVIILRGEHKNPADVYGSIFAGNNHPDFKDQEIVLFHRERDDVVQVREGAVIPLSRSIVAVPLDSKLVIWGDMFDRNTTISDPDVKILHGTDSFSAQLSGDVYVFGTVDNALMRTKVTWIS >KZM91714 pep chromosome:ASM162521v1:6:27304345:27305970:-1 gene:DCAR_020921 transcript:KZM91714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVINNFVSLKSHIDGSPQESDFEILTETLPLSVVAGSKDVLVKNMYVSIDPYQLNRMKSLSSSQTSGSSYAVGVTLGQAIDAYGVGKVVASGHPDFEKDDLVIGLISWGEYSIVKEGSILNKLNSLGFPYTYHVGILGFSGLTAYAGFFDVCKPKKGEKVFVSAASGSVGNLVGQYAKLLGCYVVGCAGTQKKVDLLKSKLGFDEAFNYKEEGDLKAALKKYFPDGIDIYFDNVGAEMLEAAVANMNSFGRVAVCGVISEYTDKAKRAAPDMIDVVYKRITIRGFLAGDYMSLFPEFMTATTDHLQTGKMHALEDISQGLESVPSAFAGIFRGDNVGKKIVQIAEE >KZM90018 pep chromosome:ASM162521v1:6:9630893:9636400:1 gene:DCAR_022617 transcript:KZM90018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAATAAISGPGPFFTSLPSFRLFALAGESGGLTEDGDDAEFQGRNGNGTGLVSDNIVSLPQGEPDHNYYKNDIDSRSDIVTTAVAYGSGTGGSSRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDLMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVFPLPEDQQEWAHKQYIAKHHQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVKEYETLRPDKIAVDGGEQNLKELNAIFSKPLKELLSLENEVDDVALISIDSKGTDIRVRQGAQFNIQRIPFEDGHAVETLEEAKAALWQLINRGRVHNLQK >KZM90597 pep chromosome:ASM162521v1:6:17213287:17214237:1 gene:DCAR_022038 transcript:KZM90597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPPDQLDLKTTDIAHEFPPFLTVYKNGTIKRNVGSPFMPAPENLNGVRTKDVVVSSHPKVTARIFLPESLTPGEKLPVLVYIHGGGFCVESALSYFYTPYAASIASTCNAIVVSVDYRLAPEHRIPACYDDSWEALKWVVSHASRSGPDPWVNEHADFGRVFLAGDSAGANISHTLAAWAGVKGLESDVKISGVIMVHPFFGDGKPDGLWDFCCSDGTGLDDPRLNPAADPALLEKLACGKVLICTAENDILRPRGWSYFEALKESEWKGEVEIVETKGMGHVFHLFNPNCEEAVSLMKLVASFMKDDKVLSLL >KZM92639 pep chromosome:ASM162521v1:6:35062326:35071858:-1 gene:DCAR_019996 transcript:KZM92639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSDEEAELRRKQEEALEVKSLRQIISTYLNYRKAADEGVKRYERSFRRLEPSHKALLSHLPLKYRSLRRCIAKNSYFIYEMLKAFQPPIDLSKDLDIGEQDSQNTSETENSSWGSASGCDEAISGDEGSGVSRSPKVSSSPDWLDPSFQLHVPLADVDKVQFVIRNIVRDWAAEGQQERDQCYKPILEELKLQFPNRSKRSPPACLVPGAGLGRLALEISRLGFASQGNEFSYYMMICSSFILNQTERANEWTIYPWIHSNCNSLSDSDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYSDPSQLGAWDAVVTCFFLDTASNVVEYIEVISKILKEGAVWINLGPLLYHFADAGDTVMSIELSLEDVKKAALHYGFQLEKEQTIQTTYATNPRSMMHNQYHSVFWTMRKGAGAKLLP >KZM90599 pep chromosome:ASM162521v1:6:17235108:17236067:1 gene:DCAR_022036 transcript:KZM90599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVHTVNSLPETNTETTDIAHDFPPFMTVYKNGTIKRNVGSPFMPTPENLNGVRTKDVVVSSHPKVTARIFLPESLTPGEKLPVILYIHGGGFCVESALSYFYTPYAASFSSNCNAVVVSVDYRLAPEHKIPACYDDSWEALKWVVSHASGSGPDPWVNKHADFGRVFLAGDSAGATISHTVATWAGVKGLDSGVKISGIILVHPFFGDGKPNKLWDFCCSDETGLDDPKLNPAANPGLLARLGCGKGLVCTAENDGLRTRGWSYYEALKRSEWKGEVEIVETKGMGHVFHLFDPHCEKAVSMMKLVACFVKDDKVH >KZM91341 pep chromosome:ASM162521v1:6:24311918:24314089:-1 gene:DCAR_021294 transcript:KZM91341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAEAYATTLNDLPDVILSNIIATVSDTRSRNSTSLVCRKWLHLERSTRTRLTLRGNARDLFMVPSCFRSVTHLDISLLSPWGHSLLSISSYSDDPVLIAHLLRQAFPLISSLTVYARTPSTLELLLPHWPRIREIKLVRWHQRPQLPSGSDFVPVFENLVGLERLDLSSFYCWTEDLVPALEAYPGIGLGLKCLNLMNPSFSEGFKGQEVEDITKACPNLRELCVACMFDPRYIGFVGDQSLLAVAANCPNLERLCLSDTTALLNVRADPNEDGVGNEDARIGVGTLIEVFSGLPLLEEFTLDVCNNVRESGPAFEMLHKKCPRLRKLKLGQFQGICMPVESKLDGIALCEGLQELSIRNVADLTDFGLIAIARGCSRLVKFHVQGCKKITVKGMGTLASLLQRTLIDVKISSCKNLGAMSSLKALKPIQDRILRLHIDCVWDSVEQLEDLDRTEFNFDLNRLDEGSFSGHSAEFNNYYSDPASEEPHGKRKKCKYLYDQQYCSYDQIGGVCNGNGYYGKTWDRLQNLSLWISVGELLTPLVEAGLENCPNLEEIHIKVEGDCRDWSKPSSREFGLHTLVQYPKLTKMHLDCGDMLGFAHTAPSGHMDLSLWERFYLYGIGGLSSLTELDYWPPQDRDVNQRSLSLPAAGLLQQCLRLRKLFIHGTAHEHFMNFLLRIPDLRDVQLREDYYPAPENDMSTEMRADSCSRFEDALNGRQISD >KZM89825 pep chromosome:ASM162521v1:6:5509253:5509591:1 gene:DCAR_022812 transcript:KZM89825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGEDEREEYQVEEEFSVWKKNTPFLYDLILSHSLEWPSLTVHWLPSTPSTYADDTYAIHKMILGTHTSEDFPNFLLVADAHLPLHPASVPNTNIEDPILPKVHPFPLLI >KZM89466 pep chromosome:ASM162521v1:6:973488:974922:-1 gene:DCAR_023171 transcript:KZM89466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFSKAGSLLRQNLCNKHVSIEGSASNLSIFQLIRHASNKVFVGGLPYSTDDVFLRETFQKYGEVVDARVITDRDTGNSKGFGFVTYNTVEDANSAIQALDATDLQGRTISVREANERPRSTGFGGGGGYGGGGYGGGGGYGGVGSTGSNDYGASAGFGGNTNFGNQFGNSEASNIPAAGQAFSQSDPLEGNFKDDIDNTGAFASRS >KZM90875 pep chromosome:ASM162521v1:6:20044779:20045522:1 gene:DCAR_021760 transcript:KZM90875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLTPSLQNLIFFFTLYFAIYLTAHFIVFRKWSSKLRPEAASCLISYAHGTPAVFLAIAAIISDPNRGFASVNTYFQTLVLDYSVAYFLMDLTHYLIFYPSDVLFIAHHLATLFVFMTCRFLVFHGAYAILMLLIIAEVTSFCQNTWTLACARKGDSKFAYKVFGLLSPPFYVLYSVVRGFVGPWFLYEMGKFYASGAASNAIPTWVWGSWILVVLMAIVVSILWIMNLWVELYRERNGKLEKKVR >KZM89774 pep chromosome:ASM162521v1:6:4638203:4641526:-1 gene:DCAR_022863 transcript:KZM89774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIVLCSSSICCSNFKNLPNGKLYSSKFSKGRSFERIKVLPFGSMVNWKKHRKKIVGKCGVVMESSNFSEWVYGISKKKISSEEVIMVLKSVQDLDEAFSLFMSVAELPKVVHTTETCNYMLQLLRVHKRINDMVVVFDLMQKQIIYRSLNTYMIIFNVLDIKGGIRQSPYALNRMRDVGFVLNGYSYNGLIHLILQSGFCKEALEVYRRVLSEGIKPSLKTYSALMVASGKRRDIETVMNLLAEMENLGLRPNVYTFTICIRVLGRAGKIDEAYRILKRMDREGCGPDVVTYTVLIDALCNAGKLDTAKEVFIKMKASRHKPDQVTYITLLDKFSDCGDLDAVNDFWVEMEADGYTADVVTFTVLISALCKVGKIDEAFLTLDVMKKKGVLPNLQTYNTVISGLLRVDRLDEALELFNNMGSLGIEPTAYTYILFIDYYGKVAEPDKALETFEKIKVRGIAPNIVACNASLYSLAEMGRINEAKTIFHGLRRSGLAPDKITFNMMMKCYSKAGKVDEAIQLLSEMTETGCEPDVMIINSLIDTLYKSDRVDEAWSLFYKLKEMKLAPTVVTYNTLLAGLRKEGRVQESVQLFESMAVHGNPPNTVTYNTLLDCLCKNGEVNLALKMLFEMTNVNCIPDVFTYNTVIYGLAKENRVNDAFWFFHQMRKRLYPDYVTLFTLLPSVVKEGRVNDALKITEIFVSRSINKCDNHFWNALMEGITSKAALDHSILFAEGLASYGISRSNSLVIPILKSLCRQKKGLDAHKLFIRFNVHFGIKASLEAYNLIIEGLLDIHLREMAWGLFKDMKNAGCAPDNSIYNLLLADLGKYGKVDELFALYEEMVNKGCTPTTITQNILISSLVKSNNVERAIDLYYDLLSGGFSPTPCTYGPIIDGLLKMGKLDKAMVFFGEMTVYGCKPNSAIYNILLNGFGKTGDLETALELFDQMVKEGIRPDLKSYTILVDCLCMKGKVGDAMHYFEKLKSSGLDPDLVSYNLMIDGLGRSRRIEEAMSLLEEMKNRGIVPNLYTYNSLILNLGIVGMIEEAGKMYEELLHKGIEPNVFTYNALIRGYSLSGNTDRAYEVYEKMMVGGCSPNTGTFAQLPNQS >KZM89397 pep chromosome:ASM162521v1:6:435685:437082:1 gene:DCAR_023240 transcript:KZM89397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCRLALVLTIVITMLTLSSPVLASSSGKVLNVIDECWRLNPKWHRNRQELAKCSIGYAGKMTRNVGPDVTEYVVTDPSDDAMNPKPGTLRYAMTNVGGKKWVTFERDMKIKLQRPLLVSSFTTIDGRGASIHIAGGACLLLQRVTDVIIHGLRIHDCLAQGPGPVRGPDAKIVNIGHADGDAIRMLSSSKIWIDHNTLYDCPDGLIDVTRGSTDVTISNNWFRYQNKVMLLGHDDGFLRDRNMKVTVVFNYFGPNCHQRMPRVRFGYAHVVNNLYQGWGLYAIGGSMNPSVKSQANLFIAPKTGNKEVVWNKNSGDTSSNLWSVNDIFENGASFNQQRPNNAVAKPNYNQEQQFEVADAISVRPLTKTSGALRCSKRSTC >KZM89525 pep chromosome:ASM162521v1:6:1650226:1652577:1 gene:DCAR_023112 transcript:KZM89525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFGSSLVDNGNNNFLQNKAKANYLPYGIDFPLGPSGRFTNGKNIIDLLGDHLNLPTFIPVFGDPSTKGNQTVLGVNFASGGSGILEDTGSMAGEVMSLSKQIKKFEEVTLPELENQLKSSSNESLSKYLFVIGAGGNDYTLNYFLNFPKVNLTVRAFTANLTSGLFTQLKKLYSLGARKFVLMSLYPLGCSPGTISASPRPQSMGCNKFLNLAAYMFNTNLRLLINDCKTEMPGSDLVIVNAYNIVRDIIRNPALEGFTDTRQPCCQVLSLKEGGNGISCKTGGSTCEDRSKHVYFDGLHPTEAVNVVLAAKAFGSSSPSEVYPFNISKLAEI >KZM91900 pep chromosome:ASM162521v1:6:28776040:28782641:1 gene:DCAR_020735 transcript:KZM91900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPTKLTPHLNHQSTNMLNLTVLQRMDPFIEEILITAAHVTLYDFNIELNHWNRKDIEGSLFVVKRNTQPRFQFIVMNRRSTENLVENLLGDFEFELQVPYLLYRNASQEVNGIWFYNPKECEDVANLFTRILNAYAKVSPKPKISSNKSEFGELEAAPTAAVIEASLEQSFPAASVYVPEDSSFLNLFNAATSIGQSSTNVANSGQLGPIPVSSRVPIAVPAPAPSLQIPTHPKSVLASSQVLVDMNTDPVNSNNQVTSLLTPSSFFIPSSSSGQSTQLVAISMPTAIHPHPNVQRSHGVPMLQPFPPPSSPVSFTPVTYPPPNHGSLSRDQVRDALMALVQSPTGTRFLVIFFNHNQELDMLHLGKSVYRNVLPGVAKSASIIDYLRTMGEHSPAYGPGNA >KZM92784 pep chromosome:ASM162521v1:6:36282558:36286318:-1 gene:DCAR_019851 transcript:KZM92784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILAPNSHILYRETYSPKDQNQQLWSAGSFLNTSKSLRFGFDQRKDSDCKKIANRDCRANSFFPDLSRPQSVEMEPVTDSDHLDQILDKATDHCQPIVIDWMATWCRKCIYIKPKLEKLAAEFDTKLKFYFVDVNKVPQSLVKRGNVSKMPTIQIWKDGEMKEEVIGGHKAWLVLEEVREMIKKKPISRRASSSSKARNRIAMPTSALNALRSVESIQCPDTIKRIRVHLSRFWSMASSSSTAGNKSKPGFTYQCYPFFCINKYIVPAAALDGLLSISRSSLMAKKSRKSISRIFSMGRKYSGKSISKFLFMARKSRSRRASRSGDDASKPGFTYRCYPFSQKNKYQCKDGNKIIMPASALDGLLSMKKIKYPMTFTITNPFLGKVSHCGVLEFSGDWRGESCVATSLKIQPHSTELIMNLSDPKEVMERILKDFACVTIGETIRVNHETQSYFIDIVQVKPKDAVSVLIERDCELEFEKPLDFKEAEIIKPGDEPGDAADLENDAVFRTFIGVSRRLDGQVSAANCSAAKMKVKTE >KZM92096 pep chromosome:ASM162521v1:6:30516050:30520117:-1 gene:DCAR_020539 transcript:KZM92096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARKRTPSSSPSIPTPEQPVPETTSSPPSSTPIIRPYKLGQVLKVSLIFIIPYLYLIFYHYSDNIEVDLKRSILINALLSFAGFLFTLSMIPVASRYVLRRNMFGYDINKKGTPQGSIKVPESLGIVVGIVFLVIAILFQYFNFTSDSVWLVEYNAALASICFMILLGFVDDVLDIPWRVKLVLPSVAALPLLMAYAGHTTIIIPKPIVSYVGFEILDLGWFYKLYMGLLAVFCTNSINIHAGINGLEVGQTVVIASAILVHNIMQIGASADPEYKQAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHYSETLLIFFLPQVINFLLSLPQLAGYIPCPRHRLPRFDPQTGLLTGTNDGTLVNLSLRLFGRRSEKSLCILLLLLQEVHHLSNFLHMHKMM >KZM89534 pep chromosome:ASM162521v1:6:1732627:1734678:1 gene:DCAR_023103 transcript:KZM89534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEDRPSSRYEDEATPVWKLIIVSAIAAGVQFGWALQLSLLTPYVQLLGIPHTWSAYIWLCGPVSGMLVQPIVGYYSDRCTAAFGRRRPFILGGALFVALAVVLIGFAADIGHAAGAMPGRIADTFFMAIGNILGYAAGSYQKLHTFLPFTKTEACDVYCANLKTCFIIAIILLMTITLVAITLVQEKQLTPEEIEDDGSSGGVPVFGQIFGAFMGLSRPMVMLLIVTCLNWIAWFPFLLFDTDWMGKEVYGGVPNKGKAYDAGVHEGSLGLMLNSIVVGLTSLVVELTLRGVGGVKLMWGFVNFLLAIGFGMMIFVTKVAASQRQYGPDGDALTPSAEVRAGALAIFALLGIPLSVTFSIPFALASIFSAGSKAGQGLSLGVLNIAICIPQVKMSLPNPNSKLTIIVEKCYMHNWVQKHSQNEYVLTCDWNGPLQMQIIISLTSGPIDEAFGNGGNLPMFVIGLFCAALSGILAIVLLPKPKTSAKVTLAPGGH >KZM91174 pep chromosome:ASM162521v1:6:22785888:22787135:1 gene:DCAR_021461 transcript:KZM91174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRIVEKGLDYSRRRRKWGFLVAALGFSGYGAYRVYNLPSVVKRRERLLKVLGAFASVAEAVADSAEAIGIVSKDFNEFVKSDSDQVPNSLRQVSKLTMSEEFTAPVSGIMRAIAVGILRGYQSEQAKNVGDSADNSSLSDQVFDKLFSTAGSGFASVVVGSFARNMVIALSDSYGGKTNDSSNVASSSVPRWVEVLCDDKFRNLIADSIQLFVSTAVAAYLEKTMDINTYDELFTGMTNPKHERQVRETLATICNGAVETFVRTSHQVLTTANPNANSDSDMNLRLSRRRIKQKLDGEEPLPSDFTCNELDDEDQESGWVNKMYSTLAVPSNRRFVLDMTGRVTFETVRSCLDFVLEKLSDSVRSNLNGAYEEVIYKGVEAYRYVSAKSSTIVTICLSLCLHILNGPWILVPT >KZM91486 pep chromosome:ASM162521v1:6:25506270:25510689:-1 gene:DCAR_021149 transcript:KZM91486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVSCFGLLILSSVFVSVHSECSRGCGLALGSYYVWPESNLTIISDFTNIRIEEIVSYNKQLIPNQNSVQSDIRINIPFPCDCINGEFLGHVFNTGGLGLSLRISSGGIAGISVAAIAGLLLFAGCFYVVYYRKRRVAKIELSPAAEDQSIQVQQKSPQAISLAAVGFLGTLGYAQYGDVSPKVDVYAFGVVLYELISAKDAIVKANGSMTESKGLVALFEEVLNQPTPKDDLTKLVDPRLGDSYLVDSVRKMAQLAKACTQENPQLRPSMRSIVVALMTLSSSTEDWDIGSFYENHALVNLMSGR >KZM91005 pep chromosome:ASM162521v1:6:21301173:21303903:-1 gene:DCAR_021630 transcript:KZM91005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFIRRKVLGGRKPQEKLYDDDEEKKAYEGSDVLDPIRCGTSFNTAKETIFFWRDFLKVLVHPDFHFPDKPKGFRYSVHHLALFLAWELLRGISVSLGLEASYIHDVLNLESSLQVFVANLYPPCPQPELALGMPPHSDHGLLNILIENGVGGLQLLHNGKWVNVRAPPNSFLVNTCDHLEILSNGRYKSVVHRAVVNNATTRLSLAIANGPSLDTIVRPAPGLTDGANNPPAYTPMKYKEYLQLQQGNKLDQKSVLDRIRLQKE >KZM90025 pep chromosome:ASM162521v1:6:9695714:9699201:-1 gene:DCAR_022610 transcript:KZM90025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSALLILVLFSFTDETVTTSVTDDDIISRFQQYLKINTAHPNPKYYEAADFILSEAKALSLESQSIEFVKGKPLVILKWPGKDTTLQSILLNSHTDVVPVEDHKWVLPPFEARVENGNVYARGSQDMKCVGLQYLEAIRKLKDSGFRPLRDVYLSFVPDEEIGGHDGAEKFANSEVFERMNVGIVLDEGLPSTNEKYRVFYAERSPWWLVIKSTGAPGHGAKLYDNTAMENLFKSIESIRRFRGAQFDLVKAGLTAEGEVVSVNMVALKAGTPSPTGFVMNLQPSEAEAAFDIRVPPTADQASLERRIAEEWAPTSRNMTFELGQFKQKVSAYDKFGQPILTATDQSNHWWSLLEDAIAKANGKLEKPEIFPASTDARYFRDRGLPAIGFSPMANTPILLHDHNEFLNKDEYLRGIEIYESIIKVYASFGDTKDSTRDEL >KZM90766 pep chromosome:ASM162521v1:6:19006888:19007508:-1 gene:DCAR_021869 transcript:KZM90766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEVFNNKILFDXSEEDQKRCKLGIVVNGRDFLRNSASINHKSLENYFGNVLSVPYIDASVGELRSMPLSEIADEVHACVESASNGEHFRGLVDWVENHRPFQAMCKIFSFLPSDTEELAVLVSSGKNFSVTKMDFGWGRPSFGSFLFPWGDTTGFVMPMPSATDDGDWIVYMHLTEKHLDFLEKEAPRIFTPFAFISPLKVSKL >KZM90947 pep chromosome:ASM162521v1:6:20742654:20744923:1 gene:DCAR_021688 transcript:KZM90947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITINNWCFTSVSPAQSSSSVATKQHFRPSVSARLSSSSSSSSNSVPSLIRNEPVFAAPAPLITPVLREEMGTEYEEAIAGLKKLISEKGELGPVAAAKVGQITAELKTPDGGKAFDSVERLKTGFIKFKKEKYVTNPALYEELSKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPFDKEKHTGVGSAVEYAVLHLKVSEIVVIGHSACGGIKGLMSFTFEGPRSTDFIEDWVKIGLPAKAKVTAEHGDKPFGDQCACCEKEAVNVSLSNLLSYPFVRDGLVNKTLALKGAHYDFVNGSFELWGLDFSLSPPSSV >KZM89705 pep chromosome:ASM162521v1:6:3578690:3580838:1 gene:DCAR_022932 transcript:KZM89705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGLNINYPSPKLHKAAEITPTTWKKHAVVSASRTSACNDQHWDFCYETLQKVSRTFSGVTMQLSQPKLRDAVCVLYLALRAVDTVEDDSEVPADVKIPILRNFHRHIYDRDWKFICGANGDKEIMQGHHHLHSAFMDLETSYLQNAST >KZM92508 pep chromosome:ASM162521v1:6:33953654:33954355:1 gene:DCAR_020127 transcript:KZM92508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNGESTPPPMIGKIGPYTVFVTPPATPKTSATPHSHQTSPLSSSIPRRFDSPSVQSGSPPPVQFKSPPPVLPPPVHYSPKDGSSSFAFFWDAVAKVQHAHSSLDGYVAHWFGLNQSKYQWALDDYYESKGGVVCGSTFPVLCIYF >KZM89726 pep chromosome:ASM162521v1:6:3848937:3850109:1 gene:DCAR_022911 transcript:KZM89726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRSPKKYMAYKLNVNGVSNRNAMSASVGGVLKRDGAWEAIDAIKKSDPSYDMTILVRSYVFPARNHVARFLPRLGRDVADRLYTLNRMIGPLEELLDWDMGLGVDHLDFMDVVIPEGAPDPVNFDVALGIADQVNGLTLGQQTVQVPMAPPANGMHDNGAPAAENGEMLAAGQFMEGNGVEAVGEQAEVLQTTLVENGLLFAGDPPIEDVD >KZM92716 pep chromosome:ASM162521v1:6:35716860:35722862:1 gene:DCAR_019919 transcript:KZM92716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIISRTLFATNRVLQSSYAVPHKTRFLSSVFNIKPSHFRVASYAKHKTSGYFSTMASSVSGVTAASSVQSMSTSEPVVSVDWLHANLRDPDMKVLDASWYMPNEQRNPLQEYQVAHIPGALFFDVDGISDQATNLPHMLPSEDAFAAAVSALGIENKDGVVVYDGKGIFSAARVWWMFRVFGHDKIWVLDGGLPRWRASGFDVESSASSDAILKASAASDAIEKVYQGQAVQKNIEENSHQVVDARSKARFDGTAPEPRKGIRAGHVPGSKCVPFPQLLDSSQSLLPPASLKEKFEQEGISLDQPIVTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAHPDTPVSTSDLAETQ >KZM90473 pep chromosome:ASM162521v1:6:16080620:16081121:-1 gene:DCAR_022162 transcript:KZM90473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLPGMTDVVGKQPIFLLNPKLKTVLLKVLKEAVYHHLNRRTKLHFHLHLRVHCRHVFAQDEYYVQCEDN >KZM92372 pep chromosome:ASM162521v1:6:32941029:32942777:1 gene:DCAR_020263 transcript:KZM92372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKLKDGLSVVLQDFYQLAGSLGRDEDGVVRVEYDDDSNGVEVVVASMEVREVSELMTAESANDLIDLVPLTGVYNLEGFHRPLLAIQLTKLKDGLVLGCAFNHGVLDGTSMWHFMTSWANTCNRSPTLLIPPFLNRTAARNSRVPFNFQPPNQDLPLIRDKIFNHAWRAITRARQLKPDDHSVLAVFADIRKRISPPMPRTYFGNLIQAAFAVSTVGLLLTQPPLYSAKLIHKAINQKDAKAIEGTCKLFEKNPKIVRLEDAGPNSVLMASSPRFKVYDVDFGWGRPESVRSGINNRFDGMVFLHEGKSGGKSIDVDISLQANAMKKLEADKEFLMEP >KZM92197 pep chromosome:ASM162521v1:6:31410815:31425089:1 gene:DCAR_020438 transcript:KZM92197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFYIHGKVVDTVELLRKRHLPWRFDVWPFAIIYAVWIVALISRLDIFDAFIVLGGLVAVHVLVFLFTVWSVDFKCFIQYSKVYDIYQADGCKITPAKFCGKKEIVALKCRKIMSRTDVISSVTTIACFCPVKYLFSPSFAPSSSSLSFHRFFDTKLAVSSSTAVEEEIYFDFRKQCFIYSKEKETFFKLPYPSKETFGHYLKCSGYGTEAKIVAATQKWGRNVFEYPQPTFQKLLKENCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLVMFESTMAKARLKTLSELRRVKVDSQTLMVHRCGKWVKISGTDLLPGDVVSIGRSTDQNGEDNAVPADMLILSGSVIVNEAILTGESTPQWKVSITGRGPAENLSARKDKSHVLFGGTKILQHTSDKTSHLKTPDGGCLAVVLRTGFETTQGKLMRTILFSTERVTANSWESGLFILFLVIFALIAAGYVLVKGLEDPTRSRYKLILSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGIGGLTESSDLETEMTKVPTRTLEILASCHALVFVENKLVGDPLEKAAVKGIEWSYRSDEKAMPKKGNGNAVQIVQRYHFASHLKRMAVVVRVEEQFFAFVKGAPETIQERLTDVPSSYVKTYKKYTRQGSRVLALAYKSLPEMTVSEARSLDRDVVESELTFAGFALFSCPIRGDSASVLSELRGSSHDLVMITGDQALTACHVAGKVNIVTKPPLILGPGRSSGSYEWVSPDEAQTIRYSDEEVETLSEVHDLCIGGDCIEMLQQTSAAPNVIPYVKVFARVAPEQKEFIMTTLKMVGRMTLMCGDGTNDVGALKQAHVGVALLNAIPPQSDKSQSEGSSKSDTGKSSKVKKAKATTEAGKSLTINGDSSSKSRAAARSDSTSQSVGNRRQTAAEMQRKKLKKLMNELNEEGDGHAAPVVKLGDASMASPFTAKHASVAPTIDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHAKPLPTLSAERPHPNIFCYYVFLSLLGQFAFHIFFLVSSVKEAEKYMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFCYALLAAVGFFTAITSDLFRDLNDWLKLVPMPKGLRDKLLIWAFLMFLCCYAWERFLRWAFPGKMPVLKKRQRSGATEQERKKLL >KZM90417 pep chromosome:ASM162521v1:6:15739692:15740102:-1 gene:DCAR_022218 transcript:KZM90417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHDAAQAPAPPPPPVNNGIPGDESTDDELIIILDPPPALGEPVAAVPPQSLPQNGPVVDGHAVNEPPQVHPPRENGHAAYDRVANGAHD >KZM91349 pep chromosome:ASM162521v1:6:24378072:24379612:1 gene:DCAR_021286 transcript:KZM91349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSHSLDAFLENYEHKGIVSGGGGSSLVLDSERGEIVRAVSSNLNKPVVQKGCLNPEKAMVALRNHSQAERRRRERINGHLATLRNIIPGTAKMDKASLLAEVIDHLKQLRRNAADVTKGILVPMDIDEVRVEQQYDSSGGDSCSIRASICCEYKHEVLSDLRQALEEFQLKTVKAEIATLGSRMTNLFEISCCKQENIQDTEGCQLFANSVRQALRSVLDKFHASEEFTTNSALSNKRRRVSLFNSSNESSLGSFW >KZM92159 pep chromosome:ASM162521v1:6:31063499:31065697:1 gene:DCAR_020476 transcript:KZM92159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASERVKEILPPISLSPSQTDSTQLPDIDMNGLNVETEDTFVTLLEMAANNDVEGFKRWIQRDPSAIDEVGLWYGRQKGSKQMVLEDRTPLMVAATYGSVDVLSLILSLSEADVNQSCGLDKTTALHCAASSGSLKSIDVVKLLLSAGADPNLIDANGYRPADVILVSPKFQDMKLVLGELLVNNGPDGINDLRVSCATSNSNPSLLSPSSPENRSPSSASNSTSSPKITNFDDLNSTFAAEKKEYPVDPSLPDIKNGIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGISCNRRVCFFAHKHEELRPLYVSTGSAVPSPHSNTTAASGMDFAAAMSLLPSSPSSVCAMSSSPFTPPMSPSANGLQNLGWHQANVPTLQLPGSNLQSSRLRSSLNARDIHAEDMNLLQDFDMQQQQLLHEFSRLSQPNVSANSLNRSVRSRNLTPSNLDDIFSSESLSPRYSDPPLASSVFSPRQNSSILNQFHQQQSMLSPINTNFSPNKVDNPLQNSYATPLGRMSPRNMEPISPLSSRVSTFAQREQQQFRSLSSRELGSSSSFSVGSPGDSWPKWGSSNGNAEWNVNNKDFGQLKRSATLEANTNEEEPDLSWVQSLVKESHHEANQDSVSHVPGAAGIADASFSNSSNLNSGIEPTEQSVLGAWIEQMQLDQMLAQ >KZM90279 pep chromosome:ASM162521v1:6:13788612:13789166:-1 gene:DCAR_022356 transcript:KZM90279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHFFSNIFSIYLILISAFFITISAKFSEQFAQMEAMPSVNKMTHLHFYFHDIIGGKNPSVYYIFRGKNNVGTTAMIDDPLTEGTEHGSKIVGRAQGMYSFPTKTEPALLMVINFSFLEGIYNGSTISILGRNPVLQKVREMPIVGGTGMFRFSHGYALAKTAHFNPKNGDAVVEYDVYVMHF >KZM91134 pep chromosome:ASM162521v1:6:22477047:22484332:-1 gene:DCAR_021501 transcript:KZM91134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLGHGQYGYTYVATDNSNGDRVAVKKIDKNKMILPIAVEGVKREVRIMKALSGHENVVQFHNSFEDDSYVYIAMELCEGGELLDRILAKKSSRYTEKDAAAVARQMLKVAAECHLHGLVHRDMKPENFLFKSPEEDSTLKVTDFGLSDFIRPGNKFQDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDETEDGIFKEVLRKKPDFCRKPWPTISDDAKDFVNKLLVKEPRARYTAAQALSHPWVREGGNALEIPLDISVLYNMRQFVKYSRLKQLALQALASTLDEEELADLRDQFLAIDVDKNGTISLEEMRQALAKDIPWKVKESRVLEILQAMDSNTDGLIDFHEFVAATLHVHQLEEHNSVKWQQISHAAFEKFDVDKDGYITAEELRMEQVELSV >KZM91589 pep chromosome:ASM162521v1:6:26282634:26282861:1 gene:DCAR_021046 transcript:KZM91589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRIPLMQIRTSEYIYGAIGKCQPYIYGLCRSHYLARDMGMAMETIAIPELLKHQPQLTLQKCNMTNPCPVNIY >KZM91977 pep chromosome:ASM162521v1:6:29526239:29527189:-1 gene:DCAR_020658 transcript:KZM91977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLISLEPSNLVAIRIEEGQKCYGELTLRNVMHTMPVAFRLQPVNKTRYTVRPQTGIIFPLNTVTVEVTYECGPNIILPESFPYSDDSFLLHSVVVPGAAAKNFTSTSDPVPSDWFTTRKKQVFIDSGIKVMFVGSPVLAQLVANGAMDEIRDVLEKSNPAWKAAESVDSDGQTLLHMAISQGRADLVQLLLEFEPDVEAQGRSGSTPLEAASASGQALIVEILLARGASVEKSQSSTWGPIHLASGGGYIEVLRLLLLKNAYVDALTEDGNTALHNAVEKGKXEIVQGYCWRMVLNLIFATRMTSTHLYTLHQP >KZM92080 pep chromosome:ASM162521v1:6:30386300:30388135:1 gene:DCAR_020555 transcript:KZM92080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMATTKSEFHVLAVDDSIIDRKLIERLLKTASYQVTTVDSGSKALEFLGFCQDVESNSNEPSISPNNQQEVEVNLIITDYCMPEMTGYDLLKKIKESSSFRDIPVVIMSSENVPSRISRCLEEGAEEFFLKPVRLADVNKLKPHMMKTKNRDCQKAEQEEVSSQEKIVPPEVQSSEPPQPDDSNNTKRKSTEVEEEVSQDRTRRRYNGLTVI >KZM92786 pep chromosome:ASM162521v1:6:36295755:36296933:-1 gene:DCAR_019849 transcript:KZM92786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLGETQKQPFRLFGKSNETVKKLDDGLGFCMGLALSVSDEMTSKRVDRLTTDDHSPSVELHLVPFSTHHKPSHQLPFPWLSHNQINLTSERINAEGGGTDSSSPDSSSFHMYRNRRHNHKREDGHVETERDSNSRGGSDDEDNGLILSRKKLRLTKDQSAFLEDSFKEHHTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKSSKPFYMQLPATTLTMCPSCERVASTTTVTATATKPRLHPFLQDQGHALHASS >KZM90654 pep chromosome:ASM162521v1:6:17822167:17822841:-1 gene:DCAR_021981 transcript:KZM90654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAMLVSLLFFLVPAVLQGTNAVTYSVTNNAATSPGGQKFTNQIGLGYTKQALSSSADFILRTFQQNNQADKKNVQQVSLFIDNMSGVAYTSGNQIHASARYIQSYSGDVKREFTGVLYHEMAHVWQWSNGAPGGLIEGIADFVRLKAGYAPSHWVQPGKGDKWDQGYDVTARFLDYCNSLRNGFVAQLNKKMRNGYSASFFNDLLGKSVDQLWSEYKAKYQT >KZM91473 pep chromosome:ASM162521v1:6:25423481:25428967:1 gene:DCAR_021162 transcript:KZM91473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDVYDEVGFAVDNPPPGTVNQPDVAPHYQALKYSFTSRSKPQNYDTQQQRVLLLEIHCLKNYRDARQKLQIPEFVEKVFAEKQKTEIALVKVAMIKKFGKEYIENKVLTHVRIKSVDETTNWCYLACTGCRKEIKTENSVHVCEACNRLVPYPEIRYRISVLAEDNTDEVHIILGDREVRTLIMKRVRNLLEENQGRMEMPQILKALAGKEYTILLNIKEINISRFFHVYWACQICNGFLHWEAKNDHPADQQNATSTQATNPTITQATASTNSGQPVLDLDLASN >KZM89624 pep chromosome:ASM162521v1:6:2642389:2644430:-1 gene:DCAR_023013 transcript:KZM89624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVAAKDRTITSRSGSEVLQRNVRYSPSWSFRWDNRRRVAGEEMSVNSLSDGVNRNDGFDIKYRTTVGSAFVSEEGSPLDSFRSYTWQKSPILERDTGLLCVPPSDQPNFKDSTEVKESTAISDPSPTKMSPSTRSVSPLQTSPLSYQHHFLPASSTPSRCPRRSPGHQLLCQVSDNRIAGHRSPSFSISEDGSTFGRPAWSNETIGGSNGGSSDNWFIPGFPELMAASRSDRWSFNSESLGITRSKLTRSSGRSFSSSADLTTCGVCLRPITEKSSWARHSIIGINEVAVVAVLVCGHAYHVECLENMTPPEISKYDPPCPVCTLGEKQTLKLSEKALRSEISLVHLKNKISKIIKKKVVDSDHSGDTTFNRQKSCGLSSSKTGSQSSMKSNSATHFLKRHFSFGLKGTKSLSEGHSSRKMGFWSKSFKQRATL >KZM89675 pep chromosome:ASM162521v1:6:3192097:3195361:-1 gene:DCAR_022962 transcript:KZM89675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTASPAAGRSFPMCARSHKSSNVARRARMGVRSNLLSFAPILIDLQRDCATPLPLLRHVADSMTADMRAGLVVDGGSDLKMILTYVDNLPTGNEKGIFYALDLGGTNFRVLRVQLGGKEERVVATEFDQVSIPQEFMFGTSEALFDFIASGLAKFVQKEGGKFHLPNGRTREIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTAGKDVVSCLNEAMQRQGTDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYIERVDSIPKLQGQKSTSGRTIVNTEWGAFSNGLPLSKFDRQMDADSINPGEQIFEKTISGMYLGEIVRRVLVNMAEAADLFGEHASTKLSMPFILGTPHISAMQQDSSKHLEAVGSILYDVVGVDSNVNARKIVWEVCDTIAKRGGRLAGAGIVGILQKMEEDSKGTIYGKRTVVAMDGGLYEHYPHYRKYLQDAVNELLGSEVSKNIVIEHSKDGSGIGAALLAATNSKYEH >KZM90876 pep chromosome:ASM162521v1:6:20050963:20052260:-1 gene:DCAR_021759 transcript:KZM90876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASFEPKFKKSYRICLKSKSSVNKAHQVGNYSTIAWTSSVKKGVQPDVEYGYKAKSTAGTVFGFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYIVVALCYFPVALIGYWMFGNSVSDNILITLKNPKWLIAMANMFVVFHVIGSYQIYAMPVFDMIETVLVKKLKFPPSFALRFVSRNLYVAFTMFVGICFPFFGGLLGFFGGFAFAPTTYFLPCVMWLSIYKPKRFSLSWIANWICIIMGVLLMILSPIGGLRQIIIQAKTYEFFS >KZM91133 pep chromosome:ASM162521v1:6:22472692:22473692:-1 gene:DCAR_021502 transcript:KZM91133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLNTCCAGTFLSLYILAVIVYSILRSLFCQLVRVLALSFADDGKRGALAGMPLQLAGTRKILEFMDWGEYGAMGTFISIGAIGVKEDAEEDTMFILLAPQNAVGNCIIGDLQAMADAAGKRPVILINPKLKVGPW >KZM90803 pep chromosome:ASM162521v1:6:19378751:19379392:-1 gene:DCAR_021832 transcript:KZM90803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITNSQVIEKQELSVEKEETDYSKRGQWIRAAVLGATDGLVSIASLMMGVGAVKKDVKAMILSGFAGLVAGACSMAIGEFVSVYSQLDIEVAQMKRDRGLQVTTDDENCEIEREKLPSPLQAAVASALAFMLGAVVPLLAATFIGEYVLRLEVVVVAVTLALVVFGWIGAVMGGSPVCKSCVRVLIGGWMAMSITYGFTKLIASTGLDSNL >KZM92594 pep chromosome:ASM162521v1:6:34677174:34682501:1 gene:DCAR_020041 transcript:KZM92594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNKSSEQMGNEKIKTLINVKKIKKRRFKGLKMGCFGMRRRDDYGHSTLEEADVDGNLDMESASNFGSGRVDPTHLVVTVNGIIGSAQDWRYAAKQFLKRYPHEVVVHCSESNHSMLTLDGIDVMGSRLADEVLSVIERHPGLQKISFIGHSLGGLISRYAIAKLYTEERFERTGNSSYKEHEFKGKIAGLEPMNFITSASPHLGCRGHRQVKFTFRYSANPCTPDAHRRTIPLFGGILSMEKAAARISWLLGRSGKHLFLTDARDGKPPLLLQMADDCEDLKFISALQSFKRRVAYANAHFDKCRFTSILASCKLIGWSTSSLRHRSKLPKHLKFPRNDRYPHIINEETARADNSSKAQAKDYKTDDMEEVMIKGLNKVSWERVDVSFKGSRQRLLAHNTIQVNSPFMNSDGADVIQHMLDNFSL >KZM90786 pep chromosome:ASM162521v1:6:19242309:19243183:-1 gene:DCAR_021849 transcript:KZM90786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAAAVLNGLGSSFLSGGKRSQTLLSAPIARVGAALAPKRLSVVAAAAAPKKSWIPAVKGGGNLLDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAYSGIPWFEAGADPGAVAPFSFGTLLGTQLILMGWVESKRWVDFFNPESQSVEWATPWSKTAENFSNFTGDQGYPGGKFFDPLGFAGTVQNGVYIPDEDKLDRLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALAL >KZM90881 pep chromosome:ASM162521v1:6:20109907:20113959:1 gene:DCAR_021754 transcript:KZM90881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSACFMPPAFSYTSGLSNEAYQGNPVNALGESVSFGRFMTESLAWEKWSSFSNKRHVEEAERYAQPGSVAQKKAFFEAHYKRIAAAKAAALLEQANAESNALEPESETIEQLSECNSTSQDSETMKQDSEEVVLEKNQEFAVIAESDSSEVDRLERADSTTETEVLVEICPNVDKQNMVSGSKTDEIPKNEKNSVKKHNSYQKDVSKSASKKRPVFSSLRSSVFSKIASSPAKYVAPPFPRKENNNLTPRTVKSKMNSTDMKRSTPKPHRKSINFTTAAEPDTLSTQAIRKSENSRNVQNSYKGTKDFATPLRTSTAASVNRKPKQSAVTPSSVNKSASCSKSFSACQKTLQCSTSSTPFLLRTELRAEKRKQRLEERFSEKETEKVQMHTKSKERAETEVWKLRQSLCFKARPLPQFYRDRKTQELPSQSPKVEGKSSASTTETKNLLTKSAAKNNAYKNVCKKNSQTPSRNLSLPAMTPRKIHSKKLSTDQHKQH >KZM91798 pep chromosome:ASM162521v1:6:27887399:27889711:-1 gene:DCAR_020837 transcript:KZM91798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNYTSSSSSCALFLTLFLTLMHSAHGFGSMGSIAAAFGEDGFFCAIDASGKQAVICWGNDTSSSSSTSSSSPAYSDDIPALAALSGGDGFLCGILANTSVPYCWSSITNDLVPQVFKSTAYSHIAAGTNHVCAIRGSYYSDNNLGSVDCWDIVRKSGRNLSSEQSTLFYDPGISNLVLKTVVSGEGFSCGLSREDRILCWGPNSTSLQLSSDLVSEKFFTLASGRDSVCGLSQVDDEVHCWGKNDSLKAPPIGIGFVSLAAGAQHFCGIRQDNHGIECWGSFNSSSIPKASGFLAIASSDYITCGIREDDLVLDCWFAYIASTPNYDPPFQLCSPGLCSPGSCGQGRFAFNASSLHETDLTSLCVRKELSICSPCGVNCAEGFFTSSPCTENADRVCTACSLCQNSSCWDICNLHPLTDMKRKHQHQLRRLILVVAASASALVLILICWCLLPSLIANNNKRSKTKQFALCLGKELETEALNDSHPPVVVTPCPGVAQIFRLTELKDATNGFKEFNELGRGSYGFVYKALLPDGRQVAVKRANAATIIHTNNRDFEMELEILCSIRHTNVVNLLGYCAEMGERLLVYEYMPHGTLHDHLHSGLSPPNWTLRLNIALQAAKGLEYLHKEVSPPIVHRDVKSSNILLDADWGARIADFGLLTLNETDVVEDIKSDVYNFGIVLLEILSGRKAYDRDCATPSIVDWALPIIRQGKAAATIDRYIPLPRNVEPLLKLAEAAELALREDPSERPTMTDLVVLLERLVKDMVML >KZM91613 pep chromosome:ASM162521v1:6:26527341:26532548:1 gene:DCAR_021022 transcript:KZM91613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRNLEKLASIDAQLRLLVPSRVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKRDPKKLEELGKVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAHRRRIKLKKGDFADENSATTESDIEETLKRLVGQLNKTPEEVFDALKNQTIDLVFTAHPTQSVRRSLLQKHGRIRDCLTQLYTKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFEMSMWRCSDELRVRAEELHRSSKRDAKHYIEFWKQVPASEPYRVILGDVRDKLYHTRERSRHLLSHETSDIAEEATYTNVEQFLEPLQLCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITNHLEIGSYREWSEERRQEWLLAELTGKRPLFGDDLPKTEEIADVLDTLNVIAELPSDCFGAYIISMATSPSDVLAVELLQRECHVKQSLRVVPLFEKLADLEAAPAAMARLFSIEWYKNRINGKQEVMIGYSDSGKDAGRFTAAWQLYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIERSFGEEHLCFRTLQRFTAATLEHGMRPPISPKPEWRALMDEMAVVATEEYRKVVFQEPRFVEYFRLVTPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPEMYNQWPFFRVTIDLVEMVFAKGDPGIAELNDKLLVSEDLWSFGEQLRSNYEETKSFLLKIAGHREILEGDPTLKQRLRLRDSYITTLNVCQAYTLKRIRDPSYNVTLRPHICKDYMENKTASELVDLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >KZM89743 pep chromosome:ASM162521v1:6:4124721:4131327:-1 gene:DCAR_022894 transcript:KZM89743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKSKLLINKNEGEMSSCSCFLSRYLTTNYPIHHIKFPTTLISLSPNSRFVTCSLSKKISLSVAEVEEDDVLHDFIKDRAMNGDFITQVTDSLWLRDAKDILNAETGLQPDSSQISKESIDEESEGGFLKLKRTTEWLLGDNTAPVNKKVMIEKFQNDKERRKRLNLLKYEALKKELLLLTVGIGTACSGYCLIALSFQAAVSYATGVLFSCLYLQLLCQRADKISQDEVPQIFRQKKTKKIGIRSQDLEDSFEKFIKGSSIALSSPRLVIPAVIYGLWGLSQHFTKDIFDFQLVPAMVGIFAYKAAALVQVYRDNEDLQLIFPEDNE >KZM90455 pep chromosome:ASM162521v1:6:15973524:15981299:-1 gene:DCAR_022180 transcript:KZM90455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVNKNTFSKPRSGSSDETQSSGWFRAFSINAFKPYFDVDTSDVLDRIKDSVLPFSGIFNEKNSDRPDLYGPFWICTTLIFVAAAIGTFVTYLAHKLKDQKWDYDINLITWSAGLFYGYVLVVPICLYVILKYFSAPSGLAQLLCLYGYSLFVFIPALCLSVVPVDLFRWVIAGVAGVMSASFVALNLRNHIKSAGERWFLIVTGIFLLQLALSIVLKLYLFTVSV >KZM92062 pep chromosome:ASM162521v1:6:30210197:30215743:-1 gene:DCAR_020573 transcript:KZM92062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLVARTGRQQQRYQDGYRLLAGCIPFKYRDSEEGSGVLSEKIVEVLMITSNSGPGLLFPKGGWENDETVEEAATREALEEAGVRGDLMHLLGDYYFTSKTLQDESSPAGLCKATMYALFVKEELDCWPEQNLRQRSWLTVSEADECCRHSWMKKALKEGFSGWLADGMMSTMKDRNNLHSPTNIDKP >KZM92189 pep chromosome:ASM162521v1:6:31332558:31351738:1 gene:DCAR_020446 transcript:KZM92189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAARLFPILFLLLAATSHGAPGNRKSGKSSVFSLFNLKDKSKFWSESVIRTDFNDLESPVPGNVGALNYTKAGNIANYLKLLEVEFMYLPVPVNFIFIGFEGKGNQEFKLHAEELERWFTKIDHIFEHTRIPKIGEVLAPFYKISIDRQQHHHLPLVSHINYNFSVHAIQMGEKVTSLFERAIDVLGRIDHVSNVSDEGAGLWQVDVDMMDVLITSLVEYLQLENAYNVFILNPKRDVKRAKYGYRRGLSETEINFLKECIDFQQLNDEAGLTAISLQNKSLQTRIRQSGNSPTSVLALDKIKRPLYAKHPMAKFSWTITDETDTIEWYNKCIDALNNFQALYQAKETSDIIQSKVLQLMNGQDEDMKRLWGKILKAGDLSGLHSECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTEISLPNVQRTIGAVAEISADEAENRLQDAIQEKFAVFGDRDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCDELDERMQDLRTELQSFEGEEFDEDHRRKAVDALKRMENWNLFTETPEDFQNYTVARDTFLAHLGATLWGSMRHIISPSIADGAFHFYEQISFQLFFITQEKTTSIKQFVDQKALTEGLSTLVLPSQKVMFSTNILSLSEDPALAMAFSVARRAAAVPVLLVNGTYRKTIRSYLDTSILQHQLQRLNDHGKHAHSRSLLEVPIFWFIQNEPLLVDKHYQAKALSDMVIVVQSESSAWESHLQCNGRSLLWDLRRPIKAALGAVSEHIAGLLPLHLVYSQAHGTAIEISTITGELRYADAMRLLYTLEDYSIGFAEYVNSTVSNLHPIHCTRQRKVQVELDFTTIPAFLIVFFVLWMVLKPRRPKPKIN >KZM92300 pep chromosome:ASM162521v1:6:32274074:32279251:1 gene:DCAR_020335 transcript:KZM92300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCEVGKYQNTAEAAGNNGIKIFYETYGHGPVKVLLIIGLAGTHESWGPQIMGLAGTLTPNDQDWPDGDRSSDEVGGAHERLVEVCALDNRGMGRSSVPAKKSEYTTRIMAKDAIAVMDHLGWEKAHVFGHSMGAMISCKLAATVPDRILSLALLNVTGGGFECFPKLDRQTLSIAIRFLKAKTPEQRAAVDLDTHYTKEYLEELVGSKSRRAILYQEYVKGISSTGMQTNYGFDGQIHACWTHKMSQTEFELMRSSGFLVSVIHGRKDVIAQLRHARKLAERLHPFSRMVELPGGHLVSHERTEEVNQALLELIRASESDMDPLEWTNIPQPSAGWAVTRVSFYKRNSEAGNRTSSKFGVVEKIYLFFMYLFGLLILACEHFWRAVKSLRPARVEAVAS >KZM91499 pep chromosome:ASM162521v1:6:25639771:25645048:1 gene:DCAR_021136 transcript:KZM91499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNGIQDLGGSLPLPPPPEGVPTGVKPERPLPPPPEVVPPDVKPERVDHYPVKRVMISRPGFGSSGRRIQLEANHFKVSVNSPDETFYQYSVSLSYEDRKPVENKGMGRKIIDKLYQTYSSELGGKRFAYDGEKALYTVGPLPRNKQEFTVVLEESIAKRGSLSREGSPDEPVKRSRRSLHSKSYIIEINYATKIPLKSVLLTLKGAETEKVQDALRVLDIILRQQAANRGCLLVRQSFFHDDSRMLTDVGGGVAGCRGLHSSFRPTHGGLSLNIDVSTTMILTPGPVIEFLKANQGVRDARSIDWVKAKKMLKNMRVKATHSNREFKIIGLSEKPCTELFFMLKGKSGDDKPEEISVYDYFTRHRNLQLPTSAYFPCLDVGKPKKPNYLPLELCSLVSLQRYTKSLSSLQRASLVEKSRQKPIERKKVLTDSMFNYRYDEDPLLVSCGISIEKQLTKFDGRVLEAPKLKVGSNEDCIPRNGRWNFNNKKLLNPVKIERWAVVNFSARCDTSQLSRELINCGRNKGIIIERPFTLIEEEHQYRRASPLIRVEKMFEQIKVKLPGPPQFILCVLPEKKNSDIYGPWKKRSLSDFGIATQCVSPTRINDQYLTNVLLKINSKLGGTNSLLALEVVSRVPMIKDTPTMILGMDVSHGSPGRSDAPSIAAVVGSHSWPLISRYRAAVRTQSSKVEMIESLFKPLPDGEDDGIMRELLLDFYRTTNGRKPSQIVVFRDGVSESQFNQVLNNELDQMIKAYQHLREENIPKFTVIVAQKNHHTKLFQAGGVSENVPPGTVVDTKIVHPRNYDFYMCAQAGMIGTSRPAHYHVLVDEIGFSPDDLQNLIHSLSYVYQRSTTAISIVAPICYAHLAAAQVGQFMKFDDFNDSSSGRGSFTSAGSVPIPQLPRLHKDVAGSMFFC >KZM91767 pep chromosome:ASM162521v1:6:27701846:27704004:-1 gene:DCAR_020868 transcript:KZM91767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPCWKPSVEGDGSRRGGGDDNGRSNGLMWYKDLGSHVSGEFSMAVIQANNLVEDHSQLVSGPLSSQQSGPLGTFVGVYDGHGGPEAARFVNDKLFPNLKKFASEHQEVSANVIKKAFLATEEEFLSLVREQWGTKPQIASVGTCCLVGVICDGLLYVANAGDSRMVLGRACKLVRGMMAVQLSTEHNASDESVRDELRSLHPNDSQIVVLKHKVWRVKGIIQILTYLNAIGALMLISVVIEVVQLLAPQAMSNSITVFGTISRSIGDAYLKNSEFNREPLLPKFRLPEPFEKPILNAEPSLFIHKLNSKDQFLIFASDGLWEHLSNQEAVDMVNNYPRYGIARRLIKAALRIAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDPHLMNRASPGGATCSIKAGGGVTGSTKF >KZM91672 pep chromosome:ASM162521v1:6:26982251:26991712:-1 gene:DCAR_020963 transcript:KZM91672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATKPHVAVLPSPGMGHLIPLLEFAKRLATHHAVHVSFLVVTTESSPAQRRYLDSAALPSDLHVIKLPPADVSSVITPDMPILTKLSLLCRESVKPLGSIFEKISLPKALIIDNFMGDAFDICKNFNVPVYTFYTSATKSLALALYLRKLDKEVDCEFVDVAEGSIRVPGCKSIYVDDLQDSLKNRKAEAYKWNMLHASRLTMATGIFVNTWDDFESKSSWFHGLNNDPYFRNLPAPPLYRVGPLIKHDEAVAESDAFILSWLDNQECDSVLFVALGSGGTMTSEQLSELALGLEMSKQKFVFVVRKPSDIDEAHVYETVSKSNTNVRSSLVWNNTQLQPLYLWLFEFDKYDVSNSRQCDIMTMSMESELKPINPTSPKSDDQPLLKPDPSSPTRPDIRDLETKCAAYVRHDVYGTMGRGQIPWSEKVLIGFALITLLPLRVVLSLSILVVYYLICRVCTMFSKPSGDDEQEDYAHMGGWRQTVVVKSGMFLSRALLFVIGFYWIPETSTQEAERSDQSDEAIRPGAIVSNHISYLDILYHMSASFPSFVAKRSVGKLPLVGLISKCLGCVYVQRESKSSDFKGVSGVVNERVREAHQNKSAPVMMLFPEGTTTNGEYLLPFKTGAFLPKAPVLPVILRYPYQRFSPAWDTISGVRHVILLFCQFVNHLEVTRLPVYCPSQEEKDDPKLYADNVRKLMADKGNLIMADIGLAEKREYHAALNGNNSLRTVLHQKAE >KZM92446 pep chromosome:ASM162521v1:6:33445035:33451971:1 gene:DCAR_020189 transcript:KZM92446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDLSSFSDENFEAKKWINNACQSRHPQDPLENHLVDLEMKLQMISEEISASLEEQSAAALLRVPRASRDVIRLRDDALSLRQSVASIIQKLKKAEGSSAESIATLSKVDTVKRRMEAAFETLQDAAGLTQLSSTVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDNMVQPLLDDAINNRKTEVAQNYRGILIRIGRFKSLETYYAKVHLKPLKQLWEDFDSRQQTNAIPSEKNESISLLSWLPSFYDELLLYIEQEWKWSILAFPEDYKTLVPKLLHELMATVSGTFVSRLNLATSEVVPETKALAKGILDILSGDVPKSVKVQTKHLEALIELHNMTGSFARNIQHLFSETNLKVLLETLKAVYNPYEPFKQRYGQMERITLSAEIGGIDLRGAVKTTRIGSQGVELSETVRRMEESIPHVIVLLEAAVERCINFTGGSEADELILALDDIMLQYISTLQDILKSLRAVCGLDVISDGNEKKDGVSVARKVESSTEEEWSYVQGALQILAVSDSLTSRSAVFEASLRATLARLSTNLSVSVYGSSPDHKQSHVVDVDGSGDLSVAGRAALDVAALRLIDVPDKARKLFNLLEQSKDPRFHALPITAQRVAAFADTVNELVYDVLISKVRQRFNDVSRLPVWSSVEEQSSHHLPSFSAYPQNYVTSVGEYLLTLPQQLEPLVDGISGSDANADEAQFFATEWMFKVAEGATALYMEQLRGIHYITERGAQQLSVDIEYLSNVLSALSMPIPPILATYYTCLSTPRDQLKELLKSDSESQLDPPTANLVCKMRRVNLE >KZM89757 pep chromosome:ASM162521v1:6:4344957:4347928:-1 gene:DCAR_022880 transcript:KZM89757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKPGSKVEVLKEVDSLTAWCGGEIISGKGHSYTVRYDHYVPEHGEATDRVHEELVRPPPPVQRVDSWVSDDVVEVFDDVMWRTAIISSACGSYCNVRLLGSSYKFGVHISNIRLRQSWKNDKWLLMGKICETQFILIMRFKPGSKVEVLKEVDSLTAWCGGEIISGKGHSYTVRYDHYVPEHGEATDRVHEELVRPPPPVQRVDSWVSDDVVEVFDDVMWRTAIISSACGSYCNVRLLGSSYKFGVHISNIRLRQSWKNDKWLLMGKGWANSGELELSQLSTSDCYQKMTYQAAQTNAAVKKQRKFNLSAAAQNSGARDSHIAYSRTLKRASPYCSSLIQANSGNLKKFRTAEKEDRRHPVLPVNVNQVDAVAYPRKNLGETYMRASFNNITNGHNELDRGELNDVIACSAARDSESNDSDSDISSVGSCSAISRTLNKFSTHKLAVSYQETNSLSSDAESCCSGARDKESCDVPPEEVIAESMHSLELHAYRRTLRELYASGPLSWEKEGLLTNLRMTLHISNDEHLMELKKLISGGTNNIC >KZM89437 pep chromosome:ASM162521v1:6:727187:727868:1 gene:DCAR_023200 transcript:KZM89437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAPCAPFVQGRTSNPGVSCCVNLRQVYDQQTACLCLLLNETTLSSIPINQTLALQLPALCNLQVDRSTCSEGTPLPPSPPPPTTSPDSQVSFGSNSNSTVAASPMVMVNPRSSILGFRSHNNDGTNLKPEIYFWLFLMSSVVSITFKTS >KZM91354 pep chromosome:ASM162521v1:6:24438921:24439169:1 gene:DCAR_021281 transcript:KZM91354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMRYVNREVEGASHRSKDMTNITEPLQMIRMDFYGSANVMSSNKAIYLFVMIVDYSRVFCVVHMCSKDKMSQMKVDQDEP >KZM91489 pep chromosome:ASM162521v1:6:25535788:25536338:1 gene:DCAR_021146 transcript:KZM91489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEANSNGGFRGRMEHYLYSGEKKHVFAGIAIISVIFGVPWYLLNRGLI >KZM92552 pep chromosome:ASM162521v1:6:34285175:34289463:1 gene:DCAR_020083 transcript:KZM92552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQTDPTSPPPPKHEETHHLLPPKSSAKIPPAPENFADEVAYESREKIMIVDLELESPDDINPPPFSWTKLWLFTGPGFLMSIAFLDPGNLEGDLQSGAIAGYSLLWLLLWATGMGLLIQLLSVRVGVATGKHLAELCREEYPYWAGLLLWFMAELALIGADIQEVIGSAIAIQILSRGVLPLWAGVVITASDCFIFLLLENYGVRKLEAVFAVLISTMGLSFAWMFADTEPSGKELLIGLLVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRNIDPSKKGRVQEAVNYYTIESSAALFVSFMINLFVTTVFAKGFYGTKQANSIGLVNAGQYLEEKYGGGVLPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWTRALITRSCAIVPTIIVALVYNRSEASLDVLNEWINVLQSIQIPFALIPLLTLVSKDRIMGDFKIGSFMQRAAWTVAALVMVINGYLFLDFFVSKVNGMLFGFLVCTGTAAYVAFISYLIVHSDCLPAAWLNQIFSKGYTNVGK >KZM89909 pep chromosome:ASM162521v1:6:7324807:7325850:-1 gene:DCAR_022728 transcript:KZM89909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFNPHRKIEAFSTGSPQRRNKAYEDSSRPSAQSYCSSKHMIAGEGTLAAINEMKTSETGSFILGEIRSAGANSKPSDPTAATVVTNEANESIDVTLSPRTPRKDQIQSLSSWWFGHRSDFPSPTASEIIKSALPILQKKSFFGWKISTSISFGIDDLLTIPSKRWLVQDAEEQKEESARGCRPGHKGHDDLTSSSPSSGLSPAVDILLE >KZM90720 pep chromosome:ASM162521v1:6:18512122:18517319:1 gene:DCAR_021915 transcript:KZM90720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDKNDYYGGESSSLNLNQLWKRFRGDEKPPESLGASKEYNADMIPKFMMANGSLVRVLIHTNVTKYLNFKAVDNSFVYNQGKIYKVPATDVEALKSPLMGLLEKRRARKFFIYVQDYEDGDPKSHEGLDLNSITARELIAKYGLEDNTIDFIGHALALFNDDKYLDHPAMDFVKRMKLYAESLARFQAGSPYIYPMYGLGELPQGFARLSAVYGGTYMLNKPECKVEFENGKAVGVTSEGETAKCKKGVVCDPSYLPDKVKKVGKVARAICIMNHPIPDTNDSHSAQVILPQKQLNRSSDMYLFCCSYSHNVVPKGKYIAFVCAEAETDNPETELKPGIDLLGPVEEIFYDTYDRYEPTNNHAEDGCYISTSYDATTHFTSTVEDVLEMYTKITGKALDLSVDLSAASAAQEE >KZM91043 pep chromosome:ASM162521v1:6:21631375:21636612:-1 gene:DCAR_021592 transcript:KZM91043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISEQQNPVNVTPSDLNGVVFEPPPPPALPSPAPTAISEDKFLVSVEVCLKSSSTARIEDVQTAVEKMLEKRSLSYIDGPIVIPPDDQFLVDNVQRICVCDTDVWVENHDILLFWQVKPVVHVFQLSEEGPCEDLSGDNNLSSFNEWMLPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVNPFLVAWNRIILLHGPPGTGKTSLCKALAQKLSIRFSSRYPQSQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKSALSGSEPSDSIRVVNALLTQMDKLKSSPNVIVLTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLEELLRTGILSYSQIQEGIGLQSLPNYCSLKEKLSVAVTQESQTPLHLCQQLYEVAEACEGLSGRSLRKLPFLTHAALANPSTCELYKFLCTMRDTIKRERSDLPD >KZM90506 pep chromosome:ASM162521v1:6:16361617:16362000:1 gene:DCAR_022129 transcript:KZM90506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPDELLAIQGVQDTLVCTMSPLFFAAISSGPRSLNTPLTVVAAGMSKWLEIYSAVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPLFNTLDVSPLLAFAVLGSLAGILGAATTPY >KZM89416 pep chromosome:ASM162521v1:6:571697:573925:-1 gene:DCAR_023221 transcript:KZM89416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENNKYRRPKKQPMLDTDPSTLKIPSTKKKNFTSATFRGLGCAVSPQVAVPAVIKTSASWDAKKVKKKKQRSLQQKRSKGPFQGVAMAHGNSLAASSTCVVDPDVWCGPGIGFGADAAASVDCVVSRRPASGRGKVDGVRMNQRERSSFGGRRRVNHEAISFFDADLDQGMSRPRLSVFSSRPQRHVRHPSPEGLAEIVMLQSSLLMGGRPSRLDRYRDLRLDVDSMSYEVSQFTLEELLDLGDRIGYVSTGLREDEIVRSLRKTKLSPVDELSSDISTDRKCSICQAEKNIVIVLFERL >KZM89452 pep chromosome:ASM162521v1:6:853282:862775:1 gene:DCAR_023185 transcript:KZM89452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILANCLPEPARNMVSESVQASCLKNDEAENSSPERKDQKDLQHEDMTLHDMYYHKLSDDDSDSDWDPLQEPPAALKWFCVNCTMPNSDDVVHCGICGEHRESEILVHGFFASPFSKGLLGSKLEVEGILKDSCFQDLTSDNITAVGFDERMLLHSEVIMKPHPHPERPDRLRVIAASLATAGIFPGKCFNIPAREITREELKKVHSLENIEAVEQSSQIVASYFTSDTYANEHSALAARLAAGLCADLASTIFSGRVKNGFALVRPPGHHAGVSHAMGFCLHNNAAVAALAAQTAGAKRVLILDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGKFYPCSGAASEVPAFSSVGKMGAEGYCVNVPWSRGGVGDNDYIFAFQQVVLPIGKYVSFSNFAASEFAPDFTIISAGFDAARGDPLGCCDVTPAGFAQMTEMLSTLSGGKLLVILEGGYNLRSISSSATEVIKVLLGERHGCKVDKVVPSRSGLRTVVEVLQIQKNFWSKLEPVLSNLLSVWGVHLQERSECSSQLFIVIAYIFFQPSAF >KZM90229 pep chromosome:ASM162521v1:6:12859068:12859899:1 gene:DCAR_022406 transcript:KZM90229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFSHEHELVLNETYIAKEGDVCRGCNDQIVSCKSFVYTCGRSSSGSSSAYKPRQQLISDYFKGTNSYDEIFGSKYLEGIGYNTCQGFLLHKTCAELPRFMDKQLLRGYAEFPEAPFIRDTNVLAAGSRPRGLNDSVTYKALQGSPWRTRSFTTKPTLNTNYYSSRLRPCSIVVLAKRRMILNTCLINALYVSFGFTRVAGMLLLLSHFISMTSTLFTSHSVFQRFITDLRSIADSAMKH >KZM91926 pep chromosome:ASM162521v1:6:29036415:29037050:-1 gene:DCAR_020709 transcript:KZM91926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTKECDSHSGGGARGLRGLCACLLVFCFIILLVFLITWAILQPKKPRFMIQDATIYAFKISSSPDLFTSNLQVTISSRNPNSRIGIYYDKLDIYASYRDQQITYFTAAPASYQGHKTDTVWSPFVYGTSVPVAPANADALSKDQANGAISLMIKINGRVRWRVGSFISGHYHLHVSCPAYIPFGNKNGDTTMVGSGVKYQLSQKCKVDV >KZM89971 pep chromosome:ASM162521v1:6:9022192:9024304:-1 gene:DCAR_022664 transcript:KZM89971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSAMMANAKVLRKCNPLVFFCIIFFNFSLISTESPNPDSAALLQLKKSFKNSDILNTWKSGTEPCAKGKQWLGLVCHNGKVTGVHLGTLGLSGKIDVDALTKMPNLRSISVSDNSFEGPIPEINRLGSLKAVYLANNRFSGKIPADYFKGMGSLKKLWLSNNNFSGEIPLSLTQISSLMSIHLNDNHFSGKIPEFKQNNLVSLNISNNDLNGEIPSSLSKFNQSSFAGNKGLCGKQLGKKCEESVAGAGPSSSRKVSNNQSNSKIAFWLLSLCVLILGIMVFAILVMKRRQEDEHAFQNDNLEESMESAGWEGGKRDVELSVGSTQSRVSTQKGVTAQKTRSTNKSAKRRLGDLVVVNEKNGMFGLQDLMRANAEVLGNGTMGASSYKAMMPDGFSLVVKRIKEMNKVEKDRFDAEIRKMSAMSHPNILAPLAYHFRNEEKLLVSEFVPKGSLLYILHGDRGPTHAELNWPARLKIIKGIARGLDYLHTQYASSELPHGNLKSSNVLIDSNYEPLLADFGFNSMISDNQVPQLFAYKAPEISQGHQVSPKCDVYCLGIVILEIITGKFPTQYLNHGSGGVDVVEWVRNAVLEGREAEFLDPEIVDNSSAIGQMQRILQIGVACSESNPDQRLDIREATRRIEEI >KZM91823 pep chromosome:ASM162521v1:6:28086598:28087691:1 gene:DCAR_020812 transcript:KZM91823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIQAACVYAGEKGPEPIHIETTNVGIFELVSSQDQHVIPDELLEAFRLFNTLHANNVDNVDGANPRRISWIPHHMNSAAVYMAEHGLSNLTEMVELPGPPPWVISRLGEVEDGIPPPPVKHSFDDPVTRRISGAVHDRKMKGPMLTSNSSLKGCSSKGWEIEAPIPLLLAKGKDMLYGGYAFYSNGSFSRKAVEILESEMLAEISPVFAQKNVNLEAHIGKGLLAKDILNYAMLGCLYVAVETLQKPNSPRMTELGSGSVDDKKPVIMPEENHFMQAAAVAIEDTPSLLPMPSILPILDSVDVSTLSELPLMPVSDLLVEMNMSSPTVGMKRPHPEGDA >KZM90060 pep chromosome:ASM162521v1:6:10173078:10175058:1 gene:DCAR_022575 transcript:KZM90060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLWECGMLRIQPMTNMLNVYRFTMLAAERLAESLDAEFKRWSIGKEGNLRALLSTLQYILGPGSDWQPISLTDIIMSDAVKKAYRKATLHVHPDKLQQQGASIREKYICEKVFDLLKVCI >KZM91106 pep chromosome:ASM162521v1:6:22185130:22185901:-1 gene:DCAR_021529 transcript:KZM91106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESINMVKGYDKVNSTPADIPPPHLHHSKLAATHRRKTALISLLILFSLLVVTTISVVIYKSTTSHKSKTKTNSTTAPPPPPPQSNSLNTSESTRLVCAVTQYPNSCVSSISSLKNLPPQLANTVSALRDCVSLFDDAVSQLNNSVALFDGSEKEALTEAKIADVNTWISGAMSDQETCLDGLEEMESSVLDEVKAKLQKSKECMSNSLAILANMHSLLDKFGQT >KZM91479 pep chromosome:ASM162521v1:6:25470023:25472619:1 gene:DCAR_021156 transcript:KZM91479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVQQRSSCRSAVVIQVTLGVLALCLAGYIVGPPLYWHFMEGVAAITRSSPSSYTYSSCPPCHCDDCSSQPLVSIPQGLSNSSFTDCAKHDPSVSEDTEKNFADLLSEELKLREAESTESQQRADMALLEAKKLTSQYQKEADKCNSGMETCEEARAKSEAALLEQKKLSSMWEQRARQKGWKEGAAKSGTQAQGKVQSI >KZM92318 pep chromosome:ASM162521v1:6:32412793:32413920:1 gene:DCAR_020317 transcript:KZM92318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYSWGQSLQEVNINIPVPLGTKSRDIVLEMKKNHLKVGLKGQPPIIDGELFQSIKVDDSIWSLEDQKSIYVLLSKQDKMQWWKYFIKGDPEIDTQKVEPESSKLSDLDGETRSAVEKMMFDQRQKQMGLPTSDDIQKQDLMKQFMAQNPNMDFSNAKFA >KZM92306 pep chromosome:ASM162521v1:6:32304231:32306481:-1 gene:DCAR_020329 transcript:KZM92306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSRNHDVPRTIMTTKMGKPVVEPSEKIDIKFVCPNYASNYSPGVVIWPKEFCLEYGETIPETVFLHLPGGVVWTGIYRKDRFRVEGLDQLMTYYSIKPFNLIMFEYIGGPNFNIQIYNEYAVESNYNISLKLDETLGLGKNFFELSDYEVDKLRGSLGFNGYNSGLGLYDMVLHKRHLVQKDQYKIFKTKALPKLSMVQSMECVKLTFKNLTWKVKLEWKNGKVYLDRKWYKIARCAKLRVGDTLVFAMTGDQQKYEMCVYEKGLLSRCNTCGLGNKDGVMNWFKIINEQFLSAGQMEIPRVFVKHGGALISQSVTLIIGNGESYLVDYCPRSHFLKGFRNLIQQYSLKENDVMMFSYVYESKFVVHLFTASGMQLNYNSGPGIVNNRHDEDVIFISDSSAESMEVDEPPQYNEIAVNQLEAVLPHENHLSFQVILKSSHVDKKCHGAYFPRDWYSTYKNWKKSTVLTLICENTVYRVRVLRFGNLCRFGKGWTQFTMGSQVEEGETIELVLTEEKTLQVRLLP >KZM90403 pep chromosome:ASM162521v1:6:15510103:15510489:1 gene:DCAR_022232 transcript:KZM90403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPTNSHGPSSTRLSTSTNPWVRPHDYDSELESYGLGTKRPRPQGLIRGRRLSLKSPHILPHNLLLIQAKRNLHRVRAHPKGGLITSTYPHQKALQRGMSIPKSKPGSKTKPGASFWTRMSFLQEE >KZM90595 pep chromosome:ASM162521v1:6:17187313:17190255:1 gene:DCAR_022040 transcript:KZM90595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGLVADKFCWKMSHMDTSSDELSLPHTFCAKYAHRLHEDMHLKLRNGYIIPVKFDKSKVTLGDGGWRFVWFNLERTTTFDEIAPPDAFLICCAFSFSEPMTYVISNGKKFSCSYCHTSRKFKGLGFLSDSLGLTAMPALHMLLFTYEVDSVVSITAFDEQLCEIVFPGHPLSSEGDSHDSSVLKPVSLHFKITVEKRHMSTDCDLIVKMERTGFVAPKFIKVLSRKEICLNVMMLPSDFKLKYGDKLPPEFELKLRTGYNLPIDYDKNTGLMKGMSIFYRHFAFKGGEVLVFEYYGRFDLNLYILGADCCEIEYPMILHHFQTGNALLPKVRDHGWKFVHFTNNLQRVVNDIVCYDVNKISCSVYHNIFYLSHYLSVTVLFD >KZM90515 pep chromosome:ASM162521v1:6:16435486:16443725:-1 gene:DCAR_022120 transcript:KZM90515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLMKKVLKEQEAANQQHEKKDLYSDDDSESSNTSPLASSVNPFDLLDDQSQDENDLDIAGGSSSGYPEVEESLTEKVVLNDTVSQSNAKTKINNKKNEKEDKILDKKNIESASYKMSNMSMFGEESSSPRYGLSGAIPKNETSGALSSSVLQVEPKMLNAETELRRIFGSKVVNSLGRSHQAGPSRQNRGGRRGNHNHRKTILVSPLEHWPRWDGSFSMEYLETKDHYHYFRYVQSSAYKQAQIAFESAKATHDLNGIANILLYHPYHVDSLITLAEYFKFSGEHQMSADATSKCLYALECAWHPMFSPLQDKYSDDPMGAMFCIDYFALRAEEYTWLERFSEEFKSDNSLWLFPNFSFSLAISRFCLEGSTDANEAEKTSSTDLMCHALMLHPPVLKKLVAKVPLKDQMWANILNHKFFSIDRTGVPSLDHLINIYVERSYIIWRLPDLQKFLRNSAMKVIDDLDHNRGDAKDWACVREEAFSSEKNEYDHLLVSDFSDSVQTMPPDNLQNFILDPQAMAMQNADQAINPPAVGRAPREVANRNALAVLLESILPWNHYGTSGAGEGEDMSSTTEAKLLTYQDISTHNNKSDCWLIISNKVYDVTPFLYEHPGGEDIILKATGRDATEDYNGVNHSGEATGILQKYYVGDLDTSSLPAKKQYNPISVVAKQISTSKSVGIFRVLLPLLIIALAYGIFQIYKKD >KZM90539 pep chromosome:ASM162521v1:6:16695456:16696341:1 gene:DCAR_022096 transcript:KZM90539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGSAFENLMDPSCSSDTKPEMGVFECNICFELAQDPTVTLCGHLYCWPCLYRWLHVHSVSPQCPVCKALIQEEKLVPIYGRGKLSSELSMRSVPGDVIPNRPTGQRPQTAPAPAPFTNFLRQQEEFNSVGEFMPMGTPRFGNLTISALFGAIPSLFNLQVHGFHDATIYGATTGVPYLFSTSFHGGYAHGFYQHSAQQQAEAVALYIFPALSEVNSIGDPHQHLPVSPSLSQLNSL >KZM92144 pep chromosome:ASM162521v1:6:30904699:30904944:1 gene:DCAR_020491 transcript:KZM92144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYVEILDVGVRLVARFHSHCPQTARLYYHPPSTSTSTQQHQHLFGEDHAPPQPDSFSIKSAARENFDTFDPTFDYVFLV >KZM89729 pep chromosome:ASM162521v1:6:3873099:3873806:-1 gene:DCAR_022908 transcript:KZM89729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDLTSLSLSQYLSSPSLPLPQYLSPLSIFVSYCSIVKALFIEKFSCTGREQNKRNWSDEEDHAVIETLQEVAIDINWKGEKGWGDGYLVRAEELIAMKVPMAGLKANPHIESRWKYLKRKYHAIADMRASSRFGWDENTKKIQCDKSV >KZM90023 pep chromosome:ASM162521v1:6:9670086:9679630:-1 gene:DCAR_022612 transcript:KZM90023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVPGDSQPEDCSETEPILAPSGTALRSVESSSIEIRTVVDDPSVTSDVLQSYVDESCSLVNADQQQCRICLDNGGEDLIAPCHCRGTQKFVHRSCLDNWRSTREGFAFSHCTECRAMFILRANVPPERWWLRLKFQFLVARDHAFIFVIVQLVVAFLGILVYNLYGEELREMFGYAEHPYAFYTMAVLAIVLVGLLYGFFIAIICGQRISERHHHVLAKQELTKEYIVEDREANKNVAELDASHVTELRMLGLY >KZM90257 pep chromosome:ASM162521v1:6:13198238:13198480:-1 gene:DCAR_022378 transcript:KZM90257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYIELLDVGARIVARSFSHCPQTARMYYHPPADTHDRHLHGGVVGGTGEVSGQVGRHGGSKGCMNMDAAHVVLYTVVG >KZM91322 pep chromosome:ASM162521v1:6:24134613:24136079:1 gene:DCAR_021313 transcript:KZM91322 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MKAELIIIPAPGAGHLLSMVELAKLIVSRDARIHVSILIIRLPFESGVDGFNKDASENVAFLDVPALDDIALAEVKSLPRISFLDSFIAKHGSADGCPVVIAAARRLRETKAILINTVWELESHAIKSLVDDAKTPVIYHVGPVINFKSGEEPSNGRTSGEDVVSWLDRQPPSSVVYLCFGSSGSFDGEQIGEIARALELSGQRYLWSLRRPSQGKDQVVLLQDYDDYNEVLPQGFLERTSGKGKIIGWAPQLSILSHPSVGGFVSHCGWNSILESIWCGVPIATWPMYAEQQVNAFQLVVDLGLAAEIKMDYRKDRVADMESTGVVSAEEIERGIRRVMDGESEMRKKMKELKDACRKATQPGGSSYTSLGEFIQDVTNSIREGALN >KZM91024 pep chromosome:ASM162521v1:6:21507182:21510597:1 gene:DCAR_021611 transcript:KZM91024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNYFIDLNTSADAEENISAQGENSSELENNHSQEFNHINLNASPYESENFLELENTHSRKFKLLNLNEPPFEKLLLPVSVPEEVDIIDGQLYVEYNFMVHIEYIFLHMTNS >KZM92341 pep chromosome:ASM162521v1:6:32640133:32645791:-1 gene:DCAR_020294 transcript:KZM92341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVVATRSIQSSPLANPSSTALHSQFHSLRPSSFAFKLLIPRRNGNSAVRASFSVSARRSARAEPEVVPVTPADVPKADDELLNLQERADTSVSIWSKPAVKRKTKIVCTIGPSTNTREMIWKLAEAGMNVARMNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPVMLSPGQQFTFTIKRGVGTADCVSVNYDDFVNDVDVGDMLLVDGGMMSLVVKSKTEDSVNCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAAVVHELKNYLKSCGADIHVIPKIESADSIPNLHSIIAASDGAMVARGDLGAELPVEEVPLLQEEIIRLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKKTVQQRLALYQGVSPIYMQFSDDAEATFADALTLLQEQGMVKKGEQIALVQSGRQPIWRYQSTHNIQVRKV >KZM90510 pep chromosome:ASM162521v1:6:16384605:16390636:1 gene:DCAR_022125 transcript:KZM90510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPFPAWSWSVEECLKEYKVKIDKGLSTYDVDKLRETYGWNELRKEKGKPLWQLVLQQFDDMLVKILLVAALISFILAYLHGKETGNNGVQAYVEPLVIILILVLNAIVGVWQENKAEKALEALKEMQCDSCKVLRDRYLVPDLPARELVPGDIVELHVGDKVPADMRVAVLKTSTLRAEQSSLTGEAMPVLKGTNTALMVDCELQAKENMLFAGTTVVNGSCICITVNTGMLTEIGKIQTQIYEASLEEGDTPLKKKLDEFGNRLTTAIGFVCLAVWVINYKYFLYWDLINGWPTNIRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVMEFIVLGLNSTACQIFHVNGTTYDPKDGGIVDWKCYNMDANLQSMAKICAVCNDAGVFCNGRLFHTTGLPTEAALKVLVEKMGVPDIKARNRIRDSKITANYLIDHEAVKLGCCEWWTKRSKRVATLEFDRARKSMSVIARESTGHNRLLVKGAVESLLERSSHVQLADGSVVLLDEPCRQLLQFRQLEMSSKGLRCLGMAYKDELGEFSDYYAETHPAHKKLLDPACYTSIESDLVFVGMVGIRDPPRDEVHKAIDDCREAGIKVMVITGDNKTTAVAIFREIRLFSDGDDLKRISFTGKEFMALSASQQREILSSSGAKVFSRAEPKHKQEIVRILKEMGDVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYDNMKAFIRYMISSNIGEVISIFLTAALGIPECLIPVQLLWVNLVTDGPPATALGFNPADVNIMRKPPRKSNDALINSWVLFRYLVVGSYVGIATVGIFILWYTRPSFLGINLVGDGHTLVELSQLRNWGECHSWSNFTVTPFTLSDGRLITFSDPCDYFSTGKMKAMTLSLSVLVAIEMFNSLNALSEDNSLITMPPWRNHWLLIAMSISFGLHCLILYVPFLADVFGIVPLSLHEWRLVILVSAPVILIDELLKFVGRNRKWIAKVKKA >KZM89838 pep chromosome:ASM162521v1:6:5720727:5721695:-1 gene:DCAR_022799 transcript:KZM89838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEVDHYVVLGLPSGEEGSKLSNEDIKKAYRSKALELHPDKRPDDPNAHDNFQKLKTSYELLKDQKARLVFDDLLRVKRERVMRQSQQSSKRRKMMSDLDERERAAFANDSRGKERDEEERIAKKLREEIARIRAMHSNKGVTTGTGKPKESSGSGAQSGGLDKEKVLKVSWDRIGGEDYSAQRLGDLFREFGEVEDVVIRTANKKKGSAFVVMASKDAVATATRSVLGDLRNPLLVLPLQPAVAPTFTSAQEPVESRTPEMSHLVGAGFQAFEDSVLAKLRKVQL >KZM91896 pep chromosome:ASM162521v1:6:28744366:28747716:-1 gene:DCAR_020739 transcript:KZM91896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVIVQSSPSHISSPQSSIPISDNDVFHQQTSSFIHTTSPVDLHRAEEEEGIFRERKRREREEGDQLSLLGVWFTVFRKSLIACKSSESVIESMEIGVPRNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASTSVFGVSTKSMQLSFDSRGNSVPTILLMMQRRLYLQGGLEAEGIFRINGENSQEEYIREELNRGVVPENIDVHCLAGLIKAWFRELPSGILDSLSPEEVMQAQTEEECARLVTLLPSTESALLDWAINLMADVAQLETFNKMTARNVAMVFAPNMTQMADPLTALMYAVQVMNFLKTLIEKTLKDREDFIADAAPSHLEPSDEDGHNSFSQPTTIEANKTDEDEQVFICKAFQKTPAHPSQGDSFTVKDTNGSHSYIEDIIPPGNSHMTDHSLNESPSQFDTKSDTCEDNDNKISSKGTPLNMSKTRNGQSSNSSFKRWSRKSNERPMVPEAADKSRGSTIISRLNSRMERVEAWR >KZM92799 pep chromosome:ASM162521v1:6:36391697:36394027:1 gene:DCAR_019836 transcript:KZM92799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRPPHEDFSLKETKPHLGGGKATGDKLTSTYDLVEQMQYLYVRVVKAKDLPAKDLTGSCDPYVEVKLGNYKGTTRHFEKKSNPEWLQIFAFSKDRIQASVLEVTVKDKDVLKDDFMGRVLFDLNEVPKRVPPDSPLAAQWYRLEDRNGNKLKGELMLAVWWGTQADEAFPEAWHSDAATVSGADSLSSIRSKVYLSPKLWYLRVNVIEAQDLIPTDKTRFPDVFVKAQLGIQTLRTRSSMSKSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCAIPLQYVDRRLDHKPLHTKWYTLEKHVIITEGDKKKEIKFSSRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLEVGILNAQGLSPMKTKDGRATTDAYCVAKYGQKWVRTRTIIDSAAPKWNEQYTWEVFDPCTVITVGVFDNCHLHGGDKAGGARDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPTGVKKMGEIHLAVRFTCSSLLNMMNMYSQPLLPKMHYVNPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVFGGLIAVGKWFDQICNWKNPITTVLIHILFLILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSCADNAHPDELDEEFDTFPTSRPADIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALIAGFYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >KZM90311 pep chromosome:ASM162521v1:6:14053716:14057952:-1 gene:DCAR_022324 transcript:KZM90311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARQTVPRSVEEVFDDFRGRRAALIKALTTDMQKFYQECDPEKENLCLYGLPTEKWEVNLPVEEVPPEIPEPALGINFARDGMQEKDWLSLVAVHSDSWLISVAFYFGARFCFNKNDRKRLFQMINELPTVFEVVTGCAKQSKDSARSNGSKSKSNGNKSRTYESYSKAKMTPPPNEAGESDGDEADEGQGTICGSCGDKYAADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPTCSSKRARVHM >KZM91031 pep chromosome:ASM162521v1:6:21538135:21540503:1 gene:DCAR_021604 transcript:KZM91031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILGGSPAVTSLSAIHSTQTTTRTSRSSCCLLSYATSDKLNLRTSRHLAAFQPPSHLFSSSPSNAITRNKPLIFLPHLVASMEQVEETYIMIKPDGVQRGLVGEIITRFEKKGFKLSGLKLFQCPQDLAEEHYKDLKSKPFFPKLIDYITSGPVVCMAWEGIGVVASARKLIGSTNPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGQREIALWFKEGEVCEWTPAQAPWLIE >KZM91808 pep chromosome:ASM162521v1:6:27986353:27990229:1 gene:DCAR_020827 transcript:KZM91808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFPFNIRQRTDDKKQSQPNSFQSSVTVSTDRATKNSGSESNSRNISDSSSNLGRTKNTFPSFSQQSSNLRVFTFADLKVVTKNFSRSTKIGEGGFGCVYKGVVKISEEPKKLEVANPLSWDMRMKVAQDTARGLAYLHEDMDFQIIFRDFKSSNILLDENWNAKLSDFGLARLGPEEGLTHVSTAVVGTMGYAAPEYIQTGRLTAKNDVWSYGVFLYELITGRSPVDRNRPKSEQKLLEWVRPYLSNPKKFRLIIDPRFEGKYPIKSALKLSKIANQCLARNPKTRPKMSEVLEMVNQIIDPATLADRNRPERMLSAPPEAAHQESTEQTGRKRKIIEIVNGSWLAHMWSSKPVKTS >KZM90307 pep chromosome:ASM162521v1:6:14041638:14044147:-1 gene:DCAR_022328 transcript:KZM90307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESTELEEPSVHAASQARKDSGKDSEYVRLVISSEPRVVDSDNLEPQPAQGTRSSKWWIKLIIWCVITVIASLIFLKWGVPFLFEKVLIPILEWEATAFGRPVLALVLVASLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMVLPYMIGLLFRERIHQWLKRWPQKAAMIRLAGEGSWLHQFRVVALFRVSPFPYTIFNYAIVVTSMRFWPYLWGSIAGMVPEAYIYIYSGRLIKTFADVQYGNHHLTLVEIIYNIISLIIAIITTVAFTVYAKRALNELESAEKNGETAMLATEMEKLPLERPKHLGLPVSLP >KZM89507 pep chromosome:ASM162521v1:6:1387385:1390492:1 gene:DCAR_023130 transcript:KZM89507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDKELVGTLRGFDVYVNMVLEDVTEYEFTAEGRRVTKLDQILLNGNNIAIVSV >KZM90204 pep chromosome:ASM162521v1:6:12547852:12550666:1 gene:DCAR_022431 transcript:KZM90204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSTKQVPGGDPFCKGVCVKLLEARYREEASESGCAIDSPSKCSHLNDASVMEHANAEETSIGAELSKNGAAGDQCSNINENSVIESANTEKTTTEEYTLEASQEIKLPIFATSYAPTDIKPMENERMVINKGAQLQDRFSLDC >KZM91617 pep chromosome:ASM162521v1:6:26553033:26567422:-1 gene:DCAR_021018 transcript:KZM91617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSEVKSLHDDGFEGSENESHTYRKVYFANNCGRSTKRCLVTGVINFRHYYSKNADLSLCSNSENSGVTSQDDPYETKEDPKEKSELPHLSEEFEQAVGDPDVKAKRRKLSVNSPSNAKSYIHNVFNSSAPLKEVVSDMPQSASPFFHHPVMCRIVELTRHGVSCCCYLLKKHRGMNLSGDTYDNEYSRCKLFNVDGSEQKEFADTKAIASPVSQESSATKLLVASPDIVASDFGCHQPLKRRWSKSCFVELDEAEMSLRKESKNNPRPVLRYYINRLFKAAGWVVGRRTRSDKYYGHGGFIYISPEGRPIREFCKAWVLCGQGLFIASKTTLPESDVKQWIDRAQFWSDLNDASAKIEEMDKWGTTSALAHCWYLLDPFAKLVLIDKLFSSLKAGKMVLARRSILVNPKRANDAVINLKNVANARYLLGVGHATDQHHGSSLVLDQALVGSNEIDISKQDQHLQAVLVTSPKSRPYNVSKENFGRNINCGEISVNEKSCLALLSLQAYGSDSSSDQIGNYLLDIPIIKPRKPCIKDKNRQKILLKGRLKDSDLLISAIVRKKSCKSTTKLPEVRKISCKSKSFRKYKKQKRSCKLLPRSFNRSGMPNMEAKGSPSGSRTVLSWLINSGFISLNEVIQYRNTEDDTVVKDGLISWEGILCGCCDTVLSLTEFKFHAGFRLNHPCLNLFMESGKPFTLCQLEAWSVEYKARKSAPRTAQVDESDENDDSCGLCGGEGELICCDNCPSTFHQACLYEQEIPEGSWYCSRCTCQICRDVVNDKKPLRSSNSLACAQCERKYHENCLKEKGIKEEASDIWFCGKECYKVYSGLHRRVGLMNLLSDGFGWTILRCVHGDKNVHSAQQFVALKAECNIKLAVALKIMEESFLPMVDARTGIDMVPHVLYNRGIHGTTVAEMPLIATCSKYRRLGMCRHLMNAIEEILRSVKIDKLVMSAVPALVDTWTKGFGFELLEDDERRAMRQTANLMVFPGTVWLKKLLCRSQVPEDLQTGLCNASTSKKDDLGDSGLLSEGGIVTRTATPETHLCTYKFEVQTEEISSNGNIQMDKDLIEGLDASFSQLSLEEKD >KZM90299 pep chromosome:ASM162521v1:6:13973777:13975087:1 gene:DCAR_022336 transcript:KZM90299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDIKQETTATNDSQRKLLGGSSNDRIKRDEWSEGAVSSLLEAYETKWVHRNRAKLKGQDWEEVAKFVSCRGNSTKSPKTQTQCKNKIESMKKRYRSESTAAEASSWPLFPKLDHLLRGSITTQRAPVLPQPTLFSSGVMVTEPTLLLASQLPPASPKEPLSLLTPPPLASPINTGIAAANSHGSDGADQVAKADGMDTKVSDHLSDKQAIDTDSSTPALCSNKEKTKSENFTNKTQRKKRRRRGEWEIGSSVRWLAEVVLRTEQARVDAMRDLERMRAESEAKRGEMELKRTEIIANTQLEIAKIFANMGKGVDSSLRIGRDG >KZM90794 pep chromosome:ASM162521v1:6:19305047:19310332:-1 gene:DCAR_021841 transcript:KZM90794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFVIWSFGAFCLVLVLNQFLSVSGNAEGDALNALKNNLEDPNNVLQSWDATLVNPCTWFHVTCNNENSVTRVDLGNANLSGQLVTQLGQLPNLQYLELYSNNISGRVPNELGNLTNLVSLDLYLNKLNGPIPDTLKKLQKLRFLRLNNNTLTGTIPFSLTTIGSLQVLFSGNLLDALPVSPPPPLPPSPPSSKAVNSATGAIAGGVAAGAALLFAGPAIALAWWRKKKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMSNGSVASCLRGKSSEKTDCFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLESLVDVDLQGNYVDEQVEELIQVALLCTQNSPTERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQDYSNAHNQHNDWMIPDSIHNLQPDELSNPR >KZM90886 pep chromosome:ASM162521v1:6:20160156:20162468:1 gene:DCAR_021749 transcript:KZM90886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCPMDQLKSQPLWVLALLTLSSLYLLKFLFSILRWVYVNFLRPAKNLKKYGSWALVTGPTDGIGKAFAFQLARKGLNLVLVGRNPEKLKDVSTAIRAKFERIEIKTVVVDFSGDLSDGVKRIGEVIEGLDVGVLINNVGISYPYARFFHEVDEKLLADLIKINVEGTTKVTQVVLPGMIKRKRGAIVNIGSGAAIVIPSDPLYSVYAAAKAYVDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIRRSSFFVPSTDGYARAAMRWIGYEPRCTPYWPHSIMWALANSLPEAVVDAWRLNFCLAIRKKGQSKDSRKKE >KZM90834 pep chromosome:ASM162521v1:6:19662081:19662497:1 gene:DCAR_021801 transcript:KZM90834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGKLRKGIMKAKLTMSFYRAAKPSPLPYSTSKPKLYNNNMEVANQIIPDQPKQNIAPSTASTVGYIVNQDQAHTQPKQVSFAIPSDTDTRDSYRKKYDNPIDVRAASYISCVQERFKLERLNSERTQDMQHYLTS >KZM90742 pep chromosome:ASM162521v1:6:18786994:18788309:1 gene:DCAR_021893 transcript:KZM90742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRKLQKSRFCRRLRQRKRKKNMVFSFHRGGVKIPGIPGIDGKEGVPMVGVGKLGKPGDDPPSGGNEGNEGLGRVGFDGNGRFGREGRLDIVKRRRVAETLPKPGNDTAMMNKDSNKTLLFAMFAEIRGRAGEKQYGMQGHCLYERSMMDR >KZM90200 pep chromosome:ASM162521v1:6:12502147:12508012:1 gene:DCAR_022435 transcript:KZM90200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNISVRTQEFRMDKRSWPWKKKSSDKANADKVVATSDSAGAATASSEPQDQVTPQGKQEKKPKYVQISVELYSHLTGLEDQVKTHEDQVKTYENKLTSYEDQVQNLENEVNELNEKLSEADSEMIIKENAVKQHAKVAEEAVSGWEKAEAEALALKDQLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEEKLHEIVLTNTKQCDMIKSKLETHIGNLEQELLRSASDNAAISRSLQDRSNMLIKISEEKAQAEADIEQLKSNIESCEREINSLKYELHIVAKELEIRNEEKNMSVRSAEVANKQHLEGAKKIAKLEAECQRLRGLVRKKLPGPAAMAQMKLEVENLGRDYGDSRVRRSPVKPPTSPHMTQFSEFSPDSAQKHHKEIELLTERLFAMEEETKMLQEALAKRTSELQASRSVCAKTISKLQSLEAQLQANTQNRIIAKSTTQIQTESSLSQHASNPLSLTSTSEDGNDDELSCTGSWATGSMSELSVKKEKNIDNQLMAKKENQLELMDDFLEMEKLACSTGESNGDISNSTRSEVVNHDLTAATTTTDLTLQEKQQFDSLGIQKVSDKEDLVPYMKLQSKISLVLESVAKETDVSKVLEEIKHVMQNLHDDLHQNSVKPLIDGPLCTGAAGDQENCPEYVEVTTDKEVFVSQDSEGLREIVHEITEDVKIAVSSINDFVQLLGKEAKAVQVPLPNEDGLSRKLEEFSFTYDEVMKGKIGLSDFVLCLSQVFSNANALHFSVMSYNNIDMDNSSSDCIDKIALPENKATENSSGERYDNSCGSFLDSPSNPDMPFEGTFVPNSEFKTLSLEDFEQLKSEKDNLVIDLARCAANLEITKSQLVETEQHVAEVKSQLSAAHKLNGLAETQVKCMAESYKSLESRAEESQAEVNHLRSKIENLYIELQEKTNQHQDALEKCKSLQEELQRIENGSGANIDAKSNQEMELSAAAEKLAECQETIFVLGKQLNLMRPHPELPGSFSRDKSQKNVECFTEDERTTNNSVDMNEINTVTSADVNQSGGESPVNIYDTPFSPSDSEASNLPRSPISSKHSHHRSAKSGSSTSSSSTPTPEKNPRGFSRFFSTKARNDH >KZM89498 pep chromosome:ASM162521v1:6:1315585:1317648:1 gene:DCAR_023139 transcript:KZM89498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNSFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFVDHPGSVPITTAQGEELMKSIGAPSYIECSSKTQEVAFRNLVLCLKGI >KZM91625 pep chromosome:ASM162521v1:6:26630410:26632898:-1 gene:DCAR_021010 transcript:KZM91625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTAKTACFVFILVSSIAVIQVAGRTLRDGSSELFSDGVAHQANDTISSHLVFRGMVGESTENCEHMYGFLPCADSLLGHLFLILVYEYLLFNGDSYVASGGERIFKILGPGVFGASAFQIICALPESLILLGKFSYSASIYPFLMSWLFDTNPIYNAGFLSAASGLLSDNEKAQDYASTGLGLLAGTTIFLLTVVWGTCIIVGSTQSTSGLNSDPSNSSSSSQSKIKTLISKTNEFCVTTDEETSYTARIMVLSVIPFLVILIPEIFQISYAREHIIIFIALIVSAIFLLSYFFYQMFEPWIQDRQLEYVKHERLVVDILKHVQQHTVGKLINEDGAPNESAIRSLFSEVDQDRNNFISFDELKELLHEIQFKNTNWDKDKKIAEVMKEFDLNGDQNVTIDEFVKVFSKWLDDTKSTMDKRYHSVNSMKDLYQILHPWIQNRKKEHEMKKALVSEIVGHVQSSALGSLYTENGKPDIYAIKRLFENMDLDKDNSLSQEELKKLIVQVNFGKIPWDADEIVGKMMEQLDVNGDQFINEDEFISGFLKWLRCLDNGSPMPSPSNYGPGNISPMPSPSNYGPGNIYQVCKDVILNYILICTYIIYYHLEH >KZM89575 pep chromosome:ASM162521v1:6:2237877:2239670:1 gene:DCAR_023062 transcript:KZM89575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGHPRKKAELKKLRPMIVERIKNRAKDYPIKAMIPVAEDVLKARVLLIQGVSTLLNFVPVWTCKFCPEVYIGEEGHLIKTCGGYRHHAKNQHHEWVKGSLNDIIVPVKTFHLKNMFQNVIEHQERFDFDRVPAVVELCLQAGAFLYDERLYSRHLNFDTDVNSFVGDAYMSEEDQRFVARGTLSAWETVKSGVQKLLLAYPTKVCEHCSEVHVGPSGHRARLCGVFKYQRWRGTHFWKKAEVDDLVPPQIVWFRRPQDPPLLLNTCREFYGHAPAVVDLCVKAGAIPPSKYLCMMKMQGLAAPLLAPAVVVD >KZM92515 pep chromosome:ASM162521v1:6:34030824:34032273:1 gene:DCAR_020120 transcript:KZM92515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFKWTEDLHALFVELCATEVFKGNQVGASLSKEAWARVRKELTAQKKVVCVHKQLKNHWEKMKDEYQTFKKLKFGESGLTWNESTKMIEAPELWWLQKIQVNPKFKKFRNKDLSLIMTYYETLFGNIVATGERARTADTYSAVNLETGVEFTDDAGDDGKEGIGDSEDNNMQLPPNLFPSTSLKFGKSRGSKRKRSGVEMICDCLDRLVAAMSSRSTQSTAAQLKAANDNAALKEAFDILNNMEQEQVIPGSDLYCFAAQVFMHDRHNRCFFLKAPSNDVRLQQLLYAFKAAGHNFGGQ >KZM91143 pep chromosome:ASM162521v1:6:22545866:22548664:1 gene:DCAR_021492 transcript:KZM91143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEIEWPANRVRDTFIKFFEDKQHVNWKSSPVVPHNDPTLLFANAGMNQYKPIFLGTADPNTQLSKLTRACNTQKCIRAGGKHNDLDDVRKDTYHHTFFEMLGNCSSRGHGSFSPRHVYKLPEDRIYATYFGGDEKSGLDPDTEAKALWLKYLPKKRVLPFGCKAEAAQVRGKTFYLQYSNRQEIVNYKTTADVVRNVLEMLQIAESLVAERKIQTKRRIKN >KZM91154 pep chromosome:ASM162521v1:6:22620309:22620497:1 gene:DCAR_021481 transcript:KZM91154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPGRGKVALGIYLLNNTKNRAIPCPREKLVMIAMNAALEDVYKKVFADSYQHGAPLSRGV >KZM89994 pep chromosome:ASM162521v1:6:9330044:9330664:1 gene:DCAR_022641 transcript:KZM89994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTPLYPKYGTEDSHDFDPQLDFAVFLMEARNYEFKVNNIMVDQLSALGNAGQSDEGLKHKKSWRNSFLFSWLKAEKKGNKCSRMETEPSEKSCSVPTLRRGHSGPMFGKRGRTGSNTLWRQNSGPLTSLFKRTEEQMPYICLDKLNSNFHAAESYGPVYLVT >KZM90172 pep chromosome:ASM162521v1:6:11988406:11993491:-1 gene:DCAR_022463 transcript:KZM90172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVYNRLESPGNEGSIVHDERKELQSDHQNEVDEITREGTLKGKEIFAPDDYEVSIKEGTRGGNRKKGVKEVPFEIEVDLNSEELKAVQEFRLSLINDNLLPDRFDDQYLMLRYLKARKFDVEQTKCMWVNMLQWRRDFGTDTILEDFNFAELDEVQKYYSHGHHGVDKDGRPVYIERIGKVDANKLTQVTTWDRLVKYHVQEFEKRVLVKFPACSISARRHIDSSTVILDVQGVDSKKFAGSVGEVMDKMRLIDTNYYPETLHRMFIINAGPVFWMAWNIICKRHVDPKTLTKIQVLGKKYQNKLLEVIDKSELPEFLGGCCTCMDQGGCVRSDKGPWNDPNVLKMVRGTSIFESGAGAEEATFPEKKQQHPEQKLEPARDQVGSTACQDEVLSDCEVDLLSKTVNEKAKVGKSVQESHSSREGFGLPRESSVRRLLARICTILLLICTGISTSICWICAAAVAALKSAARTRESDPPTTEFPGENRHSDPVQKIYELERKVNMLLARSCSMPRNRDEALNAAVCRVDALEAELISTKKALHEALLKQEELLACIDSEEAAMSPVS >KZM90734 pep chromosome:ASM162521v1:6:18709973:18716747:-1 gene:DCAR_021901 transcript:KZM90734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQISIRRVKALKGLSPQIYALQSSHLSTSAQPSLKNRNSLRVQNLVGGSFIDSQSVESIDVLNPATQEVVSQVPLTTNEEFKAAVSSAKQAFPAWRNTPITTRQRVMLKLQELIRRDMDKLAMNITTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYVPNVSSGIDTYSLREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMILAELAMEAGIPDGVLNIVHGTNDIVNAICDDDDIRAISFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHGIVMPDANVDATLNALVAAGFGAAGQRCMALSTVVFVGDSKEWEDKLVERARTLKVNVGTDPGADLGPVISKQAKERILNLVEDGVKSGANLLLDGRNIKVPGYEQGNFIGPTILSGVTADMECYKEEIFGPVLLCMQADSLEEAISIVNRNKYGNGAAIFTQSGVAARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVNFYTQIKTVTQQWKDLPSGSGVSLAMPTSQKQ >KZM90758 pep chromosome:ASM162521v1:6:18914683:18922195:-1 gene:DCAR_021877 transcript:KZM90758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKEILRKELKSLRNASVKDYVHVRINICGSPLEFPETFPSIGRVRVKHRGILLTLKGTVIRSGAIKMVEGDRTFECRRCKHRFKVSPELETGNSVPKPTFCPSEKQKYCESTSFKLLEENIVCHDYQEIKIQESTQVLDVGAIPRSIPVILKDDLVDIVKAGDDVIVTGILTARWSSDMKDVRCDLDPILVANHMRRTNELKSDVNIPDDTIMEFKKFWSEFRDTPLKGRNAILKAICPQIFGLFTVKLAVALTLIGGVQHVDASGTKVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDAGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGHYDPELSLSVNTTLSGPLISRFDIVLVLLDTKNPEWDAIVSSHILAEAVAEKGSDENLQGIWTLTMLRRYLHYVKASFKPVLTKEAEKVISSYYQLQRKSAAQNAARTTVRMLESLIRLAQAHARLMFRNEVIQQDAITAILCIESSMTTSAIVDSVGNALHSNFTENPDQECILFAHLPLLEI >KZM91109 pep chromosome:ASM162521v1:6:22214892:22216893:-1 gene:DCAR_021526 transcript:KZM91109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADKGKSSSKLCSKKIQGKVSGVTKAEREKLKREHLNDLFLALANALEISEQTNGKACVIGETTRMVKDTLKQIECLKRENEALLFESQYVTSENNELQVENSALQDQIVKLQKELKEKTSQVNLDLNIAPPEPKRLDLMPLTAEDHITFPIGEPLLQKPATGAPLYVIPVYPDFQVHQQSNHTAQLACEPVGNVSKPRARYPSPGDSWPSRIL >KZM91484 pep chromosome:ASM162521v1:6:25502231:25504269:-1 gene:DCAR_021151 transcript:KZM91484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITEQFRKLDPESSENLQQVEEIIGYSFRNKGLLEEAYTDPSYTKNPKSSYERLEFLGDTVLNFLMGTELFSLYPDLMPGELTQLRSANVSTEKLARAAAEHGLYRFLRHDKPLLSAQIEEFVSTFSRYSLSCVGLIDAPKTLANIVESTIGAVFVDCNNSNEITSKVIKKLLQPIIIPSTMTRHPVTLLFEICQKNGFHIEVIDSWQENGQIEIMIANKYVGRGEYKTKKAIAYNRAAADAYNDIVEKHHVDDS >KZM92675 pep chromosome:ASM162521v1:6:35320994:35325595:1 gene:DCAR_019960 transcript:KZM92675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCKRVVYKPVAEVDVSSSSDEVYISANVKAPRMAGLLVKLFVWLLELRILKPLLLYMLKRENQVHKFVSFVELEDPPLYVPLHPYEEHREPETKYLESGLSPSEQVQQATECIEFSENIGENEKISFRHWTILDYSRAYLSRQITPNMVADKFIASVHQSNDPALQMSFFISCDDQEILRQANEATLRYERGEPLSALDGVPFAVKDEIDCMPYPTTGGTKWLHKVRPCTDDADCVKRLKLCGAIIVGKTNMHELGAGTSGINPHYGAPRNPYDTNKITGGSSSGSAAVVSAGLCPAALGVDGGGSVRIPASLCGVVGLKPTFSRVSHSGVLPLNWTVGMVGVLAGTVEDAMIVYSAISGQILSHQHVEQRHPEVHLPLLNSPNCMPKIKLARYDKWFNDCSSDIKLSCSNALDQLQKIYGWETVDINVPEIESMRLAHYITIGSECNASLSRYLEKLDMDEVGWDARAALSLYGAFDSKEYLNAQRIRNRQLQIHQKIFDQADVIVTPTTGVTAYTIQDDAQKTGELDYINGASLVRYMIAGNFLGLPAVTVPVGYDRDGLPIGLQIIGKPWSEASLIYIAYAVQASPLTIIISILK >KZM92093 pep chromosome:ASM162521v1:6:30465442:30467949:-1 gene:DCAR_020542 transcript:KZM92093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSLEDYLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDTPAGLDASSVGSGSRSGGSGGALASSPRGGPNSQVIPVNSVGYEVFLLMLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTAAVDLALETLAAARSFGIEQLALLTQKQLESIVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEILAKHLPIDVVAKIEELRFKSTLARRSLMSEQHHHHHQHDLTADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAAEVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTMEGITPLDVLRTLTSDFLFKGAIPGLTHIEPNKLRLCLELVQSAALVISREEVGGGDGSGGANTSTTIYPPPMGNEDHHHSSGGARGGNVGNLNLDSRLVYLNLGASSHINEGDSQSDAMNRGGCDPSSMYHHSHHNY >KZM92512 pep chromosome:ASM162521v1:6:34001587:34004801:-1 gene:DCAR_020123 transcript:KZM92512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVANSFDLWQKDAFFTAAEEVQQSADIMESAYRNWARQRREGLAPKDLNELCRELQTAFGTAKWQLEEFEKAVKVSYGNRAADDLTRDRHRQFVNAIKNQIAHVEAELEKSHIEEGKQPLRWVNLDEEERDDLAMFLSGTRKSLESLDDRYDAKLGTNLKCLPSEKQCIEQIADLRLNFDSKGDLQRQKKGLKYVNTNMDDSYVIEVATNESPETSDSSCSQADRKVGTRRNWSTPNFGSLSITIDATNDAKNVLMSSVDATPKEKGTKPIFWKPTIGDQFQAMRGINRINQLFRLVLGRQRQLQTLVLQRNRSFQLILALMIAALLIVPFAFYST >KZM89679 pep chromosome:ASM162521v1:6:3277744:3281689:-1 gene:DCAR_022958 transcript:KZM89679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHSVDVNPTECVKSVDASCSVSEIDEYDFSRFPERPRNLNMDRDRQRSFDERSLVDLPCFSPPVSSRADNISRIDHFDSLPFSPAHRSGFDTPRSFGHEGSPMMAEAWDALRRTLVYFRGKPVGTIAALDSSEEKLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLRLQSWEKKIDRFQLAEGVMPASFKVLHDPVRNTETLMADFGESAIGRVAPIDSGFWWIILLRAYTKSTGDTSLADKPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALVLLKQDAEGKEFVERIVKRLHALSYHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPTHGGYFIGNVGPSKMDFRWFCLGNCIAILASLATPEQSNAIMDLIESRWEELVGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPHIAKRAIELIELRLARDSWPEYYDGKVGRYIGKQARKQQTWSIAGYLVSRMMLEDPSHLGMIALEEDKQMRPPLRRSASWTF >KZM91177 pep chromosome:ASM162521v1:6:22795269:22798402:-1 gene:DCAR_021458 transcript:KZM91177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPNFSFFLVLLLALLFSTHSASPSPTNVISVLLKAKNHQLIDSENRLSDWTNTTQYAPCDWTGVTCNSSTVISIDLRSFNLNGSFPSLFCKIHTLRHLNLFDNNLSGTISSDSISFCSHLHSLNLSSNYFTGNLPDFGAHFTNLTSLDLSSNNFSGHVPESFGSFPALKVLCLVNNLLNGTFPEFLTNLSTLTDLQLAYNPFQPSRLPSTIGKLAKLENMFLSFLNLNGVIPECIGNLSALRNLDLSQNSLSGEIPSSIGDLASVEQIELYRNQLSGELPNTFSNLKSLLRFDASENKLVGRIPISLAALPLESLALNDNFISGSVPQVLSSNPNLYQLKVFNNNLTGSLPLDLGKHSGLVDIDVSGNNLEGTLPPYLCYRKKLQRLVTFSNKFSGVIPQLYGECTSLNYIRIFDNSLSGKLPVGFWNLPGLTFLENRNNRLEGSIHPSISNARGLTRLLISGNDFSGGFIPEICNLKELVVIDMSHNRFSGQLPSCITTLKNLEKLDLQGNMFTGVIPNTVSSWTVLTDLDLSSNKFSGEIPSELGDLQVLTYLDLSRNLLSGEIPVTLIKLKLDVFNVSDNALEGVVPVVFDNELFSPSLMGNPGLCSPDLKLLPPCSEQKASSLWKVMLLFVVTLVLLGLLFCLLIMTQRLPYFEENMRRSLKITTFQRVEFNEEDVLNELVDENIIGLGGSGKVYRVKMETGQTVAVKKLFGVDENAETDHVFWSEVESLGNIRHVNIVKLLFTCSGEDFRVLVYEYLKNGSLGDVLHGGKVGEVLDWAKRYEIAEGTAQGLAYLHHDCVPAIVHRDVKSNNILLDEEYRPQLADFGLAKMLNKKDDRECMSRVAGSYGYIAPEYAYTLKVTEKSDVYSFGVVLLELISGKRPNDPLFGDSQDIVKWARSVFSSYMQESTHTGHHLKGLAQLVDPSMGASSRECKEIEKVLDIALICTAASPDNRPSMRKVVELLKGLKLLRSSHAEA >KZM89797 pep chromosome:ASM162521v1:6:4985563:4990783:1 gene:DCAR_022840 transcript:KZM89797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPMSTPAAAPPPQDAVAPPTNRRPRVREVSSRFMNATPVPSGEHPALQQQPHKTPKHSVSTPMPAQKMQRSKTDNPHHQETLIRRSYSDSPFTLHNYNKVPPPRPRGASTRLVFKENKGVRSEGSDNDDCDAVPQLRMVRSSSSRPDTPATQRIVPSRFRQSGNTNLMHASAAAKLLQSSGLSFSSAPQEHLGDAKATEDDEDGCTSKSCPNSPLPIQNNSLQWNSDVRSSMPERTCFGSTNGGESQLSSKLSSASPCSRSLNLLNSSVKAMGFNLSLPPPHPMPLTKQGDVARKGRKLSNREEQVHSLKMLHNHYLQWIFVNAKSQASFHAQTRDAEGKLYSLGAKISDLSAVVRRKRMELEYLKRIKTLTTIVENQIPYLDEWSALEEEHSSSLSGTSQALINSVVRLPLGGNVQADTKEVGEALTSAMKVMETIYVQVQKFLPKVRRSDRCVSFSSVYGSLNF >KZM92049 pep chromosome:ASM162521v1:6:30061750:30063473:-1 gene:DCAR_020586 transcript:KZM92049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPCLNRVLIEKIIPPSKTSAGILLPESTSKLNSGKVVAVGPGHHDREGKLIPVSVKEGDTVLLPEYGGTEVKLDDKE >KZM92824 pep chromosome:ASM162521v1:6:36580359:36581192:1 gene:DCAR_019811 transcript:KZM92824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMVRGGGVVVAFTTLQNHNSLLAVSRESGLAMATPREAACYQQQQQQQFNCYTRRHMRAATLMVVSAKKKDEEEAETNEPKRKQNLFESVTEALDFAQVRSEEDAQLLDDARKATKSGSKMTREQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKICKKDTRGEARQVDNFGRYVHIACLDDKSKSGGNFFTRLFS >KZM92122 pep chromosome:ASM162521v1:6:30706543:30715894:1 gene:DCAR_020513 transcript:KZM92122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSVAHIPSPISSQTESQSTQPRFPPIPNPNFSKPPNFSSFAASLSDSTSFNSSSIGKPSVGATKDSFGSVFESKVAGSSSRRPRLVKVRRQSGLQYARAKLVKENANRGNDVSDNFLGVSNLNINKSQFGSTVNFVGGGSNNVDNKSNVVSDTKLFNDGSGGTLGMGGNETGNFGGFGLGIKECGGKVGDMDSNGLGKSGNLVFSSGANVMNLSSSSNSKGKDSGGNKVRCDAERKNGGEGIKSEFVFGATGGGFLAKSNLEKKEVDATSFVFGAGGNGLGSRLDFKHVGMNGSVGKSSSFDSEKLKSTLDRGNNVTGFVFSASKSSFAGVADAGTPQSSREVDKSSFFHVTKEYCNSKADNVFVFGSGSKGSCTFSSVSRHGDDIQNLDLKKNENCNVELKGKGNKIDCGPKSMPNFVFGNGSNTSNSFNIHAYKLSDDMQKMNMHDSKVVDNSSSGSFSNLSDKFVFSSDNKVSFNGNSAFTSLHNPNANAVVFQNCQSVKNNSAANDKSSEEKVFGLETNEKNVPSFGDGVENKISDTARNKDTRYGTGLFSGQNIPTFSSFGTRGKENKSLNLKEDGMAGKQNLGNQTSCNNGSFYPSSSLSTGFVYQPSDSVHQSSSGDGAEEMGKEFKFTSTPVKHNLSFTGFGTPNLDMPANLFSEDGALRKDKEFTSTSTVVQPGPSTTGFTTPDMNMPANLFTGVSMKLDFSVSNVSAGGRKLKKTRGKLRQHKVSRAFNSQQYEVPLDSGSPMDFSPYQEASCADASPSNIFSGTANEGPAVARDGSGENDHHGTEPLTNPKTASTHRSIKKYRMKIGHGSNSTSKSWKTEFATSSAKNPDTVNSTSKSDGFQAQRAGISSTQSKGEHQQVKNDKTMKRDLNDAATIEACEKWRTSGNQAYRKGSLPKAENYYTKCINAITQMKTPECCIEPLVLCYSNRAATRLCLGRIREALMDCNSAASLDSNFQKVQMRAANCHLLLGEVEDAILHFNMCLESSTEICLDRRIMIEAADGVQKSKKVVDITNQSAELLQQRTSDAASKALRIIMDGLSISSHSEKLLELKGEALCMLRKYEEVVQLCEQTLGFAEKNFTRIDTVNQVSDANSFNGKNTDIKLWRWRLMSKAYFHMGRLEASLEIIKKQEQLRSIDHKSIETDSAVIAATVRELLQLKNAGNKAFQSGEHTEAIEHYTAAILSSVQSRPFAAVCFCNRAAALQALGKISDAIADCSLAISLNENYGKALFRRATLHEMIRDYEQAANDLQRIINLRKQNEMNQESHASVGSGGIRDYTKEARSRLSSVERKAKKVAPLDFYLILGIKASDTSSNIKKAYHRAALKHHPDKAGQFLIRTESLDEGPLRKEIAEKIHVDADRLFKMIGEAYAVLSDSEKRAKYDLEEEIRKNNEDKNNSSRRESNVYSSPFERSSRENGRGWGTYGSESWKTYGKSHSRW >KZM90446 pep chromosome:ASM162521v1:6:15881236:15881757:1 gene:DCAR_022189 transcript:KZM90446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRALGYLPRLQSLHLHNGKLPSTFQHLTSLVTLDLAENETTGILPSWIGHGLSSLKFLTLQSNNFHGEIPQELCYISNLQLLNLAQNNISGRIPSCFGNLTAMTEDHSNDQFIAFYSNATFGYGERLLDYMKGIELEFFTSSLTFLVSIDLSNNDISGEIPKEVMSLSGAKF >KZM90122 pep chromosome:ASM162521v1:6:11384346:11388914:1 gene:DCAR_022513 transcript:KZM90122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPSSPLSSPTALRSPLLSPEAKIPVTDEPEKLYIDHMLQKYCGEFGAYQLKHFVLTSLAWALEAFHTMIMIFADREPDWRCSGPGCSPAATSVCGLDEDVWQWSSGTGSSTVSEWGLICGEKYKVGLVQALFFGGCMIGAGIFGHLSDSKLGRKGSLTLVCILNAIFGCLTAFSPNYWIYVLLRFLTGFSTGGVGLCSFVLATEPIGPSKRGIAGMSTFYFFSGGIAVLSGIAYIFQTWRSLYIAASIPSILFLFIIIPFVSESPRWYLVRGRSNDALKIMRDIAKSNGKYLPDNVSLAMDDDKESTDTSDKEGSIDDKEAVTGSILDVLKSPLTRLRLFLTVAISFSSSIVYYGLSLNVVNLDTNLYLTVLVNAVAEMPAFLLTAILLDKYGRKPLAIGTQWFSGLFCLAGSLMARNGPWKVVRMVCGVLGIFGMAGTYNLLFIYTMELFPTVVRNAALGCATQAAQMGAILAPFVVVLGGGIPFAVFGVCGVLGGILTFYLPETLHKPLYDTMAGMAVGEI >KZM89988 pep chromosome:ASM162521v1:6:9275499:9280496:1 gene:DCAR_022647 transcript:KZM89988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLTSLYPLYTELGEEDFFLIFTYKGMGVFRVEVIDSEDTEIEYRIIRRIPRSPLIFQDKTWGKRWKFLCYANGSVFDNGSLLIPNAFLGRFRAIIPGSTKIRLVNGEQLDCQFNSNEGKLSGLLLIVEKKYIENWDILIFTYRGSGEFDLSLYDNSRMEKLLQINVVDIGQNEEGQLNSNNVIIPDAPGHDGIQFSKCLALSNIDGTSHGVHIPVHVKPTNRAWIAGEKVSLRTAAGAWNVGIVLSGRKARDFLWLFAIVTQSKTWENNWILTVDGVSDYNMLYNPINGRIEQTEFIMNDLNIKWFNPIILTLDSIYRYKIMVFSPSRIEVTNQSRSKGVKTHKSDNLSFEEKLCINNRAIALSNLSYVKDGQKPVFIHIRSSHLSDKVVELDFGTVLYERFKAGTMCNQLILELRQMQFDLSVVYLDGTLILVNGWKKFVYLAAVKEGEILAVKYVPEPMKLMVCVVSNEEIDVLRRDKGDIGPSRSHRRDEIHQAADRAVEPHSSICSRIATADSKSNNCRYILNITENTFEVVLKPSHLDERSHGAYVNRNLAMFYKKWGKTPTATVSTVAGTWRIRMNIDKKKCRFGKGWNEFVSGAKLKEGKKLKSSLVEPEEKKFTVEVED >KZM92178 pep chromosome:ASM162521v1:6:31216701:31217900:1 gene:DCAR_020457 transcript:KZM92178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQDDEASLDWLSHVDNANEILKRLTVKNLCLMSAVSGTWKNLISDADFKALHLEWSKKNPLMLIVTPPLLLHQNYLSSDDDEYGLADQFYEDGGLVDEDDPQYPLVFTSLDPANGEVIYRVTINTSEEFEVLSSGRGCLFCIHSALRYVIFNATTKQVQELPEADPPHATAVGFGYIEARNAYVIVNLCYRSCQLLMLSETGEILAPWRTLTGEAPLYMCGTACTFVRNTCYWMDDSNFNSPLGNYILGLDIDSEMFCRIAYPAPESYKNGHDMHIGELNDQLFLTDTSSSTFKMDIWVRENAQWEIKYQIDLSPLMVGFDGGLVPLLKLIGYVEGDEDQEQVLIFQDSELYDEVFYYNVKARGFKVGKPLQVENNPGNMMFPYSERFDSIRRPEAI >KZM90031 pep chromosome:ASM162521v1:6:9752003:9752944:1 gene:DCAR_022604 transcript:KZM90031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKMNSITSSAVVLSRELSSSSRYHPRISTNNYNIPCSRQVIPIPNRRLLWFKNTSNRSSSFTSLAISSDQVVSATESDPELTWQILVGALAGVIPFVVAAIEFSKRIVAQKKCEVCQGSGLVLMKKEYIRCPDCGGFLPWVSWKRFFSG >KZM89775 pep chromosome:ASM162521v1:6:4647596:4649626:1 gene:DCAR_022862 transcript:KZM89775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVPESVMEAVNRTLKNVEDVRTHFPDFLNQCTPTSLAQLDPLERAQSLLLLAKATTVLFALRLRCKGVHPDDHPVKTELERLSLYQEKLERFINLSKAPLRPSATINAQAATRFIEHSLPDLTPEQRQGMREISRGEGSKLKYLETNFNKKRKCASGERKSVQAAAQEFLDKAARELLGENNGGFKGPLQPMQSQDSEEK >KZM90753 pep chromosome:ASM162521v1:6:18872930:18874157:1 gene:DCAR_021882 transcript:KZM90753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTNACKVGNWITLLLYRYRASARCAGYSSSYPGLKYAVQMCRQGGDGSILQQRQRFCSYSSAGFTKHEIDMLKKENNKVEVHSATPAHPGHKISTWLKWLVASAFSVLVPFLKQKWDNLLLLEGKLEKAAEEVEYVAEVVEKVAITTEKVSAEVANNLPGNSKLKETALIVEHLSSVAASDAQLAENILHKANDLKEDVEELEKIVKPVIDQIGHVKHVN >KZM90077 pep chromosome:ASM162521v1:6:10357207:10358470:1 gene:DCAR_022558 transcript:KZM90077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAIEGNTSFTSAGIPMKRKRGRPRKDKSLYRVASVRPRTHEKLHRVENAGGPPGAPAFKVDHVDQVEDKSSGMVGQAVSGVVESVFDAGYLLAVKIGNSNVTLRGVVFKPGHYAPITAANDVAPRVQTIRRNEIPLTPPNQSIIRHADNLGNGSPQHHLEINLFSTKDGYASSAAALSVPPVQAGATVVPVLQNPATAQDAHVAASNGNYVQTAAPPSTCETNKSAPDFSQSETMSHVIPRDVNSKDGPFDHGSLELQQGNEGKSMNSVNMSLPAEPGFQGGLQSSEARDFCNVPEQETNDMNEPLFVEPLRTVHTSFHNQSPFVPNLVGHNGVGRMTELLQAVQENMKDNPHHTEHLPAFSKTEYNEQIPRTGLRDERVVESEKHFQAPGV >KZM92670 pep chromosome:ASM162521v1:6:35284124:35289181:1 gene:DCAR_019965 transcript:KZM92670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFSILNRLLSSSNSFPPNFGWLVTASFGFIAVLYAFLRWQKKTSLNWVKASAREKQKAWKKLKLPLSHHTWVEDFSHGKRPSTCCVCLTSVASPQHTGTKPTTHSALQRCSVCGVSAHFNCHQFARKDCKCVAQASFSHVLHHWSERWNTMDENSEMSAFCSYCDEPCGVPFIDASPTWHCLWCQRLIHVKCHVKMSEESGNVCDLGSLRRVILSPICVKEVDYEKSRGGMLNSITEEIIASSVRGQIRRRRHRNKNGAGRSINSKLQDNSAANTALQYVLSGIAGLKDSGVLGKKGVQNDVIHNNQEIVVQGRLRRYELVDLPTDARPLLVFINTKSGAQNGPALKRRLNTLLNPVQVFELSSSQGPEAGLKLFSNVQYFRVLVCGGDGTVAWVLDAIEKHNFESPPPVAVLPLGTGNDLSRVLRWGGGYSTVEGQGGVSSLLYDIDHAAVTMLDRWKVNIIDENCDDASDKVQFKYMMNYLGIGCDAKVAYEFHVTREENPGKFYSQFVNKLRYAKEGARDIMDRTCADLPWQVWLEVDGNDIQIPKDAEGLIVLNIGSYMGGVDLWQNEYEHDDHFSHQYMHDKMLEVVCISGAWHLGKLQVGLSQARRLAQGKIIRIHLSSPFPVQIDGEPFIKQPGCLEITHHGQVFMLRRASGSQEPRGHAAAIMTDVLVDAECKGVINASQKKLLLQEIALQLS >KZM90870 pep chromosome:ASM162521v1:6:19984855:19990370:-1 gene:DCAR_021765 transcript:KZM90870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQELLSKKGALGTIWAAAHSLKKLTKEDVFDSNISSFVDKILLDEVPAATYRILAYLLLGVVRIYSMKVEYLFCDCNNVLSEVRHFITSAKIDADIELMCAPYSSITVPERFELDAFDLEIIEDLNRDHHTKMQEEITLKDKDDGISSPSFQQSYMEEDTSNLGGFSAVHATCNDHYSMSNTTAEMVASTLHNIRNLDRSMDKFQNYRFSLEDCLPPMLFDEDEERADPSRKFHEDSQKDGVEMNPSNSPIMLGDGGIPEGNAELLDDKERADPSRKFHEDSQKDGVEMNPPNSPKTLGDGGRPEGNAESLDEEHANSANKEFSNFTGLQNEKIQIIPPRCPISIYIDTTPESKVPNSPGAYRILPVFYNYVYHSGINCLYPVLGVRTPGLMAVGTPATKEGARVSQKRKSIYDAKEIDIANNVYKAGLDDPGESARVSRKQKCLYDANGIVIRNEVYKAGLDDPSELVKKRKKAALRDNANQISEKTFFQPLIPGVSINFRSLSLLSEKEQKSPEKKDTIITPTKTLSIGSLGSHETPAEISVTPSSHVTNSVSQCYNNTCGSTNSERLGSATSFASVDREFSAIGDLKFDGSSMSFATVDGELSPVEDPEYDESLTTQEENSVLSLVMTEGR >KZM90447 pep chromosome:ASM162521v1:6:15893070:15893750:1 gene:DCAR_022188 transcript:KZM90447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKERDAAGCFRCGLKKAFRVVRDYGIAYLENCPFVPSLDEHKGHLKKTDYPRIRIVDFKVHLSVEEVIRILKHEKKAVVGYLQVTHEFAAYKKGIYSHPPAVTAEGASSRFYLGRHGVVIDGVNESEKYFTIKNSYGIKWGIEGCGNVSMDVFVDFGYPVEAYEMPYDPSS >KZM90878 pep chromosome:ASM162521v1:6:20083396:20087280:-1 gene:DCAR_021757 transcript:KZM90878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILNRLPKKPHKSSENRDGGSSASSAIASTSSRSNDIASNWTGKNATSPRGLNSSSDSGVNNGNKHPLKLDSKVSEAQMVLQYEALPSFRDVSNSEKQNLFIKKLNLCCVVFDFTDPTKHLKEKDIKRQTLVELVDYVTSANGKFSETAIQEIVKMISANLFRPLTNQSRENKIVDGFDLEEDEPMMDPSWPHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVTRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKGINNIFFRFIFETEKHNGIAELLEIMGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQAPEFQRCMVILFHQIARCISSSHFQVAERALFLWNNDHIENLIKQNRKVILPIILPALERNSKNHWSQAVQSLTLNVRKIFLDADPELFEECLIKFQEEEAREQETKMKHELIWKRLEDMAAIKSSSKEAVFVSKNS >KZM92409 pep chromosome:ASM162521v1:6:33193779:33194561:1 gene:DCAR_020226 transcript:KZM92409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFFSYSSPPLSQDQYHYAFSESSFTTESAPPPPQNPYHYLEYQYSASPGSSFTTESTGSGSGSGSEPPIQIPDGVLLASSNPKKRGGRKKFQETRHPVYRGVRRRNPNRWVSEVREPNKNSRIWLGTFPTAEMAARAHDVAAIALRGQHACLNFADSAWRLPVPVSSGAKDIQKAAAEAAEAFRPTENEFPAETTQGTSKLQENEVSYMDEEALFGTPEYINNMAQGLMLPPPQLVQSEMYYGNDDMEAASDLSLWSF >KZM91447 pep chromosome:ASM162521v1:6:25244879:25245607:1 gene:DCAR_021188 transcript:KZM91447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASDEDSQQHSRLEPSSTPRVLTILAFVLEKLVVRNDRLVDGVSREQGKSLDVFHGARPPNISIIKYMERIYKYTNCSPSCFVVGYVYIDRLLHKYPHSLVVSLNVHRLLVTAVMLAAKMLDDVHYNNAFYARVGGVSNVELNRLEIDLLFLLNFEMTVHSRVFESYCQQLEKEMLLNSTTLKIERPMISNAIDDVTEISMEDMPSSSPPQLVD >KZM90136 pep chromosome:ASM162521v1:6:11596269:11598388:1 gene:DCAR_022499 transcript:KZM90136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEEFQIGSGNWSQLSKNSRRSSSSPPTPPSTHVWPIQVVDVTKQPLHQDHPNLQYMTGLGLASQPVEWNQPSLFRGGIEKSDENFSFRSMLQEDHDHSRSTTTSFQQAEQPWMPRSQKLVSYSSSSSATADSEAVFSSLNLSQFTSTTHHHHLNPNNIDHSFSPYPTSYGTNSSSSSGRDHRQFIFQPNSLQAPNNKPPNQLHLSNKAPFWNASPAAPIINHDVRSNLFPSLQMQSPSSTFDEKPKESSSSETSNKRPRNETPSPLAAKPKKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVGVLSNAYMKSGAPIQQQKCEKSSDEEGQQQDLRSRGLCLVPVSSTFPVTHETTVDFWNPTFGGSFR >KZM92139 pep chromosome:ASM162521v1:6:30834814:30836746:1 gene:DCAR_020496 transcript:KZM92139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGESEKELVEEYMARKSSPPPFLMKTYMLVEDPATDAVVSWNSEGTGFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVATTRWEFCNDMFCKGKKDLLFKIRRRKSWTNKPHPVAQTLKEQSADHDQDDANQRSKSTSSTSSSSGYTSLVDENKRLKQQNWVLNSELSSLKNKCNELLDMVAIYTKKENIYDDDEKDEGPKLFGVKLQGEMRKRKREESNIGDANAPTYFVSQLCK >KZM91050 pep chromosome:ASM162521v1:6:21664544:21666358:-1 gene:DCAR_021585 transcript:KZM91050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPRSLPILSYVMKKFPSFKRPAPSDFDVEQPLPMSPSSLPSGPYFELKERMPHLTNPKLISQMRSAVADVSQTRSILKKLGPRPDHEAVDIAKARLAEIENELEEVELAEANADNLKKKEAEREKQMYKTVISLDEMHESYGKLLTDAETRLEKIYEAAAAGYNGEDDVAVVEEVNEEVVRILQEANQREVQRIDLAKKHLRFLPEAFGKIRPLVVLDLSSNQFQTLDVSNNKLVALPDSICHCKSLVELNASFNKLAYLPTKIGFELVSLRRLSIQLNKIRSLPTSIGEMSSLIFLDVHFNELQGLPRSIGNLTHLEFLNLSSNFSDLTELPDTFGELTNLQELDLSNNQIRELPLTFRHLNNLRKFNIEENPLVIPPKETVKNGVEAVRAYLTQRYHQLLLEEEEKERKRIEAQDESPKGWFTRSTSWLKDSVTTVSGNVSEIMGVEGTRNQDPLLNQQL >KZM90236 pep chromosome:ASM162521v1:6:12935067:12937175:-1 gene:DCAR_022399 transcript:KZM90236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLFVDTEDNFSSLLEFAANNDCEAFKALIKENSLAVDEIGLWYVRKKGSKQIVLEHRTPLMVAATYGSLDVVKFIISQPAVDVNIACGLDKCTALHCAASGGAVNAVDIVKLLLAVGADPNIKDANDQRAVDVIVVPPKLLDVKASLEELLLSNVFDGSMGDCKLRVSLSPPSYSPSLSSSPDNGSPYSPSDLVSSPMLSKSTDVPGGAISEKKEYPIDPSLPDIKNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCDRRVCFFAHTPDELRPLYVSTGSAVPSPRSSASAASVMDMAVAMNLLPGSPSASMMSPSGFNQPMSPSGNGAHSSLAWPQPNVPTLHLPGSNMQSSRLRSSLSARDVPPEHLHLLQDMDAHQLLLNDLACLSQSRPSPVSLNRSGRPKTLTPSNLEDLFSAELASSPRYSDQAASAGGFSPSHRSAVFNQFQQQQNMLSPINTNVFSPKSADHPLLQASYGVSSPGRMSPRSVDPISPMGSRHTAFSQREFQQPQQMRSLSSRDLGSNNVSIVGSPVNSWSKWSSPSGKVDWSVNGDEHSWLPRSSSNDLNNNTEEPDISWVQSLVKESPLEMKDNLPAPFVSAGPSGEGFKSNSQVESVDHSVLGAWIEQMQLDQLPV >KZM91940 pep chromosome:ASM162521v1:6:29175874:29192698:-1 gene:DCAR_020695 transcript:KZM91940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPNFQGGRRGGARRGGPGRGGGGGRGGGGRGEQRWWDPVWRAERLAQQAAEMEVLDENEWWGKMEGLKRGGEQELVIKRNFSRGDQQVLDDMAYQLGLYFHAYSKGKALVVSKVPLPNYRADLDERHGSAQKEIRMSSETEKRVENLLGGSGGTVSVSNDPGASSQGVQKPHISDVAKPALKVKNDTRKERLHSELKQRQENLKARDTVKKMLAFREKLPANKIKYEFLKAVAANQVLVVSGETGCGKTTQLPQFILEEEISSLRGADCNIICTQPRRISAISVAARISSERGENLGETVGYQIRLESKRSSQTRLLFCTTGVLLRQLIDDPNLTGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLILMSATINADLFSKYFGDAPTIHIPGLTFPVSELFLEDVLEKTHYCIQASPENNQYHGNSRRKKQQESKTDPITELFEEANIDSLYKSYSATTRQSLEAWSGSQLDLGLVESTIEYICRHEGDGAILVFLTGWDDISKLLDKVKANNFLRDPSKFLVIPLHGSMPTVNQREIFDRPPLNTRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASARQRRGRAGRVQPGVCYRLYPKMIHDAMPQYQLPEILRTPLQELCLHIKSLKLGAIGLFLGKALQPPDSLSVQNAIELLKTIGALDDTEELTPLGRHLCTLPLEPNIGKMLLMGSIFKCLNPALIIASALAHRDPFVLPINRKEEADDAKRSFAGDSYSDHIALLKAFEGWKDAKRLGNERAFCWENFLSPITLQMMEDMKNQFVDLLSDIGFVDKSKGVNAYNQYSNDLEMVSAVLCAGLYPNVVQCKRRGKRTALYTKEVGKVDIHPASVNAGVHLFPLPFMVYGEKVKTSSIYIRDSTNISDYALLMFGGHLIPSKSGKGIEMLDGYLHFSASKNVLELIQKLRGELDKLLKKKIEDPSINISEEGKVADLQYSCLAMLMFQRFRVEAWTGIELFSEGLGGTYHKDNKENPHLNDDANANFIRTMLLTMTKPLLLKQLGIRILNEGRFQNQSRKCKLIEEEFWDGVHVQNILGRVLGSLYELLYKWNQVACKRGNLVNSEPSVNQKAEQENRDLVIVFMVVEHVLLEMALLEK >KZM91142 pep chromosome:ASM162521v1:6:22536574:22540362:-1 gene:DCAR_021493 transcript:KZM91142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRPTRRRCESTAMGAIVLDLRPGLGIGPFSLGMPICEAFAQIEQQPKIYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDIKRLQMRYATSLIGGPSSLATFIAVYALFGPTFPGIYDKDRGVYTLLYPGLSFAFPIPSQYTECFHDGEAELPLEFPDGTTPVTCRVCIYDSSTDSKVGVGSLMTKASIPPLPTGSLYMEEVHVKLGEEIWFTVRGQHIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSASDPRPRTTICGDYFYNYFTCGLDILFDGQTHKIKKFVLHTNFPGHADFNSYMKCNFVIYSPDSERNQQEADPSKHRITPSTKWDQVKEILGDCGRGAIQTQGSASNPFGSTFVYGYPNIAFEVMKNGYIATVTLFKS >KZM90871 pep chromosome:ASM162521v1:6:19992938:19996614:-1 gene:DCAR_021764 transcript:KZM90871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSFSTTDTSLSSVVARKNHQLSLRPAEANQRTLFSSNRKVKSGGAYAPLTIRSWKGHVAPPEQIIEHFENGVIPTKIPKAKVVTRKDFPKDFKFGCSTSAFQTEGSGTEGGRGPATWDGFIQDNIAGLDIAVDSYHRYKEDVQLLKNMGADSYRFSISWSRILPDGTVSGGINQEGIDFYNNFINELIQNGITPIVTLFHFDLPSALQNKYSGFLNKQIVDDFKAYADLCFKTFGDRVKHWSTINEPQVFGQYGYRIGLKEARTTAATDPFLATHHIILAHAAAAKLYKQTYQPTQKGEIGISLVTIWFEPHGNTRQDIDASERAFDFMVGWLLEPMVHGDYPFIMKALVRDGLPTFTDEEKALVKGSYDFIGINYYTSNYAKDIPFTPDYNYTNQAQFQHATLTTDRNGVPIGEPTPGSDAIFVYPQGLRDALVYISKNYNNPKLYITENGYPDKRDDTVPVAEAIKDPKRIQHILSHLYAINEAMKLGANVNGYFMWALMDCMEMGQGYNVRFGLYYTDYLNNLNRIPKESAGWFKTWATAK >KZM92533 pep chromosome:ASM162521v1:6:34156628:34158360:1 gene:DCAR_020102 transcript:KZM92533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGHLPPGYGGRSDQAPGMMRHGPFPAANRTLEPLPPELAVQETEIERLAGDNHRLASTQVALRHELVASQQDIQRLKAHIISTQTEDDIQVRGLLEKIANMEAERSSGESIKDNLQQAHIEAQGLLKTNQELSIQIQQAHQELEIAHADTKVLPKLYSELESMKKEYQWLRTTFLHERDWNTEKVQKLKVMEMELIRVSNDREDLHAQLANAERRARGMVIILTKTILSLLYVQLEEHLIVTWSEVIVCQQKSFK >KZM89766 pep chromosome:ASM162521v1:6:4472468:4476981:1 gene:DCAR_022871 transcript:KZM89766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQMMAHTHNNNNNTHTDDIAQDVKPTVFHDFLSKGSDLLPPAGAAPPASAASSGGPRGPISSTSDLASEKDAGNHYEGVPFYGLRRDLTGPEIASRFTRNKRSSLESGYLGSSRDVLQQMQPHSLETSHIMKMFRGTGGPRRPQDEEAFLGMHPTRPTSDSLVLQQATSARADVNASKWERATPISVGPVLPYSSLKGQVVPFGYQHLSTRFKDSNVGPSIFHQAADEGSRTGMKGSGILSSINVSGGTSDRNAPSASNQNSGNRIAETESSTNQQGEALVSRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTDFTQNSTIRPPPTEIELPGTENETGMAINSVFPREFRHRFSMTGNSSHGIVSSNQTPFSPGSHGGSLVVKDLKDLNQVAEPSGEKRKHEL >KZM90505 pep chromosome:ASM162521v1:6:16360315:16360605:1 gene:DCAR_022130 transcript:KZM90505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKEMSSNIKTRLDNHCGRSIACEKLDRVANWVGNSVVTVFFASLERCYCINLSTVHDDIDEEDDDYIYGYGHADLSNTYRRPLLPRTRSTAFTS >KZM89560 pep chromosome:ASM162521v1:6:2070686:2079276:-1 gene:DCAR_023077 transcript:KZM89560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEGVRRGRPKKAQNQQVDNLNPVVQGEGIATRTRRRRAAAAAAAPAVNENAAVAAQPPEPVVAPRRQPVREAQREEVAEXGCCQCREEWTISGGVYKCKFSTCLFELLQVQVGGSPSYKVQKKLGKGGFGQVYAGRRIPSIFTKERGPVEVALKFEHRTSKGCPYGPPSEWQVYNTLSGNHGVPKVHFKGQQDDYYIMVMDMLGPSLWDVWNSNSQMMATEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGRAGTMEEKKLYLVDLGLASRWRDTVSNKHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMGTSPEVLCNFIPDSFKLFVEHVLIHQVGQKRGRLASDNEEDQQPKKKVRIGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGNEDGLYISSVASCQNLWALIMDAGTGFSDQVYELSPHFLHKEWILDQWEKNYYISAVAGANNGSSLVVMSKGTQYLQQSYKVSDAFPFKWINKKWREGFYVTSMATSASRWGIVMSRGAGFSDQVVELDFLYPSEGVHKRWDSGYRITAAAATWDQTALLIVLCTSHPVVWILALLGPVGDI >KZM90755 pep chromosome:ASM162521v1:6:18878973:18879365:-1 gene:DCAR_021880 transcript:KZM90755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSMTTMILTQTLLFLFVSTLVTAGSPSPQPALAPPAHVPSASPISPSPVAHSPTPTPSPVEHSPAPTPPSVIAPSKSPSGGVVVSPAQSPSISDVPAAAPVSHGVVYRGSVGLVAVSILTVVALAA >KZM89501 pep chromosome:ASM162521v1:6:1344009:1345526:-1 gene:DCAR_023136 transcript:KZM89501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRRIIKQHHMIQAIRNSYDLTNLLQQCKVSDISKVHSQIVTGGYGRNPFVGTKLIGRYSESASPNMEHARKVFDGLSDRDVFLWNMIIQGYANSGPSVEAVNMYKELCRSSVLPNQYTFPFVFKACAAMRDQIAGILVHAHAIKLGFGFNLFVGNALVAFYAKCQNIDASRCAFDQISPKDLVSWNSIISGYTTNGYYHEALVLFHAIQKSVDCKPDNATLVAILPACTQLASVQDGLWIHTYILKRGMEINAALGSGLIAMYGNCGRLNDARWIFDRILNKTVVVWNAIIRCYGMHGHADEAVKLFSRMIEDGVCPDSRIFLGLLSTCSHAGMVTRGRELFESMGDYGVERSNEHYACMVDLLGRAGFLKEAMELIEAMPLQPGKDVYGALLGACRIHNNMELAEKVAKKLFVLDPENAARLVILANMYEDKGRLADAAMARKMVREKKLKKSAGYSSVELDFVYHTFGVEDESHPFTELIFDTLEKLYQVMVMEDDLTLIS >KZM91080 pep chromosome:ASM162521v1:6:21961139:21961627:1 gene:DCAR_021555 transcript:KZM91080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFMGIDHPLFDTLYHILDDDEQDTTDTGNTKRNKSRHERRYVRDAKAMATTAADVKEYPNAYVFVVDMPGLKSGDIKVQVEDGNVLVVNGERKREEEEGVKYLSMGRKVGRFLKKFVVPENANLENIKAVCQDGVLSVTVEKLPPPEPKKPKTIEVQIA >KZM91657 pep chromosome:ASM162521v1:6:26861980:26863021:-1 gene:DCAR_020978 transcript:KZM91657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWGSNVQDPAAVKYQRNHSLTRDEIDTYWKSKKQKEEEHNEIVSEETDRVEKIYQLSSSVPLSVTKASDNREGSLEKLILKTEWWMRSNSAFLNEPPVLAPLAEGVTRQHKKFVSG >KZM92729 pep chromosome:ASM162521v1:6:35869079:35870377:-1 gene:DCAR_019906 transcript:KZM92729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKLLKQSVCIAIVLFLNCFGNARASLGVTSQKAKPARASKPVLHPADRLSLDYYHASCPQLEGIIQQKLQALVKKDNSLAASIIRLHFHDCFVRGSERSAEVSKTLRGFNIIDEIKSEVERKCPKTVSCADILVAAARDTTIMVGGPFWEVPFGRKDGLISIANEAKNVPHGHENVTQLIKQFEINGLNMLDLVILSGSHTIGRSSCSAIQQRLFNYNNTRKSDPSIDISYLHYLKKKCTRLDNYVHLDVATPRIFDEVYYKNLEKKKGLLLTDQLLYSDQRTASLVHALASQPELFIIQFGVSMVKLGNVGVLTGKDQGQIRLNCNYVNKA >KZM90410 pep chromosome:ASM162521v1:6:15719040:15719400:1 gene:DCAR_022225 transcript:KZM90410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPSRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM91724 pep chromosome:ASM162521v1:6:27375979:27382616:1 gene:DCAR_020911 transcript:KZM91724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASQTEIWDTMTEAFTNMVKSASDWITFAIDAPSARVVVFGMHIGGHLFVEGLLLVVILFLLSQKSYKPPKRPLTEKEIDELCNEWVPESLIPPITDDMKCEPPVLESAAGTHTVINGKEVVNFASANYLGFIGHEKLLESCTSSLEKYGVGSCGPRGFYGTIDVHLDCEAKIANFLGTPDSILYSYGLSTMFSAIPAFCKKGDIVIADEGVHWGIQNGLFLSRSTIIYFKHNNMESLRDTLEKVTRDNKRAKKLRRYIVVEAVYQNSGQIAPLEEIIRLKEKYLFRVVLDESNSIGVLGSSGRGLTEHYRVPIEKIDIVTAAMGNALATEGGFCTGSTRVIDHQRLSSSGYVFSASLPPYLASAAITAINILEDSPQLITNLKKNISILHTGLSGIHGLEIASDKQSPIVYLKLKKSTGSQKGDQQLLQDVVDRLLTEENIFVTTSKRSTLDKCNLPIGIKCFVSAAHTESDLTKAYESMKRVAAQVLIGSD >KZM89884 pep chromosome:ASM162521v1:6:6835504:6840616:1 gene:DCAR_022753 transcript:KZM89884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSRKRGRPKLCVTKEVLEERRAQKRLQNAARRGQGQQPGHLRLQFLKGQQPGHLRLQFLKGQQPGHLRLQFLKVLRRTCEATIVAVMEGEGWYYNCCPRCARKVQTTEGKYYCTFCSKEAGDFKPRFRLTVRVEVSTAQTTFTLFNKEAEQIVGIPVDKIIDELPEGTNIAEIPLVIGNIIGKRCVFDVKINEYNTVRGYEDYTVFRLKLSHQTEQASTSNKDNTDSSKKQRVN >KZM91691 pep chromosome:ASM162521v1:6:27132801:27134828:-1 gene:DCAR_020944 transcript:KZM91691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAATASTVPDLSLQISPPSPLAKDHIGLMVRESAYSDRSSATDSGSSGGSDLSHENETKAFCDNLDLSLGLEMLGPNMNSPRPLQDNVRHYKPRICSREFRGGSRTMSIGMKRSARAPRMRWTSTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKVTGQGQTEMDLNQRKHIGEGEVEACDNLPSNSINPSLPSLSIPTKLQKLQSLSRDSLASLSETNERGHSHQENSSSTYLASQEDDNKVKENEEVDAMHQLEKEKMLLNLEISLGRPIWQSNGSSSGLISLLNCVRP >KZM91413 pep chromosome:ASM162521v1:6:24949094:24950539:-1 gene:DCAR_021222 transcript:KZM91413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSPAINILEHCGISPSAEAITVTSLPLSFFDIMWLTFHPLGRVIFYDFPYSTTHFTQNVVPNLKTSLSLALKHFTPLAGNLIIPSNTDSNIDIGIRYMDGDSVSVTFAECTGAVNQFSGNHVRLADIMNPLVAQLPSGTCAEISGESCSVAPLIAVQVTVFPNQAICIGITNSHVVADGNTMFNFVRAWASIAKQLNICEAKPDTNDLIASGCFQIPCYDRSLIKDPYGLGPLFKKMRAAGGAGRMEQLMKQKEDSAPDSSKIKVRATFVITEANIQALKNKVLAKRPTLTHVSSFTAVCAYLWTCFAKTRATVWESAHDLDELQNFSFAMDSRPRLDPPLPASYFGNCLVACLGVQTGRVMIGDEGLAAAAEVLGNAISAKVKNGPMHGADKWMEEFAGIIRGEWYIGIAGSPKMDYYNNVDFGWGKALKFEFVEEPLSLSRCSNSKTDIEVSIILPKIEMDLFSTVFTQGLHNLHG >KZM89368 pep chromosome:ASM162521v1:6:113105:114511:1 gene:DCAR_023269 transcript:KZM89368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQLTIVSIVILLASFYFGRAVAISSAAVSPAPDSAVPYFHDDVTNLLEPILTNLGFQELAMAVPSLSDASYTTWSGPSTLFAPDDASIRACTAASCSVARLLREHIVPGLFTHDYLKKLAFGTKIETMDPGRCITITSATDVRTNASKIFIGGVEITRPDLFNNGLLVIHGIQGFLAPLSPFSCNVERMNSLSFPYQPHHHHPSAAPFYLMRLMLRDAMLRLRSTGFSVLSLAMKVKYAELVSLNSMTVFAIDDQSIFSGSHSYVSSVRFHIVPNRLLPIFDLEKIPVGTELPTLEQGESLLVTAAGGRATPIRINYVRIKVPDVIRNLKIVVHSVYLPFPHLHNTAAAATAASALSPYGVAAFPEFNTTESCAATEGAVPGVCSSEVAPTAQVQPQPQSQPQHDHMFDVNEEHHGL >KZM92578 pep chromosome:ASM162521v1:6:34540507:34541760:-1 gene:DCAR_020057 transcript:KZM92578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLVDKSLNFSRKNRKWLILLAAFGVSGYGVYKVYNLECVSRKRKRLVKLLGALVRLLEVVSDSSEMIGVVSRDLKEFLESDSDQIPNSLKQLSKIARCDEFSQSLSRVSEAVALGVLRGYRLESGGVEEGKPGFVDGVMDRLTTKAGTGFVSVVVGSFARNLVLAFQASGEGGEELNGSPKFGAVDVGLNSSKWMDLLGDERCKVVMADSIQTFVSTAVAVYLDRTASVNIYDDMFSALTNPKYRTQMRDVLVSVCNGAVETLVKTSHQVLTSSNSNSGPNSNSSSSAPSKTGDELFGQSGYATKLQEKNSFFDIQNSPWVTNVSSTLAVPSNRKFVLDMTGRVTFETVRSLVEFVLRKLMEGLRRSLSVLHDEVLGRGLEVIQYVGAKSSVIVTICVAMYLHILGGTRAFLPA >KZM89556 pep chromosome:ASM162521v1:6:2028317:2031368:-1 gene:DCAR_023081 transcript:KZM89556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKETTVKLGHAQGPPARRDASKMPKTSQSGYKSKSKSKLKSARKPLREVVNGVKIKPSATLNQPSLNKHKIAIDDNNQEDESLDRLLLIHSDLSSLLHQIDDLVGKAIRLKATNKNDIKEIESFAHVLSEMQNSLKPWVPRFQQSISSSSLESNNQLEKSLAGKTVDAAVDDVVGSPAQSNWDLLVSPSPLVSWRAGCTNEASRQLFLLTPLPKGAISKLRGPSKPATENSRSNTNANVRAAPPTHFVISKDTKNDLVEGVTAKSTPYQVPDCSVICTETTIKGKFKSPEKHSKIGCSVVAMTPCREVSPPKSCKLLEPISEFTNKKVRGARASTPFPTGLRKFSDSDDDPDSSSGEAPGHLISKYPELVGIKLAHQFGNGRKLVEASPVWCMSPPKTCIVMEPPEGKTLSTTTSLEFPTTTYSPCGKTKKEFEYHGNTKKESESLNDGLAATEDTPLCKLPGSIIRTGKRPGENTLKRELWTKFEAATTSGHRFGEPLCQDAANKGFLDLLEEVSSGEETDAAAQFIR >KZM89796 pep chromosome:ASM162521v1:6:4963843:4976232:-1 gene:DCAR_022841 transcript:KZM89796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESNLKVWFDRVDSEKTGSITAAQLKAALSVGNLDFSISVVQQMIRMYDFDRNGTMSFQEFVELNRFLLKVQQAFSDAERARGYIVPDDVYESFDQKKNGRFRLDDFISLCIFVHSSRNMFNSFDTSKQGRVTLDLNQFIYCSEYTDFPRLSYQLHD >KZM90246 pep chromosome:ASM162521v1:6:13088953:13090404:-1 gene:DCAR_022389 transcript:KZM90246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYGCEACIVEFAMKGFIFEPSAQEICEIYLKNKIEGKKLPCKHLLFDENMYEDHSTPWEVFKSDDPRWLINNKTKKVMYVFTELKKKGERVLRKAGCGTWTGQNRKVVRNKQGDAIIGYDRLHTFRTKEVEDGGNWTMHEYTLHPNDYNCAVCKITREEEEVVDQQPSQECPTASSDDNVAHEDLDQEDLEWGSFAETLENIILQQPEESGEACDENINNIGSDQFPPASTDDNVALENLDQEDLEWESFAETLENIVLQQPEESETPSTDDDVALEDMDQEDLDWESFAETLENTILQQPEESGEACHENINNIGSEQLPIEIDDDDVGNENMINVIAQPKGIEDTPTDKYHTDHNLPSDPLSEVFKDYEEPRGMQCHCQDNSNQVVLAEQAQQSERMALVIHLAENFDYYYKEQQQQERMAFILHLAENFDYYYKEQQQQQLQGGQKNLPGKRKKCDYDEEDFSPKKKQKQQPFLCNLQ >KZM89714 pep chromosome:ASM162521v1:6:3660415:3660618:-1 gene:DCAR_022923 transcript:KZM89714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINNKKYSTNLMTKNISRPTTLNTRRGRQLLRDKNLANAISIHSTMNHQKLEKLQHKFFPQAHRMQL >KZM92321 pep chromosome:ASM162521v1:6:32515149:32515961:1 gene:DCAR_020314 transcript:KZM92321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQTLLALSFAALLLIGGESATFTIKNNCPMTIWPAAFTGEGSQPSTTGFELASQASNTIDVPSPAKTSGRIWARTYCTATCLTGECGQGGGPCSGATGVPPATLVEFTLNDNGGLDTYDISNVDGSNLRVTLAPDNSACVTTSCLGDINADCPADLARKSGSETVGCLSDCAALNRPEDCCTGAFNTTQTCQPSRSANYFKEKCPQAYGYAFHDQSSTFTCATGTNYQITFCP >KZM90966 pep chromosome:ASM162521v1:6:20887836:20889794:-1 gene:DCAR_021669 transcript:KZM90966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLNFYSDARKTKRGRRVVCLICLIDVLDLLHKDHSHSNNVKFRPDAPPGLAITSSMKNGVYLSTISTQLKNRNLTADINVKLGRHPNVFVNLVHDQRHLLPGLKAIGNFNILKPKSQTIGLRYLYDCADISMNITGLTRTPILNFSCLLGHNMASLGTDVSFDTKTGKFPRCSAKISFGYAGLIASLTLNDKRKTLNAFYYHKVRGKLSKNTNIIQRALTSVSITAVGAEATHNLSTKENTITIGTQFALSRSTTLKARINNIGTTSVVMESKWNGKSFVTISGEVDIKALDKTPKLGVAFSTRS >KZM89570 pep chromosome:ASM162521v1:6:2199889:2203936:1 gene:DCAR_023067 transcript:KZM89570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSYQENGFKKEQHKKQKGGLITMPFIIVLSLDYEILELQGISILWLTAMIPQATPGPCDPRTQTCEYTSTLQYLVLLSSFVLMSIGAGGVRPCSLAFGADQIDDKDNPMNKRMLERFFGWYYASAAVAVVLAFTGIVYIQDHLGWKVGFGVPALLMFLSAALFLIASSLYIKPKVKTNLFSSFMQVIAVVYKNRRMTLPSPDSTITWYTLKDSERHVPTDKLRFMNKACIIKNPEDIGLNGVALNPWNLCTVDQVEELKTLIRVLPLWSTAIMMAINMSQGSFGLLQAKSMDRRLIGNFEIPAGSFSMFTIGTIVIWILLYDRVLLPLASKIRGKRVQLGVKERMGMGLFCSFMAMVVSAIVEHIRRTKAIEQGFRENPSGVVNMSAYWLVPQHVLSGLGEAFNSIAQTEFYYSEFPKSMSSIASAMFGLGMAFANLLASAILSTVDNVSSKGGKESWTSSNINKGHFESYYWLLAIMSSVNLFYYLVCSWAXERFFGWYYASAAVAVVLAFTGIVYIQDHLGWKVGFGVPALLMFLSAALFLIASSLYIKPKVKTNLFSSFMQVIAVVYKNRRMTLPSPDSTITWYTLKDSERHVPTDKLRFMNKACIIKNPEDIGLNGVALNPWNLCTVDQVEELKTLIRVLPLWSTAIMMAINMSQGSFGLLQAKSMDRRLIGNFEIPAGSFSMFTIGTIVIWILLYDRVLLPLASKIRGKRVQLGVKERMGMGLFCSFMAMVVSAIVEHIRRTKAIEQGFRENPSGVVNMSAYWLVPQHVLSGLGEAFNSIAQTEFYYSEFPKSMSSIASAMFGLGMAFANLLASAILSTVDNVSSKGGKESWTSSNINKGHFESYYWLLAIMSSVNLFYYLVCSWAYGPCVEQRNGFRLDDKKGSASSEEELLQVK >KZM89783 pep chromosome:ASM162521v1:6:4765093:4765614:1 gene:DCAR_022854 transcript:KZM89783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKQLFSKIIGKVNSARVIRELCADVNPKTIESFRLLCAAEKKFGLSGIPSHHYGSSFQRGISGSKGRHVIPGFMSQGEVFTRGYASGGEAVQKVKEESLKHAGRGVRSVANAGRSSSASEFQEIMADYVHQEMTRTWILVLMRLFLIIAIKDVFVSLVFSDTKDEASNVD >KZM89550 pep chromosome:ASM162521v1:6:1934213:1952133:1 gene:DCAR_023087 transcript:KZM89550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQVGGQGSRPTFFEMAAAQQLPSSLRAALTYSLGVLALRRPLLHKVLDYEDEFFALLTLVLETHSLRNTDACFSESLYGLRRRAVNMKLKNKVNSEAGERIHQSSLEKHQKVLSVAFLVVLPYFKSKLYTIYNKEREAALQATLWGHVGDRLNENDYTGGDDHSSASSNASDVEGSARATMAKRIKKIIGVCYPWIHAGNEGLAFAYQLLYLLDATGFYSPGLHALGVHVCRATGQELMDTSSRISRIRSRERERLRGPPWLKTLQGTLLSCSYAVLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKEGIPLPAEKTICPLCSQKRANPSVISVSGFVFCYTCVFKYVSQVPCLRDNYAYLLHDLNTGTVGVVDPSEAVPVIDALSKKNLNLTYILNTHHHYDHTGGNMELKERYGAKVIGSGVDIDRIPGIDIALNDGDSWMFGGHEVLVIGTPGHTRGQDGGISQSGSVVTALGLNKPFSRS >KZM90125 pep chromosome:ASM162521v1:6:11400209:11405214:-1 gene:DCAR_022510 transcript:KZM90125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSAIRAAATGRMTSQGGLRGMLYSTISSGLPFAPPQVNQANKEPPADPSPNLFVSGLSKRTTTEGLHAAFAKFGEVVHARVVTDRNSGFSKGFGFVRYANVEEAEAGIKGMDGQFLDGWVIFAEYARPRPVPGQGQFGNTYGQRQ >KZM90423 pep chromosome:ASM162521v1:6:15770133:15770493:1 gene:DCAR_022212 transcript:KZM90423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM92709 pep chromosome:ASM162521v1:6:35638801:35640873:-1 gene:DCAR_019926 transcript:KZM92709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILRGTTQSSIFTISSIISKVRSSSNCSSSFISQFPRLANAKTLTSFANPNDDFPPPPQPSFDSVSRSGNNQVNYEMNQWNNQNLKQNYSGFSRNAVPRGGDAPNEGNADQGRFGRNQNQGFSQWDPQEGQNSQNYVEARNSSPENYRQPSRGQGYSQGFPQQRPDRGQQRVSPNQMNQEVQMQNQGGNVSNQKAVVQPSKEDVLIGLCRERKVKDAIELLEEGVRADGMCFSLLFELCGNSKKLEDAKKVHDYFLRSTFRTDVDLIHKVIEMYAKCGSMVDARRVFDHMPERSQDTWHLIIHAYAANGLGDEGLALYEEMRKLGMNPNEQTFLAVLAACAGAEAVEEGFIHFDEMKVVYGISPGIEHYLGLIDVLGKSGHVTEALEYIEKLPFEPTAEIWEALIKYARMHGDIDLEDRAEEFLISIDPSKVNPNKIPTPPPKKQSAISMLEGKNRLAEIRNPTLYKDDEKLRAAMKEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >KZM91025 pep chromosome:ASM162521v1:6:21511607:21511993:-1 gene:DCAR_021610 transcript:KZM91025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSAPPLAANHSDENSTKSGVTHPPSDIQNIVDNKVAKNAQESNNNFAKNPTGNPMPGFSKAFLPSYPGPTTFISQQPARYSYAHGPVARAPAAANNNAQAKPDLAERRDYVVIDIRDFDNYNRKQS >KZM91806 pep chromosome:ASM162521v1:6:27958442:27958753:-1 gene:DCAR_020829 transcript:KZM91806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVAPTADCDNCCQWALWRFRHQEEEDYIPRDVPKGHLVVYVGKDYKRYVIRITLLDNPLFKALLDQAREEYDFTATSKLWIPCDEDVFLSVLRCAKSRWH >KZM92297 pep chromosome:ASM162521v1:6:32240904:32244821:1 gene:DCAR_020338 transcript:KZM92297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWQPYLQSIIIGFIFSFLLAKLFSIIFAFRDDNLRIARADSAELGDAVAESEDSSAAEIPRVSEEEKLIGEEERAKYDTSSVDDSDDDWEGVESTELDEAFSAATAFVATTAADRSASKVSNDLQLQLYAFYKIATEGPCSTPQPSAIKMTARAKWQAWKKLGAMPPEEAMQKYIAIVTELFPTWLDGAPVKRKSEGSDTQHVDSKGPMGPVFSSHVYEEESADNIKLDAIHAFAREGELDSLIKRIESGVSVDLKDSEGRTALHWAVDRGHLNITEALVKEKADINAKDNEGQTPLHYAAVCERESIAKFLVKENADMNIKDDENNRPSDLCDLNWPWMQHTETE >KZM92502 pep chromosome:ASM162521v1:6:33896177:33900397:1 gene:DCAR_020133 transcript:KZM92502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEKLHVEVVKGINGLDKVVLRESRGSSAEIYMYGAHVTSWKNDNGEELLFVSNKAIFKPPKAIRGGIPICFPQFSSHGSLDQHGFARNRVWSIDSDPPPFPTSSASKAFVDLILKPSEDDLKIWPHSFEFRLRITLGPGGDLMMTSRIRNTNTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDAITFESEVDKIYLSTPTKIAILDHEKKRTYVIRKDGLPDAVVWNPWDKRAKAIVDLGDDEYKHMLCVEAAAVEKPITLKPGEEWRGRQEISTVPSSYCSGQLDPRKVLGS >KZM92592 pep chromosome:ASM162521v1:6:34662830:34666901:1 gene:DCAR_020043 transcript:KZM92592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGFNLPGRKRNRENALDGSFSGSSRSPLSTVDQNSPLAFVMGTTTKGCNVTPLSTWHFNGNHGHDFNSQITSTGFDRTPISSIGPNNAPGHVLETQERGIPMFVFLDPWIIVAELARLCLVTAYKSDSHNLKYIGIDPLGRLIKISPEIVEHQLAGANGALSAEARLAKVKVDADFIAPCDTSILYPTDGGNMHCFTVVTQCAVLDVLGPPYNDAEGRHCAYYIEHPLDHISGIYSLFLG >KZM92181 pep chromosome:ASM162521v1:6:31257834:31258967:1 gene:DCAR_020454 transcript:KZM92181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYAPLLSLFVLLVSFSFHYLFYKNKPSLTGGLPLPPGNTGWPLIGESYELLSSGWKGHPESFIFDRLAKYKSNIFRTSIFGEPAAVFCGAACNKFLFSNENKLVEAWWPDSVNKVFPSSQQTSSKEEAIKMRKMLPGFLKPEALQRYISIMDKIARNHFESGWDNKDEVLVFPLSKTYTFSIACRLFVSIEEPSQVAKLLEPFSAIASGIISVPIDLPGTPFNKAIKSARLVTAMLDKIIKQRKVDLAEGKASPTQDILSHMLLTSDESGKFMGESEIGDKILGLLIGGHDTASSACAFIVKYLAELPEIYQGVYEEYFRGDISYPNEVQLIQ >KZM91939 pep chromosome:ASM162521v1:6:29152605:29172298:-1 gene:DCAR_020696 transcript:KZM91939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPEPCILFSQTFVHNQLDEYVDEVTFADPIVITACEFLEQNASSTSPAVTLMGATSPPSFALEVFVQSEGEARFRRLCQPFLYSHSSSNVLEVEAVVTNYLVVRGSYRSLSLVIYGNTAEDLGQFNIEVDLDSSLTKTVSVVEGSLEDLPFALQRTNPTDKIICPLKALSIDLVAPDISVEIKHFLHLTFKILELTNPGDAIKTAVNAVVSAASNHATHSLHYLINSNNKYPESRFEKSVRCNCDFTETRKELMCVYNCAEYVSGNATPEFLQGTGLSEFEAEPVSTKQLMDMFSEYFKISISTGVRGHPQLSEKKNIMLWASLALFFCLAKESCFHFVNGGGLKQLELLFSHGQKSSAVTLMLLGVAEQATRHSVGCEGLLGWWPRDDENVPSGFSEGYNQLLKLLLQKQRHDVASLSTFVLQRLRCYEVASRYEYAVLSVLGLSSSGKATTVTLDMLSSAKLQLKKLAKLIDCHGPIEDPSPVARAGRSLLLGEDENLLSYKATSRLVTASKCCSSKRDMDPHLLLLLKERGFLPLSAALLASSILRSKVGLPKELVLDITTYIEAIVISLLYCRSGLLFLLHDPEVATTVILALKGVDSKKEYSIPLRYASFLISKGFFCPPHEVGIIVETHLRIVNAIDSLIASKPQSEELLWSLWELCCLSRSSCGRMALLAVGHFPEAVGVLMAALHSVKEYDTLSMTTGTSPLNLAIFHSAVEIFEVIVTDSSPASLGSWIEHAKELHRALHSSSPGSSRKDAPTRLLEWIDASVVYHRTGASGLLRYAAVLASGGDGHIAASSILASDAMDVDTVVGDISSSADGNLIDNLLGKSISETKFLGVTLRDSSVAQLTTAFRILALISDNSAVAIALYDECATTVIHAILIDCSLMLERSSNNYDYLVDEGTECNSTADLLLERNRQQGLVDLLIPCLVLLINLLKRLEEAKEQHRNTKLLKALLHLHREVSPKLAACSTDLFYPYPEIALGFETVCHLLASSLACWPLYGWTPGLFHFLLDSLHATSILALGPKETCSTFSLLNDLLPDEGVWLWKSGMPISSALRTLAVGTSFGTLKAQETDWYLHDGNPEKMLSQLTRPLLDKIAQIIIHYSTSTSVAIQDLLRVFIIRITCISPVKASVLLQPLILWIRDHLSDLSSLSDVDAWKVYRSLSFFAILLEHPRAKPIMTDGVQMLSQVLEICIDVTDLDATQYLGDKNFPFLLSWCVPVFRSISLISDPTTPVQYPGMYDRYKLKTLTAEDCSKLLFYCLKLCKVLPVGRELVACLLAFKAISSSAEGRSAFQSIYLCVRSSGDQELKSEAKHGGDGKFFTIDAPKWKKHPPLLHCWLTLLRSISSGNLPQVHAVEALAALSLGVLRFVLDGKSLNMERVATGKFLFGISSGGTEEDDFSGDNIKYVQELISLLALKISDPEYSAPSGMSKTLHEVKESAESLLLLLQISPDSDQQNYFISRARSLLSFGVRSSSKIHMLKDCSSERVEDFSLPGFGDKFLWECPENLRDGMSQTALSAKRKMSSLEGVSRRPRDQSPAETLAQNSYSRGSGAANSSVPSRRDNFRLRKPNTSRPPSMHVDDYVARERNVDGTTSSNVITVSRVGPTGGRPPSIHVDEFMARQRERQNPVGMTSNEAAQVKAANPEKEADAEVGKSKQVKPDLDDDLQGIDIVFDGEESDSDDKLPFPQPDDNLQQPSSVIVEQNSPHSVVAETESDINDSQFSGMSTPIASNFDENTWNDFSSRSAKSQQNMSLTREGSVSTDKKYIRQSEDAKNFAATTSSGFGSMYGRNSRPSAQVESRMPRPGYYSKNNPQAVVSSQGYYDQKFQLNQPPLPPMPPPPTVSPAQSAEAAQIQPAPFVSAVADMQPSLPPGFHVRAEYASPGGSSRAQPPLPPTPPPYSVNISNFQSFKPSSSQPHYNQSSGGSTDFQHTNVIPSSDTRLNSLPVSGATMNSYAPLIQHLIFSRPNSVPVSVYGSSSSSHPGDNPSSISQNFPIALSTMPSIQSVSQLQPLQPPQLLRPPHPPQHIRPPISASPQAEQSLSLLQSPGQVQLQPLQILQPPQVSPANGYYSSMQQDSIQHTTQQQRGQNNQPQFQHQQGHGTQQTSDDIGSLQHYFSSAEALQSLLSDREKLCTLLVQHPKLMQLLQK >KZM91992 pep chromosome:ASM162521v1:6:29629294:29636158:1 gene:DCAR_020643 transcript:KZM91992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATRFLLVVGSPLSLILHRRSFSLYKRLSHTPFSHSPLHIRRNFNLSLQHLPINTNCSASSSQPLHTQSNSINDDHYNSYSGNNQQHPWPEWSNFIHTLSSSVAAEPQGIVSDDGFVSNEYLSREFVDDAASCLAFARNRPQLLGFLSRKDMEVVVQNGTPFLFQSAVDTSRRMRAFLGSAGTTVLETDKAQTVDLMKYVLSYASNPTVSLEKTDYSVELVESSVRNLLNELAKVVNMKAGDWICPRCSFMNFARNGKCLECEEARPRRQLTGREWECPRCYFYNFGRNTMCLKCDCKRPGEPLSSPVSSSSGLGYNNGDNANKRQIDRKLADNEEKAQLWFNKVSQLGNSSDLSSAAADEDFPEIMPIRKGENRFVVSTRKTPLERRLANTQNQRSVASELPPESNDFQNAGSNLGTSFGRRLDQIITRTPTIPEADNRNISEQSKDTFRSNKPGSGSLQDGLSKSSNSGYVPFVPLPADMFAKKTESSSSEKVSAESLKSVSSETYNSQAGTVPMRNASRELAEPFQMSENLENTIENENKEKEQVDNSDGWFKRVAELHNAPGSTNAISDKDLQDIMPMRKGENRFVVSKKKDRSLTSSVYKKQMAMEQANNNSFVPFVPFPPDYFAKKNQQQADNTTVDAKKEDSGRSPEKSDNSRQGYLNLNQESSKEDQQTDSAVVSGTQISGYSSGSRALKNERWSTDAYSGKDETSYTGPPSTGDTNRIMFSSHSSVIENASKKDSVSRTEGPSTSNSSQYFSNTQSSGNMAGSTTSSPETQKFNSGWSGKSLEGSAVKETDPLDMSEEAKAERWFRRVAQIKDSSELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPMERRLTSPQYRRNLPVVSSDPVKKEDDSN >KZM90512 pep chromosome:ASM162521v1:6:16400250:16401500:1 gene:DCAR_022123 transcript:KZM90512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKRRTKKSIKQHKAEEFGKEINQTSLIDILSESLVANVIAGLPIASICAWRLPEPGNNNYTYNGKRKEVYGLGIITKTGNDKVNMSVGMLNNCLCLFDNSHPAHFGIWSMEEYGVRESWALKCILTASIPAGICKSTIHPLAALKDDGFIIKSGSGNFYFYDQKNMNFTRFEIDNVELLAEFNYLTIHSSSFCPIDLMSTGCVLDTEVSARCSAHKSK >KZM91954 pep chromosome:ASM162521v1:6:29332207:29332665:-1 gene:DCAR_020681 transcript:KZM91954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDTILRSPLDRRQPLLASTSKSSDQNNSLSFAEAAGVTTAGCAAVCCCLPVGAVAIIFLMVYKVPARLCRRALRMKRRRRLLKRAVSWHGGRSCECGFFDEVHTMSCGSDQEWYGSPVEMDKEVMELEKEMWDKFYGTGFWRSLSQREGR >KZM91884 pep chromosome:ASM162521v1:6:28645519:28646016:1 gene:DCAR_020751 transcript:KZM91884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEHSPKKSPSKDDGGDQEIRLEKLEEQEESSLKKIDDIESEVAKASDEITKPSTAVASEEETALNTVTADTTVVGGGDSVAAEEESGRERLRKHRLEMAGRVWIPDTWGQEDLLKDWIDCSAFDGSLVNNTILSARAALIQQRSTTSPANNPTLLTAIDNRC >KZM90711 pep chromosome:ASM162521v1:6:18429345:18432377:-1 gene:DCAR_021924 transcript:KZM90711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYNISGHRTFLLLIALFFSALVLPATSDELQVLLKLKSNLENSNSHVFSTWSSENSPCNFTGIVCDTNQMVREINLPLQNLVGTVPFDAICSLESLEKVSLGNNSLVGDISDHLRNCTNLKYLDLGWNFFSGGVPDLSSLTKLEFLSLNLSGVSGSFPWKSLENLTSLSFLSLGDNLFEKNLFPLEILKLEKLYWLYLTNSSIEGQIPEDIGRLTLLEHLELSANFLFGKIPVGITKLTKLSDLFLYDNNLSGKFPVGFGNLSNLEALDASNNSLEGDISELKSLTNLGILQLFENQLSGEVPVEFGDFKFLREISLYSNRFTGSLPQKIGSWTDFQSIDVAENFFTGPIPPDMCKEGKMVDILLLQNNFTGEIPETYANCLTLDRFRVSNNSLSGPVPPAIWGLPNLSILDLAFNQFEGPVTRDIGQAKYLSRLFLAHNQFSGELPGTISDASSLETIVLSSNNFSGEIPSTVGELVRLSSFYLDGNNFSGAIPHSLGSCGSLNVLNLAKNSLYGEIPASLGYLQTLNSLNLSDNKLSGKIPESLSSSRLSLLDLSNNQLSGRIPDSISVNVFSESFVGNPGLCSDSGIRNLRPCASSSALILASPRKNSENCPMQRRDSWDLKQYHILSFGEEEVVKALKQENVIGKGGCGSVYKVTLSCGKQLAVKHIWKQDSSGENKFGTTSPMLEKGKTRTPEYDAEVATLSSIRHVNVVKLYCSITSEDSHLLVYEFMPNGSLWDQLHTRQQIRMDWSVRYEIALGAARGLEYLHHGCDRPVIHRDVKSSNILLDENMKPRIADFGLAKVVQPNGLRGTTHLIAGTYGYIAPEYAYAYKVDEKSDVYSFGVVLMELVTGRRPTEPDFGDKDLVQWVCDTVMRSEEKAINLVDSTISDRLKEDAAKVLTIAIRCTIKIPTLRPSMKMVVHMLEDVAPCLPDEATVKSDGQNSRQ >KZM91032 pep chromosome:ASM162521v1:6:21542456:21550274:-1 gene:DCAR_021603 transcript:KZM91032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESRAVQTKKKQLEKTLLNKVEQVISSINAAKHVDDVILSLHSLASLLFPLDSRAFSGSIDQRYRDQVLSTKAPSVEERENWWEVFYGGASFPTLSRLLLYDVALNWLPCFPISSRKHVYDVFFVSGRTSEVVQTLVVALQQNGNESNGVLQIAREFSGSCSSEGLRDVQRKADISRIAQLVTSIPDKARVEAPNLFFKQITIQLLNVAEELKTNFCNGKVVLHKTDVDGAIIFVGEIFSRICRRGSADVLLSKLVPGVLKYVRGFLLFKADLSSNEIEPVPVSGFWSKIIGAIKDPYTVKRISEQILRHLATQDISDVEAYWILWLLFHQSYENQASIRSMFVEDFLFWKVFPVRCLRWILQFAILKCPPDAASLAKGCNRGLVETMQHLVTVWSKREFVQSASVEQQAYITAAVGLSLEQMSKEDLDTTKDALQFILQGVSCRLESPSHLIRKMASCVALIFSKVIDPKNPLYLDDLSPEEKIDWEFGTVTSENGSLASTNVIGKDTDEVKGYGALEKDVKTSRDGVSSEDTKRKKSEFVLVDPDEVIDPAVLNNELISDEEGYDDDAVEDSETSSNSSLQPYDLSDDDTDLKKNFSQLVDVIGALRKSDDADGVERALNVAEKLIRASPDELKYVAGDLSRTLVQARCFDSSTDGEEDSAEEKRQKALVALIAMSPLECLDTLNKLLYSPNLDVSQRIMILDVMTDAAQELSHARTTKPKALSRAQISTISETQPWFMPSSVGPPGAGSWREISRPETPLNWSHSYERELPSVPGKLEKGKSRRWSSRLEKTDQLEWSENRFPQYAAAFMLPSMQGFDKKRHGVDLLGRDFIVLGKLVYMLGVCMKSAAMHPEASALALPLMDMLSSRALCHHAESYVRRSVLFAASCILVALHPSYVASALVEGNSDVSKGLEWIRTWALHVAESDTDRDCYTMAMACLQLHAEMALQASRAMESADSTSNGNIIGLPSNMSKETIKIPLSNVKLF >KZM91930 pep chromosome:ASM162521v1:6:29076077:29079225:-1 gene:DCAR_020705 transcript:KZM91930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADQIKLTVALCMCLVSLVISTTDPNDLAILKQFSKGLSNAEVLKWPNNDDPCGPPSWPHIFCTGNRVSQIQVQGLNLKGPLPQNFNQLSMLTNVGLQKNNFTGNLPSFSGLSRLRYAYLDFNNFDTIPSDFFRGLDGLEVLALDNNPLLNKTAGWLLPVDLQNSAQLANLSLMDCNLAGVLPDFLGQMSSLEVLKLSFNRLSGGIPVTFQGSVVRILWLNEQSGGASHGMSGTIDVVASMSSLTSLWLHGNHFSGKIPKNIGDLASLQELNLNSNDFVGLIPDTLATIHFKSLDLNNNHFMGPIPRFKGVNASYRTNSFCQNDPGLPCAPEVMALLEFLDGVNYPPRLVSSWSGNNPCDGPWLGLICNANREVTMINLPKFNLSGNLSPSIANLHSVSEILLSSNNLTGRIPASWVNLKSLKLLDVSGNNLSPPIPRFDSSVKLNLNGNPLLNSNKSSATAPPDNGPSPEESMSLHNNSTSESAGLKTHTKPSKKKRPKLVMFVVPVATFGILIFMAFPLSIYLCKKRRNSIENPTSLLVHPKDLSDSDNLVKVVVESNNQGSASTLTGSSLGSRYSSGMNSHITDTGNMIVSVQVLRKVTNNFAPENELGRGVTGKVTTKADVFSFGVVLMELLTGLMALDEERPEESQYLAAWFWNIKSSTEKLKAAIDPALDMKEEIFESICTIAELAGHCTAREPNQRPEMGHAVNVLSPLVEKWKPLKDEIEEYCGIDYSLPLNQMVKGWQEAEGNDLSFVDLEDSRGSIPSRPAGFADSFTSTDGR >KZM90530 pep chromosome:ASM162521v1:6:16591318:16591689:-1 gene:DCAR_022105 transcript:KZM90530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCKKLYTFDRPVGGVEDLLDWDQGMGVHHEDLMDIMVPYGAPDPVNFDISVPLADQVDDLGLGQLNAPRFTRIEMDIDEMEETIEGAVEDSFVASYGPKDPSGLLSLHSATWIKSVGWKLK >KZM92262 pep chromosome:ASM162521v1:6:31924036:31924254:-1 gene:DCAR_020373 transcript:KZM92262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIFFDSKAIKIFVVTNGAGQLEMACPQLVQEQDQGQKGCGGFLKGQGQQGHGGSQEQEQGKNTCRRGLSK >KZM90450 pep chromosome:ASM162521v1:6:15904219:15904689:1 gene:DCAR_022185 transcript:KZM90450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNFTLGTDPKILLNKTAIPRNSFKGKSSFTKQPLSLEALNTLWEMWLKQPVRATLIQHTPFGGRMNDVAESAVLPFPHRPRVLYMINIGVSMSENQEANANWMNEVFKYYDPFVTKNPRTSYVNYRDLDLGTGSRTCEEASQWRKRYYKNNFDR >KZM92207 pep chromosome:ASM162521v1:6:31506496:31510932:-1 gene:DCAR_020428 transcript:KZM92207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENADVFSSSTAPLTWHDFLERMRHPSASDFVKSIKSFIVSFLNNTPDPERDSAAVQNFLSNMEAAFRAHSLWAGCSEEELDSAGEGLEKYVMTKLFTRVYASVPEEVNEDDLLHQKVALIQQFIRPENLDIQPIYQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRSQSRLVSEAAYFFTNMLSAESFIMNIDAKSLSMEDTEFEKNMEFAQALLFGPSDSDNMTSQSDQSVEHQETKRLGTTTRTKVPPQTSETKSRNDRSHPKDPYALDTVPSISDLENQGANMLMKDENSKQVFMEFPYLYAKSGDLTISDVEELLNNYKQLVFKYVCLSKGMGVSVTPPSSASQTQSEGDTKTAKEPGDSITVEPENGNVSTEVDASEVVSKYREDRAGSKSPQDEPVASEDEIGEENSRS >KZM92474 pep chromosome:ASM162521v1:6:33657257:33658405:-1 gene:DCAR_020161 transcript:KZM92474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMATPSGKKTETEALRRAPHEKPPFTIGDLKKAIPPHCFEKSLITSFRYLIQDLLMAYALYYVATTYIDQYLPRPLNYLGWAAYIAVQGCVLTGAWVVGHECDHDAFSNYNWINDLVGLIVHSSLMVPYFSWKISHRRHHANTQSLENDEVYVPRFKSNIRNYYKILNNPPGRVLVWATTLLIGFPLYLMFNVSGHKYERWTSHYDPHSPLYSERERKEIIISDIAILAVIYGLYHLVLLKGFAWVFCVYGGPLLVVNGWFTLITILNHTHPSVPYYDSTEWDWLRGALCTVDRDYGILNKVFHNVCNAHVCHHIFSMIPHYHGLEATEAMKPLLGDYYQYDGTPILKAMYREMKECIYVEKDEGETKGVYWYRKEI >KZM92370 pep chromosome:ASM162521v1:6:32891743:32892787:-1 gene:DCAR_020265 transcript:KZM92370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHAGNIVQDQNISFKLDGPAKGKSIASQGQKKGLGGRKALNDISNSRKPAALQPSKKHNSANVISIAEDFCMSKTKQSVLGKKSVTKAPEKPQVSGRKALIDLTNSGNPSAQAVKKSLSKNLTVVAEEEPPSGEGFLHNHQNCIASQKAVDVDYFLMAVGLRDDTCIQLAPSPRVRRLPMSRNSKLESPLKELVMEEILEMEIEDQLPHSAFTSEYNSTAFGTPHWRSPYIHHRNKAFLASPKFILKESP >KZM92210 pep chromosome:ASM162521v1:6:31545145:31546061:1 gene:DCAR_020425 transcript:KZM92210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKDYYQILEVDYDATEENIKLSYKKLALKWHPDKHKGDSAVTNKFQAINEAYTVLSDPDKRLEYDLIGNYEVEKYTLPEYLTRFKGMILTCNGLGLGHTSIW >KZM90653 pep chromosome:ASM162521v1:6:17815327:17820045:1 gene:DCAR_021982 transcript:KZM90653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGEFKPLDEKFLVEYIKATPSLYQVLGNNLHDLQIKEVGDGNLNFVFIVQTSSGSLVIKQALPYIRCIGESWPMTKERAYFEASALEEQGRLCPNHVPEVYHFDRSMSLIGMRYLEPPHIILRKGLIAGIEYPFLAEHISEFMAKTLFSTSLLSLTTTEHKSAVAKYCGNVEMCRLTEQVVFSDPYKVSQYNHWTSPYLDKDADAVREDNFLKLEVAELKSKFCERAQALIHGDLHTGSVMVTHDSTQVIDPEFAFYGPMGFDIGAFLANLILAYFSQDGHADDRNDRKTYKEWILKTTADTWSLFDKKFTALWDGHKNRSGDAYLPEIYNNAELQRIIKHKFMQELLHDSLGFGAAKMIRRIVGVAHVEDFESINDAARRADCERLALNFAKMLLKERRRFQTITEVVLAIQKSHS >KZM91935 pep chromosome:ASM162521v1:6:29127504:29128766:1 gene:DCAR_020700 transcript:KZM91935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAEVPEFFICPISLQIMKDPVIAITGITYDRSSIEKWLSHGHDAICPVSKQPLPRNSTLTPNRILSKLIQTWHTTNSKPTIDHHVPDPDDYMITKPYITTLLANLSNPDLFMKSLTNLEVVAAMAKENYVYGKLLVEAGVAKAMVSFVITCYKNSKTHGLLLALYILLLIYKISPSKTREYILLAKTDEIIDSLIWALGISSCDHTTTKAIRNHAMILLKIFVENTSSNLQEKLKPDFFKTIVNALSKTSLMTQHGTKAALHIMFGACTVGKNRIMMVEAGAVFVIIELELSGLVREKRTSELSMQVLFNLCRSADGRAELLRHAAGIAVVTKRMMKVSPMLDEAAVSIISLISKFSGTDWVVNEMLRVGTVSRLCMVLQSNCDSGLKEKAMEILRRHTHVWKDSPCADHLLLTMYTK >KZM91809 pep chromosome:ASM162521v1:6:27993501:28000496:1 gene:DCAR_020826 transcript:KZM91809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPDPDVARWGLHLLDVDHLFSSNYYGDTSHHDVYISREQYSTDNHNDMDSISIENDEIIAHTLQEELTRMSLAERTEFSNARDNWQGFSATQDQSVTPWSYHDSKENDLQEKYDIGISSSCSSPEESHDGEDLTYNLEITDEFDLDGEVGKRLYEMVPVPHVPRTNGDIPSIDEASSDHQRLLDRLQLYNLVECKVEGDGNCQLKLHPDMYEGYVPMAYGEYLKKMSKNGEWGDHVTLQAASDSYGLKIFVLTSYKETCSIEILPKVQMSKRVIFLSFWAEVHYNSIHPEGEMPAEDSKRRKGWRFFGNKH >KZM91741 pep chromosome:ASM162521v1:6:27468234:27479990:-1 gene:DCAR_020894 transcript:KZM91741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTLRTDYNNINSLLPRHKTHQSLTFKTCFRNPTTHLSLSLLPLKKRFKFSCSIKEKEREDVVDSSERVVKQLSKTKNLNGSSEILGTFDFNWKNLPQRYKMVGTTSLAFVICNMDKVNLSIAIIPMSHQFGWNSSVAGLVQSSFFWGYALSQLPGGWLAKVFGGRVVLQYGVLVWSLATACVPLLAGFMPGLVLSRVLVGIGEGVSPSAATDLIARFIPLDERSRAVSVVFGGLSVGSVAGLLLAPPLIQNFGWESVFYLFGLFGVAWFLGFQLVAEEQPPTVATVPRSQSLATEKSNTSLEELGDSLKTVPWKAFFQSKAVWAMIYAHFCGSWGHYTCLSWLPTYFSEVLDLNLTEAAWVSVLPPLASIMVTSIAAQVADKLIASGTETTVVRKLCQTIAFLSPAACMTLSSLDLGLPPWEVVIILTGGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGYLLDSTHSWSIALFAPSIFFYLTGTVVWLAFASSKPQTFLKRD >KZM91446 pep chromosome:ASM162521v1:6:25241875:25243506:-1 gene:DCAR_021189 transcript:KZM91446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLCDCCEWNGCSGHGVQKSESDAACPSIDEFSNTWPSLLELPYASACDSSFTPMSSSLIGTENTMNSPNECSSVLMDNYNMINEFSPSIKIHNVVGASTVVPLNSNNVPQCNNNQPSFFPTENGSNFPEICSSSKYTILNEGDDICEGVDLDVALNGYEMFGCLQGQSENQRNIGGLDCLVLEKPLPVTESNNQIENNLEAALTSQQDCITFQSPQLNGSASLMQTIGGGASSLLMNPSYNREIGMPFPSGPLSLTTVTGESSVAGNQDCGLSPVFLAGESPWESSLDTTSPQARDKAKMRYNEKKKTRMFGKKIRYASRKARADTRKRVKGRFVKTGEAYDYDPQTPFELEPDK >KZM90361 pep chromosome:ASM162521v1:6:14601417:14604706:1 gene:DCAR_022274 transcript:KZM90361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAASDHTSVVSINIFVALLCACIVLGHLLEENRWMNESITALFIGLCTGAVILLVTRGKNSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITIMMFGAIGTLVSCSIISFGVSKLFKKLGVDSQDIGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQGFDISSFDYSIGLHFIGNFIHLFLTSTLLGVFTGLLSAFVIKKLYFGRHSTDREVALMILMAYLSYVLAELFYLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFIAELFIFLYVGMDALDIEKWRFVNDSPGTSVAVSSILLCLILIGRAAFVFPLSFLSNLAKKNTNEYITFREQFTRAGHTQKKENALMITSTITVVLFSTAVFGLMSQPLIRCLLPPGKVSASVASSENSSPKDSITVPLLGHRVDDSENPRPTSLRLLLTTPTHTVHRYWRKFDDAFMRPVFGGRGFVPYVPGSPIERSTPAL >KZM91186 pep chromosome:ASM162521v1:6:22858871:22859272:1 gene:DCAR_021449 transcript:KZM91186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQKFKLLATQCSIPNSPTRSPTTSPIIHLRRRKTLRMLLTRGATDVCNRLPPPNQKPESPEKSGKNNKLKDLFVSSSPSPPRSEEELGDRGSDEAGWRRGGVRAVRPWSVALRQRLLRKAWRPVLVTIHE >KZM90147 pep chromosome:ASM162521v1:6:11701599:11704669:-1 gene:DCAR_022488 transcript:KZM90147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVSPSMIARSSMEEMLDSLRRREEVDRPRDLPPKLPSRPVSKARRPSSKRPLPNDFENSSAALSCVKKDSRGGSFDAKKNGTLEEARKQVLLGTLKVQKCFRSYQDRRYFLELKRGVISLQSFVRAANARRKYDCLINQRELVVQKTNEQERIVLQLQAVIRGWLARKQAKILQNLEKLDQCNPGSVHSPSRRKSDVKEMPQVSKKALPLSVEELQKRVLKAEMNLEKKEQENAALRNQVQQYEARWLEYEGKMKLMEDMWQKQTSSLQMSLAAVKRSLSNNTSNQSRRQEDLTEFGAVRQSNGNGNPVCHLMKEFEQRKHTFNDEVKAIVESGNSAYADPDKDLRNLKNKFETWMKDYKARLREAKVKLHKIGVSDAEAGHRKWWRGMSKRR >KZM90877 pep chromosome:ASM162521v1:6:20074262:20076748:-1 gene:DCAR_021758 transcript:KZM90877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDFPLRWEGTGDQWWYASPIDWAAANGHYDLVRQLLRLDANHLIKLTSLRRIHRLESVWDDDEHFDHVSRNRSEVAHKLFCECETNGSKNSLIEAGYGGWLMYTAASAGDMDFVKKLLKIDPLMVFGEGEYGVTDVLYAAARSKNSEIFRLLLDFALLPRLFIGNDKETEHIGEIPSAYRREMINRAIHAAARGGNLVILKDLLECCSDILTYRDNEGSTILHAAAGRGQVEVVKDLITSSDIINSVDNQGNTSLHVAAYRGQLAAVEVLMHASPPSMYSKNNAGETFLHRAVAGFQIPIFRRVDRQIELMKNLARGNNFVIEEIINAKNNDGRTALHLAVIGNIHTDLVELLMTAHSINVNICDNDGMTALDLLKQRPRSASSEILTRQLISAGGIFNCQDHSARKVIASHLRMRSIESSPGTSFEIPDSEILSQMVTDKGSNACGSARLSPELAQPNKHVLISEKQSPKINIKSGSGTDASQRWRRFLHWPKMRKRKSKPEPEPLEKTVDENSVTSSEEVPVSLRSKYSKPSSLPNHKRALSVRSNAPSPTAKKKFASGLVHGVMQTMPHFNATRRTRSCSFSKSPATSSPYYWDKQKGMYVENEIVAEASCSNSVLGDETPNVLHKPAFAHKRPLINQICFRGKPQHREFYDPSIPSFT >KZM91387 pep chromosome:ASM162521v1:6:24712629:24712802:-1 gene:DCAR_021248 transcript:KZM91387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKKKAASQLIRLVSSAGTGFFYVKKKNPKNPVKLEFRKYDPRVNRHVLFTEAKMK >KZM92215 pep chromosome:ASM162521v1:6:31599260:31604734:-1 gene:DCAR_020420 transcript:KZM92215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTGLAYLSEGPELSTGLNWGLAGKGVIVKEKVFQNLTAFELRQKGATNVTSYISSVSELYVHDGAISSLLKCDAKVRIVSDSPSSVLSLSKLLWRTPSRAVSHDTCPLTVYVASSISSSIGESLGIKGDNGFIAADTERSSLILCGKAFADVNGAREALTALSEPIIISRGGLPLSAGLLVSSNLVMLLFAPEDSLRKFSDVLVSPKAGVVISSEGVSPLFQIGNSGGECVWKLPSVVILATSDSSGMIPSIAKLTPGQAAYHFLVGHQNGKFTPAYSKNISVDPLQLAKGLLSKLQENQISSFLINLNEGQTLKTGMNLVDLVQSALQENIWPSKLKGGDLKRRYKSFLSNNFQELPKEFSF >KZM92254 pep chromosome:ASM162521v1:6:31853721:31855350:1 gene:DCAR_020381 transcript:KZM92254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSQMSEHPSLLCPSLPNLFVHFHRVLKTQQLQVGFYRTNCSNAESIVRQEVERAFFFDDKGIAAGLIRLHFHDCFVRTKGIGWPVPAGRRDGRVSLAAETVALPPPTFNVTQSTQAFANKGLSQKDMVILLGAHTVGRSHCTSFSKRLYNFSPTTSQDPSLDPFFAWFLKSQCPLDGQGNIDPNSVVQMNRSPNLQDNSYYADISAHRVVLTSDETLNSNSQTLSLVNEYASNNTKWLIDFAGAMVKMSKIGVLTGTAGEIRSNCRVINP >KZM89940 pep chromosome:ASM162521v1:6:7772379:7784626:1 gene:DCAR_022697 transcript:KZM89940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIHSALEEICAGGANGITLSTLWLKLKPTLISHGLDPCVSVKKALWTSLLTVPGLAFQSLKGDSLDPGLVEEFDKLGVKTVAAQHLRNSFVGLYDVDACNQGISLQGRRVLERLAIARTNGITQSELGKEFGMQGNKIFYVLRKLETRGLIVRQSTTLRKTEVSSDREQKSSSIVSTNMLHLYRFAKHLGREQKLEVLKEDKPASNENEESELSASGMFGESVKEDVQVKDFLPVLKQICDKLEEADNNVLIVSDIKKDIGYGGKAAYRRWRNILQGLKDARVVEEFSAIVDTKVVSCLKLLKKFSAKYFEPKSLKHGVDDPDLEEPVILGKRGQINDQLVELPLEHQVYDMVNAEGSKGLTKTELCKRLGLNNKRYNTRLQSLFSRFGMHLQAENQNRGVAYRVWSHGNFNRDTSNSMPVKPDIVLNIDGNCPPNTGKRFKGSSTDTVQPENDSTTEVDAIVCGKITNVPTAFKIFKPLPVVGVSNTSICPNSSENVTPKQNCIAPDERLQTVHNTPASEVTIDSHCSSSAPFKRSSHPKYLSLASNAHRRRREQRILELLEEKKFMIKTDLHRQLESLEKDKKTAMDRKTLDRSLNKLQREGCCKLIHVGVPVVTNCSRSRTMDVVLHPSLDDISPDLLSQICERVRSSDTQIRNHQGSSKLKKSQEVPILNGIQRILPSSKLEEQSERVEAMRSNGYVSARMVRTKLLHVFLWGYLTKSPGWNDALPSGVHGYDQKNPHSTCKLIELETAIRAMPIELFLQVVGSTYKFEDIAENCRMGMCLSDLSVQEYKHLMGTQATGRLSNLVQTLRGLKLIRMVRCEYTGDAVAVLDATLAHSLELKPYIEEPVSMAPSSSPFVSFDIRPHFRHDFVLSSRKALDEYWNTLEYCYSGVDPKSALHAFPGSAVHLVCNTRSWATARVMTADQRTELNKCIMKNDLNKKLPLSACEKIAKDLNLTLEQVPQYYYYKRRKRIIKLQGVSNQEVDQSTDLEEQESSTLKPTPRRKFSWTENADRQLVIEYAKKRAALGANFHRTDWKALPNKPAAPAVCRRRMALLNTCMEFRKAVLKLCNILTERYANHLEKLQNESKLNGEHGVMVCNHKSAEYSSREDSESQQQSRDINPEDQWDNFNNKDVKMALDNALRHKRTAKLDAHREIHSVPDQFSHFHMEGEQNDPKLLSSAIFKKSKKKHRVRSNVYEHIQQNYIKFLNEWDDANGRAYRSLPVSNAVELFKLVFMNASTAPEVPNLLAETFRRYSEDDLFAAFNYLREAKIMVGGSGTNPIVLSRQFMREISSSPYPTNTGKRAAEFRSWLHKNEKNITEEGIELPSNLQCGDILYLSALLSSREILLSPDLPAQGVGEADDSRMLKRKYNNDIYCDDKAKKRKGTLIGEGEMTFRREKGFPGIRLSVSRATIPRVDVIDLFKETAIQSDVFLIDGTEEKSSRYIGFTSTDHMKQTIDFGTAVHLTISADDKPWEAMTCYAKNLDYFASNQVKGSSFCPQVFKTICSAIQKAGDQGLSMEEISKVVNIQGDKMPEIVVEVLEAFGRALKVNAYDSVQVVDSLYRSKYSLTSLAGPCQDHKQDQPTNSTVQDHREDPSTNSSVLNDEQHVIHNSDDHENGSPNVLSMRSNDNDEHRVTILNLPEDSQPSSEVQKVTGTESYQQTSISLERHQVDDTLKHNSGLSCVCRPILSWMNGDGTINEFVYKGLVRRVLGFLMQNPGMLEANILQRMNVLNPQSCRKLLELMILDDIITVRKIYQATSCEPPSILSSLFESSYKRSKYVYQEHFFANPINAATLL >KZM92401 pep chromosome:ASM162521v1:6:33143585:33148860:1 gene:DCAR_020234 transcript:KZM92401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRVGGGMVNSSATSGIFFQGDRQSHGVGNSHLSSSFGNSYGSIPGSFRSNIGPSSGDVGTTILNSVPTSGPSVGASSLVTDANSGLSGGPHLQRSASINTDSYMRLPASPMSFSSNNISISGSSVMDGSSVVQQSSTKEPNCYQSQQHQGASSATSFPAALGGQVSLPSGPRVTGSFIQDTTNTSHLQKKPRLDIKQEDIQPQQAIQQMLQRQDSMPAFFQQQQRLRQQQQQQQLLQAMPQVQRAHLLQQQQQQQQQQQQQQKMRQQLQHGVQPTSGVKRPYDGGVCSRRLMQYLYHQRRPADNAFAYWRKFVAEYYSPRAKKRWCLSLYDNVGVHSLGVFPQAAMDAWQCDICGSKSGRGFEATYEVLPRLNEIKFGSGVIDELLYLDLPRECRFPSGIMMLEYGKAVQETVYEQLRVVREGQLRVIFTADLKILSWEFCARRHEELLPRRLVAPQVNQLLQIAQKCQSTITESGQDGIPQQDLQNNSNMVVTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVFNSMKDLMDFCKDRKDGPIECLKKFPRLTNPAKVQLQKMQELEQLASVQGLPTDRGTLNRLVAQNAGLNNQINSSNQMVGRAALSGSPRASLAVSNYQNMLMRQNSFNSNSNSLQHEPPAFNNSNQKPSSPFQGSASQLPGTMQNLPAGGFSSSNVLQPLQRSPNVSGILQQNHPQSSHGSQSLQQQMIQRLLHDINSNSNTCSADVNEGRDCLGSGSKTYVGTAAQGNRAASINGPTPTRSNSFKGISNSDSSAAAGGNNVSSQKASELQQNLQLSDELAQDIAREFSEHGLFSDLDETMAYGGWKA >KZM91425 pep chromosome:ASM162521v1:6:25090021:25092456:-1 gene:DCAR_021210 transcript:KZM91425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKKIYYSMFLLLSICFFINFYTSFGADSISANHSLSGNQTIVSSGGKYELGFFKPGKSSKYYIGIWFKEISEQTVVWVANREKPVSNKHSSELKVVDGNLVLFDERQTQVWSTETNSAFPSPVAVLLDDGNFVLRNGSSSTLWQSLDYPSHTWLPGSKISYDKRTNKTKTLTSWKNSEDPAPGFYTLEVDPINNQGVIMWNRSKLIWRSGPWDGQAFGLVPDMHHNSYSLFNFSYVSNENETSFSYYVIQTPSFVSRTIIDYSGQFQLFSWLGETNEWSLIWAVPKQQCEVSDVCGAYGICNQLALPPCNCLPGFKSRFERSWGLGDYSGGCVRSLRLECGETNTSNGGKDMFGKYSNVKLPNNSQSVSSVGSARGCKSICWSNCACGAYAYHDGTCFTWNKDIYNMQQLSKDDTSGKDIFIRLSPLEFSERSRKVVYWAVGGSCAAMVIIFLGVSFLIGRKKLSQVKEGAKAVEGTLVAYGYKDIKSATKNFSERLGGGGFGSVFKGILPDSTVIAVKKLKGISQGEKQFRNEVSTIGNIQHVNLVHLRGFCSQGNEKLLVYEYMSKGSLDSHIFKAEKGKSILSWKTRYAISLGIAKGLVYLHEKCIDCIIHCDIKPENILLDDFMCPKVADFGLAKLVGHDFSRVLTTMRGTRGYLAPEWISGAAITSKADVYSYGMMLFEFVSGRRNMEQTRDGKVDFFPARAAKVMIDGGDILGILDPNLDGSADAEQVTRICKIACWCIQEDENVRPSMSKIVQILEGVMELNLPPDLRSLQLFMDMENEENIVFYTNSLSSSNSQELEILHT >KZM91549 pep chromosome:ASM162521v1:6:25972772:25973392:1 gene:DCAR_021086 transcript:KZM91549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKLFGTKKRKPSLDHCLLAERSSSALKIIHAGGRTDYYYMAVPASRVMEKYPSFLLAKPEVFRRPWDSVVRPEEILVPGQKFFVVPIRTVKKLKRRIRKPVKDSFLDMFVSECSIDELSSKSYLPKRDVTSKSKGKVKVEKRGVSFNKSTDKNKSGGNDMEKKRNGDQLKKSSSGAMPSEMKTRRVRMITWEPSLTVIAECHVE >KZM90746 pep chromosome:ASM162521v1:6:18822506:18828779:-1 gene:DCAR_021889 transcript:KZM90746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVPKARQENEEAKDSAVVPVDTDTGKASILLSSSDASWFTPKRLLLIFCVINLINYVDRGAIASNGVNGNRRTCTKSGTCSSGSGIQGDFDLTNFEDGVISSAFMVGLLVASPIFASLAHRVNPFRLIGVGLTFWTIATAGCGFSTNFWSIAVCRMLVGVGEASFISLAAPYIDDNAPVSQKTAWLGIFYMCIPSGVALGYVYGGLVGHHLTWHWAFWGEAILMLPFAVLGFVMKPIKMKGFTCESRKALKSTEVEATACVSDGLSSVKDNLDDQSLRRPTSSKCFGVNTLPRFSRDMKVLLLDKVYVVNVLGYIAYNFVIGAYTYWGPKAGYNIYHMKDADTIFGGITIVCGIVGTLAGGFILDLMTATISNAFKLLSMATLFGAIFCFLAFCLKNVYGFLVLFAIGELLVFATQGPVNFVCLHCVKPSVRPLSMAMATVSIHIFGDVPSSPLVGVVQDRLKDWRKTALILTSIFFLASAIWFIGIFLESVDRFDEDSEHTDITNDRLNTTPLLDEKITAMAEQ >KZM91996 pep chromosome:ASM162521v1:6:29658668:29659954:-1 gene:DCAR_020639 transcript:KZM91996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSILESIGSEIIGVMSPVSICMFLVVLLVYSLSSSSNSGADQIRTAANLVYVETASDSTTQKLEGSLLNALVFVILIAAVTFLLVLLYYYNFTGFLKNYMRFSAFFVLGTMGGSIFLSVIQYFSIPIDSITCFVLMVNFTLVGVLSLFSDGFPIFLRQSYMVVLGIIVAAWFTKLPEWTTWTLLIALALYDLVAVLAPGGPLKILVELASSRDGELPALVYEARPVVSNRGGRTGLGMLVGGVSDSESVEVEMVARGNDTRNRDSGVEYTSVQVESDDIEVVRDEGETSPLVPNSGGSEHGRRDMQGERSPLIELLGMRDAAGEVSTDALDTEVTTSRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLAVHRQALPALPISITLGIMFYFLTRLILEPFVVGTSTNLLMF >KZM92747 pep chromosome:ASM162521v1:6:35987211:35989539:-1 gene:DCAR_019888 transcript:KZM92747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSAVGGTASAFYGFNHAMPIVRRWVKGPMWLHFLVGAPPVIVFSSACAGLAGGAVPALAQLASSSYHAAVSSPSLPPPSPQDAKMNKSRTSSTL >KZM89438 pep chromosome:ASM162521v1:6:728331:730220:-1 gene:DCAR_023199 transcript:KZM89438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTRLLFNSPTKPTHFLSRPIIPPCGSLPLLTRTSNIVTFAKRTRKFESRNKSSSTSTKEELLGDPTAEIGGELGAVDSDESFEGYVLPDLPGLEKDFWEGPEWDGFGFFIQYMWAFGIVFALISSGIAVATYNEGATDFKATPAYQESIQSQELLEEPDESNSDVFESNPTEQAPSLE >KZM90179 pep chromosome:ASM162521v1:6:12064145:12065139:1 gene:DCAR_022456 transcript:KZM90179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCDSDGVPIDHDGHRLEDFNPGTAPFAVSGAVLREFFTGKLSDLPNNHLEAEPSVATKVQPSSLQGRIPVQVYDDVTIEDYASSEDPISPRSGMGEPQNPTSDTIHAILKTTKHEREALFASRRKLADVLSFLKSKGFSEEDVISSSVAKGFGSVIPNRDDFGLPMAGSAVLTHPKVDVRNIPNPFTDKMKNIVDDGAAGVTVDEKPKSSGTQNGAPIPGPNVSGNNTQQNANDPLPKKSWAEVVNKPTPSTPVTFDYIPPVKGSTIISPPLDVLKKGNDKMKFSIIGHFSKGTLPFKK >KZM91580 pep chromosome:ASM162521v1:6:26194877:26195998:1 gene:DCAR_021055 transcript:KZM91580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDDNSPKSCHIFTSEDNLQDHQNAEVLPLPRTPLSNITNSHPNKSSRFRFLQAKAAKTNFQNEGPRVMHLAHDDIDNQLPREVPVSRSPLSDITIASQNKSSRFRSLNTGSSETNIQSTTRNLYRDSFENEEQSKKYFNHDDIECSTVQDPVFSDESDSDYVCG >KZM92327 pep chromosome:ASM162521v1:6:32551539:32552838:1 gene:DCAR_020308 transcript:KZM92327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTHLYKQSASLIDQVIDEDFELLVLASDGLWDVVPNEDAVSLATTEEEPEAAARKLTDTAFSRGSADNISCIVVKFHHEKSEPVDH >KZM91803 pep chromosome:ASM162521v1:6:27936077:27940019:1 gene:DCAR_020832 transcript:KZM91803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIVKRVLQNRAGNIFSAGGAFPSIQRSFSSSSDLITATLFPGDGIGPEIAESVKQVFRIAEVPIQWEEHFVGTEVDPRTQSFLTWESLESVRRNKIGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVDLVTIRENTEGEYSGLEHQAMTSAFLRMPNRHQPGKSTMKKHCKVVRGVVESIKIITRQASLRVAEYAFHYAKAHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEIKYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGVALAEAVHGSAPDIAGKNKANPTALLLSSVSMLRHLELHDKADRIQNAILDTIAEGKYRTADLGGSSSTSDFTKAICDHL >KZM90197 pep chromosome:ASM162521v1:6:12422950:12427584:1 gene:DCAR_022438 transcript:KZM90197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSTKSCFKIITCGSDSVDRDDLQVSESKSSARGWSFRKRSASHRVLSNTVISEVPSSVNKESPEAVSADYQNQPKAGLPEKSSEMQWTEEVPQLPAAINSKFLEAVVGAEVDTKLDIPPDESVVTVIQTAIRKFLAQRELTKQKNIVTLQAAVRGHLVRRQAVGSLRCVQAIIKMQILVRARHTRLSAEEKRHVKPGRDSSKVKEERKSGAKPGAEYTSIEKLLSNSFARRLLESSPSTKSMNIKCDPSRPDSAWEWMERWVSVSPTEQSPKVEPSTALKDQEILKHPENQVETACADKEDSELTDFTFVATSAAVPYLESDSEEAAVLSKSEQNLASQEFEQPQPESQDSTKSSESPQQPLDKPSDSSPEVELNNLPSKPELEEEQPKRSVEIVASEHTGTEGRKIVFGSRKASNAAFIAAQSKFEELTSTSNLVNSVNSCNEDNEVESGDITFSSSIDDSVKTKDTNLADDAIPTAPKVLLGGSECGTELSITSTLDSPEQSEFGAVDGHEVNISEEEMSNLKNTTICIENEARVDDPSIILNTDLSNPLMVQSEKQSDTDIVNEESSKLEDRSVEIASSMQKKMEHDTVNQMYKSSPEASPRSRVTILDSQTTPSSQVSTKSRKTRSEKNGSSQKRKSLSVGKRSPLPSNDSGVRSSLEKLPKDEKAGKHRNSFGSAKTDHVDEGRRDSSSSPSVPSYMQATESARAKAYANITPRSSPDVQDKESYIKKRHSLPSANGRQGSPRIQRSTSLAQQSTKESGNVPAQGMF >KZM90545 pep chromosome:ASM162521v1:6:16748505:16761273:1 gene:DCAR_022090 transcript:KZM90545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHKRGEEIIIIEDEPTRQRVFRQQGGIVIKENSEALQERIRHRLLGKGKRKCAPEKAASSSLVVDLCSESGSLGHSQFSFRVASSGFGSSFSSVQSLASDGFISLYSFATRSSSVAVEAIPQDINSTDENVVFVAGATGKVGSRTVRELLKLGCKVRAGVRSIKRAEFLQKSVQQMKLDGGADDETQFMKNFELVECDLEKTDQIGPAIANASTVICCIGASEKEISDITGPYRIDYLATKNLVDAATAAKVSHFILVTSLGTNKFGFPAAILNLFWGVLCWKRKAEEALLASGIPYTIVRPGGMERPTDSYKQTHNLTLSLEDTLFGGQVSNLQVAELLAFMAQNRSLSYCKVVEAIAETTAPLTPMDKLLEKIPPQRVEVKLPKKSSTTDSPQPSVSKSTVAETSSTSLEEKSTEEKETVTRPLSPYIAYADLKPPTSPSPKSPGDSKKVTASTPVAETDGVITPEIITSIPEHSPGVLEKPKERPLSPYAAYDDLKPPCSPCPTPSGPKETPSITEIPEASNISEVKTVSVAEYDPLPVTNYCHSPYSAYEDFKPPTSPSPSSPIITQSAASPVIGGSTNGTAQPPCTAPQSRPLSPFPMVYLVAAMEEKHKEAEISSSKKASVGPSSTLEYLNPQYWDERFSTEEHYEWFKDYSHFQHLIKEHFKPNSSVLELGCGNSQLCENLYNDGVTELTCIDISAVAVEKMQRRLLSKGYPDIRVVEADMLDLPFSDEAFDVVIEKGTMDVLFVDSGDPWNPRPATLDKVMAMLKGVHRVLKPDGVFISITFGQPHFRRPIFCAPDFNWSMEWSTFGDGFHYFFYILKKGQKLPDTDLCTKKIETPSISMFHDELDNEDYIFRTNLDEMES >KZM92101 pep chromosome:ASM162521v1:6:30565221:30566753:1 gene:DCAR_020534 transcript:KZM92101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLSTTSSTKFQLYNSNFTQAISSSRPNIFHGLYKKLCKKKDLCVSLSVKCSQETTQIINESNLEKSSRKGSWKPLLSEGRDEDENFGDVCPGCGIFMQDSDPSLPGYYQERKVDVVSDVLEEEEGEDDFLSDENGDEIDVGFEDSDGEVDKVKSKDGIDWDSEEWDSDFDIDEDDEVELDGFTAPSVGYGNITEESIEKRKRKRVSKSERKRNAREARREIEEVTVCARCHSLRNYGQVKNQTAENLIPDFDFDRLITTRLMKITSRTADSTVVVMVVDCVDFDGSFPKGAAKSLFKALEGSQDNQKISKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKANGAPKLSAVYLVSSRKDLGVRNLLTFIKELAGPRGNVWVIGAQNAGKSTLINAFAKKERVKAIKLTEAAVPGTTLGILRIRGVLSAKAKMYDTPGLLHPYLMSMRLNREEQKMVEIRKELKPRTYRMKASTL >KZM91800 pep chromosome:ASM162521v1:6:27902572:27906084:-1 gene:DCAR_020835 transcript:KZM91800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQDETQQSKFGRICVFCGSSQGKKTSYQDAAIQLAQELVSRNIDLVYGGGSIGLMGLISQAVHNGGRHVIGVIPKTLMPRELTGVTVGEVKAVAGMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARHIIISAPTAKDLVKKLEEYVPCHERVASKLNWETERLAFPQAYNTLR >KZM89960 pep chromosome:ASM162521v1:6:8567337:8568320:-1 gene:DCAR_022677 transcript:KZM89960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESINQNAERVGINLILVDVLGGRIHAWIPAPAIAQLENHLIEGEIYDLRTFVVRPYPAMQIGICFRNEFFIQLNHMNQLFVSEGSNFIPPHVFAFTELSNLMEVATEPKFLIDVVGVLQRVQPMTSFTNKRHQQKSCIRFSITDMFTSREVSFYDKLAELFEQGLRDATQHPIIVIISSCKAQRFRGELELTNLPATRFFINLDTEAVHDLRDAFRLANSQT >KZM89941 pep chromosome:ASM162521v1:6:7793429:7795895:1 gene:DCAR_022696 transcript:KZM89941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVKIGGTDKFAKVIDFLRRQLHRETLFVYINSAFSPNPDELLIMLLQWHGANFDLYYGVVYGAASV >KZM90440 pep chromosome:ASM162521v1:6:15808222:15808530:-1 gene:DCAR_022195 transcript:KZM90440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPQVQPPVENGHASNDRVANGAHD >KZM92646 pep chromosome:ASM162521v1:6:35107784:35112928:-1 gene:DCAR_019989 transcript:KZM92646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNLIATHVEVSDGDLKAFLVPKNQENQEEDQENQEKGEIHSKALILSLSVYSDGILRLKIDEDPSLDPPKKRFEVPDVIESGFLEKKLWLESVENKGGLNVVYLNGEYEAVLRSDPFEVLVRGKDGKSVMSLNSHGLFDFEQLRVKKEGEDWEERWKGHTDRRPYGPQSISFDVSFYDVSFVYGIPEHATSLALKPTRGPGVDDSEPYRLFNLDVFEYLHESPFGLYGSIPFMLGHGKSRGTSGFFWLNAAEMQIDVLKDGWDAESSITLPSGQSRIDTFWMSEAGVVDAFFFVGPGPKDVIRQYTSVTGTSALPQLFATAYHQCRWNYRDEEDVQNVDSKFDEHDIPYDVLWLDIEHTDGKKYFTWDRALFPNPEDMQKKLAAKGRKMVTIVDPHIKRDNSYHIHKEATEKGYYVKDANGNDYDGWCWPGSSSYIDMVNPEIRSWWGEKFSYQEYVGSTPSLYIWNDMNEPSVFNGPEISMPRDALHYGNVEHRELHNAYGYYFHMATAGGLVKRESGNDRPFVLLLIPLVHNDYRERKTELIREAIHIRYMLLPYFYTLFREANVSGTPVVRPLWMEFPSDEGTFGTDEAFMVGNSILVQGIYTEGAKQVSVYLPGEQSWYDMRTGTAYTGGVTHKLDVSEEAIPAFQRAGTIIPRKDRFRRSSKQMENDPYTLVIALNSSREAEGELYVDDGKSFAFQQGSYIHRRFVFSNGKLTSINLAPAATGKSQFVTDCTVERIILLGHSPAHKGALVEPSNQKADVEDGPLMLRPGRTPAVPTIRKPGVRITDDWTIRIL >KZM91824 pep chromosome:ASM162521v1:6:28098741:28100420:1 gene:DCAR_020811 transcript:KZM91824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASFCIMAVAGATYMFGLYSNEIKSSLGYDQTTLNLIGFFKDLGANIGIISGLINEVTPPWVVLFIGAVMNFSGYFMIWLAVAGKIAKPKVWQMCLYMCIGANSQTFANTGALVTCVKNFPESRGVVLGLLKGFVGLSGAIITQLYHALYGDDSKSLILLIAWLPAVVSFVFLRTVRIMKVVRVSNELKIFYNLLYISLGLAGFLMVIIIVQNSLSFTRAEYAATVSVVILLLSAPLLIVIKEEWKIWKLKKQVSGNDHDQIQVIDENPPSPATTNHPSTSPQKSSSCFRNVFKHPERGDDFTILQAIFSIDMIILFCATTFGVGGTLTAIDNLGQIGKSLGYPTKSITTFISLVSIWNYLGRVFSGFMSEILLTKYKFPRPLMLTLVLLFSCVGHLLIAFGVPNSLYLSSIIMGFCFGALWPLIFAIISELFGLKYYSTLYNLGAGASPFGAFILNVKVAGHLYDKEASKQMAAKGLKRLPGEDLTCSGVKCYKEAFLVITGATLLGALVSLILVVRTREFYRGDIYKKFREQAVVLDAQNSGTASRTNGTAEAAT >KZM90110 pep chromosome:ASM162521v1:6:11286177:11286833:1 gene:DCAR_022525 transcript:KZM90110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMSRCYVWFILYLLCIGILAFVFGVVFFFSWVTVITQKSGASFYVQKFYVPALNSTAGGNYTADNTTSISFVFNIRNTLNNIGIYYDDLNVTFSYGSDKSLEIGSYKLDGFHQHANENTYSGDSVAVKGVPWNTIAQNGSKVDFRVALATKVRYHQMFFKGKKRQVRIRAVVQVNDTTGLKIKKKSIRLTSGATETGRSTGASVAFSLLIILLFPI >KZM89499 pep chromosome:ASM162521v1:6:1322803:1324167:1 gene:DCAR_023138 transcript:KZM89499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKITAAARGAQLPALSNLVRNQRQTPPAAAAAAKPEEDEKKKIWNSMIRFPTPNLSDAKSIFNSLISNPNPNPSLLTPKFFNSILHSFASISTLDDSHAFLNHMLKASPSFTPDRSTYHILLSHSPSSLPSVRKSLNLMLSHGAFIPDKVTTDIAVRALCSSSLIEEAVELVKELSLKHSAPDTYTYNFLVKHLCRHRSLTMLNSFINDMRDSFGVKPDLVTYTIMIDNVCNTKNLREATRLLGVLSEQGFKPDCYVYNTVMKGYCMLSQGGEVLRVYNKMLEEGVKPDLVTFNTLIYGLSKSGRVRDAKKFLSVMTEAGHMPDAVTYTSLMNGMCREGNALGALNLLVEMERKGCTPNSCTYNTLLHGLCKARLLDKGVELFRVMKAAEMKLETGSYGTFVRSLCRKGMVAEAYQVFDYAVESKSLTDVSAYATLEATLKWLAKAKEQGLAV >KZM92678 pep chromosome:ASM162521v1:6:35338668:35343526:-1 gene:DCAR_019957 transcript:KZM92678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSRRAVESYWRSRMIDTATSDEDKVTPVYKLDEICELLRSSHVGIVKEIAEFVFKRLDHKSPIVKQKALRLIKYSVGKSGVEFRRELQRNSVAIRQLIHYKGQPDPLKGDALNKSVRATAQEALSAMFSTDDNKPAPSDDLTQRIQGFGSTNYEMPSEDKKSFLSEVVNIGSATIKQGLNSLSQAPAHKRNETGSYRSPNLRRSLTNETDYSDRYEADEHRTDTQPGSRLSHNISGGTWAQDLKTVDTANSSSSSSHSESKTREERLLETIVTSGGVRLQPTRDALHLFLVRVRAVCVLDAILRKKEDENFSVVAFYFSDNKDVVVKCCESPQASLREKASKVLGLLEGEQTGNTVGNPEKQLKVETAAIQMPDLIDTADSDGPPMHQSIEALATPATPIVDLFGDGPSTAVSTSEQKKDDDPFADVSFHTNNEKEHVDDIFSGMTTGNSGTVGVNMTPNQNGAELFDIFGSNLETSAEQVNNKKDVNDLMSGLFIKEKDVKQQGTSSDALSENIFSVPSTNLSNQVTTDTFNKTYGAQIAGTNVNPMFPVGTVPYNMSPGIMYNTAIPSQPINYGAMGNLLAQQQFLATMSNYQQLGNLHPQNSGFSPALETQGGGYSSALPDVFNSSLPVQTSTSVMNGSKKEDTRAFDFISDHLAAARDTKRMI >KZM89563 pep chromosome:ASM162521v1:6:2116935:2123559:-1 gene:DCAR_023074 transcript:KZM89563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMSCKDGKGVMDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYDNGYFRQHTQTSGLPTKDTSCESVVTSGQHHMTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCPGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVVCERSLTNTQNGPSMPPVQNFVRAEMLPSGYLIRPCDGGGSIIHIVDHMNLEAWSVPEVLRPLYESSTVLAQKTTMTALRQLRQIAQEASQSSAANWGQRPVALRALSQRLSRGFNEALNGFTDEGWSLMGTEGMDDVTILVNSSPDKLMGLNLSFNNGFTPVSNGVLCAKASMLLQNVPPAILLRFLREHRSEWADTNIDAYSAAAVKVGPCSLPGSRVGNYGGQVILPLAHTIEHEELLEVIKLEGAGHCPEEAIMGRDMFLLQSHRQKGLPRAQRNKYLYSLISRHCVLVFMLRPLCSGMDENAVGICAELIFAPIDASFADDAPLLPSGFRIIPLDTGKEASSPNRTLDLASALEIGSAGNKACSNYTATGGSTRSVMTIAFEFAFESHMQENVASMARQYVRSIISSVQRVALALSPSRLDGNGGVRPQLGPPEAQTLARWICQSYRCYLGVELLKAGGGVGSESILKSLWHHPDAATPVFTFANQAGLDMLETTLAALQDITLEKIFDDHGKRNLSSEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEDENAQCICFMFMNWSFV >KZM91300 pep chromosome:ASM162521v1:6:23945009:23945269:1 gene:DCAR_021335 transcript:KZM91300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSMTEVIDRHDFHGFDSGLKVIMGSHLWSIVQNIQVKTNQMGTNVYIQIIFKSLSCLSKKEGFNSDILQRLSNFDCVCRCRMVFD >KZM92017 pep chromosome:ASM162521v1:6:29834380:29835646:1 gene:DCAR_020618 transcript:KZM92017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRPVFGSVGGAQICASLHVGEIRPGSLRGNHRHYTCNETFLIWGAKTKFRLENNLMEKGYTEVMIDADDVAVIVSPSGTAHALVNVDPVRTTFFLGCQDNRIDYNSSTSDFNVWKDL >KZM89532 pep chromosome:ASM162521v1:6:1699708:1702811:-1 gene:DCAR_023105 transcript:KZM89532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKDLDFSSHKLPTPSQVVEEMKELWSMALPITGMNCLVYIRAVVSVLFLGWLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGNKNYDLLTLSLHRMIFILLITIIPISFLWINLNSIMLFIGQDPDITSTAASYCIVSLPDLLTNSFLQPLRVYLRSQGVTQPMMWCTFVAVVFHVPLNYVMVVVMKLGVSGVALASVLTNVNMLLFLCGYVYVYGRWEWKWTAGIGGGGVGPLLRLAVPSCIGICLEWWWYEIVTVLAGYLPNPRLAVAATGILIQTTSLMYTVPMALAGCVSARVGNELGAGKPYKAKLAAMVALVCAFLIGIIHVCWTSIFRDKWAGLFTKDEMLKALVASVMPLMGLCELGNCPQTTGCGILRGTARPAVGARINLGSFYFVGTPVAVGLAFWFRVGFTGLWLGLLSAQVACAISILYAVLVSTDWEGEALKALKLNEVEMVEKSENGEENIRFLAKANGNTNC >KZM90835 pep chromosome:ASM162521v1:6:19685905:19689271:-1 gene:DCAR_021800 transcript:KZM90835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDSYEQVLQYYENYAKQEGFAVFSRTSTKGEGNKIYKILSCCREGQRVSKSKNEFRMKPYAGTNCKARINILLVIPHWSHDHATTIVIWQEHI >KZM91057 pep chromosome:ASM162521v1:6:21722773:21723771:1 gene:DCAR_021578 transcript:KZM91057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTLTLSTIQKRLSTPLQIQPLPSPPLRNLRRSFKPNLIKISPLRYYPIKAQQTQKPDPEIKPQDGIPIEFVKTLASFKSRYNYIRVIEVSRRSDHPFAGSRLLLLDGPGNIHSISYLFKSLTSTYFDVFAILPPILPPGPLGILGFGAGSAARLILELYPHGVIHGFEIDPDVISVGREFFGLTKMEKKYDDRLFVYVGDALNASVEGGYSGFLIDLFGEGCLIPELQDPGTWEMLKKRLRKGGKMMVNVGGSCVEPEDSRRDGRVIMEETLKAMHKVFPGEVYVMSLGNGKDDSSIAFIGEMPNLESWRKALPKSLKLYVDMWRPYREV >KZM89537 pep chromosome:ASM162521v1:6:1751493:1751672:-1 gene:DCAR_023100 transcript:KZM89537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFDDYEDNDKAYESKLVVIHTLFFNSTIPVKRRGTLIIPKLNIFSFSIISIATATRE >KZM91745 pep chromosome:ASM162521v1:6:27508172:27508663:1 gene:DCAR_020890 transcript:KZM91745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTKLTALLLISMLVISIANPVLDCGNCEKTSAHHKPAKIHPPKFVKPPITLPPLVKPAKGKPGKLCPSTPSSPSSTVCPIDVLKLGECVDVLGGLVHVGLGNPIADKCCPLIAGLVELEAAVCLCNALKLKLLNLNLYVPIALQLLITCGKPPPSGYTCSL >KZM91531 pep chromosome:ASM162521v1:6:25848894:25849853:1 gene:DCAR_021104 transcript:KZM91531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTACSYHGVHLPSINLKNQHHIHHSITSTIVIRKQGSFTQRCRKGIVKCGRDITDFIGGDLVKFDIGQWLSDVEEHKALAIYTPHEGGYEGRYLNRLRYQGYQFLDLTARGLGDPETTLTKVHPVCPAHVGKQPIARWYFPPEVDYRLSLLPPNAKGLIVWIIEAKVLSKAELQFLALLPTLRPRVRVIAECGNWRKVMWRPLQDIAQGTINDEA >KZM89712 pep chromosome:ASM162521v1:6:3649455:3651167:1 gene:DCAR_022925 transcript:KZM89712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTFSHYSPPHIDTTNKPLSFVHSKLLFAKTLTPRNSVSLKTQSLRPNPPIFEAHSTDPDDDYDYDGDKPREECGVVGIFADPEASRLCYLALHALQHRGQEGAGIVTVHDRVLHSVTGVGLVSEVFNQSKLDQLPGDSAIGHVRYSTAGSSMLKNVQPFVTRYRYGSVGVAHNGNLVNYQKLRHELEDNGSIFTTSSDTEVVLHLIAISKARPFFLRIVEACGKLEGAYSMVFLTEEKLVAVRDPYGFRPLVMGRKSNGAVVFASETCALDLIEATYEREVNPGEVIVVDKTGVQSSLCLLTHVVRKACIFEHIYFAMPNSIVFGRSVYASRHKYGEILATEAPVECDVVIAVPDSGVVAALGYAAKSGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIGSCYYGVDTPSAEELISNRLSVEGIRDYIGSDSLAFLPIESMKEFLGSDSSNFCYACFSGKYPVLPTGKVKHIGDAVDDGLSGSMEYIDGGWIQETKNDKEKNMIPVAEKDEIVA >KZM90551 pep chromosome:ASM162521v1:6:16815403:16816878:1 gene:DCAR_022084 transcript:KZM90551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSGIIRNQILHFTPPKHSIPFSSSITPSILPDDKTVITTAVTILKHHRSKSRWTHLRSLFPNGFTPTQVSEITLQLRNNPHLALNYFNFTVQHSLCCHSLSSYSTIIHVLARARQKSKAQSLIQTVLHKFPQAHLGDCPKYPKIFESLMRSYRVCDSAPFVFDLLVMSLLQAKRIDQAVEVVRMLRSREIFGGVERDVSGNGVRVVVPNVHTFNVIMVGFYREGLVQNVEEVWDEMLRRGCGPNSYSYSVLMAAYCEDERMVDALRVWEEMGGKGLERDLVAYNTIIGGFCKIGEVKRAEEFFGEMEFNRVDSSCVTFEHLISGYCKIGDVDSALLLYKVMCGKGFWPESLTIDEVIKGLCGKNRISEASEYLRVAIKKHDIVPKGTSYELVIKGLCQEGRMGEGLKLQAEMVGKGYKPNSEVYNAFIDGYIKQGNEEQAKKLRKEMVQIQEQQEAIR >KZM92375 pep chromosome:ASM162521v1:6:32959143:32964671:-1 gene:DCAR_020260 transcript:KZM92375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRLSTSITSDVTKSVEGNDSLDTFIRQAVGKEPYLSFSRTNDSPVQWIQLLHALDQQDLPGWPLLTPLKVQMQKCDKCSREFCSPINHRRHIRMHRRSLNVNKESHKSRDLLAAFWDKLSFDEAKEIVSFDDVVLEEVSGSSIIKALASFIYKPGFFALSQVYQKAGSSLLDVIQARPSRLPISSQELFSILDDASERTFLCAGTAESMQKYVFDREAGKVALEMKNLVACTSFLIEQKLVKAWLADKDAEALRCQKLLVEEEEASMRRQADLLERKRQKKLRQKEQKAKEQFREEEPLKNMVDNVSESLTSAEPSSPTTLVDSHLSGEGTSDDVPTVLVSVSNNEPAETEAQGVLSSEPVDLCLAQNDEHRKSRGNGRQHLGSTRWQVPKTQRIGCNGCYHGPSSNVVKTEPTPKHIFHRDARAAPVNRNKVWTKKAKADNGGKCAASRGVVDVNQIEQTGCAVMIGSISVTVRNSEAEQASSQSEAQDSCDIKHVKKVVAQDKLMKEDVPSSTTRSTVKCWMPVSRHENIGRGQSAQSDNQESDNNPISRIGGDSMMPGNSGFCSCEMESSYGKSSEIMETKDSHFSTHPARAFLAERWKEAVSGEHVTLVLSPESDCQLASMIKECDVSGVAGNRPARISPSNRRQKAKSRTKSEKNVQIKYIPKQKNVT >KZM90509 pep chromosome:ASM162521v1:6:16380769:16381134:1 gene:DCAR_022126 transcript:KZM90509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHRDTRSGDQYEDLLPVMAEKLDVEAFVSELCGGFRLLADPASGLITSESLKKNSGLLGMEGMSKEDAEAMVKEGDLDGDGSLNETEFCILMVRLSPGMMEDAEAWLDKALQHEVESLL >KZM90057 pep chromosome:ASM162521v1:6:10136985:10142846:1 gene:DCAR_022578 transcript:KZM90057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAMLRCSSPPPQFLAAAANYSPDSQLFRCHKPSLNSKSSRSFSIRASASSNSSVVSLLDYGAGNVRSVRNAIHSLGFSIKDVESPEDILNAERLVFPGVGAFAAAMDFINQNGMTEALCSYIENDRPFLGICLGLQLLFESSEEKGTVKGLGLIPGVVGRFDSSSGCRVPHIGWNALQIAKETNILDDIGDRHVYFVHSYRAMPVIACLDVRANDNGDLVVTKGDQYDVREHTKENEVRNLGKPVELAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRYTSENVFVPLTVGGGIRDFTDANGRHYSSLEVASEYFRSGADKISIGSDAVYAAEEYLKTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYLVHPDDSAFKAVRVKNPGPNGEEYAWYQCTVNGGREGRQIGAYELARAVEELGAGEILLNCIDCDGQGKGFDIDLVKLISDAVNIPVVASSGAGAVEHFSEVFNQTNASAALAAGIFHRKEVPVQAVKEHLLKEGIEVRT >KZM91960 pep chromosome:ASM162521v1:6:29373463:29390357:-1 gene:DCAR_020675 transcript:KZM91960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIINPQQLNDDDEEMLVPSTEHADPVPQPLEGPLPMEVVQTNGTRTVENRELDDGPHAYRFSWQLRNFSRLNDKVYSTHFSCGGFNWRVLIFPNGNNVDCLSMYLDVADALSLPYGWNTRAQFSMSVINHIDPKYSVRKDAEHIFHARESDWGFTSFMQLSELYDPNKGFLLRDTCFIEADVAVRKVIDYRGYDSKKMTGYVGLKNQGATCYMNSLLQFLYHIPYFRKAVYHMPTTENEIPSGSIPLALQTLFFKLQYHDTSVGTKELTKSFGWETCDSLMQHDVQELNRVLSEKLEQKMKGTAVEGTIQKLFEGHHVNYIECINVDYKSLRKESFHDIQLDVKGCRDVYASFDKYVEVEHLGGDNKYHAENHGLQDAKRGVLFSNFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDIEDGKYLSPDADRSIRNLYTLHRFKFDDERVTKENLDSALEEQYGGDEEFTPTNPGFNNAPFKFTKCSNAYMLVYIRESDKEKIICDVDEEEIAEHLRIKLKKEQEEKEYKRRYKAEAHLYTIVKVARDEDLLQQIGKDIHFDLVDFEKVRHFRIQKQIPFMKFKEEVAKEFGVPVQLQRYWIWAKRQNHTYRPNRCLTPREEAQMVGQLREISNKGNNAEMKLFLEVLPGPEQCPIPPPGGEKEDILVFFKLYDPKKEELRYVGNLFVNCAGKPIDILRNINELAGFDPDEEIDLYEEVKFEPCIMCERLDKGSSFRSSQIEDGDIICFQKHLQPHSMEEYRYSDVPSFLEYVKNRQIVHFRPLESPKDDNLCLELSKMNTYDDVVGSLALKLGLDDPSKIRLTPHNCISQQPKPHPIRYRAAENLLDMLAFRDQISDILYYEVLDIPLPELQSLKTLKVAFLRSPEEEVVVHNIRLPKKSTVGDLLDEIKTKVELSHPNAELRLLELLHHKIYKIPEEEKNFGPHERLIHVYHFITETAQNQLRIQNFGEPFFLVVHVADTLAEIKMRIQKKLSVSNEEFSKWKFASVLMGRPEYLMDTDIISSRFKVRRDVYGAWEQYLGLEHADTTKRAFTTNQVNNRNLTRMPRLRLKPLISNLLKERSICQAKPCKL >KZM92083 pep chromosome:ASM162521v1:6:30396882:30403412:1 gene:DCAR_020552 transcript:KZM92083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDIRKVSRQDIQLVQNLIERCLQLYMSQKEVVGTLLQQAKIEPGFTELVWQKLEEENQEFFEAYHLRLVVKEQIIEFNKLLEKQAELMHQIRPIGAAPLPISNGSHIQQMHQNAACFGPEQTGADMKQELLHQSIGSNLSNAFGNGMSSLHPCMQPSVDMSIHARRLDVPPNMLFAQNSNLGMVPTVNGGMMKTEAGYAGSSQFIYGANGNVLEARSAMVDPSIPSFGGVESNPQQPSETILDPDTSSFGFLGQIPRNFSLSDLTADFSNNDILENFSRSPFLATDGDNFLDPNGRTEHQEDMKRLDTISEGLSYEDFGSD >KZM90280 pep chromosome:ASM162521v1:6:13790089:13790547:-1 gene:DCAR_022355 transcript:KZM90280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVGGSGMFRFSHGYAMAKTFRSDQKVAVVVYDDCMNPLIAPSHPAQSTLHANDKTVSSLEPISVIYLVPFNLVSLEQHQLKKLGNF >KZM91236 pep chromosome:ASM162521v1:6:23449448:23451130:-1 gene:DCAR_021399 transcript:KZM91236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQKKDEHKRLPPGPKKLPIIGNLHQLGHPIHQSLQRLSDKHGPLMFLQLGSVPTLVISSAAMAKEVMNTHDLTFASLPALYASNKFTYNGKNISFAFAPYGKYWREVRKIALTELLSARRVRSFESIRKEEVAYILKIVADSTAESSPINLTRLMFMGVNNIVLRAMFNKKESYREKGKSSISEFSETIDEIMMLLGVGNIADSFSWMKWYNKFNGFDKRFAKYFRALDSFYDMVIQEHRMQSGGSEHEDLVDVLLRIQSDPNQEIRLTDENIKGVLTDMFVAGTDTSSTTLVWIMAELMKNQSAMRKAQEEVRGVVKEKGFLQTIVKEVLRLHPPAPLLIPRETTERCRIAGYDIPAKMRVFINAASIAMDSEYWENPEELKPERFLNTDIDFRGQHFELLPFGAGRRGCPGISFAVVILELVLANLLHSFDWNLPDGARAEDINMEESFGLVVRKKIPLYLVASMPS >KZM90846 pep chromosome:ASM162521v1:6:19780198:19784100:-1 gene:DCAR_021789 transcript:KZM90846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANNNRPPHNAVLSSSFGNSMPPNQSSYPQAGGQQQGGYGPRAQFQMSQFSAAQSQAIMQAKAQALAQAQSQAAHAQFQAQLHAQSHAAGMGNVGGNSPSVSTSNSSVKRPPQKLGRPPGSLNNNNVSPLRTMELTSAARKKKQKLPEKHLQDKIAAFLPESALYTQLLDFESRIDAALARKRIDIQEALKNPPCIQKTLRIYVFNSFANQIRTIPGKPNAEPPTWTFKIIGRILEDGVDPEQAAQKSNPMDPKFSSFFKRVTISLDQRLYPDNHIIIWESARSPAPHEGFEVKRKGDKEFTLNVRLEVNYMPEKYKLSPALTELLGIEVETRSRIVAGIWHYVKARKLQNPNDPSYFMCDPPLQKVFGEDKLKFAMVSQKISNHLAPPQPIHLEHRIKLSGNSPTGNACYDILVDVPFPIQKELNALLANTEKNKEIEACEEAVCTAIRKIHEHRKRRAFFLGFSQSPVEFVNALVESQSTDLKLVAGEASRAERERRSEFYNQPWVEDAVIRYLNRRPPPAPSPAPAPTSTPXXP >KZM92278 pep chromosome:ASM162521v1:6:32032680:32033599:-1 gene:DCAR_020357 transcript:KZM92278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHLTGEFVNEYNPTTEMVVQPLDFFTNRGQVEFDCWDFSGNIGGDCTIIMFDVTDSVTHEFVPAWQKEIHWLCDDIPTILCGNKVDMNNQQVNVREVENLQYCEISVKSNYNIEKPFLYLARMLAGDPGLQFVEAPTYTPPEV >KZM90714 pep chromosome:ASM162521v1:6:18469083:18472526:1 gene:DCAR_021921 transcript:KZM90714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTLTSHHPTLSTSAVDAAIVLNHESLPERNPQLKVKLVDGSSLAVAVVLIAFQKEQPKSPLKATYPWSSIPLPLLCVTEVSRRLTETCDSETRNSLVLSDSSSQQVGTGMMVAVKKLNHESGAGISRMAGSSAIEPLSWDKRLKIATGAAWGLEFLHCSEKKIIYRDFKASNILFDTRYSHFQHWALLDASKNIRRERLLPRRNTCLSYSDLLKPPCTLPTHFEDCPRSLKPCCVADGPVFVIVIENDKVCSWIDYNLYASYV >KZM90445 pep chromosome:ASM162521v1:6:15858672:15859363:-1 gene:DCAR_022190 transcript:KZM90445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVENVDANLRWTMPRKGMVKINVHGCFFVNALRNENNPRINKYQAMLEGCKRAYVEDWQHFVLESDHLDSFWEWRNSSLEGIHPDHAEIVQQLNQRNADRNSHMEVRLCDTNANALAIYLAHHGAENFKNMDINPAVVDNEEPTVLIVEDGAQMIVGEEMIEMIEILD >KZM92738 pep chromosome:ASM162521v1:6:35923687:35927746:-1 gene:DCAR_019897 transcript:KZM92738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHPSDDSESPVRTRESSRKRSPPRREKSPARNKSSYKARSPVREKPSSRTRSPRQAMSRSPEKSRLREKPSGRTRSPRRGKSRSPVSDSPVKDKPLSQGRSLRHTKSSYSPVRDKPSSRARSRSPVHHLPSRERTSNQTRSPKRPKSISPAARSPSPRTKRLRRAKDENESVIGSERAQKTNLRVSERSTRREKDSEDEEPNARRENKSGRDAIRNGSSRSRRGRSGSPSGHNHTRSRSPPNASERRDRDEVTISRKGEYNSRGGEQGNPSDDSVAKMAAAAEALEKKEKQKPSFELSGKLAEETNRFRGITLLFNEAPDARKPETRWRLYVFKGGDVLNEPLYVHRQTSYLFGRERRVADIPTDHPSCSKQHAVLQYRQVETEQPDGTLSKQVRPYIMDLGSTNGTFINEERIDPQRYYELREKDTIKFGNSRSSVSPSLCQPRVCSAAREFSGIMIVIGCTHCDILRVCSEKMFGCGLDDSEGNCVKSWHLVEFLQLSHM >KZM92088 pep chromosome:ASM162521v1:6:30432264:30435926:1 gene:DCAR_020547 transcript:KZM92088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPPASDPTRIAEVKAWLASQFDAVGKDVPEFEYTPRAVAYLHNLATLSQSKTHASSIVANDFRIKASEYRSQAARIREILENAGLAQESLQANVVSSAQVLANVANLLNIRDTELSRLWMVSFLVAMGDISLRKTGVEEKRAKVQKEFKVLLDFTRKAIARLTYLKRTLAQLEDDVAPCEAQMENWNTNLRVIASKEQQYLQQHANYKAMLNRRGYTPDISHGVLVQMAEHRKELEKTTKPIMDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALATSE >KZM92199 pep chromosome:ASM162521v1:6:31429995:31439805:1 gene:DCAR_020436 transcript:KZM92199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVGSSCSNSKEVGDKPLDVPWRPRQLVFSPYAPTDGAFAKTQSLRVSVRRPLVARLTKDIVETYQACNPQFQFSEDLNPKRFLTSPSTGVLNDGHDNANSDLILSVNLPLIKMETQQRYIVKEMLGHGTFGQVAKCWVAESKSFVAVKIIKNQPAYYQQALVEVSILTSLNKKFDPEDKHHIVRIYDYFVFQRHLCIAFELLDTNLYELIKLNHFRGLSLSIVQLFSKQILHGLTLMKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMENRTVYSYIQSRYYRSPEVLLGYHYTTAIDMWSFGCIVAELYLGLPLFPGASEFDLLRRMIKILGGQPPDYVLKEAKNTNKFFKCVATVNSEDGGQFPMSRQSAFQPLTEEEFEVRELKKPSIGKEYFNHMNLETIVRKYPYRKNLAEEDIIKESQVRLALIDFLRGLVEFDPTKRWSPMQASKHPFVTGEPFTGPYQPAPETPRLPVSQNVKIDHHPAGGHWFAAGLSPNIPGGNRLGFHNSPHYQAVPYSHAGSYGSLGSHGSYNDGAALGSSYGSYGDNSNMHAFYSPVGPSGMNIHAHCGVPILGTSPDARRRIIQNPHANGLGVSPSGNFAPMSLGTSPSQFTPPSTYGQVLGGSPGQYGPSSPARGSSHGSPLGKMAATSQFQRRKNWVHQTQESSSPHWKGQYPDGSISSQAEGSSPVHGSSLHIHPSTNAANWRHQQGGGVVYSASHNNSNWSMPGSSAQFPHLKGVNQEKLEASNSLPDPGDWDPNYSDELLLQEDSSVVSGLENEFSKGMHLSQALGPTETLIGGKRVGGTLNTSSNMMMQRPTGQVLTYGEVASSSSFDPQGGYMHHPAKPPHFLPHFQQNSPSRFGQQPGQQFDYGRSASVHGAEWNHLKVQAPLSSFSYGGPRSPGSSSSVPWGRRGNHPVASIPPTSRGRKDYGKIS >KZM89794 pep chromosome:ASM162521v1:6:4929188:4948468:1 gene:DCAR_022843 transcript:KZM89794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSNYSVGMETLLQQHLISPAGASPGKEQQAAGVGILLQIMMLVLSFVLGHVLRRHRFYYLPEASASLLIGLIVGGLANVSNTETNIRAWFNFHEEFFFLFLLPPIIFQSGFSLAPKPFFSNFGAIVTFAILGTLISSIVTGILVYIGGVTYLMYRLPFVECLMFGALISATDPVTVLSIFQELGTDTNLYALVFGESVLNDADYVAGKKSCIIWAEFLCGHSQISRDVCWFDVSRYMLAEGLGLSGIVSILFTGIVMKHYTYSNLSDNSQRFVSAFFHLISSLAETFVFIYMGFDIAMEQHSWSHIGFIFFSVISIGVARAANVFSCAYLVNMVRPPNRKIPSKHQKALWYSGLRGAMAFALALQSIHDLPEGHGQTIFSATTAIVVLTVLLIGGSTGTMLEALEVVGDGHDAHDGALVESFDEPNGYIAPSYGGESSSGNKLKMKLKEFHKSAASFTALDRNYLTPFFTSQGGEDDEEGDGHDAHDGALVESFDEPNGYIAPSYGGESSSGNKLKMKLKEFHKSAASFTALDRNYLTPFFTSQGGEDDEEAEELMPSPRR >KZM89583 pep chromosome:ASM162521v1:6:2287038:2290379:1 gene:DCAR_023054 transcript:KZM89583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQSNIENEDSVIRCRERKQHIKAAISARNAFASAHSSYTSSLKNLGAALNDYASAEFPYAYSAASVSQLSYDHSIPLPPPPLPDLLPPLQRASTMPELSTAEARVFRSDVIVEEEEQEEVVESLGAGLKQRSSRRRGREIEETVDLPIPPPSPPPLRDRDEPEPPPLPQQETDGPWDYFFPKMENVPGTSFDALDEKEEDVSGSEARSWESNMYSNVTTTSIDRKEVERKVYKENSKTVRQAEKIVEEEEMEEEDELPTPPPLPTPSPPPQVKRAARHHASVSEEGKNLGKGSGETVNLLHVFKELDDCCLKASQSTLEVSKMLEANRLHYHSNFADDRDIDHSARVMHVITWNKSSRGLSMNENRDANYSEKQETLAMLLEKMLAWEKKLLDEVKVGEQMKLEYDKKVASLNRLETRGVKTVSLERKKAAVSHQHTRYTVDMMSMDSTVSEINRLRDEQLHPKLVELVDGMAAMWETMRIHHESQSKIGQALDALDISQSSKETSEHHHKVTVQLWVVVQEWHLQFEKLMSEQKKYVKELTSWLKLNLVPMNTDLKEKASSQENPPIKHFLNAWNYQLDKLRTEPAKEAIHKFAAIINTIMQYQLDEMKLRDICEDTRREHNRKTQQFEDWYHKHMQNRTPPDEVDPDRALDKQRIEDWQSTIKSLKKKLEEDGEAYRKQCLQVRQKSCTSLKYTLPELFQAISAFSLASSDMYGKLISSINTHDTEESDQ >KZM90378 pep chromosome:ASM162521v1:6:14781779:14784008:-1 gene:DCAR_022257 transcript:KZM90378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMMLMMLWIKIPVETQEAVINNVANLCEAAEALCNFLEELAKQFLLNLPVWDSSPLRYLSCLEFPSDKSADTIQDVLKQHFYQPAPMSHLHAQDQRSQNGLLLVPPVANSQQHFASGPVDGNKMEKTSLMQRVASLEQLQKQIRGGVSSSEALASGKQ >KZM90970 pep chromosome:ASM162521v1:6:20906006:20906346:1 gene:DCAR_021665 transcript:KZM90970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPPSLEASGRFEMSLTASTPVIQDQGIEERVVWQRHELCHKGLAGSINVYKGFAKLNCANQQLCQLNSGYQMTNSRSWDED >KZM89656 pep chromosome:ASM162521v1:6:2917477:2919087:-1 gene:DCAR_022981 transcript:KZM89656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSTEPKDLSTAILIRVDQSGHGDFRKIQDAIDAVPSNNSDLYYIWVKPGTYREKIVVPADKPYITLSGTEASTTIITRSEAGEIYDTPTLSVLASDFVGRYLTIQNAYEGNGKAVALRVSGDRAAIYSCRILSHQDTLLDDAGRHYYKNCYIEGSVDFICGNAASLFERCHMHSLAERTGWVTAQHRASPTENTGYTFLGCKITGIGATLLGRPWGAYSRVVFALTYLSSVIQPEGWNDWGDPSNQRTAFFGEYKCYGPGADRSKRVTWSHSLSKEEAAPFLSKGLIGGGAWLRISPIHFRKRPAPATTVEADS >KZM91195 pep chromosome:ASM162521v1:6:22929286:22932624:-1 gene:DCAR_021440 transcript:KZM91195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWWKIGLRRAIQTSPLYHSIEAIPRELTGKNIAAKERAQGRIPGVVLAQDDVANTLSRRHIITAHKDQIQSLINLVPLPFFCSTVFSLRILAGSGSSKLLESGNVLPIKVHRHPVTGKIFNLVFVWADEGSKLKVDVPIVFKGEDDCPGIRKGGFIYKIRDNLKYLCPSEQIPSKVVVDVSTLDIGDGISMHDVQVEGEIKGMESPTLQSIDNNSSNKVNPPEDTKKEKVMNVFMVAAVLASTIAGPAALITGAFKFFCISRLLSFKLCS >KZM89642 pep chromosome:ASM162521v1:6:2801534:2805190:-1 gene:DCAR_022995 transcript:KZM89642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRADQTNRQLNRVLCYSNTGAASPSVIIIGGGMAGIAAARALHDASFKVTLLESRNRIGGRVCTDYSFGFPVDLGASWLHGVSEENPLAPIIGRLGLPLYRTSGDNSVLYDHDLESYALYDMAGNKVPQELVTKVGEAFKSMMDEACLLSWFCCPYNLANQVRAENREDMSVSRAISVVFERRPDLRLDGLPHKVLQWYLCRMEGWFAADADTISLKCWDQASFSPPELLPGGHGLMVRGYSPVINTIAKGLDIRLGHRVTNIVRRYNGVKVTVEDGRAFVADAAIVAVPLGVLKSNCIKFEPRLPEWKEAAIADLGVGIENKIILHFREVFWPNVEFLGVVAETSYECSYFLNLHKATGHAVLVYMPAGQLARDIEKMSDEAAANFAFAQLKKILPDASDPIQYLVSHWGTDVDSLGSYSYDIVGKPHDLYERLRIPVDNLFFAGEATSMDYPGSVHGAYATGVLAAEDCRMHVLERYGEVDLFQPVMGEDTPAFVPLQISRI >KZM90721 pep chromosome:ASM162521v1:6:18518772:18531048:1 gene:DCAR_021914 transcript:KZM90721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTLSRRAQSSSDKFAGFNFDDDPDQHLVEKHSLEIVNKFNSIPAPPKPSVTDTPRFLCAIDKYDFLKAFSKGNKDQDKKSGHEPIQIDASEEVVKGCASSLEVNVVSRSPDSYSYVPHNNHSAGCCLSKLNYASRGPDSVGTSTSSNRRVAKYAFPLDSQLVGITSDDDDSIELNSESGLNCHNRDEVSKGNKDQDKKSGHEPIQIDASEEVVKGCASSLEVNVVSRSPDSYSYVPHNNHSAGCCLSKLNYASRGPDSVGTSTSSNRRVAKYAFPLDSQLVGITSDDDDSIELNSESGLNCHNRDEALSAERVLVYGFDDGDRVTDRAIMFLPVHIVIGNKFFLDPQLTFTKNHVKLEVEGSSSFSGPLSFEWTFADIVSIESAWDEELLEVTLHLHLRSKDAELAMYFEDSGIVKLNWEFPYPHWPELQNKIWALDNKLKDLWTTNSHVDAGDIEDFLVDKFSSHHFHDLGEFVEVTFPKGEVDAVSIGWNDIQLLQPRTFINDTIIDFYMKSSRAVN >KZM92146 pep chromosome:ASM162521v1:6:30921876:30923120:-1 gene:DCAR_020489 transcript:KZM92146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRIVQSAEPEVYVQQQGIPRNQNPIGDHDIDRRLDRILSLPIMPLTDMFCNVPDPYNPVPSLEMWTDLQPGARRCYNLQRIKEVEKLITLGLQVFNSIQGNITAQAICQLMNLAYNLKDSTGEYCFGDISPELILEDTDFDEVSNDTLVIVRPCNTLTVMISREEPEYKERVANGFCYLATSYMRLYTKSAENYTRTEKPLRNRFKDFYDYALPFENFHPVPEAVNRIKFQIDVNQTLRNTFYNLVYAGESVEYGKQLKEFLYGYHILYTGMHCFPLFLKCVEAMKVTNNQLMNVLRSDYFKAQLNALEVIFNNLYGSCEQPGMERQMWKYARVFNSQFFSQLQTKNCATFTAALAHLYHSIIPPSGNDDARNIVRVKELSGGLLEIAKEYARRALTIRRDGMKDIKSSGR >KZM92246 pep chromosome:ASM162521v1:6:31792321:31793271:-1 gene:DCAR_020389 transcript:KZM92246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFELAPGESIHVPSVPGWSGRIWARTGCTFDASGAGACRTGDCGGRLECGGIGATPPASLFEITIGQGDEKDFYDVSFVDGYNLPLVAAPLGVHGSCNATGCASDINIGCPKELQVSGEEEGTAGGVVACKSACEAFGLDQYCCSGEFANPTTCRPSFYSSIFKRACPRAYSYAFDDGTSTFTCKAFEYSIIFCPNASVMDQSNDTFITSPSILKSSKKIPSHGHSHSSSPNIQVPFYVAVMVLLLPFYFIKD >KZM91118 pep chromosome:ASM162521v1:6:22339768:22342317:1 gene:DCAR_021517 transcript:KZM91118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIMRELHFSLSVYVCFLLLFAAFSFTTASNSYLPADNYLINCGSPESTMLQDGRTFKSDSQSVSFLSTDENILASVDSVSDISSSSFPLSLPLFSTARIFREESMYRFLVFRPGRHWLRLYFYPVTHPLYNLTTSVFTVKTDKMVFLHDFSVKDSARLVFKEYLVDVTSDRFSLILSPLKNSFAFINAIEFLSAPDTIISDSASAVSPVADFNGLLKHAFEVSYRVNVGGPIITPKNDTLWRTWQPDVGYMKIPQGVKNVSVAPDVVNYPEGGATPLIAPNAVYSSASEMADSGMISPNFNLTWEMPVDSSFSYLIRLHFCDIVSKSLNELYFNIYVNGLMGASSFDLSALTSGLSVPYYRDFVLNASAISNDLIRIQVGPSSSLQSAVPNALLNGLEILKMSNSDGSLDGLFSSSEKHSATSTAVKIAEFTGLALAVAAIVLLVFTICRRNRRPRDWEKRRSFSSCFHPLNSSSFCIKSKSSYSNTFTSGINLGRVFTLSELRDATRNFDETAVIGIGGFGKVYLAGTISSECLMKYVEAAEKCLAEYGVDRPSMGDVLWNLEFALQLQDASSQLDPPEEELENSNIENSGQSSLSDSEEEPIIININDDSGVVVGSPLFSNIGDFQGR >KZM90385 pep chromosome:ASM162521v1:6:14822593:14833202:-1 gene:DCAR_022250 transcript:KZM90385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIMSMKGSSITEQLIPKKHFLISEYRSGARHTNILLRRTLFRTFTINYFTYGYPISLLALSFWSIQYASLCSFGLIVYLGYLLYTSPSLYFLHRLNVFLLVFVLLWAICTYLFNLAFTILDQKMLKDMEVWETIGLWRYPVPGSFLLAQFCLGILVGMSILVRSSVFLYLSDKDEQTENGYCSIREKEETAVLIVAIVAWMLRKSSRAIVLVLIFSMASRPGFFHALYMTYFMIYLLRRTISRKIRQSLILFCEVHFALLYILGINLIAKSVEQRSRVAVEILSHLGLLQHTSSVEYLKIASLACFCAICNNGFDMFLSYSAVVQDSPFPPIGWNILQAGLKRSFLSSVYFSTFHECGCHNSSHDSEIASYINAIGRKIHSAYRSCGTYIVCLTVLLTIYLVKPNYASFGYLFFLLLWMNGRQLVGKTIRHLWFPLKLYAVAVFALIYCLGIFPSLKTWFSGRIDLYQAFGYDPDASLLRNVREPLAILVVMQLYSFERSLNNSYTIDDFTAPHFGKVSIFKRLLIWHSEKILLLALFYASLSPISAFGFVYLLGMVICSAQPKPSRLASKFFLIYSGFVLMVLYLFQLLGEKAEMFPGQRNSYLSFILGLGMFRPGFFGLESGMRGEVLVIMACVLQYNVFHWLDVMASNYVHRGNCEEEHTSFPATYERPDTIPFGSNTCNASVDVTPLLEKEKEATRNSHSISDDLFQVPEPVNIQTENMERNYTRTYSYNYGRENSKENHKWNRKWIVLMRKERQEMQKDALKLYMKYMLENIFSIFGLEINMIALVLASFAVLNVVSLIYIGSLAACVLIPRHVIRRLWPIFVLSFLLVLTLEYLSIWLNITSWKEQPLSEAKMACHDCWKNSDQLFDYCRKCWLGTIVDDPRMLISYFMVFLLACFKHRADHLFGLSELHMYQQLKQTSLLGDLSFDTKSMWTSFDNLRLYGYCHLLDLVLALIMITGTLEYDILHLGYLAFALAFFRMRFEILKKKNKIFKFLRMYNFAVIAFSLAYQSPFIGDSNEEKCETINYIYEVIGFHKYDYGFRITSRSAFVEIMIFMLVSLQSYMFASQEFDYVAKYLEAEQLDGFVREQEKRASWKTAQLHYIRKSEEKKRLRNSQVEKMKSEMFSLQMQLHNMNSGDTLPKIEGTRKKSFFDSYKKTKIPEKEKNDFEISNEDSVNLFPSEPDRSLESVKSSHPEEDSTEHSVGSSPEGNELKDRSPGIDLWDLEKRYEGDFRGNKTRLASAANLFGHGVSHVKSLGNKAVSNLVNYLNIKYEEPDILDYSSENDIYYELENQNIGFEPSEQALSVQSASERNLSQNISHVTKPRISVIFYYMLAQIRSNNDIVCYCLFVLMFLWNFSLLSTVYLAALYLFALCVNTGPGYMFWVMMLIYSEVCILLQYLYQIIIQHCGFRIHFRFLKELGFPDYKIQSSFVISIWPLFLLYIFTLFQSSISARDGGWTSVTEFSSQKRRGLHWEESSQIFSWWERLYKPLQGKMQHIIKSMFRYWNSVTQGAEAPPYFVQLSMEVNVWPDDGIQPERIESGLNRLLEVFYDLRCKERVQDNSRLASRVRVQSIERSPENLNIALAVFEVVYASPSEPVLEEWSKSLTPAADVAEEILDAQCAGIFQDVGFPYPVISVIGGGKREIDLYAYVFCAELAVFFLVAIFYESVIKNKSEFFEVYQLEDQFPKEFVLILLILFSLIVLDRIIYLCSFATGKVIFYLFTLVLFTSYAMKYAWSMEPSHQRAGRLALRAIFLTKAISLALQAAQIRYGIPRESTLYRQFLTARISQLNFLGYRMYRALPFLYELRCVLDWSCTSTSLTMYDWLKLEDIYSSLFLVKCDVDLNRATHRHGQKQPKMTKFCNGICVFLVLVFVIWAPMLMYSSGNPSNIANPIKDASVQIDLHALGGRLSLFQTTLCKKISWDEVDVHVNLDPHGYLTEYNKQDVQLICCQADASTSWLVPPVVQYKFAQSLKKSMKLVFSWKFTRNRPKGKEVVKYDLHIDERDLPRPEEVIGVLNGSVNTVRIRNLYPKYFRVTGSGDVRLLEEAVNMFSGDLSKNHGYPEWWSFHDVDASEITGCGELAGPMAIIVSEETPQGIIGETLSKFSIWSLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLLAICEKIYAARAEGELEVEEVLYWTLVEIYRSPHKLIEYTKLE >KZM91919 pep chromosome:ASM162521v1:6:28949300:28951783:1 gene:DCAR_020716 transcript:KZM91919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQENLCKQIRVNDQARNEDVTGVIEKMMNKRTKSLVIIGEEVATVEGVVKGVMDKVNQGDVPDALKEVKFISLPLSSFKRISRGEVEQKLEDLIRCMKTFVDKGVVLYLGDLQWITDYRASDFGGRNYYCSVEHMIIELGRLAHGLGESGKFWLMGIGSFQTYMKCKSGHPSLEGVWGLCPLTVPAAGLGLSLITDSYVKDKERGSKNDGNRSSLVLIEDSEEPQLTCCADCSANFETEAQSSRNTSSQGESTSSTLPSWLRNNGSDDQENVWIKDLCKKWNSFCRSSHTQSQSYEKTTAYSSSIISPSSSASFFYSDQQNPNFHPCSRNTRMYIPENGRLSNPSSALNSNSSSDNMEMEYISKFKEFNAENLKTLCRALEEKVPLQKDVIAEIAGTILQCRSGMLRRKEKGRCFTKAAPKEETWLFIQGMDKDAKEKFARELAKLVFGPSHSNFKSISLSNFSSTRADSIEDFRNKRLRDEQSCSLFERLTEAMSVNPHRVFFIEDVEQADHRSQMGIKRAIQSGKIRNADGEDVSLGDSIIILSCESFSSRSRACSPSTKQKSGRTEEEKSVSKSEETSPCTSLDLNVSFEGDDSADMLIDDVGDLVESVDRYIIFKMI >KZM91696 pep chromosome:ASM162521v1:6:27171479:27174536:-1 gene:DCAR_020939 transcript:KZM91696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDMAVTDFFVGEIATELLKMLFTISRKACMSKPAAEHLIETIHQLLPIIQEIKYSGVELPETRQHQLDTVSESLRSGRELAEKVLKSSRWNVYKNLQLCKKMEKLDKNISRFLKGPMQAHVLADVHHLRFETAERFDRIDHSNRRLEKQLGAMKIGTGCEGGGWLEAAVKRGEEDHEMFEASSVSMGVGFQVGKRKVKEMLLERDDYAAVGISGMGGSGKTTLAKEISKDDQIKSFYGNNIFFLTVSQSPNVEALKQKIWGSVSGDVNGFNDVIPQWNLKYNFKSVVRNLIILDDVWSLSVLEQLILRVPGCKTLVVSRFKFPPSIINCTYEQELLRQDEAISLFCFSAFRQTSIPFGTNEKLVKQVVEECKGLPLALKVIGASLRDQPEMFWTSAKNRLSRGQPICDSHEIQLLERMKLSIDYLSSKVRECFMDLGCFPEDKKIPLDVLINIWVELHDIDEAEAFAIVVELSDKNLLHLVKDERAGDMYSSYFEISVSQHDLLRDLAIYLSNRNSTNQRKRLLMPRREQTLPKDWERNMDQPFDAQVVSIHTGEMTEMDWFNMEFPKAEVLIINFSSSEYALPHFIENMPKLRALVIINYSSSSAVLHNTSVFSNLANLTSLWFEKVSVPQLPSATTPFKKLRKISLVLCKISPSHDQSSLDMPHLFPRLEELTMDHCIDLIELHPSICCIKKLKSLSITNCDSLTKLPLDIGNLKFLQILRINACPNLDKLPQGICELTQLKYIDISQCINLRTLPQEIGKWICLEKIDMRECPQIKVLPKSAVSLRSLLRVICDEEISVQWEKLLKVIPNLYVQIAEECFNLDWLAE >KZM90163 pep chromosome:ASM162521v1:6:11873595:11876192:-1 gene:DCAR_022472 transcript:KZM90163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFEDLADWKDRDIDFLKIGFMEVREVTKEDLIPMRKVWIELRGLPIIGWTEDNYINLVKEWGEILHFGKTLDDGSFYTTPRVMIETTALETIDVTRTIKLSGQTFTIRIMEIEVQDGQTNIVGDSHSDEELYEEGLTSPVTEELKRPEVNFMGENECAVSGSGAVMERENEACNSEESADGSLINPMTPRGENDTPQVCETEVLQRMQEIPEIVQEEGEPEQILATKNWKPREIESSLSNLRSLSDNEVSEVDNYTDADFESEDVHSSILKNVENLKVQRKRAHYNIQKKLLMYFEDLADWKDRDIDFLKIGFMEVREVTKEDLIPMRKVWIELRGLPIIGWTEDNYINLVKEWGEILHFGKTLDDGSFYTTPRVMIETTALETIDVTRTIKLSGQTFTIRIMEIEVQDGQTNIVGDSHSDEELYEEGLTSPVTEELKRPEVNFMGENECAVSGSGAVMERENEACNSEESADGSLINPMTPRGENDTPQVCETEVLQRMQEIPEIVQEEGEPEQILATKNWKPREIESSLSNLRSLSDNEVSEVDNYTDADFESEDVHSSILKNVENLKVQRKRGRPRKLSSKVTNKHFKVPRKKKGRGEGLKQISHYFLNEEENSISLIRERLE >KZM90429 pep chromosome:ASM162521v1:6:15781965:15782325:1 gene:DCAR_022206 transcript:KZM90429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM90000 pep chromosome:ASM162521v1:6:9386511:9392539:1 gene:DCAR_022635 transcript:KZM90000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEIDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KZM90014 pep chromosome:ASM162521v1:6:9579313:9580473:1 gene:DCAR_022621 transcript:KZM90014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMFCTEYGHKLSEALKLSVGDIFEASVKYNRHSEVLIGMKKVYESFKLKGGEILVFEFFFLKCVRLSIIDTNEMEVFYPKLIFPNESALCPLDRACKWGLKFVKFMTCMKKAVDSIVPPEAFVDAFGSVLRHDLTYCLVDGREVPGFYDCNKNKLVGFGEICKTYGVADLSCFDMMVLTYDGRRKIYVSLFDTNHVEVVPPKPEGMKFEVMIKPFHLYDYCYGVDIPTKYRVVMDKFGQDDYIRLFRGEEFWMLQIRKRKDLKRTTIHDGWL >KZM90737 pep chromosome:ASM162521v1:6:18732240:18734888:-1 gene:DCAR_021898 transcript:KZM90737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIILHPLNPIPTISSLPQLIISSKPPKISLKPKTPYFFNSKKPIFTPKIQTLPIKNPSLTDFDDAHVTNFTDLLRLSVQENDIYLAKAVHASLVKLGHEGDTRLWNALLVACLKLGFNDYAYKVFDCMSCPDVVSFSSLVSGFAKSGWEDEAVQLFVDMISLGVEANEYSYVGILSACIQLGSLSLGSQVHGLVVKLGYLDSVYVTNALLGLYGKCGCLDSVLSLFSEVPRRDIATWNTVLSSVVKESIYEKSFELFRCMWQIDGFRVDHFTLSTLLVACSGSLASMNGREIHAHALKSGLLTRLSVGNALIRFYSKCRNVSSVVSLFESMHLKDIITWTEMMMAYMAFGLVDKAVETFNRMPEKNSVSCNAVLGGLCQNGRGIMALNMFSKMVEKGVELTDFTLASVVNACGLLREKRTSEMIQGFVIKFGSGSNDYIESAMVDMSTRCGRMSDAEKMFRSRPLNQSSPLIWTSMICGYARNGQPYESASLYCLGYSEGTMAVDEVLSASVLGVCGTLGSSVFGGQVHCHAIKSGFLSDTVVENAIMSMYFKCGNIKEATAVFKAMPTPDIVSWNGLMAGYILHRQGDLTLTAWEEMQQAGLPPDSTTFLILLSAYKYTISDLVYDCRKLFVSMNAVYGVEPASEHYATFVSVLGFWGHLEEAEEVIIRMPIQPEPSVWRALLDSCRIHMNTDIGKRAAKQILLSEPQDPSTYILVSNLFSASGRWHCSEMIKEKMREKGFRKLPVRSWIFHQNRAHFFFARDKSHFQSKDINKGLEILVMECLKAGYVPDTSFVLHEVEEYQKKDFLFYHSAKLAVTYGLLMTEPGKIIRVMKNVILCGDCHTFFKYVSVITKREIHVRDSSGFHCFWNGQCSCKDYR >KZM92601 pep chromosome:ASM162521v1:6:34742235:34744150:1 gene:DCAR_020034 transcript:KZM92601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFTNHIDQNTHNISQKKLLGVASLATGLQFGWAQSSLLTPYVQELGIPHAWASIIWLCGPLSGLVVHPLVGHMSDRCTSKFGRRRPFIVVQVILIILAVVVIGHSADIGSLLGDTGVSKSRAIVAFFIGFWLFDLANNSTLGSSRALLADLTGKDHRRTQVAFSYFSMFLALGNVLGYATGTYGGLYKFFAFTITSSCSVNCANLKAAFYIDIVFTLITAYICISSGQEQPLISSHEHSPLSGDDPELSSHDRETFLVEMFGTLKFLPAAVWRILLLTSLTMIGWYPFFFYNTDWMGREIYGGNPNEGENYSNGVRMGAFGLLLNSVVVGVTSVFTGKLCQKWGSGFVWGISNILMALCYVSMIVVSLIIKNMESGTGSPSSGYVIAALIIFAILGIPLGITYSVPFALISTQIESLGLGQGLSMGVLNLAIVIPRVFVSVVSGPWDQLFGGGNSPAFVVAAISAFASGVVALFTIPRSITRKTTQEA >KZM91151 pep chromosome:ASM162521v1:6:22609059:22610582:-1 gene:DCAR_021484 transcript:KZM91151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAIVNGPAILPLSFFAKPLSCKLVSCTRLRAVNTRCRKLNAPDKLTFLSETIIKYKKRRIRNHITTYVSRDSSVEEGIGAHEQEAFDAELARFEMNQLEAFLNDLSKWLMVALFSLVLLLRHDAKAIWICAGSILNVGLSIALKRILNQERPSSATKSDPGMPSSHAQSLFFLSFVVILSMMDWLGMNIYTHLLAGLVLSLGSYFAWLRVSQGFHTLGQVTVGAVAGSVYVGLWFWIWDAIVLPAFISSLWIRLLVVVGGVGCILRFVVFLVYDWIMKEH >KZM90274 pep chromosome:ASM162521v1:6:13767813:13768258:-1 gene:DCAR_022361 transcript:KZM90274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKLAGLLLCLLLVFHASRDSSALVDLDKYASQESVAGHRHQDQDKDLEATKQEVMNLMYKDYDPPRAASSQKPHN >KZM91165 pep chromosome:ASM162521v1:6:22696230:22709893:-1 gene:DCAR_021470 transcript:KZM91165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSPFKPLANHHTPDFSFSSSLSPFPHQTHLKNPIFSNPSSSKFHLFAVAVNPQELPPNSPQRLLRELAERKKVVSPKRKVPPKRFILRPPLDDKRLAERFLNSPQLSLKSFPLLSSCLPSTRLNNADKTWIDEYLLEAKQALGYPLEPSDTYGDDNPAKQFDTLLYLAFQHPHCERTNARHIRSAHSRLGFLGQYVLELGLAEFFLQRYPRESPGPMRERVYALIGKRYLPKWIKAASLQNLVFPFDNMDRLVRKDREPPVKSVFWALFGAVYLCFGMPEVYRVLFEVFGMDPEDEECQPKLRRQLEDVDYVSVEFEAKKISWQDVAAYKARLFRACVPPGMHRFRGNIWDYDSRPHVMEKLGYPLAMKDRIPEITEARNIELGLGLQLSFLHPSKHKFEHPRFCYERLEYVGQKIQDLVMAERLLMKHLDAPGRWLQERHRRLLMNKFCGKYLREKNLHRFIIYSDEVQDSYEHNRRLRNPATTSVQQAIHGLSYTIYGKPDVRRLMFEKTLEQMFFKASATPKGVNCTLYDQLLKSRLPLGAIFKEKFTLSVIVSPCTNLRNCTINDHALQNSSKICVINSKMRRAEAEQASNQTISVEFQEGMIGMIKGLQPPPLISAFPSNGSDSDSDSSEICPDSGPCNNFDSFVMSKARVYTDINVVRPREYWDYESLAVQWGDQDDYEVVRKVGRGKYSEVFEGINVNNSERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIARFKIVLLAFPKFWLPLPVRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRVTAKEAMGHPYFLQVRAAESSRMRTQ >KZM89869 pep chromosome:ASM162521v1:6:6440751:6454724:-1 gene:DCAR_022768 transcript:KZM89869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGALGHPISFEDSPDWDDNDIEVRLEEGGDSINAATTPASPALSKLNSGSLPSPPLPEGAVIARKIAGASVAWKDLTVTVKGKRKYSDRVVKSSNGYALPGTMTVIMGPAKSGKTTLLRALXKNEIAILAGFVERQTTLIGSLTVREFLYYSALLQLPGFFFQKKNVVEDAILAMSLGDYANKLIGGHCFMKGLPSGERRRVSIARELVMRPHVLFIDEPLYHLDSVSALLMMVTLKKLASTGCTLLFSLNQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSEDAAAVETMILNLTEKEGPSLKSKGKASSGMRTLVLTWRSLLIMSREWKYYWLRLILYMLLTLCIGTVFSGLGHSLSSVVTRVAAIFVFISFTSLLSIAGAPAQMKEVKVVMMLSAGYFRIRSALPGPVWMYPVSYIAFHTYAIQGLLENEYIDTSFAVGQVRSISGYQALQNVYDTSSERKSKWENLLVLFSMVIGYRILVFILLHFRVKKNLSFWRIFQCNQEVNSR >KZM92373 pep chromosome:ASM162521v1:6:32945919:32956209:1 gene:DCAR_020262 transcript:KZM92373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKSYIWLQTTDGSIQEVEEEVAMFCPMICREVLQTGMGSSKNYAIKLPQRVNPAVLGLILDYCRFHQLPGHSNKMKSYIWLQTTDGSIQEVEEEVAMFCPMICREVLQTGMGSSKNYAIKLPQRVNPAVLGLILDYCRFHQLPGHSNKELKTYDEKFIRLDTKNLCELTSAADSLQLRPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTENVEVAEEHMDKRSVDDLLSFINGGDGDSKCVRSAKNKKKNRRRKDQPRNSASNNDNENREKETESLNSAHYNGAVEDVSSSNRALMLQDSSSATLLSNLDFDDADIDDGLDPALKEEIDREVEDFARRLNSVWPERMQEIYALGQERRLLQIHGNSSVARYTGKRNGSSMNPESCNEGPMVECSCTAYLGITFLQ >KZM92760 pep chromosome:ASM162521v1:6:36069303:36070244:-1 gene:DCAR_019875 transcript:KZM92760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDEWEFISDDAFLELHEDEGQQFFSRSSVKDSSKRILGKYFETSSSKSQQFVETTNLPRLQKQLVPLPIEFQPIIHEAPDEEHVKEVIIQDTNESRLAPNVITEEIKSPSAVKVDQDFLPQVFFKKMKESDFVIDMKMDSPKSGSRGLAPQIEANKFQFEEPTDDQATETMTKNHQEEHGANMWKWSLTGIGAICSFGVAAAATICIIIIGNSQQQRKHQKLQFQIYADEKKIKEVVTQTSRLNDAMSAARGIPLARAHITYGGYYDGF >KZM90206 pep chromosome:ASM162521v1:6:12629239:12629843:-1 gene:DCAR_022429 transcript:KZM90206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNSTLSLGLIVAISAITAAAPSNAIVISFDPLSITDMIVIRGIVPCGINVTLSPNGTVPPFPIATVQQLLPLLSLAATPPSPALEILYQGFCQASPGPPLVF >KZM91403 pep chromosome:ASM162521v1:6:24854623:24856296:1 gene:DCAR_021232 transcript:KZM91403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNLTAFCRKFLIKLSPSFVAFVLCSEKLKGECDTAYRFFCWAGRQKGFSYNLECYVSLIGVLAGFRDLGRIGTVFRELKDKGFLVNVASANSLIKSFGNVGMVEQLLWVWRQMKENDIEPSLYTYNFLMNGLVNSLFIESAEQVFEVMENGKIRPDVVTYNTLIKGYCKSGKTKKAMQKLRDMEDRSLGPDKITYLTLIQACYSECDYDSCLRLYIEMEEKQLDIPTHAFSLVIGGLCKDGRTMEALSIFESMIMKGYKANVAIFTALIDSYTKIGNMEEATRLFERMKHEGLDPDEVTFGVIINGLCKSGSLEEALAYFSYCRENNVAINAMYYSSIIDGLGKAGRVDEAQKLFEDMVDKGCPQDSFCYNALIDALLKNGKVDEALGLYQRMEDEDCDQTVYTYTILIDGLFRAHKNEEALKFWDMMIDKGITPTSASFRVLSTGLCLSGKVVRACKILDELAPMGIIPETAFEDMINVLCKAGRIEQACKLADGIIDRGREIPGKVRTVMINALRKAGNADLAMKLMHSKIGIGYDRMGSIKKRVKFRVLVDS >KZM91052 pep chromosome:ASM162521v1:6:21673330:21673764:1 gene:DCAR_021583 transcript:KZM91052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRLKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSMVPEDVKEKATGDKAPVLDVTQLGYFKVLGKGSVTKPIVVKAKLVSKIAEKKIKEAGGAVVLTA >KZM90650 pep chromosome:ASM162521v1:6:17799210:17802232:-1 gene:DCAR_021985 transcript:KZM90650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNIENIQTRTQISSKASHHLQRIRSASCLILKKMAETGDSVFGSLKEFVSDRFWFVEKYHKFIDGRHKPLSWSDSDVDAFIACDPVHGPALKSAREVTKFAAVGSVLGAANLAGIAWKYSKSPHGTALSLAAGAVFGWTFGHEIGNHYHQLYRMDSMGAQVKFLEWVESKKGVSS >KZM92102 pep chromosome:ASM162521v1:6:30568013:30569088:1 gene:DCAR_020533 transcript:KZM92102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTENANDTWSKHAGVRLQPPINADRVPELGEWKAKEVKVSGTSWDVNSIDIAAAGFCWFSLGLKGEATMTLWTFDGVEVTLRDPLVLDRARFLERPGFLLPKAISEALSNQNKLEAQTSRSFDEEASLL >KZM89606 pep chromosome:ASM162521v1:6:2471573:2471842:1 gene:DCAR_023031 transcript:KZM89606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATDHDLGQTQKEFVLEQKNLYDAREVELFNLKFRLDNIATEKKYVAAALTTPLPSSSMPTSSPLPKDQEVLALAIDISDIKKSSLF >KZM90569 pep chromosome:ASM162521v1:6:16950115:16962816:-1 gene:DCAR_022066 transcript:KZM90569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKYSVEAAEKLANEALHLPVSAAVPIYEQLLLAYPTAAKFWKQYVEAHMGENNDDAVKQLFSRCLLDCLQISLWRCYIRFIRKANDKKGLEGQEETRKAYDFMLNCVGEDIAAGPVWIEYITFLKSLPAHTAQEESQRMIAVRKVYQKAIVTPTHHVEQLWRDYENFENTVSRQLEELQWVAWKKLIAFEKENPQRVDSTFANKRIVFTYDQCLMYLYHYPDIWYDYATWHAKSGSVESAIKVFHRALKALPDSELLRYAYAELEESRGSIQPAKKTYESLLKDAANTTALSHIQFIRFLRRTEGVEAARRYFLAVRNSPSCTYQVYVAYAMVAFCLDKDAKVAHNVFESGMKHYMQEPGYILEALFERALSSLPPEKSVEVWKRFSQFEQTYGDLASMLKVEQRRKEALSGTGEESASALESSLQEVVSRYSFMDLWPCSSNDLDNLVRQEWLSKNMKKKIEKFSISNGAIQTDKASLGLATGSNQSTKVIYPDTSRMMVYDPRQKPGPSAPEAPNSATLSGINVPSIVGGTSNSHNDILRAAPPALASFIASLPAVEGPSPDVDFVLSICLQSNFPAKQAGKPGTTSKQLQGVAAPSSSDISGSNKSHLLPNSSSYKPTRDRQTGKRKDFDRQDDNDTVSVQSQPLPKDVFKLRQMRKARATGSQTGSVSYGSASAFSGDLSASSG >KZM91701 pep chromosome:ASM162521v1:6:27193095:27194336:-1 gene:DCAR_020934 transcript:KZM91701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSICIKQTRFSTASRLLDIRVNYDQPCNTSVLQEKELPYLHLPKPKSFTRKECACNPVRFFVILSMQRSGSGWFETLLNSHINISSNGEIFGNKDRRSNASTIVQVLDQVYNLDWLSSASKNECSAAVGFKWMLNQGAIANHKEVVEYFNRRGVSAIFLFRRNLLRRMISMDANAYDKSVKLLNGTHKSHVHSPKEAQILAQYKPKLNTTDLVSNLKEVQGTARRGLELFKSTRHIVLYYEDIVSNRTKLVDVLEFLKVPYRELTSRQVKIHSGPLSQHISNWEDVKKALKGTSFESYLKEDYK >KZM92231 pep chromosome:ASM162521v1:6:31697899:31709990:1 gene:DCAR_020404 transcript:KZM92231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASEKLRDLSRPIDVPLLDATVAAFYGTGSKEERNAADHILRELQTNPDMWLQVVHILSNTQNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISDVIVKLSSDEISFRRERLYVNKLNIILVQILKHEWPTRWQSFVPDLVAAAKSSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRAELIRATLATLHAFLSWIPLGYIFESTLLETLLKFFPTPAFRNLTLQCLTEVAALSFGDFYNSQYAKLYGIFMLQLQASKAVVPSSSKIPEAYANGSSEEQCHIRVLEATQENITALLAGLEYLIDISYVDDTEVFKVCLDYWNSLVSELFEAHHNMYNPLATGSIIGLQMPLLNRVAGGLGSEILQRRQLYAMPMSKLRLLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLRKLSKQLNGEDWTWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEVTKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCRRKFVIVQVGESEPFVSELLTTLPTTIADLENHQIHSFYESVGNMIQAESDPQKRDEYLQRLMELPNQASFEPPPPSHLHEQKWAEIIGQARQSVELLKDPDVGRAVLNILQTNTSVAASLGTYFLPQISLIFLDMLNVYRMYSELISASIAEGTPYTSKSSYVKLLRSVKRETLKLIETFLDKAENQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPHIFEASFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFKALILLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQATDFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVAVLQHLFCLVDSGSLTEPLWDASTVAYPYSSNAMFVREYTIKLLGSSFPNMTVAEVTQFVSGLYESRADLSTFKNHIRDFLVQSKAFSAQDNKDLYAEEAAAQRERERQRMLTIPGLIAPNEIQDEMLDS >KZM89442 pep chromosome:ASM162521v1:6:756870:757499:1 gene:DCAR_023195 transcript:KZM89442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKRLRVLRPCKYLVLRIFKLRFRKRLLGKFQRRKQQLLQHQNPENDRVSGELNTRKTVSFRSVLRFLRFTRKTKTSDIVQMDRMMKIKSFSSAAVENQDKLCVGTATSTGYTSNVTAGSEDEDKYSCVRTTGRTVVGKWECSQEVEEACRSFENYLAEMIVEEGRTKDLMDVEQLLYCWDNLRCPVFIDLVSRFYGELCKDLFTSN >KZM91902 pep chromosome:ASM162521v1:6:28787421:28789085:1 gene:DCAR_020733 transcript:KZM91902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKVVWRKVSDYVRYDLKEIAFPSSLPDPPHFKKRRKLTWKERYLVLKEASRLYCASWVRDIGPDLRPNDYKANEWSEDKPNGVGNINKQKEPSTLEDLAVAARGGMEALRPALQRVYMTRASAYRDGLKSFIQGYQEGIKEVMEKKEEYDSQQKEDSEKKGDIKKAS >KZM89609 pep chromosome:ASM162521v1:6:2478872:2479480:-1 gene:DCAR_023028 transcript:KZM89609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTQQRATESPENPQDDDGSAMTCEICIEPICLPNKKFKNQEKCAHPYCVECIVKYIQVKIDDRVCLIKCPAVNCEHFLDPLACRLVIGHHLFDKWCDLLCEFAVLGFDRCYCPNRDCSVLIVNECGGSVKQSKCPKCKKLFCFSCKIAWHAGYRCEEGRELRDRNDVAFGVLAERKKWKRCPVCHHFVELISGCSIVKCR >KZM90725 pep chromosome:ASM162521v1:6:18585727:18592459:1 gene:DCAR_021910 transcript:KZM90725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKAIEQERYDDAAFFRDYGSAGLLGWWAGVSEDVNDPYGRIIRISAEHGRYVARSYSPRQLAAARGGTPLFEVFLTTTKEGEYKEQAVYLKHKDVPSQDFPTLSTKSFGPIVSLDPIDPTSDTTDPIEEAVEDKDGEDGDDDDYISEGSGFGNILQDMIPGVKVKVLKVTVPDKVDSDHISKVVEQIMEAEDEEKDDLETSDAEDEKDDDDKEKNGLAGDGLIDGEEQNQLAVKFVVGGLLQKISGTAHKDVSRVPARLEKKGRLSFRFTVDEDKKEPVSGVIGRSPQNPKTMLQSQKSIDHVMLDLAKSIGRGKIPMKVLKDVGKLLTLTLSQAQIRQPLSGSTTFSRIDIPASSDPFKGVYIGAHGLYSSEVIQIKHKFGQWQEGAGKSQNLEFYEYIEAVKLTGDPNVPAGQVAFRAKVGKEYQLPHKGIIPEEFGVVARYKGQGRLADPGFRNPRWVDGEVVIMDGKYIKSGPVIGFVYWAPKYHFLVFFNQLRLHK >KZM90801 pep chromosome:ASM162521v1:6:19366589:19371924:-1 gene:DCAR_021834 transcript:KZM90801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLCVFYHRLLDYRKPEVESLAELFGAFDDDPHGQQHSLEWKLPEHHHPDSPFHFVNLPSEDIARSVANRSMLVKGLFELWGEGNSYEELEESIKGYPEERKLPYLNSDSTFRISIDTFGKVMSFTEHNERIRGLSYIPFKGRVNLKNPEHNFWIMETDDYGSHNGLPPVVQKRIFFGREVGAADRKLLPTYELKSRNYLGPTAMDAEVAFLMANQAKATHGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPISLLRADNNLPPWRPGLSEVFDAIICDPPYGVRAGGRKSGGRKLLKGVVGPYTVPDEKRTNHIPSTGAYSLAECVHDLLDLAAKMLVMDGRLVYFYPVVRDDGSSSPSFPEHPCFKLIASCEQILSFRYSRVLLTMVKIKPYTDDIAESARLKHMDFKENHLKWLEEGNLHSAVFSPADHNSSSGDDGNCGKEVKPKYRGKYV >KZM92707 pep chromosome:ASM162521v1:6:35625585:35628638:-1 gene:DCAR_019928 transcript:KZM92707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTADGFRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDDSLKSYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVSFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKHTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELMGKPLMKRVMQNWLPASTALLEMMIFHLPSPSKAQKYRVENLYEGPLDDIYANAIRNCDPNGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIKAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIVMSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKARSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSGQLRASTSGQAFPQCVFDHWDMMSSDPLEAGTQASTLVADIRKRKGLKQQMTPLSEYEDKL >KZM90586 pep chromosome:ASM162521v1:6:17084996:17087087:-1 gene:DCAR_022049 transcript:KZM90586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIRALLYLSLLCLFASVQCYRGHENNPFAKRIKARNLRRASIFQDEIQKVEYSPVYIGPQDGLKEADKVESLPGQPDGATFDQYSGYVTVDPVAGRALFYYLAQSENSSSQPLVLWLNGGPGCSSFGTGAMMELGPFRVNSDGETLSQNKYAWNNEANMLFLESPAGVGFSYSNTTSDYVTGDSRTAADAYTFMMNWLQRFPEYQTRDFFIAGEDYAGHYIPQLAQLILQNNKITNQTVINLKGIAIGNAYINDETDLAGILEYYWTHALISDEVHMGITLNCNFTVGANISDTCGTYLDQINTDGIFPYDIYAPWCGSSIGSPSTSRFDPCTDTYINELIDNQWTDSPETVLPIIKELMSSGISVWLYSGDTDGVVPVAATRYAIDSLQRLVKTPWYPWYTQAEVGGYAIEYENLTFVTVRGSGHYVPSYQPSRALTLFSSFLAGELPPAHKN >KZM91011 pep chromosome:ASM162521v1:6:21367065:21372806:1 gene:DCAR_021624 transcript:KZM91011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSKEKPKSAAAAPPPSISIEDLFTSIKRHVDKDEFEQIVKLSDQVLSVAPGDEDALRCKVAALIKCDKIDDALSVIRKVPNDFSYFKAYCLYRQNKLKEAMESLKGLDETSATMLLESQILFRMGKMDASVDIYRKLQNSKIDALEINVVAGLVSAGKSSEVKGMMDALRVKATSSFELAYNAACSLIEEHKYTDAEQLLLSSRRMGQETLMDESLADDEIEIELAPISVQLAYVQQLMGNTKEAVQSYTGIIKRNLADEATLAVATNNLIALKGPKDISDSLKKLDKLVEKSGGPGSFQLARGLDLKLSPKQKESLYINRMLLLLHSNKMEQARELVAALPDLCPGSVVPVLLQAAVLVKENKAGKAEEILGQSADKFPDNCRVLHLARAQIAAAGGHPQIAVASLEKIPDIQHMPATVATLVALKERAGDIDGADAVFDSAIRWWSNAMTEENKLSVIMQEAAAFKLKHGRKEEAARLYEEIVKSHGSIEALVGLIQTAAHVDVEKAEAYEKKLKQLPDLKAIDVDSLERTSGAKHAEGGSHMNTATSYEDNNKEKTKKKRKRKPKYPKGFDPANPGPPPDPERWLPKRERSSFRPKRKDKRIAQIRGSQGAVAKEAGNANSKSSQASSKGVSTGPEPSKPSSKSSKKKSRK >KZM92730 pep chromosome:ASM162521v1:6:35871729:35873241:1 gene:DCAR_019905 transcript:KZM92730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNSESATNSKDGTKKDESRLQDSANSSGQSVNHHNRGDSTESAPLRPHTGRDIRWEAINSISAKEPLGLSHFRLLKRLGYGDIGSVYLAELKGTNAFFAIKVMDKGSLASRNKLVRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEFCSGGNLHSLRQKQPNKYFTEEAARFFAAEVLLAIEYLHMLGIVYRDLKPENVLVREQGHIMLSDFDLSLRCSVNPTLVKSNTTYVNSGNASAAGILENENSMHSTTSSSFFPRILASKKNRKSKSELGLFMGGAMPELMAEPTDVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGITPFKGSGNRATLFNVVGQPLKFPESPQVSSAVRDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGTNWALVRSATPPHVPAPVDFSQFASKETPHKVPEVKNEKKNTTDHSSHTDFECF >KZM92011 pep chromosome:ASM162521v1:6:29804037:29806661:1 gene:DCAR_020624 transcript:KZM92011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATNENLPPNVIKQLAKELKNLDEIPPEGIIVGVNDEDFSTIYADIEGPAGTPYENGIFRMKLILSRDFPHSPPKGYFLTKIFHPNIAIDGEICVNALKRDWNPSLGLRHVLIVVRCLLIEPFPESALNAQAGKMLLDNYEEYARHARIFTATHAVNSKRMKFRIGDVSESKNVDQENSATGAALHLPQASVPTLISAAKGATSQDQVVVGTNSMAEMGVVTSATSATVPLTKKKEVCGSLKVQADKKKIDARKKSLKRL >KZM91455 pep chromosome:ASM162521v1:6:25306370:25314985:1 gene:DCAR_021180 transcript:KZM91455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPGPDILMMEGTEVLMPLEAEMAQSNSSEEGDDMHGTMRTRGETDQVENQEYDGEEDQDGSGSQAGKGKRKRYHRHTQEQIQLMEAFREALGSTSCPNCGGAAAIGEISYDEHHVRLENAHLREEIDRLSSIAAKYLDHVAPPPRQLGFGNNSSYGQGSAEMYNVAGEILRSISGPPTEEIKPMMIELAVTAMEEFMRMAQLGEPLWVPGINGATSATLCEEEYMRAFPQGVGPRIAGFRNEASRETAVVIMNHISLVQILMDVNQWSTMFSGIVSRAMTLEVLSTGVAGNYNGALQVGRKSMLKLAERMVISFSAGVSGSTAHKWTTLSGNMAENVRIMTRKSVDDPGRPPGIVLSAATSFWLPVPPKAVFDFLRDENSRNQWDILSSGGAIQEMAHFANGRETGNCVSLLRVHNANSSQSTMLILQESYSDPTASFIVYAPVDIMSMNVVINGGDPDYVALLPSGFAILPDGSPMQSHEPGSKPTSAGSLLTIAFQILVDSIPTAKISLGSISTVNNLINCTAERIKDVILRVSQPRDF >KZM90020 pep chromosome:ASM162521v1:6:9643091:9646960:-1 gene:DCAR_022615 transcript:KZM90020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPKHRSTIMYLLFVCLGLFGTFLVADLFWASSSSYKSNWPTSPQLNPNLENLDHNASHKIGGVFENPKKEKPVRLLSATFADLPAPQLEWEKMATSPVPRLDGAALQINDLLFVFAGYGTIDLVHSHVDIYNFTDNTWGGRFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTARNFVLDTQTKQWQDLPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSLAVKDGKALEKEWRTEIPIPRGGPHRACVVANDRLYVIGGQEGDFMAKPGSPIFKCSRRNEVVYADVYMLDDEMKWKVLPPMPKPDSHIEFAWHIVNNSIVIVGGTTDKHPETKKMTLVGEVFQFQLDNLKWSVVGKLPFRVKTTLVGFWNGWLYFTSGQRDKGPDDPSPRKVIGEVWRTKLSL >KZM92302 pep chromosome:ASM162521v1:6:32288414:32290797:1 gene:DCAR_020333 transcript:KZM92302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIMGVEYKQLDKLRDVLINVPFVGLTATATEKVQSDIIQSLKLKDPYLAVGSFDRKNLFYGVKTSNHGSSSVFEFVEEILKCVASSGSIIIYCTSIKDVEQISKSLLEAGVKSGIYHGRMASKAREESHRLFIRDEIQIMVATIAFGMGIDKPDVRHVLHYGCPKSLESYYQESGRCGRDGIASNCWLYYNRSDFAKADFYCREAQSESQRKAIMESFMAAQQYCMLRTCRRKYLLEYFGEICAYENCGLYPTSLFVLLSFLSEMKQT >KZM92514 pep chromosome:ASM162521v1:6:34018811:34022748:-1 gene:DCAR_020121 transcript:KZM92514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGPAPYSYIGKRAKDLLTKDYNYDHKFVLSLPSSTGMGLVATGSKINEFFVGDISTEYKTGNTTVNVKVNTSSNISTKVTINDVLPCMNAVCSFDIPDHKSGKLDVHYLHHRAAICSSIGLNPSPLLELSAVIGDKGLALGGEVGLDTASSSFTKYNAGISFTTQDFSAALTLLDKGQTLKASYVQSVSSSSGTELAAEMIHSFNSYENKFIFGSSHTVDPLTMVKARFSNDGKVAMLCQREWRPKSLITLSAEHDTKAVNATPKMGIALALKP >KZM92036 pep chromosome:ASM162521v1:6:29950358:29952119:-1 gene:DCAR_020599 transcript:KZM92036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIQSKSSFRNFMETAKPYIAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFALVLERKIRPKMSFSIFMQIFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEILDMKKIRCQAKVLGTALTVAGAMLMTLYKGKVVEMIWSSHVHPLKSSAPGAPVDSDKDWVKGSILLIIATFAWASFFILQNVTMRRYTAPLSLTSLVCFMGTLQSIAVTFAMEHKPNVWQIGFDMNLLAAAYAGIVSSSIAYYVQGLVMEKRGPVFVTAFSPLMMIIVAIMGSFILAEKIFLGGVLGAVLIVIGLYAVLWGKYKEYKEKEAEEFLEPVKDVNNNNAMMMIKDIEANNEDVMQKNETRIVMSPAAFAISAPIPTLPMLAVEAPKP >KZM90840 pep chromosome:ASM162521v1:6:19739151:19739806:-1 gene:DCAR_021795 transcript:KZM90840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNWNVREELACEEAELGPDKFAEKLQLQQKLQEAQLEMLKQIRNYHLDDQSLILEKLHQQMEMNNFDSEMSLLSLEEIQDIVRRRVTPVYRPRQPTS >KZM89494 pep chromosome:ASM162521v1:6:1247634:1251788:-1 gene:DCAR_023143 transcript:KZM89494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQIKTRPDQRDQEDSNLSHHMRNPHYGLKEKMKALTLLYEQQKRASFSGVTVQENRRMSGLSSKDERNQVFEDPKEESKKPHVMKENTMPNSVVTKTYVLPKPSVDDVRENVVVGGAEKIVGFSCPRKGSMSNTVARKLSMGGSVVPHSEPRGIGGGGLGIRNLQELETVSEKLGSKGSRIFVFVRLRPMGKKEKDAGSRCCVRIVNNRDIYLTEFANENDYLRLKRLRGRHFTFDASFPDTSTQLEVYSTSTAELVEAILQGRNGSVFCYGATGAGKTYTMLGTLENPGVMVLAIKDLFNKVRQRSYDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMTLLQQGNHNRTTEPTRANETSSRSHAILQVVVEYRVRDAADNIVSRVGKLSLIDLAGSERAIATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLSFGETQNTLHWADRAKEIRTKACDANEEILQVPESEPDQAKLLLELQKENRELRVQLAKQQQKLLAVQAQSLAANSSPAPSSGSSLLTPPVPSCKAIEKQKTRPSFLTGNCFTPESKRKKVAEETVKDLKQTVKALEAEIERIKKDHALQIKQKDAFIRDLSRKGVKPADGVVVGQGAKRTVTRASLRPKEAVEGELKSPSHRFQSPAPAAKKRTFWDITTANSPSVATLNGRKTRSHVASEASTAPSMLLQVLLAKDLILRSGEEAEDHASVFQLHLK >KZM92077 pep chromosome:ASM162521v1:6:30349504:30355525:-1 gene:DCAR_020558 transcript:KZM92077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNVVLAALNLVYLSCILASGFLCDQVASVKEAKLNGVQKHKFEVIPIVGAVGPESFAFHPITGEGPYTGVSDGRIIKWNQQQRRWTNFAVTSSKREGCQGPQDYETTEDVCGRPLGLGFNRRTGDLYIADAYRGLYVVGPKGGLATLVTADAEGVPFTFLNGLDVDHRTGDVYFTDSSSVYQRRDFALVIITEDSTGRLLKYSPKTKKTTVILKNLTYPNGIAMSRNRDFLLFAETTKTRILKLWLQPSAKAGKVEVFAKLPSYPDNIKMNHKGELWAALYSTTPDSWITNYKASMNFGKTKVLAEDGSGMAVKVSDNGTITEVLEDKDGKVWKLASEVEERNGCLWIGSVVMPYAVLKTT >KZM90457 pep chromosome:ASM162521v1:6:15986261:15986713:-1 gene:DCAR_022178 transcript:KZM90457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSMMRCLKKKTQEILLITLIVGVDDKKTKICYGNHGKRKQVKLNLNMAGSLGDETKEIAVKKTKICYGNNGIGNQLKLNLNMAADETKEVAAKKRKILECYDCESVQHKERLCSPKYRVRQDQPAGVVDNKRKAAAGIDEDEACRCGR >KZM92151 pep chromosome:ASM162521v1:6:30958241:30960088:1 gene:DCAR_020484 transcript:KZM92151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKERSIANLISKCPNIRVLRQIHAHLLTHPLLPISTLSFSLSKLLSFCSLSPHTNLSYAQKLFSQIPNPNIFVHNSLIKACTNLRNPSHKPFVIFKTLINRGYPKSNTFTLSLILKSCSVLSDFGEGGQVHSCVIRTGFGSNVFVQSALVNFYAKCEEIAGARKMFDEMTERNLIAWSSMISGYSKLGLFDETLGLFREMQMAGVVPDEVIMSSVVSACGVAGALDVGRWVHLYIDKKMIGKDTMLMTGLVNMYAKCGCINAAKEVFDAMPVRDTKAWSSMIVDFAIHGHAEDALQTFALMEAAKVTCFYHTRVECNVIRQEAPAGHLILCDVCNFWQVRPNSVAFLGVLLACAHGGLVSDGRRYWSTMLGYGFEASIEHYGCMVDVLCRANLIEDAYAFVERMPIKPDPAIWRTLLVGCKRNKILEKGELVGERLLKLEPLNAENYTLISNLYASCSQWGKMSLVRKQMKVKGVKVTPGCTSIEVNGVVHEFVMGDWSHPEHKEIREVLEDVRQRVHDSGHEPWISAVLHDVGDKEKENDLCEHSERLAIAYGLFKTKAPTVIRVVKNLRACDDCHEVTKIISKLYMREIIVRDRLRFHKFVNGSCSCGDFW >KZM90789 pep chromosome:ASM162521v1:6:19262183:19262383:-1 gene:DCAR_021846 transcript:KZM90789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNNDTDATIKLRTSNDADTTSADEAPELPGDGAGVPSSVEIVMAGASEMELGGNAIGERAATM >KZM91157 pep chromosome:ASM162521v1:6:22636814:22640733:1 gene:DCAR_021478 transcript:KZM91157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSALQQSYLGRRSSSFRGSSPLDSSENPIKSPATIFWLVFHCLCCLVSLVLGFRFSRLVFFLLFSTSNTIYTATPFRPDTNVVADKTTLPVLNLNPGFETSVNRTGLGTSSVVVGRHGILIRPWPHPNPDEVMKAHQIIERVQREQKFQYGIKNPRTLIAITPTYVRTFQTLHFIGLMHSLMNVPYEVIWIVVEAGGTTNETSSLLAKSGLSNMHIGFNEKMPTLWEDRHKMEAKMRIHGLRVVKENKLDGIVMFVDDSNVHSMEFFDEIQKVKEIGVVSVGILSHSGNSDGEQVSVVQNRGEESKSSLPIQGPACNSSDQLVGWHTFDSLPYVVNSARYIGDKGIVLPMKMEWAGFVLTSRLLWEEPENITDWVKHLQKAVDNGQETFSPLSLLKDPAVVEPLGSCGRKVMLWWLRVEARADSRFPARWIIDPPLEVTVPAKRTPWPDALPELHSPEKVATIQENTEKRATKTRTPRSKRSSRSKRKREARGNVDARNSASRVTEK >KZM90741 pep chromosome:ASM162521v1:6:18783125:18785424:-1 gene:DCAR_021894 transcript:KZM90741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGSVDGEGEGDDNDREHCCSSPFIETPVYRETLTGRTRYPGAVRLRAYLFDGSGNYCNKDWDLREGSGQQFCWYHVELPRGNQKLSQSAQYLIDALCPPLKLQDILSLLSNGPFCGYVDGALVFRVNSPGHAASKFTFRIAARVTESSVITVSLGRIPRLGFSPAGQSLLLDVPSVESPTYNRGDHRERSGTVIAEHVLDFLLRTNHSEEADNAVPKCVSNLVVHIIDTHLDHLQDVVTTLELELDSVEFELDKGGFALKEKLLEDRRFPKMHLDLQRLLQAIAHGEQVFPRVKEKCSSRDWFASEDINSIEELCGGLRRLKENVGFIVNRVTAVQAGLDNWQSEQINRKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTGQDDPRLEDGFRNVIWLSMVTLLLILVLFLFPALCIRLTAWFRKGNVRKGWYRRSFARRSDTIRNGYMRF >KZM92610 pep chromosome:ASM162521v1:6:34832777:34842293:1 gene:DCAR_020025 transcript:KZM92610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTTYFFPRQFPDRRFDSSKSGSSSIDHEKKYTVVSDVDKKGEVKDNAFDRSSSFTGDSNARGKQLNAFVNWLADKKKDKKPSAHVRFSLDSDDRYDHDDEHQLLLLPDSAETPSQVPEIEVVEAGRDQSFVRQESLTRLSSNASSYAGHLGMEDVVSYKGPRGFDRQVPLHRLSSGSNFAGEVGKERGFERQVSLQRLSSGSSYAGSLFSGTTLDGNLSSCICKDTTTTVREEQEESGGSLARRVREGYYLQLNFAKRIAQQGSMVSEPTLLQQTGSICADAETVSYRLWVSGCLSYTDRISDGFYNILGMNPYVWVLCNELEEGRRIPSLVTLKSIEPDDTSMEVVLVDRYGDSRLRELEEKAQELYFASENTSVLVEKLGKLVAVYMGGTFPLEQGDLHVHWNMLSKQIRELRKCIVVPVGSLSMGLCRHRAILFKKLADSIGLPCRIARGCKYCIADHRSSCLVKIDDDKKLPREFVVDLVGDPGNVHGPDSSINGSVLSPAPSPFQIPHLREYQQGLVDDVSFCQILKSKNEFAHVRNPVHAGSKTEGRLTEGSGFHANRKEVSYSPKIQDTGGKESLEIVGAVSGVIAVGQFSGAGGDQEVTGPAYSKEIVVSESTMLSVQQPRPNISGQSAVLEAEGGPGRQGRFSARYLTIEPSLAMDWLEISWDELHIKERIGAGSFGTVHRAEWHGSDVAVKVLSIQDFSDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRIIHRPSAGETLDPRRRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSYGVILWELVTLQQPWSGLGPAQVVGAVAFQNRRLTVPQNTSPILTSLMESCWSDEPAQRPSFKSIVDTLKKLLKSPPQLIQMGGS >KZM89784 pep chromosome:ASM162521v1:6:4774270:4783163:-1 gene:DCAR_022853 transcript:KZM89784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSEKELEEKLRVAGERLAQLPTRVDVLLPLLDDLLAKVEQSPSQSIQNAYSQSMKALLAEQLLRHANVDVQVAVASCISEITRITAPEAPYTDDQMKEVFQLIVSSFVDLSDMSSRSYNKRASILETVAKVRSCVVMLDLECEELITEMFQHFLSSIRDEHPEKILTSMETIMTLVLEESEDVSLELLTPILESLKRNDEEIPTAARKLGERVFEKCAAKLKPYIRKAVKSLGFSLDSYSEIVATVCNGSNGEVDHKDGNVSSLEHLAGETNLNTSFVEAAQDAEEPVTEEPCMEDVPLEVQKSLKSLSNEMTETGDEEMSNASDPLKKTDEGNISNKSLDVDTSRVDTDDIDTTKAGKVDLKQVKTAKRRGRKPRNTKPSNSSPIESDKEAEVTCQKVSDKGSEELPDVAKDVPTPVEKNALVDAEAAGEKEQVPFSSKEISENEGNVSPVSPNRSVLDESPKKAGLSSKEENLAQEDTLMKSAPGMSGKEISPESHSDKKKLNSALEDSPSVDSAHTEAADIDNGQEVELPNRSEKMPECLAEEHELSKDPCSATVVAADGGSDPDIKPQKLSRNKKGGKGDEPSEVLDSTKVSAGSTDSENKVHNQSGNKLENLAEEDEPLESLSPKVDDKISESEEKPQKRSGKKAARTKKTTRISKRKMPGRKGTSKIETEDSSDDEAEQQKQSVEEDSSESETKPLVSAGKESTRRHRGKNSGKGDSSEAKVPIKKQDTVKESEPETDSDGSDLKVKPVVQSGKGKRSRHRGRPLRSLAKGGVAGSKMSSEKADTTNKDEDSSKKNSERRAQVKNTKERVLLNPQANKEDEDINISPRSTSKLVKDDDQSEDAKGNAKRKRTPAREKVPGDIEYGMNLVGAKIKVWWPDDKQYYEGIIESFDRAKKKHKVSYTDGDEEVLHLQKERWELVDDDTMQWNATRQEDANEDSSPDSSTKSRSRKKAKANAVQSLKLKQKDVSPRSGRGGAAASSKSKGAASKSGGKVDSKLKDKKSKVTGKSEDNSESEDLSVDDVPGAARKSKDDDANMPRIKQKSKIESPRTVTKAKAKSPQTGNTLNGTGKGKSGLLKVKDSGKTPEVKSTDTSKSKKSSGKXKVEERVGKNGEGGIKAVAVPATSSLVFAMLQAFQRGAGRKSFRPALAKHLNLLFCFSFLNLEGFTCRLTVGCPQHAWSFPCIGVLG >KZM91578 pep chromosome:ASM162521v1:6:26183966:26184271:1 gene:DCAR_021057 transcript:KZM91578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGRNRKPAVKVVIINTQYIETDSTSFKSVVQRLTGKDAIVEENPQPAAVVCDKQMSSGGGIARNNSVLLRGMSFKDFDKFLMELPSSLDDMLQMIVD >KZM92169 pep chromosome:ASM162521v1:6:31136892:31138610:1 gene:DCAR_020466 transcript:KZM92169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYQGYIVIFLFFVIPVIVLQAIFKSRKNSRLPPGPLRLPIIGHLHLLGPIPHQAFHKLSIKYGPLVHVFAGSNPCVIASSPEMAKEFLKINETSWSDRPQNTATDYLGYGSQDFTFAPYGPYWKFVKKLCMSELLGGRSLDLFQPVRRQEICSMVNVMLTKAKAGVKVDIGAELMRLNSNVLSRMIMRERCSENEDEAGKVKTMIKEVSNVIGIFNLGDYIWFCKKWDLQGIKRKLVNVRGRYDLMMDRIIQEHRDVRRKRKAHGVGSCSEKDLLDILLDISEDETMEIKLSIENIKAFILDVFSAGTDTTAILTEWAVAELINHPDIMQKAVQELDTVVGTTKLVEESDVENLPYLQAIFRETARLHPVAPLLFRQSSKDCSIADYHIPAKTGLFVNNWALGRDPDHWESPLEFKPERFLLGTGKRQLDVKGGQFHFLPFGSGQRGCPGSSLALHLVQTSLAAIIQCFELKAGSEGDLGDGSVDMEEAPGLTLPRAHPLVCSLVARPPFACM >KZM91854 pep chromosome:ASM162521v1:6:28387089:28391267:1 gene:DCAR_020781 transcript:KZM91854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKSIKARQIFDSRGNPTVEVDIGLSNGNWCRAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVGNVNSVIAPALIGKDPTDQTGIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGASVLNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQACIFHLKSWGHSIARGSNWFFGLQEFMILPVGASSFSEAMKMGVEVYHNLKASRTILSNLYPLSHTLLYSCGLVSVIKKKYGQDATNVGDEGGFAPNIQQNKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYGKDKTYDLNFKEENNDGSEKISGDKLKDLYKSFVSEYPIVSIEDPFDQDDWEHYGKMTSECGKEVQIVGDDLLVTNPKRVEKAIQEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQILRIEEELGSEAVYAGANFRMPVEPY >KZM89724 pep chromosome:ASM162521v1:6:3826398:3828214:1 gene:DCAR_022913 transcript:KZM89724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISRSRSNSRSRSRSPMDRKIRTERYSYRDAPYRRETRRGFSQNNLCKNCKKPGHFARECSNVAICHNCGLPGHIASECSTKSLCWNCREPGHMAGNCPNEGICHTCGKTGHRARDCSAPQLPPGDLRLCNNCFKQGHIAVDCTNDKACKNCRKTGHLARDCQNDPVCNMCNISGHVARDCPKGNITDERGGSRGGGYRDIVCRNCQQVGHMSRDCVALMICHNCGGRGHLAFECPSGRFMDRMDRMDRMDRYPRRY >KZM90657 pep chromosome:ASM162521v1:6:17853673:17854335:-1 gene:DCAR_021978 transcript:KZM90657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGXVVFVEETKGCFKSKAFSSEGLIWSDGVETEVLVNHALPYSGSRGVYLNGVLYWELRDHSLLAYDVDNDTDCVIELPPLKKKTKVLGFTEAGCLGVSKGKLLYCRLVGTVIHVWQTKQMPLERSGHWATKHKINLCDVMRVYPEMKFQYSQALTFLNDCHGILLSIRHGVIELRFGDNAVQNMYKACRCVSRKNMDAEGYIQPSMFFPFMQSLALFNI >KZM91404 pep chromosome:ASM162521v1:6:24859021:24859506:1 gene:DCAR_021231 transcript:KZM91404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSAEVFDTVTAKWDFVPRMWELDVPPNQIVAINERLFSSGDCFKAWKGHIEVFDGKLNMWSEVHGSNSYNLSGSPIATTDTSGDDWPPMQRLYLTMAPIDNHLYFIVGYRMPGEVPKTSSKVHVFNTLVNGDGWKSFAPLEEEGEKELCGHCCVLKQV >KZM90593 pep chromosome:ASM162521v1:6:17158877:17159845:1 gene:DCAR_022042 transcript:KZM90593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFEQILSSPPPININTSDIAHDLFPFVTVYKNGTIKRHFGQPAMPAPENLNGVRTKDVVVSSHPKVIARVFLPEFLTPGEKLPVLLYYHGGGFCIESALSACYTPYTSSIASACKVIVVSVDYRLAPEHKIPACYDDSWEALKWVVSHASGSGPDPWLNQHGDLGRVFLAGDSAGANISHTLATWAGVKGLESGVKVSGIILVHPFFGNDKPDKLWNYCCSDETGIDDPRLNPAADPDLLAKLACGRVLICTAENDFLSPRGWAYYEALKKSEWKGEVEIVETKGMGHVFHLFNPNCEQAGSLMKLVASFIKDDKVHSLL >KZM90620 pep chromosome:ASM162521v1:6:17554300:17555556:1 gene:DCAR_022015 transcript:KZM90620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSFELEPLDVGLIQIPYYFRCPISLELMRDPVTVSTGQTYDRTSIESWVATGNTTCPVTRAPLSDFTFIPNHTLRRLIQEWCVANRAYGVQRIPTPKQPADPVTVRTLLNQAGSGASSVHSRLNALRRLRGLARDSDKNKAVISTTRAREILLSIVFCDVRSDVTELNLEALAIVSMFSLSESECLFVAADLEKVSYLVSLLFHNSIDVRVNSAALIEIVIAGTRSPDIRSEIGKVDGIFYGVIGILKYPLSYPRALKIGIKTLFALCLVKQHRHNAVVAGAVEALIDRLPDFEKCDAERALATVELLCRIQSGCAAFAEHALTVPLLVKIILKISDRATEYAAGALLSLCSESEQCQRDAVAAGVLTQLLLLVQSECTERAKRKAQMLLKLLRDSWPDDSIGNSDDFGCSDVVPF >KZM90256 pep chromosome:ASM162521v1:6:13180416:13192509:-1 gene:DCAR_022379 transcript:KZM90256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPPERKPAFSKILVKDFSKKLMIPSKFVSLHRGTLARKCVLRPTGTQDAWRVKTKQINDSLYFKKGWKKFARHYSLGFGDLLVFRYAQDCEFYVDMFDQSCCLKELAVTSHNVKSRKHSHLEEANPGEKEALDKAEKLMSSSKFPAFLKIMQWAYVRPGGYLPLTTDFARKYFKDSTREVKIEVSGKTWTAGVRKDCATYRLTRCWSYLVTEQALKVGDVCAFELINTEDYTLKLTIFSSTRKNKICKTKARPFSSFEARSCQAPKKRSSHALEAQSRKAIKAANRFSSLSKYPSSPYVMRHTYLRARYLIIPPKFARLHLGTLARKCILRPTGTQDAWLVRTKQINDSLYFKRGWKKFAQHYSLGFGDLLVFRYKKDCEFHVDVFDKSCCLKELAVKSPHVGSQMDTTSKFPRKKKKLQTKARPGKALEAKYPSCPCVMNTSYLHGRYLEYKRMGRSPPPRKPSFMKILVTDFSKKLMIPPQFVRLYRETLARKCILRPTGTRDSWCVRTKWIKDSLYFKKGWKKFARHHSLGYGDLLIFRYAKDCKFYVDMFDKSCCFKEPVNSQNVGSQRDTTPILPGQEAKVDLETSALDAALDAEEFMAGSEFPAFNRIVRPAYLKANGYMPIDPNFGRWYLEDSIRDVKIDVSGKIWTVGVIEHGSSGRLSRCWSSLAKEHALKAVHGSSILLHSDNSPSFTGSVECVEDRENCQTKARSGKALEARSSQALEEDSSQVIEAAYEFASQSKHPSYPCVMSFNYIRRGNLLIPSKFVRLHCGTLPRKYMIRPTGTRDSWGVRTKQINNLLYFKKGWKKFARHHLLGFGDLLVFRYAQDCEFHVDIFDKSCCHKDPVTSQDIESRRDTTLILPRENKNIQSKTRSGQALETHSSQALEAKSNEEFEARVNQARETAYKFSSLSKYPSYSCVMQYSYVRQKFLFERWDANQKSRMKAQSDLQKMQRKNIRGLCEKFAVTKLEFKFVIEAWQQIVKCRRTLKWSYVYGYFIPEDEPAKTALFEYLQGEAEAGLERHHHCAEKELFMVLNDVNATADQFHSFRVKLEELTRVTRTYFANLVTALENNLYESEIRGPPKEKTRKIVKKFTKQRGERRKVQK >KZM90158 pep chromosome:ASM162521v1:6:11814361:11816257:-1 gene:DCAR_022477 transcript:KZM90158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQLGEGLSGSPLLSPQTTPRAPIAKLKHDCSRMFQYYLDKSTPLPTQRWLGTLALVFVYFLRVHILQGFYAVTYGIGIHVINCLIGFLSPQIDPEMESMDGADLPTKETDEFRPFIRRLPEFKFWYSITKAFCIGFLLTFFPIFDVPVFWPILLCYWIIQFLLMMKRHIMHMIKYKYIPLDLGKQKYVKSSSPVGSPRRLVELCKKYTGNSPGGSPRSSSGKQN >KZM91470 pep chromosome:ASM162521v1:6:25413748:25414266:1 gene:DCAR_021165 transcript:KZM91470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLALVLLVVASICSHSEASKLRTTTMTLYFQDWSAGPNATVIPITGLPGRLWSFFSFGTVFCTDDPITEGLDKASPEIARAQGIYVTSALDGSNTHVLISIVFTNSKYNGSTLEVQGASRQIDNVREVAVVAGTGKFRYARGYATFETVYLDMSLAYSVIQCNVTVKHY >KZM90872 pep chromosome:ASM162521v1:6:20012829:20015799:-1 gene:DCAR_021763 transcript:KZM90872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYHFVYKDLEGASTQWDDIQRKLGNLPPKPPTFKPAPFAPADDPESKPKDKSWINDKTEEELEELEDDQDLDDDRFLQEYRKKRLAEIREASKVAKFGSIIPISGSDFVREVSQAPPDVWVVVILYKDGYAECGVLMGCLEELAAKYPATKFVKIISTDCIPNYPDRNLPTLLVYNSGAVKANYVGMHNFGRRCTPEGVALVLCQSDPVLNDGQSGGDASRERVLEGVRKRFIDKVVAAHEEDEDGSSSD >KZM92751 pep chromosome:ASM162521v1:6:36022776:36024950:1 gene:DCAR_019884 transcript:KZM92751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFFMILVCFVGVEGLGVNWGTMATHKLKPEDVVQMLKDNGIQKVKLFDAEQSTMSALAGSGIEVMIAIPNDQLESMNSYKRAEKWVQHNVTRYNFKGGVNIKYVAVGNEPFLKAYNNSFLNTTFPALQNIQNAINEAGYGDTIKATVPLNADVYGSPEDNAVPSAGRFRQDILDQMTQIVQFLDKNKAPFTVNIYPFLSLYGNDNFPIDYAFFDGVTLPIHDGGIDYQNVFDANFDTLVSSLKAAGYGDMPIVVGEVGWPTDGDKNANLGYAQRFYNGLLPRLAANKGTPLRPGFIEVYLFGLIDEDAKSIAPGNFERHWGIFRYDGQPKFEMDLSGQGQNKYLVPAQNVKYLPQKWCAFNPDAKDLSKLAENVNYACTFADCTALEYGSSCNGLDANGNVSYAFNAYFQVQNQGDLSCNFQGLASVTTQNISQGQCNFTIQILPSSRSSVTNPSLVAVVFLAFLIFMVA >KZM90633 pep chromosome:ASM162521v1:6:17649819:17651401:1 gene:DCAR_022002 transcript:KZM90633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNKNTNLALSTGFDRPAHYDRSGPYPIKQYHHMKSGKIYSKLWRVEMEIAEKLQKLKIECFGDDQMNAETQSALSGIYKDEKPVRMYYQALEEKNNYFQIPKSSEAFGKLWQEELDFIKKLQKLKLNLVKLDHKKKCFSTDCGTAYVPSSTTDPRAAITLLYTTYKKYSEAAFTRLTTRQKIQWKAVKLHKGRHHGSNSLVKERQVLKKINQAREELEEMSGNSPSEPVTRIYTWRGDILDTKEALTDYIKDTTREVEELRLKQMTYRAYDGGAELEKAATENQIRILERKLENIKQKKLFQTTT >KZM89708 pep chromosome:ASM162521v1:6:3598841:3600071:-1 gene:DCAR_022929 transcript:KZM89708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGYFLSTVLLMAFGYVYPAYQCYKEMEKNVVSKEQILFWCRYWILVAVLIVIESFADVIVSWLPLYGESKLAFVIYLWHPKTQGANYVYSVMLRPIVTQHEKEIDRTIMELWCRGKDIAVQFMRNGAYYGQTRIFEVLRYVSTQAAAAPAPAAAAEKEKETGKAK >KZM90068 pep chromosome:ASM162521v1:6:10243768:10244322:-1 gene:DCAR_022567 transcript:KZM90068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRYSLYSPGNSGWSSARNEELQEEDVWGFLGQSKYISSEASNSTFSPYNAPRGLPTAAKMIPRTHSSAHQEPLTQICQQSAPVNIPDWSKIYGKSSSNKSLWLDHDDNEDDNGYNEFRRQNWESDEDDIDDTMIPPHEWIAKKLARNQISSFSVCEGAGRTLKGRDLKRVRNAILSKTGFLE >KZM90562 pep chromosome:ASM162521v1:6:16884237:16885280:1 gene:DCAR_022073 transcript:KZM90562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPSIVITKPTSTICCCSRNDTHGYIPKLQPFSTRTKFDRVLQDPPLIQKSETQLADYCSTLEGENSYSCWQAYFELKDLETKEPKDEVEKVILEAGGMKSLIGCLHGISAIHKAKKAAANESNKASLNAKKQVENHCPVPDGLPRSAEEMEEEEKARMPDSPFTRLLRNKGRFPAWYSPAPDHETD >KZM91477 pep chromosome:ASM162521v1:6:25451779:25453197:-1 gene:DCAR_021158 transcript:KZM91477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPDELKMPDASVPPETSEQSSSSFNLSGADLDSVFLGSKTNVHSDSSTQPITEKQLNSVENKGSISGFGSAQDDFTLFSNDHSADSAVKSFKDSRGDSFSGWPADFQSANEDGSSKSYDPFAACNIDLSSHIDSVFGARKDENRGRLEDDLQHTQYVSDDWMRGDLWKNLDSDVSQHSVPLGVTAEATDGISQNNAKNPASQGVDWFIDNQWHKDITSEPSNKIIDKHDDSSDDWTDFASSSIVVNHSGEVPSNKIIGKPEGSFDDWNDFASSSNAVNPRGDIPSNKISDEPDNSFDDWNDFASSNNAVKLSGYEPSNKVIDKQNDSSDDWNDFASSSNAINLFEDKYSNKMIDEPDDSFDDWNEFASSSIAANPSGDQPGSKIINKPDNSSDDWNDFASSSNAVNLSGDEPSSKIIDKHDWNDFTSSSIAVNLSGDEPSGKIIDKPNDSWDDWNDFASTSSGADLSRNA >KZM92013 pep chromosome:ASM162521v1:6:29812829:29815262:-1 gene:DCAR_020622 transcript:KZM92013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIESIRYSDCERSPLPPTTSVVVTRTVVDERCGRTRRDDEDIKYSIVNEHTSPVSVLDELARAPLISTNCSRETTTKNGNNNWPNAGRMSVPQPQKGLPRKKDEATYESLFVQKVMIESVRAKREAVTSPLPRSSKAMIESVEEVCKDIAWGEKRDVGRIGLVIQDCICRDLIEEFVKDLGHSYKPSNLYSLPFQACKRKLCF >KZM90874 pep chromosome:ASM162521v1:6:20033794:20041894:1 gene:DCAR_021761 transcript:KZM90874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRNRFPEGDSSSDESGSSGRIDTEISASENCSAPRKKWIMLNPATKDGFGVPVKVLPLSKLSHPDRQKLLLCLKTELEKVGFLRKKVETRNVGVVSVSPSTSILSGSNAQNVLKNGNTKRSSALTDAPAKKLKPGSLTRGTSGRFQSSNKPSEPSSMNSTLMRQCENLLKKLMSHEFGWVFNSPVDPVKLNLPDYFDVIKNPMDLGTVKKKISSSVYTSPLDFVADVRLAFTNAMTYNPPANDVHLMAATLSKFFEVRWKVIEKKLSANVPQQMPQISSVPTEAGKVKPMPPSKKRKHSSTQLLVLPEPVCEPVKCMTAEEKQKLSKELESSLSDLPDNIIEFLREQSSAGKDEGEDEIEIDIDTLTDDTLFKLQKLLDDHLTEKRKHGKGEPCEIELLNESGVSNSSMQLDKGNGLVAKDVDLVGNEPPVSSYPPTEIIHDSGSRSNKFISPGTSSDSDSRSFPAMAADVATASSSPVKRSKDDTVHVAELDENAGPGDLVDGNQSVSGLDQLEPSQQKPSSVESDSCQDGDSAPSERPVSPDKLYRVAILKKRFADTILKAQEKTLKQGEKVDPERLRLEREMLESQKRKEKARLQAEAKAAENAMRLAEAEAAAESKRQRELEREAARQALLKMEKTVEINGTSRFLEDLEMLRTVPSEQVPSSVDEASPDESLDCFKFEGSNPLEQLGLYMKVDDEDEEIEPPSNDVDVEEGEID >KZM92640 pep chromosome:ASM162521v1:6:35073203:35075093:-1 gene:DCAR_019995 transcript:KZM92640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTKPSKPSTETMGMDQRNWLDLPDDVTTNILDRLSVVEILENAQKVCTAWRKICKDPAMWRVIDMENLQGLANPRALEKMCMNVIDRSQGQLVDLSIEHFPTDDLIEFLAQGERSSQLRRLQISYCYGSLHKSWNDLFRKAPMLEEIALTFTTISEETVAEISRCCPMLKSFTYNNHGWRHSIGMDAADDFVISVAKGMPQLLHLQLTGNEMSNKGLQAILDGCPNLQSLDLRGCFSIKLYDSCGKLCKERIKNLRLPRDSMIGHKVAPYDSEDEYEDYLWDGYVGLYDDLLDDVGGGFDDDDGGFGPFGGFADGVADYYHLL >KZM90496 pep chromosome:ASM162521v1:6:16305723:16309280:-1 gene:DCAR_022139 transcript:KZM90496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVSFTGTQLFHGGAAVKLSPSRTQPNLRVSPFTVNMSLQKDAPSVAVVGVTGAVGQEFLSVLSDRNFPYSSIKLLASKRSAGKKLTFEGVEYVVEELTEDSFSGVDIALFSAGGGISKKLGPIAAKCGTVVVDNSSAFRMDENVPLVIPEVNPDAMRDIKLGKGAIIANPNCSTIICLMAVTPLHKRAKVLRMVVSTYQAASGAGAAAMDELVQQTREVLEGKQPTCNIFKRQYAFNLFSHNAPVLSNGYNEEEMKLVKETRKIWNDTDIKVTATCIRVPVMRAHAESINLQFENPLDEDTAREILKNAPGVVIIDDRASNNFPTPLEVSNKDAVAVGRIRRDVSQEGNYGLDIFVCGDQIRKGAALNAVQIAELLL >KZM92477 pep chromosome:ASM162521v1:6:33672049:33675826:-1 gene:DCAR_020158 transcript:KZM92477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVSPSRGFLPSQGHGNYDSSVAEVFGPFSNVETDGSNNDETELLYASWNQDYGCFAAGTSRGFRIYNCDPFKETFRRDHKSGGFGIVEMLFRCNILALVGGKANTQYPPNKVIIWDDHQSRCIGEFSFRSEVRAVKLRRDRVVVVLEHKIYVYNFMDLKLLHQIETVANPKGLCCLSHQMNTSVLACPGIRRGQVRVEHFGLHMTKVIQAHDAQIACITMTMDGLILATASTKGTLIRIFNTMDGTRLQEVRRGVDRADIYSIALSLNVQWLAVSSDKGTIHIFSLRVRGAGEDPSFQSSPVKSTSFLHQNSSSSLDALISPSAGENPGSSLSFMKGVLPKYFSSEWSFARFHIPECTQFIAAFGSQNTLVIVGMDGSFYRCSFDPVNGGEMVQQEYVRFLKNESRQR >KZM91433 pep chromosome:ASM162521v1:6:25156445:25160628:-1 gene:DCAR_021202 transcript:KZM91433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLFSWSLKIEDVVNNMDAMKHVKNKLLKENNSYSDFDLETYYTCLLKNITHKKHLQQIHARLLVSGIQYNGFIITKFLNVSSDLGLIHYAQEVFDEFPDPYVFLWNAVIRGYSMQNMFNEAIKMYLRMHEFGVRPDGFTIPHVLKACGGLQSAGIGRAVHGHIYRLGFEWDVFVQNGLVSLYAKCGRIDFARVVFDRVDDRTVVSWTSIISGYAQNGKPWEALGIFREMRRVSQELDWVTLVSVLSAYTDVNDLLQGKAVHGCIVKMGLEFECDLRIALTTLYAKCGELVVAKSLFDQMEVSNVMLWNAMISGYAKNGCADDAIQLFWDMIKRNIRPDSITIRQDGLYQRRFTSILETMNVFISYFIDLAEFNKTLWPVQYGLLWLQYKRHCLHEVAKLKGSLCWKNIMWNENWTCEWKPP >KZM89597 pep chromosome:ASM162521v1:6:2398051:2403057:1 gene:DCAR_023040 transcript:KZM89597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSWKLADHPKLPKGKVVAMVVLDGWGEAHDNQYNCISVAETPTMDSLKNGAPDRWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLCDIALESGKIYNGEGFNYIKESFETGTLHLIGLLSDGGVHSRIDQVLLLVKGASERGAKRIRVHALTDGRDVLDGSSVAFVETLQNYLAELREQGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFKSALEAVKTLRAEPKANDQYLPPFVIVDESGKAVGPIVDGDAVVTFNFRADRMVMAAKAFEYEDFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIDRTSGEYLTHNGVRTFACSETVKFGHVTFFWNGNRSGYFDAEMEEYVEVPSDSGITFNVQPKMKALEIAEKARDAILSGKFHQVRVNLPNSDMVGHTGDIAATVVACKAADEAVKMILDTIEQVGGIYVVTADHGNAEDMVKRNKKGEPALDKDGNIQILTSHTLEPVPIAIGGPGLLPGVRYRKDVPNGGLANVAATVMNLHGFVAPDDYETTLIEVVD >KZM89454 pep chromosome:ASM162521v1:6:869252:870385:-1 gene:DCAR_023183 transcript:KZM89454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPTQTSPSHHHTSACTNCGGPTAFPPPPHISDINSPPPVYVPIRAPANYLPPNPQNTQQAIMLTPVPQSQETPILDPPYNFQTPVKKITCQSDIHHFQSSPTCKNFLGFVVSLSESIRGHKLSDPCNQSGKIMCLLSVLENLEKFVDEIPPLPQAARYGNLAYRSWHERMTEKAESFVLELLVDDLKGAVVELVPYFTDSFGNWNRVDYGTGHETNFAAFLYCLARLGVIKTEDYHAVVLRVFVKYLDLMRKLQLVYSLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNDDIIENFSNEYLYLSCIAFVKKVKKGLFAEHSPLLDDISGVPNWKKVNGGLLKMYKVEVLEKVPIMQHFLFGSIIPW >KZM91944 pep chromosome:ASM162521v1:6:29218931:29220696:-1 gene:DCAR_020691 transcript:KZM91944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKIVFPGVYSAELVVCTMWEALRPDISLLAEKCLLWLLLEAGSLPVNVFQGCLIDESLPFSLCKNQDRNVQDADDYDGEPKDDGVTPKDDDIYEEVNDGLEDHNMSGGESSGEGDQEVEDNSGSYGNGGSDDNDDDDTDMEEYSDDDEEEETDEEDEDDREDDRSNSEDSTDDNKQFPRLKNARDVYTSEDTFRLFEHERRKTRTLDIDVISDLDLRRRGLEITYEVRKSWQFEGRYTVTVLPMDGIVECSCKFFESSGVLCSHSQLALKCLRIDKIPDCCILQKDKNLTPGPAEGSVTKNQEERRQSSPHHTDFNRFLNFLSNSLPPVCSANCSVLTITRV >KZM92790 pep chromosome:ASM162521v1:6:36316386:36317537:-1 gene:DCAR_019845 transcript:KZM92790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMPVDPKVKKSQEEVLERVPVAKPPFTVGDIKKAIPPHCFQRSVIRSFSYVVYDLTIASILYYIATNYIHLLPHPFSYVAWAIYGFVQGCFLTGVWVIAHECGHHAFSNYQWLDDTVGLILHSSLLVPYFSWKYSHRRHHSNTGSLEKDEVFVPKHKAELRSFAKYLNNPPGRVLTLLVTLTLGWPLYLLFNVSGRHYERFACHYDPQSPIYSDRERAQILVSDAGVLAVTFGLYRLAAAKGLAWVLCVYGAPLLVVNGFLVLITFLQHTHPALPHYNKTEWDWLRGALATVDRDYGILNKIMHNITDTHVAHHLFSTMPHYHAMEATKVIRPILGDYYRLDETPVFKAMWREAKECMYVEPDESGKNKGVFWYNNKL >KZM92460 pep chromosome:ASM162521v1:6:33588152:33590161:-1 gene:DCAR_020175 transcript:KZM92460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFHKLLLILGIPTSCIILLLLFIIYFWFFKKRPAKDELKEANFDESNERDDLGYGTLYRASLVSADTVMLLRFLRPTCTVKLDQVVPLIQALGSIRHPNLVPLCAFYAGPRGEKLLVYPFYSNRNLAQFIRDEDGESHKWQVIHRISTGIARAVDFLHKGMQKPLIHGNLKSKNIFLGHDYQPRVSDSGIHLLLNPTAAHEMLEGSAAEGYKAPELIKMKDTSEQADIYSLGVILLEMLSGKEPVIDQDLYLPSSMRAAVLDHRLSDLYHPDILLGKNNGQKPVSEEIILKFFQLAMSCCSPSPSLRPDSKEILKKLEELA >KZM90008 pep chromosome:ASM162521v1:6:9530376:9537094:-1 gene:DCAR_022627 transcript:KZM90008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSLKSSRSTRGGSATAGVGLVTAATTNTATTATKDLAPKLEESLNVFKSDRFDADAFVQSKCHSFNEKEIRQLCSYLLDLKRASAEEMRRSVYANYPAFIRTSKEISDLEGELSSIRNLLSTQATLIHGLAEGIHIDSLAFTVPDGSANGLSIGKDKDSSGLEKWLVEFPDLLDVLLAERRVDEALAALDKGEQLASEAKEKGTLSPSSLITLQSTITEHRQKLADQLAEAACQPSTRGSELRSAITALKRLGDGPRAHSLLLSAHYQRYQYNMQTLRPSNTSYGGAYTAALSQLVFSAIGQASSDSLAIFGTEPSYTSELVMWATKQIEAYALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPLLLKLFRPSVEQALDANLKRIEESTAALAAADDWELTYPSTIARQSGRSSASLGPSVSYHYKLSISAHRFNMMLQDFFEDVGPLLSMQLGGRTLEGLFQVFNSYINLLIKALPGYLEEEANLEGSGNKIVRMAETEAQQIALLANASLLADDMLPRAAMKLSSINQANYKDESRRKVSDRQNRHPEQREWKRRLAMSVDRLKDNFCRQHALDLIFTEEGDSHLTADMYINMDGNMDEIEPSPIFQELYLKLNRMATIAADMFVGRERFATLLLMRLTETVILWLSEDQSFWDDIEEGPRPLGTLGLRQFYLDMKFVMCFASQGRYLSRNLNRVVNEIISKALTAFSATGIDPYSELPEDDWFIDICQDAIEKLSGKSKVSNGERDLNSPTASVSAQSVSSIRSHGSS >KZM91563 pep chromosome:ASM162521v1:6:26071958:26072206:-1 gene:DCAR_021072 transcript:KZM91563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQPEPVNYICGDCGQENTLKPGDVIQCRECGYRILYKKRTRRSNLSPSISVLFT >KZM92385 pep chromosome:ASM162521v1:6:33030286:33033078:-1 gene:DCAR_020250 transcript:KZM92385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLWFFKDVGLALAILVFLGVIKIVYRLWILPNMAYQKLTRSGLSGPSPSFPLGNIDDMVKSKTKRIQSDSSSSLLGIISHDIHSKVFPYFAQWQESHGKVFTYWLGTEPFLYIADPAFLKKMSLGVLGKSWGKPSVFRNDRKPMFGNGLVMVEGDDWVRHRHVITPAFSPANLKAMGSLMIETTNEMLDRWTSLIHSGHQEIDVEREIISTAGEIIAKTSFGMSYENGKKVLEKLRAMQQTLFNSNRYVGVPFSKFMYPKQYLEAKRLGNEIDVLLLSIINDRIKSKDTESGAQKNLLGLMLADNCVDGRLVNSLTTRELVDECKTFFFGGHETTALTLSWTLLLLAMHPEWQNQLREEIRQVVGDEVVDATKLAGLKKMGWVMSEVLRLYPSAPNVQRQAKDDIRVDEVTIPKGTNMWIDVVAMNHDPGLWGDTVHDFRPERFEADMHGGCNQKMGYVPFGFGGRMCVGRNLATMEYKIVLALILTRFSFSLSPSYCHSPAIMLSLRPTQGMQLIVKPLEK >KZM91643 pep chromosome:ASM162521v1:6:26759801:26765993:1 gene:DCAR_020992 transcript:KZM91643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNGVKTATLTQAYLESNQVKETKNLITELCRQFYTLGWVSGTGGSITIKVHDDCIPKPQQLILMSPSGVQKERMVEEDMYVLSSTGSILFSPLPKPYPHKAPKCSDCAPLFMKAYEMRNAGAVIHSHGMESCIATMINPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAQERELTESLAAAIEAYPKSTAVLVRNHGVYIWGDSWISAKTQAECYHYLFEAAIKLHQLGLDCSTPSHGPIQSFKGLSNVNHSVNMSVKAGSAISNNGIEPSHRCIVLDIEGTTTPISFVTDVLFPYARENVGNHLDATYDSAETQDDIKLLRAQVEDDLKQGIVGAVPIPPDGAGKEEVVAALVANVEAMIKADRKITSLKQLQGHIWRTGFHKSEIEGLVYDDVPEALEKWHALGIKVYIYSSGSRLAQRLLFGYTNYGDLRKYLCGYFDTTVGNKKETKSYLEISEYLGVHKPSDILFVTDVYQEGVAAKAAGLDVIISVRPGNGPLPENHGFKTVTSFSEI >KZM90316 pep chromosome:ASM162521v1:6:14121050:14130679:-1 gene:DCAR_022319 transcript:KZM90316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDATEKVNKKQQLKLYNYFRSTSSCRVRIALNLKGIDYEYKVVNLLKGEQLSPEYLEINPMGYVPALVDGDITIADSLAIIMYLEEKYPQRPLLPGDLAIKAVNFQVASIVSSGIQPLHNLSVLKYIGEKVSPDEKLPWVQTHIRKGFSAYRASNLVWPVSALEKLLSNHAGKYATGDEVYLADIFLAPQIDGAIKRFSVDMAEFPLLSRLNAAYKELSAFQDAMPERQPDTPACNDATENLNRKQQIKLYSYFKSTSSCRVRIALNLKGIDYDYEAVNLLKGEQHTPEYLKLNPMGYVPALVDGDITIADSFAIIMYLEEKYPQHPLLPDDLVTRAVNFQTYIGEKFGPDERLPWVQFHIRKGFTVWPVSALEKLLSDHAGKYATGDEVYLADIFLAPQIDAAIKRFSIDMTEFPLLSRLNAAYKELSAFQDAMPEKQPDTPIAVI >KZM92414 pep chromosome:ASM162521v1:6:33228453:33232295:1 gene:DCAR_020221 transcript:KZM92414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPQIDPLMQVETTCGSLLYELQIIWDEVGESDIERDKMLLELERECLEVYRRKVDTANKCRAQLRQAIADAEAELAAICSAMGERPVHIRQADQTHGSLKEELRTILPQLEEMQKRKLERRNQFSDVVQQIQKIRSEIYASNKIHHTSPVVDETDLSLRKLEELQKELQALQREKSDRLKQVLEHLSTLNSLCLVLGIDFQQTVNEVHPSLGDSDGTKSISNDTIDSLATAIKRLLELKIQRMQRLQDLATSMLELWNLMDTPVEEQQMFQNVTCNIAASEHELTEPNMLSEEFINYVEAEVYRLEALKASKMKELVFKKRSELEDLCRKTRMIPETDSGLEFAIEAIETGAVDPSHILEQIELQIGNVKEEALSRKEILERVEKWMAACEEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPGMVETLALKTDAWEKERGIEFTYDGIRLLSMLEEYNILRQEKEQERKRQRDQKKLEGQLIAEQEVLFGSKPSPMKTQNAKKGSRLSCGGTSNRRLSLGGAMLQTPKTDQLHSFKGTPNTRQTKKNERPHQNGQLNSRKDGGISALSAGRRGLDIAGLPVKKHSFNAHEVESSPVKRKPFSPISSRDSSTKTNATNILEDLNRKRNETAQKTISGNTTPFSTPSKTVFAAAEEENMTPKTMHVPFTPSTPSIPMQTGMTPAPHALAYTATTPIKEVPEEIEYSFEERRAGFVLPGTRPKSQLLV >KZM91913 pep chromosome:ASM162521v1:6:28889122:28889910:1 gene:DCAR_020722 transcript:KZM91913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKMKLPSPFKYTKSPSFPSSTWPWPTCADPKAFSFRAENTTINSVFVPEEHSEFIASSSDSNSASESSRRHVISTADELDTECFNSADAIESVIRGVKKSERLFFEPSSSTLREPEKNKINNDTTNEDENDSNRASKYVVIEMETMDPFMEFKESMKEMVEAHGLDSLDGLEEMLSCYLRVNGKCNHGYIIGAFVDLLVNHDEFDFTFSSTCFSSDYQSTTCISQSPVSASSLSSFSASNCKCTTAASGASSSEDEEARA >KZM89952 pep chromosome:ASM162521v1:6:7968448:7976305:-1 gene:DCAR_022685 transcript:KZM89952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFRGDEGIEVASICEEAKCPNFEEGAKEHKLMATATMATAAGAAALLYYTLNKKVETIIETEDDDASSIQRRRNGNLRVSSVFGGKDSTQLTGANVSSELRHLLQLLTLCWHFSKKPFPLFLQEIGYSQDDVLLQEPKAGILKPAFTILVDHKTKSFLLLIRGTHSIKDTLTAATGAVVPFHHSVVHDGGLSNLVLGYAHGGMVAAARWIAKLSIPSLTKGLDKYPDYKLKIVGHSLGGGTAALLTFVLRERKEWSTACCVAFAPAACMTWELADSCNEFITSVINGADLVPTFSAASMDDLRTEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIATARAKVAGAGAMLRPVSSGTQVVMKRAQSMAQSALSRPSLQISSWSCMGPRQRPKPNGGDSKESSSSRTETSQPLVRSPKKNISTAEALELPVSSEGLVWDSEMDVSCSEKSGFNDEVDVDGHYDLVGQNTNEDGGVNEVELWRQLEDELYDSVEGDEADTTKEIREEEAEAIAEISETEPESSVPDTKEVHRFFPPGRIMHIVSLHLNEPGDETDSRISADTDNSQLADTKVGIFLTSRSVYSKLRLSRTMIADHFMPVYRKQIERLIDELEEDTLDNINIEAEAEIKI >KZM92090 pep chromosome:ASM162521v1:6:30439119:30445032:1 gene:DCAR_020545 transcript:KZM92090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSPIPIPTDTWKLGFIGAGKMAESIAKGVVQSGLLPAARIRTAHLGSARRTAFQSFGVTVVDHNDQVVEDSDVVILSVKPQVVKGVVLELKPKLSKKQLLVSVVAGVKLKDLQEWSGHDRFIRVMPNTPAAVGKAASVMSLGTAATEEDAELISKLFGAIGKIWKADEKLFDAITGLSGSGPAYMFLAIEALADGGVAAGLPRDLALGLASQTVLGAATMATATGKHPGQLKDDVASPGGTTIAGIHELEKGGIRGIFMNAVVAAAKRSRELSQG >KZM92734 pep chromosome:ASM162521v1:6:35899745:35900799:1 gene:DCAR_019901 transcript:KZM92734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKSAIGDVIITFMWVFCASTLGPITSIIKTMFGVEGLIFNLFITVCLVSVLLFIFNFIAEALGGASFNPTLFAAFSAAGIHKESLFSVSIRLPAQAAGAAGGALAINELMPVQYKHMLRGPSLKVDMHSGAIAEGVLTFAVTFLALFIILRGPKSSLLKNWLLAASTVMLVVAGSGYTGPSMNPVNAFGWAYVNNRHNTWEHLYVYWISPFVGTILSASLYGLIFPPPVKQKRA >KZM92294 pep chromosome:ASM162521v1:6:32214252:32217736:1 gene:DCAR_020341 transcript:KZM92294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSICTDDEEYRFFDARASSFSDSSSDNPESSCNDECGACSSYQYEVWAKGPLSVRKRRSQFLRFMGLGLDGKASGQNMVDAYDGGSFRGEIDRIKESSGAVLRNSSFKYEFCSSRSSWSSMSNDDSDLSRGLDLTENFICRAADVKHGSECDVDRIGKSTNLGNSEVIDGGQLLTCGKGQPSPSFPMVEKLVQQETEVTGNAPKMVNRVKSRWLRSLRSFSCITKKEGTVDSLRPIGSNSIVRERVQRVKVRHSKKRLKELSALFVGQDIQAHDGSILTMKFSPDGKYLASAGEDGIVRVWQVVEDKRSNEIDIPVIDPSCMYFTVNLLSELAPLMGEQEKISSKSLKKTADSACVIFPPVVFRILEKPLHEFRGHKGEILDLSWSNDNHLISSSVDETVRLWKVGCDQCLKAFPHSNFVTCVQFNPVDDNQFISGSIDGKIRIWEIDGGQVVDWTDVRDIVTAVSYRPDGQGGIIGYVTGNCRIFSVTDSHFQLEALICLNNKKKAKSKRVTGFQFFEQDPSKVLVHSADSQVKILQGINIIGKFRGPKSASNQISASFTPDGRHIISACDDSNVYFWNCSIQETSSASKPKTSRSFECFSSDASVAIPWPGLRSGISKGGCNLQVVDECVSDRLPFSPSDCFSMGQGFRVESIPKGSATWPEEKLPLSPCALPSALCKSQYKFLKTSCQSSSKTHAWGLVIVTAGWDGRIRSFHNYGLPVAL >KZM90022 pep chromosome:ASM162521v1:6:9659213:9660621:1 gene:DCAR_022613 transcript:KZM90022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLTKTLARKLTSPRRFLTALRHQSSQSGNNNPIVIIGEQQQQVEFETLTVQRIEDAIHGIIVKRSAPDWLPFRPGYSYWVPPRRDSYGIAELVHTFSNNLTDDEVMSLTTSRGWPSSTVFSTGIGSNLDSWNNAWRIVVMDYDKLVSMHSQAKKLRENKREAHSVFHTCADESLSQSKEGTISSEESQSEDVEESQSEKEE >KZM91614 pep chromosome:ASM162521v1:6:26534782:26538173:1 gene:DCAR_021021 transcript:KZM91614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAMKNLAKLDVELTIEERNLLSVGYKNVVGSRRASWRILSSIEQKEESKGNDVNVKRINTYRQKVEQELSSICGDIMTVIDEHLIPSCSAGESTVFYYKMKGDYYRYLAEFKVGNDRKEAADQSLKAYQLASTSAETDLSSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDMPDDGEDQKMEIIGNTGEAEDSKKTRRWRSLVILVKLKTQSNWTLKLAFLNK >KZM91737 pep chromosome:ASM162521v1:6:27455270:27455719:-1 gene:DCAR_020898 transcript:KZM91737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLISLMMICMLVMAPKSQGTLQVNDCLSAITDIPGCFQEVITSFLTFQIKIGPECCKALLDIEDNCWRTLFPLITSQFPSLVKTFCTTSPPPHPHNLQSLSADYSTFSPEPAWAPEGDTANEPAWAPEEDTANEPAWAPEENTVADQ >KZM89827 pep chromosome:ASM162521v1:6:5519947:5520783:-1 gene:DCAR_022810 transcript:KZM89827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEAGDLDFTNHELPGGSNLGNVGDLPNDCSMDSFLDDFLKDTHACTHAHTCNPPGPDSTHTHTCYHVHTKILPASSEDVAPSDDTAESADKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRAINQQLAKRLQGQAVLEAEVARLKCLLVDIRGRIEGEIGSFPYQKSAKTGDIYQTPITPNVPSAYVMNPCNLQCDDQVYCLHPGDEGRNAENAVLNGQGLTGCDFENMQCLGTQNFASNGLPGCELGSSAPPINMSATNKRKGDSFGFPY >KZM91968 pep chromosome:ASM162521v1:6:29462526:29463131:1 gene:DCAR_020667 transcript:KZM91968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHESCATLAFQLNYFTCLQWIISLYVLMFIEGVYTYNFKLKSSHHDMFSSSRIWLLPHMDDDYYSLRYTDPKEYFAGVRREWAFRLEESNQLRNDLTGLGAKLPVRDSLGVYPARNFNGSWGDYRRLVIEAVGLIREENNRMLLRRCRFYMLKLVKDSAAASGREMTFEEECQLLQNPNYLSDEPMSDEEATDDDDSE >KZM92075 pep chromosome:ASM162521v1:6:30334664:30338081:-1 gene:DCAR_020560 transcript:KZM92075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRTKTARLLSSITHKPTLQNGSFDGKLSSFKNRPDPVSGFGSFSSSVDSSEVMNSSRLVGWKGYEEYRRALYGGNITHKALLVDAVGTLVIPSQPMAQIYRQIGEKYGVEYSENEILNRYRRAYEQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDSQYFEELYNYYTTDKAWHLCDPNAEKVFKALRNAGVKIAVVSNFDTRLRPLLRALNCDDWFDAVAVSAEVAAEKPNPTIFLKACELLDVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAQRIGVQV >KZM89814 pep chromosome:ASM162521v1:6:5280815:5281156:1 gene:DCAR_022823 transcript:KZM89814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVPIAAELWSIFNGLKMAWEKGNVKSVFIELDCLEAVNQVNNLDPQFFLANLVDMIKARESEGWDSSAIVHVPSSSNEAATALARVYVDGEGRLVDLPRAPAFSHPIIDA >KZM91197 pep chromosome:ASM162521v1:6:22941830:22942360:1 gene:DCAR_021438 transcript:KZM91197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSRCAACKFLRRKCSQDCVFAPYFPPDQPQKFANVHKVFGASNVAKLLNDLRPVQREDAVNSLAYEADARLRDPVYGCVGLISILQDQLKQVQGDLINAKKELANYRTSAVLPYNMLPMLGLCLNQDHGAMPLVMHDHPQKQHLMEAQQLAVAEWEQQEMLRPCKQEQQRRV >KZM91799 pep chromosome:ASM162521v1:6:27898899:27899828:-1 gene:DCAR_020836 transcript:KZM91799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNDDYDRQFPEIIQAVDATTNPPKPKRRRVTSNPQISRSRSETEAERSTNNTSAPSGTITTDPTTFASGSGTQEPSNNYTDTKGVMVNVIRRHLDHLKTDDHLNES >KZM89906 pep chromosome:ASM162521v1:6:7294241:7297224:1 gene:DCAR_022731 transcript:KZM89906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIANNTSIRALRAICPNKLGAICPKLRAICPKDCCSPPKGRGLYACARKDRPTAEPILAQTHHPGCEPPEKQAITASGWSKGRPSFTRCKEPFLAREPTSPLSPTPRNAGASLSLLARATFALGEQIACCPSLSNH >KZM90067 pep chromosome:ASM162521v1:6:10233147:10235843:-1 gene:DCAR_022568 transcript:KZM90067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAVPEAKGISRSTRIEEEKLKLVAEGCNPKIDKAKDFSGEISKTQHTMQSLDKTISNLEMELAAARAVQDSILTGIPVSGDLSVTESTKRRKYLMVIGINTAFSSRKRRDSVRATWMQQGEKLKKLEDEKGIIMRFVIGHSATSGGILDRAIEAEEQKHGDFLRLDHVEGYLELSTKTKIYFATAVALWDADFYVKVDDDVHVNIATLGATLARHRSKSHVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSSDRIKEVHRRCGEGENALWSAVF >KZM91547 pep chromosome:ASM162521v1:6:25954167:25960548:-1 gene:DCAR_021088 transcript:KZM91547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALLILITLFVLITGGAEGIRFVIDREECMSHKVEYEGDNVHLSFVVIKSDAPWNSDNYGVDLVIKGPSGDQIHDFRDKTSEKYEFTAQKRGVYRFCFTNKSPYHETIDFDVHVGHFAYYDQHAKDEHFNPLLEQITKLEEALYGIQFEQHWLEAQTMRQSIECLDARYPAELANC >KZM91582 pep chromosome:ASM162521v1:6:26205830:26208445:1 gene:DCAR_021053 transcript:KZM91582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRKPLLTGKLARHYTAVQDYRTTGRIWAPFPFITELGLLLKGVFNSVSSMELNNFERINDLNPARFDWKCRLRLQSLWKGVHREKKEFYGSHRIHAFASKKYCQGLFEHLKEGEVYVLTNFKVKDYVGDETYRPVRHKKHIYFTTHTKLETDSYGGLKIEKYAFDLFYLGEMKKLAEDNRYLIDVAGKMQNVRPNMKSTKNDVEKRLTKFDLFDGRNSVSVTLFDDFGLQFEQDLENCNQTEIFVIICAAKVALYEGEANITTYPATRIYINPTHYSISEIKNKCQVKVTKVEEQSSWFYVVCTKCPKEISRVEGIFKCIDCNRIIPYPDKRFRVCTLCSDSTGSIVVIFPDKEVSRIIDKTVFDIEAEAIQNDETEGKFPLILKEFEKKIYTITLNVTDNNLKKGSRVYDAFEIFDKMESGASFDPSAQTDTQMVDAMTVNLKDDHNNTPNTGISSTKTRPRAEIEPVPFTPTEEIPAKVLRNARKEKSLE >KZM89980 pep chromosome:ASM162521v1:6:9117835:9118077:1 gene:DCAR_022655 transcript:KZM89980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFPSNMCSCHKQSSMPYLFVSRTFKLDETNKLEFEQASIHMNSGPIFGVEEVDLQGFASKINNNVSFPLAFSEGDKKC >KZM91515 pep chromosome:ASM162521v1:6:25753116:25753307:-1 gene:DCAR_021120 transcript:KZM91515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFPMYAELILQQRQGSPKAIHLDDIKENPRKRPQKNGETLVLVHQTHNIPPCRAPSNIYSW >KZM90095 pep chromosome:ASM162521v1:6:11082951:11083191:1 gene:DCAR_022540 transcript:KZM90095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESTIHRGDAELGGCQNHAHYHNVYGMLMARSTYEGMKVADESNNLSGSIYI >KZM89818 pep chromosome:ASM162521v1:6:5377246:5377716:-1 gene:DCAR_022819 transcript:KZM89818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKFYIRDPKTNPPLSIPSNIINNNTIIDADYLEFEFEMVTLEATNEYDNRYTSLKDISPPCELSRKESWREVPLKDPLVRQAAWAWAYLPLSKTEHQRNGFIGKLRDGFVGCFRNVLLLITGKLLPDQEFEIDDDDQQTYSNNIIPARSKMIGQ >KZM92046 pep chromosome:ASM162521v1:6:30047484:30048950:-1 gene:DCAR_020589 transcript:KZM92046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEASRTARESLDLAFGMSNILDTGLDRHTLSVLIALCDLGLNPEALAAVVKELQKEPSSSGGTTPSCSVASGDLAQCLIAQHALSGPSRVHSYSRVFCQTIYFFRGESLSLCQHMDPEASRTARESLDLAFGMSNILDTGLDRHTLSVLIALCDLGLNPEALAAVVKELQKEPSSSGGTTPSVN >KZM91533 pep chromosome:ASM162521v1:6:25855518:25861497:1 gene:DCAR_021102 transcript:KZM91533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKISKRSVSSLLRNGAVRHRSAAAPISAFNLSAGEVDTKPRWYSVVPTSGISVSSKLINIKSEFPLGIRYESTAAASESSDPPSEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTNSELIKDEVDLDIRIQADKDNGIITITDSGIGMTREELVDCLGTIAQSGTAKFLKALKESKDAGADSNLIGQFGVGFYSAFLVSDRVVVSTKSPKSDKQYVWEGEANSSSFTIREETDPVNLLPRGTRLTLHLKHDEKPFAHPERIQRLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAEVKKDEQQDVNPEEKKKKKTKTVVEKYWDWELTNDTQPIWLRNSKEVSTEEYNDFYKNTFNQYLEPLASSHFTTEGEVEFRSILYVPSVAPYEKDDIANPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMKRRLVRKTFEMIRRLSDSEKREDYEKFWDNFGKHIKLGCIEDRDNHKYLGPLLRFFSSQSEEEMISLDEYIQNMKPEQKNIYYIAAESVSSAKNTPFLEKLREKDLEVLFLVDPIDEVAITNLKSYSEKEFVDITKEDLDLGGEKDEVEEKKIKEEFGQTCDWIKKQLGDKVSKVQVSQRLSTSPCVLVSGKFGWSANMERLMKAQAVGNSSSLEFMRGRRIFEINPEHPIIRTLDNAYRNSPNDEEALRAIDLLYDTALVSSGFTPEKPAELGGKIYEMMDMALSGKWGSDRTRINEFQQEAATNPGTAEAEVIEPAEAEIVEPAEAGSQK >KZM91876 pep chromosome:ASM162521v1:6:28592086:28595995:1 gene:DCAR_020759 transcript:KZM91876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKPSNSLSSRANSKSSSIHSSTQRIPPLKNSFSKKKRDIVQNGNRAPIHRTSIYKGVTRHKFTRRFEAHLWDKDSWTTQHKKKGRQRAYENEDLAAHAYDLAALKYWGPTTALNFPASLYEKEIEKMSNLTKEEYVATLKRKSRGFSRGASEYRGVAKHHAKGKWEARIKVIGEKRYRYLGTFTTEKEAAIAYDLAAIQLRGTSAVTNFDISTYKQDLHEYDTLLETTDEERNDYEKKYNDEQGEQIEEMTMLSRTTEGFNDMDLGKDVAMDFINVHDYEKFPKLNVSNDGYYEASGELVPLSKETSNNTENYMDGNYDGLVPAVVEDAYDLDMFLDENLYENYALNQYGLVDDEFMQMTTMNPCCDLNQDISPVKEYRTGDDISDLVENEAEDMKDGKGCTGDEANNSMK >KZM89707 pep chromosome:ASM162521v1:6:3596877:3598449:1 gene:DCAR_022930 transcript:KZM89707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSACYNVKRRSPELITPAKPTPYEYKLLSDIDDQQGLRLHLPVIQFYAKKESMMTKDVDPVDVIRVALAKTLVFYYPFAGRLREGAGGKLMVECNGEGVMFVEADADVALDHFGNPVMPPFPCSEQLLFDVPGSSGILHCPLLLTQVTRFKCGGFTLAVRLNHTMCDMGGFAQFMTALSDIARGADAPSVPPVWQRELLNARDSLRVTYTHHEYDEVISDQGPIIPPEILKTLEYRYIFFGPDEIRALRRFLPSNFTKCSTFEVVTASLWRCRTIALQIDPEEDVRLVCLVNARAAFDPPLPDGYYGNTCAFPVAIAKAKTIISHNSLEYAVKLIRKAKAEVTEEYMRSVADLMVMKNRPHFTTHNTFVVSSLTRTRYEDVHFGWGEAVYSGLLPGMASFYVPFKNKKGENGILVPICLPNFVINTFSRELDSMLQNNDEFVTQPTLPYIKSAL >KZM91242 pep chromosome:ASM162521v1:6:23497909:23499321:-1 gene:DCAR_021393 transcript:KZM91242 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MASSKTLHIVMFPWLAFGHLLPFLNLALLIAKRGHKISFISTPRNLQRLPKIPSDLAPLIDFIEIKLPSVADLPMHAEATIDVPFNKVKFLKIAYDRLRDPVARFLESVLPDWILCDFASYWLGPVASELGVRVGWFSVFPASILGFIGPPGKLIDRKGDPVRPEDYTVKPEWVHFETSVAMSMFQILATAPNLEADETENVTDNFRLGTTIRNIDMVAVRSSVEFEPVWLNLVEEIYGKPVVPVGLLPIEEISNHGDDGCWGDIRDWLDKQAEGSVVYVAFGAETKLNQVAVTELALGLELSGLPFFWAFRKQRGSADPEIVELPEGFEERTRGRGMVYMTWVPQNRILRHGSVGGLLFHSGWSSVVEGVQFGKVLVLLPMLGDQGIIATQLEEKKLGLLIPRNELDGRFTREAVAESLKLVMVDEEAGKIYRDKVKEMQSVFGDMDKQDSYVNNLIVHLETHRIARDY >KZM91784 pep chromosome:ASM162521v1:6:27821919:27822191:1 gene:DCAR_020851 transcript:KZM91784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTVKDEKIASKLSADDKKKVEDAIEAAIQWLDTNQLAEADEFEDKMKELESICNPIIAKMYQGGEGSAPMDDDIPSGGGAGPKIEEVD >KZM90098 pep chromosome:ASM162521v1:6:11167662:11168914:-1 gene:DCAR_022537 transcript:KZM90098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCELQLPNCISFPSLKTLELCLFTFVDDESMEKLFSNCPVLEELSILDCEWVNLTNVTICIPTLKSLTIDDLPYYGTFDVNGCRIKIDAENLMHLSYSGYLCNELIPFYVPSLVKAHIHIPNLFEDQKEVVPRTAKLLRGLQHVHILRMSTRTIKKLTCLELTMKIENLSIAGLMNLLHCLPNLESLHFCEGLELCMCSQDNAWYIKPAPRCLVSCLKNIRFQNFRGYDLEICFLKYFLENALVLERMYIYSAKNTKGSQKSQKELNAMLQSFDRGSKNCILCFI >KZM90081 pep chromosome:ASM162521v1:6:10404512:10409750:1 gene:DCAR_022554 transcript:KZM90081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQWMNRLRLAFGSSFLWLICLIYFNQGFRSFVWTAVSYQLKDRLKLSPSASQFVTSIAFFPWSIKPLYGIVSDCIPIGGRRRIPYLVFATLLSLVPWLILGVNAALRDSRVHLMIFLTVQNLGSAMADVVIDAMIAEAVRHERASFAGDLQSISWMAMALGGISGSLLGGYALNNIQIDVIFFLFSVLPAIQLLSCSFVREKSVSSEILPGSSDTLESHTMNGNSVDEEDFSVGKAKSKVSRRKKNQKKRKKRVAVTNKFQNREKDGSLASQWFLSLKVATFALFQAFRQPIILRPMAWFFLAHVTVPNLSTIMFFYQTEFLKLEPSFLGTTRVVGWLSLMFGTYIYNRHLQKMKLRRILMWAHLGLAFLTLLDLALVSRLNVGFNIPDKIMVLCGSALADAINQFKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSMVGSILGAGLASILSISSASFDNLPLGIFIQIICTFIPVAFLFLIPKEATGISA >KZM92609 pep chromosome:ASM162521v1:6:34824846:34826429:1 gene:DCAR_020026 transcript:KZM92609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIAALLKKSRISKISSLLLVRSLIKTPNVTNTVFSETPYRILDKGLIFSNCVARGYHDGRPRGSLWRGKKLIGKEAIFVILGLKRFKDDEEKLGKFVKSHVLRLLKMDMVAVLNELERQEEVSLAVKMFWVIKKQDWYRPDVYLYKDLIIALAKSKKMDEAMQLWDSMRKENLYPDSQTYTEVIRGFLRNGSPADAMNIFEDMKQSPDPPEELPFRILLKGLLPHPLLRNKVKQDFEEIFPDQRIYDPPEEIFGLR >KZM90282 pep chromosome:ASM162521v1:6:13799507:13802416:-1 gene:DCAR_022353 transcript:KZM90282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRKLADKLGIQADHVESKKGSSPSRKQDIKNEGAADLKKTMDKSGSVQHLDTESLRSSPTFHIPKPGKPTTSDHVRTRAATPRRQSMEGSPNYMKSTSSFDARRECSQVSSQTPQNIMIRKSMSPMKSNESRLSSGSVNKTVGGLARTSGLKVARTLIKTPSFKPARISTKKYPPSALCKDFDVRKPTCSTTLKDSKMPMYLELSAGATESEGTSAMKVCSYTYCSLNGHHHAPLPPLKSFLSTKRRMLKAQKAIKVGCLSPRRAKPLRERMVKIDAKQVILAEIPPAKAMDSDSRPITPCLHEKQTDFFVEIYSKIGDDDTARGEVSESLSSTALGSVIEFEENLEAKKGQDVVADATVHSVPGAQEDDILDCLRAQCSKDENSQPISQYGPSDFKAPEVESEEGHYYEPGLNDVTNLKPKVTDIYSGLNVKSISISDDNTNNSYEDILADEVQVGFYDERSVSSGAWSDDGDSDLDGSYHNKDLEEPYSTCDGNCESVETQVRENSISHSFEEFQAKSAEKSTVPEASTDEKDGTCEPVETQITENLISHLTEEFHATSVAKSKVPGASDEIPRLNFQLGSDAIACSTDVEEVFDLQGGKAAKQELVCQSLYQDFVEQDQDETHKNCNAAFNNMEVFELDSTGESTSSDDVLESFHTKTENEDAGKEDHEEMISRNDATDEMEQKEPLSVESFDGIQTSNSLRESEQEKPIADPTEGVEIKEKLLAAQHSVGSLPFELLEEMPDSIAVNKDHSKQTHVTIPSETNQSHADETLLYESNGVENHEYPEIGQYQLHTYEFKTSKNSDDQMQSGPAVLRATPNQNQEADTVEGEKRTETDAEETSIIEKSSGTTLKGDYMSNANRTSNEEMSQISKHLSWTFGSRKPDAESEDVRDFNPREPNFLPEEPELEPEKVDLRHQLMDERKNSEEWMVDYALRQAVTRLAPARSKKVILLVEAFEKVLPAPS >KZM92580 pep chromosome:ASM162521v1:6:34550179:34550763:1 gene:DCAR_020055 transcript:KZM92580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKGSQNKLMKIITTPVRVLKKGRDMYVQSMMSYAQKPRYSSSNSNKGAVKPVQAVSGLPKSFSTSVTSTRSTIYDGNDDFAELIRANSTSHDNRNMNLDVEGYVKQLIEEQKLSRQKLYNDHLAENNVHSKMPKGVPRSCSVGLGRIDEGKACEFDEEEEEVEEGVKKAPEVMLRSRSHAVGAGNRNSSLVF >KZM90859 pep chromosome:ASM162521v1:6:19880544:19884066:-1 gene:DCAR_021776 transcript:KZM90859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHSLFRVVAAIVVLLVAIHPDRAASASGRGGFGLKSGDQGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDQGDLAVTGLCSCATILHAGYKCQEHHVVTDDGYIISISRFPEGRNGQGAGGNKQPVLLQHGLLVDGMTWMLNSANESLAFILADNGYDVWIANTRGTRFSRRHLSLDPDNKKFWDWTWDDLVTHELSATVDYVFKQTGQKVHYVGHSLGTLTALAAFSEGNQVDKVKSAALLSPIAYVSHITTALGNLAAKAFVGEITDLLGIAEFNPVGVPVAIFLKALCANPGVDCYDLITEMTGPNCCLNTSTVDLFLKNEPQSTATKNLVHLAQSIRFGKLAKFDYGSVKSNMEHYGKATPPIYNMSNIPKNLPLFLSYGGKDKLSDTKDVQILLDSLRFHDVDKLDIQFVKEFAHADFIMGVTANNVVYNQMMAFFRNHQ >KZM92506 pep chromosome:ASM162521v1:6:33926557:33932461:-1 gene:DCAR_020129 transcript:KZM92506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLEEIKNETVDLEKIPIEEVFEQLKCTREGLSADEGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGNGKPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRNPYDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLVELVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGFDKEHVLLCAARASRTENQDAIDAAIVGTLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRTSKGAPEQILTLCNCKEDLKKKVHAMIDKFAERGLRSLGVASQVVPEKSKDSAGGPWQFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIASLPVEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALLTVIFFWLMKDTDWLPNTFGVRSIRNKPDEMMAALYLQVSIVSQALIFVTRSRSWSFVERPGFLLLGAFLIAQLIATLIAVYANWGFARIQGCGWGWAGVIWLYSIVFYFPLDIMKFATRYALSNKAWQSMIDNRTAFTTKKDYGKEEREAQWALAQRTLHGLQPPEASNIFNEKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KZM89626 pep chromosome:ASM162521v1:6:2662268:2665363:-1 gene:DCAR_023011 transcript:KZM89626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGETEEAVRRRVATADYRKKLLQHKELESRVRGVRDSLRTVKKEYAKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESTAHYSTDFGKE >KZM89842 pep chromosome:ASM162521v1:6:5855603:5859956:1 gene:DCAR_022795 transcript:KZM89842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNRIILNGDKKNIIFTGFSDHKILDPNKSFGNSCDKRIAHRIGATSDGEFVLQPKDDIKKMLTAIYNFSRPYTFIGIIIAISSVSLLPFTSLRDLSPALFVGFFQSLIPFLFLKIYVAGINQVFDVEVDKINKPYLPLVSGELSMGQGKAIVWASGFMCLAVAVMFKSPPLFFGNLTPFLLGTAYSADLPYLRWKTKPTLAALSIAALYGLSVNLGVFCHMQKYVLGRPLVLTKSLGFTVTFFTLFSVVIALSKDIPDVRGDLAFGNPTFSVKYGRKKVFSVCLAILLTAYGSGIVIGASSSFLICKLVSVIGHSTLASVLLLRANSLDLDDDEATQSFYMFIWKAEFSLI >KZM89518 pep chromosome:ASM162521v1:6:1485745:1487933:-1 gene:DCAR_023119 transcript:KZM89518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFIATTVISAVLLLIFFNAEVSGRFLNFKAADQVVSDGQVTRNAAEEAIESSVLRLKGMDHSAEAQCEHMYGFLPCAESLLGHLFLIAVYEFILFHGESYLLSGGSRIFEILGTGFFGASGFPVIAQLPESLILLVTGLFSSDEGAQENVLTGVGMVAGSTILNLTLLWAACLLFGRQVFVQEADANSGNESSAETEKKRTPTIWTGYGVGSDEETSFTAKVMLASLLPLIILVLPKIFDVPYNSREYNIDILVTLFALVVTLVAYFIYQFSHRSIQDRRLDYLKAVHQTHVLAVIQHVQELIPELVDKHGRPDEAAIAKLFKNLDTDGDGELSVTEIMELFHRIRLTSKTKVLGRAKIIESFIKEFDHDGDKKISFQEFMDTFKDWLNTVCAVAESKRHSPVPGPQLKHFIEVSSPRLNFCLDISSL >KZM91752 pep chromosome:ASM162521v1:6:27585902:27586717:1 gene:DCAR_020883 transcript:KZM91752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASKKPDKVDPNEQRLSKWLNCALSNEPLKNPIVVDYLGNLFNKECLVEGMLKKNLPKCFRHIKGLKDMIVVKMSEIRDSDSDTKYQCPISGLEFNGKYKFFALRSCGHVLSAKALKEVKSTGCLVCHKEFEECDKIVINGSEEEVEALRERMEAERAKLREKKVKKVKSGEEGGCTELLRVSGKKHGMDDNGAEKGLLKVEGNRKAGNDGVKVKGVNEGKNTVPAKRFKAGDNVPAGATKEVYASIFTSSRKSDFKETYSCRSLPLGRN >KZM92813 pep chromosome:ASM162521v1:6:36484578:36488581:-1 gene:DCAR_019822 transcript:KZM92813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSTSKLDDEEAVQICKDRKNFIKQAVEHRMRFASAHAAYIQSLRRVSAALRDYIEGDESHDLDAFITPAFTPMKKSSSGFISISPKSFTAAPIQSTPNSTIKVSYLRSGGTPSVSVEERPNSPETVRVEAYSPIHQYEMDGFFAMQSPTMNNSFFNYSPIHRPSFPPPSPRTSQWDFFWNPFSSLDNYGYPTRTSIDHTVLDDDMSGLRQVREEEGIPELEEETEQEDLEPRVHVKNEQARVVLNSAREEVLVEDDDSDDDDDDDYVTDSGHESEHEVIGPVKAELEVKKSRGSQKLEVSKSQNSGQVSNQETAVGDNRDAHVETPGFTVYVNRRPTSMTEVVKDLEAQFKIICNSALEVSAILEASKSQYSSTSNELTAMKMLNPVALLRSASSRSSSSRFAINSSSSKDEAYESSSDFSEDSCTFSSSHQTTLDKLYAWEKKLYQEVRAGERLRMTYEKKCSQLRNKDVKGDDPSSVDKTRAAIRDLHTQIKVSIHSVEAVSKRIETLRDEELQPQLLDLIQGLTRMWKAMAECHLAQKRILDDAKVLLAGTPSKLSGTKKYTLMSPTEPHRLACAAANLENELRNWRACFESWITSQRFYIHALTGWLLRCVRSNADASNLPFSPRRSMVTSLPIYGICIQWSRFIDAVREVPVLEGMDFCVAGIDSLYAQQLKEDFRHRTPTGSKRYGGGFSVESGGKEMVEVGNFEEDVFSTEKMVEVAIRVLCAGMSVVVSSLTEFAISSAEGYADVVKQWESAQRSRSLGGTQI >KZM90400 pep chromosome:ASM162521v1:6:15411212:15412931:1 gene:DCAR_022235 transcript:KZM90400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKVLSKLVSGSGVDAGEYGQDYSHIIVYNLLYIFPLIEKYKIGHLNRIGAHLANNSQPLEMQKLQC >KZM89645 pep chromosome:ASM162521v1:6:2834272:2836854:-1 gene:DCAR_022992 transcript:KZM89645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDQEMEKFGMDNDFEGGQYVGAEFYYARRKDKRTQTKDDVLYGVFASDDSDSDDYSSKKKKKRKNDLSRKADLTKPVSFVSTGVVMPNQEIDKNSEEEDKDQYDDTPSGLGLGASRFGSSGLGFSSDGKGKPAEEVQVETEDDYLPTSFGEKIKKGALLRRQEKEKEKSKINLKSSQGARKESSNHGNIGGFEQHTKGIGMKLLEKMGYKGGGLGKNEQGIVAPVEAKLRPQKMGMGYNEYKEATLPTLKELEEKKSIPNAVQSSENRSKEKLWSKQARSKKKKMNYVTAEELLAKKEEQGLEVVQKVFDMRGPQVRILTNLENLNAEEKARENDIPMPELQHNVRLIVDLAELDIQKLDRDLRNERETVVSLQQEKERLNKEVVNQKQQLDNMEEIVSVLDTIGNENLSGTLTLTSLANSFGNLQKQYADDYKLCNLSCIACSFAMPLFIRVFQGWDPLQNPAHGLEVISLWKNLLQGDLTDPGSPYTQLIMEVVFPAVRISGTNTWQAREPEPMLRFLESWEELLPIPVRQTILDNVVMPKLSAAIDSWDPRRETIPIHLWVHPWLPWLRQKLEIFYHTIRIRLESVLHAWQPDDISAFCILSPWKTVFDSASWEQLMVRYIIPKLLTVMHEFQVNPANQNLDKFYLVRTWATAIPISHMLHLMDVFFNKWQEVLYHWLCSRPNFEEVTSWYVGWKELIPPELLANEHIRYRLNVGLEMMNRAFEGIEVVQPGMRENINYRRPPEQRPFGAPQTAAYNAQQASATPVSATQMGGIGGGNEMSLKDVIEFYAQQNGLLFKPKPGRTQDGHQIYGFGNVSIIIDSLNQKVFAQTEEKWSLVSLDQLPELHNRSISRRR >KZM90285 pep chromosome:ASM162521v1:6:13822754:13824915:-1 gene:DCAR_022350 transcript:KZM90285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPRALDKQCLCRRKYVLQQFLKADELISLPLPYPSTSTSTLSSSSSSDEKRKLEKNKSHKSQSRNNAFYSWMKSESRSSKKCNATVGMVEFVGLIKVKTVKGSNLAVRDLTTSDPYLILSLGNQSVKTDPVMNSLNPVWKDMLMLSIPGDIPPLKLHVYDKDTFTTDDYMGEAEIDIQPLVTAAKAADTCRSGESMPLGKLVASKDNTLISDGIISLVDGKVKQDLILELQNVESGVLEVELECVALMQ >KZM90049 pep chromosome:ASM162521v1:6:10010698:10018188:-1 gene:DCAR_022586 transcript:KZM90049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGSFGGAGSSTAVGAPANIDRKMQTAEQLVLDLSNPDVRENALLELSKNKELFQDLAPFVWNSFGTIAALIQKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPVQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGTERFFAVGRVLANMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDTTFSSCLREDPTTRRWLQNLLVNVQGPRVTLQGGGGFEHMMVN >KZM91890 pep chromosome:ASM162521v1:6:28691595:28695662:1 gene:DCAR_020745 transcript:KZM91890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALNFTPSLIYRPIFKAKTNTSISRNSFSIRSSSTQTPVTSKDEPPKTTTFSPPPNFKPPEPKAFSIRPDKIFDILGASLALFFRLGTGAFVSGYSASVVSKDEVPSDQYALGVAGYKIKETSKPGPRPELPIELYEFEGCPFCRKVREMVAVLDLDVLYYPCPQNGPNFRPKAIQMGGKRPVPYMVDPNTGVAMYESDDIMKYLAEKYGDGNVPLLLSLGLVTTLTAGFAMIGRMGKGSSYTPSRLPPKPLELWAYEPSPFCKVVREVLVELELPHILHSCARGSPKRQLIYEKAGHFQVPYLEDPNTGIQMFESAEIVEYIKATYAL >KZM91898 pep chromosome:ASM162521v1:6:28762651:28763895:1 gene:DCAR_020737 transcript:KZM91898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTTATPATDLQEQRMKSIKDFDETKAGVKGLSDSGVTAIPSFFIHPTESLTKSSSKSTLSIPVIDLSQLHSDDHKPKIIEQIHHAAKTWGFFQVINHSSPISVLEETITAIKTFHEQPSEVKAKYYGREEGRGAMYASNNDLYRSKAACWHDSLQVWMAPEATAEEEIPEACRREVVQWDRHAKEVAEAVLELLGLGLGLERGKFKDLDFCGTRVFVGHIYPYCPQPDLTMGITSHTDPSMITVLLQNQIQGLQVKHGEEWVDVEPLHGGLIINIGDMLQIVSNGEYKSVEHRVLANSNRESRISIVMFMNLSHSTWKESAEGGKYYGPLPELLTPENPPVYRDFTLQEYLDNFYTKGLDSKSLIDKITLIKRDN >KZM92741 pep chromosome:ASM162521v1:6:35950519:35952682:-1 gene:DCAR_019894 transcript:KZM92741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFEHQLACFKSCHCIREFSGCLRAGIRPFNYGYVQFPSYSVTRNLSLNRPSLVTFLDRASGCNGQIRSVSLKDSEYGNEETQPIQEVTREKNFWGAVSLIIGTAVGPGMLGLPAATIKSGPVPSTIAIILSWIYVISSILLVAELSFAVMEEDNVAEVSFTALATKTLGSQIGSFVALVYASLSFSLLVACVSGIGSIFSQLMPRLNPVIAHALFPSAAGAVICFLPFEATDTANRLLCFIMLFSITSLVAIGLSVGRSSLLGSLMHASWSVSSILPVIPVTVLTLGFHVITPFICKIAGNTVHEARKAILFGGCVPLVMVLSWNLIVLGLTGPSHASISKDPISLLLSINPSALTAVQGFAFSALATSYIGYAVSFPKQVTDTIELIFLGPNRNHEENSQSHKRSIKNGDGKVGFATYSHMCSEDVGRISYSDTLLNAKPQHDLSFLQSIVVPLVLGCPILVASFFRSTFSAALDFAGIYANCFLFGILPPVMSYIYKSRKNHRSSSILPGGNITLLALAGIAIVLGYAVTFPRQTDIAELVFLGSNPREGNSQSVESSLF >KZM91723 pep chromosome:ASM162521v1:6:27371311:27374313:-1 gene:DCAR_020912 transcript:KZM91723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLVPREAPLPNLHQVLSVSSPLVLASKDAICNDTPFPPFCKSVLPSNDSFSIHDHGRFSVNQSISSAKALMSLVQGFLDHQDSLSETMIHALEDCRLLESSNIEFLSKTLETIRSTDSLASSGDEDDLLTLLSATMTNQQTCLDGLESISSPSNPASALRAPLLNGTMMYSVSLAIFRHGWVSDARQSRTLIARKLGRKPPTSPGLVLYPGGNVVKVIQTVVVNSSGGGDFRTISEAVAAAPNNTDGSNGFYVIRAAAGIYNEYVNIISSKKYLMMIGDGINKTIITGNRSVADNITTFDTATLIVTGQGFVGVNMTIRNTAGAANKQAVAVRSNADLSTFYRCSFEAYQDTLYPHSFRQFYRECDIYGTIDFIFGNAAVVLQNCNIFPRLPLQGQFNAVTAQGRTDINQNTGTSVQNCTITPAENLGSTATYLGRPWKEYSRAVFMDSFMDSLIHPAGWIAWSGDFALNTSYYAEFNNSGPGSNTSNRVTWEGFHIINATDAVNFTVSNLISGASWLPATGVPYNADL >KZM92206 pep chromosome:ASM162521v1:6:31490829:31504946:1 gene:DCAR_020429 transcript:KZM92206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVFMLPYYHCYLMLCKNGVRRERAALGGILFLFAFLYAFWRMGIHFPMPSPDKGFFTIPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEETEIKALERQLMQSIETCIAKKKKIILSQIEMERIQGSEEKLKGSSIFKRIVGTVVRSVQDDQKEQDIRNMESEVQALEELSKQLFLEIYELRQAKEAAAYSRTWRGHLQNLLGYACSVYCVYKMIKSLQSVVFKEAGSIDPVTRTISISLQFFDIGIDATLLSQYISLLFIGMLVVISVRGFLSNLMKFFFAVSQVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLATEYRMIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQVDKHPID >KZM89937 pep chromosome:ASM162521v1:6:7696970:7701107:-1 gene:DCAR_022700 transcript:KZM89937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRRKNVPESSEAEIVDAEDDKKSKVPERKNRQWSCLDTCCWMVGCICVVWWLLLFSYNAMPASFPQFVTEAITGPLPDPPGVKVLKEGLKVKHPVVFVPGIVTAGLELWEGKDCTDGLFRKRLWGGTFGEVYKRPLCWMEHMILDNETGLDPPGVRVRPVTGLVAADYFAPGYFVWAVLIGNLARLGYEEKNMYMASYDWRLSFQNTEVRDQTLSRIKSNIELMVATSGGKKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGPNWCAKHLKAVMNIGGPFLGVPKSVPGLFSAEVRDIAIIRAVAPGILDKDLFRFHMMLQHVMKVTRTWDSTMSMIPKGGDTIWGGLDWSPEEGYSPGKRDQNNNGTETPDNSEDENLISDAKHAHYGRIISFGKEAAIAAAAELKRIDFRDAVKGRNVANSSCRDVWTEYHDMGFGGINAVAEYKVYTADEILELLQFVAPKMMARGSAHFSYGIAENLDDPKYDHYKYWSNPLETKLPNAPDMEIFTLYGVGIPTERAYVYGLTNSAECAIPFQIDTSAHCADSCLAGGVYTVDGDETVPTLSAGYMCAKGWRGKTRFNPSGIKTYVREYDHAPPATLLEGRGTQSGAHVDIMGNFALIEDVLRVAAGATGEELGGDQIYSDILKWADRIKLDL >KZM91705 pep chromosome:ASM162521v1:6:27216304:27219399:1 gene:DCAR_020930 transcript:KZM91705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAESVEDSSLPESSLASVNKDQPCTEVPKIGDTGVKVVLELRVSVTGGSNLVNVQDPSSSMTPQQGQGLLGENAGVERTQNHEGFVTAAYPRLRVRKDSIDRLPEEQKVSGTEPPGYVAIYPQIKVSEGISEEEASVSSSNSESLSDSQDEENIASSSEYNIVTEKTTEGSSCNRNYVQHQYVFDLPITVEQDASSTSGRAGIVDFEDEFREFNSSNTPVTKAKSKLSSSQDGDFVTYAQGRVSNVNKIPASASQVRGTKSRHRRQIYGEVSQANHADHIDTAAPYESAKGVVIKFGGIVKWNPHIVQRVEKRKAIEEELEAVHREVQWFRKQSEAAENDKVKVLKALKSSKRLIEELNLYLERAQMEELQANQDFELASLMVVEMEQGIADEARNAAKAQFEVAKARHDAALSELETVKEELITVRKGYATLVTERDLAVKKAEEAIFALKDIKKAVEELTAELISTRDSLESQHATLLEAEKQKNGAALALEQDTSDWEKEKKQVEEEFMKLHQNILLAKDLKSKLDAASALLRDLNFELAAYMDSDMSLESEEHSSGKIVGQDKETHSHIQTEVSLAKKKYEEVKLDIEETKEEIRLLKAAATSLNSKLESEKFELAALEQREGMASVAVASIEDELSRTIDEIAMVQVKEREAREKMGELPKKLQKASEEADHEKSHAHAAHGELQKAQEEVEYAKAAASTVQSRLLAAQKEIEASKASEKHALAALSALQETGAVQTLNNDQITSEVTLPLEDYNELNKQAQDAEEQGNQRIADALSLVEKAKETELQNLTKLEKVNSELATQKDRLEFAREKAEKAKEEKLGIERELRKWRAEHEERRKADKSSRGAVIPSKSPEASFEGKITEVSENSNPPSFEVKNAAQYLNKAPSAAVATQHTQSPVVYEPRRSESDSFADGSLAKKKKKSKFFRFFSFSGKRKKKTPS >KZM89687 pep chromosome:ASM162521v1:6:3383522:3384478:1 gene:DCAR_022950 transcript:KZM89687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQVTKQVDRRKAISVEKKTLCTLCESSGTDFPGSDYRPADRKTWMSSLGPEKMQINKIVWPGTHDSATNKIGIPGITRPFAQCQSLSIYQQLVRGTRVVDIRVQEDRKVCHGVLLTYGIDVVLKDVKKFLSETESEIIILEIRTEFGHEDPPEFEKYLEGELGELLVHQDDHVFQKTIAEILPKRIICVWKPRKSPQAKAGSPFWSSGYLKDNWIDTDLPSTKFESNMKHLGEQQAVTSRKFFYRVENTVTPQADNPILCVKPVTNRIHDYHRLFISQCFSRGIADRLQIFSTDFIQEDFVDACAGLTYARVEGKA >KZM90404 pep chromosome:ASM162521v1:6:15511258:15512106:1 gene:DCAR_022231 transcript:KZM90404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEANLIKIGLLPTEVGTKVSWKKFVDGCAVGEKTKGAKRRRPESSASENDHEDEMTRPSFLRKKPAIQQQAQGAPSSSKPAHEKAAASSLTRTVPDAPEVPSDQPLKRKRIQWRDAPCLNQVARHFADIGDTHVTEEELSKWRLKPQEDKDSFILKSTSELLVHLHDREERRLVDAASTKKTEETLSG >KZM91923 pep chromosome:ASM162521v1:6:29023474:29024099:1 gene:DCAR_020712 transcript:KZM91923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRQQLEENRHNDDVALSNFLASLMDYTPTIPDELAEHYLAKSGFQCPDVRLVRLVAVATQKFIADVATDALQHCKARQAAVVKDKRDKLQKDKRLTLAMEDLSKALQEYGVNVKHQEYFADSPSAGNTVIDISVIMGGVTRSLRPLTLFGALLL >KZM91297 pep chromosome:ASM162521v1:6:23919334:23922360:-1 gene:DCAR_021338 transcript:KZM91297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLRSTGAAPNCCTAHRVQCGWVRTGCAAWHIPXIDSTPPQLATRPLHLEMQYLRSTGAAPNCCTAHRVQCGWVRTGCAAWHIPLHLQRGYTGCGKGRNGNFAHNVGFSFVTGKVAFPYEDAVFPPWTSTKTIGANLGELDQKRRNALTRTPSFRTTAGICRRQDKDFHDLHPDLPLIQIHGFCGQPGSSGSPVFTSRDGSLIGLIMLEHSGMNYVLPTNFIIAYIRENKIHVDGLMTSHKTKGKGVEGFRRL >KZM92128 pep chromosome:ASM162521v1:6:30749657:30751340:-1 gene:DCAR_020507 transcript:KZM92128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDKTEPLGDFVVDWDSPRSEDVVLRKTCNSGEELAVTALLGEETIEDDDRLPREALMKVCIKKPGLSSILQFDCGVFSKGEDGIEFNIRHAHYLPSTSSLGSSLYRGPLFSTLDPQLQDELKQYLVTKGIGKSLTNFLLLHLHKKEQNQYENWLEKLKAYIAQGQETDS >KZM90762 pep chromosome:ASM162521v1:6:18955868:18956785:-1 gene:DCAR_021873 transcript:KZM90762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIYTFAILLIFLVATAKPTSASSRKLTALVQEQPLTLKYHNGALLKGKIAINLVWYGTFTPTQRSIIVDYLTSLSSVHRSPPPSAASWWRTTENYHGGASTVVVGTQTLDEKYSLGKSLKTQHLILLASKANTLRSVNVVLTAKDVAVEGFCMSRCGTHGSTRMKTGSTRLAYAWVGNSETQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATVLAGTVTNPYNNGYFQGPATAPLEAVSACTGVFGSGAYPGYPGKVLVDKSSGASYNVHGVNGRRFLVPAMWDPKTSTCRTMV >KZM90011 pep chromosome:ASM162521v1:6:9557413:9561457:1 gene:DCAR_022624 transcript:KZM90011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPESTTVRFETTNAASENSPEILTKEIEGPWKEDVLDLKPCWTKPVLEETEQSQGFVTFSLTNGPEYHVSQIADAVAVARYLRATLVVPDIRGSKPGDKRNFEEIYDVEKFIKSLDGVVKVVKYQPDSISAKNLEVLRVPNRVSDGHIAEQIEPIFRSKGNIRLATYFPSVNMKKTDNDINSVACLAMFGTLELQPEVQEVVDSMVERLRTLSRKSDGQFIAVDLRADILEKKGCQGNDGAGSKRCYSPQEIAIFLRKVGFNKDTAIYLTQSRWDDSLNVLKELFPKTYTKEGIMPADKKPKFLNADASEFEKVIDFYISSRSDVFVPAISGLFYANVAGKRIASGKTQILVPANVAGSSAAVADFISHYVSKKNHLAYSCFC >KZM92702 pep chromosome:ASM162521v1:6:35576496:35579183:1 gene:DCAR_019933 transcript:KZM92702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLAVIGSGRAVCASQLAGKYGVSVTIFDSARGPGGRMSGRRETTEDGKELVFDHGAPYFTATNADVHRVVADWEARGLVAEWKESFGSFDFISRTFSDYEKDGSCKKFVGTPKMNSICRALVSEPGVETKFGAGVGRLEWLEDEGSWSLNGMDGQNLGHFKGVIASDKNVFSPRFTDLTGRPPPLDLHLVPELASKIKEIPVDPCFALMLAFEEPLSSIPLKGFSILNSKVLSRAYYDSSKPGRSRQSENWVLHSTAEYAENLIAQTGLQKLPAATLDKVAEELFQEFQNMGLSIPRPFFKKAHRWGAAFPAASISEEEKCLWDGKKKLAICGDFCVSPNVEGAILSGLAAASKFNDIFSSL >KZM92170 pep chromosome:ASM162521v1:6:31141200:31141373:-1 gene:DCAR_020465 transcript:KZM92170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNRSFSDERKERCSLYFGERNKKYPKFAKLNSFGRFYRCEMSKVVDLIGWFGTNL >KZM91343 pep chromosome:ASM162521v1:6:24328835:24329341:-1 gene:DCAR_021292 transcript:KZM91343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGKEVVEGSSRSGDPPPTPSRYESQKRRDWNTFGQYLRNQRPPLSLQQCNSNHVLEFLRYLDQFGKTKVHMQGCVFYGEPEPPAPCACPLRQAWGSLDALIGRLRAAYEENGGSSETNPFASSAIRVYLREVKECQAKARGIPYKKKKKKSKRDDDSSSASAPHFS >KZM91782 pep chromosome:ASM162521v1:6:27815628:27816918:1 gene:DCAR_020853 transcript:KZM91782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLRFLDLKAKRTFRFVVFKIEEKQKQVVVEKVGEPALSYDDFAASLPADECRYAVYDFDFVTAENCQKSKIIFIAWSPDTARVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDIDVIRSRAN >KZM90233 pep chromosome:ASM162521v1:6:12899564:12905208:1 gene:DCAR_022402 transcript:KZM90233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPALCLCLLIFSSVTVATSVLADEELNSVKTWCIAKPSTDETALQNNIEYACPLVDCSKFLQPGCPCFEPDNRMNHASVAMNVFYQRNGRNKWNCDFNNSGLITLTDPSFCLTEEGPCKDLILKRILAEDDVMHYPATNWNMPLFIFFKDVSLLFKMDALGSEILSIAVPAALALAADPVASLIDTAFIGRLGAVEIAAVGVSIAIFNQASKVTIFPLVSITTSYVAEEDTAGRLGKEVQKDEEMLEVKSENVILDSLEKGAVALKGEIKEDEADNETHSVSTSSAFETIATKSTSVDCSHSDKAQTKTRQETINLTTNAVVTRAITREKRHIPSASTALIMGAVLGILQSIFLIFLAKPLLRIMGVRSDSPMIELAESYLKLRSLGAPAVLLSLAMQGIFRGFKDTTTPLYATVAGDLANVVLDPILIFGCHMGVRGAAIAHVLSQYLILVILFCKLVKQVHLLPSSIKSLQFSRFLKNGFYLLGRVIAATSCVTLAASLASRLGSTPMAAFQICLQVWMTSSLLADGLAVAGQAIIACAFTEKDYKKAASAASRVLQMAFVLGLGLSLVVGIGLQYGALVFTGDKHVLHLITIGIPFVAATQPINSLAFVFDGVNYGVSDFAYSAYSMVLVAIVSIASLFLLSKSNGFAGIWLALTIFMSLRALAGVWRMGSGTGPWRFLRDLC >KZM90241 pep chromosome:ASM162521v1:6:12973978:12975174:-1 gene:DCAR_022394 transcript:KZM90241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCIHMLNNSTLEWTIRARVTRVWPTISSFTNSVRGYNLILLDRHNHRIHARVNNDFWQSLDGLIVEGGLYEITTFAILNCSASERPLSSTRFIRFLNVTTVQPYLDTSLRFPQYGFEFVQVDEVQRLAEPNNDDQLPVHTIGMLRNQLVIHVIGVVEHPGQVSTIRTRHGDRRVHKFQVTDGHVFVRVTLLGRILDSSNMLFTANLQAPVVIVLAGVKIKRIPVEVGLDNYHLTACPWTQIFINMETDAATDMRNEYWRNFLGI >KZM91079 pep chromosome:ASM162521v1:6:21947151:21948062:1 gene:DCAR_021556 transcript:KZM91079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSASGGLRAAFSYCVQQVRNYDYHHYLCLLELPPNMRKAAFALRALNVETARAMDVASDPKIGLMRLLWWQEVIDKIFSNKLIEHPTAQALAAVISEHRVSKSWLKRSVEARINDAQREVTDIWKTVEELEKYSEDTISTLLYSTLQAGGITSTAADHAASHIGKASGLLLLLKSLPYHASRSNQFSYIPADVAEKHGLLVKHGGQSEIRMDSRSDLCNAVFDMASIANAHLLKARELARSVPAEACPVLLPAVPAQVFLDSLRRVNFDVYDSSLTRGVLGTPPLWFQLKLKWHSWKGKY >KZM91284 pep chromosome:ASM162521v1:6:23807996:23816699:1 gene:DCAR_021351 transcript:KZM91284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMFEGSNLSLYGYGFSDPTLYQSLSDPLFPYSSTRTNTESAATSPPKHHRHDGTSPLPLGMDWSPPPLTWNGRKTVWPHDPHTGWSYCVTIPSWTIVPSSSGPNPAAYYRVQVAVQSPDGSTTIRGVLRRFNNFLKLFSDLKNEFPKKKLPPAPARSLLRMKNKDYLEERRHALEDWMEKLLTDIDVSRTVHVALFLELEAAARSSFDAANQIDVNENVPADGAVSSDHIINESDDSLHNDDTSLHEHIKEHHAQLDDCKMSNNVQTLSTKSGESDLCLVRNNGISDSGVANSTGDNSLKFPGASKASKSMEADSDLQIPSEILDTLPPEEHNAVNRVLDTIKQRLVAANADREVLLAKLNQEVSVKQYLTTKVKDLEVELETSKQSGNEGKFTQMQFDADDFKRKLTELELKLKSEQDEKMLLESTKVSIIQQNEILQQELDLTHEKLDILRKHHEELESKSKSDLTLLVEEVKSLRSCQLELKDEVSRLMKEKLEGESRSCQLELKDEVSRLMKEKLEGERDLEQEKQRHKDTNTNYVKLVHQCEVLQNRLNECSATLLVEEEDKLTIDTSSPASAVEIFTTFDKQIGLLVTEVQLLLKDVEDNKTVDGNSIDDAMTRTSDDELRLVLTNISKDNVRLRKLVTDMATTATQILVGQTRSSPFSCRQSDRISTARFSYVFPTTSSGKSLMIQASRKDESQASSPDPAPFNSQGEDVTYVLKLVGGSVLGAAVIKYGSALFPQITTPNILLALSMISAPVILAIFLLVNKSRAIN >KZM89716 pep chromosome:ASM162521v1:6:3678203:3679086:-1 gene:DCAR_022921 transcript:KZM89716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCASQGVGETGSALGEDGVLDIDTTISLETTWHDMEKLVSMGLVRSIGIRMKCILLACTNTTFVCSNYDIFLTRDCLAYAEIKPAVNQIETHPYFQRDSLVKFCQKHGVCVTAHTPLGGAVANAEWFGTVSCLDDPILKGLTEKYKKTAAQIVLRWGIQRNTVVIPKSSKLERLKENFEVFDFELNKEEMDIIKNMEREYRTNQPAKFWGINLYA >KZM91620 pep chromosome:ASM162521v1:6:26593962:26598162:1 gene:DCAR_021015 transcript:KZM91620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSAIQHSLRRATSASQQSITCRNLSSSSTPHRKVAVLGAAGGIGQPLSLLMKLNPLVSQLSLYDIAGTPGVMGYVGEDQLAQALEGCDIVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAIAKYCPNALVNMISNPVNSTVPIASEVFKKAGTYDEKRLFGVMGYVGEDQLAQALEGCDIVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAIAKYCPNALVNMISNPVNSTVPIASEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVNVAEVNVPVVGGHAGITILPLFSQATPQANNLSDEVLTALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACMKGLNGVPDVVECSFVQSSITELPFFVSKVRLGKNGVEEVLGLGALSDYEKQGLEALLPELKSSIEKGIKFANQS >KZM89584 pep chromosome:ASM162521v1:6:2290832:2291053:-1 gene:DCAR_023053 transcript:KZM89584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYVRNDDDLFSKMLERAYDGEVKFRFGKKPAAPEITSFRDLMVCNWDFCVRMLSGFDPFCGILAFIVFCYVI >KZM92540 pep chromosome:ASM162521v1:6:34192087:34196098:-1 gene:DCAR_020095 transcript:KZM92540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSHDRFVSTNHQNETIATEACKSFNMASSLACRCVNSFPQFRNRLFTTTSLPKPNAVVFLKHIACSSIAAAETLTSDAVVVSGDGKYGRKEIISITPRLYDYLLDNVREPQILRELREETSTMRGSQMQVSPDQAQLLAMLVQILGAEKCIEVGVYTGYSSLAVALVLPESGRLVACERDGKALEVAKRYYEQAGVLHKVDVRHGLAADALKLMIQNGEGCSYDFAFVDADKRNYQEYFELLLQLVRVGGIIVIDNVLWHGKVANPLVNDAKTVSIRNFNQGIMEDERVSISMVPIGDGITICRKN >KZM91576 pep chromosome:ASM162521v1:6:26163139:26164878:-1 gene:DCAR_021059 transcript:KZM91576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED-9 description:9-cis-epoxycarotenoid dioxygenase MASSLAAINSLIKPTFQPRESSPSCSYNNVVALRNSNRKRCVNSLQTYQVPSKIATTVPTSPSSKWNFLQKAAAMALDAVEASLVSREMQHPLPKTTDPEIQIGGNFAPVPEHRVSHNLPVTGKIPECISGVYVRNGANPHFEPTAGHHLFDGDGMVHAVHCHNGTASYACRFTETERLVQERNLGRSAFPKAIGELHGHSGIARLALFYARGLFGLIDHSHGMGVANAGLVYFNNRLLAMSEDDLPYHLQITPSGDLKTIERYSFGGQLDSPMIAHPKIDPETKELFALSYNVIQKPYLKYFRFSPDGTKSQDVDIQLSEPTMMHDFAITERFVVIPDQQVIFKISEMVRGGSPVIYDKSKVSRFGILDKYASDASGIKWVEVPECFCFHLWNAWEDKETDEVVVIGSCMTPADSIFNESDQGLQSVLSEIRLDLKTGKSTRRPILSGDDQVNLEAGMVNKHKLGRKTQFAYLAIAEPWPKVSGFAKVDLFSGQVKKFFYGKEKFGGEPLFLPRDPNSENEDDGYILVFVHDEKMWKSELQIINAMTLELEASIKLPSRVPYGFHGTFISDKDLANQA >KZM90255 pep chromosome:ASM162521v1:6:13174957:13179516:1 gene:DCAR_022380 transcript:KZM90255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGGALDLASGLGGKIQKDDVLEAVDKYEKYHVAFGGEEEARKENYTDMVNKYYDLVTSFYEYGWGESFHFAPRWKTESLRESIKRHEHFLALQLGVKPGQKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQITRGKKLNRMAEVDQTCDFVKVVALEYVGLAPKGSQRVQAFLEKAAEGLVAGGKKEIFTPLYFFLARKPLSDGQ >KZM92804 pep chromosome:ASM162521v1:6:36425407:36425724:1 gene:DCAR_019831 transcript:KZM92804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKYLPKFGLEIQVQLLWNGMKEEIKIINIFPNPLNKVQISFSSLHILFPPSEHGLGIVLKITLAEYFLSNTVTNILAFSCNSICKTRMLGGPTGSSFESAVVI >KZM91086 pep chromosome:ASM162521v1:6:22011747:22013328:-1 gene:DCAR_021549 transcript:KZM91086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPIINETCFSAANPSSYSLAEIWPFPINVNEAGTTVPGGLGLRISGFGDSTNHDLEESTVTDQSATRAQTNNNQTDDNYENVSNGKRLKVLGSIEEQGGSKAEVEASSGSGNKSTEQVKDYIHVRARRGQATDSHSLAERARREKISERMKILQDIVPGCNKVIGKALVLDEIINYIQSLQRQVEVIADSVNLRFYFLSMKLEAVTSRNEPTMEGISSRNLSANILSTYITSVGFSLSFILLFLNCKSISRHGFWLTKLKLNRLGENTSIDVIAIHHSIMDDETYQLTPHEH >KZM90223 pep chromosome:ASM162521v1:6:12782971:12786900:-1 gene:DCAR_022412 transcript:KZM90223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGMIFACIIGNAWSTMNMLRVLVILCNIISLIRVGAESPYRFFHWHVTYGDIFPLGVKQRGILINGQFPGPELFCVTNDNVVINVYNHLDEPFLLSWNGIQQRRNSYEDGVYGTTCPIPPGKNFTYRMQLKDQIGSFFYFPSLYFHKAAGGYGPIRVLSRPKIPVPFPPPDGDYTVLIGDWYAADHKSLKSVLDHGRMLHCPDAILINGHKTASSFTVEQGKTYRLRISNVGLQNSLNFKIVGHKMKLVEVEGTHTIQNTYSSLDVHVGQSLSVLVTADQAPQDYYIIVSSLFTNDLLSSTAILHYNNSHKRVPARPPSVRNRRVLWSLYQAHSIRTNLTASGPRPNPQGSYHYGQIPVNRTIMIINSENHVNGKLRYGINNVSFVPADTPLKLADYFNIQGVFGIGSIPDYQDDQAFHLGTSVMSADYREFVEIVFENHDPVTQSYHLDGYSFFVVGLDRGPWTPALRDKYNLEDAIPRCTTQVYPNSWTAVYVALDNVGMWNLRSEIWARQYLGQQFYLRVYTPVISLRNELSIPDNVLLCGRAAGREIPRPPGILQRDDNEDEEQT >KZM89870 pep chromosome:ASM162521v1:6:6533741:6535821:-1 gene:DCAR_022767 transcript:KZM89870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNKSRNQCEDDDKKNNNGDDEYNTKSEDKKSQGGGGKKQENIIAVALKIDLHCDGVESVKRADTDMNKLTVIGSMDPSKLRDSIEKRTKKKVEIISPKKDKDNKNDGNGNDKGNSGDKAAKTEKKSKDPPVTTAVLKVPLHCDGCIQKIQKLVYKTKGYMEMSIDKQKEVVTVKGAMDIKALAALLTKKLKRNVEIVPAKKEKGDNEKRDGGDEAADGGKSGGKTEGNKKVQMGTEYAYGYHQYWQEPGYMPSSYLHAPQLFSDENPNACVVM >KZM92666 pep chromosome:ASM162521v1:6:35255233:35255967:1 gene:DCAR_019969 transcript:KZM92666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSTLLVPLIQTPGSNPNPSMINSCVNDNRDDLGFDFSDYLALEDDYSCNLSSFLSDSAPVILQDNLQTSAESSMNSNPDDVDNSHDHHLLQIKKCSKRMKCTGGDKGSRIAFRMKTELEVLDDGYKWRKYGKKMVKSNPNPRQVL >KZM92058 pep chromosome:ASM162521v1:6:30159834:30160739:1 gene:DCAR_020577 transcript:KZM92058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHQSDSSDTLTHVSDSLSPSEEALSESWFRSWSTPSDNEMLDIIDRLPLDGPSYANGFLIDAPVVEEEDFNLDPMEEEIEPREWVASGEMEALDCPSYANGFFIDAPVVEEEDFNLYPMEEEIERERRWSEACGWFASGEMEAKARRCPPPYFIHIRLLGADALDRDRRFVLRPWDGGELVNVERIAEISNLRPRDTRFQKDQFRIAYVKGFVHNLQTDNLDDKPNPFLLAKFRLYDGSESILVSLGDDTKDHPMITTGEVREGSVLVLYQATCFISMDETPHHRLSIGYSNILGIFN >KZM91085 pep chromosome:ASM162521v1:6:22008373:22009887:1 gene:DCAR_021550 transcript:KZM91085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNLSPPCWLCTRIDHVFVPRNYDFYYNKSVCEAHKKDISSLAYCQVHSRLSDIKSMCDRCLLSFATERDSDIDTCKFILHKDDAFVEEDGKILKGSDSVRGEKGSVNIRCFCCGEPLTRNPKKSDKHPSMRATALSNASVCSSRPPALADEEVRGVGLPPIHSIRMKSETQPDENEIGVNKEKSNQDVKEPVTPLLPDSEDLSEHTPSFTKENRFFKISLTDTGSPLSSPRFANLSSPRYGNRQARKRQIEKELLMADNSDKNAANGSEGEALDPLKREVRLDQKSIVDLYMELDEERSASAEAANNAMAMITRLQAEKAAIQMEALHYQRMINEQAEYEQEALKVMQDQVRLLEADLMTYREKFGPLEIEDLDEYETDRDEYYDDYKSEIPNDENYYIPTPY >KZM92291 pep chromosome:ASM162521v1:6:32182265:32183034:1 gene:DCAR_020344 transcript:KZM92291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDESSASSSLVVGETVKSLKKQKAYEELTLLCALFSNSNVHIICKGQCSDCCILASVNKSNNIKKCFICGTKGNYKWNKVMKDYLQRTDNDQRVNHLHQIFDGKPL >KZM92119 pep chromosome:ASM162521v1:6:30696808:30697533:-1 gene:DCAR_020516 transcript:KZM92119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHIDDALNPKYQLVSCVCGWTLIFIYLPPWIWVSLAMVKQGSISCSIEDFYIANLQSSDLSNLNQSIIYFKLHLGNNEDSMGVYYESLNLTFSYHASAGNIVPVGNYTIPGFHQGINKETDRNAYVVTTPGNSWQQISKSESSASHVIIFRVDLGSAVKFRELFSKAKSKTRKIMAWADVEVDPVSGKKTSEKAIKLKHMIEHHVSGGVTFVFAFMIIVTILTPYWCFLFLGCVCRVFGH >KZM90604 pep chromosome:ASM162521v1:6:17328426:17350245:-1 gene:DCAR_022031 transcript:KZM90604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSAIITGGASGIGKALSLALAQKGVFVTIIDYSEERGKEVASLAEKEIAKFHSKLEIPFAIFIKCDVSKGEELAAAFQTHASTYGGLDICISCAGINNPVPFHNDQTDGSHSWRHTLNVDLLAVIDSTRLAIQTMQAAKRPGVIINLGSASGLYPMYSDPIYSCSKGGVVLFTRSLAPYKKKGIRVNVLCPEFVRTDLALKVDSTMIEKMGGYVSMESVVKGAFELISDETKAGSCLWITNRRGMEYWPTPMEEAKYLVRPSKLREKSQAISTSSFQLPESFEKIIVHKLSHKFRSATSIVRTPLRIPIKPDHVLVKIIYAGVNASDVNFSSGRYFSGNSKEIESRLPFNAGFEAVGIIAAVGDSVRHLNVGSPAAIMTFGGYSEFTMVPSKHILPVARPDPEVVAMLTSGLTASISLEKAAQIDHGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGKEKAMLLKDLGVDRVIDYKAEDIKTVLKKEFPKGVDIIYESVGGQMFDLCLNALAIYGRLVVIGMISQYQGEHGWKPLNYTGVCEKLLAKSQTLAGFFLNHYTHLWQQHLDSLVQLYTTGKLKVLIDPKRFMGLHSVADAVEHLHSGNSFGKVVVCIDPSYSNQSSKL >KZM90937 pep chromosome:ASM162521v1:6:20576707:20577666:-1 gene:DCAR_021698 transcript:KZM90937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEIVYNAIRDQDEVLFEENIEEVLRQINTIHANHFQVGVTSRRFSLTIPDMHNATVYLATQGLLRATSLVEVNLPFGDLQQIFDADMGLCLQLPALQIQPNLGLGEVVDAELPVETIVVSSDESSEAEDLVMEEEINNMHVLPPVPDVNPMANMPNVHVPNVFINLNVTVDVNWVRENVSIGQGNNVSPDTVQVIGEPLVHLNKGKGKLFANSVLSANGLLCQEAIRIIDSKELVFVSEEFDKDELDLEVQIVPGITTWDVLEHAVLGTLEPIIEAIAVRRGFEEQPRNGQNAMEVPLDLMDVEQVLMEMAMLEERN >KZM89879 pep chromosome:ASM162521v1:6:6768839:6770945:1 gene:DCAR_022758 transcript:KZM89879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPGHSSNQLNAADGGEASNAGDCIAVILHGSDLGRSYRLERSGKTFTMQPLPLKAFEDILRLMHKNDQNQAYQLKLCIREDGKQQVPIVGFQEFGVLHVNTVKDLIERGNSTRSTGTTGANKEFSQSHDEYANYCHLIVQGYKDQVIIGVGFYDHAILQLAIKKLLRKWNQSLLVLLASMYTDVPLLMIARCQGSRRKNLKKSESTLFLGFGLVEHLYAAQVLVKVDISSERMEGADINKSLCALNECIRPLDSDQGHIPFRGSKLAEVLRDSFFSDSHTVMISCISPNSGSCERTLNTLRYADRVKSLRMGTSFRKDTLSSSLNIKSSTALPLSSLSTTAPAYSDKPIDVRSNRFRCSKQTEMEPSESFTHERAPNGRVQSSSTSQAFPDKYKGRPESPDHTVDDYFDHYEETYEQNEQFQTRNASETMPGNRQYLRLRTDIQTKKEENDPVNAHRKLIEENMDIADIASLFALAELE >KZM89912 pep chromosome:ASM162521v1:6:7357969:7358301:1 gene:DCAR_022725 transcript:KZM89912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTVKINEITKENHTMEEAIKTLEAENAKLEHQIKLTEIHQKHDEAVIDMLKKHIEERRAFNRFNMDASNFEPHKVAERERIREAFEAEAETRKAAKASEAGPKKDKN >KZM92336 pep chromosome:ASM162521v1:6:32600310:32606192:-1 gene:DCAR_020299 transcript:KZM92336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEQVESKGVQNLWIESDSDKSSGVKFDGSCVSLISDPVLGTQGSGELSMPQHKEVLNDKSSSGGNLGLTERAFSAAGAAFLSAIIVNPLDLAKTRLQAQAAGVQYSHPLSNLTSRMAFSGPNMMFADLRCSTSCTRAGVHGTVSICPPDCFQYKGTLDVFYKIIRQEGISRLWRGTNAGLALAVPTVGIYLPCYDIFRNYLEDFTSKNAPIMTPYTPLVAGSLARSLACISCYPIELAKTRMQAFKEINRSSKPPGVWKTLIEVISSVKSTNNLQSLQNYRALWTGLGAQLARDVPFSAICWGTLEPTRRRLLRVVGENSSAIGVLGANFSAGFVAGSLAAAATCPLDVAKTRRQIEMDPTRALRMTTRQTLMEVWRDGGMRGLFTGVGPRVGRAGPSVGIVISFYEVVKYCLHHHYAT >KZM91249 pep chromosome:ASM162521v1:6:23543015:23546670:1 gene:DCAR_021386 transcript:KZM91249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSWLTLKTCSNLNAKAPLFKGSFAHDPSYPSYISSKIRLSSSLSSISVKASSSLSTAVEDKSNSDIKKIPTPKVILDQDSNPDATVVEITFGDRLGTLLDTMEALKNLGLNVVKANVYLDDSGKHTKFFITNASSGRKIEDPELLEAIRLTIINNLLEYHPESSAQLAMGEAFGGLPPNEKLDVDVATHINVRDDGPEQSLLYVETADRPGLLVDLVKTISDINVAVQSGEFDTEGLLAKAKFHVNYRNKPLIEPLQQVLANSLRYFLRRPSTEEASF >KZM91535 pep chromosome:ASM162521v1:6:25867279:25870230:-1 gene:DCAR_021100 transcript:KZM91535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSIQEALRARDNAEKLFAVSDYAGAKNYALEAQMLCPGLEGISQMVATFEIYYAAQMKFGGEIDFYLILGLEPFCDKWTLKKQYKKMAVLLHPDKNKTVGADGAFKLVSEAFTLLSDNAKRRSYDQRRKKQISSGVLHTNLSSVHAARNTGSGNCSNSVSPHNRLDTFWTVCTSCHVQYEYLRKYVNKRLSCKNCRGVFIAVETGTAPVAGSFPYSPWPHAPDKGFGSHGCNGGTCIPASSVCFTGSGVTGHHSGHNSDCVSKTSFQWSTYPVPSAGVVDHNGLATTAANVVNQTNGNVSRAKVNGKHHQKDAVVDMNFGGPNGYSEPTVTKLGRPAKKMKVEVQTATRNGNEELASKSEFEVKSSNMNGNIKCNPRISTAAELATKRYSPAPVFDARKLLIDKARTVIQNKLKELKLASDAAALAKKNERALAEVGMINEGPLDQQKLKKAVSVPISVPDSDFHDFDKDRSEECIQPKQIWALYDEEDGMPRLYCLIRQVISLQPFKVHISYLSSKTDTEFGLVNWLDSGFTKSCGHFRAFNTDILDQVNIFSHLLSREKAGRGGCVRIYPRSGDIWAVYRNWSTDWNSTTPDEVRHKYEMVEVLDDYSEELGVSVAPLIKLHGFKTVYQRNSNRDAIKRIPRREMLRFSHQVPFCVLKETGTKLPEGCYDLDPAATPDELLQGATEVKATMLHKMEDCMVTPREPFQPAREYPAEEKQALESPTSALQDCEEVQVEKTPMEVLQDFSELRYFNEDKVEKTPIQVLQDLTEVQVEKTPNEVLQDLNEVRVERTPAEVLQDFDELFVEETPGEGRQHFNEAQTENTSSVEVLQDFNAFQVEKTPNEVLQDFNDVWVDKTPFEVLQDFNDMFAENLHYTDNGNTTTMELFNPYTEVQPEQKHHFWGESCAATPSERHLGVSKTEEGKPYHMESRAATSQESQPVTELQFKSSSKQMFHASNLRLFQDYPEMQEEKAPRQAEK >KZM90889 pep chromosome:ASM162521v1:6:20181513:20181896:-1 gene:DCAR_021746 transcript:KZM90889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQMVVLALVFLAIAGLASADNAPTSSPAASPRTSATPSAAPAKAAHAPVASSPAPSAESQEDESADVPAPELSSPPAPASEASAPVADGPVADGPVADAPAHSGAGAVKMSAAAGVAVIAGALLL >KZM92356 pep chromosome:ASM162521v1:6:32770661:32772820:-1 gene:DCAR_020279 transcript:KZM92356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGLAFQSRAAVKPDLRVGFYDATCPKAESIVRQVVENRFRTDPSITPALLRMHFHDCFVRGCDASILIDSTQANSAEKEAVPNQTVRGYELIDEAKKNLEVACPSTVSCADIITMATRDSVALAGGPNYTVPTGRRDGLVSNEGDVNLPSPRFTVPQALRSFSDKGLTLNDMVTLLGAHTVGFAHCSFFRSRLSSSGRPDPTMDPALDATLSKLCGPADSNPRAFLDQNTSLVFDNQYYHQVMLNRGVLHIDQQLSLDKSSAPMVSNFAKNGAVFQQSFANAMVKMGSIQVVVGTDGEIRKNCRAFN >KZM92543 pep chromosome:ASM162521v1:6:34206211:34218488:-1 gene:DCAR_020092 transcript:KZM92543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTGKTKPHKAKGEKKKKEEKVLPTVIEVMVETPDGTQLTLKGISTDRILDVRKLLAVHVETCYLTNYSFSHEIRGAKLKDSVEIATLKPCSLAIVEENYSEESSVTHIRRLLDIVACTTFFGGSSSPKPPGRPVLNEPGSVEPLSGLDSAGSTEKKSTANGVKSPKTDGDSVTAMMCPPPRLGQFYDFFSLSHLTPPIQYIRRSARPFLEDKTDDDFFQIDVRVCSGKPTTIVASRNGFYPAGKRNLLSHSLVGLLQQLSRVFDAAYKALMKAFVEHNKFGNLPYGFRANTWVVPPIVIDNPSLFHPLPLEDGTWGGSGGGQARDGKHDQREWAKEFSILAAMPCKTPEERQVRDRKAFLLHSLFVDVSVCKAVAVISSLISNSQSPTPSAPASHEEIIGDLHMLVTRDMSNASTKLDGKSDGCRVLGVSEEEVAKRNLLKGITADESATVHDTSTLGVVVVRHCGFTAVVKVAAEVNWNGKPIPNDIDVEDQPEGGANALNVNSLRMLLHKPSTPQSSGAYQKSQTTDGEDLHFATSLIRKVLEESLLKLQEEGLKETKSIRWELGACWVQHLQNPVSGKSESKKTEESKVEAAVKGLGKNGGLLKDIKKKLDEKNSKTEQGKEANSLDLQKSSGNNQKESEKQDEEKENMWKKLLPEASYLRLKESETGLHLKVELADKLPHVQSLCVHEMVVRAYKHIVQAVVAAVNNFADLAAVIASCLNMLLGTPSGDSSNAENDSIDTLKWKWVETFLVKRFGWQWKHQSSQDLRKFSVLRGLCQKVGLEIVPRDYDMDSVSPFKKLDIVSMVPVYKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNAHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGPDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYIAPDADIKARDAQKKQARAKLLKGKPDQLEEAVADEYQKDEISPPGDLNKTNSTTDEESKSKSLDVFQSQSADVMPNKSEPVLHEQTGLTTYSDLQPDDTSDEGWQEAFPKGRSLSGRKSSSSRKPSLSKLNTTSMTGTQSSRYRGKPTNFTSPRTGSNESAASALPVSKSLTKSASFSPKLKNPTTPAGTEKVPNPKSAPASPAAAEQVAKRAQVINSVSVAAAGKLFSYKEVALAPPGSIVKAVAEHLPKEGASEKSSEVTKEITESTEKDSKGPHPSKSEDLVSDKEMKMTVIKEQETKVDEKITALKDQESDTIPEVKIISDDATKVKTNPGLDTSSSGTSKNSNTNLKGELLGAEVEKFSATPTESGSPVVMVDKDASSPEAETPSIEKTLPDVTGDGGNVGSLEKDAEKQVNTSANSVPEVTEGDAKEMTKKLSAAAPPYNPSTVPIFGSVAILGIPEQGGILPQPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNRSGSRVSRNKPAFHSVEHAVDGNHFMPPLIMNPHAAEFVPSQPWVPNGYPVAPNGYLASANNYPMSPDDTQVAPTVTQNDIPLSPVSPEKSPSATVEVAADNSEESSHDEKNINQDVSEEQDLSVVTEEDAGGAESGSVPSLSNDKIDQSVAETKTDTIAPSIHVAATDKNCVKIPVEEKADKRWGDYSDGETDIVQVTC >KZM90335 pep chromosome:ASM162521v1:6:14422278:14423528:1 gene:DCAR_022300 transcript:KZM90335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYHLVGCDMVTNLEPKQMGVDEEENRDHMANHELEIQNPHPHVGDADSAVIISTPVSPIIDLSLNPLDLNMLPTEEEDNGQPGDHVAMHVLENNHHSLHIHSPQVISLSSNNHLERRDLKEKLEKATKQAESVDEAYAKKAINAQASGKKAIYEAVASTTNCFKICIENFVVSLGINGEDKSLEDHVSKLVKAIPFDARAPADMAVEVPGQEGDVG >KZM90944 pep chromosome:ASM162521v1:6:20713915:20717261:-1 gene:DCAR_021691 transcript:KZM90944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVRTDEHRRSEIESFRRLLLSCAGACKSKEEEEIRNSPVMNADNDGVVHDKVVCVTSGVSFLGIAIVNQLLLRGYNVRIIVDNQDDVDNLREMERSGEMRGTNNRIGIVMAKLYEAESLSDVFSGCCGVFHTSAFIDPAGLSGYSKFMADLEVKAAENVIEACAVTPSIRHCVLTSSLLACTWKDYSANNVSPVINHHCWSDESFCIEKKLWYALGKLRAEKAAWRVAEERGLKLTTICPGLIRGPEFFNRNTTSTIAYLKGAQEMYEKGLLASVDVNRLAEAHVCVFEEMSRTASGRYICFDQVIKNEEEVETLAQETGIRVNFISETENASGDATIQFQLSNLKLSRLMSRVRNCKITF >KZM89615 pep chromosome:ASM162521v1:6:2542650:2545730:-1 gene:DCAR_023022 transcript:KZM89615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSGPAVTPPPPSGAGASNNGDSHLKLPILTPSRPSDVILIPSYSRWFSWKNIDECEVRNLPEFFEERSASKNPRVYKYYRNSIIQSFRLNPTRKITFTEARKTIIGDVGSVRRVFDFLEAWGLINYFGAPSSKPQKLEDKDISKSSNDGGAVPTAADSTPSKKRVCGICKSVCTIACFARDKDDVTLCARCFVRGSYKVGPGSSDFRRVEISDEEKTDWTDKETLHLLEAIMHYRDDWKKVAEHVNGRTVRECVSHFVKLSFGEQFAGPLDSAEVNDNIIQSTGHTGTEPVSQTSTVPPAKKMRLSPLADSSNPIMAQAAFLSALVGVDVAEAAAHAAILALHDDFNGTNFKEKIGSCTGGNTRHEGNIIVLNSFVLILFRLTRLKNVCLLH >KZM90610 pep chromosome:ASM162521v1:6:17394236:17395060:-1 gene:DCAR_022025 transcript:KZM90610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNWNVVIVESSRNDGDLNLSDEAENQEMHNSDYCEDTEKGDKSAYNGTKLDDQACPSDQGSDKYSEIHHEDVPVMEEGPVKEDTGRREEPLEVQSDSNIQDDVTTSLINPPTPRDTSYPETDNQQLLSSCIQEGIIQNSMLSNWIPRDKDTSTSLLRSRSEEEESIVEDSLEYDREIMSADHLKVLHDMEKLKVKSRRGRPRSCKRNTLNKHFKLPKRRKKKGEGLKQISHFCLNNSLSEVESIYETGVLMGLLPLNDKEKSMELILRNLKD >KZM90987 pep chromosome:ASM162521v1:6:21111221:21112626:1 gene:DCAR_021648 transcript:KZM90987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSPHWTLAFGLLGNVVSFMVFLAPIPTFYKVYKKKSTEGFQSVPYVVGLFSAMLWIYYALLKSNAFLLITINSVGCVIQTVYIVIFLCYAPKTAKLQTIKLLVGMNVIGFGMIIGLTQFVAKGNASRVTIVGWICLVFSLCVFVAPLCVVRQVIRTKSVEYMPFLLSLFLTLSAVMWFFYGLLLKDVNIAVPNVLGFTFGIIQMVLYMMYKNAKKIPTESQKLPEFQDQIIVLDEHKFPELAEQIIDVMKLSALACSEMKPMTTPRATPTHQENQVPVVVPPPVLPVQPVAVA >KZM92606 pep chromosome:ASM162521v1:6:34781023:34781322:1 gene:DCAR_020029 transcript:KZM92606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFFFRFPFWPYAKGLAALLLVTPYFSGASYIYMHYIRPCIFDTTQVNSIMITSETKSSMLYENNGFVDSAEEQIEGETERVKLVVHEVTLCPHHELIF >KZM92253 pep chromosome:ASM162521v1:6:31846873:31852634:1 gene:DCAR_020382 transcript:KZM92253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRDMMGFGYVSSGGAPSSSSSNLSPLAPPFSVDRSKSKTNTNPLSNFAENSQSYGVPLGSSSLNWQYPQSSQPGVDYISYCSTSSENDSLQATSLPSANDYSYLGSELNNLPSVHWASPNPNTMDPKSNMFSYGGGSKQYYGPYVSQAVDDNASLVGLDEANGNHDLLSTSGLVPMVGSSQVDCSQGLSSLECQSSWGGYWNGLSAGKRGKRSDIDGSFHLKGTDLPGSHAYQDYLEQGVVSVRYSMFKENPTATESMHAVRRGRERNADFLAKEQLDSNLCQNLGLMPTNSSKSHIFGTSTSSHIESPFLEPVAFSSNHHMSYSSHEKGFQLFDSCTRDCISVTKTSVIPVIQRPISGTKYPVSDTVASKSMDSGDVTDVNRKDGSSYNLALEKEPHMPSSSDLGFLDANYLGFHRGRYDRDIFTAASSNENVSAAASSNENVSAKLLSNNSVDHEIKVREDHIPHTNVPHAFGLSVNNTEAFTANNTETSDQHNPAEDSPCWKGASASSFCPLYESSKDLPRNLMMELEACNSNDHNESVMGFSSELGGLKFQKESLSVDNNKLIPSIKSLGTILPTKEHLVADPARLKSGSVQENRRDRDQNLSNVRNHINQHNLLNKSKSDSGSIQSQGRPLCTKEGKFMHKSKIQLQSGDMDARISASDASEGCWIPLHNVENVLCSPPSEEDDGKLPAMELDPTMNVKTLVDALHNISELLVSSSFVKGWGLEEQCSKTLEHAIHNINLCLSKRILQSSRQELSFPKADISHLPEEGTTKPRLHAMTEVGNQLGSYPRHEQKRIHGVSDTQSEKNMDFNSSRNEADAMLHANMVQNIKVVFDENFQSEESISLETLLYKNLWLNAEAELCVTGLKARFDQVKIQMENCKSDKKKEDAVIDKIPSSGEPPDRIPNITNGSTPKANDSPKQKEPIQHNISSPRSDAKDVEASVMARFQILKRRGDNINTCNVEEKSLPYVTNSGFPCKIVGSQTGSKSFDVAVGSRIVHRNDHNSEKNLGSSQAGCQHEPVEGSAAYVTDNLTTFSTI >KZM91623 pep chromosome:ASM162521v1:6:26611117:26612576:1 gene:DCAR_021012 transcript:KZM91623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEQNHSSSGGCTNGVARSSKKFKQNKVPQRGLGVAQLEKIRLEEQQISPSNSSSCLASPTDHPSSSKPFTPPSIIQRSTDVRPNSISELVSNNGGECRMGWQGISSAGRGSWPNSLNDEYNLGGGIGHKVDHQVSNALLSSVRSQPFHGTCPSSMVNVSVGNSSSSVTNFWTEPPSNQSHRSNKSQPLWPDEEMMIGLKRSYPFSVDDAPVPAFNCKFPPAYLSDIPTTDASASFKNDGTFAFEQANPVLREDSSGTGGVPKYKKKIIKENGGYNGDFLTLAPPIPKDPPSYLGYYIPELPDFDALPYQGGQDNLVHWPRQIPSVEHNGQSMLTISHSSDVVESVDLNLKL >KZM89426 pep chromosome:ASM162521v1:6:654227:655060:-1 gene:DCAR_023211 transcript:KZM89426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSEMLPLITSPRISFSHDLNIEEDDEQVEVKMEEDQEDSSSMDVSDILDNDHEGQLFDFSFSDSFTFEPSSSSADELFSDGLIRPLQLEEKFVTTSKHASVPPTLSQHSSTNDDPSLLKDANVVATMSTTANVVESSEHKNQNQANSKSFWKINRSSSVHVDEDSYKKSSFWSLPLMLRSNSTGSAPSPRQMSKENKKHNMQKQLKCSTASSSSFYMYQLSQKPPLKRNHGGSYGNNGVFTNPVLNVPPPYIGKGTGNLFGITSLFKEKKDKKIKK >KZM90005 pep chromosome:ASM162521v1:6:9469519:9470592:-1 gene:DCAR_022630 transcript:KZM90005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVQPPVPAAANRPRPRRRPDLTLPLPQRDTSIAVPLPLPPNSAPSSTTSASAAAAAQPINFSELDRINRIGSGSGGTVYKVAHRPTGRMFALKVIYGNHEESVRRQICREIEILRDVDNLNVVKCHDMYDHAGEIQVLLEYMDRGSLEGIHITNEAALADLTRQILSGIHYLHRKRIVHRDIKPSNLLVNSRKQVKIADFGVSRVLAQTMDPCNSSVGTIAYMSPERINTDLNQGRYDGYAGDIWSLGVSILEFYMGRFPFAVGRGGDWASLMCAICMSQPPEAPPTASRHFREFISCCLQRDPHRRWTANQLLRHPFVTQTNNGTPPNGNGGGSQVNQAHQLLPPPPRPHPSSA >KZM92825 pep chromosome:ASM162521v1:6:36582954:36593418:-1 gene:DCAR_019810 transcript:KZM92825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPVTPNKFFQEEVIGAEYGEGFETFRPDGPLKVDVDFLNDRLQTSLLQRIRYAMKPDEAYGLIFSWENVLADTSSVKLKTWKQLASEEGRDIPKDRTLQRNMLYGSADHVLHKVLLWEKPGIELDRLKLRLSHLYHGNLLNLSEPMEGLKEWLSAVSTARIPCAVVSSLDRTNMVEVLLRMGLYKYFQAIVTEEDGMESMAHRFLSAAVKLDRKPSMCVVFEDDPRGITAAHNCTMKAAALIGAHPAYDLVQADLAVSTFNELSVINLRRLFANSGATFMDMEKQMTGKAPQKRKFRVDTIF >KZM90964 pep chromosome:ASM162521v1:6:20878316:20880891:-1 gene:DCAR_021671 transcript:KZM90964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDTSLYSYIIQKTREIFNKDYGKLKFSPNVSSRFVIGSAGNGVYMSVYSAHLKSGIFSADIKFNLLTNPNVLTNFAIDGRSLFPGMKAFCRFDILKPKSDVIGVEYQHKYAGINVHIMRLTGNPILNFSSFVRDSTTALETDVSYDMRTAKFSGGITLRYVGLKNTFMFGYKGSTLNASYCHKVNCNLWKNMNVIQRALASLSIIAVSAEASHNLSTHQNTITYHTKFALSSATILKACYDNHGVATFVMLSKVNQNSRVAISSVFYTRDSDSSAMFGVSFGTKF >KZM91886 pep chromosome:ASM162521v1:6:28655662:28656771:-1 gene:DCAR_020749 transcript:KZM91886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLLMVKEKAHEVDIMQWGSKSQATGEAKFEMQMTKKRAHEMDGNFALQVPKLQERQNDMLKHLVPNEKVSAVHWGPKGINLLASSSDKGTIKIWELTTLQ >KZM90824 pep chromosome:ASM162521v1:6:19600707:19601740:-1 gene:DCAR_021811 transcript:KZM90824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNCIVGRNGEELQLPVGFRFRPTDEELILYYLMPKAQSLPLPAAFIPQIDEIFQSHPSHLPGDVEQRRYYFCKRSWDYSKTCRSRINYISNESSYWKQAGKERAISVDVAHRRSIVVGTKKLFDFDNWVAYRVYQRKRNGRVKNTRENTKKMDVAEGVEMMSINNATEVESSPLPSPLCSSNDEETFL >KZM89925 pep chromosome:ASM162521v1:6:7535866:7539550:-1 gene:DCAR_022712 transcript:KZM89925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHTSNRVISPIIFFLIFISSAHSFYLPGVAPRDFSRGDPLQVKVNKLSSTKTQLPYDYYYLKFCKPQKIMNSAENLGEVLRGDRIENSVYSFLMREEQPCKAACRMKLDAEAAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGSKAEKYFINNHLSFRVMYHRDLETDSARIVGFEVTPHSINHEYKEWDDKNPQLTTCNKNTKNIIQGSAVPQEVEKDREVVFSYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRAPVNSGLLCVYVGTGVQILAMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKKNTLKTAFLFPGILFAIFFVLNALIWGEKSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAMEDPVKTNKIPRQVPEQAWYMTRTFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILVVTCAEITVVLCYFQLCSEDYNWWWRAYLTAGSSALYLFLYSIFYFFTKLEITKLVSGILYFGYMSIASFAFFVLTGTIGFYACLWFVRKIYSSVKID >KZM89469 pep chromosome:ASM162521v1:6:989589:993361:1 gene:DCAR_023168 transcript:KZM89469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSVSPDAISKMMANPSPDSSSDDYPEIVVQVLDLKLTGNSRFRFVANDGKMKLDGMFPSSLSSEVNSGNIQNLGLIRIVDYTLNDIPLKNKKYLIVTKCEVVSPPLEAEIVDVVKNEEAGILLKPKQDMKVKSHMQGPDIILKPKQETFTKSAAQIFHEQNGNMAPSARMGMSRRVHPLVSLNPYQGNWTIKVRLTNKGNLRNYKNARGEGCVFNVELTDEDGTQIQATMFNESAKKFYDRFQLGKAYYISKGTLRVANKQFKTVANDYEMTLNENSMVEEASEEGTFIPETKFNFIPIDQLGPYVNNSELVDVIGVVQSVSPTMSIRRKSNNETIPKRDITIADESKKTVVVSLWNDLATTIGQELLDIADQSPVIAIKSLKVGDFQGVSLSSLSRSVIVVNPETPESKKLKSWYDSEGKESPMASVGTGLSPSSKSGGRSMYSDRVSISYITSNPSLGEEKPVFFSAKGYISFIKPDQSMWYRACKTCNKKVTEAIGSGFWCEGCQKNDDQCNLRYIMVAKVADASGEAMLSFFNDQAETVVGCSAEELDSLKSQEEDGTPYQMKLKEATWVPHLFRVSVVPQEYNNEKRQRITVRTVAPVDYAAESRFLLEEISKIKGSH >KZM90203 pep chromosome:ASM162521v1:6:12538490:12538882:-1 gene:DCAR_022432 transcript:KZM90203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGSSEELSDEDDELCPVNCVREFKNDNDFLRILEKAKETKSLVVVDFYSTAACGSCKYIDQGFTKLCKGHGVEENGLILLKHNVIDEYDEQPEVA >KZM92056 pep chromosome:ASM162521v1:6:30141166:30148711:-1 gene:DCAR_020579 transcript:KZM92056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFNNAPITRAFVFILFSLSVSLLLEFLAVALLKDPSLNSLTSGPYWLIFSAFVPFFFDIPISTRFRVSGLRFSDKTFIYLAGLQLLLSSWKRSIIPGICGILAGSLYRLNFFYIRRLKFPEFIASFFSRLSLPSVGSTSSAVPTRNVLGNVPSYAAGRQVEVNHPTPVSSTPEPPEDSIATLVSMGFDRSSARQALVHARNDINTATNILLESQSH >KZM89885 pep chromosome:ASM162521v1:6:6845471:6848285:-1 gene:DCAR_022752 transcript:KZM89885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRRSLSERLEMRAEIISKAFELSMNAHKFCGARSDEYLSQEIHNSFEIVFAFPGSWSVNEWFSCSPFGEIKIDLSLFPCLRSIGNQEFAFVNEAFFREFIALLRTSRLAIEVEKAVKDKKQVVFAGHSSGGSLAMLTTVWFLERYTRKNGATTPRCVTFGSPLIGDHIFSHAIRRENWGRCFINFVTKYDIVPLTMLTPLSLIHKTLPRILDFHCPKSEFYKHMMISTSSAASSFYLNVLTQASLVASYVASSFRGSTNILVGNFSKFVELSPYRPFGTYIFCDEDKTSFGMENPDAILQTLFYFSRLSTEEEGPMVACLKLNANMRYEVVLDNCLKMQNFVYLENASDLEAEDIKDTLHDLGLGGTRVPVPLLRSALGNCPNMTTLKLQDTRAILSLYAALGFEKQKMKNEAEIEKEIIEKDLCAIQKYKMDCELSKVGYYDAFKMQNNESDFKADVARLELAGIWDEIVDMVKRGEFPDNFEGKKEWIELGTKFRRLLEPLDVANYYMQGRNDDAGSYLIKGRPRRYKFPQRWHEHDKRMVRYETISESCFLGEVEEIKFVSKKTCYEDIKERIVKLEGQVLDWCNNEVLDKDVILESSTFTQWWKSLPDEHKLASCIKHLFVMT >KZM91183 pep chromosome:ASM162521v1:6:22835176:22837488:1 gene:DCAR_021452 transcript:KZM91183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGDAKQVEGDVPKETDSSAQQEEEVIKKKYGGIVPKKTPLISKDHERAYFDSADWALGKQGAQKPKGPLEALRPKLEPTQQPTRYRKSPCAPADGGDGSPSDDSPSNE >KZM92266 pep chromosome:ASM162521v1:6:31947194:31947448:-1 gene:DCAR_020369 transcript:KZM92266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSMFSSLDALFAESFGQRVGFSWSKDARSSFLPENKGQSDNKLTENKKLPENQEAVRRNKTMSTRFAPELDGLHCFETIVPC >KZM90267 pep chromosome:ASM162521v1:6:13681478:13683745:1 gene:DCAR_022368 transcript:KZM90267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRLVSQTFRSIKPHTTATSKFEPPVPSSPDKIGPSIRKQSSWCVYLILSTNTPIKTYVGVTADFSRRLRQHNGELKGGAKASRAGRPWVCACLIQGFMDKSKAYSFESKWKICSRKLPRKEKRQSQDQHIEEDPIPLLRHRHAALERVKSLIDCSDLEIIWKQDLS >KZM92438 pep chromosome:ASM162521v1:6:33385645:33388147:-1 gene:DCAR_020197 transcript:KZM92438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPVSLMVAMLVVSGGAAAYTQDYKDALMKSIMFYEGQRSGKLPPYQRMTWRDDSALQDGFDNHVNLVGGYYDAGDNIKFHFPMAFTTTMLAWSVIEFGDFMSPQSGHAIQAIKWSTDYLLQATSIKDVVYVQVGDPYADHNCWERPEDMDTPRTSVAVTKDYPGSEVSAEIAAALAASSIVFRRIYPAYSNLLLTRAEEVFKFADSYRGSYNNSKVGRYVCPFYCDFSGYEDDLLWGAAWLYRATLNKYYFDYVSTNVYKMSRPWNVGEFGWDTKDAGISVLLSEYLFFNKVDWKPFIPYADEFVCSVIPGSPNPSVFYTRGGLIYKPNMHSLQIPSALSFLFVVYANNLKWAKRVVQCEHGVVTPSRLIDLAKSQVDYILGNNPMETSYMVNYGRNFPRRIHHRGSSMPSIKQHRDHIGCKGGTPYYESRGYNPNLLTGAVVGGPDEWDQFADDRNNPGQSEPATYINAPLVGLLAYFSEN >KZM89922 pep chromosome:ASM162521v1:6:7472452:7474319:1 gene:DCAR_022715 transcript:KZM89922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELKLGRQGRSSNASAKVVVAVKAWNEVPKIALVWALTHVVQPGDCITLLVVVPSQSSGWKWGFPRFAGGLCQWSPKISPRNKHLKHEELQCNIVVMKKWQPKVLRLNLVGSPKKEPEADCQLPCEVSRTSTSKKRVEKNEYLDSIRGPQVTPTNSPEVFTATEAGTSSVSSSDPSTFPCLIPEINKDMKKEQLLAEKYNCQLDALSSDSDSENMSTSSSSLRFQPWMNAL >KZM89441 pep chromosome:ASM162521v1:6:748514:750181:-1 gene:DCAR_023196 transcript:KZM89441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAFLAKCAAELRVYYNYDCDNEIGDVYVRSHIQSNLKNQLSKEKKRADERVKIARSLGYTHATRRMLKTHYFGKVHGRASLSTGRVKNLRKLRKRGRKIELDSKFETPITNLEFFKYVYNIDEPIVKNIVQSLEDVSNSQPAPKAPLSPARLLGKDEAARLNSSQPTDSSTTTISKVAYSIIGKVLAEVTTTVRSLDGMDPIPRSRLNEMLEKLATAAFPDRTDPV >KZM91632 pep chromosome:ASM162521v1:6:26692443:26697863:1 gene:DCAR_021003 transcript:KZM91632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSLLRENGLKWLASDVAIGWNNKNLLRCIVTSCRAVVLPRFGAAEVMEIRDDVSVPTLKPHEVLVRARAVSVNPLDTRMRAGYGRSLFQPLLPIILGRDVSGEVADVGSSVKSLRVGQEVFGALHPTAVRGTYADYVILSEDKLAPKPSSISHVEASAIPFAALTAWRALKSTARITKGQRVLVIGGGGTVGFAAVQLAVAAGCHVSTTCGDESIDRLLAAGAEQAIDYTSEDVEVAIKGHFDAALDTIGVAETERVAISLLKRGGHYMTLQGEAASLTDRYGIAVGLPLATAILLKKQIEYRYRHGIEYWWTFMRADPEGLHEIRKLSEAGKLKIPVEKILPITKVREAHEDKDRRKVIGKVVLEVD >KZM90243 pep chromosome:ASM162521v1:6:13038658:13039237:-1 gene:DCAR_022392 transcript:KZM90243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGQASELQTRVSDVLRRRGEESGLQAFLRARCINTQGQQGYVGISRHQNATCTSTAQQMPGSNLLASGNGAYHGFNKNGDTNKC >KZM91903 pep chromosome:ASM162521v1:6:28794617:28795952:-1 gene:DCAR_020732 transcript:KZM91903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINQELPSDTLWWTQQQHHTPIMEQTPANTHFHQRQNTHTTPLSFNLNQETETEEMDRDQEESVEQVVYVQKDSMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLAANGGGESVEKGLLLSFEDESGKTWRFRYSYWNSSQSYVLTKGWSRFVKEKRLDAGDVVVFERSRNESDRFFVGWRRRNATPPQSENTVAQSGSSGGAGWTRVYYSGYPYPTVVSNGQAVANYQPQCLHAPGLAVLYIRYSSRIPGGGGLGGMQNQTTAGGGNSKTVRLFGVNLECQSDEPPGVGADGSNQSEDQAQTQVHHQHYNYSNGGGAAHVSKHHSSLHMVSHCSFD >KZM91952 pep chromosome:ASM162521v1:6:29293988:29296885:1 gene:DCAR_020683 transcript:KZM91952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEIGIGSVNESVVVDKAIVGDLVLGVKKEEGEGDSENAGIAVEGLDLSSGTVLESKMSNPGEVSGANSKNSKTSKRPTLNKATTMARKEKPSLTQSRSFPAKGLRASAISKSVDGHPMQSNAKHSGASRSKVEARLVNGTAASVSRRASTGVSTKGAGRSAGSASIRQTTSVSLPSVRQAMSQKPVSTNGTATCPPPEGFSSADQPPNSNKVTLSSAKEAGRSTNSSKVAAGHSRSSVLGFSSRLEERAEKRREFFSKIEEKIHAKEEEKSNMQEKSKESQEAEIKTLRKSLKFKAAPMPSFYKEPPPKVELKKMPTTRPKSPKLGRKKSSGGAVNSLEAGSGATPLLSREHEKSTKKTTRKSLSNVHSKESVAAKTAGEDSKLKLKAAVAEGKVEKASANEDDESKRQSVCPPDLENIGGESRNISSQDDELLVNSANPPAQDIEVIVNSGSPEVSQA >KZM92084 pep chromosome:ASM162521v1:6:30405357:30409011:-1 gene:DCAR_020551 transcript:KZM92084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAISFSSCLPIKSPLSTCAPNEDGCMSGISQGSFGCRRVVNGNGKVLLSGKKFELNCLRDKELDVKASALADSVAELEEELCCESGLKIKEPSVSTLLMNFEAKCDPFHSTSIPLYQTATYKQPNAIEYGPYDYTRGGNPTRDALESYYLMSIVAYLVNGEIVAGNDMYGGSDRLLSEVIPKTGASVKRVDTTDLDEVASAVGPNTKLVWIESPTNPRQQISDIRKIAEIAHSHGALLMVDNSMMSPVLAQPLELGADIVMHSATKFVSGHSDVMAGVLAVKDERLGKKLYFLQNAEGAGLAPFDCWICLRAIKTMALRVEKQQENAQIIAEFLSSHPRVKKVHYAGLPSHPGYSLHHSQAQGAGSVLSFETGSVALSRHVTENTKYFSITVSFGSVKSLISMPCYMSHSIIPAAVREARGLTQDLVRISAGIEDVNDLIADLSGGPSNFS >KZM92706 pep chromosome:ASM162521v1:6:35613948:35615168:1 gene:DCAR_019929 transcript:KZM92706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARYSNPFHPLFVHNKRRLMNQIDEQMNVYGGLLNPLSGTTTETFLPVYGTSGDDSFPTKPPHTDRDLMYTLPPRKRSKGDTIYQYQQIQNHRNNNFSFLGEDISFLVQYQQFEIDNFISQHTEKIRSEIEEHLNPSSRRITAAVEDVIVKKLQAKEKELEKAVKLNSALHEKVKSLCMENEIWRDLAQSTEATANTLRTNLQQVLRHYQMARDQSEDAESCCDSSNERDGMEEHGGGSRVGMWCRKCGKEESCVLVLPCRHLCVCSVCESSVRVCPVCRSAKNASLVVNMY >KZM90579 pep chromosome:ASM162521v1:6:17040131:17040871:-1 gene:DCAR_022056 transcript:KZM90579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSIYLQLRLRVESLTKVTFKNLVLHCNILLQYIWFMEVMVPLPDRDGSDFSFHRGNNSPYDSAPQSPKKFNSYFFSAPTTPNYQHLNYDYHCGSDGKLLGFVPGHDSNLLPLSSAEEIHDSSSSSSSRSSFGWLQKKWRLKDLLFRSASEASETNKYDFLRKDKNGDLKNSSSSPSNSVFSGNKKNKEKATLSAHERHYKVNRAAAEEMRKRTYLPYKQNLMVGCMYVDAASVSDPSRATLKIR >KZM91590 pep chromosome:ASM162521v1:6:26289287:26295018:1 gene:DCAR_021045 transcript:KZM91590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALKFMHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYIATRWYRAPELCGSFLSKYTPAIDIWSIGCIFAEILTGKPLFPGKSVVHQLDLITDLLGSPSTDTISGVRNEKARKYLIDMRKKQPVVFAEKFANSDPLAIRLLQRLLAFDPKDRPTAEQALADPYFNGLAKVEREPSSQPISNDEFAFESRKMKKEDIRAIIYREILEYHPQLLKDYMAQKESTNVLYPSAVGQFKKQFAYLADNGGKSGPVTDRKHASVPRSAVNSRSIPPKPQQTLVPSDNRRIAEVSCNSTKGTNSIPGNLPKASRPPPRIPTGRGSGPVLPYESSSRNVMGTYVHNSVPQSLSPNYTFRTNTRDVEKLTKEDKVDSSLVKHAVPQSAEGKVSPGIAPDMNSNPYQQGQSSQFSDPMQIDSKLLQVQSQLGAVGAGAVNFTTRS >KZM91994 pep chromosome:ASM162521v1:6:29647435:29649427:-1 gene:DCAR_020641 transcript:KZM91994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDRCAPRIGLLSQRSMFCFFTLASLLFIISWLSILRSTGRPNFLDSPLLTNSKFLGVFDNGVRNRSNDNVPRKTILVKCGNNKDRLKVYMYDLPPVFHFALLGWKGDGKSVWPDLRTEVPHYPGGLNSQHSIEYYLTLDLLSSEFASNLDGRSAIRVRNSSEADVIFVPFFSCISYNRFSRLNPHQKGTNNNLLQQKLVKYVTAQEEWKKSGGRDHIILAHHPNSLLDARNQLWPSMFILSDFGRYPPNIANVEKDIIAPYKHVIKSYVNDTSDFDSRPTLLFFQGAIYRKDGGIIRQEIYYLLKEEKDVHFSFGTVQKDGIGTATNGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISNEIELPYEDVLDYSEFCIFVRNSDAVKENFLINLVRSISRDEWTRMWTRLQEIEHLFEYQYPSQKGDAVQMIWQAVARKVPAIRLKVHRSNRYSRFQSPAEKHWISFPLPRNFP >KZM92367 pep chromosome:ASM162521v1:6:32864268:32864900:1 gene:DCAR_020268 transcript:KZM92367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAKVPCGIRKVKKKQVKDDIDRIKQAEKKKRRLEKALATSAAIRSELEKKKQKKIEEQQRLDEEGAAIAEAVALQVLLGEDSDDSCKTMLKKNEGFKPWETAGEFDFFISGNRSVLPRQELGTHSFEGPDRIPDVYRSGCMWNEYNCNGWRVSSGSFTRDLKVPYIDEQGWESNDLSADVIAAQAVSSLRIAEESRADKFLFNEMLRG >KZM90013 pep chromosome:ASM162521v1:6:9571936:9578500:-1 gene:DCAR_022622 transcript:KZM90013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRCTAALMRRRTCILLSRLCPNHFTHNSNSNSRLVSSVSKFIDCDSAPQVRPSSRVPGAQGGFLLASLVPRPSFIFLRQYVKLSGSGSSGGGVQCSLPHYYSFSRGFLTRSKKLESIQVEDHGQRAVTTALWCNFLVFSLKFGVWFVSSSHVMLAEVIHSVADFANQALLAYGLSSSKRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWTSQPPENIKYAALVIAGSFVIEGASLLVAIHAVRKGAAAEGMKVKDYVWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVKVTGNPIYDPIGSIVVGNLLGMVAIFLIQRNRHALIGRAIDDHDMERVLKFLKNDPVVDAIYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLNRTGRVEWAKQFRQAANEKDDDELLKIMSGYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPVIPSPDPQSKGEINDLKMY >KZM92091 pep chromosome:ASM162521v1:6:30446464:30447990:-1 gene:DCAR_020544 transcript:KZM92091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LCYB1 description:lycopene beta-cyclase MKVMDTLLKTHNKLEFFNPIHGFPDKVGTLSCLKFRNQELRFGSRRSNVNWGKNGSVKASSSALLELVQETKKENLEFDLPLYDPSNGLVVDLAVVGGGPAGLAVAQQVSEAGLAVVSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSSAIVYIDDQTTKELGRPYGRVNRKQLKSKMMQKCISNGVKFHQAKVVKVVHEEAKSLLICNDGVTIQAAVVLDATGFSRCLVQYDKPYNPGYQVAYGIVAEVEEHPFDVNKMIFMDWRDSHLNGNTELKERNSKIPTFLYAMPFSSDRIFLEETSLVARPGLAMGDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPVVANAIVQYLGGSKKGALGNELSAEVWKDLWPIERRRQREFFCFGMDILLKLDLPGTRRFFSAFFDLEPRYWHGFLSSRLFLPELFFFGLSLFSNASNTSRIEIMAKGTVPLVNMVNNLIKDRE >KZM89420 pep chromosome:ASM162521v1:6:606969:611370:-1 gene:DCAR_023217 transcript:KZM89420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKRFSDHLDSNSDQQNEKRRRTGPRLATVIREVIAGNFMQNFCSALEPMIRRVVQEEVEHGLRRSALRSLSRSSSLRIQAVEPSRLKLGFSDRLALPIFTASKIVDLEKNPLEIILLETRGDRMIQTALAYPIKIEIVVLDGDFPRGDNETWTSKEFEKSIVRERTGKRPLLTGESHVTMRDGAVVLGDFEFTDNSSWIRCRKFRLGARVVQRSCGGVKIQEAISEAFVVKDHRGELYKKHHPPMLEDEVWRLEKIGKDGAFHRKLAAEGINTVQDFLKVSTVEQSKLRTILGVGMSEKMWDVTLKHARDCVLGSKLYIYGGPSYTIILNPICEIVKVVVNGQTYSKQYLSSLNSGYVENMVRSAYANWNSLQEVDGQLNQTPLLTQGDLVDQYQSNSHTIARSLQQHAFFTDEGTSLETAQAECSDLWVETPAFISTAVESGVRYNFPDSPSDGELSPAMPFARGI >KZM89696 pep chromosome:ASM162521v1:6:3464248:3467027:-1 gene:DCAR_022941 transcript:KZM89696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSLSLFPRTLSVFPGNPRYSACTKSRAPWLLIRACSTSNSNYAGVALEESVNSTTTKVRLDTWISSRIDGISRARVQSTIKSGLVTVNGRIIDKVSHKLKSGDKVSCTISELQPLKAQPQDIPLDILYEDDHLLVVNKPPHMVVHPAPGNATGTLVNAILHHCCLPVASFPIMEIPSDEDDVSQGEISSYSADDTYLEPSFSGLTEASIRPGIVHRLDKGTSGLLVVAKDEHSHAHLSEQFKQRTVQRVYISLTCGVPFPALGRVNIPISRDLNNRIRMAAVVGSGKCGKTRHAASRFRVIEILAGGGCALVEWRLETGRTHQIRAHAKYLGIPLLGDEVYGGTKSLALDRLQQKNSSNLPGKLLQLISNLERPCLHALTLGFMHPHTRKQVHFSCLPPVDFAEVLSVLRSVNTEKVSLKVILVWDF >KZM91526 pep chromosome:ASM162521v1:6:25821075:25823105:-1 gene:DCAR_021109 transcript:KZM91526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDLGKLFIGGISWDTDEERXVLEAVIMKDRTTGRARGFGFIVFADPAVADRVIKEKHNIDGRLVEAKKAVPKDDHSSAVSRNSGSIQGSPGPVRTRKIFVGGLASTVTESDFRSYFEQFGNITDVVVMYDHNTQRPRGFGFITYDSEDSVDNVLLRTFHELNGKMVEVKRAVPKELTPSPNRSPLGGFNYGLGRMSSVLNGYNQGYSPNALGGLGVRMDGRFSPITAGRTGFAPFGSGYGMNLNLGMNPNYGGNVNLNNSVSYGRGMGPFYNSNRVNNPTGFDGGNLGNTASLFNSQTRNLWGNGGLDYGANPTNTGGYVGSGTSSIGARNFGFNGLNWDNSPISPQAQGGRNVSSQGGIIGYGVENSYNLGGGAYGRNSATTGARMSSHSSSNGGYDATFGDIYGSHPVYGDSTWHAANSEKEDSVAFSYGLGDGGSDAQINSSPGYVEGYGVTRRQTNRESQTIDRSQLLALGE >KZM91027 pep chromosome:ASM162521v1:6:21520520:21524464:1 gene:DCAR_021608 transcript:KZM91027 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MGGRLLLFPLPFQGHINPMLQLASILYSKGFNITIIHTFCNSPNKSNYPHFAFEAISEETLTASPTMQGSVTNVVLLNKVCFDPFRDCVKRLLSNASADEPILCLITDALLYFTQAVADLLMLPRLVLRTSSLSAFHVLRLHPVLLQKGYLSEGLDVRCTDFKFTQISISDSHSDAPVPEAQPLKVKDISRTYKIEGEDLAEIISGMVMGIKASSGIIWNTFVELEQPVLNTIQQNFPIPSFTIGPFHKYFTASASSLLEQDRTAISWLDMQAPLSVLYVSFGSVAAIDKSEFFEMAWGLANSKQKFLWVVRPGVIRGSEWLEPFPPGLQEAVSERGHIIKWAPQQEVLAHPATACFWSHCGWNSTLESVCEGVPMICSPSFGDQPTNARCVETVWKVGLVLENGFEREEIERAIRRVMIDQEGKEMKMRMSCLKEKGHINPMLQLANILHSKGFSITIIHTSFNSPNKSNYPHFTFECISEGGLEGDLKSSESETPVPEIPSLKVKDVSLTFKIEGEDLDVMLSGMMAGTKAASGLIWNSFEELEQSILHTIQQEFPIPNFTIGPFHKYFTASASSLIAQDQTALSSLDMQAPLSVLYVSFGSLAAIDKTEFFEMAWGLANSKQKFLWVVRPGLIRGSEWLEPLPTGLQEVMRQRGHIVKWAPQQEVLAHPATACFWSHCGWNSTLESVCEGVPMICSLVSETS >KZM92174 pep chromosome:ASM162521v1:6:31182040:31183577:-1 gene:DCAR_020461 transcript:KZM92174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEMEVFSDFQLVVLTVLMLIGGEVFISIFKLQFSKSMISKNHIVYGRSSLDSNSEDKIDVNQIELGLVRINDHTAFRNKLTNKTDVFDTPEEEESKYITKSINYLSHTIVAYFFLAHALCSILIVFYMDSIPSAKQILENKGIKVTTFSVFTAVSSFTNCGFIPTNENMMVFKKFSGLLLIIIPQCLVGNTLFPPCLLIFIYVLKSCTKRPEFGYILSHRSQLGYSHLMCNKKACYLATTVLGFTLIQFVIFVSMEWNNSGAMEGLSSYEKLVATLFQVTNTRHTGELVFDLSTISPAVLVVFIIMMYLSPYTSFLLDGENKGPDGEDKITCTTRKTTWVEYLRFSEPTYLTIFIVLICISERHNLKDDPLNFNVLNIIIEVISAYGNVGYSMGYSCGRRIKSEGECKDAYYGFAGRWSNTGKWVLIIVMLFGKLKCFHKGGGKAWKLL >KZM92025 pep chromosome:ASM162521v1:6:29887840:29888400:-1 gene:DCAR_020610 transcript:KZM92025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNNSEWDINSWIAHTLNHSSTGVVTKPVQTDQTTSSVKSPSVSGSDPDSGVARRRNGVANGKITKRRSRASKRNTTTFITADPANFRRMVQQVTGLSVGNGQVPVAPLLKPEPQRLVNRVQGGWPTLDSSSFMAGYQYQPQPVVGPTYAGPLGGYAPATAVDGGGGGGGFGLESISSFPTLES >KZM92045 pep chromosome:ASM162521v1:6:30042665:30044242:-1 gene:DCAR_020590 transcript:KZM92045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDEDNAAITGGDSTTTDFNPEEAYLVGFIVANIVGVQHYSGTIKGREMVGLVRDPINRYDRNAIKVVNIRNAQVGNIERSVAAVLAPLVDDNSIKIQGIVPNNKKRGNFCKIPCQIHVFANGLELDRVYNEIVDGGLQLIRSDGVCGGLSEAAVVRESVEDDGKRLNDVFKGVEEVGLLEEMEAPKEVVKSELFVHQKEGLGWLVKRESEGELPPFWVEKGEGVFVNEITKFETKQRPEAMCGGIFADDMGMGKTLTLLSLIALDKFGLVDSSGASNSGSSVADKGGEEFNVFGGKNSKKRQGTKMVDNLKKKKKVKSSLKNEGLPVDIVVSRSTLVVCPPSVFSTWIAQLGEHTKPGKLKVYLYYGDRTDDPIELTKYDLVLTTYSTLGSEDSSESPAFKVNWWRVILDEAHIIKNANAQQTRAVNKLSAKRRWVVTGTPIQNGTMDLFSLMAFLRYQPFANKSYWTTLVQHPIDNGDKSGLSRLQVRRLHLLHMLSFFMHILQFTYSQYLFFQQPLIWKFE >KZM89857 pep chromosome:ASM162521v1:6:6161446:6190750:1 gene:DCAR_022780 transcript:KZM89857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTANPTGQTKAVDASLWWDPFSLLLTQLENVSFSDGLTHSLAKKLNENHAWFLDTVSCFKSPNQKSREALDSTQFTVGTHTLTVQPELKASALELSSVLCLDEVQSYILVKRTNEGNNLASANTVSVPLHMVMLQYYIERQCLLKCTRQILMYALYDGTGEKEVQAMRDQTEKLVSDGLENKLLSVLQDLLSSTYPENMSVDFFTLWAEGTLIEDNLVLDILFLAYYESFCTCNGKQWKKLCLLYEGMISGGYNLGKLALSTEAIHSIFHAKIQLLLILIETLDLEYVLQMVHDETTFRQGITTFSFSDIQEIDAIVSGSTMFENKEAGPLILTWAVYLCLVSSLPKKEEHDTLQDIDHVSYVRQAFEAGSLSYLVEILQCNLLKDSDGPCAGYRSVLRTFMSCFIASYEISLQLEDSNLKLILDILCNIYRGEESLCCQFWDKDSFIDGPIRCLLCNLEGEFPFRTDEFVRLLSALCEGTWPAECVYNFLEKSVGLSSLFEISRGSVVNSASSFVETQRPLCVPGLEGFLIPSKTRGRILKLIDGNTSLVRWECKQSGVDVLLLRLAQKIYLDDTEEVLVIFDLLCRLVTFSLAACYALISTGVTSAEGAGPVNGNLHKVNVLKLICATVKNLTPTSNGAIMMSMGVIILTKMLSCSPSHVSTIALKANIFDVAFNTNPLGVGSNGLSSGSWLLSGRLAKMILIDLLDFTIKLVETGVENDIVLALVVFSIQYVLVNYEYWKYKVKDVRWKVMLKVLEVIKQCTLSIPYSRKLGKVVKDILHSDSSVHSSLFRIVCTTAEALEELYVSRLYELVEIEGLETAVGFVLDILFSMLSDISKETLPGFPVLYQAVLSSTTKPIPVVAAMASLTSYYRNPAIQAGAVKLLCMLFFVADHSQVYTSGNACFGLSDDQIIEFRTGIDGILCEPSLCNEDLLITTIKMLTSAANYQPAFFAAVIAYKENVEYKVTEASNKKQPKEKNLVDAVLEYVGRSHDLIKSNPILLFNVLNFMKALWRGAAQFADALEQLKKSENFWKQISVPILFVESMQNMPLKSLNEKEARNTSYQYYCQSAVLEIMAYELFLQKKVLYARQQSEVLRDGINNNDSSGKTEDKGDSSLKDILSTWCGSSVLNNLINLYTACQFDNHKYVHMKIAAGLFSVHVMEKLLSGDAGTLCVFIIEKLNTLTKKLCDLPAFSNLLSQYTQRGYSEGKELTKLILSDLYYHIQGELEGRAIDHRSIKELSQCLTEFDFLQVYHNNYEMDFSAPAKNVCLFDWSHLQKDLGLRTWEFSQWKASKEVAETMLLHLQDVNCMLMLAYSKHAALEALITIISLYHDDSAEKKTLHQWKIPERLIISSVKHVCQCTHDTIASLTRVTDYTEDIIGFFVAQTELLIHLLRCLEKKLPLPICTIVMKSSGSGLKTLSDLGLSTAGVREGIKALLQLLLLSVELSCASSQLFEATEMETVESYADASTASLSLLPTICSFMEPTDHCTLSLTTIDLILRSFLTPTTWFPVIQEHLQLQRVMHKLQDINSSATIPVILNFCLTLARVRGGAEMLLNSGFLAAIRVLFADATNDNGLCLMQNDKSLSSFFNKVEKPQHIWGLGLAVITAVIHSVGFSFSCRNLVDYVMDYFLLQKSFMICHYLSAPAAPLDLHDKKRAHAQKAQTSLSALQETEHTLLLICVLSKYRSSWIKVTKEIDSPLREKCIHLLAFICRGTQCLKDFSRVLPLLCYPVLKEEFEWYKRPSFVHSKNGWFSLMPLCCGLDPRFSDVSSRPAPSVKERATDNTGVAPTFFSDSAALQIYRIAFLLLKFFCIEAECAAKRAEEVGFVDVAHFPELPVPDILHGLQDQGIAIVQELCEARKTQQVPSELQSICILLLQITEMALYLEFCVSQVCGIRPVLGRVEDFSKEIRLFIKATEGQEFLKESVKSLKQIISFVYPNLLH >KZM90365 pep chromosome:ASM162521v1:6:14633368:14634687:1 gene:DCAR_022270 transcript:KZM90365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKDQPSEKTDRHCTLEVDGDFLSDLNSCLLLETAIHMSVGKVESIMAGLGFADATIRGLSQNSFIAHFPNTVKLDELDLDFMSIGFTAINKVKWDNTIPSRRVDVEFRGLPLIAWTSQNCKLLVDKWGDILNYYPIIDCEGFYQVPRIRLETKCTSCINESVSISVEGKTWNIKIVELVSDNQDFLEEPEEAKVVDLTTPTQPKENVKVGEPKEGVKTQTEDPDTTQSIAKNSDQAVTGPEEVASPDDLQDKDYENQNEQEPEELEDSSGSLINPVTPPTLLCEEDIEGADLEGHPNVIEEDEGVKDWNLKWQIRDISSDEATATHSIQSQKSSILNEDLVEEVDNCALLTSINNMRIKSRRGRPSKGKAKAKEIRAFKVPRRRKIKGMKLGLPVIVANQGTFDEAKFVYESALNMGLIPDHTEEKSLQLIRDNLGN >KZM91368 pep chromosome:ASM162521v1:6:24529288:24530645:-1 gene:DCAR_021267 transcript:KZM91368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVSGQVVCVTGAGGFVASWLVKLLLERGYTVRGTVRNPDDPKNDHLKELEGAMERLILCKADLLDFKSLCDAINGCDGVFHTASPVTDDPEQMIEPAIVGTKNVIVAAAEANVRRVIFTSSIGAVYMDPNRSPDELIDETYWSDLDFCKNTKNWYCYGKVLAERTAWEESKQRGVDMVVINPVLVVGPLLQPTVNASTAHILKYLTGAVKTYANSVQAYVHVRDVAAAHIMIFESPSAEGRYLCSESSLHRGEVVEILAKYFPEYPIPTKCSDEVNPRTKPFKFSNQKLKDLGLDFIPVKQCLYETVKSLQEKRHLPVTNDQQ >KZM92758 pep chromosome:ASM162521v1:6:36062050:36062483:1 gene:DCAR_019877 transcript:KZM92758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMRFFLVFFSVILAGFVAWKSIGSGNDGSDSIFSEDSDDLKVSANDRQNRDMIKMVQNGFWVFVDMASGKYLWKALRSGV >KZM91848 pep chromosome:ASM162521v1:6:28338776:28340367:-1 gene:DCAR_020787 transcript:KZM91848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNNGNNQIRPSFLSHQSTSFNFLYNSHYPETETQAGAHGGMGDVMEDVNRVQQHGASHEKKKRMTSEQLEYLESRFQEEMKLDPDRKIKLARELALQPRQVAVWFQNRRARWKTKQLEHLYLSLKHQLDLVSMEKHKLQEEVVALRAILKQEVTRKQVWGGCNNPATTTDMTSAEDTVESTSVFPSSMHVKTTRVRSCTENNNMNVTANYQGTYVFEADEYNNSANWGAAGTAVMPCYNP >KZM90694 pep chromosome:ASM162521v1:6:18218320:18220997:-1 gene:DCAR_021941 transcript:KZM90694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDTKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGEDEGDEGEDY >KZM91235 pep chromosome:ASM162521v1:6:23446132:23447740:-1 gene:DCAR_021400 transcript:KZM91235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSILLAILFGICFPLSIILMKKKAEAKRLPPGPRKLPIIGNIHQISQPLHQSLRRLSNKHGPLMHLQLGSVPTLVVSSAAMAKEVLKTHDLIFANRPSLYASRKSSYNYTDVVLAPYGSYWREVRKIAVIELLSAKRVESFKSIREEEVAHMIKTVSNSSLESNPINVTKLIFMVVNNVVIRVTFSKKGNHGEEKRKSGTREFGDIINESLDLISVGNIAELFPWMGWYNKLNGVEAGLEKNFRDLDSFYDMVIQEHRERSGGSEHEDLVDVLLRVQDDPNQEIRLTNANIKGVLNDMFLAGTDTSSVTLIWAMTELIRRPSAMRKAQEEVRGVVKESGSLQVNESHLPELAYLKMVIKMVIKEVLRLHPPVPLLVPHEATERCSIAGYDIPAKTRVLVNAMSIGMDSEYWENPEEFKPERFLNTDIDFRGQHFELLPFGAGRRGCPGINFAGVILELVLANLLHTFDWNLPDGARAEDIDMEESSGIAIHKKTPLYLVASTPSTAI >KZM91720 pep chromosome:ASM162521v1:6:27349881:27351940:1 gene:DCAR_020915 transcript:KZM91720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISRLFLFRFSLWLLLFFTPKGHWAVKAFTGTYGINYGRIADNIPTPDKVVTLLRASKIKNVRIYDADHSVLNAFSGTGLELVVGLPNGFVKEMSTNADHALTWVKENVQAFPKTNIVGIAIGNEILGGSDVDLWAALLGAAKNIYNATKELQLKGVQITTAHSQAVFADSYPPSSCIFKEGVDQYMKPLLEFFSQIGSPFCLNAYPFLAYMGSPDTIDINYALFQSTDGIDDKKSKLHYDNMLDAQVDAAYYALEDAGFKKMEVIITETGWASRGDDNEAAATVDNARTYNFNLRKRLAKKKGTPLRPKMVLKVYVFAVFNENSKPGPTSERNFGLFKADGSISYDIGYSGLKSSSAPSTVLSLKV >KZM89475 pep chromosome:ASM162521v1:6:1070927:1071571:-1 gene:DCAR_023162 transcript:KZM89475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGNHFYVICFNLKKITVEIIDNRSGDRVDTMYDGIPETMQENFGLYIAQQSPKKSMLLSNAPVQRLQMKWRTSNKNVDSGVFAMHHMETYMGYGLRNWECKFAAEVGIEQKRQLERARQIYATKIVYSGINFLKGQMTTEIKFVNQN >KZM90940 pep chromosome:ASM162521v1:6:20677990:20680509:1 gene:DCAR_021695 transcript:KZM90940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKAQQQQQQQLDVEVRKGPWTMEEDLILINYIANHGEGVWNSLAKSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKHMKQADQQNFSTGQSSNSEHIMWTNDNQASSSHLASGAPQDHAMENYSPPSYPVNMDTGAALPPPSFPADQSNENMWNVEDFWSIHLLNND >KZM91797 pep chromosome:ASM162521v1:6:27882852:27885210:-1 gene:DCAR_020838 transcript:KZM91797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPEGSVSNMNAAVSSHDQTPLSNMNAPLSSHDQTPLSNVTSKYNGKASVCPGYNPQCSSHTGNFGPFHRSVGRLPLPQTNIKRDKRGTVFNSSGQTTSSTRHPLSNITNQIPLTPGSVFNMNGSVIDNDEPPLSNVTTQHHGSGFTASTIKSPLANIRKYSLLTPGSVSNMNAPVGKHDQTPLSNINAPLSSLHQTPLSNVPSKDNGKSQNSLKNKRPVVESQTISQRKLKRIGTNDEGLPISRLFPDDTDDLEIIADNHDATSDQPEKLFEDDDILYDGELLYGTDSDVDDVTDPEAAVSTSEGMILS >KZM90024 pep chromosome:ASM162521v1:6:9688641:9691870:1 gene:DCAR_022611 transcript:KZM90024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIPEETCPEEEEEGEEEEGETSSADWRDVEAEGRQFWSGFAEVYDKYCERMLLFDRLSAQQLNDIGPQGSSTPSPRFTSKKLASPLRCLSLKKFEEPGDETEQLQELPNSPLQDLEVAYAAHICLTWELLHCQYTYLSQKISVEPENSCTYNYSAQQFQQFQVLLQRFVENEPFEQGHRPEIYAQTRKSLPKLLHVPMVQGLDKKEVKEEESDLKVLAPDLIKTIESSILTFHHFLKMDKKKSGGVRDLFGGQTQLPTPLQQVQSSLKQKEIKLKELRKKRKGWKKKSWPATEEDVELLLGLIDVKILRRVLRMARISREQLLWCEEKMKKLDLSKGKLQRDPSPILFPC >KZM89462 pep chromosome:ASM162521v1:6:949672:950217:-1 gene:DCAR_023175 transcript:KZM89462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAKMKDGDDKKVTGLGVDLEKKMVLDPEKKMVLDPEKKMVLDPNKKMVLDPKKKMVVNRSYDEDLRLFERMCRNFCLEEIEAAGYTLLEDDKTTTTRPAASASAKRCQPKEEEEQQQEEEIEVVYDFKRPKLPLPPGRPFVRDGDVYIL >KZM91466 pep chromosome:ASM162521v1:6:25387689:25388756:1 gene:DCAR_021169 transcript:KZM91466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLISRSRVYVLLLVFTIVLECLSVQLALGDTKLHKATWGDQDDCGYGGECESRPLSGRHRGGGLGGGGLGGGAGGGFGGGGGAGLGGGAGLGRGGGLGGGLGGGGGAGGGGGLGGGGGGGLGGGGGVGGGLGGGGAGGGGGLGGGVGGGIGGGLGGGGALGGGGGVGGGLGGGGGAGGGGGLGGGVGAGGGFGGGSGVGGGLGGGGGAGGGLGVGGGLGGGGGLGGGGGLGGGGGSGGGSGQGGGFGAGIGGGSGAGLGLGEGGGGGSGGGGGRGIGGGGVGVARGGGGGFGAGGGGGSEGGYGHGQGGGFGAGGGVGGGVGGNGGGGGGGGGGGIRGGGFGFGAGGGFGGGN >KZM90750 pep chromosome:ASM162521v1:6:18854469:18854669:-1 gene:DCAR_021885 transcript:KZM90750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHKRHLRTPARLTIDRVPCEDGIAWQFQLPWLADYDQNSLSIIVLNRVNETVDYKVGRNQKYGDG >KZM90962 pep chromosome:ASM162521v1:6:20868420:20870547:-1 gene:DCAR_021673 transcript:KZM90962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRICIWAFLVSFLLLTRSTRSEDDVQSTLVKFIQKLTSSNDIPDPTWGWNLSSDPCKDQWKGVTCSNKTFQVQKLQLDGLNFAGIFDPWILCTGDKSFAESLTELSLNDNRLRVENLDEISSCKYLSRLHLRGNMFSGNLPDSMSRLNNLKILEISQNQFSGVLPDLARISGLTEFLAQDNELSGFIPKFDFSNFLKFNVSKNNFSGPIPDGGSRFPASSFDNNLGLCGEPLPNECLKEDKPKSGYSRDQILMFAGYFLIGLVIVLLILYKFCSKGKKNKKVSDSIDIKVEASDYDGSTKPSIMSNDKSADSKSESSATSAESAKSLVVLSSPEVNGLKFEELLKAPAELIGRGKHGSVYKVICESQGLTMAVKRIKDWSLSSNDFKIRMKRLDQVRHPRVLPPIAFYCSKQEKLLVYEYQPNGSLFKLLHGDQVTFDWSSRLSLAATIAKALSFMHEELREDLLAHGNLKSSNILLNENMEPCISEYGLRVENQDRSMVSISNIQSAEENGEQNTFKSDVYAFGVILLEMLTGKAASVQNNGMDLARYVVSVIKEEWTGEVFDRGLSREGASEERMVNLLQIAIQCVNESPEARPSISQVAVMIDAIKEEDDMSMDVSQV >KZM92149 pep chromosome:ASM162521v1:6:30940608:30953916:-1 gene:DCAR_020486 transcript:KZM92149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVSGVVSRQVLPVCGNFCMFCPALRPRSRQPVKRYKKLISDIFPRSQDQEPNVRMIGKLCDYASKNPFRIPKISELLEQRFYKELRNENFRSTKIVMMIYRKLLFSCKDELPLFAKSLLSIMQTLLDQRGQDEILILGCETLFDFVNNQKDGTYMFNLEVYIPKLCELAQENGDDERAHHLRAAGLQALSSMVCLMGKHSTISVDFDDIVSIVLENYGRPSKEIHEVGKDENHASPSPETLTKVHSWRMILDDKGELSVTEENAKNPCFWSRVCLNNMAKLAKEATTMRRILESLFRYFDDNKLWSGADGLALPVLKDLQFLVDDSGHNTHVLLSTLIKHLDNKNVQKQPDMQLDIVKVTNSLTLGTKVQPSVALLGAIGDIIRHLRRSIQLSADDANIDVNALKWNRSFGEAVDKCLVELSLKVGDAGPIYDIMAGTLENISPVTAGARTALSAAFPDALFHELLTAMVHPDHETRVGAHRVFSVILVPSSVSPLSQESLGESANHASLSRTLSRTVSVFSSSAALFEKLKNEKSSPRRYHALENQETVSGEGGQKNNSGLLERIRSTYSRAYSSSNPDVLSTQDEGSSANLYKKVEATSLRLSSHQIILLFSSIWIQSISPANVPENYEAIAHTYSLILLFSRTKNSSREVLVRSFQLAFSLRSVTFSDEGKLPPSRRRSLFMLATSMIIFTSKAYNISPLIPCVKATITNKMVDPFLCLVEDCKLKAVDNESGHRKIIYGSDEDDNTALKFLSKLELTESQSKESLASLLVETLENLSDSETSTIKEQLLSIFVPDDMCPRETFSNTQQKAYKGNSDNQKSEEEVSPHISMDDSLQDSFRSDDINDTEMAMELPGLLSANQLLDSVLETAHQVGILSGSTGFDVPYMEMANQCEELTSGKQEKMSHLMNIQIEEQILPSTTSQNGYEEDKSVAPYSQSDMGYQMVGYPSANQNEAAYGEPLRLPSSSPYDNFLKAAGC >KZM91694 pep chromosome:ASM162521v1:6:27154334:27160987:1 gene:DCAR_020941 transcript:KZM91694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLFCAEVPGLPLIGNLLQLKEKKPHKTFTKWAETYGPVYSIKTGSNTVVVLNSNDVAKEAMVTRFPIISTRKLTKSVKILTHDKSIVGVSDYNEFYKTAKRHMLTHILGPNAQKRNRVYRDALIDNTSDQLHALFKNSPLEAVNYRELFQLGLFGMAMKQTFGEAVESIYVHELGKSMSKHEMVKCLVTDMLVGAIDVDWRDFFPYLSWIPNRDFEKKIEHMGMLRMKVMNSLVQKTRKQSASEEGLQCYLNYLESEEKSLSEKQIQLLLWEVLVATSDTPVVTTEWALYELANDPKRQDRLYEEISRVCGSEKITEEKLPQLPYLYAIFQETIRAYSPIAIVPLRYVCEDTELGGYFVPSGSEVAINIYGCNHDKNVWENPEEWNPERFMEENSDTMELHKSMAFGAGKRVCVGALEAMTISRLTIGRLIQEFEWRLKDGQVDDVDTRILYLPRLIYVDPRRQPQQFISLAPKPLSGLSLWFYNHSSHHNPITGPRFFSSIRPLQAFIDYTPICTYAQPFGDGNSPDCCLRTPPLQPNHSPSIIHLQSPPLLQHLRQSFTAATTPVSFPFKLRSQALHNSATLQTPDVSASTVSYSGYVAQNLASSASTKAAGCRLFQDLRTFLSDPNPTPDATFRRSNHSYTSLAGELCTASNSPLAIGLSSILKSTSLGPGGSFGVSQLKASFILPFLQASKWLPCNVMSVGNKVGEIGGKCEGSKRLGEAETGNVLTRGSWLNKLLSCCSEDTKAAVTAMSINLLFRSSLAEPRSIPSASMAPTLDVGDRILAEKVSYIFKKPEVSDIVIFKAPPILQEIGYSSGDVFIKRIVAKAGDYVEVRDGKLIVNGVVQDEDFILEPLNYDMEETLVPEGYVFVMGDNRNNSFDSHNWGPLHIKHILGRSVLRYWPPSKITNTMYEPDARRQALVLS >KZM92591 pep chromosome:ASM162521v1:6:34651365:34657908:1 gene:DCAR_020044 transcript:KZM92591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSGLLTSAGINIAICTVLFLLYSVLRKQPGNVRVYFGQRLAQRKSKGDGPSLIERLVPSASWIIKAWQTTEEELFAVGGLDAAVFSRVVIFSIRILSIAAIICIFLVLPINYFGHSMHHTEIGLESLKVFTIGNVGHRSRWLWVHCLALYIISLCACALLYMNLGRFTVLVRAIPWSGKSYSDSVTKLFTDYYASSYLSHQILYVPGRKLVNDAEKMYHTLNVPRMSKHCGSSMVRCGLCGVNEKSIRNPSEKSTFAEDIHDFADDFKKKECPAALVFFRTRYAALVAAQTLEHVNPMLWVTEMAPEPEDVLWANICVPYRLLWVRKLGIFIASIAFLIFFLLPVSFVQGLVHLEKLEKKYSYLKKLSGKRNFIFDMVTGYLPSAMLTLFLFCVPPIMIISSAVEGPVARSLRKRSACLKVLYFIIWNVFFANILSGSVFERFDKISSLKDIPAQLANGVPSMAVFFMTYILTSGWTSLALELMQPFALLLHWLDKGLFKGKNVLSCESQTFPYHTELPRALLFGLLGFTSAVTAPLMLPFLLIYFFMAYLIYRNQFINVYVTKYDTGGLYWPIAHSATIFSLMLMQVILLGVFGLKKSAVASGFTIPLIVCTFLFHLYCRQRFLPVFKRKFAQVIMEMDKQDELSGKLEEYHDQLQSGAYCQFKTTSKTSSTSGNMEDCENGKLDSPLVAQVKQSDSGDHDRLQDPDGSKPVKQSSLSPNRFRYERTSAEIEEPKADAQ >KZM90519 pep chromosome:ASM162521v1:6:16480095:16485633:-1 gene:DCAR_022116 transcript:KZM90519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLYINTGLSFLTGEYSPFHGLEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGESFTKFEATDVFFAVTKLFQSKDLVLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGPLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWTNEVQEAVQSRAALVQFHALALLHKIRQNDRLAASKLVTSLTRGTVRSPLAQCLLIRYSSQVIREATVNNQTGDRPFYDYLESCLRHKAEMVIFEAARAITELNDVSSRELTPAITVIQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVANCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITSFMSDIADEFKIVVVESIRSLCLKFPLKYRALMSFLSNILREEGGFEYKKAIVDSIVIVIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKIGAMVDSLKPRIFTLLRRCLYDSDDEVRDRATLYISTLEGDGTVVESDKDVKEFLFGSLDVPLVNLELSLKNYEPSEKPFDVNSVPKEVKSQQLAEKKAAGKRVTGLDAPPAPTSTIDTYEKLLSSIPEFSGFGKLFKSSAPVELTEAETEYAVNAVKHIYDRHVVVQYNCTNTIPEQLLENVSVIVDASEAEQFSEVGVKPLSSLPYNSPGQTFVAFEKREGVTSVGKFSNVLRFIVKEVDPSSEEVDDDGVEDEYQLEDLEVVAADYILKVGVSNFRNAWESMDPDTERVEEYGLGTRESLGEAVSVVMDLLGLQPCEGTEVIPNNARSHTCLLSGIYIGNVKVLVRLSFGIVGHKEVAMKLAVRSDDISVSDAIHEIVGSG >KZM90882 pep chromosome:ASM162521v1:6:20117537:20120475:-1 gene:DCAR_021753 transcript:KZM90882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWNSFHLEILYTVFGWVAFVSWSISFYPQVFLNFRRKSVVGLNFDFVVLNLTKHSTYLIYNASLFFSTTIQEQYRRKYGRDELIPVAANDVAFSIHAVLLTVITLFQIAIYDRGLQKVSKICLGIVSAAWLGAIICVFIALPHHSWLWLISCFSTIQVIMTVVKYIPQAIMNFRRKSTIGFSIGNILLDLLGGLTNYGQMAVQSIDQNSWVNFYGNIGKTLLSLVSIFFDILFIVQHYVLYPEKRRAKSPTVDVVSKEPLIESSENPHTEDV >KZM89393 pep chromosome:ASM162521v1:6:378281:385736:-1 gene:DCAR_023244 transcript:KZM89393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIQAVPELCVRTNNKLLSSKSNNTEICGYTSGLTGSPSDFFGNGGSSCVGVLNVYGRRRRCGRWRVRAAARSDVNVRVIEKSSGSSGSGSGNVNGNRSGLVDIPVSCYQLLGVPDQAEKDEIVKAVMHLKSSEIDEGYTTDAVVSRQNLLVDVRDKLLFEPEYAGNLRANILPKASLRIPWAWLPGALCLLQEVGEEKRVLDIGRSALNHADSKLYTHDLLLSMALAECAIAKISFEKNKISQGFEALARAQCLLRSHVSFGQMSLLSQIEESLEELAPACTLEILGMPNTPENAERRLGANAALRELLRQGLDVEATCQVQDWPSFLYQALRVLMAAEIVELLPWEKLASIRRNRKSIESQNQRIVIDFHCFYLALIAHIAVGFSTQQRDLISKAKVISESLIASEGTDLKFEESFCLYLLGQGDESVAAEKLRQINLNSTTTSRTLISGKESREVPSANQSLESWMKENVLLLFPDTRDCSSSLENYFAGEKKAGKKQYKRAQPIPSSINLRPLSHGTFDRKTYEQPDSSPNSSQHLGTAVNQLSPSNSQAPSIAVKVGNNDSLPSVQLKRNLGAQNYNVWAIWFGEHSLGGNLIVVTALGFILFVTFKLLSVRLWRSKFTSGWALTKPKTDASSAVWSDSSLQQIRGPAFNKENSIISKLKQILSSQKVQLRSSSEARASKTSSLDDTSTLKTAVYKSPMHVEEAENLVKQWQTTKAEALGPNHQVSGLFEVLDEAMLIQWQSLADEAQKRSCFWRFLLLQLSILKADILLDEIGNEMAEIEALLEEAAELVDAKQQTKNPNYYSTYTIRYLLKRQEDGSWRFCEGTVQIA >KZM91104 pep chromosome:ASM162521v1:6:22163436:22163943:1 gene:DCAR_021531 transcript:KZM91104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRTATDIANAELDGLASKLLALATLLPHSSTNCATRVPALDILKETCSYINSLQTEVNDLSDKLSQLLASADNNVLEVLKDFLQL >KZM89387 pep chromosome:ASM162521v1:6:336574:337923:1 gene:DCAR_023250 transcript:KZM89387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQAQINEDQHEYVSPRLQDEGYGDTLSEVVPPEPSSVDEINENEDVDFIFFHETPKTLLPLTGLDTSKIVSSEKTTKEEGKQSPSSEHFKHVLVTEEPVTENIDKEFDTMEATWEAIIEAGKLPEKKQLKKTETWNAPPVVMPVAGRKEMRKYETFNESVLGRQRGGLQRDMSIGQAELNHRIEAFISKFNKDMRLQRQESEQRFLEMINR >KZM90250 pep chromosome:ASM162521v1:6:13130561:13138883:-1 gene:DCAR_022385 transcript:KZM90250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCD1-2 description:carotenoid cleavage dioxygenase MGVTEHEKSEQVERIKDVVIVNPKPSKGFTSKAIDWIESLIVKLMYDASVPHHWLAGNFAPVDETPPARDLPVIGSIPECLNGEFVRVGPNPKFSPVAGYHWYVLALRSMIHGLRIKDGKATYVSRYVRTSRLKQEETFGGAKFMKIGDLKGLFGLLMVNMQMLRGKLNVLDMSYGNGTANTAMIYHHGRLLALSEADKPYAIKVLEDGDLQTLGLLDYDKRLTHSFTAHPKVDPFTGEMFTFGYSHTPPYITYRVISKDGVMNDPVPITLADPIMMHDFAITENYAIIMDLPLYFKPKEMVKEKKLIFTFDATKKARFGVLPRYAKNELLIKWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDMVNGSMKEKLENFNNELYEMRFNMKSGLASQKKLSASAVDFPRINESYTGRKSAANIIDAKTMSPDPVAVVELPHRVPYGFHAFFVTETSNEILIQPA >KZM91832 pep chromosome:ASM162521v1:6:28175767:28182532:1 gene:DCAR_020803 transcript:KZM91832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSYLRYEPATSFGVIASLDSNICYDRSGKHLLAPALEKIGVWHVRQGVCTKTLTPSASSRGPSVAVTSIAASPASSLVASGYADGSIRIWDSEKGTCETTLNGHKGAVTALRFNKLGSSLASGSKDNDIILWDVVGEAGLFRLRGHRDQVTDLVFLNSGKKLVSSSKDKFLRVWDLETQHCMQIISGHHSEIWSLDVDPEERYLVTGSSDSELRFYNIKQNLVDDKSDVDVTTLVSSEDSLATSKWEVLNFFGEIPRQTKDRVATVRFNKVGNLLACQAAGKTVEIFKVLDESESKRKAKRRINRKKEKKSSKGGADVTEAEVANQGGKEGSTIVVTVADVFKLTQTVRAGKKICSISFCPITPKSSLATLALSLNNNLLEIYSIESDSTTKTVAIELQGHRSDVRSVTLSSDNTLLLSTSHSTVKLWNPSTGSCLRTIDSGYGLCSIFVPGDKFAVIGTKGGTLEVIDIRSGTCVEVVEAHGGSIQSIVSTPDGHGFITGSTDHDVKFWEYQTIPKAEQGTKQLTVSNVKNLKMNDDVLVVVVSPDGKHIAVALLDCTVKVYYMDSLKFFLTLYGHKLPVLCMDISSDGDLIVTGSADKNFKIWGLDFGDCHRSIFAHADSVMAVKFVQNTHYLFSVGKDRVVKYWDADKFELLLTLEGHHAEVWCLSISNRGDFIVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEDMFDADLDNTLDSRYAPKEEVPEEGAVALAGKRTQETLTGTDSIIEALDIAEAELKRISEHEEETKQGKAAEFRPNILMLGHSPSNFILRSLSNVHTNDLEQTLLALPFSDALKLLSFLKDWSLNPDKIELVCRVATVLLQLHHNQLVATASARPVLTVLKDILHSRVKECKDTLGFNLAAMDHLKQLMILKSDALFRDAKMKLLEIRSQQSKRAEGRQDTKERRKKKKQKKSNDIHVWS >KZM92055 pep chromosome:ASM162521v1:6:30136032:30139778:1 gene:DCAR_020580 transcript:KZM92055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYRAQKNRTQKPRSPVLILTASLVVISILYLLSSFNSTNGSSSSYTTKALKDFVTINTKKTAYDKYLYWGNRIDCPGKHCGSCEGLGHQESSLRCALEEALFLKRTFVMPSRMCINPIHNKKGILHQASNKSLDDGWAASSCAMDSLYDLKLISKTVPVILDNSEMWFQVLSTSLKLGSKGVAHVAGVTRVDLLERSEYSNIFLINRTASPLSWFMECKDRNNRSAIMLPYSFLPSMASKKLQDAANKIKSDLGDYDAIHVRRGDKIKTRKDGHGVDRSLHPHLDRDTRAEFILCRISKWVPDGRTLFIASNERTPGFFSPLSARYKLAYSSNYSNIIDPLIENNYQLFMLERLILMGARKFIRTFKEDENDLSLTDDPKKNTKVWQIPVYTKDGEAC >KZM92412 pep chromosome:ASM162521v1:6:33222417:33224164:1 gene:DCAR_020223 transcript:KZM92412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGSAPALCAKACGFYGSSAKRNLCLCSKCYQVVLKQEFALCESYTKSIAASLRELSLRKENHQDGVSDDGFVAKKKACRCSCCDKKIGLLGFACRCGGKFCNMHRYPETHMCFFDYQALGRASLARENPFVGRDKLGERSCQCCSYFRRTRRLEDIKPLGPPLDDGNAESVSSVLNEPVSAESTECDLYHGKWVYNSTGPLYSNNSCPVLSQMQNCQGNGRPDKEYENWRWTPTHCDVPRFDATKFLELMRGKTIAYIGDSVAWNQMESLLCILWQASNLTFSNDLSMLILLFRLLIGCDGAYLAQIILYLFVDFVNVRLKFPKTAEAEECNVISLEQHLQKKSFEYGPGALFTRHQTLLSTLPRG >KZM90864 pep chromosome:ASM162521v1:6:19925168:19928635:1 gene:DCAR_021771 transcript:KZM90864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKIAPSMLSSDFSNLASEAHRMLSFGADWLHMDIMDGHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPLDYVEPFGKAGASGFTFHVEASKDNWKEIVQKIKAKGMTPGVSLKPGTPVEEVFPLLDDENPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRKKYPTLDIEVDGGLGPSTIDIAAAAGANCIVAGSSVFGAPEPAQVISVLRKSVNEAQISK >KZM90190 pep chromosome:ASM162521v1:6:12299198:12301621:-1 gene:DCAR_022445 transcript:KZM90190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRGSRSEKVRTIFEQFDVNVDGGLNREEMAALVVAVNPRVKFSDEQINAILDEVFKTYSEFIDGDKGLTHEGLLRTYDDGAGDVDRDFDALGLELKAERVEEASTSLVDETRSVVDPNKKLRTAAWATSPNHGIVYDDTWKIVDELEVMIKKLKAKGVKEGKGKGTGGGKDGEGNFDTYSDAGWSRELGASSEISDKRVVWEENSSAYNAFVKELGVLRGRADGARSRSEAFDGHMAIGRVLYEHQLFKEGFVSFKRACELQPADVRAHFRAGNCLYVLGKERESKEEFLLALDAAEASGNEWSYLLPQIHVNLGIALEGEGMVISACEHYREAAILCPTHFRALKLLGSALFGVGEYKAAVKALEEAIYMKHDYADAHCDLASALHALGDDDNAIKEFQKAIDLKPGHVDALYNLGGLYMDMGRYQRASEMYTRVLGVWPNHWRAQLNKAVSLLGAGETEDAKKALKEALKMTNRVELHDAIAHLKQLQKKKLKGNGSGNGEESFVVVEASKFKLVGEKTTSRPELANAIDVRAFQRITRLSRCDVDLLKKEMNEGNAPVSYSGGGIPEKSIRKASLEGILHRLLGFLKPETFVGAVKMINQKILSVLDETESGRVDLGMFFAIIAPICSGLPERRKRVAFDALAWRSVNEDATQIRKVDALRYIKVLRSIYIPSYGISEMLEIHGDTDVSLISLTEFLVMFDDPDWGFGIMSTLLKLESGDRNRHGRHSCCICRYPIIGSRFKEMKSHFSLCSQCYSEGKVPPNSKQEEYRFKEYASESDAMKDKCMWFRVHSKNSSPVEPPR >KZM90884 pep chromosome:ASM162521v1:6:20138558:20142155:-1 gene:DCAR_021751 transcript:KZM90884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLLRRAITKPHLTQLSKLQIFRAHATEIESQTREPTARSNPTLKTFQIYRWSPENPSKPQLQDYKIDLSECGPMVLDALIKIKNELDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKISDAKEASMITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSENKTGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTSERLDAINDEFKLYRCHTILNCARACPKGLNPGKQISNIKKLEAKGA >KZM92019 pep chromosome:ASM162521v1:6:29842202:29843318:-1 gene:DCAR_020616 transcript:KZM92019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGSYGSGGSREMQESGKDFLAQQNSLYNLTLDEVQSQLGHLGKPLTSMNLDEFLKSVWTVEANQGLGRVDYGASQNGLLASGSGLYRQSSVTLPRDLSKKTVDEVWKDIQQGQNKNSTRMSNRERNSTFGEMTVEDFLVKAGVVTEGGKASGPVFGVEPVALPQQSSPQTPWLNYQIPSTHQPTQQQNMLSVFMPGNIVQPSLSLSSNTILDVYNETQMTISPSPLMGTLSDTQTPGRKRNASGDVVEKTVERRQKRMIKNRESAARSRARKQAYTHELENKVQRLEEENERLRRQKVIFSIVHCCMFSYCIFNKYFHLTSGVTFPYICMCENFFMLDNHV >KZM90253 pep chromosome:ASM162521v1:6:13157216:13158872:-1 gene:DCAR_022382 transcript:KZM90253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIKVCVTGGSGYLGSWLVKKLLQKGYIVHATLRSLDDKTKVGLLESLPNADTGLVLFKADIYNPSDFEAAIEGCSYVLHVATPMQHNTESSLFKDTIEAAIAGVRTIADCCLKSQTVKKLIYTSSVMASSSLKDDGSGFESYWDESCWTTINDIPFTYCNDYLRAYTVSKTLADKEIISYNTIESDSDTGLEVVSLVCALVGGDTLLSYVPASMNTILSPLLGDSLNGYYISLQHLQELLGCVPLVHIEDVCEAHVFCMEKPSLKGRFICSNADPTVKEITEFFKSNYPNSEFEIIENIMAERGSKCTSTELIKLGFEYKFNMADILDGSVRCGKTLGFLPNK >KZM91571 pep chromosome:ASM162521v1:6:26132842:26136855:-1 gene:DCAR_021064 transcript:KZM91571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSDEMMIPRSFCSKYAHDLHEDMELKLRNGYVLPVKFDHSRGVFKGLLCFFKHFKLNGGELLVFEYFGRYNINVYILGSNLSEIKYPDFKFNMPESPPRLVEAGGDHPSIGFQFEIHVEEIHMSPECYVVYISPLFKKLCSMWDTIQSIYVYSGNGSWKLDICRRDDYYRSTIEDGWQQLRDGLALEVGDICIFECPVDSLDRFNVRVISKLKMECTGFVAHKFIKALSRKDICSDVMKLPFDFQQKYANMLPQEFGLNLRTGYRLPVHFDKITGIMMGMSTFYSDFGFKGGEVLVFEYYGQSDMNVYVLGLHSCEIDYPMITHLSQCGNPLKPKIRDGGWKFVHFINNLDQLQNEISVPPKFVENCGGNISKFLHFILSNGKSFEGRFCVKSNKLSGLIGMCKLLGLDSLNSFHVLLFTYDGHFSFNIAAFDEKYVEVIFTGTPVSSGYYWIESCE >KZM91743 pep chromosome:ASM162521v1:6:27488756:27489907:-1 gene:DCAR_020892 transcript:KZM91743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAGAKKEENQQVLKVLEALKQASHELQNKSSESNSSAIKALLELQTETDSILSTDPLLSTLSDHLSDLKSLIQTRQNSFGQVSLKSFLARRVSSHEISKVACLIETEIQSWIDRETIENLTTSLRKLDTDDVLVERIEKFCERISEGFSRELQNLILKSRVFEALELILFDSTVSKKVRESTGFGIAGLIRFNKDVFVGKVVMGQTIRSLVSLSSCASIKVLCSLIKSIKSPLVDEIESNNEIPKIICLLSSVDHSVVVSAMDCVLEMGYYGRKEAIEAMLNEGVIAKLVELQRSELGGDLIDLERFEKGGDKAERKYLEDHPFASCVARFAVMLEVGEGLRQREKRAFKQEILKGVKEACSDCDAKAATVMAEVLWGSSP >KZM91883 pep chromosome:ASM162521v1:6:28638609:28642473:1 gene:DCAR_020752 transcript:KZM91883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCISPCIAHCESRNPLGVLAFLRGGSLVDNRSRTVSCYRKICDERNGVRCSANSPGVNRYESKDPFLNLHPEITMLRGEGTKPLTNPRQDSPDGSDTKSSRDSLSSSGYNAARIKVVGVGGGGSNAVNRMIESAMKGVEFWIVNTDIQAMRTSPVYVEQRLQIGQDLTRGLGAGGNPDIGMNAAKESREAIEEAIDGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGVLTVGIVTTPFTFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLEAVSPSTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMASAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEIACLKPSIACCPHQMIPILVNAAAEVIYDLVDPSANLIFGAVIDPSLSGQVSITLIATGFKRQEEGDGRPVQAGQLAQRDVTPGINRRPSPFSEGGLVEIPEFLKKKGRSRYPRA >KZM91997 pep chromosome:ASM162521v1:6:29664086:29665413:1 gene:DCAR_020638 transcript:KZM91997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPHCCDKVDIKKGPWTPEEDIILVTYVQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQSLLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKIKQTASSEDHVPHILASSDQSRKRKISDIATRDLAPTDPTFHNNCSSSNIYASSTENISRLLPGWMGSSMKTTVDTNDVDAFSSGGSNGKIIGDSFTTVPPRDNRPKAEQPEGIELMSNEVSESILSFDKLNATIGNWDYKNPSNKDSDNDADANMKDDYDAHMRKPRPADEHKNNHPPLSFLENWLLDEASPAQVGEMMELPSIF >KZM90269 pep chromosome:ASM162521v1:6:13704428:13710153:1 gene:DCAR_022366 transcript:KZM90269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSMRKILLMVIFSCNIITFGRHPDFENFSNPASLLHAPTKWHKFNSSLMVVLNTFEAQPLSDEEGNANNLEDEAATFNIKYLTSSKLMGLELKDSSFRRHILVQCLILFDYLKAPGKREKDFFTETVKDEIKSCEERVKKLLTMTPPKGNEFLHSIEHILERERNWVWWKRDGCPPFEKQPIDRKSVQDGIKKRKPRWRLGNKQLSQLWKWAEDNRDALTDPQRVRTPDITEYWKPLADDMDEAAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSKFTEYGVEGVVPLDLLPSEVRSKYQAKPADRSKRAKKEETKSSTNQAEENQNATPASEVDGEGSRVDLEASAAQMDADNATGNISQSGTPTPEDQQKQSSDTDGQEAGQIEADAEAEPEIVDGETDADVDMVATV >KZM89386 pep chromosome:ASM162521v1:6:323307:326040:1 gene:DCAR_023251 transcript:KZM89386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKASVSKELNQKHTKILEGLLKLPENRECADCHSKAPRWASVNLGIFICLQCSGVHRSLGVHISKVRSTALDTWLPDQVAFMQAMGNEKANNYWEAELPQDDDRRDIQSFIRAKYERRRWASKSKKQPDPSDFQSSDTCHNIMEGPVKIPIPRKARKYSMEEEAIIEYTSQSAHTKHHGASLSMDLKENFFIPPPAENVPKNDTTPKKIDANTDLFSLLYVSDHDRTVVPPSRWATFDCKNTLWFSTSNILQMIKLIVLIAGTCK >KZM92720 pep chromosome:ASM162521v1:6:35790172:35793767:-1 gene:DCAR_019915 transcript:KZM92720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLIMMVMGVGIHTASHHLVHCPGINVSKKKRQTFPELELSGDDMLSGKKYGVCRKLGRFNYSIGEHSNASSPSPYQRCWRSMVECENANRAIMRRSFVSTAPKNSSSASTAVNNEQHKQKNKWVTPEMVPLVGMMGIAVAIGIHTAYKNLVHAPSVKLTKTNRGSISEADNPDEQIYSGGKFVNTSFLRKVGHIQDSSRH >KZM89646 pep chromosome:ASM162521v1:6:2841000:2844010:-1 gene:DCAR_022991 transcript:KZM89646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFHLLSYLEALLSLKDSLVGPNSSGLADWTANTSHCSFTGITCDDDSRVIALNISDVPLFGTISPHIGLLTSLLTLVLFSDNLTGTLPPEMAHLTAVKHINISYNVFTGEFPGAIVVNMTQLEAFDAYNNNFSGNLPLEFGRLSSLKCLSLGGNYFSGDIPEEYTQLKYLENLSLQGNSLRGIIPRSLSKLSKLQILRLGYYNMYLGGIPSELGTLSDLRLLDLGGCNLTGEIPASLGNLKLLHTLFLQYNNLTGHIPWELSGLISLMSLDLSINKLTGEIPMSFVGLTNLTLLNLFDNHFVGPIPEFVGDFPNLEVLAVWENNFTFELPPNLGSNGKLKQVDVTGNHLTGMIPRDLCKGGRLVTLILMQNYFLGSIPSELGECKSLIRIRIQNNYFNGSIPAGFFNMPKLEILEVNGNYFSGQLPASISGNSLQSLVISNNLISGEIPSGIGNLVKLERLELQVNNFSGGFPKEISNLKMLLKINISANNLTGGIPASIARCTKLISIDLSRNSLNSELPAQLTMLPDLNSLNLSQNQLSGAIPRQLGYMKSLTILDLSYNNFSGRIPVDWQLKDFSDGILAGNPNLCTPNKKYCPVVTNSSDASHRGHRFSTSNLVIVIIVLVFLGLLLVVTFLRIRKRRLEKSKAWKLTAFQRLDFKVEDVVECLKEENIIGKGGAGVVYRGSMPDGIDVAIKRLVGSRHNDHGFSAEIQTLGRIRHRHIVRLLGYVSNKDANLLLYEYMSNGSLGEILHGSKGAYLQWETRYRIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDSDYEAHVADFGLAKFLQDAGASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVRWIKKTTSELSQPSNAASVLAILDSRLNAYPLSGVVYLFKIAMMCVEDESASRPTMREVVHMLTNPPQCAPTLLE >KZM90723 pep chromosome:ASM162521v1:6:18554972:18557734:-1 gene:DCAR_021912 transcript:KZM90723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVCDELDEVRAELEKLKEECRSKTKLIDSLRTAHNEQKSKLQGAMAQIEELAQELRVKTEEIPELKKLYEELELKVHEKESLLRHLNSANEKLRADYGARFQKLEAENKELAAALDEATERIQDLELKDRSRNEEVEGLKRLISNKQKKFFESDQNAIEDKEGKQKEVVILRLEDENRHVQEQLKWKNEQFAHLEDAHRKLQEQFRSSTVDWKRERSALIEEISSLQSSLDSQTRISETLQTRLKMCNQALAHEESRRKILEVEVSELKSHFETAFSSSHETKTNSDHLTFKRDEEISNLRNLIGTKDINSKEMEHRIAQLEQEKQGLKDSLKESEINNAELTLSLKKLEYKIQDLEQLHENTLINLQKKEDDHSYQIEKLTEVVEGYKSKLKGKNKKLQELQNDLDGYCCLLEAQSEEISTVMMIMKSEYSAAYLKLINETSEKDVRNKESEEKVLLLTTQLEMKDSAFHSLNQKLEKKNEEATSLVERVKSYDCMEQQYIFMEEELQRHKKMLAESSENQNCLKKQVLNVESTLKMHHHESNSALEKANAELARRISEVSNVEAELQKWRSHAENLDLCLRESQETSCAENICLKSAVKKQDEEICSLQQQIASLESRHAEKIDAILKALEAEKEHCIRTAEDDRSTIEKLQLEILSLTQNFSAREMDIVHAQEDAVKALEQEKNSLLLIIRDKNQLVAHLSEQAKLTEQHFTSTFVCLVDKQIEVDFLSESLDKTRNLKKAEIEEKEKIGTALRMEIKNLQEKLVDQEEYLLQMEQKTEQLEALLQTNNSEIIKLNYQSSFEQKHLESLVKELELQKGALLEDITKLSAHRDDLLTQLDRFLEQIDVFSGKDLELVAKLENISHTFEEEKNKELESLADSGLNNSPSKIVKQLHISEDGTGGTIEQRFPLSELNF >KZM91107 pep chromosome:ASM162521v1:6:22189610:22201413:-1 gene:DCAR_021528 transcript:KZM91107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFQSSSLGERDAEQAITALKRGSYLLKYGHRGNPKFCPFRLSSDETSLIWYTGKQEKEVQLKHVSRIIPGQRTAIFHRYPRPEKEYESFSLIYDKGSLDLICKDKDEAEIWFVALNALLSRGNGQVLRRRGSSDSLSSSGSSNLPKGHSQSFISTSSSDIVYEDQENTQALQGFSVNPPRKRLGRALSELLLYDSAAQFSPHREFDEKSFSMQSSQDARNQADTSRLSISSAMSSSGPGSPQEDLDTFGDVFIWGEGISDGLIGGGFRRSSSSTPLKDALSPKVLGSVLALNTKEVSCGSKHAVLVTKHGMIYSWGEGSGGRLGHGVDADVPNPKFISAFNELEIKSVSCGENHTIAITVSGDLYTWGDGIHKFGLLGHGNEFSQWIPKRVRQMEGMHVSVISCGPWHSAIVTETGLLLTFGDGTFGALGHGDRFSTNIPREVEALKGLRVVTVSCGVWHTAAVVEISSDHSNCYGSSSGHLFTWGNGNEGQLGHGDDESKLVPSCVVVLNEINFCQVACGHSITVALTTLGKVYTMGSAKHGQLGSPGSPGKLPICIEGELRSNFIGEIACGSHHVAVLSSNSEVYTWGKGTNGQLGHGDLDDRNIPTLVKALKEKHIKSIICGSNITAVICYHKQVSVADYHMCSSCHAPFNFRRKRHNCYNCGLVFCKACSSKKSLKASLAPDMNKLYRVCEICFSKLNKVVVSTSPVLPPKVTCGNTKASSGETKEQETSLIKTNSVLSKLSSFNSFRRLSNSQCKKSMKQNLNSGLVSPIQNRSFRKESSSTSNSSASLNECSQIVSPSLPSSVVNSLTSSPVSITSSPSHPSSLALNSAALAYPNIIPDDSKKTNYNLSKEIYILREQVEVLTRKSQLLEAELSKKSKLLKEATDVIWDEKARNEVNGTNQMLTRRCIW >KZM92305 pep chromosome:ASM162521v1:6:32302322:32303469:1 gene:DCAR_020330 transcript:KZM92305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNLRLSTTVAWRLKVRVTRMWRSIDRYGQTVAINLIFVDELAGRIHARIPAENINMLENLFIEGETYHVRNFVVRQYGAMHTERCFRNDVYIQMFNMTQIFPTGVVEHIPHHVFQFTELSAIINAALEASHLIDVVGVMEEVHPILTYTNKYNQQKSSIKFTIKDITGLAEVLFHDELAQSFQQGVNDAHQHPIIVIISSCKANFIQGEPKLSNLSATRFFINHDHEAVDDLRNAVRLANWRMN >KZM90248 pep chromosome:ASM162521v1:6:13113448:13114782:-1 gene:DCAR_022387 transcript:KZM90248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSGCEVCILEFAKKGFIFEPSAQEICENYLKNKIEGEKLRCKHLLFDENMYEDHSTPWEVFKSDDPRWLINNKSKKVMYVFTNLKKKGERVLRKAGCGTWSGQNRKAVQNEQGDAIVGYDRLHTFKTKEVEDGGNWTMHECTLHPKDYNCAVCKVLREEEVVDQQPSQEFPTASTDDNVAHEDMHQEDLEWGSFAETLENIILQQPEESGEACNENINNIGSDQFPTASTDDNQPEESGEACNEINVNNIGSDQLPIEIDDDNVGNENMINIIAKPQGSVDTPTEKYHADHDLPSDPISELFRDYEEPRDVQCHCHDDSSQVLVAEQAQQSESMALVIHLAENFDYYYKEQQQQERMAFILHLAENFDYYYKEQQQQQLQGGLKNLPGKRKNCDYDEEESRSKKKQKQQPFLCSLQ >KZM90476 pep chromosome:ASM162521v1:6:16099404:16099640:-1 gene:DCAR_022159 transcript:KZM90476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWYLVFHGDFSLAIELTNKEAPLCINTTSGLLLKVELAFLKDSTFASKPSIHCKTQNNCRVPNYEQVKIERLKNINS >KZM91835 pep chromosome:ASM162521v1:6:28225598:28227073:1 gene:DCAR_020800 transcript:KZM91835 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MDNLAHQDLHFILFPLMAQGHTIPMVDIAKLLSQRGAAVTIITTPVNALRFQATVTRAVQGGRDIRVVELNFPSEEVGLPKGCENFDMLSTHLLGGQFFAAAILLRESFETFLKDVEPPPSCMISDMCLPWTADVAHKFHIPRIVFHGPSCFALLSMHTLFTSQVIDSGSSERVFVPGLPRKVEVSKAMVEGFVKPSASSMDPSVMKAFLEQILESEKKAFGVVANTFEELEPEFIEEYANVKGKKVWSIGPASQCNKDVLEKLERGNKASISEHDCLNWLGSREPGSVLYVCLGSLARLATSQLIELGLALEESDLPFIWCVRYKTEEFDKWIIEENFEERTKGKGLLIWGWAPQVLILSHKAIGGFLTHCGWNSTLEGISAGIPLLTWPLFAEQFLNEALVVQILRTALSLGAERPIQFGDEEEVGVVVQKHDIKMAALELMDGGDEGRERRERARALGEKAKKAMEEGGSSHRNMNLLLQEITAQANV >KZM90691 pep chromosome:ASM162521v1:6:18203714:18204259:-1 gene:DCAR_021944 transcript:KZM90691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFTSSCTCSTPTQKNHFSYSFSKKTHSSTFPSKAQVKRFNFAVKYERTEDSDSPISSSSSAVISKDEENKDAHEKDLSGDGESEVEVEEQQEMDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKELDRENADNPVVGLLKKLAIDSLSRQKERLEKAEEAFKALDLNKVSLWFTAF >KZM90209 pep chromosome:ASM162521v1:6:12635289:12635793:-1 gene:DCAR_022426 transcript:KZM90209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNNNRDITNQMSNLSYTESHGCSYIRIERVFIKSATPRKMVYAKCINVHRTWSHTIPDVKYLFPRMIVKFLVAAKSCWNIVDLLY >KZM92247 pep chromosome:ASM162521v1:6:31795693:31796130:-1 gene:DCAR_020388 transcript:KZM92247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGKSLVAVPTNVIYLSSILGEDGTHSVHKCDWKCENERVCGNMYRCRLTGLTHICDKNCNQRILYDNHNSLCRVSRQVFPLTEAEEKAVKGVRRKLDAESSSSDTCAFKRRRSAQFQPSPFERSFSSAGPICSPVGDSMDMS >KZM91175 pep chromosome:ASM162521v1:6:22788424:22788627:-1 gene:DCAR_021460 transcript:KZM91175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLCSESMHRSINLLVELELKWSKNDSCCSLGVVTGKYIFGPPLEAHWKAKLEEEAAAKGSDASST >KZM92127 pep chromosome:ASM162521v1:6:30740191:30746023:-1 gene:DCAR_020508 transcript:KZM92127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYLKDFDIPAKHAPEEAQLRWRNAVGIVKNRRRRFRHIVDLAKRNEQKLKVQKIQEDLRLVVTTIKAAYKFIEALKPSKEAIDAGYNINPDKIAKIIRSRNNKVLEEHQGVSGVAAELNVSLDEGVETTDLPKRQKFLGVNRYTEKPSKSFWLFVWEALHDLTLIILIVCAVVSIGVGLATEGLPKGIYDGLGIILSIFLVVMVTAISDYNQSLQFKDLDKEKKKISVHVTRDGTRQKVSIFDLVVGDVVHLSIGDQVPADGLFISGYSLLIDESSLSGESEPVNINEKNPFLLAGTKVQDGSGKMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLIFAVLTFSVLTVRFLVEKAIHHEFTSWTSVDAMKLLNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSATCICTDKTGTLTTNHMVVDKIWVCGKPEEMKDGENHNTNHSDVSENVLPFLLQAIFQNTASEVVKNKDGKTSVLGTPTESALVEYGLLLGGDFDAQRREIKMLKVEPFNSVKKTMSVLVALPDGRTRAFCKGASEIVLGMCDKVIDYNGETVDLSEEFVQNITDVINGFACDALRTICLAFKDTDNNCDGIGLPDSSYTLIAVVGIKDPVRPGVKDAVRTCLAAGITVRMVTGDNINTAKAIAKECGILTEGGLAIEGPDFRNKSPDELMAIVPHIQVMARSLPLDKHKLVTNLRSIHKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIILDDNFSTIVNVAKWGRAVYINIQKFVQFQLTVNIVALMINFISACISGSAPLTAVQLLWVNLIMDTLGALALATEPAHEGLMNRPPVGRGVSFITRAMWRNIAGQSIYQMVVLFVFNFAGKQILGLNGSDATIIVNTFIFNTFVFCQVFNEINSRDIEKINIFRGMFSSWIFIGVMLATVIFQVIIVEFLGAFASTVPLSWQLWLLSILIGFVGMPIAVVLKCIPVERRVPKHHDGYDRLATGPEAV >KZM89660 pep chromosome:ASM162521v1:6:2963344:2963613:-1 gene:DCAR_022977 transcript:KZM89660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSSHGSWTVKQNKAFEKALAVYDKDTPDRWYNVAKAVGGKTAEEVKRHYEILVADIRRIENGNVPFPNYRTTGGNMQGTISTEGWM >KZM89667 pep chromosome:ASM162521v1:6:3040349:3041995:-1 gene:DCAR_022970 transcript:KZM89667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLRSSLLYLIASVLFLGSIYHNIFRSSISDDNFVRLSPNYNRHFHQQQLSIKTSDSTLSPTSTIPTYSVLLPAWQVLVVVSPEITPVSDDFFCLFPHNEVSPARASGRPERKTFTCELPVKLRRRSPFPEPVLIKSPAGLLPATIQSPAEMLRWNYLVYEAMFTEIDVVVFAKGVNKRQGKNWDPWELNCVFGDDVANAVRTAVTTSAQEVFRCQLPDLTSLSSRLIKVSLEFCGASKRVIPSIAYAEASNVVVAKSEPKSLLCACTMVYNVAKFLREWIIYHSKIGVDKFILYDNASEDEFYRVVGELVEQGYNISTKFWQWPKTQEAGFSHCAIHNRNTCKWMMFVDVDEFVYSASSSSSSSSMLIKSLLPNQTDSKLTPRVGEVIIGCHEFGPSGHESHPSQGVLQGYNCRKAMENRHKSIVLLDAVDDSLVNVVHHFHLKQGYAMIKLDIRNVVVNHYKFQAWPEFKAKFKRRVSAYVPDWTESVSPNSQDRTPGLGVTPVEPQGWPYKFCEVRDNGLKMLSEQWFGKASQSGTSFTALGR >KZM92550 pep chromosome:ASM162521v1:6:34269730:34270635:-1 gene:DCAR_020085 transcript:KZM92550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETWFYLLSVMSIYVFLFYLTKHQKQSRNTKNPPSPPSLPIIGHLHLIKDPLHRMLQDLSCKYGPIFRLKFGYRAILVISSQSGAEECFTKHDISFANRPRLLVGKHLNYNYTTIAAASYGQHWRNLRRLSAIEMFSTNRLNMFLSVRREETGSLIKNLCEEVRGDSVKVKMQPRLSELSFNIIMRMICGKRYFGVEVENQEEARKLAEMIKEAFDLAGASNPGDFLSFLQWIDFGGLEKRMKRVHSTNDAFLQSVIDESRQKSGSGKTKTLVDVMLSLQEGEPEDYSDEILKGMILVR >KZM90344 pep chromosome:ASM162521v1:6:14447614:14447980:-1 gene:DCAR_022291 transcript:KZM90344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMINAQPSTYKPFHPSYEEMIFHAICSLKRRSGSSSTAIAKFILRHYGGLPNNFRKILLRRLKELVACEKLVRVKNSFKLPSR >KZM92251 pep chromosome:ASM162521v1:6:31839744:31841168:1 gene:DCAR_020384 transcript:KZM92251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAKQEQEQAPVEVKVEEKKEEEKKEEEKKEEAKPPAPVVLFVDLHCVGCAKKIERSIMRMRGVEGVIIDMGQNQVTIKGLVEPQAICTKIMKKTKRRAKVLSPLPAAEGEPIPEVVASQVSESSTVELNVNMHCEACALQLKKKILKMKGVRTVETELSSGKVTVTGTMDGEKLVDYVYRRTKKQAKIVPQPEPEPEKPAEEPAKPEEEKPPAAEEKKEEGGGEEKKEGEAAAAAPPPLPEEAKKDGGDVAAEAEAKEEEIVMVNTINGEPMMQRMMYSSYYQPVYMIERIPAPQLFSDENPNACVIS >KZM92285 pep chromosome:ASM162521v1:6:32091507:32092484:-1 gene:DCAR_020350 transcript:KZM92285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIQSACIYAQKNKLHLTHIETSHWDIYELIRLQEHVIIPEDQLEGFRLFNTIHTNHYIEGTTDRRISWVPDHMNDPARIMAEYALENLTAFVEIPGPRVIGDLPLKIRALDSETDTPSAPVQTDHGNVYSFVTSVKGKERAYDELAFYNNGSLTKKAISILDSGALLQFSPVFGNPVLDLEAHIGNGLFAKDILHHACLDTLGIVSSVLSNMECAKASNLQDLEDMGLMSVNSVLSEMGFDPAPKAKDGSPPASV >KZM90568 pep chromosome:ASM162521v1:6:16944810:16948112:1 gene:DCAR_022067 transcript:KZM90568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASYMFSASPRLAAFISPTSLKGKSWSSISSSCMLTVNTNNGYRNSRKKTICAINMTAGGLGEPMKAKLDHISQKARSIWDSSPQPVKSFPWNKAAESFIQLIIDLVLAVMKYLSIPVLAISSLSEMSYCAHERKLFLIPIPILVGVALAGILKETALELSPLLKMLWLMIRIRTANVFPLFPASRSSLASGCCSNFLHVD >KZM92039 pep chromosome:ASM162521v1:6:30002010:30006322:1 gene:DCAR_020596 transcript:KZM92039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGKIQMQRMEIPVHLQLTFTSYLKSTRGADLDVEDCQTTEKQIQGLVVLLWVLEHFLRMELLITPNADILVKNDYSWESEANVPYLETPAVVGLSTVLLKSRRFIIHPEQVSRKIDVCLEDEIVNYLNRKEVQKNLVDTCSNPAGWQPDKSPGTIKKQLSPVAPVLEQVWKQKGKRIKELSYVQSQIQIIYGEIAVTVEHVGNPEIDESVLLPKKLEEFHVQLRQLPKEKSERLKKVLELVSTIHDLCAVRGIDFFSTVTEVHPSLNYSSGLQSKGINNDTLSRLATTVSALQEDKQQR >KZM91507 pep chromosome:ASM162521v1:6:25688098:25696490:1 gene:DCAR_021128 transcript:KZM91507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNLLRRLAVATTQGDLNMYRTVGVCNRVYSVGLFGQLNRCFSYSAVDMASKDGELRVFIVAGEVSGDAIGARLMASLKNLSPFPTRFAGVGGVMMSKQGLKSIFPMEDIAIMGIWELLPHLHKFRPLWSRLRFSSIPSLIWGYVISGPDYFSFILNLFLCILLSAFLRVVRDILQESLLKGLKKPSERTLALLARQAIAVTLPYEVNVLSESRLTVPDIQEVLLVSDEKFRTNRVVKSSVATGNNIREVVEAPSKDSSKPNMKIEVSQMSVGLLERGNPYRCLKGLSCLRILEPMLPVHFISDFARIVDSYEEDVERRWTEKFNLELAAAKEARAAAERRVIEIELKEKQSAEEIASLLRQKNKWERERADLTSRPFLKSWRLSERSCSKVKMKLINPLKRVMQPVEIVRMVCLKHTVKAAELFQPHVVVTIDSKGFSFRLLKQLRVRYAQQGLVGPPQFHYVAPSFWAWKGGEKRLRGLAEFVDHVLCILPFEEEVCKVNGLPATFVGHPTLEDVLELKLERNDATEWEVLGNAKKFRSEYGISSGSTVVTLLPGSRLQEVTRMLSVFSSTMEILKSSFTKLTAVIHVAPNRNVEDYIRKVVDEWSIPVVLIPGEISRVKYDAFAASRVALCTSGTVALELQLARLPCVVAYRAHLLTELFIRYKAKITHISLPNILLDSSVIPEALFTACTPSKLATLLMDLINNQACREEQIAAAKKVIELLHPVKRLEYINSGSPLFSYTPSSIAAHTVLHTQRIV >KZM91123 pep chromosome:ASM162521v1:6:22388931:22394135:1 gene:DCAR_021512 transcript:KZM91123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFASDAIYGNAGPKNEILKPNTTPSECSDDEISAEKNREEGLECQICWESFNIVENVPYVLWCGHTICKNCILGLKWAIVKLPPLPVQLPFFISCPWCSCLSFRLVYKGIIKLPRRNYFLLWMVENMNGGMAISHFSLCSDQPVFASNKSVDHVKSACVAATSPDPKYDFSGDGDHTTGDKDASIITMAYALHFASAGHLLSTSRSKFRSPNCSSDRQALFNRIAPVYDNLNDLLSLGQHRIWKRMAVSWSGAKAGDNVLDLCCGSGDLAFLLSDKVGTTGKVVGLDFSNEQLSVASSRQQLRAKACYNNIKWVEGDALELPFSDSYFDAITIGYGLRNVVDRQKAMLEMYRVLKPGSKVSVLDFNKSSDAFSTFIQEWIIDTVVVPVATGYGLAKEYEYLKSSIQGYLTGKQLQDLALEVGFSTAKYFEVGGGLMGNLVATRMQ >KZM89762 pep chromosome:ASM162521v1:6:4408602:4414818:1 gene:DCAR_022875 transcript:KZM89762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTSLYSATSVSAYIQSHNHTKFTYNNAKITFRARPIFSISTRTLRCSISVASKKGDSRGDAKGLTHIASSNYWVVKDYNRLVNSVNSLEQAIQQLSDDQLAAKTVEFRNRLAQGELLADIQPEAFAVVREAARRKVGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGQGVHVVTVNDYLAQRDAEWMGRIHRSLGLSVGLIQELGFDYLRDNLAGSNGQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASMDAARYPVAAKVAELLTLGLHYNVELKDNSVELTEEGIALSEMALETNDLWDENDPWARFVMNALKAKEFYRRDVQYMVKDGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLRVQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQVPVIEVPTNLPNIRNDLPIQAFANARGKWAYVCEEIVSMFRLGRPVLVGTTSALAIYISTMLDYQLSLDVKDFGIRRLWQPSLNTSSSLNTFHHLISIRQRNKGAHMIGNLFVHLSAVEIGGVGRKMLVDGGGKGELRPFHFNGGFTFILPQASAALSHGRTARTSRRSLVPPSLCPAATSSATPASSRRHTTANPPF >KZM89812 pep chromosome:ASM162521v1:6:5274257:5274598:1 gene:DCAR_022825 transcript:KZM89812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVPIAAELWSIFNGLKMAWEKGNVKSVFIELDCLEAVNQVNNLDPQFFLANLVDMIKARESEGWDSSAIVHVPSSSNEAATALARVYVDGEGRLVDLPRAPAFSHPIIDA >KZM90749 pep chromosome:ASM162521v1:6:18849276:18853397:-1 gene:DCAR_021886 transcript:KZM90749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTGRKRKGGEILESCRKNTISLTALWSRLSLDEYSTRKKKCKEVEVVESGKSLVKGVATAPVCGVSSLDPPGRGLKRKIGCIDVATRMGRKKKIELDYVLGEVIGKGKFGSVVRCRSKSCGEEVACKTVRKGKEIVHREVEIMQHLSGHQGVVTLNAVYEDSESFYLLMELCAGGRLLDSMAKEGLYSERKAANILKELMLVIRYCHEMGVVHRDIKPENILYTASGKLKLADFGLAVRISNGQTLTGVVGSPAYVAPDVLEGNYSTKVDIWSAGVLLHALLIGLLPFHGNSVESVFEAVKNVNLDFEGDKWESISQPARDLLAHMLTRNVSSRFTADDVLSHPWVRFYTEPTINTLTLSPELTRNFRLTKKQATPRSGLESDSSKAITRSFTGDDQGPVLTTRNSGKRPADKSNDLVDVLAEAISRVRISEPKRSRLCSPANPIEKECSSNIKSSSRILDPTMPLELSMARLKTRHSGRDPNS >KZM90304 pep chromosome:ASM162521v1:6:14007897:14009973:-1 gene:DCAR_022331 transcript:KZM90304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLSIPRSSISRSALSKCLFLVTCVLVVSSPPEDPIRCIASNNLSNCTITNSYGAFPDRSTCQAADVAYPTTEEELVSIVALATKTKRKMKVATRFSHSIPKLVCADGEEGLLISTKYLNHTLQIDESSGTMKVQSGVTLRQLIEEAAKAGLALPYAPYWWGLTIGGMMGTGAHGSTLWGKGSSVHDYVVQVRIVTPAGRDDGYAKVRTLDDHNQLEFNAAKVSLGVLGVISEVTLKLEPLFKRSITYIEKNDSDLAEQVSKFGKQHEFADLTWYPSQRKTIYRKDDRVSSNTTGDAWLDFPGFRSTPSAILAILRLTEDTEEATASADAKCTSAELTASALKLLGYGLTNNGILFTGFPVVGYHNRLQASGSCLDSPEDALITACPWDPRIKGLFFHQTTFSIALSKVPGFIRDVQKLVDLAPKSLCGAEMYNGILMRYVTSSTAHLGKQEDALDFDITYYRSKDPLEPRLYEDILEEIEQLGMFKYGGLPHWGKNRNVAFHKAIEKYERAAEFIRVKEMYDPQGLFSSSWSDQVLGLKDGLMIFQEGCALEGLCICSEDGHCAPDKGYLCQKGKVYKDARVCALANS >KZM92637 pep chromosome:ASM162521v1:6:35022622:35030956:-1 gene:DCAR_019998 transcript:KZM92637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHAADTPDDWVDGSWTVDCVCGVNFDDGEEMVDCDECGVWVHTRCSRYVKSEKMFSCEKCKGRKSGREGRVRSGDGEEENEAAGVLVEMPNERSGRSGESGGFRRRYRTQVPREERVHVQGVPGGESGIFGGSGLSGVFGAGLWKATGYVPKKFGFQYKEFDWGGGNESGGVEAGVDGGSVGFGAGKRTQGEEIKEKKGEGVDTDVRSTRKIGMMKDRISMHSTAVDRGKHNYEGPQNSNDVSRKKKARAVHVERDNSSKRSPSASRPGKGFKVDRNVISSGKHEKVTGNVRAEPSLKGFPINGVGVDESKNTVTTSGQASETCVVSTHRLLPKARSKDECDGLTAAIQNSSKISNNVQLLLDSNDSESLSAKTKPQFIGFPNESFFMFVQIIDINDSEYQVRLIIREVEEALRKYALLLHQKLNRSSRVPRVPRMRHAGSLPQLASPTGANMLMKQSPSSSAGKDHGPVFKRKGKSIAEEGTQNYQRTNDSDRTAVSATRREVDCGSAPGLQSANKSNPPAANKRYVSSRSKSAQTSDNGTGSDIIVTRRTLPGLLSEIITKRMTYKELCDAVLPHWPYLRKNNGERYAYSSHSQAVLDCLRSRREWAQLVDRGPKSNAGRKRRMYNAEALSSEDKDSNKKSTEVGDCKNVSESCQDQFPKGKRKMRKRRRRLALQGRGLKNMDIMRKEKEAEDVSYDEDSSQSSSSSGEESMSSEGGTIQWYERTGSSGGWAQVSASE >KZM89699 pep chromosome:ASM162521v1:6:3497703:3498280:1 gene:DCAR_022938 transcript:KZM89699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSKKRASSPDSAGERRRKRLIKNRESADRSRARRMAYTNELEQEIEDLKRENASLRAQLKEGKPRPHQEKAGGISSTAAATNQKLHSTKGNSLCRTLTAPF >KZM91207 pep chromosome:ASM162521v1:6:23133273:23134634:1 gene:DCAR_021428 transcript:KZM91207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGDVLYANCRCFEGKPESHYAEAVSIREALSQTKAAIDSREGSNDPDLQLCSSLSQGPPRPQLRANAQAFLDAHNNARAKVGVLPLKWSAILESNTSLVFQNQSGLRSCSFAKLSGTTYGVNLIWTTGPLVSPQEAVTSWVAANKYYNFAHNSCLSGQQCGGYTQVVWNESLELGCAQGLCADSALTICIYNPPGNTVGEKPY >KZM90603 pep chromosome:ASM162521v1:6:17292748:17293582:-1 gene:DCAR_022032 transcript:KZM90603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEDAKVVLVDEAGQERDTTYLAKHHGLSAGWKRFAMEHELVKGDVLVFHMITHYIFKAIKKSSPPARAKVVKFKTS >KZM92141 pep chromosome:ASM162521v1:6:30859493:30878071:-1 gene:DCAR_020494 transcript:KZM92141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSGRQLKAMLRKNWLLKIRHPFVTFAEIILPTVIMLMLIAVRTQVDTQIHPAQSYIKKELFIEVGKHDASPSFDQVLELLLAKGEFLAFTPNTTETRMMINILSFKFPLLKRVSKIYNDELELETYIRSDLYGAFDEVKSCWNPKIKGAIVFHDQGPQSFDYSIRLNHSWAFSGFPDVKSIMDTNGPYLNDLELGVNTLPIMQYSFSGFLTLQQLMDSFIIYAAQQSLTDSVSENEELPSLPPVINSSIKMPWTQFSPSNIRLAPFPTREYTDDEFQSIIKTVMGVLYLLGFLYPISRLISYSVFEKEQKIKEGLYMMGLKDEIFHLSWFITYSFQFAISAGIITLCTMGTLFKYSDKSLVFMYFFLFGLSAIMLSFLISTFFTRAKTAVAVGTLTFLGAFFPYYTVNDQTVSMIIKVLASLLSPTAFALGSINFADYERAHVGLRWSNMWRASSGVSFLVCLLMMLFDSFLYCAIGLYLDKVLFKENRPTYSWNFIYRWNFWRKKISVKHHDSISKGETSDKLTKEHSSPGPAVEAISLEMRQQELDCRCIQIRNLHKVYSSNKGECHAVNSLHLTLYENQILALLGHNGAGKSTTISMIVGLLSPTSGDAVVLGKNILTDMDEIRKNLGVCPQYDILFPELTVKEHLELFANIKGVKADLLDNVVCEMVDEVGLADKLNIVVRALSGGMKRKLSLAIALIGDSKIIVLDEPTSGMDPYSMRMTWQLIKRIKKGRIILLTTHSMDEADVLGDRIAIMANGSLRCCGSSLFLKHQYGVGYTLTMVKTAPDASVAANIVYRHIPSATCVSEVGTEISFKLPLSSSHHFESMFREIEQCTRRSVANLQTDCEDKHLSGIESYGISVTTLEEVFLKVAGCDFDEAECLGEQRETALPDYAVSQACDDYAPKKKNYSKICGNYMKIVGFIYIISHRACSLFVAAILSFVRFLSMQCCCSCMLTRSTFWKHSKALLIKRALSARRDRKTIVFQLLIPAIFLFFGLLFLKLKPHPDQQSVTLTTSHFNPLLSGGGGGGPIPYDLSRPISQEVARYVQGGWIQKFEKTTYRFPDSEKVLADAVEAAGTTLGPVLLSMSEYLMSSLNLTYQSRYGAIVMDDLNNDGSLGYTVLHNSTCQHAAPTYISLMNSAILRLATSKENMTIQTRNHPLPMTKSQRVQRHDLDAFSAAVIVNIAFSFLPASFAVAIVKARKMSGAELLTVEPSCSAKVIDIEVGSSQIFFEFAW >KZM89904 pep chromosome:ASM162521v1:6:7270241:7270405:-1 gene:DCAR_022733 transcript:KZM89904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPHHILEPSNRNPQLEMEFKNLNNSVESSATGSREGPPMIDRPPYPSLDPSFH >KZM90689 pep chromosome:ASM162521v1:6:18141177:18141656:-1 gene:DCAR_021946 transcript:KZM90689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAKTKKQPKIIYYLSAPLRLLSSCRDFYTNTLCDCGRIGHVGQMASYVAIPHQLSHSSFSRSSSFKESKDIEDLRELIRVMTLKNTEKHRTNANDGRKVKAIVDNSSSGRSYSGGVGQIGRIDEDAPCEFVQVVDVNKSSYPRCRSYARTTTRRVLL >KZM89918 pep chromosome:ASM162521v1:6:7414404:7415053:1 gene:DCAR_022719 transcript:KZM89918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNWGGDLREFRLVGFVEGWIESEVRFGGGDSRDAAGIRQRRGLLVSRGSFEVFAEGLVADFRPEAVVQELVVSEDDCGVTQSKDGEGCENSSTGGKQSFVCVWKSYAVVIVDVRWWRRSRSLLSNGPRWRLYGCCVWVTTEGGEGKLNYRPGSCSKGCG >KZM91725 pep chromosome:ASM162521v1:6:27386944:27395115:-1 gene:DCAR_020910 transcript:KZM91725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSRELVFLILQFLDEEKYKDAAHRKDRAVAVDILVKDLRVFSAFNEDLFKEITQLLTLENFRYRNNGGYWFPFRLLSVVVEACKILNPAQGPLPTALAGWMQTPAPVAHPSASAGLVSFNSSNNAALLKRPRTPINNPAIDYQTADSEHVLKRPRPFGISDDVNNPTANILSAGSTNQNPGQSSFSIDDLPKAVVMTLSQGSAVKSLDFHPVQQNLLLVGTTTGVVMVWELGTREKLVQRNFKVWDLKSCSMPLQASIASDYNASVNRVIWSPDGNSFGVAYSKHIVHYYAYHGSDSLQDKLEIEAHDGSVNDIAFSFPNKRCIITCGEDRLIKVWDANTGAKQFTFEGHEAPVYSVCPHQKESIPFIFSTATDGKIKAWLYDNLGSRVDYDAPGHSSTALAYSVDGTRLFSCGTTKEGDSYIVEWNESEGAVKRTYSGLGKQSVGVVQFDTIKNRFLAAGDDHMVKFWDVDNVNLLTTTDAGGGLQASPCIRINKEGILLAVSTNDNGLKILANADGIRLLRTVESRSFDASRIASAAIVKNGDSRNLSDLKPRITDEFVDKSRAWKVAEINEPLQCRSVRLPDNVSAMRVSRLIYTNSGCAILALAANAVHKLWKWQKNEQNASGKVLQNRN >KZM90035 pep chromosome:ASM162521v1:6:9766307:9772723:-1 gene:DCAR_022600 transcript:KZM90035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLKAAALCFFFVLCLPLFGAAQRSGDPPLAIARGGFSGIFPDSSLDAYQLALITGLPDMILWCDVQLTSDGAGICFPEVTLNNGSDIGALFNQSSKTYLVNGVSRTGWFSVDFTLDALTNVSLTQGVFSRSNLFDRSFLQVVTVEEVARQLKPPGFWLNIQHDAFFSQHNLSMRSFVISASRSVIVNYISSPEVNFLRSIVTRFKPSQTKLIFRFLGQSDIEPSTNQTYGSLLKNLTFIKTFSSGILVPKTYIWPVDKDLYLEPHTSVVLDAHKEGLEIFASDFANDIPFAYDYNYDPVAEYLNFIDNDNFSVDGVLSDFPITPSEAIDCFSHMDKNNSGPAIPLVISHEGSSGEYPGCTDLAYKQAISDGADVLDCPVQMSKDGTPFCLGSINLIERTTAAQSFSNLVVNIPELNSEGIFSFSIDWSDIQTLKPVISNPYSDAFLYRNPRNKNAGSFVALSEFLALANNATSISGVLIRIENASYLAEKQGLGVIDAVVDALSKAGYNNQTRKKVMIQSPNSAVLIELKEGKNNYELVYEVEEDIRDALNSTILDIKKFANSLVISKSSVYSKNIGFLTGATDVVSKMQAFKLPVYVKLFQNEFFSQAWDFFSDAYVELNTYVVGSGIDGVITDFPGTANKYRRNRCLTLGKDTPNYMTPVGPGNLLSVSQTQPAAVAPSPVLEVSDVTEPPFPSVVAKPDSNNGTGDGTTAPPPKQPSGQAKVVVGIFVSNLAILLVTVLLF >KZM90553 pep chromosome:ASM162521v1:6:16824747:16830218:1 gene:DCAR_022082 transcript:KZM90553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAVYTVEVEGSRPPAAGKPSAGPVYRCIYAKDGLMDVPSQYNSPWDLFSESVKRNPKNRMLGRRQLNEKKAGPYTWITYEEAYETTLRIGSAIRSRGVNPGDRCGIYGANCPQWIMAMEACNSHVISCVPLYDTLGANAVEFIINHAEVSIAFIQENKLPAVLKCLPNCTAHLKTIVSFGTISSTQKKEVEELGIDCFSWEEFALLGHLDHELPARQRTDTCTIMYTSGTTGEPKGVILSNGSFVGEVLSMHQLLVETDKPGSPEDTYFSFLPLAHIMDQILVTYWIYSGSSVGFWQGDIRYLIPDLLELKPTVFCGVPRVYDRIYTGVMDKIEQGGTLKKMLFQYAYSYKLGNLEKGYRQEEAAPLMDKIVFDKIKQAFGGRVRLMLSGAAPLPRHLEEFLRVTCCTVLSQGYGLTESCGGCFTSIANVISMLGTVGVPMTTIEARLESVPEMSYDALAAVPRGEICLRGTTLFSGYHKRQDLTKETLVDGWLHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENIESTYSRCPLVTTVWVYGNSFESFLVAVVVPERKPLEDWAAANNLSGDFQSLCQNGKAKKYILEELNSLGRKEKLRGFEMLRAVYLEPMPFDIERDLVTPTFKLKRPQLLKHYKECIDQLYIEGKAGNA >KZM91180 pep chromosome:ASM162521v1:6:22823839:22826227:1 gene:DCAR_021455 transcript:KZM91180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSSTVHETLKLIKSRDPCSKIYAAKEIRRLAKSSQHSRRRFYPAIEPLVDMLRSDCLEFNHAAILALLNLAVKDETNKIRIIEAGGLEPIIGFLKSEHQNMQEHAAASLLTLSASSVNKPTISASGAIPLLVNILRYGSPQAKVDAVMALYNLSTLPDSLNLILQAEPVPSIVNLLKTCKKSSKLAEKCIALLETIVQFDEGRNALISEDGGVLAVVEVLESGSLHSREHAVSTLLIMCQIDRCKYREPILREGVIPGLLELTVQGTSKSQPKAKTLLQLLRDSPYPRSEIQPDTLENIVCNIISQIDGEEQSGKAKQMLAEMVQVSMEQSLRHLQQRAMACTPADMPLTNCASEVSSK >KZM91481 pep chromosome:ASM162521v1:6:25481161:25482560:-1 gene:DCAR_021154 transcript:KZM91481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVMTVADATSSSTLNTSSSPASPASLLPVNIPLLSAFLACAIAQFLKLFTTWYKEKRWDSKKMLDSGGMPSSHSSTVMALVVSVGLQDGSASPAFAIAVVLACVVMYDATGVRLHAGRQAELLNQIVCEFPPEHPLSTSRPLRDSLGHTPLQVFAGAILGCIVGYLMNTST >KZM89968 pep chromosome:ASM162521v1:6:9003414:9004436:-1 gene:DCAR_022667 transcript:KZM89968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPSFISPQQLKQTLLNYNQDYTLNTTNHNINQEIQYPPSPCSDDDFNSNPITISLFFNPSKIRKTLHRCRSSPAMTVMHDTKKSVAKPVPNSEARIDKRHRRIAKWVLHREITVEDLVAADLNHNGFIRYFAIF >KZM90468 pep chromosome:ASM162521v1:6:16042711:16051714:1 gene:DCAR_022167 transcript:KZM90468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAERRLSLIQKHVAPVAEVDQDSVVQPNQTSGLFVQGQGYSVVLPEKLQTGKWNVYRSARSPLKLLTRFPEHPEIGTLHDVFVHAVETFRDYKYLGTRIRVDGTVGEYKWMTYGEADAARAAIGSGLCEYGLPKGACVGLYFINRPEWLIVDHSCSAYSYISVPLYDTLGPDAVKYIVNHADLQAVFCEPKTLNTLLSFLSNIPSVRVIVVVGGIDENLPSLPSAVGVKVVSYSKLLSQGRSNLQPFCPPKPEDVATICYTSGTTGTPKGVVLTHGNFVASVAGMTRSLKFFTSDIYISYLPLAHIYERTNQILSMYYGAAVGFYQGDNLKLMDDLATLRPTVFCSVPRLYNRIYSGILNAVNSSGVLRERLFNAAYNSKKQAIMSGRRPSALWDRLVFNKIKAKIGGRVRFMCSGASPLSPDVMDFLRICFGCPVIEGYGMTETSCAISTSEEGDNLSGHVGSPSPACEVKLVDVPEMNYTSEDQPYPRGEICVRGPNIFQGYFKDEVQTREVFDDDGWLHTGDIGLWLPGGRLKIIDRQAHYFLQKKNIFKLAQGEYIAPEKIENVYAKCKFVAQCFIYGDSLNSNLVAVVSVEPDVLKDWAMSTGIMCEDLGQLCKDPRARAAVLADMDAVAQEAQLRKFEYAKAVTLVLEPFTLENGLLTPTFKIKRPQAKEYFSKAISDMYAELDASDTKQEKF >KZM89995 pep chromosome:ASM162521v1:6:9331228:9332118:-1 gene:DCAR_022640 transcript:KZM89995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNTTAQKLEESGAMDLTGRIMVVAIIVLFLVSAFVVILHLYAKWYWRRVTQDGTNITRRRRMDFAAGHNEVASSTDLRNGLDPSFLKTIPVVLFSPRDFKDGLECSVCLSEVLEGERTRLLPKCNHGFHVECIDMWFQSHSTCPICRNSVLNSNPTSPELPQGIMHNTPEVEFPSDAGRVSTEALSFPTNVLYWGNEAQVRTLASCLEDDGGVTSSQHVSSSSLAFRNGGDDTNDAHLVIEIPRQINEEEDQKSPLQTRLRSLKKLLNRDRKVNPSSPSSTIDVEQAARTSHT >KZM92496 pep chromosome:ASM162521v1:6:33839530:33842902:-1 gene:DCAR_020139 transcript:KZM92496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFFFSTQKNLYAISTFLLLTLFLVSLLYFSKQALEPNLSLYRDHYYSTLSVSLNTRSEDLLNIHVPVSQNLSRNSNGSVQDSRNSNGSVQDSRNSNGSVQKSRNSEGLIDHSRNSNGSVQDSRNSNDSVQNSRNSEGLIANSRNSNGSVGNLRNPKGFQEDDESSVLENISDFSASDVTEGVKWKVSCDLYTGSWVKDEENYPIYKAGSCPFVDEAYDCQRNGRKDSQYTHWRWKPNGCDIPRFNATDFLTRLRGKRLMLVGDSMNRNQFESLLCMLYEGLSNKSKMYEIHGYKITKGRGYYIFKFEDYNCTVEFVRSHFLVREGTRVNGQGNNNPTLSIDKIDKSANRWKRVDILIFNTGHWWTHGKTSRGKNYFKEGDYVYPKFDAVEAYKKSMSTWAKWIDKNVDAGKSVFYRGYSSAHFRGGDWDSGGSCNGETELIKSGAILDDYPVKMKIVQDVIHEMKFPVVLLNITKLTNFRKDGHPSVYGKNRTMDEGKKISTRRQDCSHWCLPGVPDAWNELIYANLVLRTR >KZM90704 pep chromosome:ASM162521v1:6:18358255:18359670:-1 gene:DCAR_021931 transcript:KZM90704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRQSSSRNQRNKGFKVKHALQIFLLFAVCFWLIYQVRHSYDKKVFDDNDARNSQHLQTDDEILKLGRKDPHPQVKEPSKKNEKLDEEADEDIEVDIMAKEKEDKVKGGDGELDEHDQQKSDAELDRDKVIIDEEKELERVNEKKNGKVDTDRISKVEAEGSEDNLDHDRDTKNTHKAREEQYKADDASSAVTNEGQTSSSEIESGFTQNQTSTLGNEIKGIIGQNFTNSKVRDDETSGNRTSSMVNSEKGSEVLNSTSKGSNFEVTAESTDKLKLSNDTTEVGIELRDLPNGTEISTIDSSHARNDTDNVKGSAAGSNIQTVSEEHANNSSIAMVNSRLDSNTTEDSNTTDTILTGYVESNLGTFSDISNDQIALEHTAPSNASADTKDASEIIIIEKNRGAHLTETLGLSAEADGNTEGSESSNTENAGIIKNGTVDSVVTEDTLPQLDSVTSPDLRTEASNSEVAADE >KZM91793 pep chromosome:ASM162521v1:6:27857447:27859781:1 gene:DCAR_020842 transcript:KZM91793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDILTRVDVICKNYDKYDIDKRNHLTVSADDAFARLYADVESDIDSALQKAETAANGNNRASVVAVDAEIRRTKARLLEQVPKLQKLAMKKVKGLSPEEFVARNDLVLALPDRIQAIPDGTPAVPKHTGGWTASASHTEIKFDSDGRFDNEYFQQSEESNQFRQEYEMRKMKQACLEMIGEGLDTLKNMAQDMNEELDRQVPLMDEIDTKVDKATSDLKNTNVRLKDTVTQVIELPIF >KZM92635 pep chromosome:ASM162521v1:6:35016200:35017033:1 gene:DCAR_020000 transcript:KZM92635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKSSFSPSNPVDYTFRPHGPTEETRLYSFSNTKPPMSNSGVDTGIANISNTKNTKLPMSNSGVDTGVASISNTKNTKLPMSNPGVDTGVASISNTKNIKLPMSNPGVDPSIANTSAVGGSAVVRPSGSKPRGRPRGAKNKSHRNVINTAPQITSVVLEIHPGVDMVAWLKQFAQARNASVNVLNGSGMVSQVAYSHVASPHPIMLSETLNLVSLAGLVTPSGICLGSFAATLSRLNGSVFGVRALSLVAMESVVLTVLVSQNTEVITAPSPNHGN >KZM91626 pep chromosome:ASM162521v1:6:26635600:26637010:-1 gene:DCAR_021009 transcript:KZM91626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKAPTMTHHNWPTAFPNYHHMMPPSAAQPPYWVDEFLDFSSSRRNSHRRSVSDPIAFAEVQYGDDCSNGGNANIGFDRLDDEQLCNMFSDEVPPTVPSTSNPSTSSDHNSENEQEKAGLMTPQMPKKEPAEVDSECEPEMIRGQTFSAGKDDGDTVIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKLFKDAHQEALKKEIERLRRVYQDQSLKKMDQDGSVVPPPEAAAAAATNDHEREQ >KZM89553 pep chromosome:ASM162521v1:6:2000897:2001802:1 gene:DCAR_023084 transcript:KZM89553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHQSDSGDTLSHVSDSLSPSEEALSESWFRSWSTPSDNEMLDIIDRLPLDGPSYANGFLIEAPVVEEEDFNLDPMEEEIEPREWVASGEMEALDCPSYANGFFIDAPVVEEEDFNLYPMEEEIERERRWSEACGWFASGEMEAKARRCPPPYFIHIRLLGADALDRDRRFVLRPWDGGELVNVERIAEISNLRPRETRFQKDQFRIAYVKGFVHNLQTDNLDDKPNPFLLAKFRLYDGSESILVSLGDDTKDHPMITTGEVREGSVLVLYQATCFISMDETPHHRLSIGYSNILGIFN >KZM91224 pep chromosome:ASM162521v1:6:23331544:23333481:-1 gene:DCAR_021411 transcript:KZM91224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSYNNPALVDSTSFLFPNTPLLLPSYDNNNNDNIINNNGRICGFLGDINNSFPCFSQPFYPAAQGPLQEVSSLNVSSTTKVSSLSNSSSMVTDQNQNNMIPDSSLEPHKKRKSSSKFNSAQSKDTSEVIEKGKKQRRSRGGAEKKDEEINKNNNVEKGKEEKEGAPSGYIHVRARRGQATDSHSLAERVRREKISERMKMLQALVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASMNPMFYDFGSDMETIMIKPESVSFEAQQADCSPPQFTTNTFTPANNYPLLDSSGSLLLQHGQMPEIPHQGNGQLSWDSNEHRQKIMSQSGFPNNLCSFH >KZM92513 pep chromosome:ASM162521v1:6:34013502:34015028:-1 gene:DCAR_020122 transcript:KZM92513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFWTSLASLMGVWAFCQSIIHTVFPPELRFASVKIFQRIFHWASSYCYYDITEIDGVNTNELYNAVQLYLSSSASITGNRLSLTRGLNSSAITFGLTNNDRLIDTYNGVQVIWEHVVTQRQSQSFSWRPLPEEKRGFTLRINKKNKAGDIDEDLMEQLKQVIDKAEMTPADISELLIKNRRDKERAVWELLEALRTRAEKKEKGNVINEEEQEKRALETNGFEDDEMFKKFGKQEQREEEFSDEKII >KZM92424 pep chromosome:ASM162521v1:6:33297751:33301947:1 gene:DCAR_020211 transcript:KZM92424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRWAFFLLPTYLAITNVLSSTKIPTSKTYIVQINRSAKPETFGTHVEWYASVIQSVALEGDDNIDTERIMYNYETVFHGVAARLSLDEVQRLQQHPAVKAVHPESVYELHTTRSPFFLGLESADNTDVLSGNLTGHDVVVGVIDTGIWPESKSFSDRGLPPVPGHWKGTCQTGRGFDKAHCNRKIVGARMFYYGYEADVGKINERKVYKSPRDQDGHGTHVAATVAGAPVRGASLLGQAQGTARGMAPGARIAVYKVCWAGKCSTSDIIEGIDKAVKDGVDVLSLSLGAPTFAYQTDSLSIATFGAMERGVFVSCSAGNSGPNADSLANVSPWMTTVGASTVDRVFPSAVTLGTGRKITGASLYKGWKDGSLRKQYPLVYIGSNSSISDPSALCLQGSLNPKIVSGKIVICNRGLTNRVEIGQVVKDAGGIGMILANTYEHGEDLIADSHLLPAIAVGNKEGAAIKKYAMTNSHPTAALSFQGTRVGIRPSPVVAAFSSRGPNYLNLEVLKPDIVAPGVNILAAWTHSLGPSSLVTDKRIVDFNILSGTSMSCPHVSGIAALIKSRHPNWSPAAIKSAMMTTAYIHDNKYNPLADASTGAASTPYAHGAGHINPLKALNPGLIYDLGPQDYFEFLCAQISSTDMELFGNRTCHHTLASLGDLNYPALSVSFPEKGNNSAVTLHRTVTNVGKAVSNYHAVVSSFKSVVVEVEPATLHFTKKHQKLSYKVTISGKKRQTGPEFGHLIWKNKVHKISVQRLKAMSSLAEMKFAVQVEILGRVRHRNLIFLRGFCIGGDERIIVSDYMPNQSLIAHLYGQLASDCLLDWPRRISIAIGAAEGISYLHHEANPQIIHRNISASNVLLDSEFQAKVADFGVAKFVPEGVTHLTTRVKGTLGYIAPEYAMWGKVSKSCDVYSFGILLLEIISARKPIEKLPGGVKRDIVQWASPLVQKGAYELLVDPRLKGKYDCTQLKSLVIIAMRCTDSDPENRPSMIDVVALLKGGNVVTREDITVVRNMADGNEDEEFGEDGSDDTDLAPKLKKE >KZM92208 pep chromosome:ASM162521v1:6:31516798:31526402:1 gene:DCAR_020427 transcript:KZM92208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRKERIVTDLYSGTPNPQGVTSQPNKGIHRHTLDQNINVKVDPGTCNVCFAPCSSCLHTNRTRMEPKNAEYLDETSRESAISYSVDDTVETKKSRGRKSSQHTASEEGNMITMNSNLGLCSENAEMETALELTNISGSFQDTEVPNKLLYGGPVKEKLHTPSPPKKDLDDGNSMSNLVDMRGLEGHDDNISCVSGSDEASNMSNSNKEITDTKALTFAGSPSSLASEDYIKAGQSQEASFMDKHKLEVQNKSSGEVLSRAVSGQKSALYASCDIQENIIGHVGGNSEPSAIECLKVEAEYNRVEFPSKLLISLEEKKEVEKDSELLALPEARETSMHSDPVNESDESDILEHDIKVCDICGDAGREELLAVCCSCSEGAEHTYCMKEMMTKLPEGDWLCEDCKFEKREREEKAKYNLVDGDGVAQKNADLGNLDGLHTDANIIKAEKESLHGKIYRKRKADDTEVSSSAKRQVFESTIRSPKISSPRRADVLSRDSSFKNSDRGKVKPASQIPPGVQTGKSIPEVANPSLDARQSTSRGTFLRSKSFSSASAKQKVKHIDEVVPQKHKSNRDNASLGTKGGGSRTMGKSMSFHAFNSGGSNSTDLKAKMFSPKYSHGQDIKGQNTKERSLVERKNSLRLERPLVNSVVTTSTTLSPEVDRNLPVRDKTGPFCSTSNNRDVKSAQSDNKLIQVSRPVNKAISNGSDVPVLSGEGTKQLSASRNDNDEGKTVNAKTKVDCSSTSLSIEGPISKSSESLPDGLNKTRVSTNMVESARGNSNTQLKHSTAGEKFTLCQKCKEVGHSAEFCTIDSPEKSLVPDLHTSRSSKDLIHKDNKLKAAIEAALLKKPGLYRKKRTTDHFDELTVSGINSEVCSQDQQTNTRILRKSVSGDEVSMEAASAWNTNTEFVKQATGADVKQLTNSAEAVTALLHWPLPPATVKSVMDLPSNSHMSISDLPITLAIPKHEYIWQGCFEVCRSGKQPGCYDGFQAHLSAGASLKVLETINKFPSTVVLNELPRHSVWPIQFEENGVKEEHIALYFFARDHESYEKSYKSILESMIRNDLALKGNIDGVEILIFPSNQLPVKYQRWNAMFFFWGVLKGKRNSCLQKVPVSPKKTAGSRDTGTANMSSSLVPVDKDSPTFKKAGKTISDVHPMIDLQCSPLTKKNNGNIDGKSVSHSQQSDCVNATKEQQGCRLDCNSVPTDEIKPPESWEDTGSRTNSLERQVDKDSAIKVARSACDSNSSDDKETGHLSTPYDLHHLPQINSKVAAGEQDPASSRILGEVDNEEKLRMGNSGTEGFFEAETVAEKVTPKLPSHKEPYSRPSTCTKQLNIDSLVSKSEASIFGSSQALHVNDRDSIFDTGGIVNKKPRLDYNDLYDLNDHTSSSRDSLLMQDSVTSFPVLKKHEEGSDETSVRTLENAERYFFPVDPYHAQHLGLGDSSVLQKRRLSENVEEFFQDKIPNLELALGAEMKLPVKQSLPHFLASEIEKNNQSQPPGKLPVKQSFPHFLAAQIEKNSQDQPPGRTFTTAEEDASAALSLSLAFPFTDKAQAGRPVETKELLPTERQHEVNFLKGFLDK >KZM90488 pep chromosome:ASM162521v1:6:16233818:16234883:-1 gene:DCAR_022147 transcript:KZM90488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQTEEEKLKYLEFVQIATFHTVLYALKAYSYAKDNSGPLKNGVQTVEGTVKTVVAPVYDKYHDVPIELLKLVDRKVDESVHKLDSRVPLSVKLLSTRALSAAQNAPATARSVVSEVKGVSLVGTVSGLAKTAYATYEPAAKGLYSKYEPVAEQYAASTWRSLNQLPLFPQVAQVVVPTAAYCSEKYNETVQRTAEQGYKISSYLPLVPTKKIAEVFSGSDAQTETVDPSAGAGAVSN >KZM92764 pep chromosome:ASM162521v1:6:36121616:36122637:-1 gene:DCAR_019871 transcript:KZM92764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVSLNLFPLISSRQRLDINRVSADQRLLKKKSSANMFFRLDQSSKKDSSALHLCAYNGKNSGVLEKGLVSLNGALNSKVHEGIGIIDFFSGKKILITGATGFLAKVLVEKILRTIPEVDKIFLLIKARDKEAAMERLMNEIVGTELFKCLREKHGTSYERFVLAKLVPVVGNMRETNLGIKEDVANLIEKEVDVIINSAATTTFDERFDVALDINTRGAARIVNFAKKCKKLKLYVHVSTGNHSG >KZM91432 pep chromosome:ASM162521v1:6:25155053:25155421:1 gene:DCAR_021203 transcript:KZM91432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVRVADDFQDMLPTMADKLGGDGLIKELCNGFKLLMDQDRGVITFESLKKHSALLGLQDLSDEELRSMLREGDVNGDGVLDEMEFCVLMFRLSPELMQESEAWLEEALQQELGNSSFDHK >KZM90722 pep chromosome:ASM162521v1:6:18537679:18539973:1 gene:DCAR_021913 transcript:KZM90722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELLDLPDPVIPLSEHWFSPAEASSKRAHIKELIYRISDKRSLDVCSSDNKSPSESAEVVVSNNSRQFLNEFCASANSCMVNHSNYIAHQKFGTKPVVETPHILHCDGEPKLSNDEILESRYNTRSSTDGYTHPARQYNQSKSIMSPIEEHEESEEQETAMSNWDKELNATESKLLPGISVDFQEVEKQDNILVSGDQISAVLDLDVEEFDKMSAVPSTPTPSDEFADCVVEDSEEICETQDDDDVCQRSANHQNKASSFNQVANSVATSLPSESMLNDGLANCVVGASEEKKFMTPWLKAKFGCAYQQEVTAVLSSEESNFIEITDSGERHTSLTSDAEERMSAKRPRNMLLEEEEPLRKRFQTIVID >KZM89816 pep chromosome:ASM162521v1:6:5350389:5354687:-1 gene:DCAR_022821 transcript:KZM89816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMMPLIGNLSDVYGTKTMLTLPMTMFVIPLAILAYSKKRYYFYAYFVLRIVTAMVANDSVQCLALAYVEQYMYIISKEILWQTLKESTEHKNDILLFAHKGKRCTVIEVLSGVGSSAYLGGTLVARFRSDAQASQVSAFISILATVYMRIFLKESSRHNEVVRQPLLKTSKEHYESDGDSSSMTKVFKKIPSPADFVFLLKSSPTFLLAVTVAFFQSFGDGGLASSLLLLIMPLLIPLLGEEKLLSIGMLVGFLNMLVYSIAWSVWIPYAMTGFCMFIMFASPCGMDQGCISGISSIANIIAPLVFSPLTALFLSNNAPFHFVGFSLLCVGLAYVRRYCRV >KZM91673 pep chromosome:ASM162521v1:6:26994793:26996223:-1 gene:DCAR_020962 transcript:KZM91673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAEQVAKPHIALLPSPGIGHLVPLLEFAKHLVVHHNVHVSFLIMSSGEVSAIQDQLLDSSTLPPDLHVIHLPQVNISPSLNDDTSLITQLSRVCQESLKHLREILVELNLPKALIVDMFSTDAILICKELGVPVYSFFTSNTKGLAWVFYLARFMKEFRFAGLPNLIHVPGCESVGIDELPENILDVGDDVMRHFKRLSMVSGIFVNTWEDLESKSSWQNGIKNDPFYKTLPAPPIYPVGPVIKRDEAVAKSDDYIVSWLDNQSPNSVLLVSLGSGGTLTSEQMSELALGLEMSKQKFMWVVRKPNDFTSSGTFFNAGRGDDDPLSYLPEGFVERTAGAGLVVPTWAPQVAILRHEATGGFLSHCGWNSTLESLVHGVPMIAWPLYAEQKMNAASLIKEIEIAVKPLAVATEAGGKSSVVKREEVERVVRLLMESEEGKLMRVKANELKETAAKAFKPGGSSYELVSSIVKSWN >KZM90778 pep chromosome:ASM162521v1:6:19110830:19121857:-1 gene:DCAR_021857 transcript:KZM90778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTGSDRERGSSMDSIDSRWVFQDDDDDDNSDNDNDDDYEDLHPHDVIDSDEDDNGEMRLIRTGPRVDSFDVEALDVPGAHRNEYYEELQQKPLITMYQLSYYGELEHTAGNILEQLSDVTLGRSILLAFQTLGVVFGDVGTSPLYTFSVMFSKAPVNGNEDVLGALSLVLYTLLLVPLVKYVLIVLLANDDGEGGTFALYSLICRHANASLLPNQLPSDARISSFRLKVPSPELERSLKIKERLESSLTLKKLLLMLVLAGTSMVIADGVVTPTMSVMSAVGGLRIGVPGIEQDHVVMISVAFLIVLFSLQRYGTSKVGLVIGPALFIWFCLLGGIGIYNLVIYDSSVLKAFNPVHIYYYFKRNSTKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVLLVLPCLLLGYLGQAAYLMNNHADTTQAFFASTPSGAFWPVFLIANVAALIASRAMTTATFSCIRQSTALGCFPRLKIIHTSRKFMGQIYIPVLNWFLLAFTIALVCSISNIYEIGNAYVIAELGVMMMTTILVTLVMLLIWQINIVIVLIFVTFFLGLELTFFSSVLSSIGDGSWIILVFAIVMFLIMFIWNYGSKLKYETEVRKKMSMELMRQLGCNLGTVRAPGIGLLYNELVKGVPAIFGHFLTSLPAVHSMIIFVCVKYVPVPVVPQGERFLFRRVCSKSYHIFRCIARYGYKDVRKENHQTFEQLLIESLEKFIRREAQERSLESDGDDDSDSEDEASKSRVLVAPNGSVYSLGIPLLADYNDPMQSLTEASTSDEVNREDPSASDPEQSLEKELSFIRKAKESGVVYLLGHGDIRAKKDSWFIKKLIINYFYAFLRKNCRRGIANLSVPHQQLIQVGMTYMV >KZM90338 pep chromosome:ASM162521v1:6:14432044:14432238:-1 gene:DCAR_022297 transcript:KZM90338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFHAICSLKRRSGSSSTAIAKFILRHYGGLPNNFRKILLRRLKELVACEKLVRVKNSFKLPSR >KZM90607 pep chromosome:ASM162521v1:6:17383577:17383978:-1 gene:DCAR_022028 transcript:KZM90607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLNPNLIFTPSSFLPLCPPSFSVRCRAADVPAGPSFPRRWFNLAAVSDVSSSGRISYEGDVVSDAEKKKRINGKETKVNAKERWSRDRESYLTDDDDALPLPMAYPNTTPVGPDVIDKRLRCDPVIEVRDV >KZM91931 pep chromosome:ASM162521v1:6:29084410:29084562:-1 gene:DCAR_020704 transcript:KZM91931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIDTRYIKRWTKSMFGVFNPVFGVNNVLLAICKLIGGNKIRTDYLDKR >KZM91907 pep chromosome:ASM162521v1:6:28828471:28828914:-1 gene:DCAR_020728 transcript:KZM91907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIEKYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIRTDRIEVDKETIDLLASLGMSELPGIVLKEEQAPVAAMPYAAAGGRGGFGGGPRRY >KZM91193 pep chromosome:ASM162521v1:6:22909701:22917495:1 gene:DCAR_021442 transcript:KZM91193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRAVSRGFRIPAKRSGAGEALKPHRLFSTEARVGGSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMASDGSCQGVIALNMEDGTLHRFQSSSTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLEDQEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPQKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTVKGNDPDAIVPGLMAAGEAACASVHGANRLGAKQKPLEKDAGSRTIEWLEKIRNSNGLLPTSKIRLNMQRIMQNNAAVFRTQETLEEGVKLIDETWNSFHDVQVKDRSLIWNSDLIETLELENLLINASITMHSAEARKESRGAHAREDFTKRDDEKWMKHSLGYWENEKVRLDYRPVHMNTLDDEIETFPPKARVY >KZM90978 pep chromosome:ASM162521v1:6:20972191:20976181:1 gene:DCAR_021657 transcript:KZM90978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYENNAGNSGEYPNYVNANNLLIIPRVMFHDNSSLFYPSGYSFDTQMAYGQFPPLASPMSPFLADGQLYSPHQIPMLPNFYPQSISPGLPHVGSSRSMSQTEMATPLPSNIKLRYSTVKGAYFCIVLILSLSLPDQALILLLPLMSFVQFRVYVKAKECSEQVSMEEFAIVLAKHFTSFYQQWAIEYEEHVGDAFKLNHPDTTMAIMDKSGDADDCIATPEAIDLASKLSAEELKNLPLPGHVDFMNGGPSCQNVRNFVSFNKGQTFRLAIVSLLEMGYQVRFGILEAGAFGVTQSWKRAFVWAASPEETLPEWPEPMHVFSAPELKVALLGNKHYVAFRAPFRAITLQSCMLYSRIEEACIYMDLLYSLCL >KZM91953 pep chromosome:ASM162521v1:6:29300575:29303369:-1 gene:DCAR_020682 transcript:KZM91953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQIYGAFLSLLFLSHLSLSLSALPTQINSNSVLVALLDSRYTELSELVEKALLLQTLEKAVTKHNITIFAPQNEALERNIDPEFKRFLLEPRNLKSLQKLLMFHIVPSRVNSVHWPVQHKTLCGEDEMHLALSLGKESEFFVGDARVTRPEDVTRPDGVIHGIERLLVPRSVQEDFNARRSLRSISAVLPEGAPEVDPRTNRLKKPAPVPVGAPPVLPVYDALAPGPSIAPAPAPGPGGAKHHFDGESQVKDFIQTLLHYGGYNEMADILVNLTSLASEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHLIPEYQTEESMYNSVRRFGKVNYDTLRLPHKVVAEEADGSVKFGQGEGSAYLFDPDIYIDGRISVQGIDGVLFPVEETKPAKKVVPAVSKVAAKPRRGKLMEVTCSMLGVFGHDSHFNSCH >KZM89580 pep chromosome:ASM162521v1:6:2263878:2274965:-1 gene:DCAR_023057 transcript:KZM89580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKRSGAPAKHHQRQFSDNLFETTSSSASNNRWLQTAGLQHLQSSNPAATQDYGFYGGGGNSPLTPPGNSKVGNNYGGDEPSEFSPGLLDLHAFDTELIPEIPIPAMVDAPAMYRSSHGQSSDKSEPYYGASKLNIKDRGFPENNAFKSFVPDKEKASNVAKIKVVVRKRPLNKKELVKNEEDIVTIESQLNFLTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYFETVEPIVPIIFERTKATCFAYGQTGSGKTFTMKPLPLKASKDILRLMHHTYRNQGFQLFFSFFEIYGGKLYDLLNDRKKLCMREDGSKQVCIVGLQEYKVSDVETIKELIERGNATRSTGTTGANEESSRSHAILQLVVKRSADGSDSKPARVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGNKKDTLSSIVNLRESTTMLLSSSLPRVSTYESDIADSWPEQADGKVYDAPEDFYEPEKPVWKKSMKPEASYATEDKMRRLSDQTKLKNPLKIDSKISHPDDHLNALLKEEEDLVNAHRKQVEDTMDIVREEMNLLVEADQPGNQLDDYVFRLNAILSQKAEGILQLQSRLAQFQRHLREHNVLNQINKASSSSSLYSSSSSYSSSYTEDSVDPPPSTSAFTTTTASTFSSPSNIFYSVKKVDKKYAEAFDEIQPLQYDFYRDTCPGAEQIVRSSVRQIHQLRPDISPALLRLVFHDCFIEAGGPFYPLHTGRRDSPVAYPDLATYELPSPLDDLSRTTDLFASRGFDERETVSLLGAHSTGMIHCKFFHSRLNNFKGTGVPDSTLDSDFLDILRSKCFNNQSSSSSPLSSPSPSASTTASLPLSPSPSSVSTINVSAVSSIPDTAMKMDYEGPGRGFGTLYYRSLLQGKGLLFVDQQLTSGEETETWVRAYASDVNLFQRDFALVMMKLSDYRVLTAPMGQVRLDCRKVVI >KZM91048 pep chromosome:ASM162521v1:6:21659298:21661109:1 gene:DCAR_021587 transcript:KZM91048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDPVFSSMLGDDTRYDLEDDIRQLQRHILRLKLPRGPKWQPSYYDAKHLENMLNVLHKSTDENTDQYVRDTHVPVFFRNPAFENSAAFRDAEGRYDALSINLKLCLLCFSVFPVGAIIKKRLMVYWWIAEGFIETEGNEKDLEQLGAQYFYELMEKDFLKPYYDKRRLEVQTCKMPTFIHSVVTTIAARAKFFDFDSIGRPRADCPSSFRLALDRSPQLECYKGYEKFHLVFNLSANVLCLSLEWISTMKNVTVLYLGRWQSYANHSIHVVDDLFLTVINNMKHLRLLSLQGILGIMELPDEVTELKHLIILDLRACIRLQKLPRDIGLLKSLTHLDLSECELISMPKGLSKIKNLIVLKGFLVGEPSKHTCSLRDLSELPKLRKLSILTRLRKFPEEDDLKAFQLLAGLTKLKIEWRRLWKQIRGDNNQMQKQLPARLQKTEVQSVPEKTTPDYMNPMKKYLNNLCGVEELDNNQMQNNDADCPQLPAQLQKLELRCFPMRTAPHWLNPVKLKKLEKLYITASELCDLCGNIGGWNGPVNPWGVKVLRLKYMTCLAMQWKEVLKLFPELIYLENVNCPKLSFFPCDEAGVWINKKKVQSSN >KZM89410 pep chromosome:ASM162521v1:6:533088:534683:1 gene:DCAR_023227 transcript:KZM89410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIQSSPYSKTESYVDNKRKDDIRMANINAARSVADAVRTSLGPKGMDKMISTASGEVIITNDGATILNKMEVLQPAAKFLVELSKSQDIVAGDGTTTVVVIAGALLKQCQTLLSAGVHPTVISEALHKVSLKSIDVLTAMSVPVELSDRESLIKSASTSLNSKVVSQYSSLLAPLAVDAVLNVVDPVKPDLVDLRDVKIVKKLGGTVDDTELVKGLVFDKKVSHASGRLTRVENAKIGVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTELSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFRAEKLGFADLVEEVSLGERKIVKITGIKEMGRTTTVLVRGSNQLVLDEAERSLHDALCVVRCLVNKKFLIAGGGAPEIELSRQLGAWAKVLQGMEGYCVKSFAEALEVIPYTLAENAGLNPILIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAISLATECVRMILKIDDIVTVR >KZM92117 pep chromosome:ASM162521v1:6:30684364:30686463:-1 gene:DCAR_020518 transcript:KZM92117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRIPLVLLLLSLLVTGSLHITTGSRLLNEQQVGAQPTCGQAVGGQQPCNQQPNDQKPSGQQVQQPGSQQGQQGSGQQPGGNQDQQPKVQQGSQQGGDQQNCGKQVGDASQCGQQGQQSQQGQSQGQQGGSQQGGNQQGQQGGSQQDGNQQGQQGGNQQGQQGGNNQGQQGEQVGSNQGQQGKQDGSQQGQQGGNQQGQQQGQQGGNQQGQTSQQGGSQQGPQQGGSQQDQGQQGGSQKGQGQQGGSQQGQGQQGGSNQGQQGGSNQSQQGQQQGQQGGSNQNQQGQQGGINQCQQGGNQQGQQSCGQQGAQPVGICYGTFADNQPTAQEAIPLIQTVGIQRMRLYGPDHNALQSLRNTKIEVVIGVPNEQLQSVASSQDNANQWVQDNIKNYQEVNFRYVVVGNGITPAHDQTSQFAQFVLQAMQNIQNAISACGLQNKIRVTTAIDQSEILIQSCPPSQGQFRPEVRQFIDPIIKFLVNNNNVPLLVNLHPYFSYVHNKADIPSEFDAHGNSGQTPRLEYATFQRQDPILQDGPLGYTNVFDAMVDSVHSALEKAGGSSLDVVVSEIGWPTDGGDAATIENASTHNTRLINHVLNNGTPKRPEKRIETYIFNLFDENKRDSEEFERHWGVFDNNKQAKYQINFQF >KZM91866 pep chromosome:ASM162521v1:6:28511511:28512669:-1 gene:DCAR_020769 transcript:KZM91866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEASVLVHHGTSLNQLPLQKFKRWHWWLLVSINIFFLLAGQCAAVLLGRYYYDKGGNSKWLATLVQTAAFPILLVPLLFVSSTLKPSTESTSSSIAVISFIYVILGVVIAGDNMLYSVGLLYLSASTYSLICATQLAFNAIFSYFINSQKFTALIFNSVVVLSLSASLIAVNDDSSGPSGLSKWKYALGFLTTLAASALYSLLLSLMQLTFQKVLKRETFAVVLDMQMYTSVVATCVAIIGLFASGEWRTLHGEMNGFAEGRTSYIMTIIGLIFVVSSLFSNVISTLSLALTPIASVIIFHDKMNGVKIIAMLMAIWGFATYIYQNYRDDLKARKLHSEHADGNHQFSTSYC >KZM89516 pep chromosome:ASM162521v1:6:1468366:1469628:-1 gene:DCAR_023121 transcript:KZM89516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKVQVESREIIKPASPTPKSLSTFNLSLKDQLSPKFYVPVILFYASNPNVEQKKNLNLLKHSLSHTLSYMYPLAGRIKDVYSIECKDQGVEFVESHVAVSISSVLNNLEVDQLRQLVPFDPEGVPTPENQQCLLGVQVNYFRCGGTAIAICINHLVIDFAVIHNFFKTWAAITQGEKIEGTFVRDCTTLFPPQDVSGFNQLEVEKGTKSIAKRFIFSGSSISSLQEKLGEDLEQRPSRVVVVSTFIWAAIITTTPEKIWFMTTQMNLRNKMGNPEVLQNSLGNITHAVVTSAGSGTEYRSLVREVLNSIREVTTEFLKNLYKDKNYYSEYKKAVKEFSKNSDGIGLFSTSSWCGFPMYEVDFGWGKPVQLGTTRIPTNCVFLMDTIDGKGIEAWMSLPAKCMKMLEQNSDFLAHVSGI >KZM91629 pep chromosome:ASM162521v1:6:26680012:26680750:-1 gene:DCAR_021006 transcript:KZM91629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMKIDVAQAMKMGEKLPAPASGMEQLSVSFVHANELTYADPSAWIFHDDIAEFLGGNPQVDGTYDAKKLVLGSEYKVYYYVSMKDRKETHPVTLTLHLPDGKTKQRTETITLDPSRYQAVFVGKFLNIYPSGDIKFTFSGVTGDTWKGLLLEYVLITSNG >KZM92325 pep chromosome:ASM162521v1:6:32528756:32538268:-1 gene:DCAR_020310 transcript:KZM92325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKKEKFVQFQDWKSDRSSEGQDSTNNGFSLGNYKSKISNKFQRGFEQSSEGIKNFRRSLRSHSFSSILAKGLGSSKKILDPQGSFLQKWNKIFVLSCMVAVSLDPLFFYIPVIDDDRKCLVLDKKLEKTASVLRSFTDIFYIIHIIFQFRTGFIAPYSRVFGRGVLVEDGWVIAKRYLSSYFLIDILAVLPLPQVVILIIIPQLRGSKSLNTKNLLKFVVLFQYIPRFLRVYPLYKEVTRTSGILTQTAWAGAAVNLFLYMLASHVLGAFWYLFSIERETTCWRNACNDQSDCIHSTFYCDTSGRYRIDLEEACPIKSPNTTRFDFGIFLDALDSGVVNSMDFPKKIFYCLWWGLRNLSALGQDLETSTYVWEICFAVSVSISGLVLFSFLIGNMQTYLQSTTVRLEEMRVKRRDAEQWMSHRLLPETLRERIRRYEQYKWQETRGVDEENLIHNLPKDLRRDIKRHLCLALLTRVPMFEKMDEQLLDALCDRLKPVLYTEDSYIVREGDPVDEMLFVMRGKLLTVTTNGGRTGFFNSDYLKAGDFCGEELLTWALDPHSSSNLPISTRTVQALSEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYRRKKLEESLREEEDRLQNALAQGDGSSPSLGATIYASRFAANALRALRRNSTKKARIPDRVPAIMLQKPSEPDFTAEDK >KZM91628 pep chromosome:ASM162521v1:6:26673026:26679595:1 gene:DCAR_021007 transcript:KZM91628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDECLALEAAEAEPLRSKKPNSKTPSKSRKNKDTDPKKRDLKSIELSLTKPSYNLQRGRATLTTDLKSLRWLHRNRLSGFLRKLVRRHNWDEVSGVLSVLMKATCKDRSPEHNRTKYLAALELLKHTDSEISGKNNFQNVFETWMRKLGTARGWQTKDRFLVQLEFILFCLTQGKTEDANQAVIWLMQEREFTENPISNLVVGLAFCQDWYDTLCIEFPTLDIRGSDAPSQSEMSEPAVEMSFDNRGSHIADEFQEDNYTVKCNSDTSVRNDKEMECEEDQKVSVNVDMHTETPHSFHKPQSFYVHSSESLEPWLLASRVLYSVKSLDEFLYLQKKVHNESYKGALKYLRAALHSVPSTPEALFPLVQMLLLGDQVKEAIDEVEKFSSDTSLYARLKASLLEHFDSNNHIYLSTCFEDALQKDPTCTHSLTRLLCLHQQGDYSGEKLLEMIALHLDATYAECKIWKEFASCFLKLSLSEEDRISTCLTGDEKNLQGQFNSFNRKPVIVADSVMGREWKLRCRWWMTRHFSKSILVSEFEAGDVQLLTYKAASACHLYGQDFEYVRKVSEWLVKDGNNSDMFSFLQMHMQYSVGFYHRSKRNVY >KZM89851 pep chromosome:ASM162521v1:6:6125042:6125362:-1 gene:DCAR_022786 transcript:KZM89851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGKSNKMPQTAVLKQILKRCSSLGKKHGCDNLSGLPVDVPKGHFAVYVGENRSRYIVPISFLTHPQFQCLLQQAEDEFGFVHDMGLTIPCEQVVFESLTSMLRC >KZM91680 pep chromosome:ASM162521v1:6:27038495:27038713:-1 gene:DCAR_020955 transcript:KZM91680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISSACPLLRIEHTCFLNCSVLHLPTLTRAVTFSPPIYYSKITFDLQDIRDQVPGKTGCTGSVMVVFVSA >KZM89486 pep chromosome:ASM162521v1:6:1196789:1197366:-1 gene:DCAR_023151 transcript:KZM89486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYHSQFFGGYEEECQSSESGWTMYIGSPADDDDDGEAKNDVEEQYKGGGGDVESDDSMLSDASSAMTSNIKKKDVYHQEAKKGDVELKKNARKSMKKGAEKIEKPEKKKDEKSTSMNAAPQSGNKGIIVVVLIDFPEQIEENGSKFSHVISWVVDYVI >KZM90151 pep chromosome:ASM162521v1:6:11730587:11731327:-1 gene:DCAR_022484 transcript:KZM90151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTHVMTSRERMPDAISIDKYQQGENPDPVFHDPVSNQKDIPPSGVHDKEEQVRVGQFATTQSLGTIILTSKTAEQGTALSSVPEIVQDALQQNQDPDRYLPVSKSDQDKSSLSIMQEKVLEKLPLRRNPEVAQVFEDFVEVYNVHGYPDN >KZM91538 pep chromosome:ASM162521v1:6:25879400:25882078:-1 gene:DCAR_021097 transcript:KZM91538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAMLCHDHERSVLLHFKQSPSLNESASYDSSAYSKTESWKARGENGTDCCSWHGVECDEKTGYIIGLHLNSAYLYGTLGSNIFTLVHLQTLNLADNNFRRSTIPHEISHLSSLSRLDLSFSVFAGAITTELSKLFKLTYLDLNENDFSGIFPVDIFHFPHMLVLDVGDNDNLTGVLPEFNRTSSFKELRLSFTNFSGNLPISVGNLQSLTKLKLGACNFSGIHGKIPHWIWNVSDHLGAVDLSSNFLTSIEHNLTVFSIPPPNTIEYRVSNNRLTGDIPPLICSGMSLTILDLSYNNMSGPIPRCLSNSLASLNLQSNNFSGTIPQLYSEDCNLKEIDLSQNQLKGEVPKSLMSCKMLEILDLSDNQIEQTFPAWLGTLPQLQVLFLHSNKFHGALGSPRSPLEFPMLRIIDVSHNSLTGVLPVEYIKIWNAMKMHSPDTELYIRIDVEFNTQTRSWHYQQQQSSITLVNKGVETEYKQILNILTAIDLSSNNFTGEIPESLGSLKELELLNLSNNELTGPIPQSLANLTKLESLDLSQNKLTGVIPQQLASQLTSLEFFNVSYNLLSGHIPQGSQFGTFDKGSYVGNSGLCAFPLSNCGTVHSPPADGDEGDSDDTYEFPSGFDWMFILAGVGSGLVVGFVMGNILTDRYPWLISSIAQSFACREKKQRRAKRSIIRR >KZM91285 pep chromosome:ASM162521v1:6:23818488:23821653:-1 gene:DCAR_021350 transcript:KZM91285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVCGKRSYFDDLPNSPSSVSPPVSKRLRFHSSASPFRSSPSPPRPSPVEQLRALFPGMDNQLLERALEECGYDMESAIKNLRNLCLGQTEGNSESVAKSTPDSETGVATADGIPPKDNLAQDNLQLEGAEWVELLVREMQSATSMDDARSRATRVLESLEKSISLRASAEAAQSFHKENAMLKEQAEGILRENTILKRAVAIQHERQKEYDEKNQEVQHMKQVVAQYQEQLRNLEVSNYALTMHLKQSLESNFMPGNSNRDVF >KZM91488 pep chromosome:ASM162521v1:6:25526246:25532950:-1 gene:DCAR_021147 transcript:KZM91488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPGKKDLDTYTIKGTNKIVRLLSEAFNVLGRFHPSCMGMTIDEAKMLEQFLCGECASEEDAKRSSNTFPVPEPSTEAKVIRSLHRLVGGYKRDLITKAQKEMTLAMPRHGGILNFLVVSVAVVCCGIVQCEEVTVLAQTGGFGKLT >KZM90040 pep chromosome:ASM162521v1:6:9905365:9908615:1 gene:DCAR_022595 transcript:KZM90040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLQLRGPTLMSSSSPSSSIGIGGSYHHHPHNAPFYPSISKLTCPPTTSTSSTRLRRRIACSLSPLDSAKIKVVGVGGGGNNAVNRMIGSGLHGVDFFAINTDAQALFQSAAENPLQIGELLTRGLGTGGNPLLGEQAAEESKEAIANALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQAGAVFPFPSFCFLGYIDLARLHLAEALEAIEKLQKNVDTLIVIPNDRLLDIADEQTALQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDERYNGEIHVTIVATGFAQSFQKTFLTEPRGLKSNERGAGGQESIKTTVPLKTLTPSGTQRSNPRKLFF >KZM91840 pep chromosome:ASM162521v1:6:28263227:28270197:-1 gene:DCAR_020795 transcript:KZM91840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERSRHSRRRSRDSESSDDSEYERERDRKKKKKKITEDDIAEYLAKKAQKKAMKVAKKLKSQSVSGYANESNPFGDSNLNEKFVWRKKIERDVTQGVPLEMFSVKEEKRKQRERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKARSEIRLREGRIKPIDILSKHLDPSDDFDIEIDEPYLVFQGLTVKDMEELHEDIKMHLDLDRATPTHIQYWEALLVVCDWELAEARKKDALDRARVRGEQPPAELLAEERGLHSSIEADVKNLLQGKTYSELEVLQAEIESQMRSGTAKVVEYWEAILKRLHIYKAKACLKEIHAKMLRKHLEHLEQPSGSEENVEREDDTGRDHRSLTPQEVDTVHDGKDDQPLSPEPITYEEIEEAEEAGSYSPELLHGEEAEEAIDPEEDKAMLERKRVAVLEEQQRRVQEALASRPVQSTEDNFELKAMKAMGEMEEGDAVFGNNDEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPIYTIEKDGDSAETCIIRFHAGPPYEDIAFRMVNKEWEYSHKKGFKCTFERGILHVYINFKRHRYRR >KZM91328 pep chromosome:ASM162521v1:6:24181974:24184390:-1 gene:DCAR_021307 transcript:KZM91328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSQRDILRTHNIIVRSTIDRYKKTCADTSNVGSVSEANTQFYQQEASKLRREIKALQNSNRNIVGEGLGSLTFKELKNLEGKLEKAITKIRSRKNETLFAEIELMQKREIELQHANMYLRAKISENERVQQHMSLMPGGGSSHSHHQYHQQSMAPDSEPAYNHGARNFLPVNLLQPDHHDDHYSSQDQTVPLQLV >KZM90818 pep chromosome:ASM162521v1:6:19537441:19549512:1 gene:DCAR_021817 transcript:KZM90818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNGTESIGECSTSTSASSQQDIEDDRMIAVLLTEEYANLDNAVGRRLSNLAPIAHVPRINTYIPNISDASMDHQRLLERLNAYNLFEVKVSGDGNCQFRAISDQLYRSPEYHKHVRKEVVKQLKENRSLYEGYVPMKYKKYYKKVAKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFIEIVPQYQEAKRGLWLSFWSEVHYNSLYEIQAAPVQPKPKKKHWLF >KZM92731 pep chromosome:ASM162521v1:6:35874024:35878507:1 gene:DCAR_019904 transcript:KZM92731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQKIKVLNPIVEMDGDEMTRVFWKSIKEKLIFPFLDLDIKYFDLGLPNRDATDDRVTIESAEATLKYNVAIKCATITPDETRLKEFNLKQMWKSPNGTIRTVFREPIICKNIPRLVQGWNKPICIGRHAFGDQYRATDLVVQGPGKLKMVFVPDGQSEKTELEVFNFTGAGGVALSMYNTDESIHAFAEASMNTAYQKRWPLYLSTKNTILKKYDGRFKDIFQEVYEKEWKSKFESVGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNALLLDFTEKLEAACIGTVERGKMTKDLAILLYGSRVTKAHYLNTEGFIDAVAAELRSRLYKKSKL >KZM89863 pep chromosome:ASM162521v1:6:6239898:6243152:-1 gene:DCAR_022774 transcript:KZM89863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYSSSSCTLLLLFTWISLASPYDGPLYDFSAYTECKLQPEQPLYGGGVIGDEAFYTPRTTGDNKSILFSPAFVLHNITQGTIYSFSVWVKIDAAESASIKATLMIENTTDSCIGSVSAKRGCWSFLKGGFISRSPHNTALINFQSSDARDIDIQVRSASLQPFTEEQWRFNQQYKINTERKRAVTIHVSDKEGIRLQEATIHVEQISRDYPFGSAIAKSIIGNTPYQKWFVERFNAAVFENELKWYATEAKQGQLNYTLADKMLEFIRANQITVRGHNIFWEDPKYIPNWLLNLTASGLQSAVNSRIQSLMSKYRNDFIHWDVNNENLHYDFYEKKLGPNATLDFFKTVHTSDPLATLFLNDYNVVETCNDVNSTVDKFILKIDELKRGGAIMDGIGLESHFTVPNLPLMRAILDKFATLGLPIWLTEVDISNKFDHQTQALYLEQVLREGFSHPSVNGIMLWTALSPKGCYQMCLTDDNFNNLPAGDVVDKLLKEWQTGTLESRTNEQGSYSFYGFLGEYKVTAQYGNSSSTSTFSLCRGDETKHYNIQL >KZM91161 pep chromosome:ASM162521v1:6:22672540:22672698:-1 gene:DCAR_021474 transcript:KZM91161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYQVDIPEICQKKRKKKVDIPESSSLNLYVDEIVQVRGLYARGITVTETSV >KZM92142 pep chromosome:ASM162521v1:6:30880835:30891390:-1 gene:DCAR_020493 transcript:KZM92142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTKALEPAFQGAGQKLCILYVVWCLTPISGTEIWRIENFLPVALPKSDYGRFYSGDSYIILQTSPGRGGAYLYDIHFWLGKDTSQDEAGTAAIKTVELDAILGGRAVQYRELQGHESDKFLSYFKPCIIPLEGGVASGFKKPEEEEFETRLYVCKGKRVVRLKQVPFSRSSLNHDDVFILDTKEKIFQFNGANSNIQERAKSLEVIQFLKEKYHEGKCDVAIVDDGKLQAESDSGEFWVIFGGFAPIGKKVASEDDAIPEKTAPKLYSIIAGQVNEVDGDLSKSLLENNKCFLLDCGAEVFVWIGRVTQVDDRKAAIQVAEEFIASQNRPKSTHVTRLIQGYETNTFKSKFDSWPSGSAPSAPEEGRGKVAALLKQQGVGLKGASKSSPVNEEIPPLLEGGGKTEVWRINGSAKTPVPKEDIGKFYGGDCYIVLYTYHPHDKKEDYYLCYWIGKDSVEEDQKMAARLVVTMSNSLKGRPVQGGISSGYKNYIADKGLNDETYNADCVALIEISGTAVHHNKAVQVDAVATSLNTNECFIVQSGSSIFIWNGSQSTVEQQQLAIKVADFLKPGAVVKHAKEGTENSSFWFALGGKQSYTSKKVCPDVVRDPHLFTFSIDKVKFVTPSNYFYFVFLLPCRLPGKFEVEEVYNFSQDDLLTEDVLILDSHAEVFVWVGQSADSTEKQDSLEYGQKYIDMAVSLDGLSPYVPLYKVTEGNEPCFFTTFFSWDSSKATAYGNSFQKKVMLLFGTGHSAEDKSNGTNQGGPTQRASALAALNSAFSSSPGPKRDASPKSAGVSRGSQRAAAVAALSSVLTAEKKGSPSSSPAQSSKIPPTEPMHSGKSENLPEVTDSEETTEVEETQIVQPVSENEESEPKPKVEQEENGSGSQITFDYERLKAKSDNPVTGIDFKQREAYLSDEEFQTIFGMLKEAFYKLPKWKQDMLKKKVDLF >KZM89561 pep chromosome:ASM162521v1:6:2103457:2104062:-1 gene:DCAR_023076 transcript:KZM89561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNLAIAAKKMWSLVRVLYFMVRKGIAKRKFMVDLNMMMKRGKIAGKALHNLMFHHHHNWAAAASSRRSFVAPRPGDYEFSCSNSPAYPISLFLNKHRKNHTIDHSHFFSCNQSPAMDDDVAAVNAVVKALEMLQHSEAASPALPGFGRSPLVRQLRITDSPYPLSNDVADDSHVDEAAEEFIMKFYKDLKKQNLMSSFG >KZM90030 pep chromosome:ASM162521v1:6:9738555:9739934:-1 gene:DCAR_022605 transcript:KZM90030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSRLEGRQAESSTRWEEALNLYQEVILGDDESLSVQATIKLSRLSSLAPENIIVRTVPILVSLLCRSSTTESTSMLTACAYCLKCIACQGDGRLATIIAQTGATKVILSILPHSEGHLQKILLKCLRNVIVFGDADQMIVIGDGGLEVILAMLKSCFNGLRKYLLEILSTLALSREARRVIFSLGCVSFIVESARQGSMISRTRASQVIGLLGLVRRARRMLVDLGAIQVLTGLLRDGDTSAKLVASNALGVISSHANFIRPVAEAGTIPLYADLLQGPGPMGKEIAEDVFCILSVEEPIALTISEHLVRILGGNNSEAQAASADVLWNLSGYKHSFSVVQRSGAIPLLIELLSSSDSDDVKERVSGVIAQLSYNKSDRMALANAGVIPLLTNILQDDSAELRDNAAEALVNFSEDPLLRPRIADAVNIPSFQNMQNRLMQIRASDARFADLEIDDD >KZM90312 pep chromosome:ASM162521v1:6:14066076:14067699:-1 gene:DCAR_022323 transcript:KZM90312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNHHLDHKDDDEDEHEHDMVMPGFRFHPTEEELVEFYLRRMVEGKRFNVELITFLDLYRYDPWELPAFAAIGEKEWFFYVPRDKKYRNGDRPNRVTTSGYWKATGADRMIRTENLRSIGLKKTLVFYTGKAPKGIRTSWIMNEYRLPHHETERLQKAEISLCRVYKRPGVEDHPSLPRILPTRASSSRTNPSTKRHDRDPPAQHHAMEKLQVFGDQTVQQTSQKLSDTISADSTTEDIGTTSLCLSSQNPSSSLLINSPNTIHDFHTLISYHQASVNQPHQFFPDTLQLSANNVLQPAQQLQSLTLAVFPAESAQSAYSDRVMWDWNSIPEATASRDHGAFLGRFSGSI >KZM89419 pep chromosome:ASM162521v1:6:596082:598313:-1 gene:DCAR_023218 transcript:KZM89419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYNALVACSLIFLVFVQYVLGLSARAELPPGPNGLPFLGNLLQIGPKPHRSLAKLAEQYGPLFAIRLGRVTNVVVSSAEMAEEVFRKYDAEFSGRAVPDAVAGGLQNHDLALPWISIGDQWRTIRRALSVYLTNPKKLDMLQGLRLKVVKQMVEHVKEISERGQVVNIGRLAFATALNQMSNTCFSTDVAHFNSDEDGSEFQNAVKTIMKVDGKMNFADYFPWLKIFDPQGIRRDAKAAYSWLDQLCEKFIIERLKHRESNFSPHGDLLDSFLDFRQENLVYFDLKHIKVLLMDLFIAGTDTNSSTIEWAMTELIQNPSIMQKLREELTHRIAEKGSLEEAEILEIPYLQSVLKETMRLHLVSPFLLPHKTVTNVKFKGYTIPKNTPIIINAWAIARDSNSWENPTDFTPERFLSSEIDYKGRYFSFLPFGSGRRICPGIRLAERALHLLFLFMSNKLSADDAKQVHILAN >KZM92057 pep chromosome:ASM162521v1:6:30150225:30151902:-1 gene:DCAR_020578 transcript:KZM92057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIERETSLLDLPDLALDCILEKLSPAELSSMACVCTSLRNVCVDDYFWERHFNHKWGRIIGDIACREWKCSIALRKKQALSDCADKVGIFRFFGLNSRRKQRTTLPLESIMSWYLSLETGKYWFPGQVVNRENGNVGFMLSCYDAELSYDCRSDNFRARFAAQGRATMEHDINWDRIRASTVKTPAHDLHHSDCLDELQPGDHIEIQWRRNKDFPYGWWYGIVGHLGSCDGCKLHCQCHSSDTVILEFKQYTPGSRWRETVIDRKHHSEIGDETYGFYGGIRKINDKDEISMWKRIWPKEVVE >KZM90793 pep chromosome:ASM162521v1:6:19295259:19302044:1 gene:DCAR_021842 transcript:KZM90793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFGVSNQNPNKSTEVTQPPTDSVSSLCFSPKANFLVATSWDNQVRCWEVMKNGTTLATVGKAQIAHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPVTVAMHDAPVKDLAWIPELNLLATGSWDKTLRYWDLRQSNPAHVQQLPDRCYALTVKHPLMVVGTADRNLIVFNLQNPQAEFKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDAQQSKNFTFKCHREGNDIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSNPIPCSSFNNDGSIYAYAVCYDWSKGAENHNPATAKTNIFLHVPQESEVKGKPRAGASGRK >KZM91718 pep chromosome:ASM162521v1:6:27340398:27344349:1 gene:DCAR_020917 transcript:KZM91718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVEKKEEAVYKELPAPAGWLKKFMPKQGGARKKNEIIFTAPTGEEISNKKQLDQYLKSHPGGPMISEFNWGTVETPRRSARFTEKAKAAPSPESDPPAKRSRKSSTADDEKEKEIAFEKNLVNEVQMEEAEKIEKEAVAEQDEVQDLDKAGHEDKTDETQYTTKGVEKDTQDEIQDTDDKMVHASTEDIKQPEDPGCGKKTEAEIPVTSEISKTNEVQMQEARFQAAAEKSPIEAQFQATVEKMPSEEQFQAAVEKTPIEEQFQVEVEKTPIEAPFQAAVEKTPLEAHTEAGTREQGKQDTISKENIEHTDYGSKTAEQSDVVSKGDEGQMAGNGINGKAI >KZM91001 pep chromosome:ASM162521v1:6:21215912:21217180:1 gene:DCAR_021634 transcript:KZM91001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTISEETTASKDLSLIKEEVIEKEQAELVPLLTGGATKVVYAPDPFDVGRTLQDDITKDALILTLTTTGPIDTAAGLGNYVEALVLRHDTEFNVFLFPGSYCPDEWSRASIRVNSCTIRKESMPRSIGPKFSILITSYEVTMNDAEKYLIHYSWRYIVVDEDTCSKILNANIEKIKTFDY >KZM92337 pep chromosome:ASM162521v1:6:32608776:32609813:-1 gene:DCAR_020298 transcript:KZM92337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNDCNAVLPEKVTQHVNITRKLTRPLPGQKTRRCLRKIVRITVTDHDATDSSSDEEAEVYYRRRVRKYVDIVRVEAGVASPRKRKVSGNGKGCKQGKLARRPVNDSSERRYRGVRYRAWGTFAAEIRDPVKKERRWLGTYGTPEEAARVYDTFAVSLRGAKATTNFGNPSRGLKRLHSNSSNESAEGSHQNALISPTTVLRNENQPLLEEAKDKGKGKEKVEVDTGKGKEKVEVEDGPRREFDPMNSYKPMDNPFEDDYGFGSMEPISLDNAPIFPNEEMLKINHDDKDLGIDPSFPFPLNDGLSGADLGFSYDMMYGIGATGSTSRVNDYDFEDSDFSLADD >KZM91196 pep chromosome:ASM162521v1:6:22934893:22940807:-1 gene:DCAR_021439 transcript:KZM91196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLKPQNLEQAFTDIQSRFTSALSTLQTQAKQALESTFPPNIKLPSLPQAPNPTWARISTTPTLPSPSSSSSSSLSIQEIEERLAGVPVYALSNPSDEFVLVSSGTSGKSLGLFCFREEDVHTLLEQMKTMDPGMRQGSKVVPVALNKVFQLKVDGVGFRLIPDSTQITNAINEREKAGVSDGSFSGVPVFQSRSLILKSQKTRYRPVFFRKEDLENSLLKASRDQRKMNPALKEGDIQVAVFEDILMGMKDNSSSEWDDVVFIPPGFDVTSDSSQ >KZM90177 pep chromosome:ASM162521v1:6:12033581:12035279:1 gene:DCAR_022458 transcript:KZM90177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEPPNGVRTLGKHYYSMWQTLFEIDTKYVPVKPIGRGAYGIVCSSINRETNEKVAIKKIHNAFDNRIDALRTLRELKLLRHLRHDNVIGLKDVMMPVQRRSFKDVYLVYELMDTDLHQIVKSSQALTNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSSGKDQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQREEDIQFIDNPKARKFIKSLPYSLGTPFSRLYPNAHPMAIDLLQKMLVFDPSKRISVTEALQHPYMSPLYDPNSDPPAQVPINLDIDEDLGEDMIREMMWFEMLQYHPQTAEAISGVTS >KZM90273 pep chromosome:ASM162521v1:6:13766053:13766809:-1 gene:DCAR_022362 transcript:KZM90273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKLASFLLCLLLVFHALRDSSAHDDLDKYASQESAAVVHQHQVQNKDLETTEQEVMNLLSADYYPPRTPTSQKHQK >KZM90349 pep chromosome:ASM162521v1:6:14456139:14456498:-1 gene:DCAR_022286 transcript:KZM90349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSTYKPFHPSYEEMIFHAICSLKRRNGSSSSAIAKFILKHYGGLPKNFRKILLHRLKELVACQKLIRVKNSFKLPSQ >KZM91938 pep chromosome:ASM162521v1:6:29149030:29149887:-1 gene:DCAR_020697 transcript:KZM91938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGWKPHVEICPACPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKTRRSKAVRIARHGISSRFINSGDSRGAPNCTNSSMPESSNSRPSSSSIDLAVVYANFLNQQPQAPQEIARTDHIEVMNPLPNMSSHPVFEFSSNLGMEFFGGGQENCMALSDWSSLDTDHSRDMLYICGLEPAGHDHKQQNISDIDRDDEQNIGDYELPPLPGDVAASTNNLNQIANSMQLLGFEKEVDLDPSPRDAFIGNASMFDGMPTYGRAHNFPRP >KZM89456 pep chromosome:ASM162521v1:6:884976:885983:1 gene:DCAR_023181 transcript:KZM89456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPYDDIQETSLFVFPDSICADPSSTDQDFLPSSLLNIVFPPDLLGFNHEGMLFHDELHQGGSVQEMVIPEGVCGAKKRRLSSGGSSRLREQIRVNRRCKKDRHSKITTANGCSRGRRMRLSLNVAKEFFELQDMLGHDKASKTVKWLLEKSENAINEETQKRVFGMKGSPCPSTSVVSAIDELQPDASRKGKTRGGTHKLGASHASTRKLREKARERARKRTLQKKGLLLVSGFTDNYGSRVDNCPQGTNAGAAFPSLSCEKSAAAGNKFLNLSTSYAARDLEVEDIVNYSKQQPDSFEGGTNTELINDHSFFISNNWNPYTIFNLHNNSGTPL >KZM91969 pep chromosome:ASM162521v1:6:29468171:29472072:-1 gene:DCAR_020666 transcript:KZM91969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRARVYLIGTLVVCFWVVMAQSEYIKYKDPSQPIEIRIKDLMKKMTLEEKIGQMTQIERTMASVEVIKNYFIGSVLSDGGSVPNKQASPEDWVNMVNKIQMGALSTRLGIPMIYGIDAVHGHNNAYSATIFPHNIGLGATRQVTWDPELVKKIAAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESFSEDPRIVRAMTEAIPGLQGDISADSRKGYPFVSGKDKVAACAKHYVGDGGTINGTNEGNTLVSFDELLKIHMPAYNDSVSKGVATVMASFSSWNGVKMHANYALLTGFLKNTLKFKGFVISDEKGLDKMTSPPHANYTYSIEASINAGIDMVMVPFNYTEFIDGLSFLVKNDFIPMTRIDDAVERILRVKFVLGLFENPLADYSMAKYLGCKEHRELAREAVRKSLVLLKNGNSEKQILLPLPKKTSKILVTGTHADNIGYQCGGWTVEWQGVSGNITAGTSILTAIERTVDVETEVVYQENPDASFVKSNKFSYAIVVVGEHPYAETEGDSMNLTISDPGPSIITNVCGNVKCVVVLVTGRPVVVQPYMSTIDALVAAWLPGSEGQGVADVLFGDYEFTGKLPHTWFMTVDQLPMNAGDQNYNPLFPFGFGLTTEAIRTVSYQKQTS >KZM91810 pep chromosome:ASM162521v1:6:28001609:28001824:1 gene:DCAR_020825 transcript:KZM91810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKRSSNGKSLGAEEPGAGAGAGASTGAGEEARSFGKKCGHLAKTQRAKFYILRRCIAMLVCWRDRNDQ >KZM91301 pep chromosome:ASM162521v1:6:23945743:23946676:-1 gene:DCAR_021334 transcript:KZM91301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDGYTRDGWSFKENKIYESAMMNFENTNSVAFLEHVALLMPWQTIESIKNHGQILKEDIGLIRSSNGKFEDIVEVDMEMEGETKKVARRPKKRGIPWTVEEHKLFLIGLQQEGKGEWKTISKKYLPSKTPAQIASHAQKFEKRMHTKTPPEKRRTSINDIKLCPEPKFPLANSAGFQSNQLGSFLKPQDFHPNQAAFTLDSQNFMPNQTTFPFDAQHFEANQFSFSLDPQDFQQDPFNFSLDFQPNQLNFPWNSQNFETNQPGNDTFSDHVRNY >KZM91312 pep chromosome:ASM162521v1:6:24042628:24044116:-1 gene:DCAR_021323 transcript:KZM91312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRVSDSLALHPVFQHNHNQYLDLNSLEELPDSHAWSSELDKLSASPDDHGESNLPVIDLSDPINGPKHLVHACKTWGAFQLINHGISNQLVDSMEAATRKLFSLPIEQKLKAERQEGGCGYGPFRISSFFPKRMWSEGFTIVGSPLQHARLLWPEDYSVFCDVTEEYQKEMKKLAGKIMWIMLEALGITKEDIIWAGPNGEFEASGAALQLNSYPVCPDPDRAMGLADHTDSTLLSILHQSNQSGLQVFREEMGWVTVPPVEGALVVNIGDLLHILTNGSYPSVLHRVTVNRERHRYSMAYLYGPPHSAEISPLSKLVDHQHPPLYRPVTWSEYLGMKAILFYNALSSLRL >KZM89369 pep chromosome:ASM162521v1:6:115251:125012:-1 gene:DCAR_023268 transcript:KZM89369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSNSEVDFILEFLKRNKFSRAEAALRSELGKNPDLNVLFQKLSTSDKEFETEGELLEIKNGPASSQRSVGVSCDELIVKEIEYGATRNESNESRWKVPEELISNGDEFSIGRRENNSGFSGSSENNVLDSHVWKLNHKNSVVVADSLRNEGGSSVKAKQTSREGDGINNEKSFTSSWLGSTSTFSSNTKEKENGYSKGDDFVGNPWSRSDESTLDSGKEVWKDCSVKTVLQFPKADISTSYDSLTAGIGDVKDVKRKSKETNYARDAIKEQEDEVARGMYFGKTLEPKTFGTLGIIPYIPSENHREEFPRLAPVKLKSEEKPLNITWEEKFERDVPSSKINNADAFLIGSFLDVPIGQQTNSSGGNRPGGGNWLSVSQGICEDTSDLVSGFATIGDGLSETVDYHNEFWDSDEYDDDDDVGYMRQPIEDETWFLAHEIDYPSDNEKGAGQGSVPDPQERCSNKDEDDDQSFAEGDSYIAGENLFQSRKDNPLASSDDPIGLSATDMYGRTNERRLTAQYDGQLIDKEELNLRCNEPAWQGFVAETNDLIMLGDRKVIDECERPRPDDIFMDDDQHDSVRSIGVGINSDVADFGSEVRESLLGGSSEGDMEYFNDQDVGGSRYSQQDLDNRYNGRSKSDKSRISKHDPHKPVTRHSKGAGSKSKDLKDLAFAFPPPRNGPIVQTVSSKTLWSNKGNADNNLIGSEDMLSSWKQRSSDSSPVRSSCDEDEGNAVGSTNSSPSTNSKYGYIERTHVKKEDERLLDERLEDPGASLEDEEAAAVQEQCKFESKLREEEARQKSGAIAAYVTRTLHFVPSTRVGHSTLGHGTGFEEDKNFNVVINSVLAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFINKNDPGDKYHILRLYDYFYYRVSPMYLAYYLTGFEEDKNFNVVINSVLAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFINKNDPGDKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGIGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLQYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDQYMLAKGRETYKYFTKNHMLYERNQDSNRLEYLIPKKTSLRHRLPMGDQGFIDFVNHLLEVNPKKRPSASEALKHPWLSYPYEPISS >KZM90211 pep chromosome:ASM162521v1:6:12649290:12650357:-1 gene:DCAR_022424 transcript:KZM90211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSLLLTTALIGGGFYWFICILGSAERKGKAAVNLSGGSIDDDKVQDNYNNYWSFFKTPKQIEKTEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPALPGKSHLEATQIHEEMAVDLLNVKPGDKILDAGCGVGGPMRAIAAHSGASVVGITINEYQVNRATLHNKKAGLDKLCEVVCGNFLEMPFGDNTFDGAYSIEATCHAPKLEDVYAEIFRVLKPGGMYVSYEWVTTEFYDGENAEHVEIIQGIERGDALPGLRHYTDIAEVAKKVGFEVVKEKDLARPPAQPWWTRLKMGRIAYWRNHILVMILSFLGIAPKGTVDVHEMLFVTADYLTKGGEAKIFTPMHMILCRKPE >KZM91502 pep chromosome:ASM162521v1:6:25663099:25663627:1 gene:DCAR_021133 transcript:KZM91502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFRTSSGSAKLNLPRIKKKALNSWTAVQDTYFSTKDTFERHKVVFTVSTSVASVATAWLGYTLRHMHEKKVEERLNSIENAIRS >KZM91355 pep chromosome:ASM162521v1:6:24457369:24458289:1 gene:DCAR_021280 transcript:KZM91355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKSNGGDIVQVEGGHILRATGRKDRHSKVYTAKGPRDRRVRLAAHTAIQFYDVQDRLGYDRPSKAVDWLINKAKNAIDKLEELPPWNPMDASTTVPNPNLNPNGLPLEQQQSQAYPFHDNPSDDTMKSFFPTSSGMNFHSYTGDVISRCSLQNNSQDLCLSLQSLQEDINNSTHPAPGHDHQGLYSGQAETNFPRMVGWDGEIKPAGYYFNAQTLSQPQMICQSSTAAFSQREPLQSSFSPYVRAWNDLPFPVSDQNHTQALIPHLSISSGSPYASAKFSGFQVPARIQGEEDHPSSTPTKSRN >KZM90833 pep chromosome:ASM162521v1:6:19655454:19656436:1 gene:DCAR_021802 transcript:KZM90833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDDRNDEVKVDAKQKNKNKKQRFAFQTKSQVDILDDGYRWRKYGQKTVKNNAHPRNYYKCTYQGCSVKKQVQRLDKDETIVVTTYEGIHTHSIQKPSDDFQNILSEMKIFPTS >KZM91514 pep chromosome:ASM162521v1:6:25740972:25742113:1 gene:DCAR_021121 transcript:KZM91514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEGQSQESYQFQDADAYRPDMSFLRDQYTTPPPQVPVPSFASQSYIFGAPAFPFAPPPERSTPTPIQMSTFASYTGESSPWAPPSTAVPGHSEAEEQPEDEHRQQPPRAAKGKGRRCHTGSHIFGHKKKCTRASYTGESSPWAPPSTAVPGHSEAEEQPEDEHRQQPPRAAKGKGRRCHTGSHIFGHKKK >KZM90637 pep chromosome:ASM162521v1:6:17698675:17701623:-1 gene:DCAR_021998 transcript:KZM90637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVASYQRFPTVKIRELKDDYAKFELRNTDASIANALRRVMISEVPTVAIDLVEIEINSTVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFHLRAKCLGDQTLDVTSKDLHSSDYTVVPVDFSDASGYENSETRGIIIVKLRRGQELKLRAIARKGIGKDHAKWSPAATVTFMYEPAIHINQEMMESLTLAEKQSFVDSSPTKVFEIDDETQQVKIVDSEAYAYDDEVLKKAEAMGKPGLIEIYAKEDSFIFTVESTGAIKASQLVLNAIDILKQKLDAVRLSDDTVEADDQFGELGAHMRGG >KZM89779 pep chromosome:ASM162521v1:6:4696176:4708049:1 gene:DCAR_022858 transcript:KZM89779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKDLYDKRGKIVQQFDTSILPKHKHTRKACLLISKARVLSENVMGRSSYMDIDIDDPFEDDLGDLGEPFLNSVCKKAATSFFQQHGLISHQLNSYNDFIKYGIQNVFDSIGEITVQPGYDPSKKGDSHWRYASFKFGKVKLAPPTFFTGKKFYDDNGIDFVDFYPRHARLQNMTYSSKMKVEVHLQVYTQRMVRSDKFKTGKEQYVDKTVCKEIREEIPIGTLPVMVKSDLCWMKKAKQGDCDFDQGGYFIIKGAEKTFVAQEQICLKRLWVTSSPWKASYLHATKRKRVKVHLLDPKSDDIGGVENFLTVSFLGIEIPIWVLFFALGVSSDKEVVDLIDVDLEDGRIINIMIASIHHADKDCDEECGEKFCRRAIALKYLEKKLKNCTFPPEDHRVFAFLHETDFSWSFQSRVDFMITRKWDHRVLFRNEFDKCFVYYVVNEYDGVMKLRMVMGRSSYMDIDIDDPFEDDLGDLGEPFLNSVCKKAATSFFQQHGLISHQLNSYNDFIKYGIQNVFDSIGEITVQPGYDPSKKGDSHWRYASFKFGKVKLAPPTFFTGKKFYDDNGIDFVDFYPRHARLQNMTYSSKMKVEVHLQVYTQRMVRSDKFKTGKEQYVDKTVCKEIREEIPIGTLPVMVKSDLCWMKKAKQGDCDFDQGGYFIIKGAEKTFVAQEQICLKRLWVTSSPWKASYLHATKRKRVKVHLLDPKSDDIGGVENFLTVSFLGIEIPIWVLFFALGVSSDKEVVDLIDVDLEDGRIINIMIASIHHADKDCDEECGEKFCRRAIALKYLEKKLKNCTFPPEESVEDCIKKYLFSSLTGFKQKARVLAYMTKCLLQAYTGRRKVDNRDDFRNKRLELAGELLERELKVHLKHAERRMVQTMQRDLYNDKVEFIERYLDSSIITNGLSRAFSTGAWSHPYKRGERTSGVVANLGRTNPLQMIAEMRRTRQHVDYTGRIGDARYPHPSHWGKLCFLSTPDGENCGLIKNLTSTGLVSTNVYDSILDKLLNCGMDELVDDTSSSLRGKDNIFLDGELVEIKRDEKNREVRIFCDAGRILRPLFVVSNLRKIKSFKGGDYSFQSLLDAGVIELIGTEEEEDCCTAWGFKNLLKQSSGDAPKKYTHCELDMSFLLGLSAGLTPFCNHDHARRALYQSQKHSHQAIGFSTTNSSIRVDTSTNQLYYPQRPLFRTMLSECLGKSENSKMLPKPEFYHGQCAIVAVNVHLGYNQEDSLVMNRSSLERGMFRSEHVRSYKADVNNNEATLKKQKVEDAINFGKIPSKIGRVDSLDEDGFPFIGANLQTGDIVIGKHAESGVDQRFKLKHTEKGMVQKVILSSNDEGKNFAVVSLRQVRSPCLGDKFSSMHGQKRVLGFLESQENFPFTSQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIALGGKEIYATPFSTASVDAIANQLHRQPVADRKRFGGIKFGEMERDCLMAHGASANLHDRLFTLSDCSQMHICRRCKHPANVILRPVTGGRKIRGPYCRYCQSAESIVKVSVPYGAKLLSQELFSMGISLKFETQLC >KZM92454 pep chromosome:ASM162521v1:6:33505044:33510005:-1 gene:DCAR_020181 transcript:KZM92454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQKIHDVFKGTVERITNPRTVSAYKEKGVLSVEEFVLAGDNLVSKCPTWSWESGEPSKRKSYLPANKQFLITRNVPCLSRASKVEEEYEAAGGEVLIDGDDNDGWLATHGKPKDGKKEEENLPSMETLEISKKSTIQSISSHFGGEEEEDIPDMEEYEDPDNLVESDPATLQSSYLVAHEPDDDNILRTRTYDVSITYDKYYQTPRIWLTGYDESRMLLHPELVLEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVTMSQGEEPEVDRYLFIFLKFVASVIPTIEYDYTVDFDLGSSST >KZM92820 pep chromosome:ASM162521v1:6:36553145:36559257:-1 gene:DCAR_019815 transcript:KZM92820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTIVQPVGQKRLTNVAVVRLKKHGCRFEIACYKNKVLSWRSGIEKDLDEVLQSHTVYSNVSKGVLAKSRDLKIAFGQDEYDDDEKIDHEKICLEILEKGELQVAGKERESQLSSQFRDIATIVMQKTINPETHRPYTISMIERLMHEIHFAVDPHNSSKKQALEVIRELQKHFPIKRSPMRLRLTVPGQAFSSVSEKLNEWKSSVVSKEESGNKLSVICEMEPGFFRDCDAMVRNFQGRLEILAISVHAEGDTNVDHYDDQEEPSSNLPKASTGYDVQLSEKLNKQTISEKKADAIGDVKQQSKCSTCNAYVGDSKQYREHFKSEWHKHNLKRKTKQLPPVSAEECVGDMEISDSRADLKDYSF >KZM92463 pep chromosome:ASM162521v1:6:33600705:33606505:1 gene:DCAR_020172 transcript:KZM92463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTEAYKQTGPCAFSPNSRFIAVAVDYRLVIRDLLSLKVVQLFSCTDKISYIEWALDSEYILCGLYKKPAVQVWSLAQPDWTCKIDEGLAGIACARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASKGVAFTHDGKFAAICTRRDCKDYINLLSCNTWEIMGVFAVDTLDLADIEWSPDDSAIVIWDSLLDYKVLVYSPDGRCLSKYQAYESGLGVKSVSWSPCGQSLAVGSYDQMLRVLSHLTWKVFAEFTHLSNVRAPCSAAIFKEVDEPLQLDMSGLSLKDDLVNHDPDNGAEQRIEVRYDVLEVPVTLPFQKPPVDKPNPKQGISLLSWSSNSQYICTRNDSMPTVLWVWDMHNLELAAILLQKDPIRAAAWDPECTRLVFCTGSSHLYMWTPSGAYCVNVPLPQFNVTDLKWNSSGSCLLVKDKESFCCAAVASLPESSEYSSDDD >KZM92553 pep chromosome:ASM162521v1:6:34295368:34298809:1 gene:DCAR_020082 transcript:KZM92553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARQDQNNGQRISPGLQSSGHDLEQALSSLSLYPAPAPTFSPVNGEGYFPYGRRQVANNLSDISLQNLRFQLGQMGSGVGPRNPVVGPDVFPMYGPPAPPPPATLFNNYPNYPSGMLYSNELINAQYSRLLYDVNNLNRKKQMDLYRVHNAQIAQPPVFQNGGGAGSNAHRRPNNRLVQQFDLLTLRDMKGGIVGYAMDQHWCRVLQAKFVDPSQEDIEMVLSEVVDCIDELMKNPFGNYLVQNLIAVCNEEQRNRIILSVTKNTFQLVNICCNPHGTRVVQKLMENLSSPRQVSVLMAVISSGAAILAIDQNGHHVVQYCLTHFSSEDNMLLINNLADNCYKVAIDRSGCCVLQICMEKSKGQPRQRLVSEIVANAVHLSRDPYGNYVLQHMLGLKEPDITANLLKQLEGEFMPIACNKYGSNVVEKFLESGEEISSRIIMELVGDSNAAMILLDPFGNYVIQSALAVAKVSNVQQFEVFKLIAKDTSLLDVI >KZM91393 pep chromosome:ASM162521v1:6:24758587:24758883:1 gene:DCAR_021242 transcript:KZM91393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSGVLVFAAASAAASASCDSGSSQISSSSPQKGDVCEGQKTAEEKKCCDQFSPRFDGLRFIETLVTAHR >KZM92445 pep chromosome:ASM162521v1:6:33433657:33435301:-1 gene:DCAR_020190 transcript:KZM92445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISESTEEKKQSKPLGIQFIEYTTKSKLSFKTHQAIVLIITFLAYASYHATRKTTSIVKTALDPQSPEANLLSFSWGSTYAQKPFESKKKSWILGNGWAPFNGSDGPILLGDLDVAFLFVYSTGMYFSGHLGDRTNLRYFLTIGMVGTGLFTALFGVGYWSNIHMFYYYLVVQMLAGLFQSTGWPSVVAVVGNWFGKRKRGLIMGIWNAHTSVGNITGSLVASALLKYGWGWSMVIPGLMIAIVGLMLFLLLPVSPGDVGLDKDQDVLHSPIKDEEGVAHPLLKPESEEEDKSAIGFLEAWRIPGVAPFAFCLFFSKLVAYTFLYWLPFYISHTAIAGKYLSNEAAGNLSTMFDVGGVVGGILAGHISDRLDARAITAASFMYCAIPALFFYRSYGHISLAVNVILMLITGMFVNGPYALITTAVSADLGTHSSLKGNTRALATVTAIIDGTGSIGAAIGPLLTGYISTNSWTAVFTMLMVAALVSGLFLTKLVVAEVGTKIHELNGSQSPRSPAVAEV >KZM89377 pep chromosome:ASM162521v1:6:230683:234375:1 gene:DCAR_023260 transcript:KZM89377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGDNGGGSGGEGSQSRRRKSHYQRHNAQQIQRLEGAFNECPHPDEKMRMELSRELGLSPRQIKFWFQNRRTQLKAQHEKADNFVLRSENDKIRCENIAITEALKNAVCPACVRPDQSDEDVYLKEKKLREENAMLREELKRVKTIAAQYMGRPISPLHLGQPLHLSPMDLSRASSGHNGMTGLSLDPDLLSGSSSTFKTLPFQQIFISDMDKSLMIDVCRNAMNELIKLLENNSPFWIRSSADGTEVLNLEAYESIFPRVGRSPYVRIESSRDLGVVIMSGCLGLVDMFMDANKWMEIFPNIVSRASTVEVISTGLMGSRNGQIQLMYEELQVLSPLVPTREYYFLRFCQQIDQTTWAIVDVSYDFPQELHSNSQYRARRLPSGCFIQDMLDGRLKQITWMEHVEIEDIRPIHSLFREYICSGLAFGVTRKLSALQRACERLTCLIDAANSFSHLGGVITSHHGKKSLMQLSQRIVNSFCSSINSVNGQQVMLSGMNDFEVRATLQKCTDPGHPNSMVLSAATSIWLPFSPQKVFDFLRDERSRPQWDVLSNHNPVQEVGHIACGSHPGNCISVLRAFNTSQNNMLILQESCIDSSGALVVYCPVDLPAITIAMSSEDSSFIPVLSSGFAILPDAGKKLGAGEMAVGSIVTVVVQIIVSNLTSGKLSQESVNTVNNLVGNTIQHIKAALNCSPCLD >KZM91837 pep chromosome:ASM162521v1:6:28244970:28249671:1 gene:DCAR_020798 transcript:KZM91837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSASFTDSWASPTDLFSWPAHDDVEGATREEKEEKGKLKFHAEPEDCNLLSRNSTILSYHVQVFLVASLLRKSMIMKKRNMVKWFRYKSLKGSQEQEAQSRPQEIPTDSWYPPSVISSQHSSRPGTPNSSSSSSFSARPGDRTQSLSPVSPAEAAGIIVYLKDKSVDELRRLLADKDAYNQLLLSLDMVRTQNHVRDELKNETLQLARNNLEKESYLMELQNQCRIIRTTELATAQEKLNELERQKAEIMKSYSPASLLHQIQVDLNNIDEESETLHKQLLDQECDLPVFVQKYKKLRNTYHKRSLTHLAAKTSLMG >KZM91856 pep chromosome:ASM162521v1:6:28398190:28401686:-1 gene:DCAR_020779 transcript:KZM91856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWAAEVKAGESFKVKIDENKALHLSQACIGDVEKDIPVSICLYVKVDEKKLALGTLNSKKLFQQSFDLVFDKTFEISHNWKNGTSDVDDSDAESDEDIPVIAANGGPPTEVKQEHVKASADKNSAADKQKDKEKAKIVEPRKAASSDTTDDSSEDDETSSEDDPKVSVKKDSAAGKQKANIVEPTKDVSSDDTDDSSDDDETSSEDDTKVSAEKVSAAGKQKAKIVDPKNDADSGDDDAMSEDYSEEADESDEDSDSDEDEETPVQVKSSKKRALTPAKKALPEKKAKLITPPKTDGKKSSVHVATPYPSKQTGKTPANKLNQQTPKTDGSHTCNSCKRTFKSEVALESHNKAKHTGGK >KZM92728 pep chromosome:ASM162521v1:6:35865510:35868288:-1 gene:DCAR_019907 transcript:KZM92728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKAVLIGCNYQGTKAELKGCINDVKRMHACLIQRFGFSDDDITVLIDTDDSYTQPTGKNVRKALSDLIRSAEPGDILFVHYSGHGTRLPAETGEDDDTGYDECIVPTDMNLITDDDFKQLVDEVPSGCQITIVSDSCHSGGLVQDAEEQIGESTNSSSEGQGSGFGFKNFLHRTVDDALQSRGVHLPSKFRPHHRQEEDFDDQEVDSGDGDRGYTKSRSLPVSTLIEIFKQKTGKEDVDVGNLRPNLFDAFGDDASPKVKKFMKVILDKLQSSDGGGGGDGFMGMVGGLAQEFLKQKLDDNDESYAKPAMETKVDSKQEVYAGVSHKALPEGGVLISGCQTNQTSADASPGGNAAEAYGALSNAIQAIIEETDGQVTNHKLVTKAREMLKKQGFTQRPGLYCSDHHVEANFVC >KZM90281 pep chromosome:ASM162521v1:6:13791806:13793978:-1 gene:DCAR_022354 transcript:KZM90281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDGSWVYDELYPLYDSSSCPFIRGEFNCKKYGRPNLRYLNYRWQPSHCDLPRFDGKKFLEQMKGKKILFVGDSLSADHCESLLCLLHASVPNSNVIPSVNDPVTTVVFKDYDVSVSVFHSLFLVDVEDEKIGRVLNLDSLKNGDYWKKHDVLIFNTWHWWYRSGASQPWDYIEDDKKVMKDMDRMVAFRKALTTWANWIDSDVNTETTKVFFQGISPSHYNGTDWNEPGVTNCAKETEPISGSSYPGGLPEAAIVLKQVLSKMTKPVHLLDITTLSQLRKDGHPSSYNKYKGMDCTHWCIAGVTDTWNQLLHASLILD >KZM91522 pep chromosome:ASM162521v1:6:25800444:25801880:-1 gene:DCAR_021113 transcript:KZM91522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIITRSQGAVIDAKQNGAKGDGVTDDSQAITSAWQSACSSPTPSKLLIPAGQYAVGPMTFLGPCKAPITVQFDGNLKAPTDLNKLKSQDGWIVFQQVDAMTLSGGVFDGQGKVAWSQNNCAQTGKCSNLPINIRFNKVTNSMVQGISSVDSKLFHMNILQCQNLTLSHITINAPGDSLNTDGIHIGRSSGITISDSDIKTGDDCVSMGDGSQQVTIERVTCGPGHGISVGSLGKYHDEQPVMGVFVRDCTFTNTMNGVRVKTWPASPNGVCQDLHFENLKMDNVGTPILIDQQYCPYGQCQAQAPSKVKISEVSFKGIHGTSSTKVAIKIACSKGIPCQNVELNDINLQYHGPDGNGVSQCVNVLPTMNGQIFPPACTETP >KZM90952 pep chromosome:ASM162521v1:6:20787392:20794011:1 gene:DCAR_021683 transcript:KZM90952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGRGRGGRFGGGGGPCHAEKIKFEHFPEIDNLPDVQNVKEERVLFFWSHRLQVSWKLSPYYYKGVGNDAEGCESIDIERYSAKDSKKTRRKPTLSDCIKMTDEYVPAELAVRHQRGRKRVRWNQESDMQKLDIFENLEKKSKTEEDKKGGDAAEEEEEAEDVEVEDEEFSDEGDYAQNIDFDDDEDDFNMADDHNDEPFF >KZM91491 pep chromosome:ASM162521v1:6:25551922:25560109:-1 gene:DCAR_021144 transcript:KZM91491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKTQLLPHCVNISVFLLLVIRTSAQSLDYADALTKSLLYFEAQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYHDAGDHVKFGLPMAFTVTMLSWGVIEYGEEIASAAEFEHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAHKIDENNPGSDLAGETAAAMAAASIVFKETNPHYSHLLLHHAQQVFEFGDKYRGKYDMSVGVVKSYYASVSGYKDELLWAALWLYKATDNEDYLSYVIDNAHHFGGIGWSISEFSWDVKYAGIQIMASMLLPEVKHKEHRNIIRQYRSKAEFYICSCLSKNNGTNTERTPGGLLYIRQWNNMQYVSSAVFLLTVYSDFLSNTNQNLSCHGTTVTPEDLFTLAKSQVDYILGVNPNNMSYLVGYGTKYPTHVLVGSSGDKDRTALVDFQGNPVDNSRTGGWLAAGLILGTELSERICVMGISMNMVTYLVGEMHLSSSKSANIVTNFMGTLNILGLLGGFLADAKLGRYLTVAIFASIAALGVALLTVSTSIASLKPPPCDDSRRNTCIKANGSQLAMLYLALYTIALGGGGIKSNVSGFGSDQFDASNPKEHKAMVYFFNRFYFCISLGSLFAVTILVYIQDNVGRGWGYGISAGTMIVAVAVLLGGTKLYRFRKPQGSPLTTIWRVVYLAWKKRSIPFPSQNSFLNEYHTSEVAHTQRLRCLDRAAILDDQVVASGNTNNPWLVSTVMQVEEVKMVLQLIPIWSTCILFWTIYSQMNTFTIEQATFMKRNVGSFEIPAGSFSVFLFLTILLFTSLNERVIVPMARKITHEPQGLTSLQRVAIGLLFSVAGMVAAAIIEKRRREMAVHENTRITAFWLVPQFFIVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTLAMGYFVSSLLVTLVVAATGKSWLRNNLNKGKLENFYWMLAVLGVINFIVFLAFARRHQYKVQQSEVPAKGLEKELNNWQEGEFIDDIEKKAVGVEKEVP >KZM92433 pep chromosome:ASM162521v1:6:33360582:33361908:1 gene:DCAR_020202 transcript:KZM92433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYAAIKPVTKPGYEEAQDSLHKIRITLSSKNVKNLEKVCADLVRGAKDKTLRVKGPVRMPTKVLRITTRKAPCGEGTNTWDRFELRIHKRIIDLFSSPEVVKQITSITIEPGVEVEVTIADP >KZM91089 pep chromosome:ASM162521v1:6:22029238:22031558:1 gene:DCAR_021546 transcript:KZM91089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAVYEAFQVGHKFWEEIKESCPHSMLLSGFEFSDKGKNLMVIPCGMALGSEITLVAKPRKGHFEKEPRRVGQFTMVSQFVLELHGLKSVDGVEPPKILYFNPRLKGDWSGKPVIEQNTCYRMKWGSGLRCEGWASRADEETVDGQVKCENWKRDDDNHSERPKTSWLSNLLEGRTKKVTVDWPYPFEEEKLFVLTISAGLEGYHVNVDGRHVTSFPYRTGFALEDATGLSLNGDIDVHSIFATSLRTSHPSFAPQGHLDMSTSSEAPSVLPGPVEMFIGILSAGNHFAERMAVRKSWMQHEFVQSSKVVARFFVALTGIKEVNFDIRKEAEFYGDIVIVPYMDKYDLVVLKTLAICEFGVHRTAAKYIMKCDDDTFVRLDAVIQEANNVAANKSLYVGNINYNHKPLRKGKWSVTYEEWPEEDYPPYADGPGYIISSDIAQFIVSEFENHKLRLFKMEDVSMGMWVEKFNSSKPVEYIHSLKFCQFGCIVGYYTAHYQSPTQMMCLWDKLLNSTGRPQCCNIR >KZM91965 pep chromosome:ASM162521v1:6:29433182:29436509:-1 gene:DCAR_020670 transcript:KZM91965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCYALFTGILLLCLSAAAMATTEYMKYKDPKLAINVRIKDLMSRMTLEEKIGQMVQIDRTKASNKVLKKYLIGSVLSGGGSVPAKRASAETWVDMVNDFQKGSLSTRLGIPMIYGIDAVHGHNNVDPALVKKIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCFESYSEDHTIVQAMTEIIPGLQGDIPANSRKGVPFVGGKQKVAACAKHYLGDGGTNKGINENNTIISANGLFSIHMPAYYNSVIKGVATVMISYSSWNGVKMHSNRQLITGFLKNRLKFRGFVISDWQGIDRMTTPEHANYTWSIITGVNAGIDMIMVPYNYTEFIDGIIPLVKGKFISMTRIDDAVRRILRVKFGMGLFENPLADYSMAKYLGKKEHRELAREAVRKSLVLLKNGKYADKPLLPLSKKAPKILVVGSHADNIGNQCGGWTIVWQGKSGNITTGGTTILSAIKKTVAPETKVVYRENPDAKFVKNNKFSYAIVVVGEPPYAETFGDSLSLTIPETGINTIKNVCGAIKCVVVLISGRPVVIEPYISQIDALVAAWLPGSEGQGVADVLFGDYGFTGKLARTWFKNVDQLPMNVGDSHYDPLFPFGFGLTTKP >KZM89758 pep chromosome:ASM162521v1:6:4378142:4378626:-1 gene:DCAR_022879 transcript:KZM89758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAKLFRSRLALLCLVFFITLSLHECSRIGVGDEKLNGHKNCLPRVLANGKTMYCCSRKDESLDCWSNPDDCLNNFFKNCII >KZM92427 pep chromosome:ASM162521v1:6:33311282:33314822:1 gene:DCAR_020208 transcript:KZM92427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTENNNNNNVNNQNATPPPTEIGSDDYRGGATSIEKVSSSISLDLNCLLIDILELYIEENYTKIRDVEKELTNLNLEMKLTAGPKKAALEHLRKKIEMSTEKIRLAKLREEQARKVLEEATKAVKDEEAIKQNLCEDLNRLVQESNNTQFARLGELKRRLEALNPARSPTISHDESTVQSATAPVAVIAHSPETSVGLPGNLANPGNAGVTTVANGQNQQPHVDEGRKKKTVVQGRGRVGAVPKGRGQPGWTGAGFDVDGRS >KZM90927 pep chromosome:ASM162521v1:6:20468508:20469628:1 gene:DCAR_021708 transcript:KZM90927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKAQDLVSSNAVVVFSKTYCPYCTSVKKLFNDLGVTYKLVEMDVESDGSEIQAALAEWTKQRTVPNVFIGGKHIGGCDDVFALRKSEKLVPLLTEAKALPGSS >KZM91210 pep chromosome:ASM162521v1:6:23202959:23206731:-1 gene:DCAR_021425 transcript:KZM91210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTANNMVKKLIRIDVSSDTVCPWCCVGKRNLDNAIASSRIHYDFKVVWHPFFLDPSAPTEGVPKKDFYKSKFGSRADQLHSRMTEVFKNVGLEYNMSGLTGNSMDSHRLIHLAGQQDLGKQHDLVEELFLGYFTQAKYIGDRQFLVECANKVGVEGAAEFLQDPDNGKKEVYEEIKKYSANIGGVPLYKINGKQQLSGAQPPEVFLRAFSVAAADST >KZM92822 pep chromosome:ASM162521v1:6:36563556:36568645:1 gene:DCAR_019813 transcript:KZM92822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLENAISWRSSRKDSWKTTLLLAYQSLGVVYGDLSISPLYVYKSTFADDINHSDTNEEIFGVLSFVFWTLTLIPLFKYVFVVLRADDNGEGGTFALYSLLCRHANVGLLPNRQLADQALSTYVMEQPTERKSSLRVRVFLERHKSLHTALLILVLLGTCMVIGDGLLTPTISGKFFVFLNHAIIPITCFILVCLFALQHYGTHRVGFFFAPIILVWLLCLSGLGLYNIFHWNRHIYKALSPCYMLKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTLMVYPALILAYMGQAAYLSKNHISSYQISYYVSVPESIRWPVLILAILASVAGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMAVMLVTTCLTSLVIILCWQKPPMLALLFILFFGSIELLYFSASLIKFLEGAWLPILLALFLVTIMFVWHYATIKKYEYDLHNKVSLEWLLALGPSLGISRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCIKFVPVPFVPPEERYLVGRVGPAAHRSYRCIIRYGYRDVHQDVDSFESELVDKLANFIRYEWCRVNRRTDTCNEDGRSPSDISSRLTVIGTVAFSGTPAFQVDENVQPLSVSIGFPTVESVTDITELETVGAAERRVRFAVEDKSESDTQYSDVNEQLREEVEDLFSAQQAGIAFMLGHSHVKAKQGSSLSKKLAINYGYNFLRRNCRGPDVVLKVPPASLLKVGMVYVV >KZM91789 pep chromosome:ASM162521v1:6:27841211:27845355:1 gene:DCAR_020846 transcript:KZM91789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEEIKNEQVDLEKVPMEEVFEQLKCSKEGLSSDEGAKRLAIFGPNKLEEKKASLITIESKILKFLGFMWNPLSWVMEIAAIMAIVLANGGGRAPDWQDFLGIVVLLIINSTVSFIEENNAGNAAAALMAGLAPKTKVLRDGKWGEQDASILVPGDLISVKLGDIIPADARLLEGDPLKIDQAALTGESLPVTKNPGDSVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTHQVGHFQKVLTSIGNFCICSIAVGMFIEIVVMYPIQHRSYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFANGADKDAVVLYAARASRVENQDAIDASIVNMLGDPKEARAGIQEVHFLPFNPVDKRTAITYIDTSGNWHRSSKGAPEQIIELCGLKGETLKKAHSIIDDFANRGLRSLGVARQTVPERSKESAGSPWEFIGLLPLFDPPRHDSAETIRKALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTSLLGQSNDESITGIPIEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVMGFMLISLIWQFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFVTGIVLGSYLAIVSVVFFYLVTGTEFFENIFGVKSISDSPDELTAALYLQVSIISQALIFVTRSRSWSFLERPGFMLVFAFLAAQLVATLIAVYASWSFAKIQGIGWAWAAAIWVFSIVTYFPLDVAFSNKKDYGKGEREAQWAVAQRTLHGLQTSEAKDSGNHWESSELADQAKRRAEVARLRELHTLKGHMESVVKLKGLDMDNMQQQHYTV >KZM92002 pep chromosome:ASM162521v1:6:29699614:29703176:-1 gene:DCAR_020633 transcript:KZM92002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYATVTDTISYYTGLSPAAFFTILALMYVVYKVVCGMFVAADDYRAVKRSNDFVLREPVQLGDVTVEELRAYDGSDPNKPLLMAIKGQIYDVSRSRMFYGPGGPYALFAGRDASRALALMSFESKDLTGNIDGLSPDELEVLQDWEYKFMEKYMKVGQIVSEKSKAEHTEDEDKVHEN >KZM90844 pep chromosome:ASM162521v1:6:19765450:19774997:1 gene:DCAR_021791 transcript:KZM90844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQENAEPFNAEPPRSALITSYVTPVEYFYKRNHGPIPIVDDIERYSVSVSGLIGNSKELFMKDIWRLPKYNVAATLQCAGNRRTAMSKTRTVKGVGWDVAAIGNAVWGGAKLADVLELIGIPKYTSSTPSGGKHVEFVSIDKCKEENGGPYKASIPLIQATNPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLESINIISEECQGFFMQKDYKMFPPRVNWDNINWSTRRPQMDFPVQCVICSLEDVNVVKNGKITIKGYAVSGGGRGIERVDVSVDGGKTWVEASRFQKSGSEYIADDDSKSDKWAWVFFETEAEIPWSAEIVAKAVDSAANVQPENVEDVWNLRGILNTSWHRVHVQVGHSNM >KZM89764 pep chromosome:ASM162521v1:6:4452874:4455793:-1 gene:DCAR_022873 transcript:KZM89764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCAVSVGISCKRILNRGLVIGEFIRCFSSLAAQILQVSDEDENRQLSYVQVNSAVKRYASRGQFVNSMESLNLFRVFPWRPTVHEYNHLIRSYLASRNVASGILIELHNVMKVSGIYPNVLTYKLLLDGMVSFGSTKDCVFMVEEICKSGYCPPFYSLSGLLDKSLEFGSLLDSLCVLDLMLRFNYYPMPFAAKRFIASLCRGGMFREAHIIFSLLLEKNRKYFQRACKYSHNQILWALCKRGQLSNALAFFCSLEKKGVVQDESSYTALIYGFCGARLWKDASRCLILMESVGFKANTKTYTIVIKLLCDVGRINEALGLLNKMNEKGSVPDLVTFNIILPELLLIGMVSFGSTKDFFFMVEEMCRSGYCPPFYSLSGLLNKSLEFGSLLDSLCVLDLMLRFNYYPMPFAAKRFIASLCRGGMFREAHIIFSLLLEKNRKYFQRVCQYSHNQILWTLCKRGQLSNALAFFCSLEKKGVVQNESSYTALIYGFCGARLWEDASRCLILMESVGCKANKITYTIIIKFLCDVGRINEALGLLNKMNEKGSVPDLVTFNIILRELCHQGMLRMMHALLQHIDQKGFAPNQFTYASLAGGLLRSGYVTLAKDLLHSSMSIESVTDTAIYNIYLHALCREHKTEEALCLVNNWIGGGFMPNNITYNTILKGICEEKSIGDCLKFFNHVRWSGNTPNFISYNKMLSAACKERNTSIITRLLYQMDHGGFNLNRSGATSLLLYYCEARKYPECLKLLKSMMTNGPNPTISTFNSLIRRLCKMQALNMAHRVFNYLRSFGLSPDSTTYIILIHAYSKVGNHAMASQLLTDMFSQKLMQLHFLLKTVVLLLYYTLQSAWKDVDPT >KZM91710 pep chromosome:ASM162521v1:6:27275887:27276609:-1 gene:DCAR_020925 transcript:KZM91710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEMLKSVPEDQIDVLMMMQTDEISDFDIVPEIPLPHVEFPQENNISTSKISVLHHSTENSSTFINRPSDNICFAGYPSGQESAEHRILSNSNKGPWRNEEESPRQEEKQNAMAGMKEMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQTIAARHRRERISEKLRILQRLVPRGTEMDTASMLDEAIHYIKFLQNQVQTLERTNRPAPGIGSPVPLSSASFIPMQQATKGYQQLLDK >KZM89693 pep chromosome:ASM162521v1:6:3437439:3440829:1 gene:DCAR_022944 transcript:KZM89693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPPRKSTGSSSDTVIKITDNKMWRDSSYDFSNDGSKSNDFDFVTESPASQRSPLSKIPESPSVYAQLSPKDAKVSFNDKLRRRYSGSNRGGGGGGAEDDELLGCAFNKSELMSMKSSKSRLLDPPELDSKSRRTMKSGFLGKGSEVDDDDDPFSEEDFPQDFKKMKFSKWALFQFFSLILIVAALICSLTVGLLVKKRLFGLELWRWGLLLLVFMCGRLVAGWGMRVVVFLFELNFMLRKRVLYFVYGLRNAVKNCVWLGLVLIVWRCILVESVESLDHGKVLSYVTRTWVCLLVGTLIWLVKTMLVKALASSFHVNTFFDRIQDALFNQYVIETLSGPPLIEIQQEQDEEDQIMAEVQQLQNAGATVPPELRATVFPRSGKLNAKSPRFLTPRKSFLTPRKSFASVKSSRHSTAGTKKESEGITIDHLHRLNQKNISAWNMKRLMNIVRKGTLSTLDEQIQDSTGEDEAAVQITSELQAKHAAKKIFCNVAKPGSKHIYEEDLMRFMRDDEALKTINLFEGDSESKGISRRALKNWVVNAFRERRALALSLNDTKTAVNKLHQMLNIFVGIVLVVFVFGNTCKTVFEGIIFLFVMHPFDIGDRCEIDGVQLIVEEMNILTTIFLRYDSQKISYPNSVLATKPISNYYRSPDMGDAIDFCIHVSTPSEKIGLMKEKITSYIEKKSDHWSPGPLIVLRDIEDLNRLKLSLWPTHRMNFQDMGERWTRRALLVEEMIKILRELDIEYRMLPLDMNVRAMPALVSNRLPSNWTVHAN >KZM91972 pep chromosome:ASM162521v1:6:29487772:29490586:-1 gene:DCAR_020663 transcript:KZM91972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNSAIYIGLLVIACCSNVMGLPGYVKYKDSKLPISVRIEDLMSRMSLEEKIGQMTQIERTLASTEVMNKYFIGSVLSEGGSVPGVRASAEAWVNMVNEIQKGALSTPLAIPMIYGIDAVHGHNTAFGATIFPHNIGLGATRQVVLWDPELVKNIGAATALEVRATGISYAFAPCIAVCRDPRWGRCYESYSEDTNLVRAMTEIIPGLQGDIPAYSQKGFPFVSGKNKIASCAKHFVGDGGTNKGINENNTLISENGLLRIHMAPYFDAVKKGVATIMISYSSWNGGFVISDYQGIDRITTPSHANYTFSIESGINAGIDMIMVPKAYEEFIDGLSSLVRNKFVPMTRINDAVERILRVKFVMGLFEDPWADLSMAKYLGSKEHKELAREAVRKSLVLLKNGKSADQPLLPLPKKVPKILVVGKHANNIGYQCGGWTIDWQGQDGNITVGTTILNAIESTVDKKTEVVYQENPEADLFKANTFSYAIVVVGEAPYAETFGDSLNLTIPEPGPSIISNVCGSVKCIVVLFTGRPVVIQPYLANIDALVAAWLPGTEGQGIADVLFGDYGFTGKLPQTWFKTVDQLPMNVGDSHYDPLFPFGFGLAT >KZM92219 pep chromosome:ASM162521v1:6:31618769:31619458:1 gene:DCAR_020416 transcript:KZM92219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTLSGELEVNAPANAVWEVYGSLQLGSVVEKGFTDVIERIEVVEGDGSVGTVLNLVFKPGVVPFPSYKEKFITVDNAKRVKETLVVEGGYLEMGFDRYFVRLEIIEKDEKSCITRATVEYELNEESAANASLASIDALMAIMNIANTHILATTN >KZM90557 pep chromosome:ASM162521v1:6:16860356:16860598:-1 gene:DCAR_022078 transcript:KZM90557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVDVWVGELAKLGEKVKIPSCVFFDTRRTRKACESAQVMEAEVEEQSSESRVKVNAKIEDTLSESTVFMLMNAFAPS >KZM91813 pep chromosome:ASM162521v1:6:28020388:28021092:1 gene:DCAR_020822 transcript:KZM91813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGFFVGVLGVLLLSHAAYSTIQYRALLKITEDEFTGAPIHVIGELILGLVLCLWAGLTVPGKFLSILPDSDENRTCRKKVFT >KZM90479 pep chromosome:ASM162521v1:6:16115758:16116801:-1 gene:DCAR_022156 transcript:KZM90479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDCNVVLREKFTQHMNLTRKLMKPLPGQRTKRFLRKIVRIIVTDHDATDSSSDEEAELYDRRRVKKYHNVVLVEAGTDSTRKMEVSDDDHGGKRAKPVRDSSEKRYRGVRYRAWGTFGAEIRHPTKKEKLWLGTYGTPEEAARVYDTAAISLHGAKALTNFGNPSRKVEKQIFVQSNMSNESMEGSYQNTLTSPTSGLRNENQPALEEATDKGKGKEKVEVVEFSAEEDGPCRKLNTMNSYMPMDNLFEDDYGFGSMEPITFDNLPIFPDEEMLKINYDDKDLGINLSFPIPLKKDRNFLDNDGLDIGVDSGFSYEVIYGIGTTGSTSGVIDDYDFEDNDFSLATD >KZM91776 pep chromosome:ASM162521v1:6:27761674:27762282:-1 gene:DCAR_020859 transcript:KZM91776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTSAAAYIHKVQHLIEECILFKMSKEECMEALSKHANILPVITSTVWTELEKENKEFFEDYHAKRAEEKAQMSISGVDMLREKIEKMVLDSSSK >KZM92816 pep chromosome:ASM162521v1:6:36516072:36517846:-1 gene:DCAR_019819 transcript:KZM92816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSNQLPPLFNIPKSQLQCYGELVYSNNNHLLSKCGASSISALERFVCVVAWSISAVRPLAFGVTPYNPILGETHHVSTANLNVLLEQVSHHPPVSALHATDQMQNIETIWCHYVIPTFHGTCIEGKVLGSKVLKLLNHSETYVMNSPNLVMRLFPVQGVECLGNVMIRCEETGLEANLMYKGSAVFGRRSSTHRAIQGRIYKSSSTKTLYEIAGHWDKTVTAKDPMSGKLRIIYNAKEAIGGLKTPVVRNPKGLWASESAVVWGEVNKGILSKSWDKAREAKCLIEEKERELVKLRASSAKPWRPKHFNLSYSNETGSWDCSPIQRWVPPAPIAVPP >KZM92135 pep chromosome:ASM162521v1:6:30803551:30805002:1 gene:DCAR_020500 transcript:KZM92135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIIARYNHKSPDSSELPSIENAAAEQKAVLENETLREQIEEYRRTTSTPYQQNHYIERRNSFASSSIVSQQRSTKERDSDTSLCLGLGASDAYEKRKRPKIEWASNESGSHIASP >KZM89920 pep chromosome:ASM162521v1:6:7446174:7446667:1 gene:DCAR_022717 transcript:KZM89920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIWSYVGWYGGAFISIGGGLATADRLIIYWTGAATVDEKVDKVKLDTTAILQEIRGHRSETMSSLQWLRAYHERLAAGAAAGSAKGAGAGAAAAGGGAPEPGSAAAK >KZM90034 pep chromosome:ASM162521v1:6:9764266:9764505:1 gene:DCAR_022601 transcript:KZM90034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSNEDYEHFHPYRRRNLPWEANMQHRRSEIEKATDVLAQKRKLERYQPSGTASKYSDFTGGCTTLRIAKDLINLMEW >KZM90395 pep chromosome:ASM162521v1:6:15032640:15033050:-1 gene:DCAR_022240 transcript:KZM90395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHDAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPVVDGHAVNEPPQVQPPVENGHAANDRVANGAHD >KZM89671 pep chromosome:ASM162521v1:6:3125378:3130715:1 gene:DCAR_022966 transcript:KZM89671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSWDGSFDHGSQSDDSHQFDRFHIEPIYDAFICPLTKQVMRDPVSLENGQTFDREAIEKWFKECKESGRKLICPITLTELRTTDLNPSIALRNTIEEWNARNEAAQLDMARRSLFVGNAETEILQALKFIQHLCQNNPSTRHVIRNAELIPMIVDVLKSSSRRVRCKALEILRIVVEEDDDNKEILAEGDTVRTIVKFLSHELSKEREEATYLLYELSKSEFLSEKIGSVNGAILILVGMASSKSENVVTVEKADKTLKNLEKCENNVKQMADYGKLQPLLTLILEGPPETKLSMAVYLGELVLNNDMKLFVAKTVGSSLINLMRVGSMPSREAALKALNQISSFEASAKVLIEAGILPPLVKDLFTVGSQQLPIRLKEVSATILANIVNSGSDFESIPVGPDHQTLVSEDIVHNLLHLISNTGPQIECQLIHVLVGLTSSLTTVLSVVSAVKSSGATISLVQFIEVPQKDLRAASVKLLKNLSPHMGQELAECIRGPSGQLGYLVKILTENIAITEEQAAAAGLLADLPERDMGLTRQMLDEGAFPLVISRVARIRQGETRGNRFMTPYLEGLVRVLARITFVLADEPDAVSFCQEHNLASVFTELLQAAGLDSVQMVSAIALENLSHECKNLTRLPELPSPGFCVSIFQCFSNKPVTTGLCQVHRGTCSLKETFCLLEGQALEKLVANLDHTNEKVVEASLAAMSTLLEDEVDIENGVSVLCEAEAIRPILDVLIEKRTETLMRRAVWVVERLLRTDDIAYEVSGDPNVSTALVDAFQHGDYRTRQIAEHALKHVDKIPNFSGIFPNLGQ >KZM90161 pep chromosome:ASM162521v1:6:11862845:11864188:1 gene:DCAR_022474 transcript:KZM90161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPNRAKDKDGDPLRTQNGKRLDCQKSISDRKKANLDRLKVSMQRAKALGGEIPILNSLSLQIPTSDSQFRIYRRTPASNIYSTTRGKDPFAHHHRRKEFTPIEAVMLEPIDREYAMAVGGFRMPEE >KZM89810 pep chromosome:ASM162521v1:6:5221901:5222337:1 gene:DCAR_022827 transcript:KZM89810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSTTLCSLHFFLAASPVVGIWFTALGINTMARNFAVMNQKNNGLRVESRQRNSQRVADCLPKEIYQRNTTVANVGAKTPAQLFQQNCDRIGDRFCNGTQSSSSGCY >KZM92525 pep chromosome:ASM162521v1:6:34089534:34090672:-1 gene:DCAR_020110 transcript:KZM92525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELVGVKCSPMRWAAKARPCDVCKTAAALLFCITDSSYMCMGCDSKIHSNTIHERVSMCEVCEQAPASVTCKADAAALCVRCDMDIHSANPLSRRHERVPVALFGLAVAVKSPETPTLEVPIIREDVNLFGSNDCKISADMKSIELLFTDPDNLLEFDYPDQNNLSSLYSNSNPSADSVVPVQFISKPAQPLPQSADNHFEIDFTTSNIGSFGTSYTAPSISQNISTEVGVVPDGSCMSEISYQCGLAMNNSVITNSSTNGNVQVLVGMDREARVMRYREKRKNRKFEKTIRYASRKAYAEKRPRIKGRFAKRSENTEDASRWLSSSQARTNFVEDSGFGVVPSF >KZM89921 pep chromosome:ASM162521v1:6:7452510:7452704:1 gene:DCAR_022716 transcript:KZM89921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTHTSITLQSESWTTRPNPRCADGENKCEPYDGIKTVAVVKILEEIKPIRLLNQNKLKIRKY >KZM90421 pep chromosome:ASM162521v1:6:15766189:15766549:1 gene:DCAR_022214 transcript:KZM90421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM90469 pep chromosome:ASM162521v1:6:16053061:16053414:-1 gene:DCAR_022166 transcript:KZM90469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKDDARIDTMNQLGVNKKKKTDRCVVDKKKNVDDDPQLVQQKNATHREKNQTALIENKKISDYESKFEASMKRLHKAYKREEEAKQKRRIKVIDFQKPETQGSKGKTKRKPSLTV >KZM89481 pep chromosome:ASM162521v1:6:1135933:1136499:-1 gene:DCAR_023156 transcript:KZM89481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGSKFVYICREPKDVLISTWLFMNKLRPKNLLPLSLHEAFRLFCEGGSHYGPYWDHVLGYWKASLEFPDNVTFMKYEDMKKEPLFSLKKLAAFLGKPFSEKEEKQGVVQEILQLCSFDNLSNLEVNKTGILRYSSQIFVNNSYFFRKGEVGDWQNYLTDEMVKHLDSITKHKLKGSGLTFGSPDY >KZM89472 pep chromosome:ASM162521v1:6:1019760:1019945:1 gene:DCAR_023165 transcript:KZM89472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTLLTKRYQKLNLVSGILTVFQHLVCSAIFPSQPLPKDAISVDFKEGSFAGNDQKAGV >KZM92123 pep chromosome:ASM162521v1:6:30718149:30722132:-1 gene:DCAR_020512 transcript:KZM92123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYDLTPRIAPNLDRHLVFPLLEFLQERGLYPNEHILKFKIELLNNTNMVDYAMDIHKSLYQTEDVPQDMVERRVEVVARLKALEEAAAPLVTFLQNANAVQELRADKQYNLLMLNERYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEEVNRLKEIIDSKNFSSPLNQVQNRIWLMHWSLFIFFNNDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLATAFIVNKRRRPQFKEFIKVIQQEHQSVDDPITQFLACIYVNYDFDGAQKKMKECEEVILNDPFLGKRIEESNFSTVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEEAERWIVNLIRTSKLDAKIDTKTGTVSMEPNHPNVYEQLIDHTKGLSGRTYKLVSQVLEHAQAQAAR >KZM91054 pep chromosome:ASM162521v1:6:21688334:21696248:1 gene:DCAR_021581 transcript:KZM91054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKKTTTFPSCHDSSSSSSSPSISRKASSELVDDHSISPAAIASLIRTDSVSSSASAKGIPIMLRAQSSHPLDPLSAAEISVAVATVRAAGATPEVRDGMRFVEVVLSEPDKNVVALADAYFFPPFQPSLLPRTKGGAVIPSKLPARRARLVVYNKKSNETSVWIVELSEVHAATRGGHHRGKVISSQVVKDVQPPMDAVEYAECEAVVKEYPPFREAMKKRGIEDMDLVMVDAWCVGYHSDADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIYALVDMQNMVVIEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQIVQPEGPSFRVSGHYIEWQKWNFRIGFTPREGLVIHSIAYVDGSRGRRPIAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVDTIENCVCLHEEDHGILWKHQDWRTGLAEDGKIEAEVKLTGVLSLGALQPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVDVKVEEAGKDNVHNNAFYTEETVLKSELQAMRDCNFSSARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYTRDEDFPGGEFPNQNPRVGEGLASWVKQNRSLEETNIVLWYVFGITHVPRLEDWPVMPVERIGFMLQPHGFFNCSPAIDVPPNPCQLEAKDSDTKDNGQAKPSPSGLIAKL >KZM92739 pep chromosome:ASM162521v1:6:35934999:35937056:1 gene:DCAR_019896 transcript:KZM92739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGFHVMADQGENCDISNNNGITAANTNSSGGRAMEQLDLPPGFRFHPTDEEIINHYLIRKVLNSNFTATAVAEVDLNRNEPWDLPKKAKMGEKEWYFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIYSKSRKGGGPGSSKQLVGMKKTLVFYKGRAPKGEKLDWVMHEFRLEGNLSSYNLPKLAKDEWVVCRVIHRNTAAALVKPSSMLDLTRMNSFVDSLLDSPSLPPLIDSPFRKDEKPIASNFTNINNTTSSSVQHYNATSLAHYPKPTALASDGNTIYYRQPHMHPQNYNPTSFNTSTNYYQMPVYSQNPYHNPSSATPGNLLHQKRPDHHLMPNFPGLGNFTNQIPGEWQCKVEQFSTNQSMVSQSQDTGISTDMTTDISSSKQEENKGNIIRHFDDTEDHSVICPLSDLDSFWKY >KZM92632 pep chromosome:ASM162521v1:6:35001419:35003115:1 gene:DCAR_020003 transcript:KZM92632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVVSSSLATLPPRSQIIYKNSTCHRPIGLHGSVLSSSQRSLYLPKQNQVAKIVTRSRRNVVVRSSLVPPEVDIPALPTSIGIPGLPDSWQAWVMGAVVTIGLPFLTNKWGPLLGWMEKLKGILQTTENIAEAVEDIAGKVDKMAEEIEAGLPEGQLKNALHNVELAAEEIAKDADRIDQLIDKVQEMEEKFEDMVEESNELAKDIKASKQA >KZM89619 pep chromosome:ASM162521v1:6:2582292:2586764:1 gene:DCAR_023018 transcript:KZM89619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNLFVAASMPVLKVLLLTALGSFLALDTIDLLGEDARNTLIGGNLANTITYESMIKLWFMPVNILFAFIVGSGLGWMVNLLTKPPPHLRGLVIGCCAAGNLGNILIIIIPAVCKESGTPFGAADVCSTYAMAYASLSMAIGAIYLWVYAYNVVRISVEASLKQVGKNGSSVGNSSIASSMTEPIGFSEPLLSQVDAVTIEHNTEALPLARFEKKNQLSLTDKIKHYMLEISQTLNLKKLFAPSTNAAIVGFVVGVVPWLRKLMIGDTAPLRVIEDSTILLGEGAIPALSLIIGANLLRGLRATGTQKSMVFGIVVARYIALPLAGIGIVKGLMRLNLVKADPLYEFVLLIQYAVPPAMNMGTITQMFGSGETECSVIMLWTYSLASISLTLWCTFFLWLVSSSV >KZM89629 pep chromosome:ASM162521v1:6:2699450:2708919:1 gene:DCAR_023008 transcript:KZM89629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRRRHRHHHHHNRFRFVIPAISVLSGIILLLFAFISFLAPPATDHRQLRNGASYGEKLQRFRTPNNGQLSDRDIWNSKMSKFYYGCSNASSNFAKAKEVTHANRYLLIATSGGLNQQRTGITDAVVAARILNATLVVPKLDENSFWKDSSNFSQIFDVDWFISHLANDVQIIKELPLKGGKTWIPYTMRVPRKCSDKCYVNRVLPNLLKKHAVRLTKYDYRLSNRLESDLQKLRCRVNYHALKFTDPINEMGEKLVFHMRSMGKQYIALHLRFEPDMLAFSGCYYGGGERERNELGKLRRRWKTLHNSNPEKVRRQGRCPLTPEEVGLMLRALGYGKDVHIYVASGEVYRGEETLAPLKALFPNFYSKETIASKKEMEPFTGFSSRMAALDYIVCDSSNVFVTNNNGNMAKILAGRRRYFGHKRTIRPNGKKLYRLFLNRENMTWDEFASGIRKHQKGFMGEPKEVRPGRGEFHENPSACICEDSKAKAVAESNLRKFGMGKSTTKADVSATVDGQSNDNEPELSEEEEEEDDMSSSQDMNSSQDNTEFNGTSTDSDASTSEEPELEELLSD >KZM90073 pep chromosome:ASM162521v1:6:10314136:10317988:1 gene:DCAR_022562 transcript:KZM90073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTIVRRVIPSDNSCLFNAVGYIMEHDKHKAPELRQVIAATVASDPKKYSEAFLGKPNEEYCAWILNPEKWGGAIELSILAEYYGREIAAYDIQTTRCDLYGQGKNYQERVMLIYDGLHYDALAMSPSDGAPEEFDQTIFAVRNDRTLGPVEGLTLNLVKEQQRKRSYTDTANFTLRCGVCQIGVIGQKEAVQHAEATGHVNFQEYK >KZM91026 pep chromosome:ASM162521v1:6:21515591:21515767:1 gene:DCAR_021609 transcript:KZM91026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLLLKADKENSNQQAVDLSNQSYKYHVVSGYSVQIDNQHVLPSLLNYCHRRRREWA >KZM89840 pep chromosome:ASM162521v1:6:5732318:5732515:-1 gene:DCAR_022797 transcript:KZM89840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGHCKFSITCKHHHPQMHGVSVAAPARPSYAAVQSSVPTPEQYGGDTTNYGVPIPPLFPGSYV >KZM92060 pep chromosome:ASM162521v1:6:30177939:30194188:-1 gene:DCAR_020575 transcript:KZM92060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSASRIFSVCSSFSPSPVLLTSRVTFPPIAVSGSVFVRNAETRRTFMSSRVAMDAVKESASSKAYGSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFASKVDVILRADNSVSITDNGRGIPIDIHPVTKKSSLETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSEALEVTVARDGWLYKQTYSRGKPITNLIADELPADKKDLKGTTIHFWPDKEVFTEIQFDYNTIAGRIRELAFLNPELTITLLKEDVDVEKNQHHTYCYAGGLVEYVRWLNTDKNPLHDILGFRKAADGISIDVALQWCSDAYSDTLLGYANSIRTVDGGTHIDGVKASLTRTLNNLGKKSKIIKEKDISLSGEHVREGLTCVISVKVPNPEFEGQTKTRLGNPEVRKLVDQFIQEYLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRQKSVLRSSSLPGKLADCSSTNPEDSEIFIVEGDSAGGSTKQGRDRRFQAVLPLRGKILNVERRDEAAMYKNEEIQNLILGLGLGVKGEDFNKEALRYHKIIILTDADVDGAHIRTLLLTFFYRYQRALFDGGYIYVGVPPLYKVERGKQAFYCYSEAELVKLQSEFPSNASYNIQRFKGLGEMMPLQLWETTLNPETRLLKQLVVDDAAEANVVFSSLMGSRVDVRKQLIKDAASVMNFKQLDI >KZM90575 pep chromosome:ASM162521v1:6:17012946:17016758:1 gene:DCAR_022060 transcript:KZM90575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCTSKPPRPNLYAPPGEEIVYTEDDVKSPAKNDSNAGKKTPMFPFYTPSPAHYLFGKKSASSPANSTPRKFFRRPASPAKHIKAVLLRRRGGGKGADEEEECGGLDKSFGFSKEFKSCYEVGKEVGRGHFGYTCAAKCLKGELKGQQVAVKIISKSKMTTAIAIEDVRREVKILKGLKGHENLAQFYDAFEDKDNVYIVLELCEGGELLDRILARGGKYSEDDAKAIMGQILKVVAFCHLQGVVHRDLKPENFLFSTTDENSQLKAIDFGLSDFVRPDQKLNDIVGSAYYVAPEVLHRSYSTEADVWSTGVIVYILLCGSRPFWARTESGIFRAVVKADPSFDDEPWPSLSLEAKDFVKRLLNKDPRKRMTAAQALSHSWIRSHKDIEVPLDINIFRLMKVYMRSTPLRKAALRALSKTSTPDDVFYLKKQFALLEPNQNNSINLESINSALMKHETDAMKESRTPDFLTSLNVLKYRRMNFEEFSAAALNVHQMEALDQWEQHTRCAYEIFEKDGNRAIVIEELASELGVGPSVPVLSVIRDWIRSNDGKLSFLGFVKLLHGVSTRSIGSTK >KZM92200 pep chromosome:ASM162521v1:6:31443280:31448886:1 gene:DCAR_020435 transcript:KZM92200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAVIGLSAGKRLLSSSVYYSDYAEKFCSSSDIGFPHHQVTSSKNVISAKKSSYSSNFLSKRDNRSVKALKEHVDTASIPSDLEPWFQSSKNLEVEISDFDCSVDALLLLQKSMLEKQWNLSPEETLRTPTTREKNRKKIQVTGSGISARRRRIDTRRRALNQRSPQIELNTRKQQASVISPKLLQNHMRGYLKGALREELLSHTEVIHLSKKIRTGLHIEDRKSRLEERLGYEPSEEQLSASLNISRAELQSTLIECSLAREKLAMSNVRLVMSIAQRYENKGAEMADLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRTLVENSRTLRLPTYLHERLGLIRNAKTKLDERGITPSVANIAECLNMSQKKVRNATEAVNRVYSLDREAFPSLNGLPGYTLHNYIADNRPENIPWHGVDEWALKDEVNKLMNTTLRERERDIIRLYYGLDNETLTWEDISRRIGLSRERVRQVGLVALEKLKHAARRKRLEAMLWKPAHHNCDVDLSEMQGITVGVKNPLLVRVSPGPNPSSFIEH >KZM90716 pep chromosome:ASM162521v1:6:18478543:18480073:-1 gene:DCAR_021919 transcript:KZM90716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQITGLTELGMDDSFFNNQWPMSSYDELSALSLAAAFGENLHSNFAQPMYDMRPSSETSFTISERPMKQLKTNSWSSETNDHIPNMQQFSYANYNNQIGIVKPKEEALSSRSTTTTLGTDVITSQGSFENQNYVLKSSQGAKRISTNARLSQAQDHIMAERKRREKLSQRFIALSALVPGLKKMDKASVLGDAIKYLKQLQERVKALEEQTRRKSTESVAFANNSSSDNHAAGIPAEEPLPEIEVRISDRDILIRIHCEKRKGVIEKTLAEIEKFQLSIINSTAMTFGASTLDITIIAQMDAKFTMTAKDLVKDLLVALKKIMS >KZM89625 pep chromosome:ASM162521v1:6:2651034:2654134:1 gene:DCAR_023012 transcript:KZM89625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSDGANTLLDFDYNDAELDGFALVGNQIADAAGEVIRKYFRKTFDIVDKEDLSPVTIADQEAEAAMTLILQQHFPHHAIFGEENGWRCKEKFADYVWVLDPIDGTKSFITGKPLFGTLIALLHRGKPIFGIIDQPILRERWIGITGRTTTLNGQEVSTRKCAKLSQAYLYTTSPHLFSGDAEVAFGQVRSKVKVPLYGCDCYAYALLASGFVDLVIESGLKPYDFLSLIPVIEGAGGVITDWTGCHLNWEASSDSHAPRSRV >KZM91524 pep chromosome:ASM162521v1:6:25809044:25810252:1 gene:DCAR_021111 transcript:KZM91524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFLLFLLSFQHLEIVSGHCTTSTAAKTFEKCTTLPSQDASIAWTFHPHNATLDLVFFGSFISPSGWVAWGINPDSPGMTGTRALIAFPDPNSGQVVLLPYILDPGVKLQKSPLLSRPLDIHLLSSSATLYGGRLATIHRGAAIQIHATLKLVPNKTKIHHVWNRGLYVQGYSPTIHPTTNSDLSSAATIDVMSGTAATTHSNLHTLKMVHGVLNAVSWGVLLPVGVVASRYLRHFQSLGPAWFYFHAGIQLSGIVLGTTGFAIGIRLGQMSSGTVYGLHRKLGISAFCLGSLQTLALLFRPKTTNKYRKYWKSYHHFVGYACVVLGVVNVFQGFEVMGEGSSIAKLSYCLSLSTLIGICIALEVNAWVIFCRKAEEDKLRREGLIVGSEKASTISHGYIH >KZM92277 pep chromosome:ASM162521v1:6:32030326:32031723:1 gene:DCAR_020358 transcript:KZM92277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGADALTDDILTEILKRLPKKALFRFKCVNKSWNRLIQTPYFGALQSDHNKTNLRNIYMLVFLHWGNLALYGIDPVHGLGYNSDSTDLHDLHPLLVFPTGRRMSHSVIGFRTETRFEVFSPVDEAWHVLPTPHDDNAVPGDFDDYEFTYLFLEKSEQVVYFAVVGYILSFNLKTHKWNPASPHRLTMLPPVSIVNGIAFGFDNSYASPHRPTSFPPLTIVNGISFGFNSYVNICASKPLSKGEEVMQPYLAADKDFLEVLGSPSRFTYMTKRKMFYEDYIIGLEDSDNKEVMCFLTHGSKPAEDPISCPDYKTSYVALSIFRICNDLYTEKRPASEHYSTYADEEGEDGAVVRRYFNAKFLYTKHFIISNSRLHAMGMIVTCFN >KZM89717 pep chromosome:ASM162521v1:6:3682525:3683518:-1 gene:DCAR_022920 transcript:KZM89717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDIKNLLLNAINIGYRHFDCAADYKNEAEVGEALAEAFNSGLVKREDLFITTKARILI >KZM90630 pep chromosome:ASM162521v1:6:17632569:17633288:-1 gene:DCAR_022005 transcript:KZM90630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSCTWNTCLHLLLIVSFAAAESSCYSSSSFETRKTETDALVSTGWWNPVPSFCTSCNFIGVECNKAGRVITINLGDRYYVGNDLGMLNISSFPYLQKLDLSSNRLTGSIPYQVAMHSKLKYLNLSNNYLTGKLDFTSFPHLQTLDLSSNGLTGSIPHQIGMLSKLKYLSVSNNDITGNLPSSLGNLTQLQMLDVSQNKLTGTIPLELGNLSHLDSLDLSFNLFDGSLDLQLANLTQL >KZM90258 pep chromosome:ASM162521v1:6:13206572:13207924:-1 gene:DCAR_022377 transcript:KZM90258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPTKTLLQPKTSTRVTPETISEAVTSLLQWKQAQKSSQKPQLLAQEQYFYLVLTLKNIPQKSTGTNPHKIPLPHPLIQGSQICLIIDDRPKSKLTKKAAKKKVQSDGVNVSKVLKFTKLKSEYKSLDAKRKLCESYDMFFADKGVVTYLPKMLGKVFFKKKKVPLAVNLGHSNWKEQIERGCCSGLLRVSSGTCSVVKVGRVSMEEEEVVENVVEGIKGVVGFVENGWEGVRSLHLRLLDSMALPLYQSVPDGGLKIGGGKEVGESVGEDESGVDVKKRDGKSGKKKGRIHEVEYMDASDVDGDKNESEKINDGSEIVGKKRKNRALVKERVSGEEGVDELGKKSNKTGKGGKFDVQIVNEGKESEKEDLVSEEVETKKKKGDVKNGPVIDKNVEKAAKKTKGDDMELEKAYIPVKSVGVSAGKKAKKKSGLETTDRNSEKKVKVSKK >KZM90669 pep chromosome:ASM162521v1:6:17925853:17927092:-1 gene:DCAR_021966 transcript:KZM90669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERFIRTDRLPGDVLLARERLLERLRGIQLSGQRGNVTAAPLPWQANARDIEVWRMFNGLVSAARSESATRSNSDMGSSESATRSNSDVGSFIAPVAPKPRPLGLTRDEFDLLHIEVFTTTEKSDEENISRASLECSICLENFVEGTELVCLPCGHKYHRYCLFPWVQACGDCPYCRAAIIVVDTELKL >KZM91942 pep chromosome:ASM162521v1:6:29211692:29212000:-1 gene:DCAR_020693 transcript:KZM91942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFFGTQRSNIFDPFSLDIWDPSDLGKETSAFVNTRIDWKETPEAHVFKADLPGLKKEEVEVEVEEGKVLQISGERNKEKEEKNDTWHRVGNAAVASF >KZM91721 pep chromosome:ASM162521v1:6:27356295:27358210:-1 gene:DCAR_020914 transcript:KZM91721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYTFCTLALFFLSLHLVSSSTISFSTDTICNRTPFPSFCKSTVPANDSTSFQECGRFFIKQYISTNNDLLSIVDKYLENQKDLPLYTVRALQDCRDLGSLSSDFLTDTLQSISSVSTLEASKAKDVQSLLSAIQTNQETCFGGVSTIESPKEIKSDLQARELNTSMLGSVSLSVFMDGWANGTSSATKEADQSARRLYTVGANVIVNKTVVVDPTGNGDFTTISDALDAAPNNSIASYGYYLIYVMAGVYEEYVNVIKSKKYVMMIGDGINQTIITGNRSVADGWTTFTTGTFIVVGQGFVGVNMTIRNTAGAVKHQAVALRNNADLSTFYMCSFEGYQDTLYTHSLRQFYRECDVYGTVDFIFGNAVVVLQNCNIYPRLPLQGQFNAITAQGRTDINQNTGTSIQNCTILPAEDLGLTKTYLGRPWKLYSRVVYMESFMGSLIEPAGWSIWSGDFALNTSYYAEYNNIGPGADTTNRVTWDGFHVINATEAVNFDVSNFIAGDYWLPATGVPYYIGLM >KZM90375 pep chromosome:ASM162521v1:6:14759965:14769640:1 gene:DCAR_022260 transcript:KZM90375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALVKKSTAKNDTRIDGKDVNLGSSVTPFLLFSTLVAGFACVTFGCAIGYTSAAEARIMEELNLLLYRYVWCNLKWKDSRFHGQEIYNVADGRITYHWVVSNYFCPGKSLSSAVGVSIPWFIMAEVRPWLPLVNKINDVASSSVTPLLLFSAVLSGLAAFSNGCAFGYTSAAEAGIMEDLNLSIAQTMLLMDVSLIIGWSAIIFAQLPVYVAEISPKNIRGRFSGAVALMVNIGVSVMFFIGNLIAWRTLAVIGVLPILIHALGLVFVPESPRWLANTGRERQLEDTLQRLRGVNADITEEATEIRDSTTHHQLSEPRFMEMFEKKYARSLIVVIGTLILLPLGGGQALVFYASLIFKKAGASVIAGTTAYAIIQIPVCAMGALLLDRTGRRAVLLVSLISACLGSFLAALTFVLQDLNQWKELTGTLVLIFVLVTLFSLAVGGSVPWVLMGENGYASAAEAGIMEDLSLSSAQFALYGLIFIFWKYVWYNCKSKACKSFVISSGGFYTVVYHGRGTFFIMASFSGLLILFAAKTVPETKGRTLEEIEASMLT >KZM89948 pep chromosome:ASM162521v1:6:7921983:7922221:1 gene:DCAR_022689 transcript:KZM89948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTFTASADGLKRVKCALWIIVSGSSKSMDTRGTAAQLNGASQFLEVGKA >KZM92092 pep chromosome:ASM162521v1:6:30448954:30454953:1 gene:DCAR_020543 transcript:KZM92092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLAHLKRSLKPFFQNPRLHFTKPPIFSNPTSEIPHFLNPRNPFVSRGFSTTPPLSSPSSSSSDAEIRKYIGYAALLLSCAAATYYSFPFPENAKHKKAQMFRYAPLPDDLHTVSNWSGTHEVHTRNFVQPESVKELESVVRVASEKKQKIRPVGSGLSPNGIGLTRAGMVNLALLDKVLEVDKERKIVRVQAGIRVQELVDGIKEFGITLQNFASIREQQIGGIVQVGAHGTGARLPPIDEQVISMKLVTPGKGTIEVSREKDPELFYLARCGLGGLGVVSEVTLQCVERQELVEHTYVSNIKDIKKNHKKLLSDNKHVKYLYIPYTDTVVVVRCNPVSKWKGAPNFKPKYSKDEAMKQIRDLYHESIKKYRGEEQEISEFTFTELRDKLLALDPLNKDHVIKVNQAEAEFWRRSEGYRLGWSDEILGFDCGGQQWVSETCFPAGTLAKPNMKDIQYIEEVLQLIEKEQVPAPAPIEQRWTTSSKSLMSPAYSSAEDDIFSWVGIIMYLPTTDPRQRKEITEEFFHYRHMTQTQLWDQYSAYEHWAKIEVPKDKDELAALQSRLRKRFPVDAYNKARRELDPNSVLSNNMLEKLFPLGNPI >KZM89964 pep chromosome:ASM162521v1:6:8697307:8712059:-1 gene:DCAR_022673 transcript:KZM89964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVCLMNLFPKSLKVSSHQDLTLSRRDSHMTLLRIPWTMLSFFKINLIAFLTFAGFSMKAAACILSVLWSLFCMYFRAKLPLGDPNDLSVIEAKLTWIVHIIAAILKIKQCTGCSTESQEVIDAELSARVLRLISATDSGLHSLRYGELSKQRLDRAILTFFQHFRKSYVGDQAMHSSKLYARLSELLGLHDHLLLLNVIVGKIATNLKCYTESEDVIDHTLSLFFELASGYMTGKLLLKLDTVKFIIANHTSEHFPFLVEYRCSRSRTTFFYTIGWLIFTEDSAVKFKSAMEPFLRVFVSLESTPDTMLRSDAVKYALIGVMRDLRGIAMATNSRRNYALLFDWLYPKHMAVLLKGITHWADTPEVSTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLLVAYGSRILSLPNVADIYAFKYKGIWISLTILSRALAGNYVNFGVFELYGDRALADALDIALKMTLSVPLADILAYRKLTKAYFTFLEVLFSNHIEFLLNVETNTFMHIIGSLESGLKGLDSSISTQCASAVDNLAAYYFNNITMGEAPTLPAAVMLARHIAECPRLLPEILRTLFEIVLFEDCNNQWSLSRPMLSLILISEQIFTDLKAQILGSQWTSINVFPCVLTNLWLMLPAA >KZM90643 pep chromosome:ASM162521v1:6:17746403:17746870:1 gene:DCAR_021992 transcript:KZM90643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFFGGRRSNVFDPFSLDVWDPFKDFPLVASSEFGKETAAFANTHIDWKETPEAHVFKADLPGLKKEEVKVEIEEGKVLQISGERNRDKEEKNDKWHRVERSSGKFLRRFRLPENAKVDEVKAGMENGVLTVTVPKVEIKKPEVKSIDISG >KZM90626 pep chromosome:ASM162521v1:6:17604281:17607474:-1 gene:DCAR_022009 transcript:KZM90626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNLDKSVDHKGLHDTFSPYGNILSCKIATDFSGQSKGYGFVQYDSEESAQKAIEQLNGMLINDKPLFVGPFLSKQERELAADGSKFTNVYVKNLSAAMTEEDLYNIFGEFGKITSSVVMKDTDGKSKCFGFVNFENADDASRSVQALNGKIIDQKEWYVGKAQRKSEREQELKLRYEQSVNASTDNAYGLNLYVQNLDDSFDDTKLKEVFAPFGTVTSSKVIHDAIGLSKGLGFVSFSAAEEALRAISEMNGKIVGSKPLYVALAQSKEDRRARLQEQHSNMHPVAVTSLGPRMPMYPPGGPGLPQRFYGQGRPAFIPPQPGFGYQPQLIPGMRPGGRPMPNFLVPMPQQGQQGLSPGVSRHAGMHALQAGLPMQPQTFPRGAYHPPHGRGMPVPMRGMVPPPFNVGGMQDDDEDDYPKGCLPISFEAFAYLLEKSTPEDQKLMLGENLYPMVEKLEPNLAAKVTGMLLEMPRSEILPFFKSKKALKAKVAEALQVLKIAKQKAGTPVGQPAASSPKDGVVS >KZM91650 pep chromosome:ASM162521v1:6:26807808:26811959:1 gene:DCAR_020985 transcript:KZM91650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASTAISVPGKTKKRAFSEASSVDLDVVEISPPPVNRSAKSKDLKMKGVQNQEIIDVDMDENYGNIYPEGKTGNKYKGKRAARINTVGAHSTSNSGSVYGDLYSVKSSAPGSQNIVNLDDFESDLSYLDDNHPGVEAPVSWFPGPTQHRSTLAFAENSNESMLRIKPSDKEFLPILESSHSLWSVDPQRRKSTTSSSFMETLVHGVSQPHKKGPSSLWSAAENGQTNGWPFHNEAIFGDASIQGPHNPPDFTGQYYTATYGGTYFHSNTNKTVGDEGFASGPHMHTSNEAYSINANGFNLNSSVFPENSLKAGYEPYVQSFTIQNSTSALESPFGSSFRSHKIAADLGTRSGSSSKRKESATPKQVDEDNILKKFREFKKFDIVEDPSDNHYVNKKTPVKQPSSWSKKIQQEWKILEKDLPDTIFVRAYESRMDLLRAVIVGAEGTPYHDGLFFFDVFFPHNYPNVPPYFEDFILGHFFKHARKILVSCKAYLEGAQVGCLVSGVQDVDEGDKSCSSDFRSSLRQYIYQVVDAFTRIGVKDCADLIPPAKSGNRKT >KZM89391 pep chromosome:ASM162521v1:6:373988:374671:1 gene:DCAR_023246 transcript:KZM89391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEANVVQLGNGETKVVNSEESGVNMEAKNVVKISPPSMMHVSSDARDEGINVPSDLTLAGTVLTLSPDLTCVDAAHGSTHARSPYTPEERCFNLFAPAVGEIKMAPRGSKCSQEARSSDARSIRFGSSVKVMMDEFNESESEDIEERLLKFMYEDLLGVIVSEQVYSVQHDTCLDEVLPKHVILDGFTTPEPLLTGIAETCPPAPVKSARRAVNIEGSLCRKLDF >KZM90546 pep chromosome:ASM162521v1:6:16785669:16791162:1 gene:DCAR_022089 transcript:KZM90546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTSSEEENCYSDRDSFDGLDNEESDSQWVPPKPSSIKVITKESLLAAQKEDLRQVMELLSLREHHARTLLIYYRWDAEKLFAVLVEKGKSALFAQAGVPLVENHELDTSMNSSTVMCIICIEDVSGREVTKMDCGHCFCNNCWTEHFIVKINEGQSKRIRCMAHKCSAICDEAVIRNLVSKRHPDLAEKFERFLLESYIEDNKMVKWCPSIPHCGNAIRVEDDEFCEVECKCGLQFCFSCLSEAHSPCSCRMWELWLKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQSFCWLCGAATGRDHTWSNISGHSCGRFKEDMEKNSERAKRELYRYMHYHNMYKAHTDSFKQESTLRETIREKVENLEKRDPSARDFTWVTNGLYRLFRSRRSLSYSYPFAYYMFGEELFKGEMTEKEREIKQNLFEDQQQQLEANVEKLSKCIEEPLDQLPDDRVMNIRMQVINLCVITDNLCQKMYECIENDLLGSLLFGIHNIAPYHSKGIEKATELTICHNSKACNAKKADDNGCTLESQGPSGSGSSDQSGYSSRKRPRKENFGAAFFDLNLPAEVLEGN >KZM89374 pep chromosome:ASM162521v1:6:202524:203642:-1 gene:DCAR_023263 transcript:KZM89374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRTGFNKLSGITPFVNLNHYDVPQALQERYSGLLSREIVKYFVDYAEFCFQMCMETGLKIGLHLMNLEWLLFKDLMKVLSHQEDALSSSNAFMLSASKPHSN >KZM91277 pep chromosome:ASM162521v1:6:23750078:23755654:1 gene:DCAR_021358 transcript:KZM91277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHNLNWQHQLCKNPRPNPDIKTLFVDHSCAQPNGARAPSPAPNPLLGPLPKAGGFPPLGAHGPFQPAAAPVPTPLAGWMSNPPTVAHPAVSGGAIGLGGPSMPTALKHPRTPQTNPSLDYPSVDSDHVAKRTRPLGLSDEVNLPINVLPMSFSGHGHSQAFSAPDDLPKNVARTLNQGSSPMSMDFHPVQQTLLLVGTNVGDIGLWEVGSRERLVLKNFKVWDLSACSVPLQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGEDMRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDATTGAKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESHIVEWNESEGAVKRTYLGFRKRSLGVVQFDTTKNRFLAAGDEFTIKFWDMDSVQILTSIDADGGLPASPRIRFNKDGSLLAVSTNDNGIKILVNSDGLRLLRTIENLSYDASRAPEALKPSINTISAAAAAAGTSGLGDRVSSAVAISAMNGDARNLGDIKPRITEESNDKSKIWKLTEVTEPSQCRSMKLPENMRVTKISRLIYTNSGNAILALASNAVHLLWKWQRSERNSNGKATASVSPQLWQPSSGILMTNDIADSNPEDAVACFALSKNDSYVKSKLKGHSKRITGLAFSHVLSVLVSSGADAQVDNIISSV >KZM90796 pep chromosome:ASM162521v1:6:19326967:19329006:-1 gene:DCAR_021839 transcript:KZM90796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLFFLLQLLLVQFVHSQVNFTYNGFKHADLSCDGDAYLRSDGIMAITNDKPKLIGHAYYPSALQFMPNQNKGNKSSVVTFSTNFVFSINPKYPEIGGHGLTFVLLSTKQPQECRLNQYLGLPNDTSMETYSTRALAVEFDVVQNLEFMDINDNHVGIDISSLISNISKPAAYFIGNTTMSINLKSGSPFHAWIDYNNQEMLLNVTVAPLGILKPQKPLITLPIDLSTVINEYMYIGFTASTGLLSASHNILGWSFSFGGKAQDLDPSDLPSLDKSVKSCNPPSDGASSSQSTSFTGFDKKLVGTQVSRATF >KZM90832 pep chromosome:ASM162521v1:6:19649413:19653537:1 gene:DCAR_021803 transcript:KZM90832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTKKVLLTSNGDEISQNIAYHLAKQGCWLVLLGDESSLKKIADKITGSLKGFVKVEVVGVDMEDENESTFDLAVDQASKVLGGLDSFVSCYSYEGKMQDPLQLSGDEFKKIVKINYMSAWYLFKAVGKRLRDQKTGGSVVFVTSLIGAERGLYPGAAAYGSALAGVNQLVRTAALEVGKHQIRVNSIARGLHLNDEFPVSVGKERAEKMVADAAPLNRWLDVKDDLASTVIYLISDGARFMTGTTIFVDGAQSLARPRMRSFM >KZM90533 pep chromosome:ASM162521v1:6:16616743:16618996:-1 gene:DCAR_022102 transcript:KZM90533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPPSPRRNPSRSTDMVLDEKDSARSTESELAGRKRVVRTGDPYYEAAVKRRSGGTALDEFLHKRMLSTMPSNVNTRNNANTNAGVGQYAPAHYNQPKENVVKSSGHENKQLYIDGCGNSEVSKPRRRGPGVNKIINSQESVIDLNKAPKAAKKPRTRGLGIEKLLAQRFGNEDGNKTGTQATAMDDDINTPCTQGATHKSPTTAGLPVAL >KZM90321 pep chromosome:ASM162521v1:6:14156925:14159129:-1 gene:DCAR_022314 transcript:KZM90321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLYRKLTELNKYGTDLTELAKQNKLDPVIGRTDEIERVMQILCKRGNNNVCLTGNPGVGKTVIVEGLASRIVNGTVPLKLQGTKVFSIEMARLKAGTTWQGEIVQRLNKVLVEVKLSKGKILLFIDELHTIINNGYQLHASNIIKLAPARGELKCIGATTAAECRKYIEKDGALKRHFQVVDVPEPSVQDTVLILKGLLKRYETFHNVQYTDKAVHCASSLAKQYVSDRFLPEKAIDLIDEAGARVNLKRKQVDNNENVVQVKRHKKSRSEVKTNKKSHLKVNLHPKPKKMCVTELDIQHVLSSWTGIPVEKISQEEALKLLNMEKTLQTQVIGQKKAVVSVSRAIRRAKVGIRDLNRPIASFLFTGPTGVGKTELAKLVSKEYFGSKEALVRFDMSEYMAKHDVSKLIGAPPGYVGHHDGGQLTEAVKRRPHNLVLFDEIEKAHPDVFNAMLQILDDGRLTDGKGCLVDFKNTIIILTSNIGGQLNGKYEQVKHEVSELLKQKFRPEFLNRLDDIIVFKQLTKKRLKKIVQLMLKEFKEKVWERKDIIVKIENKVRDMVLEEGYSPSYGARPLRRAITRILEDSLGDRILSGDVKEGDTVTVDVNSRGEIVFFT >KZM90791 pep chromosome:ASM162521v1:6:19270370:19271791:1 gene:DCAR_021844 transcript:KZM90791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLSLNNTRARTNNLYEVSSSEACKRQRTSSSFWEDNPRLIPSLPDEISIQILARLPRICYLDMKLVSRSWKAVITSAELYKLRKELGTAEQWLYILTKFDGDKLVWFALDPHSKRWQKLPLMPNVAAEDGSRRGLSALNVWHMVGSSIKIADAVRGWLGRRPALDQIPFCGCAVAAVDGCLYVIGGFSKALPMKCVRKYDPTLNVWSEVSSMSVGRAYCKTSVLNNKLFVVGGVSRGRGGLTPLQSAEVFDPQTGAWSEVPSMPFSKAQVLPTAFLADLLKPIATGMTSYKGKLYVSQSLYCWPFFVDVGGEVYDPDSNSWFEMPVGMGEGWPARQAGTKVSVIVEDDLYALDPSTSLDSARIKTYDYEGDTWKVVDGDVPIRDFAESESPYLLSGLLGKLHVITKDANQNILVMQADKQNHLESSASTADLIQGSLQESVVQSETNIWTVIATKNASSAELISCQVLDM >KZM91019 pep chromosome:ASM162521v1:6:21471296:21477177:-1 gene:DCAR_021616 transcript:KZM91019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHDIRRPFKRPAISDQQKRRDLSLLRQNQNRRDAQHQARCLASTVVSLPNQNSETVSEHLELLPEPETGEADAQFSEAEGQFGHSQAPSSSRDLDIRHASKLRGPEVRMWFAKQLMLPEWMIDVPDRLSLDWYVFARPSGKRCFVVSLDGTTISRLRNGSLLHRFPSALPNGCRKKGGSGQSYTILDCIFHELDQTYYVIDMVCWAGVSFYECTAEFRFFWLNNKMLETGACEAPSQYHRYRFSLLTIYNCDYEGLNTAYTGSVPYVKDGLAFYNKNAHYQTGNTPLALVWKDENCSQYVIDTDGKGQIPHQQQVALELQGDGKLTTSDDPPVVFGCLNKEFIEKSELLPGNLLRFAVGEGGLNFLDGKLEKADLQYLGKVNRARAFADTYSKVVFQHMVRHSPLRIEHLFASVSSSHDQDEVHDVEMVV >KZM89590 pep chromosome:ASM162521v1:6:2351547:2353145:-1 gene:DCAR_023047 transcript:KZM89590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNFLFVFTTIFSFAQLGLVDSHVNASGSIFTGIEMPQHSSFGAQVSSTSACDPSVSDQQTSTKPAVKLHLKRRGSADSKPKGKESVEESTYRDLIRIQTLDKRVTQNKNQNQNQNQNILSRLVKEGVSKHYHEIKNAISPAPESFSDQLMAKVESGVSLGSGEYFMDVFIGTPPKHYSLILDTGSDLNWIQSLPCYDCFQQNGPYYDPKESQSFQNISCHDPRCQLVSPPDPPQACTEENQTCPYFYWYGDSSNTTGDFALETFTINLTAHTGKSEFRQLENMMFGSGHWNRGLFHGAAGLLGLGRGPLSFSSQLQSSYGHSFSYCLVDRNSDQNVSSKLIFGEDKGLLGHKELNFTSFVSGKDNPVETFYYIQIKSIIVGGEVLDIPQETWKLSSQGLGGTIIDSGTTLSYFANPAYKIIEEAFVRKVKKYPIVADYFPILHPCYNVSGVKDTELPTFGIEFVDGAIWNFPTENYFIRLDPEEIVCLAMMENSRSAMSILGNYQQQNFHISYDTKRSRLGFAPANCAEL >KZM90275 pep chromosome:ASM162521v1:6:13771661:13772328:-1 gene:DCAR_022360 transcript:KZM90275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVKLASLLLCLLLVFHALRDSSAHVDLDHHASKAPAAGHQHHVNTQEQDRNKDMEAAEGGVKNLMKIDWGPKTPT >KZM90631 pep chromosome:ASM162521v1:6:17643663:17644064:1 gene:DCAR_022004 transcript:KZM90631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSTKLILFHPSFTKQPGSPTAIHHRRWWLSFISFLTFIFTLTFLTSKSLLTSTPPSPAADPLPPPVLDALLHYTTAKTNSSMTLQELTAVANVLKSCPSPCNFLVFGLTHETLLWKSLNANXEIIIYMCE >KZM89676 pep chromosome:ASM162521v1:6:3220247:3222444:-1 gene:DCAR_022961 transcript:KZM89676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQQQKYGRKSRFQRICVFCGSSPGKNPSYQVAAIHLGNQLVERNIDLVYGGGSIGLMGLVSQAVYDGGRHVLGVIPKTLMPTEITGETVGEVRPVAGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFITPSARHIIVSAPTAPELMSKLEEYVPEHEEESAKLSWEKEQQLVYTTEPDIAR >KZM91179 pep chromosome:ASM162521v1:6:22813377:22815586:-1 gene:DCAR_021456 transcript:KZM91179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVSQRKSCTISPDRLPSDMSSPSSGSDQESPEKSKKMARKLSFEYGLSCFLPPLKRINSKNDERKSDNNNSEQNKAWLLADSGGCGGADIRYTDPQIIQSSFRFTFCSQLELESMKLNQFSSATVLMVNLDNGVFMGSPRSRDVKWSRLESLERNISPVAHNLVRFSYSEILSATNNFSKGRVLGRGALSCVYKGKIGLFGTSVAIKKLDKQDEECSKAFCRELMIASSLHNPYIVPLVGFCIGPQEDLFLVYKYVSCGSLEQYLHEKKDSKGNTSLPWSVRYKIALGIAKAVNYLHNGTERCVVHRDIKPSNILLSSRKTPKLCDFGLATWTRAPSVPFLCQTVKGTFGYLAPEYFQHGKISDKTDIYALGVVLLELVTGRKPIETRKGTGEENLISWAKPFLQKGAVEGLIDPNIKLTRKNLKYISMMVKAASACIVTEEFRRPSISEIISILTNEQPKLSFKKSYSFPTHGAVADCYPQLQQTDSEMKNHLALAMLGVPEFELDDPKSKL >KZM89969 pep chromosome:ASM162521v1:6:9015225:9017902:-1 gene:DCAR_022666 transcript:KZM89969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKVSGGEGDGQEHRRKPSFEGDHWRFLATKARVNRELITDEEVLELQNSILEFWFLLDYDLLEAIKKGDVRWADIAMARVEYLGKVLDEDIMKKCLKGDEEALCQCHRFLMNEGWWDRAENLDLNKTVWRRDKEWDMKMKRQESELREYVIIYKDLVHPNVVKMVQKGDREGIKMALNHIHYNSLRESRTIRNMKAMLGNNKEEDKLKNQGVDMHDGKSKLNFKNALVYQGGQKQWVQKEKQESVNKSAKSGSTVFVHNLSVNTSKLEIWKFMRKWGRIQDCITPVKRDKWGKRDQRENVGWEYHSSAVRQQRYKEGFSSGKQGEGGYKGGGKKKLQDPTPIRSRKENNKKKETVGLKLKDNEIASDISKSLVVTTWKESTTIEVLNSIELLGHEDILVRGLSSRKFLITFPTQESFLEVDQDLFGLSFLECNQASIEDLIVPRKLVLECLGLPITLWKLSNFIKLVDGIGEITAISRLMNEELCYQIPKLEVETKELTRVKEKVMIEYEGKSIMVLLNELENGVIEENTLSDLREEDLVKDVRDEEGLSSQSENVWKENQDEINDGSLVSDEQVERDDKINSDQEGEYDHEANLGHDYDQEGKDEYVDESGGAQEGEDDLEDNLGHEYDQDGDDEYEEDLGGALEREDEHADVLEDDIVSGHIGSKQEYVLEGAQEGEYDHEGKLDGDKVGGLIGSNQEDGLEGGQEGDLGEDPSIGATEDKLGDIDEDSVVKETQDTISPAVRNGHIWFVREDESSPVTSVEDQLAFVETNSASTLSQIKELSSSGSFNKVEEQMTKLKLGRKRGRPPKRKTKKGNQAFALSKPDNFVFCKVGSSEAEQIYESCLQMGLIGQVSREEAIKKTTDRLVGN >KZM90114 pep chromosome:ASM162521v1:6:11307019:11309193:1 gene:DCAR_022521 transcript:KZM90114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSLRHVTVLPGKGVREFIKVKVGSRRISYRMVFYSLLFLTFVLRFIFVLTAVNTIDGQTKCSTLGCLGKRLGPRILGQRLESKVPEVIYQVLEETPSLNEIKGDVEIPQTLEEFVAELKEESVSDAKTFAVKLRAMVILLEERTRKAKIQEYLYRHVASSSIPKQLHCLALRLANEHAMNANARLQLPSPELVPALVDNSYYHFVLASDNILAASVVANSLVLNSLYPEKVVLHIITDRKTYSPMQAWFSLHPLTPAIIEVKGLHHFDWLTKGKVPVLEAMEKDQRVRSQFRGGSSAIVANKTEKPYVIASKLQALSPKYNSLMNHIRIYLPELFPSLDKVVFLDDDIVVQTDLTALWDIDMNGKVNGAVETCRGGDKFVMTKRLKSYLNFSHPLISQNFDPDECAWAYGMNIFDLEAWRKTDISKTYDYWLQENLKSDLSLWQLGTLPPGLIAFHGHVKIIDPFWHMLGLGYQENTSIADAKSAGVIHFNGRAKPWLDIAFPQLQKLWTKYVDASDKFIKSCHIRT >KZM91885 pep chromosome:ASM162521v1:6:28647017:28654303:-1 gene:DCAR_020750 transcript:KZM91885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGHTSKVWSVAWNPASGTDGKPAILASCGADKTWFMYIRVVMSIEAFLYIAHNSQTTIEGGGTRTGRSCSWSPDGDMLAITSYDGSFSIWKMIEDDFEQSCILQEKDDEEVVSVSWNPHWDLATCSGNCVRIWSPSSESEGYESLPALEEHTEDVEMVQWHPNQNNLFSCGCDSTIKSQERGGAMKLLLRKEKAHEADVNSGQLDPKDKSEPMLEMPLAKKRMDVMNLRERQDEMMQYLFPKKEARKVEVTAVQWSPKGSRLLASSSDNGTIKIWEFTPRQ >KZM91094 pep chromosome:ASM162521v1:6:22055194:22065486:-1 gene:DCAR_021541 transcript:KZM91094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKKRGAAPRSKTSPAIDSGNGVVAGGELDGNCSLDLSIRRNESNEVVVSKVKGSELGVSNYGAIKLECERALNALARGNHTKALRLMKDMCVRNENSPYLALIYRVQGTVCVKVASVIDDSNTKQRHLRNAVESARKAVVLSPNSIEFAHFYANLMYEAASEAKDYEEVVQECERALGIENPVDPGKESLQDESQLKLSTLDSRVSHVQNELRSLIQKSNIASLSSWMKNLNNGEEKFRLIPIRRATEDPMEVKMAQSRRPNEIKKATKTPEERRKEIEVRVAAARLLQQKTESPQSQNEGDKVIETSSGSGQRASERRKNVRKTASSAERKDLVQSFWKCMSLEMKKDLFKIKVSDIKAHFSSSKEGLACEVLSEALSFAEVNKGWKFWACCRCGEKFASSELHIDHVNQEHLGILLPKMQALLPQNIGKESTDLLLNCPWKPLDINAAVILLEKHSNGQASDEQKDLFADSCVYEDAWDSSQRLGEICNDINTESKQYDNISDMKWMDYNENPDGKTTFLPDNWPLFDDSERAKLLEKIHSILDLLIQHKYLAQSHLSKVIQFAMDELQGLSAGSRLFNYGVDLTSVCICFLGAPELKKIHAFLQELSHSCGVGRYSEKSNSVDDFSSSSQAVDIVEKVVFDECESCLLFDEHFLPWEVHPTTCHDVLPADATRSFSSRESSENRHVTDEDALLSWIFTGSSCGEQLAIWKRNRDEKAHQGVEILQTLEKEFHHQQSLCERKSEHLNYEEALQMVEDICLEEGKKKENATDSVSRSYESVLMKRREELIKSDSDGLLISNRIELDAISNVLKEAESLDVNHFGFDETYAGVNSPFCDPESGEEADWKTKDYLHQVNSCIEVAIQRQKEHLSIELSKIDARIMRNASGMEELKVKLDPVSAYDYQSILVPLIKSFMRARLEDLAEKDATQKSDAAREAFLAELDLDLKKGIGGVSDNTKHLHEKSKERKKNKDSRKTKDHKVSGSSELHMLNHGTAEQTMSVFASNVSHPDLETVAGTAIESNNQEELRRKIELEAEERKLEETLDYQRRIENEAKQKHLAEQHKKSAAVSEEVAVGLPDDYFTHSANDKKVHGQIKHRRQESSGQSAGFSNLSEPVPEDPGEEASQFIGITNDHLYHERTKQSLPDEATRNNGVFISEGGRKGRRGKRHKNSTNLADGKLQPLPSETEIAEAGRSHSHESTRDADSSESNSKTLRQIHSEEDDEERFQADLQRAVRQSLDTFHAHKNFPSSRMPRKLLSEPGNGEVLSHETTNESVNGAEAYGLGLKNEVGEYNCFLNVIIQSLWHITRFREEFLRKSLSGHVHVGDPCVICALFDIFNALSMASTDLKREAVAPTPLRIALSNLYPESNFFQEAQMNDASEVLGVIFDCLHRSFTSGLDISNNEIVGSNNLSSWDCANDACVAHNLFGMNISERLNCYNCGLESKFMKYTSFFHNINASALRTIKVLSPESSFGELLKFVEMNDQYTCDPESKGCGKFNYKHHFLSSPPHVFTTVLGWQNTCENIDDIRATLAALATEIDIGVLYQGLDLNNRHCLISVVCYYGQHYFCFAYSHDHERWIMYDDKTVKVIGGWDDVILMCERGHLQPQVLFFEDVN >KZM92493 pep chromosome:ASM162521v1:6:33825822:33828630:1 gene:DCAR_020142 transcript:KZM92493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVQQQQQDGITSSTRKDYGMRRTRSLPTSSREEKPQSVDFVLDLSGMSLDSLPNPSINLALISKLDLSNNNLQSIPESLTARLLNVTVLDVHSNQLTTLPNSIGCLSKLKILNVSGNHLRSLPTTIENCRSLEELNANFNMLTKVTDTIGFELINLKKLSLNSNKLAFLPSSTSHLTNLRILDARLNCLRSLPDDLENLINLQVLNVSQNFQYLTTLPYSIGLLISLVELDISYNTISTLPDSIGCLKKLQKLSVEGNPLASPPMDVVEQGLQRVKEYLSDQMNGAHMKSLRKKTWMGRLKKYGTFGGSSRGLDRVNQGDQGLERQGFIMNPNPTGYSSIQAIASPRYLSMFSPRRLFSPKRC >KZM89858 pep chromosome:ASM162521v1:6:6195949:6197046:1 gene:DCAR_022779 transcript:KZM89858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVTSPWGNKPKSWALDAEENEQELIQQHKADESAAVAAPLADFPSLAAVATTKAKKKKPQTMNLAEFSTYDASKFRESKDVDVLNLPKGPRERSAEELERNKGFRSWGPERGGDRNEPRRSGGFRDRETKEFTSSRADETDNWGAAKKGSGAGGFERRERGGFFENSQSKADESDNWGANKSYVPSERKYERQKIGFELSGGADSDNWGKKKEEEGRKFGAFDSLRERRGGGFERDESWGKKREDVGSVRPKLNLQPRKMPIGEEAQNGSVVKPKGSNPFGDARPREEVLKEKGQDWKEVDEKLESLKLKEKEAISDGPGFGKRSFGSGNGQSGGNGDRSERSWRKLVDVDVRPQRLVNCIF >KZM92044 pep chromosome:ASM162521v1:6:30038488:30039255:-1 gene:DCAR_020591 transcript:KZM92044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMVEDGEDFDCPICISTPTNIVITRCAHIYCKSCILKTLKHAKPCCPLCRHELSESDLFFPPPEVSNITEVPSSSKSTKISALLKLLSATRDQDPATKSVIFSQFRKMLILLEEPLKKAGFKILRLDGSMNAKKRAQVIEDFDVPAPDGPTVLLASLKASGTGINLMVASRVYLLEPWWNPAVEKQAMDRVHRIGQTKEVRVVRIIARNSIEERILELQEKKKRMASEAFERKGGPRDQREIKIEDIRTLISL >KZM90353 pep chromosome:ASM162521v1:6:14532171:14540424:1 gene:DCAR_022282 transcript:KZM90353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMAAVSPFLGFIQPRLRKSGKGQCFRDSVKKGRVMALFWGPKKEVAPEMSLSLPDFMQAQIYSEGDSAKSENVKKVSVSVVSSISEVSSEEWDALAMAAVSPFLGFIQPRLRKSGKGQCFRDSVKKGRVMALFWGPKKEVAPEMSLSLPDFMQAQIYSEGDSAKSENVKKVSVSVVSSISEVSSEEWDACSLDATGPKQYNPFLAHNFLLSLEESRSAVKETGWVPQHIIARDEHNNILGVVPLYLKSHSYGEYVFDHSWADAYYSYGARYYPKFQCCVPFTPVTGPRILVRNNSYKDQVFDVLVSALKDLAVKFQVSSLHITFPSENEWHRLKDKGFLQRTGMQYHWKNRNYKNFDDFLMDMKQSKRKNIRQERKKIPAQNLTMKRLRGHEIKAKHWDTFYKFYRNTTDNKWGTAYLTREFFHSLGSKMGDQVLLIVAEEGDEIVAGALNLIGGDTLFGRLWGCLPHVYYPSLHFEACYYQAIEAAIELNLDKVEAGAQGEHKIQRGYLPVTTYSCHYIVDEGFRRVLGDFLARETAQVELVTKLFQDSGPFKDKNTA >KZM92203 pep chromosome:ASM162521v1:6:31461686:31472191:-1 gene:DCAR_020432 transcript:KZM92203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEIEWPANRVRDTFIKFFEDKQHVNWKSSPVVPHNDPTLLFANAGMNQYKPIFLGTADPNTQLSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKAEAISWAWELLTKAYRIYATYFGGDEKSGLDPDTEAKALWLKYLPEKRVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTLIEIWNLVFIQYNRELDGSLKPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFLPIFKAIEVATGAPQYSGKVGSDDVDKVDMAYRVVADHIRTLSFAIADGSYPGNEGREYVLRRILRRAVRYGTEVLNAKKGFFNGLVKVVVEVMGDVFPELKQYEEHIVDTIASEEISFGRTLDKGIERFKKAAQDVQGTILSGQLMAEERGLMVDVNGFNKAMNEARERSRNAQNKDLKAQWLFFAKYWESTTEDKSLLVWSSHSCIPTDQAGKTIAMDADATSVLQKKGIRPTNDIYKFTWKDHRSVIKAIYTGSEFLEDIAAGDEVGIVLESTSFYAEQGGQIFDTGILEGPFGTFQVSNVQTFGGFIVHIGYFTEDSGRFSLGDRVNCKVDYVRRKLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHGKPVKPEELRKIEWIVNEQIKAELDVYSKEANLSEAKRVNGLRAVFGEVYPDPVRIVAIGRKVEELLANPENKEWSSISAELCGGTHISNTREAEAFALLSEEGIAKGIRRVTAVTTGSAFKALELASSLEIEVNEASKAEGDLLEQKVTSLNGRVESAPIPTAKKTDLKAKISVLQNQVIKAKKKTAEENIQKAIKDVSEKAISSEGKGFCIARVSVGSDNAAMREAVVRVMEQKGMAVMVFSTDEKKTLVCAGVPDKSDKSKKLNVLEWLKIALKPLNGKGGGGKGGLAQGQGSDISNVEEAMQDAEKYADEKLKGQ >KZM90932 pep chromosome:ASM162521v1:6:20495407:20502720:1 gene:DCAR_021703 transcript:KZM90932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVSRAETHTKLNKLELRLATYVEEGPLPKTLSNTTPRRRLLKIHGLWAACILGFYGAHFRNYVGSTMYPRQAQFKSEAQQYLQDVYHQLEPDQINNLETLWFTSTSSDKSTHLFWAHQCTKHINYHVGFAIQDYFKTMYDLAIQFFDGKEGDIRSILKEAGFKVGEYHNTKDLQMVLKKSLGVDVNLTFKLYKGHPILDEIGILFNASGKLINHPSAAKVPEDLKMKFVVMFYLNVVWMIWIIKVREALVLIFVAGVCYNYNTITYVIKHWNSSNTNTIRTLKDLMLKNFTCYFHGNRKWKMRAGQEGESLAKGSWIFLQNIKTGRKGTDSHRKNPGPWMLD >KZM92704 pep chromosome:ASM162521v1:6:35593991:35600392:1 gene:DCAR_019931 transcript:KZM92704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVFDASQYAFFGNDAVEEVELGGLEDEEEDEIEYVPAGGVVDDVEQLDRDELNKAVSISGSADGTNDWGSRGSSFAQEWLLPELESPNLYNQHPGESYLLHRTSSYPEQQQQHIFQHQHFSSEPNFGPKSSFTSHPPPGGRSLQPLSNQLHNSNIHHLPGGNQLQLNAPSHLPFSSSQLQFTGSPHGSPFGEHLSQLALRAHPVDSQLQNQYINRTGSYPGDNSLLTNKSLHQQLPNLNGLMPPQLMQQHRMHRQFQPSFGHVSGLQRQPNNRHLPPAHHMMNNFEMLGSTDLRDQKPKLFLKGRQGIPYSNHGFDASNQWNDSGWPRFRAKYMSADEIENILRAQLAATHINDPYVDDYYHQACLAKKSTGAKLRRHFCPANLKDHPSRARVDNEPHPFLKVDALGRKPLEQEPMLAARVTIEDGLSLLLDVDDIDRYLQFNHLPDVVVQLRGRRQVLLEDLQSSLQLVDPLGENGNTVNLSPEDDLVFLRLVSLPKGRKLLCRYLQLLFSSAELIRVVCMAIFRHLRFLFGTPSADPKTAETTTNLVKIVSSCVRGMDLRALGACLASVVCSAEHPPLRPLGSSAGEGASVILISALERATELLRDPHTSANCSIPNRKFWQASFDVFFNLLTKYCISKYDTTVQSLLAQGLADTSTIGSETTKAMSREMPVELLRASLPHTNEQQRKVLLDFAQRSMPML >KZM90227 pep chromosome:ASM162521v1:6:12848998:12849327:-1 gene:DCAR_022408 transcript:KZM90227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHFSHQHLLILNQHYKPRDGDVCYVCNEDIVSRKSFVYSCILSSNANSSAVTNEECLKFLLHKTCAELPEEIKSPLNQEIFLTLYICPSHKRWAIAYPNFILTVSDLF >KZM90538 pep chromosome:ASM162521v1:6:16673095:16692167:1 gene:DCAR_022097 transcript:KZM90538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLVFVWNLVNAEKLQTLKGHQQQVTGIALEGSDIISASVDCTLRRWRGGQQVEVWEAHSTAIQAVMKLPSGELITGSSDTTAKLWNGKTCLHTFSGHSDTVRSLAVMPGLGFLSASHDSSIRLWALSGEVLLEMVGHTSIVYSVDAHVSGLIVSGSEDCSAKIWKDGVCLQSIEHPGCVWDAKFLGNGDIVTACSDGVVRVWTVHHDRIADPQEAELYASLLSEYKCSRKKVGGLKLNELPGLEALQTPGTSDGQTKVVREGDSGVAYAWNMIENKWDKIGEVVDGPDDSMKRPVLNGKEYDYVFDVDIGDGEPIRKLPYNRSDSPYDTADKWLLKENLPLSYRQQIVEFILQNSGQKNFTFDSSFRDPFTGSSAYVPGGPSNVSAVSAKPTFKHIPKKGMLVFDAAQFDGILKKISEFNSSLISDLERKNLALTELEISRLAAIAKILKDTSHYHSSKFSDVDIALLLQLLKSWPVEMMFPVIDIVRMIVLHPDGATILLKHVTEANDTLLDTLRKVTTNPLPANLLTSIRAVTNLFRNSCYYPWLQKHRGEILDAFSSFYSSSNKNVQLSYSTLILNYAVLLIEKKDEEGQSQVLSAALQIAEEENLEVDTKFRALVAIGSLMLDGLVKQIALDFDVENVAKLAKASKEPKVAEIGADIELTVKQS >KZM90133 pep chromosome:ASM162521v1:6:11458727:11461634:-1 gene:DCAR_022502 transcript:KZM90133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVAMRVAGKIAGVVNGNFRGGLPAFPENITSAAFKANTARPITGVVSDAQFEGACSTVNKPLIEFEDWEEVGSGSRAGVGQGLPRVVFGGAPTMEEAQDATLQLRTALDQAYLSNESSEGSCESHIGDDKSSLSDSGHFESKTCVTKASAPNQAIQAFRLLKESAAAQTVVASIASDPNVWTAFMKNEALLEFFQSQNTGVNGSFEGSTADTESPGYQSPKHSDNETFNEKEQKNGFNSFLKDIKEKVEDMMNSLSSFFQNLFAGPAASDGSAKVDKTMGASIMGLAVMVIMVVVLKRV >KZM90407 pep chromosome:ASM162521v1:6:15626632:15628349:1 gene:DCAR_022228 transcript:KZM90407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRGGYIVQCRRSSRLRAQSLSKFTNTPDNPVDLESEAQENMNTNSIREKRPLAKVYRHPDNKITKSTARRNIKNLSGNNFVDEVQEEEQNGDNLVDEQDAEQDNEQKSDEDMQENEEDSAQEETEQEDSAQEDDMDQEDSAEEEDNAQEDDEQDDIPNEIEEEKDDEQEEDET >KZM90655 pep chromosome:ASM162521v1:6:17825052:17825726:-1 gene:DCAR_021980 transcript:KZM90655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAMLLPLLSLLVLAVMHGTNAVTYSVTNTAESTPGGQKFTNEIGSDYTTQTMSSSTDFIWRLFQQNTEADRKNVAQVSLFIDDMDGVAYTSNDEIHASAQYIQDYSGDVKGEFTGVLYHEMTHVWQWSNGAPGGLIEGIADYVRLKAGYAPSHWVQPGEGDKWDKGYDVTARFLDYCNSLSNGFVAELNKKMKDGYSADFFVQLLGKTVDQLWSEYKAKYQT >KZM91958 pep chromosome:ASM162521v1:6:29359343:29362355:1 gene:DCAR_020677 transcript:KZM91958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSSSGTWLRTVKRKYGEFEVEKEEFEIPGLDIDISQVAKVELGNECFMLREMVTNQQESINELSVELEKERNASSSSANEAMSMILRLQREKAEVQMELRQFKRYAEEKIAHDGEEILALEELLYKKDEVIESLTCEIEAYKNRLMSYGIMDREAEGEKGVVSRENSTDSWEGSYDIPTYDYPPIKCSTNENQVTHEVKNDVADVENVVGDRPPSRDDLKDLEYRINQLEQSPTQSQPDVEAFATNNVLEKVIVGHSPRPYRHVRRSSNDSSSSIPAIVKETSSDITYDLGSAKKKECVHVHVEEYPDLRNFDISSEVEDDMSDRVYTVDSVHGVPYNSVTEQKVSVGTSEDYVTKHKEPLYAGDLGDPEIKRLYTRLQALEADRESMRQALISIGTEKAQLVLLKEIAQQLCQDMSPARTLPVRKTSVISSFSIFSFFKVYVWHVTKKYWITDPFGQGTLWKAMEIYFKYKNWIYFKYKSLKL >KZM89639 pep chromosome:ASM162521v1:6:2771928:2775189:-1 gene:DCAR_022998 transcript:KZM89639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSTGGVGRSWQSTATVSCSGKRIQKEMRELNLEAPPHSSAGPKGDNLYHWVATLIAPQGTPYQGGIFFLDIAFPVDYPFRPPQVLFKTRIFHCNVDSAGNVALDILKDGWSPALTISKLLLALTSLLSNPDPYKPLVPGIAHLYLEDKAKHNELAAEWTRRFAR >KZM89861 pep chromosome:ASM162521v1:6:6209822:6210388:1 gene:DCAR_022776 transcript:KZM89861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLTLSLILYFIGELSLLVLSSVIQSPQEMENWFQAQKTEELKVTKLHFYFHDLREHTSIQVASANSTATSPTLFGYSAIMDDPLTEGPEFTSKPVGRVQGLYASASFSEFSLLCAMTLVFTNDKYNGSTLSVLGSNPTMHQHREMPVVGGTGVFRLARGIAMLTYIYFDVPGGNATVEYNVIVQHY >KZM91751 pep chromosome:ASM162521v1:6:27571656:27572546:1 gene:DCAR_020884 transcript:KZM91751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLCRLHGWTLRSEPRRVFDAIIFSNELDILELRWRELHPYITKFVILEANTTFTGIPKPLFFGANRDRFSFADDKIVYGVYPGRTASPGSSENPFAREAEQRRAMNGLIRYAGISYDDLLIMSDADEIPSPHTVKLLQWCEGIPDVLHLELRNYLYSFEFPVDYNSWRATVHVYGPWTLYQHARQTDIIFSDAGWHCSFCFKRLEEFVFKMTAYSHADRVKRKDFLDYSRIQKLICQGDDLFDMLPEEYSFKELIKKMGSIPRSASAVHLPAYLIANAEKFKFLLPGGCVRPAY >KZM89593 pep chromosome:ASM162521v1:6:2369377:2372436:-1 gene:DCAR_023044 transcript:KZM89593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSWPDFLAFFYRSTVGCLLGCFRIRDVPSRPCSPLVSQPNTEPAVSRNRKRLNSLSSPQGSNGSPGNEKQCNRLDLDFEGLRDEAKFLQACGTLPETPTEIRKASYILNDSSPTEDSESSKFHSWLPNTSIQKLNLEMEPDQPPTPSKQCEDWVSSSGSSAYGPNSSPKTGQMISTNAEDSDAGRFGTGAHNYASQAASVQSKSVRFKCPSDTSLSSSKSPSSEAYSLSSEQSESCGDERASKLSPNPTPLQLTDDMQTPGTVFPSYIGNMIVGKNPRIRTQYVQSLPDLTEKIPHLRVWMEEGLSPDPESAHLIKSFEQAANATPNSEVRVSDNSVEEGTKVEESFSSCMESLPPKQCANGQRVVSFASDNTYFGRTPGDRPVLGTVAIHWNEDETSLVSPKWWDANGIPNSTTKYKEDQKVSWHATPFEERLEKALSEKHILSQRRLLNGAAPLDFYENQESNSAVAQKDSSIPVDVL >KZM90823 pep chromosome:ASM162521v1:6:19589515:19592817:-1 gene:DCAR_021812 transcript:KZM90823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLMNKYLILIAVVVLSTSLASCAEGPFIVAHKKASLNRLKSGAERVSVSIDIYNQGSATAYDVSLNDDSWSSDYFNIVSGNTSTSWERLDAGALLSHTFELESKLQTVFYATPAIIRFRVPTKTALQEAFSTPLLPLNLLADRPVEKKFDLRLLAKYGSLVSVISIVVLFIYLVASPSKSSAAKGGKKKR >KZM92063 pep chromosome:ASM162521v1:6:30222440:30222763:-1 gene:DCAR_020572 transcript:KZM92063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTPSSPVNLLPAKKEVEYVNLMRPWFEYSNPFSPWFQPSNPFSDDPRPYPADELISINPYGLKFIVEQASLKIKF >KZM92773 pep chromosome:ASM162521v1:6:36185847:36186428:1 gene:DCAR_019862 transcript:KZM92773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVFTQFKYSDSLTVVGISICTAIVCEAISWLLIYRTSSYKSLKSTIDKASKKLETMKTDPTAPKISAKKSKTKKIDRVETSLKESSRDLSLFKFKSGAVVALVLFVVFGFLNNLFEGKAVAKLPFVPIKLVQKMSHRGLQGEDFTDCSMAFLYFLCSISIRTNLQKFLGFSPPRGAAGAGLFPMPDPKTN >KZM91056 pep chromosome:ASM162521v1:6:21707130:21709076:-1 gene:DCAR_021579 transcript:KZM91056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIQESKLTNQGDDDRESIRSGEGDVDSMTTKRTRDQGGRETLIPSKGIGILLSGLFGTGNESSVSIENAGLLALTRVGKFGAVFASIPSPIFAALYCLFFAYVGAAGVSFLQFCNLNSFRTKFILGFSVFLGFSIPQYYWKMHIFWTTHYIRKTVQSERTEANTGGTSSGHTKLTQEVRNSTHYPLDSINIFPQRGSQIVDLEGALLTYLMFFLLL >KZM92617 pep chromosome:ASM162521v1:6:34889636:34890487:1 gene:DCAR_020018 transcript:KZM92617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEYTDETHRSTQTMFSKIHQTQKFQPHHLRNPTPPPQPYPTQPLHVTPFQDHPASPSKPEPSQNDGASIEILRRPRGRPPGSKNKLKSPIIITREPDPAMSPYVLEIPAGSDLIHAITLYCKKRQSGLCVLNGSGTVANVTLKQPSSTPNATVTFHGRFDMLSLSATIILPSLQHVTNTFTISLAGPQGQVVGGAVVGPLVAASTVYIIAASFNSPVFQKLPIEDEHENNDNVNNNNHNNSGGGGDVASPSVVSGGGDMYSGHSMGNEVIWTPTPRQAQPY >KZM90310 pep chromosome:ASM162521v1:6:14052375:14053355:1 gene:DCAR_022325 transcript:KZM90310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWVKRKFLGNGSYGKVFLAQSTALLAYYKSPALVPFVALKSCLASRSSSLRLERDVFRELGGCDRIVKCFGSGVSVENGVEYFDLVLEYAPGGSLEQLVRFRGGRVPEMEASCYTRMLLKGLSHVHGKGWVYCDLKPANILVFPSKHGGGVFKCSLKLADFGSAKRGGEISCGFVDPCSGRKNRGTLLYSSPESVEFGEHEAPMDVWALGCIVFEMLIGDNMWSNYRSFNARVLGDLIAGYQDSGLIFCDDLSANAEDFLRKCLTRDVEDRWTADQLLEHPFITESCKMLPLSESGQTPKIMGYQRIPFSSPFVVNNPSVFVN >KZM91381 pep chromosome:ASM162521v1:6:24666253:24667036:-1 gene:DCAR_021254 transcript:KZM91381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHQRIIKQEEDMEEGHEFSGNDKKKIRSGNNGARKIGNTGSNVSGGGSGSPPMRCCQAERCSADLNDAKQYHKRHKVCEYHAKAQVVVVAGVGQRFCQQCSRFHELSEFDETKRSCRRRLAGHNERRRKSSSDSQGEGGGSSSRKGSTAAGGSKDLCGKVQISSMQENATTFKHFQIR >KZM90703 pep chromosome:ASM162521v1:6:18354356:18356176:1 gene:DCAR_021932 transcript:KZM90703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRIVVKNLALKFGVLKSDTFCYCKIKLRDFATQTSKICVVLQENQQIDDQIHASFNLKKSDFEKLMEKSGVSKRPFCVKVEIFAGKGEISCGFGGGKKIGSVSLDLKSVENRVCVIQNGWVEIGNGVKLNLNVRIEPDPRFVFQFDGEPECSPQVFQVNGNVRQAVFTCEFGFRNSGGERNLRTRSIESESNKSRSCLTSLRSDKEIHPKERKGWSITIHDLSGSPVACASMVTPFVPSSGTNRVCRSNPGAWLILTPGQATWKPWGRLEAWLEKGXSSGTNRVCRSNPGAWLILTPGQATWKPWGRLEAWLEKGDHLGYKFEHFPDGGIDAITLTNSKLSTKNGGKFIIDNSTGPSPMSTPSSSFDSGSISSSDVGSGSWAHLLYRGFVMSSTVMGGGKCSKPEVEISTHHVSCTEDAAAFVALAAAMDLSMDACRSFSSKLRKELRQPGHE >KZM92235 pep chromosome:ASM162521v1:6:31725573:31726780:1 gene:DCAR_020400 transcript:KZM92235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSERTLDAPDLVDDYYLNLLDWGSSNVLAIALGNTVYLWDASNGATSELVTLDEELGPVTSVKWAPDGRHIAVGMNNSEVQLWDSTANRQWLHRLEDHTAAVKALAWCPFQANMLASGGGGGDRCIRFWNTHTGACLNTVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKIAELTGHTSRVLFMSQSPDGCTVASAAGDETLRFWNVFGTPEVAKPAPKAAPEPFAHLTRIR >KZM90578 pep chromosome:ASM162521v1:6:17032592:17033467:-1 gene:DCAR_022057 transcript:KZM90578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEMIPQSPPTGEFKFDSATTTPYVSCPSSPQRFGNHFFSAPTSPTRAAAFYQDRFLEDNVNHVATSSAGLKNLRKNDNVNDFEFEFVGRLEEPSISAADELFDGGKIRLLKPSLPDTTEKQDKTDGKNLVEKIKEGDDLAEGVKESKEHDHGLRKKLKSSSLERAKSDLNTKHNKSVLAWYNKLKLKDLLLFRSISEGHKEKYGMLRKRHNEDVKNSSFRSTESGGSVSSRRRVSAHEWHYTANRAAAEEMRKKTFLPYKRGLLGCLGFHAPVVHELSRGFGSYVLRET >KZM89375 pep chromosome:ASM162521v1:6:207676:209232:-1 gene:DCAR_023262 transcript:KZM89375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEDDTFSDDHDVSDDVVSSDSDFTPETNSGDHREKSYKVLSEEDIKRIQDDRIERVTTSLYVSSGVARVLLNKFKWNVNELQESWFTDEGKTRKDVGLAESRKKHVGTKKIEKLDQLNCSICFEDFVCDVRVDNCVLCDHQYCNCCWNGYISNAISEGSGCLSLRCPDPDCDVVVTDELVSVIVGGDDKLRYERLCVRAYVEGNEKVKWCPGPDCGFAVEYDDVVGSGEGYDVVCGCGYCFCCNCGEDGHRPVDCETVAKWVEKNNAESENTTWILVYTKPCPKCRRPIEKNDGCMHMTCRKPCGYSFCWVCLDDWGKHAYNSCNSYAGRVVKENNDEERVKQRARISLERYTHYFERWAANNKSMKKSFADLQRMRDENHDVLLAKHAQSSSQLKFVLDAWTQIVECRRVLKWTYAYGYYLPEDNIAKTKLFEYLQGEAEAALENLHHCAEKELTEFLRDGTTAEFNTTFREKLANLTSVTKTYFANLVEALENGLADVDSGSANKKLKTGSSSN >KZM92735 pep chromosome:ASM162521v1:6:35902409:35906250:1 gene:DCAR_019900 transcript:KZM92735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRNRQSDSFSDQIHRYRSIILVIAVPLLLISFVLFVMTTRSDTIDDSVLSHRKFASGGGGSDKKFAVIFDAGSSGSRVHVFCFDRNLDLVPIGNDLELFEQLKPGLSAFAKDPKAAANSLQPLLEKAEAVVPQEIRPKTVVRVGATAGLRQLEGDASDRILQSVRDYLQYNSKLKSKSDSVTVLDGTQEGAYQWVTINYLLGRLGKTYADTVGVVDLGGGSVQMAYAISENDAAKAPKVTEGQDSYVKEMYLKGTKYYLYVHSYLRYGLLAARAEILQITGDSGSPCIVPDFSGSYKYGGTVYKAAHSPSGSSLQKCREVATKALKVNESTCTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVDPKKPVAKVRPVDFEDAAKRACQTNLEEAKSIYPRVEADNLPYLCMDLVYQFTLLVDGFALDPWQEITLVKKVEYRNSQVEAAWPLGSAIEVVSS >KZM91544 pep chromosome:ASM162521v1:6:25924356:25926256:-1 gene:DCAR_021091 transcript:KZM91544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTATKPSSSAVEEISGEQIHILDAADDAFGGVVVEMKKPINANVFDTSLRKSISHWREQGKKGIWLKLPIELANLVETAVKQGFWYHHAEPTYLMLAYWIPETAHTLPANASHTVGIGALVMNDKREILVVQENNGRFKGTGLWKLPTGTVDTGEDIGEAAIREVKEETGIKAELMELLAVRQSHNLSFGKSDLFFACMLRPLSFDIQKQDSEIAAAKWMPFEEYAAQPYMQKNEHFNYIAKICAAKIENDYTGFCALPATAASSGRRKSCLYFNNQEGLVVPNDISSQ >KZM89770 pep chromosome:ASM162521v1:6:4557261:4557660:-1 gene:DCAR_022867 transcript:KZM89770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTKIVAEARVRAYKRNVNNNPLGVRRTPCKEVKPKKKRNYEGYLQKKFTPKIITNVLRNLSPAQTEWVKKAGFEHLLGFQMCSYPHRLGYKIVSVEQDNAV >KZM90156 pep chromosome:ASM162521v1:6:11779112:11780622:-1 gene:DCAR_022479 transcript:KZM90156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQQTDPLSQLNLPAGFRFYPTDEELMVQYLCRKVAGQHFSLQIIAEINLYKFDPWVLPSKAMFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIIMSEGRKVGIKKALVFYIGKAPKGTKTNWIMHEYRLSEPQRRNGSSKLDDWVLCRIYKKNSSAQKTLAATNASTEHSHSHGSSSSCSSQFDDVMESLPQIDERFVDLPRMNSLNDWAAIAEQLAGAPVGNQTQALSSNNNNNQNDLYFPCNLISRPGGFEARFKTSIEEEVESGFKNQTSEFMSFNGYSQCFGNDRLAIRYPNLPGNVGFRQ >KZM90731 pep chromosome:ASM162521v1:6:18643795:18653583:1 gene:DCAR_021904 transcript:KZM90731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADEQLSSPPPSTSPPPSISPAISSLGHSVIPIVNKLQDIFAQLGSSHTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLLQIKRKGDGVDEEYGEFLHLPGKRFYNFNDIRREIQAETDREAGGNRGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKLPSCLILAVTPANSDLANSDALQIAGNADPNGDRTIGVITKLDIMDRGTDARNFLLGKVIPLRLGYVGVVNRSQEDITLNRSIKDALAAEEKFFRSRPVYNELTDRCGVPQLAKKLNQEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLIRRQIARLLDPSLQCARFIYDELIKMSHRCMVNELQRFPILRKRMDEVIGNFLREGLEPSETMIGHIVEMEMDYINTSHPNFIGGSKAVEMALQEMKSLRAAASTIARHKDEPEKAPSSERSLKSRAILARQANGIIPEQGARPATESTAPGSTNVSSWGISSIFGGSDNRVAAKENLTSKPFNEPIQTIEPSFSMIHLREPPTVLRPSDTNSEQEAVEITVTKKLLRSYYDIVRKNIEDFVPKAIMHFLVNHTKRELHNVFIKKLYRDNLFEEMLQEPDEVSIKRKRTRETLRVLQQAFRTLDELPVDADAVERGYSLNADTTGLPKIHGLPSSSFYTTSSGSTESYTASPKNPRSRKSSHSGELYSSHITADSNGGGRNSFLGMYPTVEI >KZM89798 pep chromosome:ASM162521v1:6:4994499:4995132:1 gene:DCAR_022839 transcript:KZM89798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKFLNKKGWHTGSLRNIENVWKAEQKRDAEDKKLEELRKQIHEERERSEFRLLQEQAGLIPKQERLDFLYDSGLAVGKASESDGFKSLEALPSTQPDVGPSTSNKAAVPGALFEDKPQSANDAWRKLHSDPLLLIRQREQEALARVKNNPVKMAMIRESVRSSQT >KZM91583 pep chromosome:ASM162521v1:6:26212443:26214388:1 gene:DCAR_021052 transcript:KZM91583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQYATLSTMKTGKDNYKMKVRVIRKWRGATKKGEEFKSFNIMLLDHKDTKIQEIPQGGTNIATEFFDFYDLSELLPLVNETKYVIDVVGVMKDHNIPLEQITNRHGEQQEQAKFVISDGKTNVNVTFWDKYAQKFVEALSSKLDTPVIIIIAACRVQLWNNEPNITHVAPTAYYLNFKHHSVNQLRRMLAVPDFSQKVMAVQKKKISPLLTVEGIKGLGKDSIEVCTSCDLEVEITEGLYSCKSCRRIVPHPEIRFRLVVIAADSTGSIEVILRDREVRTVIAKRAREIIPRQAPEGFFPDCFKLMAKKPYTIKIEITEANVVNKSGLYWGTNICQGFKLESAQAEVQQTLQTEKTQATSSTQMPALSGLHYDSSTLTN >KZM91331 pep chromosome:ASM162521v1:6:24222843:24225699:1 gene:DCAR_021304 transcript:KZM91331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSELETLFKIMDSMSSDQTWRVSYPNPCKPGSSWMGIECKAGNDSHLHVTRLDFGSPPSPSCKMNSIFPSLIFHLPYLQSAIFSQCFTHTKTTLTISLDKFFSSKLQQLSLRSNPALIGSIPPQISTLKSLQTLTLSQNRLTGPIPKEICGLTSLQHLDLSYNFLSGTIPNQLGSLKNLVVLDLSYNSLTKLIPGTIGQMGMLQKLDLSSNLLTGSLPNSIQKLNSLVFMALSNNRLKGKIPKGLAKLQSLQYFIMDDNPMFIPLPAEFGALHRLQELRLANSGYSGTIPPIFSQLQNLSTLSLQNNRLVGSIPVGFGNLSHIYHLNLSRNLLGGEVPFTSTFFQRLDHIAHRMHCSFSLTLSLLLLLSLLTISSTTQQHGKKNLQPNSMEPSELETLFKIMDSMSSDQTWRVSYPNPCKPGSSWMGIECKAGNDSHLHVTRLDFGSPPSPSCKMNSIFPSLIFHLPYLQSAIFSQCFTHTKTTLTISLDKFFSSKLQQLSLRSNPALIGSIPPQISTLKSLQTLTLSQNRLTGPIPKEICGLTSLQHLDLSYNFLSGTIPNQLGSLKNLVVLDLSYNSLTKLIPGTIGQMGMLQKLDLSSNLLTGSLPNSIQKLNSLVFMALSNNRLKGKIPKGLAKLQSLQYFIMDDNPMFIPLPAEFGALHRLQELRLANSGYSGTIPPIFSQLQNLSTLSLQNNRLVGSIPVGFGNLSHIYHLNLSRNLLGGEVPFTSTFFQRLGKNLDLSGNQGLCLSPSEAYGVKKIGVNICGINKTTSLSKPLKHSEAPSLGITKLFFLVNVFFILFLQQILF >KZM91974 pep chromosome:ASM162521v1:6:29513686:29514482:-1 gene:DCAR_020661 transcript:KZM91974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYNLFIVLALASVTATMASTEFWVGGSSGWTINVDYQAWAADKVFHVGDSLVFNYTQGAHNVFKVNGTAFASCIKPASNEALTSGHDVITLASTGKKWYICGVKTHCSEFKQKLVINVEEAVEAPAPAPTSSAPGIFASSYQVFLAAAVAVGIIAVM >KZM90408 pep chromosome:ASM162521v1:6:15628964:15631797:1 gene:DCAR_022227 transcript:KZM90408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILILQVKMFYDVFGLPNGGHPLVLASPGKYSERIKDWHAQFTLPDQITTQMIVQVMKNQEVNDTFKLNFLVVMSNVLIGTKGASYVDKQLLQLDDNLDNLKKYNSVDFLLSYLLLYVDSVRHKGINLVDRQFPSYTGSTLERLRERQEIEVIDGVFGVGSIQPSLKEYLQKIDPSEAPKTKDVLDDLRKKAEELVDIKSKFDEDLMKAREKFPDNKNIAIIGKILKEYLIPNQETGDDLGDELSPEIVASLEVVEEMDRTNIEILTDQQKDDERYVPPFSLGLDDIEKENNQDLVTPEPQGREKSKRTKKVGPYQKSPYVNRVIDIKERMNNEDFGYWVFLLKKRSDLLDDLFKWEDVRCIKEHLLTLKPKTSVYYFVIDTWATILNDSEKYKSDESPLKLFCTIGDLHSHFRNYISKNGNPGLGSRVRRMKPCFVSMPWQTTNNSTNYGIFLMRHMETFKGDTKNWNTELTEEGATHDKQITRLRFKYNIAILSSHLNELREPITTEATSLYNTAEKHNIINVVLAGKAAEKFPTKKSGKRVKFAVNLISSFHEVDRSSQEGPSQEGPPPS >KZM92518 pep chromosome:ASM162521v1:6:34044294:34045148:1 gene:DCAR_020117 transcript:KZM92518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMQNSTFETQVPTEYLIARVTQIHSSICKLESLRPSKQVNALFTQLVNLCILPSAIDISTIPQETQTMRQNLILLCGRAEGLLELEFATFLTKVPNPMDNLDLFPYYGNYVKLANLEHTILVQNGVVQPKRLAFVGSGPMPLTSFVLATEHLKYTSFDNFDIDESANNVAREIVSTNVDLEKRMKFRTCDILDVKEELKEYDCVFLAALVGMDKDLKVKIISHIRHYMKEGGFLLVRSAHGARAFLYPVVEEEDFSGFEVLSIFHPSDDVVNSVVLVRKPVF >KZM91495 pep chromosome:ASM162521v1:6:25584463:25590190:1 gene:DCAR_021140 transcript:KZM91495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVNNDRCVFPLSSLQIGDLQSYLSDLGLFLAMESKKFYILVDNRPWLKDLVSRPAHLWQLMVTKYRLSPFANTRKQKEEKETGGLFNASKLKPSKSRKFRRWFHVIDATAMSTKMVPVRNLRTSFALNSKLHRTLYGFIVFEVSWSDVRGINYFNELQTDTYLAIESKFMKKWEFDSIAQAVGCISSWFSGTLVEKVCLKEHLDSAVGDVFYDAEDSFSLTTNVNNHDHVSNSWKPVNVDNDNSISDSSPVTVDDEDNISVYSGTVENESPPCSCYGVYSAATENLSGVLPHSPTSQNGPYKRRKLMKSFSTGIEVDIYSEETQSETNYSPIHPQTPYASDSEEDLKDTQYKDVLLLFRFNDRDLPFKLRDIITSDLRLLTLLECGLPSWVIFLQSYPVFCHFYRPWMCPLARTLYVLISVVTVLIGFYDLYKNVPVLKAAASRICGPLVDWIETWEMVSRIKYLGTMLFLHNSQKALEWFLTTVRTIRSFFRVLTQPVAGPIAVCWQLIDPFWDMFVLVAKNVGTLVWVVVDSSADLLEIFAGTILFPLWFAVSFIWNIATSFFWIIWNVLYTPFSFLQGLSSVLFVIVSNAYELVKDICLFMSSIFQFASSADATVSSYEVSMWRTLSKDLFSKVFRALRSVLNGFVAFFIACNRHRLSIYNHIVDLFQRLSRTSVRIQRTDQGPRIQLRETPKQVIGPEESSSRMKDKKQR >KZM92270 pep chromosome:ASM162521v1:6:31971994:31974772:1 gene:DCAR_020365 transcript:KZM92270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGHAEFNVGKPMNWRALFVKNDMNESESSSARNFSFWSSDQNDQVQNWSNDSAVAEQQGLFQELGYGVAHQHPVNVGNDLMLNKYYVDEEEEGFEREMRDLEQLLSNLDPLAQDYVPPMVDHAVNPVLQNSAPHFGCVADGNTWMPTNSRVAAARNYEKKKQTGSSHGKGRANDQTTKAERKEAIKRTVFVPDINNQVTEEELADLFVSCGQIAEIRICGDPKSILRFAFVEFTDQKGAKNALRVTGKMLRCQKVKVLPSKTAIAPINPKLLPTSEVEREKCSRTIYCTNIDPKVIRKDIKHFFESICGEVVSMRVLGDKQHPTRIAFVEFVTAESAVAALNCSGVIIGSLPIRISPSKTPVKSPNSIKG >KZM90698 pep chromosome:ASM162521v1:6:18292124:18296651:1 gene:DCAR_021937 transcript:KZM90698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKSTTYIANLLKTCIDKKSHISGKLIHAHILRLGLYDDTFLSNRLIELYNKCCQINTARHLFDQMPHKNIYSCNAMLSAYCKSSKLEDAYLLFDEMPERNEVSWNTLISALVRNGMAEKAVGVYRGMKWEGFVPTHFTLASVVSACGMMGDVKCGRGEHCVAIKIGLDENVYVGNALLSMYAKCGCIGDAVKAFEDLVEPNEVSFTAMMGVLAETDQVEEALKMFRLMYRIGISVDSISLSCVLGVFSRSGIGNTTGLLGNAHGRLIHGLIIRLGFEKDLHLGNSLLDMYAKTRDMESAEAVFNSLPQVSNVSWNVMIGGYGQDYQIGKALDYMQMMKEYGFEADEVTHVNMLAACIKSGNVETARKIFSNMACPSLVSWNAMLSGYFQDEKFKEAIKLFREMQFRNVQADRTTLAIILNSCAVLGLFEWGKAVHCTCIKGLNHTDIYVGSGLIGLYSKCNQADVAKSIFDRMPGRDIVCWNSMIAGLSLNSLDKEAFNLFKQMMGKGLYPTQFSYATILSSCAKSSSVPQGRQVHTQIVKDGVVNDVYVGSALIDMYSKCGEVHEARVFFDTMPFKNTITWNEMIHGYAQNGCGDKAIDLYNEMIQSGEKLDGITFLAVLTACSHSGLVDHGIAIFNSMQSEHGVEPLSDHYTCMIDTLGRAGRFHEIEVLVDKMPCKDDPIVWEVLLSSCRFHDNVTLARRAADALFSLDPKNSAPYVLLANMYSSLGRWDEVKYVRDMMSKKSIVKNPGYSWLEHKNEKQHMVNHYSYMEMPDSEASLMIVPECSHANCCNIVKTKTDKRSITSASPALIIGGILGVIQALLLISLAELALIIVVVIDSYKLNPAQQYLELKSLGAPTVLSHWLCKGISLGCALLVISATDIDFLNIVGTIHKLEFLVSNKFISAAAAVGTGPSAWFLPSSLQLGYNVLEGILEMMLMSPPHYQFSATQPINALAFVFDGVSLGASIFDMQYIVCWLNFSAVQIVNYLESFHTGRGNVCPCNLASQNDFHMKRSGTN >KZM90140 pep chromosome:ASM162521v1:6:11635470:11635682:1 gene:DCAR_022495 transcript:KZM90140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEECKSMCLKNCNCTACSNINVEKEGSGCLLWFGGLIGINGYTEDAQSIYVRMPASDLGETIISHSKS >KZM90080 pep chromosome:ASM162521v1:6:10381253:10385819:-1 gene:DCAR_022555 transcript:KZM90080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFVGAASMNNNSSGWKGACFYENTAWMEFHNKSGTQFGGGTLHLKVSNAHSWTCMDLYVFATPYRVTWDYYFIAREHTLEFSEWEGKAEYEYVKRKGVSIFLMQAGMLGTLQALWEVYPLFTNTQWGENSNIAFLEKHMEASFKERPQPWYTNISVDDVHSGDFLAISKIRGRWGAFETLEKWVSGAYAGHTAVCLKDPEGKLWVGESGHEDENGEDIIAILPWDEWWEFELTKDDSNPHIALLPLHPDLRARFNETAAWEYARSLTGQPYGYHNLIFSWIDTIDQNYPPPLDAHLIASVMTVWNQLQPEYAANMWNEALNKRLGTKGLGLSEILVEVERRGSSFGELLAIPEQDDWIYSDGKSASCIAYVLGVYKEAGLFGSISDSIQITEFTIRDAYTLNFFENDLSRLPKWCNDGDTVKLPYCQIKGKYRMELPEYNTVQPYPHMNEKCPSMPPKYWRQSNC >KZM90027 pep chromosome:ASM162521v1:6:9710036:9720585:1 gene:DCAR_022608 transcript:KZM90027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSFSPLFSLAHIVSAVRLMRIEFGGAFADLLNEKGKGSGENEMGYVTDIVGGTIRWRRYLDYLISSLCHDAKTFSGMEPLLLQILRIGFYEILKLEMPPHAVVHENVGLAKVALRPGAGNMVNGILRKLLLLKESNSLPSPKLEGNDRTQARALAILYSHPVVTDIVGGTIRWRRYLDYLISSLCHDAKTFSGMEPLLLQILRIGFYEILKLEMPPHAVVHENVGLAKVALRPGAGNMVNGILRKLLLLKESNSLPSPKLEGNDRTQARALAILYSHPVWMVRRWTKHFGQDSAIELMTWNNKHPSFSLRANSAKGFTRADLEFQLKTLKVPHELSPNLSHFVRIKTGLQGHAYDWKKAIKVFDVLIVLTSYQAVIQAGLLKEGLCSVQDESAGLVVTVLDPHPGMLSALDINEGRLRILAETAKLQQVDNVITTIHADLCVYAVDTDMRFDKVLLDAPCSGLGVLSKRADLRWNRRLEDMEELKSLQDRLLDAASILVKPGGLLVYSTCSIDPDENEERVNSFLHRHPEFCIDSVNKYVPAEFVTEKGFYSSSPVKHSLDGAFAARLLRA >KZM91407 pep chromosome:ASM162521v1:6:24882049:24884538:-1 gene:DCAR_021228 transcript:KZM91407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLGALNLARLSASRSAASLLQRRAFSGAADHHGPAKVDCWKAPMSPSTWKEEHFVIVSLSGWGLLIYGGFKAFSGGKKEEMLSFAHLLNFERHVTSIKK >KZM90082 pep chromosome:ASM162521v1:6:10414201:10424874:1 gene:DCAR_022553 transcript:KZM90082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCASVQALREVEEHKPITSLATSGTPELTKPNSSKGWDELVQRMKLQKLESSKNNISYRASVSMQNKDERIHNGKFHSYDLDHIAMRGASKKSTEVEQKNDVPFNLHSSVAQPRVDSERYEANNKRERGYINGLSGDASTQQHNTVSNNDHSSQTLGNEGEQNISVTCIPKAQPNGLSVKHLIEKMERSPGKDENLANGGSKKDPDTSETYVVEISAERTNGHLREKLNRIYDKVLVVDNVAAAKEIVEKLTNQYRHLVAGIDVKQETPVNHGEIICFSVYSGPQATFGNGKSCIWVDVLDGGGKDMLAIFAPFFEDPSIKKVWHNYSFDNHIIENYGLKVSGFHADTMHMARLWDSSRRIIGGYSLEVLTSDRKVMSAAGVCPSEGLIGKISMKTIFGRRKLKKDGSEGKVIVIPPVEELQRVERKPWVSYSALDSISTLQLYESLKNKLSCMEWQVNGVKEGSMFTFYEKYWRPFGELLVNLETQGMLVDRTYLAEIEKVAIAEQQIAADRFRNWASKHCPDARYMNVGSDTQLRQLFFGGTANRKTGDILQEEKEFKIPNVDNIIEEGKKKATKFRKITLHKIGEEMRSEQYTASGWPSMSGVALKALSGKVSAEYEFADESLDSEPDGSIEIPEMEENEGAITDTSAYGTAYSAFGGGSKGMEACHAIASLCEVCSIDSLISNFILPLQGDHISGKNGRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCKSMLDAFKSGGDFHSRTAMNMYPYIRDAVEHKDVLLEWYPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTTVGLARDWKVSLKEAEETVKRWYSDRKEVLNWQELRKKEAREKGHVHTLLGRARKFPSASTYAQRGHIERAAINTPVQGSAADVAMCAMLEIVKNARLKELGWKLLLQVHDEVILEGPTESAEVAKAIVVDCMSKPFNGQNILKVGLSVDAKFAQNWYAAK >KZM91303 pep chromosome:ASM162521v1:6:23954867:23956346:-1 gene:DCAR_021332 transcript:KZM91303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKKLCSISFISIKEWQDWYRECDLFTGHWIRDMEQPLYTNKSCPVMREPRNCFMNGRVDTDFLKWRWQPNTCKLPRSNPKLFLEIFRGKSMAFIGDSVARNHMESLLCLLYQEEIPVNIYSASEDRIVKYRFPNHDFTLMVLWTTFLVQQDEVMNYLSPADNFTLHIDKVNEVWMKELPSALDYAIISNGHWFFNRRFYLYQDGALIGCVSCNEPDIPKHDFTFAVRMSMRTALKYTERFKGITTVLRTFSPGHYENGNWNSGGSCTRTSPLHELADAKIMRSYNVSMELRGIQLEELERAKRREIKERRLLALDITRAMLMRPDGHPDTHFPGVGRGSHDCVHWCLPGPIDAWNDLLLATLLKETG >KZM91664 pep chromosome:ASM162521v1:6:26928472:26928699:1 gene:DCAR_020971 transcript:KZM91664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKELTILSGVSSDSLLQHRTGCAVGCLRKLQRWGLTSIFYEYQRFAAVKARVSDQRFMELFDVSTFKHIPKEF >KZM91804 pep chromosome:ASM162521v1:6:27941388:27951982:-1 gene:DCAR_020831 transcript:KZM91804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKKQKKLSGHTFRALFKRRAVKAKRPDTPLVVPDSVADFLTELSASSEIKRVDSIMQNAYMQNVENNHHDDSVAEAELSCCTKSKLYLDIGSRHHRCANCMNGGTLLKCSGQGCKRRFHLSCVNPSLSYVPPGVWHCSWCTDRKIKFGMYSVSEGIESIWDARPSTHVLSDTQGQKEYLVKYKALAHVHNRWLSEAQLISEAPEFFRKLKRINQIKENNVEWTVPDRLLDKRLIVLPENDSNVSDCHYEWLVKWKCLGYSQATWELENASFMRTPGVMKLMDNFENRHKKSDRVVHSPEKSKGRGRTFTELSKMPLQGSPDICNFNLTYVNKLREYWHRSQHCLIIDNQERVVKVVLFILSFQRCLKQPFLIITAASDLPLWVAQFSRWASSENIVVYMGNKDIRSSIKTLEFYNEGGCIMFQVLLTLPQVVAEDVEVLEAIKWEAIIIDECQRRSVSNCLTQVKMLAVNMRLFTASHEIKDKRLNYEAMLSLLDPKFDQPSQQTEPNLEFCDLKKRLAPFVAFECNYGMSKFAEYWVPVHLSNVQIEQYCESLLSNTLTLCSNAKIDSVNSLRELLILTRKCCDHPFLVDHSVQSFLATSLPVSESYHLDLGIKISGKLQLLDKILTEAKERSLKVLVMFQSLGGSGQIYSVGDILSDFVHQQFGQESYTRIDREIIRLKKYAPQVINMEDGGKFVCLIESRACCSRVKFSSLDMVILFGSDWNPKNDLRALEKITIDSQFDQIKVLRLYSSFTVEEQILVLAKQGETFDGNVMHIGRSTCHRLLTWGANYLFNKLNVIHDRDLPVLQLNNESQQSLLDDVFHELLAIFPKTFETSDSIKCSIISYVQQIEGAYKCNTMLFGEKEFPLMQNYAVIKQIMEDEPPHVLWSNLLEGKEHKWKYLPEQSPRKRKKVKYSLDLLEESATQVISSKRNCNRGISNTGNRTKSIINGKFKHLFDRTFKKSPQSTKRMRAVNHASKLSSAPRRLRKATQKGNGADEVNLGEHDMHDVNHVSSPSSLPQGPAVGKFKHLLGNTFKRSPCSTKHMLAVNDASKPSSVSCGSNSVSQKVNGGDEVTLGAHDMHNINHASSPSSMPQEFNCASQEFGGDELTLGERATQSGQYNKSPSMRGELSSDSLLALQHQTNPCQLGSYPLHQQLCSPNPPPELPERPIVEEMSRLPSDVYPVSTLPSQMSGDIIFEHGNINLQHPMTSEALQCIPLQMEMERGKQCIEQAVKICEDMKLRLISDRDKEIAEIHNKYNLLFQQAELTSKRHVHDLKIRYAKVCRTQLLARAFLQMNYPGNQDLLLATQKEGHLCGQPVTGATPVPAVDDQSSMERHAVSDHIPQSDNMVNQTAVIYPAAEVTIPRIEQSLIDLRMNSLTPVTSSVEAVVLSSSVHSGARNMSCFSQLPACHVTDLQANQAMQSGRFARNQFRNNAPAPHLRHSRLSNIPISNLPTYPNILPNPQLHQNLTPVQSAFQSGSLLEIVNQRVDELTVELPELPQQLDSSNFLYSSF >KZM92158 pep chromosome:ASM162521v1:6:31039239:31040330:1 gene:DCAR_020477 transcript:KZM92158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASHILTTTTTTHENLDHGSSQEQNPRFRDIHALASPHPPPASRQAWDTSSLDGGSVETNVYSTMSREFNALVVGGSTTGNNGSEADHHSIFMGMIGEEEEMNPLAIVPDSSHHVDPQSSPWRPGGRGGSVGLVNGGEDHVSVHGVKKGEVEMKILAWQNAKIAKITNRFKREDAIIKGWEGEQIQKSSSRMKKVERKLEEKRARAQEKMENEIAKAHRKAEERKASAEARRGTKVAKVFEIAHLMKAMGRAPPKRSF >KZM91066 pep chromosome:ASM162521v1:6:21780366:21781001:1 gene:DCAR_021569 transcript:KZM91066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSSLFCDSPTTCSASVDKSNQISAHQLASENSNSAARFLLKDAFIKDDADQLVSKQQEHCNDDDAEDDFEFALASVLSPVPADQIFFNGQIRPLYPHFKMENNNVASHAMPRAARLPLRKLFVVERELDNSVSSCSSSLTDELEGVEGRTYCVWDSKTADDSPEYCYKSSHFMRRWKFRDFLQKSSSSGRNALLELRDINSLCNKLCR >KZM91253 pep chromosome:ASM162521v1:6:23573142:23574257:-1 gene:DCAR_021382 transcript:KZM91253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVTLLFSLCLLFYAGKAFNITELLSQNSDFCTFNNYLTQTQVAGEINSRRSITVLVVENDALVSLSTKPIEFVGNILSMHVLLDYYDVERFQTLPSKTSIITTLFQTNGVCEGLEGFLNATDLGTGSVVIGSAVAGSTVTAKLVKSVAEEPYNISVLQISRVIFPNSIKRGSQSPRNPPAPGGLVPPGTTPNQAPSSGSKNPTPPRTAPNSPWPPSTAPKNSRSPGAAPRNSWPPGNAPRNTGSPAPPPKNAPAPGNAPRNSLSPGTTPKNAPAPGGGPRNSLSPGATPKNAPPLSNAPRNSWPPGATPENAPAASGNAPKNSWSPGSTPENSPVPSNAPKSSVGMTPRLSFGVVMIIVICVFQTSVI >KZM91357 pep chromosome:ASM162521v1:6:24483733:24484163:-1 gene:DCAR_021278 transcript:KZM91357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFTLILIFICSTQVQSAQIRGQELWCVAKNNAEDAALQSALDWACSSGGADCTAIQQGGPCYDPADIQRTASFAFNDYFLKHGLTEDSCNFDNAAALTSLNPSRANCKFPSR >KZM91950 pep chromosome:ASM162521v1:6:29276175:29277143:1 gene:DCAR_020685 transcript:KZM91950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKGEPKGDKLVLRGLKFHGYHGVKEEEKKLGQKFVIDVDAWMDLRPAGISDCLSDTISYTDIYRIVKEVVEGTPQNLLETVAELIASATLTKHPRISAVRIQVQKPHVSVPGHIDFLGVEITRYRSVEGEK >KZM92329 pep chromosome:ASM162521v1:6:32560535:32562660:-1 gene:DCAR_020306 transcript:KZM92329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLASARESRMYGPVRTRNRSEYMNAGLYVFATVMLLGGFVAQFSMERRSGLVVILIGLGFIILVNVHDLVAHLAGIDYRLFSLMEHDLQLGLVEFAVPVVQSIGTLLSFLAILFIFIQEEMGYGYYKWEKHAVNMLIAGAALWLLGSVHNSCQIYERADAHVQVLQESVHIPFLLGSLLFLVGSVLNCQEQAGMFHHGLDLLSGTLIWLGICGSFLFFVGGLANVIKVFKMQQVDGLRLEKLRRGAQERLADEREARVPLILEEQGRRKRNNVEVQHTTTPNPTPYRDVLVGQT >KZM90901 pep chromosome:ASM162521v1:6:20258670:20260658:-1 gene:DCAR_021734 transcript:KZM90901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRVVGGDGGENTSWAEVPAEYWSRVAGDGAIPVIPQPSTPLVGEIPPYVGDSSNLDSTDSEVDTLPKKQKKPRRIPPPNPPYRTRKRGRYSMLRGLFKNTADNPVVLDDSGPAGSQPSQEEVVHDQGKTETTEKKGKKAVPRKKVTKPEEKKKKSAKQNERKTMKQNERKTVKQDEKKSAKKKEKVEDFGQTNASQILQLEEEEEIEQLIAQGTVVGEEEEQTGRRNYCSCTEDCEKAHEQQTSAGPSNPSAGPSNPSAASKTNPSASSNASANSTAPSKTTTSHGGIIRPFKPPAAISRQNPLGVEPIPGQKFTSLKNLEAAKTKMQKNMGKKN >KZM92359 pep chromosome:ASM162521v1:6:32788796:32792538:-1 gene:DCAR_020276 transcript:KZM92359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGSSEISPSPPAPTASGNYGHMMYVFNRNGVCLLYREWNRPLRTLNQQQDHKLMFGLLFSLKSLTAKMDPTSVEKGNLGVPLLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRAGDLREPLKYIYNLYVEYVVKNPLYSPGTPIKAVQGFKVIRGWADLKLIRVLVHVSVFELGKLNWVVRNPPPKGGDDESNRILASEEPDLNILLPRVSWRMENQGRGFVVKSWAPQVAVRSHDSSMVS >KZM92331 pep chromosome:ASM162521v1:6:32579341:32588609:1 gene:DCAR_020304 transcript:KZM92331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVESTKSVDLGVDWERQLRECKKKCAGLELVIERKNSEFKCLEDKFRVVVAENLEIKEEFGVLNKKYDDLEKRVLENGNEDRGKEELEEKVLKLMAEKKVFEAEKGKAESEVKVWKAKFEVLELQVMKLEGRNKDCNMAGKVKSETGIRETGSKEVGLVDRLRDGSSHLKCSTHLQAESTVKNSRGEMHNVAGVITSAQPSIEVTNNLKVSEETLATDAPSLAGTGTRTVRGSQVRKQLAYGEGSPRRTRASSIPGGSRRASTGVIDIGDTDGEHEMIIPNASTRENEDNKTVSTDHLGINLNVKECASDNKIEETFLHQSCEDMIGGKENSPLNATRKRRRAANIVNSDSEDEDIQLPNLNVDTQPESVMDFRSNSSPVHGTELGNGVHKIASKRRLVTLGNAASTPKRKGEPEVVTVGEGGGGDDDDDDVPISQLVTNVSSVRAAVLRNRVPYSISKRRLASLRKFSDNWLQKSPNTESNLNALETNYEPRNFKEDDSDDASTDENATESSDESMEGFIDDRSDISVKSDGEDSLLIESDSGNSISKNSDHGEASGDSENISCDDMNYPEIMSQLRRKRDSHTSKWEFEAEMLADFGKDPKMCMKAVCALYRQQTIDEQSIKGAIHRNNRGFSLRDAYRSGLVETPVLYIPVWTLVLKSHLCVCAYYTHGASDIYFKEEVEQGYRQLSIIQDQIVSSENKRDKDGSEFSKEPYTVGFNSEFEVSLRKRMSMNPSQLDSASTPPAQTLGHADLNEPSHCPMDSVFQILLRLPVKHLLRCRCVCKPWCSLIDSTNFVNKHLQRNTECNPDSGIIFKALPEGNNFYLANVDSLNDLAVMEISDPLKTQLSGAEFVGTCNGVVCLWQNDIDILLWNPATKKVRVLPTPTNIPIPFMLMGSDAVGFGYDHLNDDYKVVRTVDSQLQGIMVSVYSLKSNSWSRAETITNRIRLRMNFGLFVNGALYWLATKGPHIIVAFDLSVEKHRELPLPDGVNKTDSYHLSLIVFNGCVCLIDHYPGSRTDIWMKSNNGLENSWSKFLALEQPGILGAFNFVWPIAFSKIQNNILLAVNADKFKFMWYDIERNEVKNAVIHGIPVRFASLAYTESLVSFTYDFKPEAEELLKVYTYMCHFLSSFIQRL >KZM90430 pep chromosome:ASM162521v1:6:15784490:15784903:-1 gene:DCAR_022205 transcript:KZM90430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHHHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVTAVLPQGLPQNGPIVDGHAVNEPPKCSLQWRTVMLLMIVLQTMHMID >KZM91060 pep chromosome:ASM162521v1:6:21746396:21748866:1 gene:DCAR_021575 transcript:KZM91060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSVRSEMEELRLKCEKDIQLHHHSTLASLQSFRKSLESQSSIAQSTFQNQAKLGKLKAQLRAAEDDFVKALALKTRKEAKRMAMMDSMVATKERVDKLKRLVEERKSRKDEYAEIISRQSKVLSACEEKCKDSECKEQIEEAISWYNRVLGFRIECGHGIKFVFNKINPNDPKEEYYFTVRHENDVYSLLDCDPYLNDTKELITELNKSNGLFQFVRTMRKKFEATTCGTNPNYVTVGQDTSTISASAPVSSISTGSRSQSPVKQKALQAGDNDRLPKKVNRGKGRLSDIKSPENSSVRRSPRLMVRK >KZM92608 pep chromosome:ASM162521v1:6:34807351:34811055:1 gene:DCAR_020027 transcript:KZM92608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPYCNFVTNIISNFLLKAPILFFLIEPAERGCSLRITKPLRYLCEIKKLGRAKDVFLKLKSEGKLKDCILFSLVYDCLVANSGIHDVEVVWNELCGNDLKIDVCDYVVYVCKFCGREEIKGIFERVVMGGRVLRRESYGALIGALCRENEGELGKEVMRVMGGLGIEVDCFSYYVLFRCFCRNGNVDEADWVLRELVKREFYIDICVYGNFLYGLCKTGKFREAKKLFLRLTKQDGVGGYKNVDFLKEGRRVIFQLKCEDNVPNILAYESYFRSLCDIGRLDEAEVLLKKMMNSRIVPEICVYGSFIKALFAADRDKDAIRFLQVEKKKGLVKVDEIASYVIKGLCQKRKLDEAVRIFDETSVLGEFLNRTNICNCILGNYWKEGRSAEAENLFRRLKEGNYGLRDASTYEVMINGYCNQSNGVKALLLFQEMVDRKIIISCALYEVIIRALCSCGSLREALKYLNDMVESGNMVYSRRWKIFISSTFAALEHGISSDF >KZM91115 pep chromosome:ASM162521v1:6:22317793:22327629:1 gene:DCAR_021520 transcript:KZM91115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAKNESSVQDMEVVPVYDQTGGDAAGNGVKRKRDDGDELEDEKENSVEEERLQNGSGPKGPVLGFKSFTTSVAIYDYFSAFLRSWSPNVNVNKYEHMMLLDLLQKGHQEPEKKIGDGVKAFQVRYHPEYKSRCFFLVRNDDSCDDFSLRKCVDHILPLPENMQKKSGVNKALGGRNGGGGRGGWRGRGGGLDYKAGSLGALLCFGCWVFASSLASHLGFSLAWKVVLAAQLVSWSAQFIGHGVFEKRAPALLDNLIQAFLMAPFFVLLEVLMLFHYEPYPGFRKSVEAKIKAEIEEWEEEKKKKTY >KZM92095 pep chromosome:ASM162521v1:6:30508072:30508704:-1 gene:DCAR_020540 transcript:KZM92095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISNINPKKIFRKKTRSVSRSDPSSFSSANSSSSDLPHVKSNGSGTPTSVLPVRDSSESSSESTSELYDLVQAFKVIDRDGDGKITRDELGALLSRLGASPPSEEELSLMLSEVDSNGDGCISLEEFGAISSAFGPPSCDAELRDAFDFFDNDRDGKITAEELYGVFTSIGDGGCTLDDCRRMISGVDKNNDGFVCFDDFARMMTQQLR >KZM91497 pep chromosome:ASM162521v1:6:25605561:25608653:-1 gene:DCAR_021138 transcript:KZM91497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVEIPSGDSDTCRTIGVAVVSKSPPATGDYDDDLQFTPPFNFAMVDHGIYRSGFPDPTNFSFIQTLGLRSIVYLCPEPYPEANVEFLRSNGIRLFQFGVDGSKEPFVNIPEETIREALKTVIDIRNHPLLIHCKRGKHRTGCVVGCLRKLQRWCLTSIFDEYQRFAAVKARVSDQRFMELFDVSTFKHIPKEFSCLKSKWET >KZM90780 pep chromosome:ASM162521v1:6:19136274:19140882:-1 gene:DCAR_021855 transcript:KZM90780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSSKKRKLPDDLDLFDKPKQQEKRVRFPKGKKVKPEDQVPVVQLPAEEEKDVEPETEAQIAARERALRRKMNIPADEDTDMLHDISVAEVRYQDNDTFVDDGVNIEPFNLEKENKEGFFDETGNYVEYVNEKQIKDAWLDNVDDTHIDPKLAGKRIETADEEESFELSSKEIGTMKRRMADVLLPGETDKDLLRQRFNPFVLLSLSLYLDICVVAVLQALRRLKGSSTSKKEKMSAETKVIFDQLTEDAMRLMENGDYNVYDENKEVFQREAEGYEKLAQLRGEGTSVNSEQVDDELDMFAEDDEKANANPASGEGDLVSGSNSNGNNQPSESASLQSDYVYDESSGYYYSSSTGYYYDPSSGLYCSASGQW >KZM91637 pep chromosome:ASM162521v1:6:26714879:26715427:-1 gene:DCAR_020998 transcript:KZM91637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNIFKNSENRKHCTSRNKKKRLTQEQVRLLEASFNGNNRLEPDRKLQLAHELDIPPRQIAIWYQNKRARWKNQSLELDYTALHMRLEAELTQKKQLEKEAQRLRIELQRLKTEISIKQQVQMFQPQPPLASVSSISSNCDELRSSSLREEQVNCSWMHDQALRVEEFYACLIGGNGSNLI >KZM90663 pep chromosome:ASM162521v1:6:17896017:17897732:1 gene:DCAR_021972 transcript:KZM90663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNICILIVLLYGSYLAAAILPPTVYDRSQQRVLAGIRYYILPISRGHGGITIASTRNKTCPLDVAQELSVYNRGLGVTFHRVDPTAHSIRLSTDLTIKFVRSAAKACAQSSYWKADGYDNLRARYFVTIGGAGGKPGGESLPGTFRIEKEGNGYKIVYCRLVNCVAAPCEPVCKNVGVYQEQGRILLALADEPLVVKFRKVSAAKACAQSSYWKADGYDNLRARYFVTIGGAGGKPGGESLPGTFRIEKEGNGYKIVYCRLVNCVAAPCEPVCKNVGVYQEQGRILLALADEPLVVKFRKV >KZM89478 pep chromosome:ASM162521v1:6:1111613:1113887:1 gene:DCAR_023159 transcript:KZM89478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNVIFLVSPSGFSSLFSNLQLFFTLITFLAVFCFWLSPGGLAWALSKAKTTSKSAIPGPSGVPLLGLVFSFTTGLTHRALAQMSQSFKALNLMAFSVGLTRFVISSRPESAKEILNSSAFADRPIKESAYGLLFDRAMGFAPYGEYWRNLRRISVTHLFGPKRVAHFGEFRREIGVEMVSEIKNLMVKNGAVEVKKVLHFGSLNNVMKTVFGKKYNFNKNGDGVELEGLVSEGYELLSVFNWSDHFPVIGWLDLQGVRKRCRDLVAKVNVFVGKIIQEHRAKRAEGIVAVDEASGDFVDVLLDLEMENKLTDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPEIQAMAQSEIDRVVGKNRIVSDADLQSLPYLNAIIKETLRVHPPGPLLSWARLAIHDTHVGEHFIPAGTTAMVNMWAITHSDDIWSKPEVFRPERFLEEDVAIMGTDLRLAPFGAGRRVCPGKAMGLATVQLWLAQLLQSFDWYNAVDDHGVDLSERLKLSLEMEKPLVCKAVARV >KZM90350 pep chromosome:ASM162521v1:6:14458781:14459144:-1 gene:DCAR_022285 transcript:KZM90350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMINAQPSTYKPFHPSYEEMIFHAICSLKRRSGSSSTAIAKFILRHYGGLPNNFRKILLRRLKELVACEKLVRVKNSFKLPSR >KZM92323 pep chromosome:ASM162521v1:6:32522057:32522880:1 gene:DCAR_020312 transcript:KZM92323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTLLAFSFAALLLVGGEAAKFTIVNNCPNTIWPAALTGTGSQPSTTGFELASKASKPIDIPAPWSGRIWARTFCAATCLTGECGKGTGPCSGAGGAPPVTLVEFTLNGDGGKDFYDVSNVDGFNLPVSITPENSPCATTSCAANINEGCPAGQEVKGPDGATVGCKSACAVTNKPEDCCTGEFNNAEKCKPSASSKYFKGKCPQAYSYAYDDKSSTFTCPTGPNYKITFCP >KZM89792 pep chromosome:ASM162521v1:6:4909105:4909599:-1 gene:DCAR_022845 transcript:KZM89792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYYCSTFQMGLPAGSYASKKQTNTALRNIDINTKKTRMKATPPPAFSLFDLLFFHTQKHTHISMCLGYTLAAAILLLILLGIAAAVFHFVYKPESPKYTVNSTQSPASISLHLALSHRSSTSVSARTIRTTKSESTTRRKSPYKSTTPTIVSAPEFSPSFISR >KZM90099 pep chromosome:ASM162521v1:6:11171729:11177928:1 gene:DCAR_022536 transcript:KZM90099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERDKEYDIHLRTLSSNARHSNFNADPASDPALLISVKKLYLLCQTEQSEDLIARVYPHLNRIFQRALASISQSQTSNGLLLLAILQFFLDFGEIVLHDADPSLRAFFRSCLSREFADYVVAEATLDFLHANKKKLLTSFPSTLPQYFPLLLKLIAWNGEKLEKAFLRIFPGLISPGSFLPLSPSIVDLPILVVALEKVERGSGSLIGSGIASIQKSTAPEMLLALMDEAYTGSVGDGGADSESEDSNTMASGDPLFIEILKDENDGLAERHWTSPGMMNTTLQAATSSPHSDRLKQALKIAPRLLDVYFAIALHDVNNWSFLLQNYISKKNSYQPVFAALLCALIPLLMARNSVLFPDKIFCYEVQKRFLEFMLAAFHRNPDFIALLKKPIVDKLGEAYDSPAKMELALQLCWAIGENGGGGVAHKDAARELFESLELLLYENLSASRLGLRESAFGSSSSTTLTKSSHSRLLSFVVTAIAKLATYHPDLLPRARVSLAKVARSRISDARVWQRARDYLGLMNEPAICLSVLGPSRPSSGHMLCPGVVNWSEGGTKMVAHIPFYILGEQEGPPFHDFSMSDILPRERKG >KZM91028 pep chromosome:ASM162521v1:6:21525012:21526421:-1 gene:DCAR_021607 transcript:KZM91028 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MASVEKLHIVMFPWLAFGHISPYWKLAKLMAAKGHKISFVSTPKIIDSLPKIPQNLAPLINLVKIPLPLVPNLPENAESTTEVPFHKVKYLKLAYDLLQEPMTRFLESSTVDWILADYVSYWLRPIASRLRIRWCHYSVYSASFMGFLGPPSHMIKGDDYRVRPEDFMVKPKWVHFDTNVAMSLYQTLALAPGFEADEETGNVSESYRAGRSLEYCDMVAIRSSVEFEGDWLKLLQDLYKKPVIPVGLLPDVEESKEDDNEDWSEIKDWLDKQAKGSVLFVAFGSEAKLTQAQTTELALGLELTGLPFFWAMKKQRGSSDTEAVELPEGFQDRTRGRGMIYTTWVPQIKILNHESVGALLNSSGYSSVVEAMQFGKALILLPCVYYKGSIAKQLEEKKLGFQIPRDESDGGFTRESVAESVNLIMLDEEGKIYRGKVKDMQAILCDMDKQNGYVDNLLNYLQNHKIMKN >KZM91332 pep chromosome:ASM162521v1:6:24236866:24244864:-1 gene:DCAR_021303 transcript:KZM91332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFIRVQERFTQMLKPRIRASLEYFYLFLAITLFCILVVMHANYVQQPGCSTQLTTLETADAQLIHIKITSVGLWSHNESENNMMDAPGIGVETVQVSDVDEYRLNFLDVRFWLNWIISGARSSKSALKMWKSDSEMFESHPDTSTTSEGLKPVDDVNKGKKDESRNRFSLLTKETLRTAMVHICRKWYGRLSFIWRHSKRFLGVFWDIAGIHLNLDIPKWLHILHLDHLNSLAVQWLEKRSKAFEPTYLYTREKGYFLLPEEAKLRHNIRTINISISARHSCFGNRWQQLLINRLVGYDTILMNSLLNSPGQGYLYNYQTREFYNLTYAHEQPEVSLRFGVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLIRFCGVNLTETRTRPSLQSGFATYKSKLTRLIPALQRFMLNRRSNFQQHPDFHITSSTILASTLHITRVNNRNSGPANLSSGPGERGGPEPAVPETGALVLPELHQHAENLNSDGLGHSPGTEGQTNLRQEDNGANPGAMNSFSSMLLWLLGGVYSESFNSILSIFRDGRVQGQVYAESPREDNPAVQGIQ >KZM92136 pep chromosome:ASM162521v1:6:30810331:30810897:1 gene:DCAR_020499 transcript:KZM92136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPTCRWLTLPSNLSITPTLGRKVTGTLFGNRRGHVSFAVQYDPRSAPVLIIELAVSTSTLVKEMSSGLVRIALECSKGSTTRRHGKLWKEPMWTMYCNGRKSGYALSRECTQSDWHVLSTVESVSVGAGVIPVVEDGRKGGMAEGELLYMRARFERVVGTQHSEAYYMMNPDGNGGPDLSIFLLRV >KZM90070 pep chromosome:ASM162521v1:6:10277948:10284846:1 gene:DCAR_022565 transcript:KZM90070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCNLCQSASENPFNGICTGLPKPDGGEFGKYYSLTALNDPRIDKLPYSIKILLESAIRNCDNFQVTKNDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLGGDSSKINPLVPVDLVIDHSVQVDVARHENAVQANMDLEFQRNNERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTNGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKLQDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHITLQYLKLTGRSEETVSMIEAYLRANNMFVDYNEPQQDRVYSSYLQLDLAEVEPCISGPKRPHDRVLLKEMKTDWHSCLDNKVGFKGFAVPKDAQEKVVDFSFNGQPAKLKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQTYLNQQGFNIVGYGCTTCIGNSGDLHESVTSAITDNDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVYFKEIWPSNEEVAEAVQSSVLPDMFKNTYEAITKGNPMWNKLSVPSSSLYSWDEKSTYIHEPPYFKGMTMDPPGPHGVKDAYCLLNLGDSITTDHISPAGSIHKDSPAAKYLLDRGVDRRDFNSYGSRRGNDEVMARGTFANIRIVNKLLNGEVGPKTIHIPTGDKLYVFDAATRYKEAGHDTIVLAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIIPLCFKTGEDADTLGLTGHERYTIDIPSKVSELKPGQDVTVTTDGGKSFTCTARFDTEVELAYFDNGGILPYVIRNLIKK >KZM90624 pep chromosome:ASM162521v1:6:17591421:17593715:1 gene:DCAR_022011 transcript:KZM90624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADCNKTQDAAHDLPDHSQPFLMDRKEKDVPVTPAGRLFLRQEMNTIIHCAIAGKHPLNIPAITSAVETSIIMHHPRFTSLLVTDRHGHEHWRKTHLNLDSHIIIKHDVIQVNDHEKAVNDYIADLSVSSPLDTAKPLWEMHLLMAHNCVVFRIHHALGDGVSLMSMLLSCCRKSNDPEMLPEIKNAAAVRRDSNLLRLVVKLMKVMFFTVVYVMELMMRSLWVKDKRTAVTGGAGVELWPRCLVTAKFRLDDMKIVKNVVANATINDVLFGVISSGLSKYLGIQSPEAPKEGTRLTGLAMINMRSEKGLQDVSDFKMNNKGTQWGNKFGTLLLPVYYHRNDSDPLQYVKRAKVMIDRKKLSLEGYFSYKVGYFVMSYLGSKLAGWLNYRICSNTTFTISNVIGPGEEVTIAGNPVEYIRVNTSSLPHAITIHMVSYAGRADLQILVAKDIIPDPQFLAKCFEDALLEMKEAALATIQPDKVS >KZM92694 pep chromosome:ASM162521v1:6:35482650:35488441:1 gene:DCAR_019941 transcript:KZM92694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRAAACADFKEKSIQISKKSSIIETKKDRSVDEEEVAVRLTAGQEDGRPCRRLTDFIFHNSDGIPQAFEMLEVDDLYISGLILPLEDSSQKEACSIKCEGFGRIENWALSGYEEGVPTIWVSTDVADYDCVKPSASYKKHYEHLFAKATACVEVYKKLSKSSGGNPDLSLDELLAGVVRGLSGMKCFSRSVSIKDFIISQGDFIYNQLVGLDETSKKTDQQFLELPVLIALREESSKHGDPSIGKVASTNGTLTIGPKIKDGENKKDSATEEDEGVKVARLLQEEEFWNSMKQKKGRGSSTSSNKYYIKINEDEIANDYPLPAYYKTANQETDEYIIFDGGADACYTDDLPRSMLHNWALYNSDSRLISLELLPMKPCADIDVTIFGSGVMTEDDGTGFNLDGDTSQSSSAGLGTANVDGIPIYLSAIKEWMIEFGSSMVFISIRTDMAWYRLGKPSKQYASWYEPVLKTARVAISIITLLKEQARVSRLSFMDVIKRVSEFEKGHPAYISSVPAAVERYVVVHGQIILQQFLEFPDEKIKKSAFVIGLTNKMEERHHTKWLMKKKKLLQRDEPNLNPRAALAPVVSKRKAMQATTTRLINRIWGEFYSNYSPEDMKEGITGEDKEEEEPEEQEEIEEEEEKETLTALEKTPTPTSTPRKTKSIPKVKDIRWNGKSVGETLSGEALYKQAIVYGTEIAVGGAVLVDDESAQLPAIYYVEYMFETLNGIKMLHGRMLQQGSLTILGNTANECEVFLTNDCMDFELADVKKAVVEIRSRPWGHQYRKVNANADKIYRAGVEERKKNGLETEYYCKSLYCPDKGAFLSLPLNSMGLGSGICSSCKLDKDLTEKEKFVVHSDKTSFVFNGTEYSIHDFLYVSPQQFSTERVGNETFKGGRNVGLKAYAICQLLEIIVPKAPKQAEPHSTEIKVRRFYRPEDISDEKAYCSDIREVYYSEETHTIDAETVEGRCEVRKKNDLPSCDAPTIFDHVFFCEYLYDPAKGSLKQLPPNIKLRYSAVKGAHVSSLRKNKGKCKEGEDDLDSLKSKVNCLATLDIFAGCGGLSEGLQKSGVCTTKWAIEYEEAAGDAFKLNHPESLMFINNCNVILKAIMDKTGDADDCISTPEAAELAAKLSEEEIKNLPLPGQVDFINGGPPCQGFSGMNRFNQSSWSKVQCEMILAFLSFADYYRPKYFLLENVRTFVSFNKGQTFRLAIASLLDMGYQVRFGILEAGAYGVPQSRKRAFIWAASPEETLPEWPEPMHVFAAPELKIALPENKYYAAVRSTQTGAPFRSITVRDTIGDLPMVSNGASRTSIEYQMDPISWFQKKIRANMMVLTDHISKEMNELNLIRCQRIPKRRGADWQDLPDEKVKLSSGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWEGSFPTSITDPQPMGKVGMCFHPDQDRIVTVRECARSQGFPDSYQFYGNILHKHRQIGNAVPPPLAYALGMKLKEALESKGCM >KZM90120 pep chromosome:ASM162521v1:6:11356007:11357783:1 gene:DCAR_022515 transcript:KZM90120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVWFNLKRSLHCKEATDVHVPKPKRELSNIFTKKSRRSGCSRSISNLKDVIHGSKLHSENPVNCSPRSIGSSEFVNPMSHEVILSNSRFELKISGFGGVLEDAGNDGGVGSLRPGTPGPGGHHGMQNFNPSFRNSTATPPRLSALEGSRRGVLNSRGGHGNSVKARPFVETDTNGLTSSMTCHKCGEVFGQWKALEAHHMSKHAVTELVEGDSSRKIVEIICRSSWLKTENHCGRIEKVLKVHNMQKMLARFEEYREMVKIQASKLQTKHPRCIADGNELLRFYGTTVACSLGINGCTSLCLADKCCVCRIIRNGSSSKREFKGGIGVFTTSTSQRALESIEINVNDHTVRKALIVCRVIAGRVHKPLENIQDIAGQSGFDSLAGKVGLHSNIEELYLLNPRALLPCFVVICKT >KZM92626 pep chromosome:ASM162521v1:6:34935834:34940121:-1 gene:DCAR_020009 transcript:KZM92626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAECLSCRTHPAIDLNKGERRLVTGGEDELLSQKLLQEKGRAVVQRNDQANIFPRFWIESRKLWQITAPSIFSRVAAATMNIVTQAFAGHLGEVELASISIANTVIVGFNFGLLRFLQSQLKANVTAWISLVVFIIHAFISWLFVYQLELGVIGIALTLDVACLENWYYRILILMTGNLEDATLAVDALSICMSINSWEMMIPLAFFAATGVRVANELGAGDGKGAQFATIVAVLHSTIIGLIFAILIMIFRVPLTMIFTSSPDILNATEKLTYLLAFTILLNSVQPVLSGTEIGIWGGMIFGGTAVQTIILAIMTIQCNWELEAEKAVNHIEKWSRIRSNDEQQELRK >KZM90322 pep chromosome:ASM162521v1:6:14163485:14167078:-1 gene:DCAR_022313 transcript:KZM90322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRDPWGGSLEIAADSGTDDDRSRNLNDIDRAALSKPLDETQQSWLLGPHEQKKKKYVDLGCVVVSKKIFVWTVGIILGAGLIAGFIALLVNTLPHHHPKPPPPDNYTLALRKALMFFNAQRSGKLPKHNNVSWRGNSCLQDGKSDPSSISKNLVGGYYDAGDAIKFNFPQSFAMTMLSWSVIEYSAKYEAAGELNHIKDVIKWGTDYLLKTFNSSADTIDRVVMQVGIGDTSGGPSPNDHYCWMRPEDIDYDRPVTECHSCSDLAAEMAAALASASIVFKDNKAYSEKLVHGAKTLFKFARDQRGRYSVGTEAEIFYNSTSYWDEFVWGGAWMYYATGNNSYLSLSTNIKMATHAGAFWGGQDYGVLSWDNKLAGAQVLLTRLRLFLSPGYPYEEALKTFHNQTSIYMCSFLPFFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLATLFSDYMDAADTPGWYCGPNFYSTDILRSFAQTQIDYVLGKNPRKMSYLVGFGTHYPKQVHHRGASIPKNKVKYNCKGGWKWRDTTKPNPNTLVGAMVAGPDRHDGFHDKRTNYNYTEPTIAGNAGLVAALVALSGGKSTLIDKNTMFSAVPPMFPLPPPPPAAWKP >KZM90845 pep chromosome:ASM162521v1:6:19776311:19778700:-1 gene:DCAR_021790 transcript:KZM90845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLDQQDISKLEALIKYGTDITQLAKQNKLDPVIGRGEEIERVTQILCKRRKNNVCLTGDPGVGKTVIVEGLASRIITGSIPLKLQDAKVFSVDMARLIAGASNRGEFEERLTKVVDEVKLSEGKIVLFVDELHTVVGAGSSGPLDASNILKPALARGELKCIGATTMEEYRKYIEKDGALKRRFQVVDVPEPSVKDTILILKGLVKKYEDFHNVNYTEKAIRFAASSAKLYISDRFLPDKAIDLIDEAGARVNLQQKQAKYEKPTVVTRRDTKFGSGINLQKREAESNGDMLVTEKDIALVLSSWTGIPVGKISEEEAFKLLNMEKTLQTKMIGQKEAVVCVSRAIRRAKVGIRDPNRPIASFLFTGPTGVGKTELAKLVAQEYFGTKDAIVRVDMSEYMEKHEASKLFGSPPGYVGHDEGGHLTEAVRRRPHSLVLFDEIEKAHRDVFNTLLQILDDGRLTDGKGRVVDFKNTIIILTSNIGGHLTGNFEQVKQQVSELLKANFRPEFLNRLDDVIVFKHLKKKHLRRIVEVMLKEFIQRVKEKKEIVITITDQVREKVVEEGYSPSYGARPLRRAITRLLEDNLCDKILSGDLKEGDSVTVDINLEGEVAFKDGAIFWEC >KZM92154 pep chromosome:ASM162521v1:6:30983454:30983735:-1 gene:DCAR_020481 transcript:KZM92154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIWRACARVMENFDENRTAQRLLSIAVYGCIGSGAWYCSGLRPHPPPGNPYHSDYQPPPVED >KZM90697 pep chromosome:ASM162521v1:6:18251055:18285234:-1 gene:DCAR_021938 transcript:KZM90697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAVQSHRFFAPAAAAGAGNVDALNRVLNDLCTRANPQDGATLALRKHVEEEAHDLSGEAFFRFMDQLYERLTSLLESNDVSENLGALRAIDELIDLKLGENASKVSKFSNYIRTVFESKRDPEILILASTVLGHLARAGGAMTAAEDEHQVKIALEWLRGERVEYRRFAAVLILKEMAENASTVFNVHVADFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVLWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLTYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLKIPAERASGFIALGEMAGALDGELYNYLPTIMSHIRDAIAPRRGRPSLEALACVGNIAKAMGPAMEPHVRSLLDPMFAAGLSFTLVEALEQITISIPSLLPTIQTQLLECISAVLSQSHYAQTKPSITSNRPNVASTTQQISELSNSALVQIGLQALARFNFKGHDLLEFARESVVVYLEDEDGKTRKDAALCCCKSVSNAFSEMLPLQYVPGRSIRARGKRRRLIEEIVEKLLIAAVTDADVIVRRSIFSSLNGNGGFDDFLAQADSLTAIFAALNDEDFVVREFAISVAGRLSGKNPAYVLPALRRHLIQLLTYLEQSADSKCKEESANLLGCLIRNCEQLILPYIAPIHKALVVKLSEGTGVNANNGIICGVLVTVGDLARVGGFAMRRYVPELMPLIVEALSDGPAATKREVGVATLGQLVQSTGYVITPYNEYPQLLGLLLKLLNGEPAWSTRREVLKVIGIMGALDPHVHKRNEQRQTLPGSNGEVTWAAGDTGQHIQSTDELPMDLWPSFATSEDYFSTVAINFLMRILRDPSLSSYHKKVVGALVFIFKSMGLGCIPYLPKVLPDLLQTVRTCEDGLKDYITWKLGTLVSVVRQHIRKYLPELLSLISELWSSFSLPAANRPVHGYPTLHLVEQLCLALNDEFRSYLPVILPCCIQVLSDAERCSDFTYVHDILHTLEVFGGTLDGYMHLLLPALIRLLKVDASVDVKRSAIKTLIRLIPRVQVTGHISALVHHLKLVLDGKSDELCTDAVDALCCLAHALGEDFTIFIPSIHKILLKLRLRHKEFEEIAGHLQRREVLILGSTDAQRLIQQVPVEVISDPLSDVDNDSKEDKLDMHRQLKSHEVNEGRLRTAGEASQRSTEEDWAEWMRHFSIELLKESPSLALRTCARLAQLQPFVGPELFAAGFVSCWSQLNDASHRQLVRSLEMAFSSPNIPPKILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGAQANRMEANPVAVVEALIHINNQLHQHEAAVGILTYAQQNLDVQLKESWYEKLQRWEDALIAYEAKASQASNPHLILDATLGRMRCLAALARYEELNTLCKEYWAPAEPAARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRVLGNTAATGDGGSNGTFFKAVLLVRRGKYDEARECVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWSERIKGTKRNVEVWQALLAVRALVLPPTEDSETWLKFVSLCRKSGRTSQARSTLVKLLQFDPETAPANASYHGPPQVILAYLKYQWSVGDDNKRKEAFFRLKDLAMELSSIPSLQPATFSSLIGVSSEPLIARVYLKLGTWQWALSPSLDDGSIQEILSSFRNATYCAKNWAKAWHTWALFNTSVMSHYTTKGLPKVAGQFVVAAVTGYFHSIAYAANDKGVDDSLQDILRLLTLWFNHGAKPEVQLAMQEGFAHVNINTWLVVLPQIIARIHSNNHAVRKSIQLLLVQIGRNHPQTFMGIIVTYLLYPNPSAISYIPIVVVFSKVFLCCMPSQALMYPLLMACKASSPLRRAAAEEVVDRVRQHSGVLVDQAQLVSKELIRVAILWHEMWHEALEEASRLYFGEHNVEGMLNVLEPMHEMLEEGAMQNSATAKEKSFIQTYRHELLEAYECCMNYKRTGKDAELTQAWDLYYHVFRRIDKQLITLTTLDLQSVSPELLECRNLELAVPGTYRADLPVVTISSFSPQLVVITSKQRPRKLTMHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLKNSRKTSEKDLSIERYAVIPLSPNSGLIGWVPNCDTLHDLIKEYRDARKIPINQEHKLMLAFAPDYDRLPLISKVEVFQYALDNTEGSDMARVLWLKSRTSEVWLDRRTKFTRSLAVMSMVGYVLGLGDRHPSNLMLLRSSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKYSVMAMMEAFVHDPLINWRLFNFNEVPQMSTPAAAHVPSVVNIDETASSRELLQPQRGARERELLQAVHQLGDANEVLNERAVVVMARMGHKLTGRDFTSSSLAPANSIQHALDHSNLISGESHEVEHGLSVQLQVQKLILQATSPENLCQNYVGWCPFW >KZM91291 pep chromosome:ASM162521v1:6:23872012:23873894:-1 gene:DCAR_021344 transcript:KZM91291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTTSASLCVSQISSSSLASVSSLNPTTAYFVGLPSRKYNEKKRESARLNRTVTAAAAAAAVVAAPPEEVKEYVLPRWADFDLGRAPIYWKTMNGLPPTSGEKLKLFYNPAATKLAPNEDFGIAFNGGFNQPIMCGGEPRAMLCKTRGKADPPFYTIQICIPKHAMNLIFSFTNGTEWDGPYRLKFEVPKKWKNKPVDFFNEGLAEELSREGACEKAIFPDTNIVIDRCALMGNLTVEGGDRCELDLVSGCTDISSPLYDPLANVDDGSCPLSDSEE >KZM92642 pep chromosome:ASM162521v1:6:35083043:35084929:1 gene:DCAR_019993 transcript:KZM92642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEPCGSSMLLALPDDIFAIVAQSLSPKDVCNLCLCCRSLSGLASNDKVWFTQCEMLRVVSVKDLVEWRKGVLSYRVLCKFLVSVKSLIGIWVHQNPELGNVVYVMPGFISVVGCRIIPQELGPLGIKDGPILWAPVFEILSNIDGSSMFFLHGRDKDDDYIYPGSVKAVDKACNMLLLEVMPKERSTGEKSVDDKELLVRGDHSRSLNIKSQRVFGQNKQKVQFNRLAFGDRRKLLETVTSQVRLKVPDLASGLLFPRLSSDENNFQQDLVHLHKRRSLLMEMYKLRSDCSKHNVGPHPPADPTQLKISEMRKAFENSTGLHTSLGEDDCLTQSTKRKNLAGYFKDGLKQILGKSTLTIYGRERVRSSTSSSDSKHAQLDDFLYSGDTIGLALNASNGKLSSYRAWPNMHDSRFALYKLPMQEPKSSQEYGGLWGGTFGWPPGMPSEDKPGKALYFLFLSYEESQGQRLLIATKILEGTHYVLHPNGSAMFIVNLDEPSSDPFPLGGDGDLEPLDITCAYTGEGIANGYGFRYPGSKPGSLFVIQGGLLAFVWKESRTVLTMQRLDLQELLKKGERVPALPPTANFAYLTKSYSNVFAGFSSTSNGLALQRYTPKNYYSTLCKVIYV >KZM89984 pep chromosome:ASM162521v1:6:9186207:9187106:-1 gene:DCAR_022651 transcript:KZM89984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSTSCTSALVDEFYFSALFDEENIFPVSDENYANQMQLQEVLMSAVISSTTLQNMQQSEVEIPASKKGKKIVEKDTGEASQSQSLCNICFDYKGTKEMFSSPSCRHTFCKDCIGKYVASKIHENVTRINCPDMNCKEGVIGPDICREIVPKEVLERWENVLCESLFIGSQKFYCPFKDCSAVMLDDGEEKVLASECPNCRRLFCAQCKVAWHAGIDCSQFQNLNVNERENGDIMLMELAKNKKWRRCPKCKFYVEKVAGCLSIHCRQELNFFFFTQRTHCIIVIEQFNSFYHYYCS >KZM91082 pep chromosome:ASM162521v1:6:21989816:21992893:1 gene:DCAR_021553 transcript:KZM91082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMARTAGDWAFKAFTAGLGVATIYLTASFSFNVYRGLAWHNAQSKIEEEGTSDQGAQ >KZM92686 pep chromosome:ASM162521v1:6:35394954:35398281:-1 gene:DCAR_019949 transcript:KZM92686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGGGSGPKIHAAGAAETAKGKNVSQVSDRWADQVSDRMSDISLDSEQDGGWEEVARKHRNKPVGNVGSQYPGAKVSGNPWTGSKGNSNAWTGTKQPANAWAGKGSVNAFAAPAGGKGGWNQSTSIEYGNSFDQPVVIPPPLENGWQWRNNTSQVGKVGNVSALNPVDATYDKEEESYDENDGDEDDFNTEDIYDSDEYDSDESQKSHETLKKTSLLKGFFKTLDEMKVEEITEPDRQWHCPACAGGPGAIDWYRGLQPLMTHAKTKGKRRAKAHRVLAQLLDEELRRRGTSVIPAGEAFGKWKGLNENVVRDREIVWPPMVMIMNTQLDRDDNGKWLGMGNAELLDCFNAYEAVRSRHSYGPQGHRGISVLIFEASAVGFLEAERLSKHFEDEGTNREAWARRQQKFLPGGQRLLYGYMAEKGDIDYFNQHSQGKSKLKFELRSYEEMVVNPMKQMSEDNHLLNYYKTRVVKEKKQVKVLKDSFDAVADKLRKTQEENRIVRQRTKLHHEENKEQMDYQEEFFKEQIRLIHEARIEKEEKFEKIQQEKREEMKSYMENPSSAEDRQFRIKKGATLILSQQKEMDDFAEERDKLLKDLEDRKAELKRLRYEEDVKLEKEFDAELARLMEKYSRGQAPDIS >KZM90781 pep chromosome:ASM162521v1:6:19150003:19154209:1 gene:DCAR_021854 transcript:KZM90781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESEMWTYRPDGTSSATGDGDPTKELATIMTTNAKTSSSFFHSNNNASLGDLYSDDPIVSSRSTAVHCSSYTYPLHTSQGENDFSYMDIPEDESSDLLYYNWDDIGFEDVFRNCESLFELQKKSNDDETDWLSASNAIEGSESASRTEFASSGPHANALKGPTEDLEHPKLKIECSSANDTTMTGVPASDKTSPQLSEVEDTALLSSISVANMSNENVEFKDNIVIKNQGRKKREGSKNDRHVEDGDTLRYPSDLGIEGRYLSSEDTSHNGHTTICVKQHKENLGPGTSHHLLANISCIQSDCHRPSDQTTISPSLSETRLENNTATSLPPKGSSLSFEGLQDKDSSQDLSSMIIDVAPDGRDNPSLNQGLPASFNSNAENIDVASICNPFPVQASIELEKHNDIEGASNGFPAKVGPWYVQDSPTVDAGFNEASLEATSFRQLQLVMEQLDLRTKLCIRDSLYRLARSAEQRHHNNGGLGGDRDARTFMDDGTTKSSGYIDIEADTNPIDRSVAHLLFHRPSLSLPSESIIPAPPFAAEKSSNRKPAQQ >KZM90683 pep chromosome:ASM162521v1:6:18045252:18046338:1 gene:DCAR_021952 transcript:KZM90683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVGGTQQCMACNKTVYPVDRVAPDNKQVYHRACFRCTYCNNTLKIANFSSIDGALYCKPHFDQLLKQTGSLEKSFEGTSKVAKPEKVIDPDNKVASNFPVTSEKCVVCGKIVYPMEKVSVNKLAYHRGCFKCIHGGCTISLTNYMSHDGKPYCKYHHNQLIKEKGNLSQLEAGDEQPPSS >KZM92290 pep chromosome:ASM162521v1:6:32181482:32181673:1 gene:DCAR_020345 transcript:KZM92290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISVGRREDRNLMTGLHTVADVNCGDCGELLGWKYERAYEPSQKYKEGKFILEKSKIVKDNW >KZM92353 pep chromosome:ASM162521v1:6:32759011:32760456:-1 gene:DCAR_020282 transcript:KZM92353 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MELKSSSSCIVLYPSPGIGHLVSMVELGKLILSHHPDSFSKIIILITTAPHLDTAATAPYMSGVSATTPSITFHQLPTPPLPPNYAPSVVGLDFELIGLNNSNVHQALETISSTQFKIKAFIMDFFCNAAFKVSSELNIPTYYFFTTAASNLSALLYFPTLHQKVTVNLKDYNDFVYYPGVPPIFSTDNAITVLDRSTMEYKYFMGTATQMAKADGIIINTFQSLEPRAITAISDGLCIPDGPTPPIYCIGPLIAGKQVASEENECLVWLNSQPSKSVVFLCFGSLGVFGEEQLKEIAEGLENSEHRFLWVVKYPPPLRASKDKSMLAPQEHDLSTVLPQGFLERTKGRGLVVNSWVPQVAVLNHDSVGGFVTHCGWNSILEGVCAGVPMIGWPLYAEQRTNRVLMVEELRVGLGLVESDGGRFVSSAELEKCVKELMDSDTGKKLRHRVRELRDAAKVAMSDEDGSSRIALAKLITKWKE >KZM89777 pep chromosome:ASM162521v1:6:4655033:4659084:-1 gene:DCAR_022860 transcript:KZM89777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGSKPDAFYAQGNCRHVSSDLATDVTIIVGEVKFYLHKFPLMSKSNRLQKLVSGAIDVDSNEILLPDFPGGPKNFEICAKFCYGMMVTLNAYNVVAARCGAEYLEMTEDADRGNLIFKVEVFLNSSLFRSWKDSINVLQTTSSLLPWSEELKVVGRCVDSIASKTSVDPSSINWSYTYNRKLTLSNEIVDDTTKVQDRSASVPKDWWIEDICELDISLYRRVMIAIKSKGRMDANIIGEALKTYAVRWLPDSVEALLSDVHQQRNKSLVETIICLLPSDKGIGCSCSFLLKLLKVAILIGAEDLSREDLIERISLKLDEATASDLLIPAQSTQDTKYDVDLVQCLVHRFMMNENIGQDSNIVLKNEKNTDDFVLGHGPLLNVGKLIDRYLAEIATDPKLALSCFIDLSQSIPEAARPIHDGLYWAIDIYLKEHPILTKAERKKLCSMMDVKKFTANASMHAAQNERLPLRVVVQVLFFEQVRTAAIVQNTNSSGSLEVSRSTTNTDEDLEKSEPQPMTLQKQMSQLRTTNKDFQKNGKLIKEGSKHKSAIQLLPSRSRRIFDKLWVVGKGNGMGEAKSSETSGSSQSPSSMIRGEVKESPSTARDRRHSIS >KZM92769 pep chromosome:ASM162521v1:6:36155784:36156275:-1 gene:DCAR_019866 transcript:KZM92769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGGSNSPPPSGSKPRGRPPGAKNKAPQNMPLQNTAPQVTSRVLEIPPGMDVVAWVNQFAQANNVSVNVLTGSGMISRVAYGHPSSPNPIIVSEPLNLVYIAGLVNPAEPGFLSFTATMSRFNGSVIGVRPFQLVAMHSVVLTTLVSQNMQVITTDSPIL >KZM90899 pep chromosome:ASM162521v1:6:20245887:20247473:1 gene:DCAR_021736 transcript:KZM90899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLVFTKLFILLALSASVVATGKGSKPCVFPAIYNFGDSNSDTGGISAAFMPVPAPNGQDFFHKPAGRVGDGRLIVDFIAEHLGLPYLSAYLDSLDSNFRHGANFATGGSTIRRQNETMFQNGISPFSLDIQTLHFDQFQLRSNDLYSQARTESRRSRLPRPIDFSTALYTFDIGQDDITHGLRKLGAPQLRTALPDIVNQLATAVTHLYHRGARTFWIHNTGPIGCLPVNSFSIRSPKPGFVDQFGCVRGHNELAIEFNRQLKDRVTKLRAELPLAAITYVDVYAAKYNLISSTKDQGFMNPLKICCGRHEGNVHVWCGQRTIINGTEVYGGACAAPSAHVSWDGMHYTQAANHWIANQILSGSMSDPPIPISQACHRHAPM >KZM92324 pep chromosome:ASM162521v1:6:32526478:32527885:1 gene:DCAR_020311 transcript:KZM92324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQILFAVPFAVLLLIGGESATFTITNNCPMTIWPAAFSNKESPPSKTGFELASQASDTIDIPSTAKTSGRIWARTYCTATCRTGECGHGGGACSGATGVPPATLAEFTLNDNGGLDTYDISNVDGFNLRVSLAPENQACFTASCSGDINADCPADRAIKVPSGSDTVGCLSDCAALNRPEDCCTGAFSTPGTCQPSKSAIYFKEKCPQAYGYAYHDQTSTFTCSTGTNYRITFCP >KZM90059 pep chromosome:ASM162521v1:6:10151975:10152481:-1 gene:DCAR_022576 transcript:KZM90059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKICFVFVIYCIVVCTTSGRKLIDTKALEEEKNLFPFPNFGTGVQGGAQSGFGGNLGGLGGNMGGATSGGLGMGSSGGLLGGSGSLSSSTSGGVGMGSSGGGGSPFGAFGDPSGTGGSVGGAVSGSLGMGSSFGGDSSGPGGGGGFGFGPYGGQMGSGFGGQNGQP >KZM89551 pep chromosome:ASM162521v1:6:1965828:1968795:1 gene:DCAR_023086 transcript:KZM89551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSVMTIYSCEVFPQCLLFGKLLSVNSHYNVLVHCTNSNSSRNPGKVRVSADSTLTHLHSYNFQESHLVKLLNRSCKAGNYNESLYFLECMVSRGYKPDVILCTKLMKGFFSSKNVEKATRVMEILESKGDPDIFAYNALISGFCKLNRIQLANRVLERMRDRGFSPDVVTYNIMIGSLCSRGRLDSALKMMDQLLQDNCMPSVITYTILIEATILDGGIDEAMKLLDEMLWRGLQPDMYTYNALIRGMCRQGMIGRAFEFVRGLPEGCKPDVVSYNILLRTLLNHGKWNDGENLVREMFSIGCDPNVVTYSILISFLCRDGKIEEAINLLKLMLEKGLSPDTYTFDPLISALCKQGKLDSAIELMDFMISNGCLPDIVNYNTILSAMSKKGNVDEALEIFEQLAMTGCQPDVTTYNTMISALWNSGDRTRALGLVSDMIHKRIDPDKITYNSLISCLCRDGMVDEAIELLRDMERSQYLPTVITYNIVLLGLCKAHRIDDAIQVLSEMVEKGYEPNETTYILLVEGVGFGGWRAEAMDLAKSLLEIDLISNESFRRLNFYGRGVFIFNNFILDNDSSLHTQLWVDPSEEFVQYNHTGNPVDVTFGVKELKAFLSFCEGCEVDIHLCFEKAGE >KZM92107 pep chromosome:ASM162521v1:6:30584445:30584636:1 gene:DCAR_020528 transcript:KZM92107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIANLYDLPLLNGDQNTTKTKTKVTCKSLTHHHILLHDIYIFRISKPNSSFISLHKHNPPA >KZM90521 pep chromosome:ASM162521v1:6:16507703:16512100:1 gene:DCAR_022114 transcript:KZM90521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQSLAATTALCWKPAPFQKPPPPLPCLKPVPQIWDTAGQERFQSLGVAFYRGADCCVLASPSDPENFPFVVLGNKTDVDGGNSRVVSEKKARAWCALKGNIPYFETSAKEGINVEEAFQCIAKNALKSGEEEEIYLPDTIDVGSSSQQRSTGCEC >KZM90858 pep chromosome:ASM162521v1:6:19879104:19880342:1 gene:DCAR_021777 transcript:KZM90858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFIHFILLSSLLLSLSSAQQSLHPRALLLPVSKDPKTQQFLTKISQRTPLVPTTLVVSLGGRQLWADCYNNFSSSSIRRPKCGAAQCSLADSDGCRNNICGADPENPVTRTITYDDVVSDIVTIISTDGSRPGPVFTIRDFVSLCAPSSLLEELASNAVGSAGLGRTRVSLSSQLAARSSFKRQFAMCLPSSTTANGVIIFGDVSYKFLPNIDATKFLKFTPILTHPSPDKSAEYFIGVKKIKINGKAVPINYSLLTIQNGGTQLTTGNPNTVMRTSIYNAVTAAFINEATAMNITRVASVAPFGACFDEKTVAGSRLGAKVPVIDLVLQNENVVWTITGSNSMVQAGTNVLCLGFVDGGKDPRIPIIIGTHQLEDNVLQFDLATSRLGFSNTLLARQTTCSNFNFTSTA >KZM89614 pep chromosome:ASM162521v1:6:2533529:2536421:-1 gene:DCAR_023023 transcript:KZM89614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSILDKKSRQTQPRKHGLFVLAAVCKSDLPDLTWELGPDSAECVANLLGGESGKTGLDSASTRGRHVSDSEPCLESDRDAPTVAPIEAFDEARLQLTKEEAELERSISGISVQMEEFQNKMMQFGKFDLEVEKESKQLEQLTNLLFADQLALHFHKKAGSKSHAVPEDFKAGQTL >KZM91321 pep chromosome:ASM162521v1:6:24130424:24133495:1 gene:DCAR_021314 transcript:KZM91321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHIDKAKKKQVLLFYCLESEDLARKIASQSELIQLQSINWRSFDDGFPNLFINNAHEIRGEHVAFLASFSSPGVIFEQLSVIFALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQERFYFGDHVLPCFETGIPLLKQRLHQLPDSENIVVAFPDDGAWKRFHKQLDHYPMVVCAKVREGDKRIVRLKEGNPSGCHVVIVDDLVQSGGTLIECQKVLAAHGATKVSAYVTHAVFPNRSWGRFLHKNCENSSERAFAYFWITDSCPHTVKAIANEAPFEVLSLSGSIADALQI >KZM89817 pep chromosome:ASM162521v1:6:5366281:5366751:-1 gene:DCAR_022820 transcript:KZM89817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKFYIRDPKTNPPLSIPSNIINNNTIIDADYLEFEFEMVTLEATNEYDNRYTSLKDISPPCELSRKESWREVPLKDPLVRQAAWAWAYLPLSKTEHQRNGFIGKLRDGFVGCFRNVLLLITGKLLPDQEFEIDDDDQQTYSNNIIPARSKMIGQ >KZM90634 pep chromosome:ASM162521v1:6:17665355:17668648:1 gene:DCAR_022001 transcript:KZM90634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKALLTLLFFTFFFILSLSSDMSIITYDQTHFKNPPFLRTHDELLSIYESWLVKHHKNYNALGAKEKRFEIFKDNLRFIDEHNKGVNQSFKLGLNKFADLSNEEYKSMFLGGRMVRDRKGFESDRFKYGVGDELPQSVDWREKGAVAPVKDQGQCGSCWAFSTVAAVEGINQIATGDLISLSEQELVDCDKGFNQGCNGGLMDYGFEFIVKNGGIDTEDDYPYKGVDGQCDQNRKNAKVVTINGFEDVPQNDEKSLKKAVAHQPVSVAIEAGGRAFQLYESGIFNGLCGTDLDHGVVAVGYGTEDGKCGIAMQPSYPTKTGVNPPKPGPSPPSPVKPQSVCDDYYTCPASTTCCCVYEYGKYCFGWGCCPLEAATCCDDHSSCCPQEYPVCDINAQTCRLSKNSPIGIKALKRSPARPNWTLANAARKFISA >KZM92157 pep chromosome:ASM162521v1:6:31028681:31037456:-1 gene:DCAR_020478 transcript:KZM92157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAIGINNSIKPTFCVNPIRPRSLNSTSPFVKTQSFARSLCLNKKPLFNSCSSKNPGFNSIRCSVSEVADTDTEAAAAAANTERRSKLMRRSDIRNIAIVAHVDHGKTTLVDSMLKQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGLAVVVVVNKIDRTSARPEFVVNSTFELFIELNASDEQCDFQVIYASGIKGKAGLVPDTLAEDLGPLFETIIRCIPGPHIDKDGALQMLATNTEYEEHKGRIAIGRLHAGVLTRGMEVRVCTPDDACRFSKVSELFVYEKFYRVPVESVEAGDICAVCGIDDIQIGETIADKTFGKPLPAIRVEEPTVKMAFSINTSPFVGREGFRVTWSLLFCRXRNLRDRLYREIERNLAMKVEDGETADTFLVSGRGTLHITILIENMRRENYEFMVGPPKVINKKVNDKLLEPYEIASVEVPETHMGSVVELLGKRRGQMLDMQGLGSEGTTLLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDISTRDQGSLVAFENGTTTSYALFSAQERGQLFISPGAEVYKGMIVGIHQRPGDLALNVCKKKAATNVRSNKEVTVVLDTHLEYSLDDCIEYIQEDELVEVTPQSIRMLKNPKMGKKSR >KZM92010 pep chromosome:ASM162521v1:6:29800410:29801640:-1 gene:DCAR_020625 transcript:KZM92010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTSVENNRNKEKKILCLHGFRTSGSFLKNQLSKWDLPTASVFSDFHLVYFFTLFKWEFLDGFFPAGGKSEIEGYFPPPYFEWFQHENDFTVYFNLEECITRLCDYITSNGPFYGAKFKTPSICEIAYKEPIKVKSVHCIGAKDWLKVPSEKLASAFEDPLIINHPYGHTVPRLDEEAVKKIRDWIADVSEADSHVGNGVLNENNSAIEKAEETLKECDLREEESK >KZM91928 pep chromosome:ASM162521v1:6:29051131:29051826:-1 gene:DCAR_020707 transcript:KZM91928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDGDSKLFPLAFYDGECELKIGHVSINSSLDYKAFQQILSQKIGISPNQMSIYLVDRKKSKLYHQDQRKVLITGRASFRLILKEKDCAVLVVLKRSRRDRRRRSKLGNVESSYCIDPENFILLRRNMPEFVVNQPSIMPYFDQLGFGNLGMRAEEYVPIVPEPNLYDFPVFSEPVYVSEICNGVYDYCEECMNARRQGNVVPFHLCVNDPVTASFRSPAGPIARPNSCY >KZM90681 pep chromosome:ASM162521v1:6:18021494:18026567:-1 gene:DCAR_021954 transcript:KZM90681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTQNQLGIGRFVVEKNSFRVTSPESLKGSHDSAIGNFGIPQYGGRMAGTVVYPKQNRKGCNSFDDFGISFKSRPGALPTFVLVDRGDCFFALKVWNVQNAGASAVLVADNVEEPLITMDTPEEDVQSAKYIQNITIPSALLHKTFSEKLKQALSNGEMLNVNLDWRESVPHPDERVEYELWTNSNDECGLKCDILMDFVKDFKGAAQILEKGGFTQFTPHYITWYCPTAFTISKQCKSQCINHGRYCAPDPEQDFSSGYDGKDVVIENLRQLCVFKVANETQKPWVWWDYVTDFQIRCPMKEKKYNKECADKVIRSLGLDSENIEKCMGDPNADSDNPVLKEEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICSGFEETTEPAVCLSGDVETNECLNNNGGCWQDKSANISACKDTYRGRVCECPFTDGVQFKGDGYTFCAASGPGRCKVNNGGCWHETRDGHTFSACLDDGDTKCVCPPGFKGDGVKSCEDIDECDEKKVCQCPECSCKDTWGGYECTCSEDLLYIREHDTCISKTTAQVKSAWAAIWFILIGLAIAGGGAYMVYKYRLRSYMDSEIRAIMSQYMPLDSQSEVPDHQSEDRA >KZM92326 pep chromosome:ASM162521v1:6:32544499:32544861:1 gene:DCAR_020309 transcript:KZM92326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCHGFNSWLYYGVKQYEGATWRIKRKVVSLDGMQHLPKACAYSNWAYIGQTTKGRSQLSFITSSLIKAKSPKDLWKCNFTTSRKMMMDTSEASGKGQVSIPLPEKDDNGTYASGGLKR >KZM90831 pep chromosome:ASM162521v1:6:19644425:19646397:-1 gene:DCAR_021804 transcript:KZM90831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSTSELANLISRSLISASNKSYPTRAWTPQLEQTLHQLGCRDSLTSSLVARVIDPLLLPHHSLAYGFFNWASQQPGFSHSSMSYQSIFKSLYISRQFNDVDKVFSQVKSRNVELEPGVYVSIMHSYIVSKKTQKAFFIFKELGCQFGAEICNLLLAALSSEGNLRDARKVFDEMLVRSVEFSTLGVGLFLWRFCRNGELREMLRLLDEVRKIGSGFNGSVLAVLVVHGLCSECRVKEAVCVLDELRARNCKPDFMAYRVVAETLKKVTGDVVDVEKVLKRKRKFGVAPRANDYKEFIYALISERMICEAKDLGEIIVGGNFSVEEEVLNALIGSVSSIDPSSAIYFLNFMLEKQRLPAISAMSNLSRSLCKHGKIEELLEAFEILSAREYFVDSETYNLKVSFLCHAGRVKEAYQALQEMKKKGLPPDISLYNSLMEACCREDLIRPAKRLWDEMFANGCEGNLRTYNTLIGKFSKIGQAEEAHRIFYKMLDKGVKPDNTTYISLLEGLCAEKEIKKALEVFIESINRDETLAWNVLNSFVLFLCKEGYFSDACELLRGRYNDVKHANTHAMMLKCMADAGELAPAIEHMQWFSDNSPSVLHAIAAELLASLSASAKPDLIEQILRSVQR >KZM90130 pep chromosome:ASM162521v1:6:11443609:11443854:1 gene:DCAR_022505 transcript:KZM90130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSVKIDIMKSPSEIPENSGKRKLEDLDAGSLRLSTPSCYTNCDAAVFEKPENSTCDSALNMRIVKNISGFCSYTREEEAE >KZM92315 pep chromosome:ASM162521v1:6:32353302:32356290:-1 gene:DCAR_020320 transcript:KZM92315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGRLGSSELGSSRHYPDSTAVAHATSPRPRNSKLKFLFIFAATLIVACGVSVAVLVALRNKATGDDNTDLPSHRKPSQAMSQVCRKTRFPSLCVDSLLDFPGSTTASNTDLVHISVNMTLHHFGRALSASAEINNLQMDTRARAAYDDCLELLEHSVDQLSMSLTSVAPPPNRRSQPVGSTADVMTWLSAALTNQDTCAEGFADVKGDVKDQMVDRLKDLSELVSNCLAIFAATNGDDFSGIPIQNRRRLLEESPAAREFPEWLSRRERRLLQSPVTAIQADIIVSKDGNGTYKTIAAAIKKAPEYGTRRFIIYVKAGKYEEQNLKVGRKKTNLMFIGDGKGKTVISGGKSVFDNVTTFHTASFAATGAGFIARDITFENWAGPSKHQAVALRVGADHAVIYRCNVIGYQDTLYVHSQRQFYRECDIYGTVDFIFGNAAVVFQNCSMYARKPMDQQKNTITAQNRKDPNQNTGISIHACRIVATSDLQASKANFSTYLGRPWKLYSRTVYMLSNMGDHIHPRGWLEWNTTFALDTLYYGEYMNYGPGGAVGQRVKWPGYRVITSPVEANKFTVSQFIYGSSWLPATGVAFLAGLSV >KZM90480 pep chromosome:ASM162521v1:6:16122929:16124008:-1 gene:DCAR_022155 transcript:KZM90480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCTLDITVVAASDLKDVNLFMKMAVYVEVYISSEHEESSKRKRKTHMDRSGGCYPEWNHRFKFTVERTTMFKSFINFHLKAESGLGDKVVGVVCIPLRDLLEDSIDNKTSHEIEAEYQVMTPEGKAKGSLKISFRFGENSVQQVQGISTVRKMRASVFPDIYQPRLTNRSDVVANGGYYPAPYAFYSQPGMVPVPPGYSYPGYGGGEYMYDLYPQTYYSPPLGGYSYPAGLSGQPVAYAYMPVQHPNPVQEHSFQKNIRSGPRSITGMGLRADMWGGFFVGDSVSEAGNLALKEVAVADSEEINFGEIAGSNISFQNDVKANIENLENQLTQLASSLAKLKAKEEKALDGETSTTSN >KZM90684 pep chromosome:ASM162521v1:6:18046646:18048382:-1 gene:DCAR_021951 transcript:KZM90684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVQSLITNALPFALMITAECMEVTFLSLGKAAMNNGISSFVFVTYYNTLATFIFLPFFLLHVCRGNQLPLTFSLLCRFFLLGLIGICLLQICGYVGLDYASATLGVAMGNLIPAITFLLAIFLRMEKLDLRKLSSISKVLGTAIAISGAFLIIFYKGQAIYTIASSLDSAQQLLSEHSNWVVGGGFITITCFSSAIWNILQAATIKVYCDATTINFFFCFFGSIQCIAVSLYMERDTGSWVLNPGVETIAVVFAAVNCVTRASIITWCLQRKGPVYVSMFKPVSTAIAMVTGIIFLGDTLYSGRXSSLVILFIVAATIVAGFYALIWGQNQEKKTVLVDFACEAESSTQTAPLLQ >KZM92255 pep chromosome:ASM162521v1:6:31857617:31861535:1 gene:DCAR_020380 transcript:KZM92255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMSFRVLETQQLEVGFYKTTCFSAESIVRQEVEKAFFLNRGIAAGLIRLHFHDCFVRTRGMGWSVPAGRRDGRVSLAAETVDLPPPTFNVDQATQLFMRKGLSQEEMIILLGAHSVGRSHCTSFSDRLYNFSQTSSQDPSLDPRFARFLKALCPQDRQGNINPNLVVPMNGSPNLQDNSYYADILKHRVVFTSDETLNSNSETLSLVNEYASNNTDWLVNFAQAMVKLSMIGVLTGTAGEIRSNCRGCDASVLIDSTSANSAEKDSPANSPSLRGFEVIDRAKTMVEASCGGVVSCADILAFAARDSILMTGGPGYDVPAGRRDGRISKALEASVGLPPPNSNVSQLTQMFASKGLTQEEMVTLSGAHTIGRSHCTSFSNRLYSYNTTTMQDPSLDMAYATQLKQQCPQGSTDVNLVVQMNPSSPNTTDVGYYSDVLANRGLHTSDQTLLTNATTANQVNQNAANPQLWKNKFTAAMVKMGQIGVLTGTAGEIRANCRVIN >KZM90386 pep chromosome:ASM162521v1:6:14837736:14839833:1 gene:DCAR_022249 transcript:KZM90386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHNEKCLPKRIILVRHGESQGNRDGTAYTVTPDYKIPLTALGLQQSKIAGEKIRRVISNDDRQVNWKVYFYVSPYERTRATLREIGRSFSRKSVIGGREEVRIREQDFGNFQESERMKAIKQTREKYGRFFYRFPEGESAADVFDRVSGFLESLWRDIDMNRLHHNPADDLNLIIVSHGLASRVFLMRWFKWTVQQFEYLINPGNAEFRVMQLGRGGDYSLAIYHSEEEMAEWGMSPEMIANQQFRARACRDTLNYKSSWYLNSFFEESSWRARVNIQQVINGPDKIVEKRPDDADSVNGANEEDLEKRPDEEVANRNEDNIENKPGEEVLENGPDEEPENRPDEKDLENKEDEEDLQNRPDEEVKNRPDENDEGNRADEEDLENKPGEEGLETERGEEPETVDLENNEDKQVLEKEPDEKVAELVNRPDEEFENGPEENFEELENRTDRELQNNIEA >KZM91340 pep chromosome:ASM162521v1:6:24296645:24298122:1 gene:DCAR_021295 transcript:KZM91340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLINCTNYLCTLVFIVSLISGLASAQLSANFYSSSCPNVQTLVRNGINQAVKNQPRQGASILRLFFHDCFVNLGGPSWTVQLGRRDARTASLSAANSQIPAPTSSLSTLLSMFSNKGLNARDMTALSGGHTIGQAQCTNFRGHIYNDTNINAAFRTTRRANCPASGGNTNLAPLDIQSPTQFGNSYYQNLVNQRGLLHSDQELFNGGSTDSQVRSYSTNNAGFLSDFTAAMIKMGNISPLTGKNGEIRKNCRRRN >KZM91168 pep chromosome:ASM162521v1:6:22727965:22729175:-1 gene:DCAR_021467 transcript:KZM91168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSESCVLRHCLQWIESPEAQAHATSFVAKFFGRAGLMSFISAVPQAQRPAIFQSLLFEAAGRTINPVNGAVGLLWTGKWHECQAAVETILRGGTLRPVSEFPSAGLNGVVSDLSNMFKHGNEVSSSRSKRRRLCESSKLSQVVDLDLSLTRGYEVSDKRRLDTVSRNSEESVTTTWFGAGEPKLLNLF >KZM91512 pep chromosome:ASM162521v1:6:25732674:25734059:1 gene:DCAR_021123 transcript:KZM91512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKSINFLTLLFVAIIFIAVIAKAEEEKSVEASEMHNTNILGRRGGYVNFDDKWGQGHGHHHGCGKKNCGQSGGYGGQHGGAQPGGGGDQSGGDSGQQGGAQPGGGGDQSGGGSDQHGGAQPGGGDQQGGDVGQSGGGGGQHGGYGGQHGGAQPGGGGDQFGGGSGQQGGAQQGGGGDQSGGATDQHGGAQPGDGGQQGGYGGQGGAQPGGGGGQQGGGSGQQGGDAGQSSGGGGQQGGYGGQGGAQPGGCGGKPGGGCDQSGGGNGQQGGAQTGSGGQQGGDVGQSGGSGGQHGDQSGGAQPGGDVGQHGGSGDQQGGQPGEGAQSGGDVGQSGGSGGQQGGYGGQPSEGCGQHGGHPNGSNCALPGGDVGQQGGSGGQQHTGGDQSSGNVGKPHDDTSHQGGYGGQPKSGGKGGY >KZM90751 pep chromosome:ASM162521v1:6:18855985:18859839:1 gene:DCAR_021884 transcript:KZM90751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKCILLMYYKNSGGHNYRRQFRNILFDTGHLSITNRAITIFHTIERFAIINFFWQHGVDVNASDHTGQTALHWSAVQGAVQVAEILLQEGASVGAADMYGYQQEVFLANFRHGMDVLDREKWRYISDCEMDELLEGAVEDSFVGSLGPERSLFANELPLLKSLLARCHVSAAIYFYV >KZM90946 pep chromosome:ASM162521v1:6:20736669:20737427:1 gene:DCAR_021689 transcript:KZM90946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNLNDNVVCPSFNSYSSDKLAEIASKVTDEFNRITLVHDEDEFEFSLELSADQINNQGPIFPIFNRQLYDQNNEEKASANLLPLKNLFLEEAEASRLSSSSSSEADELESIPPGTYCVWRPKDDVASSPASCKKSKSTGSASKRFRIRDLIRRSNSEGKSSSSSSSFVFLTPKKEKLVDEKLRLESGKVAGKMRAPASPSSSAHETFYMQNRAMKEGEKRKSYLPYRKDLVGFFANVNGLGKAAKPFPPY >KZM90377 pep chromosome:ASM162521v1:6:14776250:14777161:1 gene:DCAR_022258 transcript:KZM90377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESMEEGLINKFMSKDDIKINDVASSSVTPLLLFSAGLSGLAAFSNGCAFGYTSAAEAGIMEDLNLSIAQDRVVECRKQGDRLKPYTIKKTRSCES >KZM90528 pep chromosome:ASM162521v1:6:16582784:16584649:1 gene:DCAR_022107 transcript:KZM90528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASPSAFCAVLYLLLIGSPSYARPQPAEYWRTVMKDEAMPEAIQGLMEVSESGPPLISHNNLKPDCHTSTATGTAFNNRKSRLSARDIEPRPNISAYHDDEKLKKGNAHVDDIEPRPNISSYRGDKKLRDNESFDKDIEPRPNISAYHDDEKLKKGNSYRDDIEPRPNISSYHDDEKLRNDESFDKDIEPRPNISAYHDDEKLKKGNSYMDDIEPRPNISSYHDDEKLRNNESFDKDIEPRPNISSYHDDEKLQKSDSFSKDIEPRPNISSYHDEEKLRNDESFDKNIEPRPNISAYHDGEKLTENESFDKDIEPRPNISSYHDDEKLKKSDSFSKDIEPRPNISSYHDDEKLRDTESFDKDIEARPNISSYHDDEKLKKNDSFSKDIEPRPNISAYQGDKKLTENESFIRDIEPRPNISSYHDDEKLKKTDAFTKDIEPRPNISAYNDNEALKEDKSYDDDLKPRPNISIYQE >KZM91172 pep chromosome:ASM162521v1:6:22774054:22778802:1 gene:DCAR_021463 transcript:KZM91172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRMTKSVGADSRRASTDSSELQSERDSSGKGKRLWKKVKYQLVEYHSLPAYLKDNEFILAHYRSEWPIKHIFMSIFTIHNETLNVWTHLIGFFLFLVLTIYTAMEVPKVVDSNTLQHLHDVLRKADMHKLREDLLTCLPSFPTLPDLHNFRDALKNSLPLTDLLTSLSNWHIVELLTNCLPESFSHINHTDNCVLRNVKDDMVSMIAPQTTRQITRWPFYSFMGGAMFCLLASSVCHLFSCHSKRLSYVMLRIDYAGIAALISTSFYPIVYYSFMCYPFFCMFYMGFITILGVATVLVSLLPVFDRPEYRSVRAALFFGMGFSGVAPVLHKLILFSHQPEALHTTGYEILMGVFYGIGVLVYAMRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYCAGLVYLKWRDMEGC >KZM92658 pep chromosome:ASM162521v1:6:35167949:35173743:-1 gene:DCAR_019977 transcript:KZM92658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAALGLMRRIPPKHIDTALSALLSLLPHHSADLLSQVDQPLQVLSDIECGREFILCEYNRDADSYRSPWSNKYHPPLEDGPYPSSELRKLEIEANDVFAVYCEQYYEGGISSVYMWEDENEGFVACFLIKKDGSKSGHGRRGYLQEGAWDAIHVVEVGPDENGTAHYCLTSTVMLSLTTNNESSGSFNLSGSIRRQMNMDLAVAEGHLQNMGKMIEELEGKLRNSLDQVYFGKTKEMVCTLRPPAELLQMRFPHHRDV >KZM89959 pep chromosome:ASM162521v1:6:8565029:8566261:1 gene:DCAR_022678 transcript:KZM89959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGLVPPMDSVELGFKNFLWRVKMQWEDNGLWLGREWNHFARTSNLRIGDKCLLISTSDERKFEVAVLHRDQMEAVYKSDNGMVDNSDAESGHQQDLANEDVQGIIRGNSLLCDMFE >KZM89414 pep chromosome:ASM162521v1:6:559573:560390:-1 gene:DCAR_023223 transcript:KZM89414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQIVQSIMHAVKKVIRAGAARIVVPGQYPLGCFPVYLAAFQSNSTTDYDKHECLKALNILAAAHNKYLQHAISAMQKRKPNIKIVYADYYNAFEWLLHNAPYIGLDAESTLEACCGTGGKYNQNSTQRCGSLNVPVCADPDQHISWDGLHLTQKANRLIASWLVADFIPKIDCKIY >KZM91360 pep chromosome:ASM162521v1:6:24490435:24493602:-1 gene:DCAR_021275 transcript:KZM91360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDNGPPAGCASCRHQHKKCNESCVLAPYLTLDKNLEFQAVHKVFGVGNVAKIVKSLDVEDRGRAVESLVWEANCRQNDPVLGSYGEFMRLSEELNKYRTLYWNNIHAQGNMSMNMNANVSVAVLPANSYAGYGYPTMQSAGALRGEIGYPTMQSAGALRGEIGYPTMQSAGALRGEIGYDYPYVQGTDGFKEESNRSALSLPLQYGVQINENLKDGSNDGSVIVPQRYSTRGAVVASSDITIHELLLSSNEFTGSDHVDNSITASPQLQRIPNKDPARKGHKWQYFNIYRSKVYFKCLAFGIQMTRLFYTKSALTFDWDNSVGCSSASNNEFV >KZM89496 pep chromosome:ASM162521v1:6:1289794:1300378:1 gene:DCAR_023141 transcript:KZM89496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSIFVPDFEQVKVSSVSLPSAACQCQLLNISYCPVTEEDIPQGKSLVVVAYNPLGWNRTEIIRIPVKDTNLIVQDSMGNKVETQYVEFDNVTKNLRKFYAEAYLGRPPEEEPKYWLIFQASVPPLGWNTYFLSVSTGKGERSGYIGVTDGTQNDAIEIGPGSLKMSFSSQSGQLTRIVNSKTGVDIPIQQNYLWYGSSQGDQSSGAYIFHPDGSPPTIVSKSVPFQVKRGPLVDEVYQQFNSWISQVIRVYKDKEHAEFEFTIGPIPTDDSLGKEVITKITSNMVTDKVFYTDSNGRDFLKRVRDFREDWPLQVTQPVAGNYYPLNLGIFTMDSKTELSILVDHATGGSSIEDGEIELMFHRRMIDDDGRGVGEALDETVCVNDTCEGLAVRGKYYLSLNQLGDGAQWRRTTGQEIYSPILLAFTHENADDWKAAHMTQTTVMDPNYSLPFNVALITLQELDDGNVLLRLAHLYEVGEDAKYSALAKVELKKMIAKKTIKTIKEMSLTANQNKSDMKKMAWKVEGDKADEPKPIKGAPVDPSALIVELGPMEIQFDKYRKDSNINDEVTNKSSSDSDKSSDEDPSAPLESIEDILITVPGAILHLIDKSFSVELACGDFSVIRLMQDDHPVVVLARVAEEIQWPVTKDQATVKLDDLHYFFPVRATRECDDDDDLGDILNYGLTFASKGQEDVLENLDKVLESYCSFSRQKVKKKKKKGGEVLDVSVAKELSPSDLEVEKNKEVMEKRCQAYWTTLAPNVEDYSSIAAKVVASGSGQLVKGILWCGDVTVDRLKHGNEVLKMRMVRGGNTEISPETLKRIKRVKKVTKMTEKVAVGVLSGVLKVSGFFASSAADSKVGKKLFGILPGEMVLASLDGFNKVCDAFEVAGRNVMSTSNTVTTELVCHKYGEEAAKATNESLDAAGHAMGAAWTVFKIRKAINPKSVIRPSNLAKYSDPNFKDKKKDKKDKKKDKSHMLFFGTTN >KZM92078 pep chromosome:ASM162521v1:6:30359419:30363526:-1 gene:DCAR_020557 transcript:KZM92078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNLVTFAIVFAIVSLILAYSATRLVLPDPVPGSENLLNASHIILTVGAVGPESLAFDPKGEGPYTGVADGRILKWQGLVNGWIDFAVTSSNRSACLRPFAPELEHVCGRPLGLRFDQKSGDLYISDAYLGLQVVGPSGGLATQLLTEVEGEPLHFTNDMDIDEEEDVIYFTDTSRNFRRRQFASAVISEDRTGRLIKYNRSSKEVTVLLQGLAFANGVALSKDRSFVLVAECTSCKIIRFWLKGPKAGLSETFAELPGYPDNIRRNPDGEFWVAVHSKRTTLAKWLISSSWAGKTLLKLPLNNKQLHYLLGGYHPHAIAIKLGENGEVLKVLEDLDGNIVKFISEVEERNGKLWMGSVLMPYIAVYDL >KZM92234 pep chromosome:ASM162521v1:6:31717503:31722804:-1 gene:DCAR_020401 transcript:KZM92234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMETPVENSALTENVELPSVITSDVVDQNHDNTVSSPPNDREMEFKHKIAEEEAKSMLEVIAATGKFWHDWDELKSMLSLYLKQVLSEYPEAKMTSEQQISSLGETYVELVKRLDNDLHSFVDGPPFTLQRLSENLSVTSTLTISTDPYPPPMIQSVNEPDKGTEEPQQLQTTTEQNGVEPMVVDRDETAMSEITASENEISNNMMLDMSAFEALVGRTVEPVSRPPDES >KZM90556 pep chromosome:ASM162521v1:6:16854843:16859468:1 gene:DCAR_022079 transcript:KZM90556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSFALQYSGPPVTYDIPRVVPVDVGHIPTAAEVSTSSSLSNLSLPVVQPIVKKRKDHLSMRLPNERKLDLSAAANSPISVIDAGGFDDGREARLSSGQGTSFRVVNVIDDSGESGYSDSYEFITRRVLNRNASSRSLGVSESHGNSHELSENMDVEGVSDGPVGGLSSEFDKPGLRSPILSSEITSCEEEESVHEARSPRHRIPIVKFRDPELSDIVYGENDHVESPILFKQEGKKPCQIISAQLSVGDQIMRNASNGNTNILVNNREITRSELWMLEFAGINCEGKPHFWMSADGSFQEEGQKNVMKQKLFKPRIKLLCAILSLPVPSEAAHSGIQEDNKITSKLASGNLEQRKPCKFLMVGSEKSGTSTLFKQAVEITRADYEPSDIDILHAEGITLSNGIASVEFSFPKSVQDSYMDITDHDDPLKRLYVSQVSGLEADSVDEALKYAREILNWDFEKPTYNINEWSSGSVEISSSS >KZM91074 pep chromosome:ASM162521v1:6:21832797:21838079:1 gene:DCAR_021561 transcript:KZM91074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGPAKADEPAPHPPKDQLPNVSYCITSPPPWPEAILLGFQHFIVMLGTTVIIPTALVPQMGGGNEEKAKVVQTLLFVAGINTLLQTLFGSRLPAVVGGSYTFVAPTISIILSNRWNDQDPVVKFEKIMRAIQGALIVASTLQIVLGFSGLWRNITRFLSPLSAVPLVALSGFGLYEFGFPGVAKCVEIGLPQLVILLIFSQYLSHIIRPGKNIFDRFAVLFSVVIVWIYAHLLTVGGAYNGKSPTTQISCRTDRAGLISGAPWIRVPYPFQWGAPSFDAGEAFAMMMATFVALVESTGGFIAVSRYASATPLPPSILSRGVGWQGIGILLSGLFGTVNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPTPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFVLGFSVFMGFSIAQYFNEYTAIKGFGPVHTSGRWFNDIVNVPFSSEAFVAGILAFFLDNTLHKKDSRKDRGKHWWDKFRSFKTDTRSEEFYSLPFNLNKYFPSV >KZM91631 pep chromosome:ASM162521v1:6:26686001:26689554:-1 gene:DCAR_021004 transcript:KZM91631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMKIDVAQAMKMGEKLPAPASGMEQVSVSFVHANELTYADPSAWNFQEADAVSTGLYFSSNIAFLHIFLHSLVSAQAVERATNLLRSVKCSGHGEGNKLTKNRRAAKEAAREAEDEAAKLKCPVCEAIKACGHVFCSSCIDKAMNEKGKKCPTCRARTKKKHVLKLYL >KZM91092 pep chromosome:ASM162521v1:6:22043281:22051605:1 gene:DCAR_021543 transcript:KZM91092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEASGVAEEEEEEPPAALMEGNIVGLVDAPILLLLCFHKALRVEFTELRRMAVEAAERGGFDDGLVIDIRQRFEFLRLFYKYHSAAEDEVIFMALDKLVKNVVNAYSLEHASIDDLFDSVFHCLDVLINDRHQISSTPFQELVFRIGAIQTTIFQHMLKEEEQVFPLLMQQFSSEKQAAYIWQFMCSIPVTLLEDFLPWIISILSSREQIEFIHCIENVAPKEKLLQELVHSWIGGKKQKSDSGALGVQFRKGLGNYKDIHKMYTSEVNFAENQQLAEVFALQTGGKNPVDGIHLWHNAITKDLQVILLELYQMRSFNSFSDLASLVVQLNFMADTLIFYSNALNKIFYPVWNELPKDFTLPEYAQFLDERKIEGLQNLLSYKAHHSIPLKNYVEKLCGELELFLSWIDEHLTLLHKQVFPFIGMNCSHSMQQWLLYTSLKMMPLGLAKCMITWFAAHLSEEESKSILSMNLEDSVANKPLAAILCEWVRTSYSGKISTEKFKKDLQKFFNSRCSFLSEQIKEEAKLSRLQLDMQHYNRSNSLLLENNSAITSDMHTSASASESTRNYDTSYSSGMNLHVLLPQTLNIPSQISCRSSASNSAPTISCLKSTPMDHILVIHKALMKDLDYLVLASSKLSDNVAFLTDFHHRLRCVKLMYQIHSASEDDIAFPALEAKVDFKNISQSYTIDHKLEVEHFIKVSSIFDEISRFLVSLPNDGIGTPGHREPSYKQLCVKLHDMCISMHKVLSDHIHHEEIELLPLFREHFSIQEQEKIIGCMLGRIKAESLQEIIPWLMSSLETEDQQSMMSLWRKVTENTKFDEWLGEWWEEGKVLNMAKVAEKSSSLPWLTIDELDIVSKYLVKGRLAEKHASHCKISIENSQNKIGLSGNLVVDNKDEILNEHQHKEKPLKCADITCEVGNNRDDEKTDFSGLADEKGKVLPIYEKFGHEKDLRMSQEELDAAIRCVTRDPDLNLQKKSYIIQCLLTSRWIAAQKRLQSETEPTEEKVPGQHPSYQDPQKQTYGCRHYKRNCKLVSSCCDTLYTCRKCHDEVADHSMDRKRTTKMMCMRCLIIQTIGPTCSTPSCNKLSMASYYCKICKLFDDERQIFHCPYCNLCRLGKGLGIDFFHCMVCNACMHPSLTVHTCRAKCFEDFCPICHEFIFTSSAPIKSLRCGHLMHSACFRAYTCSHYICPICSKSLGDMKMYFEMLDACLADEKIQEEHAGQTQAILCNDCEKRGSASFHWFYHKCPHCGSYNTRLL >KZM90054 pep chromosome:ASM162521v1:6:10084890:10085286:1 gene:DCAR_022581 transcript:KZM90054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWSKREFFPIILIIRRSVHDKGTISLSNPHERYIRDISSIEFMPSRDYFSPRHQNHIAGQLTPLMRFMLGGSAKLQR >KZM92006 pep chromosome:ASM162521v1:6:29758357:29760666:-1 gene:DCAR_020629 transcript:KZM92006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRLIASDFMMCFMWPWSSILIKIFVHKPLGLGFETKDEIIKCAISVINMFFFAWLGMLTKGGAYNPLMVLVPALSGDSSTFLYTVGARIPAQIIGSITGVSYIIRTFPELGHRSPLNVDIHQGALTEGFLAFVIVLLSLSLAAKIPGSFFRKTWISSISKIALHIVFSDLTGGYINPASVIGWDYASGTHLSKEHLLVYWIAPIGGTLLAVRVFRVVVRPLLRDNAKANVKKSD >KZM89623 pep chromosome:ASM162521v1:6:2629225:2634139:-1 gene:DCAR_023014 transcript:KZM89623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKWTLLFFLCFIFLLQDQARKLNVNAEAESEELVDPPKIEDKLGAVPHGLSTDSDVAKRESESMSRKNLRSNAQKFEFQAEVSRLMDILINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEILGEGDDATLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAGEYAEESKLKELVKKYSEFINFPIRLWASKEVDVEVPADEDDSSDEEEKPEETSTEEEGDDDDSEKGEDEDEKKSKTKTVKETTYEWELLNDVKAIWLRSPKEVTDEEYAKFYKSLAKDFSDEKPLAWSHFNAEGDVEFKSVLFVPPKAPQDLYESYYNANKSNLKLYVRRVFISDEFDELLPKYLSFLMGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKIADEDPDESNDTEKKDIEESDDNNEKKGQYTKFWNEFGKSIKLGIIEDAANRNRLAKLLRFESTKSEGKLTSLDQYIKRMKPGQKDIFYITGTSKEQLEKSPFLERLIKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKGKELKESFKDLTKWWKGILASENVDDVKISNRLSDSPCVVVTSKYGWSSNMERIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELQERVVKDPEDANVKQTAELMYQTALMESGFVLSDPKDFASRIYGQVKNSLNISPDAATEEEEDVEVEAEAEAESKTSEADSSKEEDLDVKDEL >KZM92814 pep chromosome:ASM162521v1:6:36491516:36495814:-1 gene:DCAR_019821 transcript:KZM92814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEKRSSQSSSVNKSYLEVSGICCSSEIPLIERILKPLEGVLEVTVIVPSRTVIVLHHSLLINQLQMVNALNKAGLGASVKGRGGAGDHVNNNHNKKKKKNRWPSPFVIASGFLVLSALILQHVYHPLRYLGLLAVALAIFPIFLKAVAALRNFNLSNINILVLITVAGSIVLKDYVEAGTIVFLFTFAQWLESKASHKATSAMSSLANIVPQTALIAETGERLNADQVQLNTILSIKPGEVIPIDGVVVQGNCDVDEKTLTGESFPVSKQTGSTVWAGTINLNGYLSVNTTALAQDCVVARMANLVEEAQKNKSKTQRIVDKCTKYYTPLIIAVALCLAIIPSAIRAHNLSEWYRLALVVLVSACPCALVLSTPVAAFCALSNAAKSGLLVKGAEHLETLAKVKIMAFDKTGTITTGEFVVADFRPLVQDDHMTLHKLLYWVASIESKSSHPMAEALVKYAKSYTVEPKPDDVEEFYNFPGEGICGKIDGKDIYVGNKKIAVRAGCATLPIIEDDEMEGKSIGYIFSGSVPAGIFSLSDVCRTGVKEAIQELKSMGIKTAMLTGDHQAAAKHAQNQIGGALELVHAELLPEDKARIIKDFQRESATAMIGDGLNDAAALATADIGISMGISGSALAMETGNIILMSNDIRKIPQAVRLARKTKQKILENIFLSIVTKTAIVVLAIMGHPLVWAAVLADAGTCLLVICNSMLLLAHEHNHGKRIFSRAAHSHKHCCSGTQTVKKHKQKRCSFRTCCVQSHSKRTGLAKGQGCCEVDDDEAKGVQHNIAISNSKSHCHQRCCSANKVETKCISHFHSPKHPCESVSPKSCGEIEPLRKSTETAGCCEYDDNKLEKVKHTKHANHGGCEESDKFKEAKHVNHHGICDIVHSHEEEEEGEEEGVDLVAKGHGCCSTSCQSEYEPKSCSPIYDTSHCHHEKSSSIISHERLEEDADDRSLSCPGAEQQAAILRANKHCHMKSCENEHNISEYQVRNMVKSCGHTDWASSPTPSSAVYSNIQVRHVRGCCKSLSKECCGKAPVFGGGLSEIVIE >KZM91513 pep chromosome:ASM162521v1:6:25739956:25740717:1 gene:DCAR_021122 transcript:KZM91513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAIDPATLDLARAQRIVQGLLGRFRGSRNPSRHRGRPPVTPVEPEPGTYYTHVASGSSDTGGWSHLVGTSSSPVGDVAGTSRADGWDSWPASTVGPSTYAGDDYEGGPRGFTVRLEDDQDMSAERQSQESYQFQDADAYRPDMSFLRDQYTTPPPQVPVPSFASQSYIFGAPAFPFAPPPERSTPTPIQMSTFASYTGESSPWAPPSTAVPGHSEAEEQPEDEHRQQPPRAAKGKGRRCHTGSHIFGHKKK >KZM89760 pep chromosome:ASM162521v1:6:4392556:4394527:-1 gene:DCAR_022877 transcript:KZM89760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDERISEWSSQFATKKDADQVTVSKLVEMIKKQGVTQNFKLNFLLVLSNVLIGTSTYTYVDKQLLRLNGDLDQCYKFNWAEYLLNSLVSATKLWNQTASTFFAGSLPFLVWKQQDNDYTDPCEDNSHEHVGSQEWSPCTKSTNQYQQNQDAIPDINMEDVQDTQTQGCEQGNNDTLNQADGTGDQDDRAWQTWTPWEKSKHFQFKTIRTNDVPEVHMEDVEQEYERDTSKRNNQTGDWLQNLQDSAQDLIELKMLFDADLKSALAKDPENPEIHMIQNLVNDVFGNNQAAFPQQNTHQNSTQQDPKKPIPSEIDDDFELNSQDIEQLDLIEFLHSAKKDIKVNHLFVTDEIEDVIPNFSLGIDEDIYGNNKQAVNLGSDEQLDVSKYDDHVFTPKPAMREKSQRASKLSRYGKSPYVDRVVNINSKLTNQEFGL >KZM91061 pep chromosome:ASM162521v1:6:21751156:21753313:-1 gene:DCAR_021574 transcript:KZM91061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFARASSQQTSLSVIASILSFLLLAFFLTHAVSDSSHQLRSNQTFRAQQELLRIKRSPDGDIFDCVPSHLQPAFDHPQLRGQKPLEPPERPRGHESIDVTTESIQLWTNTTESCPVGTIPIRRTTEEDVLRANSIKKFGRKIKRRDTMSDEHEHAVAFVNGDQYYGAKANINVWSPQVSDQNEFSLSQVWIISGSFRNDLNTIEAGWQVNPELYGDSCPRFFTYWTNDAYKTTGCYNLLCSGFVQTNNKIAIGAAISPMSSYQKRQFDIGIMIWKDPKHGNWWLQFGTGLIIGYWPSFLFTHLRSHASMVQFGGEIVNSKSGFHTSTQMGSGRFADEGFRKASYFRNMQVVDWDNNLLPLTNLHLLADHPNCYDIKAGKNYLWGNYMYYGGPGRNQRCS >KZM92307 pep chromosome:ASM162521v1:6:32308347:32313110:1 gene:DCAR_020328 transcript:KZM92307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEKFDSIEALTTTRFDWKCRLRLQYVVGEIRNVRANIKSTKTDSEKVLTKFDLCDERHTLAVTLFDDFGTQFEQTLRCCKDQQVFVIICAAKIGLYEGLPNLTNYSATRIYINPGHYSVRQLRERIAAMPRAKAGSPPVEEMNFPMLTVKQIQSLPPESSECKVNCKVRVTKVEENANWYYAICTKCPAEIVKENGVFNCINCKRIIPYPDKRFRVCTLCSDSTGTIAIIFLDEDVSRILEKTVFDVEVEMFEAKTEGQFPQQLKELQNEVYDITLNITADNLKKGSKVYEAFQILDKVESGGNFDPSGGKHSEMADAISVDLGDDNMNTPNTGISSTKTRPRVHIEPLPFDPKGASPAKAIKKENPEKTGFHATNLKIKVRVIRLWRGTTKKGEEFTSFNILLLDCKNSTIHAFIPAVCAYDLERQIMVGTVNIISDFIVQAYKDTDGFRSVRAANQLIFTKETKIQQVDEHGEAQEQAKFAITDGSSLWKVTFWDKFARLFVKAIWEKMETPVIIIIAGCRVQNFNNEVILTNVAGTKFYLNYDHHSVKHLRRMLKDPDFAKKVAANNISTKAELLTVQQIQSLDKEFIQQGPSNHFPHCFSLLAQKPYTIKLEINEININSKCTLYWATNICHGFKLECTEDKVEQTVTTNDTEATTSTVDLQGLSGLNCNSSAITKD >KZM90412 pep chromosome:ASM162521v1:6:15723002:15723362:1 gene:DCAR_022223 transcript:KZM90412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM90849 pep chromosome:ASM162521v1:6:19791964:19794509:1 gene:DCAR_021786 transcript:KZM90849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFVREPISRNYLNLKLLLFNALHSHADRQTLVLRRRSTRPEQQQLLHGSSLPLSSLFLSAYCKIYSCLLSHPAPLNLVQDTKLLNSRALFDFNLGFKGSFGVSSFVGLRKDLIFRPRGFCSVGGYDDCSDLEDEGDDVGEGESGSVKSEADLREVERVCKVIEELSAWNRKMVSGLNECGINLSHDFVVDVLDRFKHARKPAFRFFCWAGNQEGYVHDSRTYNVMMRILGKARQFETMVSLLEEMGKKGVLNIETFQIAIQTFGASQERRKAVAMFELMKKYKFKVDVDTINSFLDALGRAKLGKEAQVLFGELEERFTPNLQTYTVLLNGWCKVKNIMEAGRVWNEMTDKGFKHDVVAYNTMLRGFLDDKKLPDAIKLFEVMKTKGPTPNVQSYSIMIRYLCKQKLIKRAVGYFEEMASYGCEPDAAVYTCLITRFGNMKKMDKVFGLLKQMKERGCPPDAQMYNALIKLMTRCKMQKNALRIYKEMLQNGIQPTIDTYNILIKSFFQTKRFGMGFAAWEDMKRRGCCPNYNSYNLLIRELMKQGRSIDACKYLEEMIEKGMEAPQIDYDKFKSDCSRAGKPHILEELAQKMKLSGWHGNKEKMGRVEER >KZM92662 pep chromosome:ASM162521v1:6:35212415:35212708:-1 gene:DCAR_019973 transcript:KZM92662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKKGCIAVQVGLEEELEASRFVIPISYLYNPLFRQLLDRAHEVYGYHVSGPLKLPCSVDEFVHLRWQIEKESGSFRRLRHHNHHYLSNSLSFRSC >KZM91326 pep chromosome:ASM162521v1:6:24169122:24170446:1 gene:DCAR_021309 transcript:KZM91326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKSKQASLLIFTLITGLLSQSLVIPVMSAASFQDKKCCNPSGDPHSGSHHSPSHGSGGSHGHKSSSHGHGSSPPSDCGTPPSGGHYDPAPPTDTPTTPSTPTYGSPPTSPTIDPGTPSTPTYGSPPTSPSVDPGTPATPTYGTPPATPTDPGTPAVPGVSSPPFSFNPNSPPFPCTYWLNHPTLIWGLIGFYGATLGGAFGLTSNGSPAAAASPVGLQQALSNTHIDGIGALYREGTAALLNSLAITRFPFTTQQVRDRFVSALGSNKAASAQARVFKMANEGRLKPRT >KZM90913 pep chromosome:ASM162521v1:6:20369251:20370630:1 gene:DCAR_021722 transcript:KZM90913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTILCDELIQEIFRRLPPPSSPTISLVSKRWLRLLRSSKSSLSLFLSPPFSTPSLSAFLSHHPCLSSLSLSSTNTHAFPDQLIACVSISCPGIQVFRVLGPPLSVSSLLSLSASCSRIRTLTVSLSRPVSFSWVVNFKALNNLSVILSGRDLGEVEFGDEIDCETGFALESLSLSGLRAGDYGLNWLWRNCKKLRILQFKSCESVGDNALFAGFVKDLKGLQEVELRTCRSIVDVVLFNLAEYCASLTSLLIYDGGSRLGLLHFIRQCKCSLRNIDLRLPLDLDNRHLLGIAENFRGLLNFRLQSCCLVTGEGLKRFGVALSNVLEELVLINCDVVERESGLLSTLGQSLRHLKKLDLSYNDMLLDKELVAILVSCTYVRELKLNGCSGLTSAATVSMSKNCKLLESIDIRNCCGIQEEAVQLLVMNSPRLRRLYVEEYKLSGVVRMLALNKVIEFID >KZM90482 pep chromosome:ASM162521v1:6:16155632:16160318:1 gene:DCAR_022153 transcript:KZM90482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKDDVDTDIKDLGEKIVAFDESSDGNDSLDVKCNDGDEAGVSELKKVSKDKILGENEGLIDWVGGDEKVKKGKEISGRNDESGRVEVSDSGVRKGEKGKERRMKRVEKVEKYEGFEIGDLVWGKVKSHPWWPGQIYNEKLASPEVCRLKTAGYVLVAFFGDCSYGWFDPAELVRFDFGYAEKSRQVSSRTFIRAVEEAVDEASRRRSLGLACRCRNLYNFHPTAVEGYVSVDVGEYESGSVYSVDQIRRSRDEFKPTEVLGFVNQLALSPTEVKHEAIEFVKDKATALAYRKAVYAEFDETYAQAFGLEPEHPSRAHMLALNQLPKAANPVPLSGPLVIAEVLGKGKGSSKSNKSRERAKKDQYLFKRRDETNDSKTNVPVLPGEAASSEQPVFVDGSLAISANECPSQKKSAKVSKKHKDSLKQQGAESSVRGAISDLRQEAGGKGDIRDDKPSGLEFGHSDSHMNSVNSSNNKPVGEAPSHSCQAYEAKSSQNEGTVVGLRSQESSRSDSVVDGKHDKAPLMTIASVGSPELSSSQAAKTEVHGEQAHDGGVGHPLGTGNAKSNKDGLDINDGIQAKKVKVRKRPADKVNSENSVPLMKKKRKKETLNSENLKNNQSCGEVEASVGSIAKSPAQVATASREELHVELQGNGSKITVETILAQKMSLAEKIELESPELLHSLKAFARSYKGGSNSCMEAVRQAFLRYRSLVFEKSLVLLPADSGLAETHISEPPTGTKTVDIPAEDVKERQLIKPHRQVARPEDPSKGGLKRGPSDRQEEIAAKKKKKINDAKELKTMKASQKTVVMQQGKEVSGQKMKSIKPAPLKEARGPTMKSTKPKALSEASVPTMRSLKPAPLKKTEPSAKAPGPLMLVMKFPPQGTLPSMMELKARFARFGQLDHSATRIFWKSSTCRLVYRRRVDAEAACRFASTHNLFGNADVRYFTREVEVAASVAEQGKVHKDDSSVGNSQLTDSAVEQRPARSLPQRTLQQPGQPKSILKKSNGDETSGTNGGGKGTRVRFILGEEETDRGGEQSMIGNKNINNNASFVDGGASSSTNHGLGFNSKNIVIPTSSLPILPVPTAVDILRPPNFLNHTELAPRNGHNINSVNAPSTIPTPPNVDISQQMMGLLNKCSDVVSKVTDYLGYVPMHPL >KZM89998 pep chromosome:ASM162521v1:6:9364135:9367684:1 gene:DCAR_022637 transcript:KZM89998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNNHNNKHSEEQDEEENDTEQSILIRSSNSSPSHRRSAFHITNPISNYAARGCVNKRYLFAILLPFVLLVVYFTTDLKSLFVRSSLSASNYEDVSRMREAELTAMSLLQNQQLRLIKLLNDTSFVNHSAYLNSALLSQISLNKQIQHALLSSHQLGNVVGDGNDGNETDSRYFGACRKVDVRFAERRSIEWKPRADKYLFAICVSGQMSNHLICLEKHMFFAAVLDRVLVIPSLKVDYDFSHVLDVEHINKCLGRKVVVTFDEFAEAKKNHLHIDKFICYVSLPTPCFVDDDHLKKLKGLGVSFNKLDTPWDENVKKPTVRTIHDVTAKFSSNDDVLAIGDVFFADVEREWVMQPGGPIAHKCKTLIEPSRLIMLTAQRFIQTFLGEGFTALHFRRHGFLKFCNAKKPSCFFPVPQAADCITRVVERANTPVIYLSTDAAESETSLLQSLVILNGKAVPLIKRPARNSAEKWDALLYRHGLQDDSQVEAMLDKTICALSSVFIGSSGSTFTEDILRLRKDWGSASECDEYLCEGEQPNFIAEDE >KZM90812 pep chromosome:ASM162521v1:6:19481191:19481433:1 gene:DCAR_021823 transcript:KZM90812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKITKKLNDLHNEEEIEAALKLIQLRSLKNDIIISCSSSGKSNLGHNDAEYVKEADGPKKKAKKLRSIVDLYNVTKPV >KZM90735 pep chromosome:ASM162521v1:6:18721540:18722685:-1 gene:DCAR_021900 transcript:KZM90735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVSCLRFLRNDFEFGFLVLRCFRQLVWGFVLYVCLGLGFKVLEVGWQCWGFTQIFCVLGGVWSELRTGFASKTDFDAKNNVKFRSCELEDRFLSEKVDEKKECVDESDGEHGGTLKRDSEFSSCKCGFLEDRFVIEKLGGDKECIAESDDELGCTSKIDADVKSNLKFSSCKCGFLDNQSMIKKTDDDKECMDESDDELECFWSMTEKADDDEECMDESDDELECYDVDKECDVVKLRKLVKIERDRVNAAYVELEEERMAAATAAGETMAMILRLQNEKSVIEMEAHQFRRLAEEKQLHDQEVIESLRWIAMSCQSAVTQLEDQVRSLTQKVGMHLKDDEAEQLEVIDKSFSFNKYNFEDDALEDRLVSSLDLTLSSW >KZM90459 pep chromosome:ASM162521v1:6:15993433:15997622:-1 gene:DCAR_022176 transcript:KZM90459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKFSSEEVSGQNQVKASVQRKIRQSITDEYPGLEPVMDDLLPKKSPLIVVKWYYLLFQICHVNLPNHLNLVVVNNVPLFFNVRDGPYMPTLRLLHQYPEIMKKLQVDRGAIRFVLAGANIMCPGLTSPGGYLDEEVGAETPVAIMAEGKQHALAIGFTKMSAKDIKAVNKGIGVDNMHYLNDGLWKMEKLD >KZM91362 pep chromosome:ASM162521v1:6:24504579:24506064:-1 gene:DCAR_021273 transcript:KZM91362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGIVAHKFWKHLNNVDAASNHLSLPMEFCVKYGHLLPSTVDLKLRNGFVVPVELDRSKGVLNGVLCFFKYLKLKGDEWLLFEYSGRYYLNVYIIGSNCSEMNYPDVIDPFKECIPQLVTIGNGGWRFIWSISKTGNVSDAINPPSQFLDRCGRYLPERVTYLLSNGMKKNGAFCHESRKFSGLVAMFDMAGDRIMDSVHMLMFAFYGGSLIKVSAFDENLYEIFLPGTPLTVDTGCWMLDIRKRDDYYCSTIVDGWQIMRDCLKLAVGDRLVFECPKDSPDQFSLKVLKNDV >KZM90326 pep chromosome:ASM162521v1:6:14223632:14224924:1 gene:DCAR_022309 transcript:KZM90326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKQNTEKEKKIIEYEQRNLVNEHQYSILELPTILIYLIISKLPLMTIFSCKCVCKAFQKLVEDPYFAETHLNEAPVTSTTVIVKENLFYFPYFRPYILELDDIPKNSSCSSDHQFSNQYTISRRSHGVAPIDVKCWFLTRNTALIGSCNGLLCLYTLSVRKPTYCVCNPVTGECMTLPHPASCSTDYYLNYSGFGFCLKTEQYKVIRFMRSAPPLRTVALVHTLGTRSWRNIGEAPQPMSRGSFNCVVDGKLHFITASNEISETVYSFDLETEKFEPVPMPSHFSPEYVSKISWISVGVISGSLCLCYMFSDAYFVVLAMQEYGIRESWIKKFAIDIKFHCGWRAVDFQRPIKFLNNGELLFLSVSNSLVSYNPRNRAFSDIKSLGYGRAEAIAHVPSFVSLKTLVFKGGIDQENIKLEHQMLGQNIS >KZM90294 pep chromosome:ASM162521v1:6:13937289:13942149:-1 gene:DCAR_022341 transcript:KZM90294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAWEATVRKSQAVARKRAKSIFATMTVAHADDTEVNSPVDGGDIEKSFSSGDVYTGQWQNNCPHGQGSFVWADGSLYIGEWVKGQMTGRGKYTWVGGASYEGQFKDGFFDGEGIYSGSSNDIYIGKWNMNVKHGTGTRHYANGDSYDGDWRRGVQEGNGRYAWHFGNQYTGEWKNGEMSGNGTMTWKNGNVYEGCWEAGLPNGSGIFRWEDGSFYEGVWNSDSKEQNGTYHPATEDAEDFDWEPQDVMIELVDCRVCEGESIPIYPSQKMLSWPTGQDGKSFKGRDGKTRSRRKSIDSRLNSGSETSWGSETDLTSEISNRNSVDGKEINEGLGNLQIDDWDESSGIPKNIRIRPPRRQGQTISKGHKNYELMLNLQLGIRFPPEGSKNTPPHQSCDFKWKDYCPLVFRTLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTNDDKYMIKTIKKAEVKVLKRMLPAYYSHVRACENTLVTTFFGLHCVKLTGSAQRKVRFVIMGNLCCTETPIHRRYDLKGSSLGRITDKPESEIEATTTLKDLDLSFIFRLRNLWFEEFCRQVEKDCDFLEQERIMDYSLLVGIHFPGNPSREGNQESDKVGTSPLSTPGMDRSSSGETPIKLGVHMPARVEQTVRSFETQLIGDPTGECYNVILYFGIIDILQDYDISKKLEHAYKSFQYDATSISAVDPKQYSKRFRDFILQVFVDDN >KZM91918 pep chromosome:ASM162521v1:6:28933681:28936164:1 gene:DCAR_020717 transcript:KZM91918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQENLCKQIRVNDQARNEDVTGVIEKMMNKRTKSLVIIGEEVATVEGVVKGVMDKVNQGDVPDALKEVKFISLPLSSFKRISRGEVEQKLEDLIRCMKTFVDKGVVLYLGDLQWITDYRASDFGGRNYYCSVEHMIIELGRLAHGLGESGKFWLMGIGSFQTYMKCKSGHPSLEGVWGLCPLTVPAAGLGLSLITDSYVKDKERGSKNDGNRSSLVLIEDSEEPQLTCCADCSANFETEAQSSRNTSSQGESTSSTLPSWLRNNGSDDQENVWIKDLCKKWNSFCRSSHTQSQSYEKTTAYSSSIISPSSSASFFYSDQQNPNFHPCSRNTRMYIPENGRLSNPSSALNSNSSSDNMEMEYISKFKEFNAENLKTLCRALEEKVPLQKDVIAEIAGTILQCRSGMLRRKEKGRCFTKAAPKEETWLFIQGMDKDAKEKFARELAKLVFGPSHSNFKSISLSNFSSTRADSIEDFRNKRLRDEQSCSLFERLTEAMSVNPHRVFFIEDVEQADHRSQMGIKRAIQSGKIRNADGEDVSLGDSIIILSCESFSSRSRACSPSTKQKSGRTEEEKSVSKSEETSPCTSLDLNVSFEGDDSADMLIDDVGDLVESVDRYIIFKMI >KZM92295 pep chromosome:ASM162521v1:6:32220327:32224801:1 gene:DCAR_020340 transcript:KZM92295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSVLEHEPLQYPHARRDESVLDNYHGVMVPDPYRWLEDPESEEVKEFVQKQVNLTETVLKKCETREKLRDSLTKLFDYPRYDVPFRRGDKYFYFHNSGLQPQRVLYMQDSLDGEPEVLLDPNGLSKDGTVSLNRCAVSQDAKYLAYGLSSSGSDWMNIKVMSIKDRIPEPDTLSWVKVSGISWTHDSKGFFYSRYPPPNEGKEFAAASETSAGTETNANLDHQLYYHFLGTDQSEDILCWRDPDNPKHTCMASVTEDGKYVLLYIYENCDPVNKFYYCNLFNLPNGLQGQKGRDLLPFVKLVDKFEASYQYIANDDTEFTFLTNKDAQKYRLIRLDLEEPSIVIEVLQETENDVLESVDAVNDNQMIVTYLSDVKHVLQLRDLRTGSLLHHLPIDIGTVYNVSARRKDNSLFIGFTSFLTPGVVYHCNLESGTPDLRIFREILVSKFDRTEFQVNQVFIPSKDGILIPMFIVGGKNILLDGSNPCLLYGYGGFSVSLTPSFNISRIALMKHLGIVYCLANIRGGGEYGEEWHKAGQLGNKQTCFNDFISAAEYLVSAGYTQPKKLCIEGGSNGGILIGACINQRPDLFGCALAHVGVMDMLRFHKFTVGHTWTSEFGCSEKEEEFHWLIKYSPLHNVSRPWERSCHKASQYPPTMLLTADHDDRVVPLHTLKLLATMQYVLCTSTSANLQNNPIIGRIDCKAGHGCGRPTQKVIDETADRYSFMAKMLGAAWVD >KZM89492 pep chromosome:ASM162521v1:6:1241229:1243243:1 gene:DCAR_023145 transcript:KZM89492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGRTKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCSREDKRTIAPEHVLKALEVLGFGEYIEDVYSAYEQHKVETMDTVRGGKWTTGAEMTEEEALAEQQRMFAEARARMNGTAVTPKQPEPEPSMDS >KZM92220 pep chromosome:ASM162521v1:6:31619964:31620745:1 gene:DCAR_020415 transcript:KZM92220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTLSGELEVNAPASALWEVYGSLQLAAIVEKGFTDVLEKIEVVEGDGSVGTVLDIVYRPGVAPFPSYKEKFITIDNEKRIKETLAVEGGYLEMGFDRFFVRLEIIEKDEKSSITRATIEYELKEESAANVSMVSIDALMGIMSIANAHILAKTN >KZM92544 pep chromosome:ASM162521v1:6:34220816:34226029:1 gene:DCAR_020091 transcript:KZM92544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNPETLQIHRIPQSTYIDAVRWLPPLSVFERFILLAVSDSNSDASLLEIRALNRSNPSILTPLSSLQLASRTSSLKVCQTPHKPLIAASSFSGSVRFLFVDNVEVGFDGSEHIVPEKSLHVGPISCIDLGGNELECVSVGEDGRVGLVSVGEGELSVRKVFDSAGLVSYSAVKWASPTEFVTGGLGFSVQWWDLRKPGAAVSQFKGNWDQGASSGIVHSIDIHPSRKHTCLAGGSSGTVFTWDIRWPQQPIVLSGAGQDAASARSLSASEVWEVQYDTFTRSSNHRISSTRVLPVMMCSEDGILAVTEEGEEPIELLVEPCAINCFDIDRQNPSDVICSMEWESVAILSRA >KZM89722 pep chromosome:ASM162521v1:6:3808317:3810304:-1 gene:DCAR_022915 transcript:KZM89722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLLKSSTILDKSEWVKGQTLRQPSVSVVRCYPSATSAINIRASYADELVKTANTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSVPGLGNYVSGAILFEETLYQSTVDGKKIVDVLKEQNIVPGIKTDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEIMLDGEHGIERTFEVAQAVWAEVFFYLAQNNVLYEGILLKPSMVTPGAECKERATPEQVADYTLKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVAAAQEALLVRAKANSLAQLGKYTGEGESEEAKKGMFVKGYVY >KZM90675 pep chromosome:ASM162521v1:6:17970842:17972867:-1 gene:DCAR_021960 transcript:KZM90675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKSASNNLSMEVSMGGSKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWIAGPTVLFLFSFVTYYTSCLLAACYRSGDSVTGKRNYTYMEAVQANLGGFQVKICGAIQYMNLFGVAVGYTIAASISMTAVKRSNCFHDSDAKDPCEISSTPYMIAFGAMEILFSQIPDFDQISWLSIVAAIMSFTYSSIGLALGISKVAETGQFRGSLTGISIGTVTQTQKIWRSFQALGAIAFAYSYSLILIEIQNTLKSPPSESKTMKRATLLSVVVTTVFYMLCGCFGYAAFGDLAPGNLLTGFGFYNPFWLLDIANIAIVVHLVGAYQVYCQPLFAFVEKHATRIFPSSDFINKEFDIPLPGGFKPYKLNLFRLVWRTVFVILTTVISMLMPFFNDVVGILGAFGFWPLTVYFPVEMYIVQKKIPKWSSRWISLQILSGACLIISICAAAGSFAGVVTDLKVYRPFQTSY >KZM90957 pep chromosome:ASM162521v1:6:20833709:20837940:-1 gene:DCAR_021678 transcript:KZM90957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKETKVATEEPKIDLFEDDDEFEEFEIDEEWDEKEGKEATQQWEDDWDDDDVSDDFSLQLRRELESNNETIADHKVTLGKVPNASSKCGFINLVEVANVTKSDIDGSLSVLSTPLRTPSIFLGNGNVMIEAAVLARRVETKGTIEAAVLARRVETKGRFAGVLNIVKVMPWVRMRRAS >KZM91894 pep chromosome:ASM162521v1:6:28727503:28732432:-1 gene:DCAR_020741 transcript:KZM91894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRTPLFHQTTCSSLTPFFHLKYKPHPSNYLIYPRSNLSTKPIKSSQTPIDLTPKISSLDSIKPFVTSEWENILKGWLCSAVSVYALSKIVPRVGEFSSVMSRGVIGLRQEGLKIGGLFFILVVASYGQQAFLWDAALNCVYKIRVHVFDRVLERDLVFFESGNGVSSGDIAYRITAEASEVADTVYAILNTIVPSTLQLSAMATQMLVNSPLLSLISVLVIPSMTLVITYLGEKLQKISKEGNISIAALAAYLNEVLPSILFVKANNGEPSESLKFQRLACADLSERLKKKKMKALIPQIDVGKAYNELKQGEPAIERLFDLSRFKSQMTEKPDAVDLDSVRGDITFYDVSFGYKEGMPLVLDGLSLHIKAGETVALVGPSGGGKTTFVKLLLRLYDTVSGCIHIDDININNMRLGSLRRHVGLVSQDVTLFTGTVAENIGYRDIMTEIDMKRVEAAARTANADEFIDRLPQRYATNVGPRGSTLSGGQRQRLAIARALYQNSSILILDEATSALDSRSELLVREAVQRLTEDHTVLIIAHRLETVLMAERVLLLDAGKLQEIPRSSLQVDEKISLTSAGLVI >KZM91929 pep chromosome:ASM162521v1:6:29057189:29066745:-1 gene:DCAR_020706 transcript:KZM91929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPKSSSKKPPKRGIDFKKIKRKIGRKLPPPKNTTNTEIKSKAIILPEQSVASDKAGLAVSKKGLTLKELMQQTSHHNAKVRKDALIGIKDILTKNPDELRLHKLALIEKLRERISDDDKLVRETLYQIFKGVIFPGCKEDGQGPFISLMMVYIFSAMTNLAIDIRLMAFKFLDLILQNCPTSFSSYAEKILQNYEDILRQNQFYLQDKAKLKNALAGITYCLSLLPHNRRGDTLATDSRPGDSVLHSYEPDVPKESAGLSVITKKLNGILPILVGCFHDFVPLVHTSPQLDAQSFDCMLSVLQSIDLIVQFFAYGIDKGQHELQISLSFYTHRNVSHHGQDNIMVMLKKLWDVFPLYPAHQLSEKEDNRYFILNIIISEIFLSLIDWIYPSSDLLEKFLMFIENALSEKICGSLQLGKVFHDKHSVSLVAYIPKLLKQVSGSWRSRILQGFTNVFKSCKPESSLKMVCISAIEEFLDPEKGWLYLETTDPEILAYHITWLRELPSLLIHLGDKHQLSSKAVLLLQLHLGQCALINPSLSQEYNNIQYTLKDFYSKGIADSTGGYGPFVSLTRDIQELSVCCLYYFPFLDSPIIQSVTSCCLCHNLESVILFRIIEILHSSYKAGRIQIANHISFFITLLARYKVYPESGHYFAEKSADLVENDGKSNFGTFKSITNVVCSFLLQLGDEHIVFQMLEKIIIDQLLLNPPLDNICALLRVLVKLDSEPTRLSEQSMINLGSFLPGYLTDIVSCIPEDKTQSATMVSRKRTHYYLLPCMFIFHRSHTLLKLVLKKMGSLVNEESSSGSNQLWTQYLTGYSSRIDAIVSVLLLIFADIKIQQKLLLCKEEIQQISEKILQLQDSAGNFDT >KZM92120 pep chromosome:ASM162521v1:6:30701124:30701672:-1 gene:DCAR_020515 transcript:KZM92120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVGGYNSLINSTSIFFIFLLRDTTYQMGVYYDNITLTFSYVNPNTSVVVPVANYTTPGFYQKGNDHGSRIDRSDYVETHGVSWKEASENVSQVVLRVDLATAVRFKYASWKSKRHHLLLHGDVKISTRSANKVGDKGTRLRSSSGHSSGYKGFIVFSICFYVVVCIVWFFSIFFTCKDDY >KZM90743 pep chromosome:ASM162521v1:6:18792281:18793264:1 gene:DCAR_021892 transcript:KZM90743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKPPVREEEEGFGHLFPRDSIWRHMIYSLMFVQLSQFSCGGIAIAVGLSHRVADATTLFSFLLYWANLSCTSNFENGLIHLQPCFVNKLLPGSCHNNFVPNFSLYPQKHWITKEIVFPNSKIAELKAKLQMNDKLEGVIRDRSYTRTELLTALLYRCAGLAAVASNSGDYPKSVMLQTVNMRPLLDPPLPKTSVGNLFTYNHIPTSTTSELQLSPLVGRMKNGLMQLRGVESLEGKEIMVLIEKYAKSGHRKYIMSSICNMPLYEGMDFGWGRPVRANVVDAPFVNCMYLTDSPGKDGITATVSLEEQDMKNFLLDKELLTYACL >KZM91046 pep chromosome:ASM162521v1:6:21647565:21649558:1 gene:DCAR_021589 transcript:KZM91046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDDGCGALQKLAKLRFPLSPWIGESDTASVVVDPTGVVLKWFADDYFVRYGPEAYPFTDKRIGFVKSRDKEALKHPSITNLLTSPQRDYVINNKNPEIPVHTLQDKVVALYFCQEYPLDPLTKGLKMTYKQLADKKFEIMLVYIHNSFITSARASEESFWKSFNKMPWLALPFKDQKCIDLQRVFNLPLDALGPGPDPSLVIIGPQGKFVEPYGVDIAQNFSISAYPFSRKRVAELEVNYIKELKLDMFWDRNTSFIQKDGTEIKLSQLVGKRIMLVAQNDWYYGINAKFWRMLEARYSKMKGTSKEFEVIHIRKKQVSSNGKHRATMPWLWHPPLLEGSCQLELLLRLLRQGVGLLAFDGDGRVVRRTKFPRIEMNNVDFPFYAGGLEKEAVTELIERFEWYDQ >KZM91591 pep chromosome:ASM162521v1:6:26302629:26306015:1 gene:DCAR_021044 transcript:KZM91591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILNGNISSSCPQQASYKFLIYGKGWIGGLLGKICEKQGIPFEYGKGRLENREQLLADIQTVKPTHVLNAGCVTGKPNVDWCETHKTEIIRTNVAGTLNLAEVCREHGLLMMNYATGCIYEYDAKHPEGSGIGFKEEETPNFHGSFYSKTKAMVEELLKEFDNVCTLRGRMPISSDLNKPGNFINKITRHDKVINIPNSMSVIDELLPISIEMVKRNLTGIWNFTNPGVISHNEVLELYKEYIDPAFKWSNFSLEEQAKVVVAARSNNELDVSKLKAEFPELLSIKESLIKYVFEPNKKYGKGRLENREQLLADIQTVKPTHVLNAGCVTGKPNVDWCETHKTEIIRTNVAGTLNLAEVCREHGLLMMNYATGCIYEYDAKHPEGSGIGFKEEETPNFHGSFYSKTKAMVEELLKEFDNVCTLRGRMPISSDLNKPGNFINKITRHDKVINIPNSMSVIDELLPISIEMVKRNLTGIWNFTNPGVISHNEVLELYKEYIDPAFKWSNFSLEEQAKVVVAARSNNELDVSKLKAEFPELLSIKESLIKYVFEPNKKV >KZM90938 pep chromosome:ASM162521v1:6:20637327:20640517:-1 gene:DCAR_021697 transcript:KZM90938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSSLGDCNGNRGGRGFTSGTNTNATLEHYCYQRAFFLSKKKIHSISCSFTASPSPSPSPSPRSRIAPLTADDLISRTHKPEKELKRVSENEMTTMKPVIQKGDVVCLADLLFTRNRDSLVKNNNQQVKAEQLAGKVVVIFFVPLYGFSDSLTWCTELLKEIYHDSRLNNTFEVVFVAFLGGRAPFDGNKLCHLQPPECFHDIFSSMPWTAIPFSDIASRESLQRRFGVPDTTFIPKIFVIDSTGRVVQDNWLFIDHYGASGYPYSDERINFLKGEDEAVAEQPSLKALLTSPQRDYVISNQGQKVPIHILEDKEVILYFYREGRTDDRLTEQLKTAYEKSAVEQDFEIVLIYSDPLMISNEETFRKKFKTMPWLAIPFRDPNIRKLDRIFEYPNIEKLKQFFNHCSDPHLSDPPEVVIFGHHGEFFEPFGKEILLQYGTKASPFTRKVAAKLETEKVKELTLEMLCFIYTVFRRKDGSEVSSIYISCMF >KZM91787 pep chromosome:ASM162521v1:6:27830889:27831503:-1 gene:DCAR_020848 transcript:KZM91787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNQGVLHSDPQTTPQNLLSSWLSNSGAPCNNVRPASPSVTLTLSQSPLPLPSSIPWLQAERESENTPMMLSSLLSHGAPTPRGKNGMVSELVGCCRELDEGHRAWAAQRKEAAWRLKRVELQLESEKMSRRKDIINEFDAKVKALREEQKAASDKIEAEYMKQLAGLRRDAEAKEQKLADQWAAKHLNLTKFIEQMGGHRHC >KZM91925 pep chromosome:ASM162521v1:6:29033617:29034204:-1 gene:DCAR_020710 transcript:KZM91925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQKKLIRWSIISLLIALFILLLIILIIWVVLQPKKPQFILQDATLYAFNLSSTPPYFLSSNLQVTISSQNPNKRIGIYYDKLDVYAAYRTQQITYYTATPPDYQGHKEVIEWSPFLYGTDIPVAPSNGESLRQDESNGAIWLMIKLNGHVRWKVGSFTSGRYHLRVNCPAFIPLSKASNAKYQLVANCKVTV >KZM91666 pep chromosome:ASM162521v1:6:26938383:26947280:1 gene:DCAR_020969 transcript:KZM91666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLDVRDNQISNDGQGFNNPNPIPIPLSPQWLQTKPGENKSGISTGENQFGPHSGHGGRPADVVKSPGNGEEVHDVPKKKDVFRPSILDAESGRRDRWRDEERDTNSSIRRDRWREGDKELGDNRKMDRWTENSAGRQFGEVRRAPPERWTDSSNRDTNDQRRETKWNTRWGPDDKECDNSREKWLDSGKDATDVSLDKGLSHHTYHGKDDKDGDHYRPWRSNSSLSRGKSDPPHQQTPVLNKQTTSFVQGRGRGENVSSTFSLGRGRVPSGGNFNNSLSSYQPSLGSFAEKGDNHGDPSPLKYSRIKLLDLYRSTDMRSRGRILDGIAQVPSLTQEEPVEPLAFCAPSSEELVVIQGIDKGDILSSGVPQGAKDGSLGYAPSRRTRLGSREDISIATEGNDMSKGGFPYHSNDFPPENVVNSMDQIAGVKCLHDMIDLKEDGAAFRRNDQVISNKESSMQGNSSVLPGSTWRSLSMGERMHSASPDVGDVPSDGRSRTSDFGWPQSQKDLANDLGSIPKWKYGDDPIIKRQLSAVLDREQEQRNLSQPPPEELVLFYKDPRGETQGPFSGVDIIGWFEAGYFGLDLLVRLANSPTDMPFALLGDVMPHLRAKARPPPGFSAPKSNEIPDTLNRPTVSNVGKFHAGISEVDMMRNEPRYMQGSKDAENRFLESLMSGNMSSTSSEKFAPGEGMQGYYGSGAVPPLGTESGDNLHLLAQRMILERQRSLPSPNPFWPGRDAPLVGSKSEYLQESPLQHPNLLSPITENPRSQIQSQNADFLSILQGLSDKSPPVVNNGVSGWSNFPVQGGPDQLQDKLDILRGQNIPPQASFGLQQQRLQPQNQPSLSSLLGHGVDNTSGMLTHENFQSSGLSQDPQLLSLLQQQYLMQLQSQSPPPSQQLSVLDKLLLLKQQQQKQEEQQQLLRQKQLLSQALSEQQSHQRFSETSHGQLQAAGLLDENAFNRFQTSQELLQTGPQIQVPSMPDERVTNFTKLPPNLSQETGIATSSEASSIQLPHEMFWGANPPERINSIQTKASLITAAGLNMPPMSQGVENYHQEHVSQINLKTDEPVLAERSEAGVSYSSVEEVSVPVTEPSVENEILLLGKYNDLNATLASVAADTQSGRLPSNDLSLVKEVKSIEDNEVKKSSEKKSRKQKSSKAQSSDQARGAPKILQLKDLDIEAKSGIDINLESQAMFPSEESSHEVPRQELNDNKTDLAKIALPGHVSVEDSKFTGVKNGPGQAGHRTWKPAPGLKPKSLLEIQQEEQNRAHAEMLASDTFQSIGSTNISSQTPWAGIVANSDQKSSRESQLDGGNSGLQMGNLGGSGNLKSKKSQLHDILAEEVVKPSEAVKVLDAKSNLPTVPVKSSEIYAVDDDNFIEAKDSKKNRKKSAKAKNSGGKSSVPAPSADASFASSPIEKAKSSRLAQQDKEVLPAVPSGPSLGDFVMWKGENANTSAAPAWSTDSGKIAKPTSLRDILKEQGKKVSTGQQQNSIPIPNKSHQTQSARGNGSSKTITGSSPAKVATPVHNNSQASSQAKNKVDDDFFWGPLDQPKQEAKQSDFPQLANQGSWGKSSPVKGALGASVSRQKSMGNRTTEFTSSASAHSFQKGKKDAASKQSEAVDFRNWCEGECVRLIGTKDTSFLEFCLKQSRSEAEILLKENLGSYDPKHEFIEKFLNYKDFLPADVLEIALQGQNDQKVPGYGPGDVSSAVNGVGNSDQVKATAPDGTIKGGGKKKGKKGKKISSAVLGFNVVSNRIMMGEIQAVED >KZM90825 pep chromosome:ASM162521v1:6:19604699:19609897:-1 gene:DCAR_021810 transcript:KZM90825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVPPPKRSSDPMPGYSGGSSDNVRIYQIWKGSNIFFLQGRFIFGPDVRSLGVTILLIIVPVTVFCAFVARKLLDDFSYHSGVSIMVVAILFTIYVLGLLLLTSGRDPGIIPRNAHPPEPEGYDGSAEGGAQTPQLRLPRIKEVEVNGITVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVSSTTLLCAYVHVLCWVYIKRIMNSEDTTIWKAMIKTPASICLIVYTFIAVWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVMQNFAEIFYNSIPPSKNNFRAEVPREPGLPPRSVGGGFVSPNMGKAVDDIEMGRKAVWGDVGDALDHREGQHPYTDSVNKDGGLGQMSPEIRTTVEEGDRVGIHHPRRSSWGRKSGNWEMSPEVLALSSRVGEANRTGGSSSSNLTTSQQAQPK >KZM89610 pep chromosome:ASM162521v1:6:2483493:2489361:-1 gene:DCAR_023027 transcript:KZM89610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLMICIQLIQCSVTYDSKALVINGQRRILFSGSIHYPRSTPQMWEDLIQKAKDGGLDVVDTYVFWNVHEPSPGNYNFEGRYDLVGFIKLVQKAGLYLHLRIGPYICGEWNFGGFPVWLKYIPGISFRTDNEPFKIAMQGFTEKIVQMMKDEKLFESQGGPIILSQIENEYGPESKKFGAAGHSYMTWAADMALELATGVPWVMCKEEDAPDPVIDTCNGFYCDYFSPNKPYKPTMWTEAWTGWFSEFGVPNHQRPAEDLAFAIARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVRQPKYDHLKELHKAVRLCEKTLVSADATVTSLGTYKQAHVFSSSEGCAAFLSNFNIKSSARITFNNMQYDLPPWSISILPDCKNVAFNTAKVGVKASEAQMSPTNVNLHSWGTFNEDVLLSNTDSEITVVGLLEQLNITRDTSDYLWYTTSVNINPSESFLHHGQNPSLSVQSAGHALHVFCNGQLSGSAFGTRTDRRFTYNGNVNLQAGSNIISLLSVAVGLPNNGPHFETWNTGVLGPVVLNGLDQGKMDLTWQKWSYQTGLKGEAMNLVSPSGISSVDWLTGSLATLKPQPLTWYKAYFRSPKGMDPLALDMHSMGKGQIWINGHNLGRYWTVFSSGDCSGCSYAGTYRPRFCQLGCGQPTQRWYHIPRSWLKPTHNLLVIFEEIGGDVSSISIVKRNIVDQ >KZM92455 pep chromosome:ASM162521v1:6:33519172:33526651:-1 gene:DCAR_020180 transcript:KZM92455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGHSLASSQSLSPSCNFTEKMEMSKWDNWVGEALSKLESLKVIRSLRPIHLHNNLSSSKPQDFELKVDEPQVFDGLRQWDRDSVHVDIAESTFQKWLQDIPSSGDDHVLRGTGADREADTPPQKFRKLLIFSGNDYLGLSSHPSVGKASAKAAEEHGMGPRGSALICGYTNYHRRLETCLAELKKKEDCLLCPTGFSANMAVMTAIGSLGSLLAAGAKCTSDEKIAIFSDALNHASIIDGIRLAERQQSIQLFVYKHCDVSHLNELLLSCKMKKRIVVTDSLFSMDGDFAPMAELAMLRNKHGFLLVIDDAHGTFVCGKNGGGVAEEFNCERDVDICVGTLSKAAGCHGGFIACSKRWKQLVQSRGRAFIFSTSTPVPVAAAASAAVFVAKKENWRRVAVWNRVRDFHALTGIPITSPIISLIVGSEEKALQASRHLLKSGFHITAIRPPTVPPNSCRLRITLSAAHTMDDLIKLTSALSQCIDLQKSGFYYTNASLSAKL >KZM91682 pep chromosome:ASM162521v1:6:27054262:27056426:-1 gene:DCAR_020953 transcript:KZM91682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPPSPRRNPSRSTGSFSLTCNSTPSFFVDMVLDEKDSARSTESELAGRKRVVRTGDPYYEAAVKRRSGGTALDEFLHKRMLSTMPSNVNTRNNANTNAGVGQYAPAHYNQPKENVVKSSGHENTQLYIDGCGNSEVSKPRRRGPGVNKIINSQESVIDLNKAPKAAKKPRTRGLGIEKLLAQRFGNEDGNKTGTQATAMDDDINTPCTQGATHKSPTTAG >KZM91055 pep chromosome:ASM162521v1:6:21699204:21700594:-1 gene:DCAR_021580 transcript:KZM91055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHPCCVKPKLRKGLWSPEEDEKLFNHIARFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQEEEDLILSLHQLLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPSTHKPLSESENKITNEKNYRDEASMMQSSQAHHIEFATTETEKPFTVDRGCRDSSDNDTLAKSLAESLHSKPNYDPVFLLEFQERINPEGYNSNLMGHFQQYQPSYDHDQFEAKPNFGFSSMPELTNYDIQGIAEAEFSANSASTLTSLLFSEVKECSSNSSIGTSTANAGFEMDKMVGNHTTFPWDAANSLESLFQFSGIKTEESMEASQWQGQPQCSDDYISYQLTSLSEDINAEIFHQI >KZM92238 pep chromosome:ASM162521v1:6:31735290:31737902:1 gene:DCAR_020397 transcript:KZM92238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKEQYISSRKNYSELGLTEDHFTGEEQCLGSRIYGKLIKLKADAESGFLKAYEMGRSEPRKVVFAAKMALALVIVSLLIFFQEPLSYIGDECIWAILTVVVVFEYSIGATLSKGFNRALGTLLAGGLALCSAQLSQMAGKFQQVVIVISIFIAGFSASYLKQYPSMKQYEYGFRCFLLTYCIVLVSGMSHFVATASSRLLLISVGAGVCLVVNVCIYPIWSGEDLHKLVVKNFKGVANSLEGCVGQYLQCVEYERIPSKILIYQASDDPLYNGCRAAVQSSSQEESLLGFAVWEPPHGRYKMFNYPWSNYVKVTGALRHCAFTIMAMHGCILAEIQAAAELRAVFRNEIEKVGTAGAKVLHELGAKVEKMERLSPGDLLAEVHEAAEGLQLAIDEKSFLLINAESWASSRMPEEFGDPNHLQDLKDAETRNMVISSLSQLAGHLRSTHTPRHSNMIRTSSVAQVVSSEDVFRHQQWPSRLSFTGDTVLNEREERTYESASALSLATFTSLLMEFVARLQNLVNSFEELSEKAKFTEPAVSSAVYVE >KZM89893 pep chromosome:ASM162521v1:6:6999204:7007648:-1 gene:DCAR_022744 transcript:KZM89893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAVSNRDSNGGSITKHLDNGKYVRYTAEQVEALERVYMECPKPSSLRRQQLIRECPRLANIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVCENGYMRQTLQNVPTVTTDVSCESVVTAPQHSLRDANNPAGLLSVAEETLSEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISHSCNGVAARACGLVSLEPAKIVEILKDRPAWSRDCRRLEVFSMLPSGNGGTIELVYTQMYAPTTLAPARDFWTLRYTTSLDNGSIVVCERSVSGSGAGPNAAASAQFVRGEMLPSGYLVRPCDGGGSIIHIVDHLNLEAWTVPEVLRPLYESSKVVAQKITIAALRYIRQVAQENNGEVIYGLGRQPAVLRTFSQRLSRGFNDAINGFSDDGWSVMNCEGSEDVIVAENSLKNLFSTSNQSHSISMVGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFSIDAYSAASLKGSSYAYPEMRPTRFTGSQIIMPLGQTIEHEEMLEVIRLEGYPLSQEDAFMSRDIHLLQLCSGVDESAVGACSELVFAPIDEMFPDDAPLLPSGFRIIPLDSKSGDVQDSLTTNRTLDLASSLEVGPGTNHNAGDSSTCYSMRSVLTIAFQFPYENHQADSVATMARQYVRTVVSSVQRVAMAISSSGTSPAAGPKLSSGAPEAQTLANWICQSHRYHLGTDLFSSDSVGGDTLLKNLWHHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDNIFNDTGRKALYSEFPKIMQQGFAYLPGGVCLSTMGRHVSYEQAIAWKVLAAEENTVHCLAFSFVNCDDLFGDGPITESVGCEHSNGEADSRSSLNPFEDYRNSGLDLANAVEKVLAPVSSMSSRVMLPNGTLTSTSETLAESNSFQRTAAAGHSLFQEDVEFVGAELEGTEKAMQQAFKEGIVGEAGPLKRNIIPNIVAEENSDDTGTRMKEFNDANHWRVDQEVAVLE >KZM92322 pep chromosome:ASM162521v1:6:32517740:32518650:1 gene:DCAR_020313 transcript:KZM92322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQTLFAFYFAALLLVGGESAIFTIRNNCPNTIWPAALTGSGSQPSTTGFELASQATNTINIPAPWSGRIWARTFCTATCLTGECGQGAGPCNGAGGAPPVTLIEFTLNGDDGKDFYDVSNVDGFNLPVSVVPENSACPTTSCAANINDGCPAGQEVRGPDGATVGCKSACAAFNKPEDCCTGEFNSPDTCKPSASSLYFEGKCPQAYSYAYDDKTSTFTCPTGTNYQITFCP >KZM92137 pep chromosome:ASM162521v1:6:30816084:30820834:1 gene:DCAR_020498 transcript:KZM92137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGLKKVMKKGLGEMGFNKGGGSINWFPGHMASATRAIRDRLKLADLVIEVRDSRIPISSTNRDLQPMLTGKRRIIALNKKDLANPNIMHKWSHYFESCKQDFLAINAHSKNSVQQLLELAEFKLKEAIIKEPTLLIMVVGVPNVGKSSLINSIHQIAATRFPTVNFSFSIVQKKKKRATVGPLPGVTQDIAGYKIAHQPSIYVLDTPGVLVPSIPDIETGLKLALTGSVKDSVVGEERIAQYLLAVLNCRSTPLHWRHINTKGFEENTNDKHEYNVRDLLRNRRKPPNLSDVHYIEDVVTEVQRTLYVTLSEFNGDLDDENDLEVLIAQQFEALQKALKIPNKASEARTMVSKKFLTLFRAGKLGPFILDDVPDVSGS >KZM90261 pep chromosome:ASM162521v1:6:13220230:13220616:1 gene:DCAR_022374 transcript:KZM90261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACNLFCLPGNYQLQIYLYHIISWRQLLYVAEDYNGKIVGYVSAEMEEEATSECHGHITSLAFLRSHRKLRLPTKLMMAAHSAMEHVFGTEYVSLHVLESNQPAFNLYTEFMIRRASIMRMGRMLML >KZM91460 pep chromosome:ASM162521v1:6:25345883:25346299:-1 gene:DCAR_021175 transcript:KZM91460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLEECDAILDLACDCDLMSVVRTRWYGPNAGRRFRECPDEECGFHKWVDEPPTERTLEIIKELKERDSKHLDQAGRRRERLVAWYEARLTAEKEKHENTLAGLLLLCDVVKEITLQTEGPENPGPLYVGDSEDSE >KZM91868 pep chromosome:ASM162521v1:6:28520486:28525172:1 gene:DCAR_020767 transcript:KZM91868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAPSYDHDSSRVAPFSSCYGDVGDSNSSSSVVENEVAVKCDKEFESSDYSAAMKVQKFYRGYRTRRLLADSAVVAEELWWQAIDYARLNHSTISFFNFSKPETVSSRWTRVTLNASKVGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHSLHLYYEEWCKAEAGQPFFYWLDIGDGKEVDLPKCPRSKLRQQCIKYLGPQEREQYEYVVVEGKIVHQQTGEFLDTNRLPGAKWIFVMSTSERLYAGEKKKGVFHHSTFLAGGATLAAGRLVVQNGILKSISAYSGHYRPTDERLDSFLSFLKEHGVILDEVEIRKSNEDYNNDDYIKPTKDGNAAEVLQDSDSCAPDNFNTEDETAMHKKLEVPQKDARHSYRRTLSGGLQSPKAEVAKTAILQRINSKKAAKSYQLGHQLTLKWSTGVGPRIGCIADYPAELRAQALEFTSLSPRTPPTPSSYKKLGALVSPKASPRESAVATPTSEI >KZM92020 pep chromosome:ASM162521v1:6:29849504:29850820:-1 gene:DCAR_020615 transcript:KZM92020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKSISTPSSSKPQLDEPQQQNSKKIPKIEEQREKEKEKQEEPAVIEEEEEEESEEEVEEEEEEEEEDEAVKTEKLNELLQPFSKDQIIEFLKIAALKDKKLLDRITEFAESDPAHRKVFVHGLGWDATSDQVFSTFSQFGEIEDCKVPTDKATGRVKGFAFILYKTRESALKALKEKQKKIGNRMTSCQLASAGPPGTNGVQDPSGRKIFVANVGAHVSPDALKLFFGKFGELEEGPLGMDPVTGKFKGFSIFVYKNADGCKKALEEPVKMFDNCRLECRLAVDGLKNNKNQNQARVLSNVAAGFGGIPQTDINSAMNYNVGVNPGFYVNPAVGLMGQNPGFGLANPMMALNQSGLATSLGVNPQTVRFSGNYGINSVSPSVIASYAAQSSLQGLGAYQSQLGSSAGSTAAVAARPQSSGLGSTGQAFPSFFGR >KZM92110 pep chromosome:ASM162521v1:6:30602828:30604156:-1 gene:DCAR_020525 transcript:KZM92110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRIRSGGHDFEGLSYSSTYDIPFVLLDMINLRAISVDPVAKTATVQAGATLGELYYWIYRASDTLAFPAGVWSTVGATGLICGGGYGPLRRMYGLAADNVIDARIIDVKGRILDRKAMGEDLFWAIRGGSCSSFGVILSWKLNLVVVPKTVLSFTLFRTLEQNATDIIYAMQSVAPKFPNELEMRMRISTIQSNTSARADGKTVEFAIGGLYLGTGGVEAALKIVQSTLPELGMVKEDFVELTWIQAIMISSFFNLFDDNYKPEDLLDRTFLADIPTKAKSDFVREPISKKGLNGFWNKMLEVGVGETTVIFTFYGGKMDEYSESALPFPNRAGTLYMVYTRVLWVGNTTEKLEWIRSLYSYLAPYVSKNPRRAYSNYNDLDLGVNDPTGSIGYLDARKWGKQYYNHNFKTLVMVKTRVDPENFFRQEQSIPTLSLWSDM >KZM89604 pep chromosome:ASM162521v1:6:2448072:2448371:1 gene:DCAR_023033 transcript:KZM89604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYCACGMVAVQRTSWTDNNYGRRFLGCAHGAKGCNFFRWVDPPTCPSRCGVIPGMLRKVGAYEEKVMQLEKQKKAMKKLCVCLFVCVLFMTIFSFSE >KZM92312 pep chromosome:ASM162521v1:6:32336862:32340040:1 gene:DCAR_020323 transcript:KZM92312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDNIECVSSSDGIEDDEIHHHHHPVNQRHLYPLKTHNKNIIIGSVPGIAPATSVHELLECPVCTNSMYPPIHQCPNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYTLGCPDIFPYYSKLKHEAVCNFRPYSCPYAGSECAVNGDIPFLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEADARNYSYSLEVGGNGRKLTWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNSDAGVCIPNLCS >KZM90726 pep chromosome:ASM162521v1:6:18595559:18597060:-1 gene:DCAR_021909 transcript:KZM90726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNIIDLLKKEIPLEEESLIIPENTKTGLVLVDIINGFCTVGAGNLAPREPNSQISQMIEESERLARVFCEQKWPVLAFLDSHQPDKLEHPYPSHCIAGTEESNLVPALRWLEEEPNVTIRRKDCYDGYIGSIQEDGSNAFADWVKTNNIELLLVAGICTDICVLDFICSTLSARNRGFLAPLKDVVVYSGGCATFDFPVSVAKETKAGLAHPQEMMHHVGLYMAKGRGAKIASEVSFGELEKP >KZM89878 pep chromosome:ASM162521v1:6:6725574:6729002:1 gene:DCAR_022759 transcript:KZM89878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSQRRLLHTNLKHMSPSLGLTHQSPVGSLLAQSIGDKGKATMFQLPTSGIRGRLHGQCTIQNNGVTHRSPVSSLSTRGFRSEIKTSVFQLTVSRIRGRPHTKCDVQRNGIIVAPLIKDVATKIFRIHYNKYNVKAVYRSPVSSLLTRRIGTKGNAPVFQLPASRIRGPHIQHTFKNNDFNTICIKKNDNGHEPDLSMNTSQPDAQNSSYVDPDQDEITIVVPEFEAMGVERPFGDYEIVESPLSLLYLNEFITDVVTKSM >KZM90678 pep chromosome:ASM162521v1:6:17999697:18005361:1 gene:DCAR_021957 transcript:KZM90678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLLLSPLSPLSSSPIEMGSGAYLQSSSFVHNTKLIFGVSSSKKILHKCCFRPVSASANSFDHIPKQFRQENLKDGLMDNYNNAPKHLYGLSPSQMDMFMTEDNPVRRQSEKVTEESISSSQNYINHGGMWSLSGIDGTGRGPSKYGMSVSMYRGGGGRGNGRPRSAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMWLDYDNPSKPIYLYINSSGTQNEKMETVGSETEAYAIADTMAYCKSDVYTVNCGMAFGQAAMLLSLGTKGYRAMQPNSSTKLYLPKVNRSSGAVIDMWIKAKELDANTEYYLELLSKGIGKPKEEIEKDIQRPKYMQAQEAIDYGVADKIIDSRDNAFEKRDYDSILAQSKAMRGRTAGNPQAAPSGFR >KZM90175 pep chromosome:ASM162521v1:6:12015364:12022756:1 gene:DCAR_022460 transcript:KZM90175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLELVRKSTTLLLLDVPQFTLIGIDTQVFSAGPCFKGIKMIPPGPHLFYYAPSARDGAQFSPIVAFFFDAPPSKVIVRRWNAEEECFIKLSEEEEERYAQAVRSLEFDRELGPYALDQFKEWKVLSNYITQSTIERIQPIGGEISIASEPEMFGNSPKTTKEKALDEQLCSSKFSRSSDKQHKVHCYYTSVPRIIKHKGMCANDLTSLNLDKTQLLEEILTKEFGGAEDLLLGELQFAFIAFLMGQSLESFLQWKALLTLFFGCTEAPFHTRSKLFTKFIKVLYYQLKFGLQENRKDSDVERKSTSVLLDESWLSADSFMHHLCKDFFQLILEAPVVDGDLLSWTRKVKELLETTLGWSFRQLDSVDAIYSEENDEFAPVVEMLDDHLQ >KZM91873 pep chromosome:ASM162521v1:6:28579841:28581546:-1 gene:DCAR_020762 transcript:KZM91873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNILCCVQVDQSTVAIKEQFGKFSDVLDPGCHCLPWCFGYQVAGHLSLRVQQLDVKCETKTKDNVFVNVVASIQYRALSEKANDAFYRLTNTRSQIQAYVFDVIRGTVPKLDLDAAFEQKNDIAKAVEEELEKAMSHYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRMAATEKAEAEKILQIKRAEGEAESKYLSGVGIARQRQAIVDGLRDSVIGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSSSQH >KZM92737 pep chromosome:ASM162521v1:6:35910158:35919397:1 gene:DCAR_019898 transcript:KZM92737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYDQVDHPHQNNNAPTQPNARPETEPYSSPHDQTLQCLDYLSCMPSFRIYTKAEPSFSLTIRDGKVILAQVDPSDPFQQWVKDERHGTTVKDEEGFSSFALVNKASGQAIKYSVEATHPYEPSTIDNQTLHVWDYLGRMPSVRVYTKAETNCSLAIRHGKVILAQADPSDPSQHWVKDEKYSTEFKDKHGFPSFALVNKATLQGMKHSVEACHPSVPTSIYDHTRQIWGCLSRMPSVRVCTKADTNFSLAVRHGKVILARADPSDLFQHWVKDEKYSTEVKDQYGFPSFSLVNKATGQAMKHSVGATHPPGSDPFHSSHHPGSDPFHSSHRPGSDPYPPPPQAHYGGGDASPPYPPPQVEVHVYPPGPGRNDPYSSGSNVHHVAHESRPHGYGPSAVPNQAHGVMDYLSRKPTVRVYTKADTNHSLTIHDGKVTLARSDPNDPCQHWVKDEKYSTKVKDEQGFPCFSLVNKATGQALKHSVGAHHPCFDSLV >KZM89747 pep chromosome:ASM162521v1:6:4193332:4220008:1 gene:DCAR_022890 transcript:KZM89747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGRQVTISLDGVRDKNIMQLKKLNSVLFPVRYNDKYYVDALASAEFTKLAYYSDICVGSIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIDRFTILSYNILADYLAIDHRGKLYFHVPRYMMDWEWRKKNILFELGLWAADILCFQEVDRFHELEEELKVRGYCGTWKMRTGTPVDGCAIFWRSSRFQLLHEESIEFNKLGLRDNVAQICVLERKIHKRLVQKYDGPFEIVKKVGTVT >KZM92761 pep chromosome:ASM162521v1:6:36084497:36085279:-1 gene:DCAR_019874 transcript:KZM92761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQFQLQKNKTSKFKGRNTKSNNKNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFVTQVKPNSPLASRIQNLLKNKKTPEKTRKNVPASATAAATTTTSSTSSQSIESSTTSFTSSPASSDSDSCGKVYEQAQLFDDAYKPDLSDFDMNPHTMTWGFENSGINTFPLAQEMLELPNTANLMPSTYTDFSDFERMKVERQISASLYAMNGVQEYMNTVHDPYEAIWDLPSLYY >KZM89470 pep chromosome:ASM162521v1:6:997257:999011:-1 gene:DCAR_023167 transcript:KZM89470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTTEAIGNAFVGQYYYVLCNSPGLAPKFYNESSILSRPNSDGIMTSVTTLKDIEEKLKSLNFVNSLPQIETVDAQDSYHSGIIVVVTGSLSGTDNVRRKFTQTFFLAPQEKGYFVLNDVFRCVGEAEQLEMYSKPVDCDNESSPTAPLVSTTVLPSVTLVSENVESVALNGEEEACNLLDKEKEPEMIKETFDEQTNTTVQDYKETVNSSDSSGNLKEVKSYASMVKVPKDAASGGSNLRWTPTKTSPRTLGSTNLSVEPTASPLTSDIGPQSTSLKGAKGHSIYIRNLPLDATVVLVSEEFARFGPINDGGVQVKTHKDYGYRYGFVEFQSLDSMQNAVKVQQ >KZM92134 pep chromosome:ASM162521v1:6:30795484:30798116:1 gene:DCAR_020501 transcript:KZM92134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHYERYVQLKKGVVAAVVYEEDVNGNNKLLGSHNTLGLLCGGSAAGGYYNYQYYFNLSIKRTRPKLLYLLLLTLLSCTLIVAPQFCFLPSTFPLLYSTGAEDKGLISDANASLCSSVSNGTICCERNSVRTDVCIMKGDVRTQPSSFSVLLYTPSNSTNDYISRVSDLSVDGKDELQHEKIKPYTRKWESSTMATIDELHLISKTQNYGVRHQCDVKHDVPAVFFSTGGYTGNVYHEFNDGLLPLFITSQQFNRKVVFVIVEYHDWWITKYADILSLLSDYPPIDYSGDKTVHCFPEAIVGLRIHDELTVNSSLMEGNVSVRDFRNILDQAYRPRILDIIQEEEREAELLKDNFPALQKLRNPPITKKGKNRHVVVKPKLVIMSRNGSRSIMNEDLLVKMAEQIGFFVEVLRPNPTTELAKIYRSLNSSDVMIGVHGAAMTHFLFMRPGSVFIQVVPLGTNWAAETYYGEPAKKLGLRYIGYEIIPEESSLYEDYAADDPVLSDPDSVNNKGWEYTKKIYLDRQKVQLDLGRFGKRLARAYSYFSGGKKRKHIRRSMQ >KZM92531 pep chromosome:ASM162521v1:6:34138036:34143720:1 gene:DCAR_020104 transcript:KZM92531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSLVSNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLLESGVDINLRNYRGQTALMQACQYGHWEVVLTLVLFKANMHRADYLNGGTALHLAALNGHSRCIRILLADYIPSVPNFCNILNKRSRTDESILEFDRSSLYQVINSASDGGITALHMAALNGHVDSVHLLIELGASVSEVTVEDGTTIDLIGAGSTPLHYAACGGNAHCCQILIAKGASLTAVNANGWTPLAVAHSWHRDGLEEILTPRVQGQSPLAFPPSPYLCLPFMSIVKIARECGWRSNDSLNTCMDPCVVCLEQKCTVAAEGCYHEFCTRCALYLCSTNCTTTVAHGPPGSIACPLCRHGIVSFVKLEATSAIVKDIARTSLSLSFCTCTALGSDTIETPFCKPDVHSARFPPTGSSFRSLSCHKLSSLKLNSSLCLGASDSKCLKSSYRRSTSINSDRAKAWLCSLHQCVATGIDY >KZM90555 pep chromosome:ASM162521v1:6:16844501:16848550:-1 gene:DCAR_022080 transcript:KZM90555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHLPNMDGLQLLKCINKDYDIPVILTTDDVMHELIGDGLNNGAESCLLKPILPDAVRDIWQFYELRKVNKNIHTMSGSSLAKVPSSIGPSTTDNSNDKPAKAIPNTILEKMNEPGVSREQVASHLQKYRKFLNGVLDGKISLQSSKYCSNLNYNHSSIVDGNPNLFLINQLRNEQRSSKDAAPIRASLPMLRFNEAGSSSRLIMNAMSAPNYAPCADINIKADWLSNGIATSENDGNLNIGNIVESNHAYYNRYEEGEKGDYNQTTLTPHQNNKFSDGGLLGGANINDWLHATNLTVLSDSVIESIHNAFISQPPLNPEAQGNTRIFNRNNFNRVQTRYMQPTHSGIGASLVANQDEYTFPVNKKPRNGDDDCNELNNFDLLSFDPDSSKIVQNPFHVLSRKLVRRKEKRKHFLGNLENSYQGI >KZM92736 pep chromosome:ASM162521v1:6:35907463:35909205:1 gene:DCAR_019899 transcript:KZM92736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSESELRASVRILKSTSFPILVSQFSSVTERNQDGYALEKCDNGVSGNDENRSEDDEDYDESKGGQLGLKDEDFNRNVGVIMDVLLAPRSSCSVVKYKLEQCSVVVSTDLVVEVLSRVRNDWEVAYTFFMWAGRQSGYAHSLRAYHSMISILGKMRRFDTAWGLIEEMKGGRTGPSLVTPHTLLILIRRYCAVHDVGKAINTFYAHKRFKFEIGMEEFQNLLSALCRYKNVKDAEHLLFCNKDVFRLNTKSFNIILNGWCNIMGNSKEAKRIWWEMHERKIFKDVVSYASMMSCYSKVGRLNDVLRLFNQMKALEIVPDRKVYNAVIHALAKGKLVKEACNLLKTMEEEGIAPNVITYNSLIMPLCKARKLDEAREVFDEMLQRGLAPTIRTYHAFFRNLRTAEDVFELLENMNKEGCRPGHDTFIMLIRKFCRWRQLDNVYKLWNQMSDYGLDPDRSSYIVLIHGLFLNGMLEESYKYYQEMKEKHLVPEPKIDEMLQTWIAGKQNADKPAVESKHDQVSRSQTTKDAKAPSEKVERNVDFRRQPETKKVVREXXXXXXXXXXXXKVVGERGYSFWDD >KZM90783 pep chromosome:ASM162521v1:6:19170790:19172422:-1 gene:DCAR_021852 transcript:KZM90783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQIFIHVLAITTLLYVNIIHAAVAYDETKAPLPRKTRFSKRVESTVVHITTNNDSAADKRKLKYAFALAVVDVIGLIVIAVLFYVYYKKNRRLKEDMRRLNLNQKIEDQKDEQMVDKGKRVADSEEHQDKDKVQLTFMEGVASFELNDLLKASAEGLGKGNFGNSYRATFDDGRAVVVKRLRDLKPLSGDEFVKQVRGIAELDHPNLLPLLAYYYSKNEKFLVFKFAVNGNLYNRLHGGKGTRNRIPFPWSSRLAVARAVAKSLEYLHLNYHIPVPHANLKSTNILLDENDTVLLSDYGLTSIVAPPIAVQRMVSFKSPEFQMSKRVSKKSDIWCFGALLLELLTGKVCVHSAPPEANGIDLCSWVHRAVREEWTAEIFDPEVSSRTGAYNAMVGVLQMAMRCCDKLPEKRPNISELVRELENVKSVIIDSEDDEDLSMDRSSYTDESLSEKSIIIGDARKFAN >KZM90265 pep chromosome:ASM162521v1:6:13656474:13671416:-1 gene:DCAR_022370 transcript:KZM90265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIKEVSRSATVAFSPDKPFFAAGTMAGAVDISFSTSANLDIFKLDFQSDDYQLPLVAALPSTEPFNRLSWGKSLIGSDDFAFGLIAGGLNDGNIGIWNPATLISSESNEGALLQQLTRHKGPVRGLEFNSISPNLLASGADEGEICIWDIAKPTEPTHFPPLKGNGSATQGEISFLSWNSKVQHILSSASFNGTTVVWDLRKQKPVISFSDSVKRRCSVLQWNPDVATQLILASDDDSSPSLRVWDMRNTMSPLKELVGHTKGVVAMSWCPNDSSYLLTCAKDNRTICWDIASSEGCGRNGVEDGDSRAGPLRAPKWYKRKAGVSFGFGGKLVSCKSKDASAESSEVFVHNLATENNLADSSSEFEAAMQSGERSSLQLLCEKMSLESELMDDKETWGFLKVMFEDEGTARTKLLTHLGFSLPIEAKDAAQNNLSQDASALGNEERADKGQMGNKETTSYSFDGEDFFNNLPSPKADTPVSTPGTTFDAQSSVPNGEEKQQQSDEQEETADALFDDTVQRALVVGDYKGAVAQCFNASRIADALVIAHVGGASLWESTRDQYLKMSHSPYLKACLTSSSLFMCFDALACFSVVSAMVNNDLRSLVKTRPLKAWKETLALLCTFAQGEEWTVLCDALASRLVGAGKTLEATLCYICAGNIDKTVGIWSKSVRTKQNGNSYVGLLQGIMEKTMILAMATGQKRSASLCMLVEKYAEILASQGLLATATKYLNFMGNEELTTELIILRECIALSTEPEREVPAPANFGSSQPQNDTYVADRPNYGVDTHQNYYQETAPPQLQQTIQSSQESFNPSYARGYVPPSPYQNPPQSNIFLPTPGPVPSAQPTFSTPGFNSQPAVRPFVPSTPPALRNVEQYQQSTLGSQLYPGPNANPGYQAGIQGVGSRGPVPSQFPGSVNMPQVVTPIPGPRGFTPISNTEVQRSGMGLAQPPSHTQTTPAPAPVAPPAPPPTVQTVDTSNVPAHQRPVITTLTRLFNETSEALGGSRANPAKKREIEDNSKKFGSLFEKLNSGDISKNAGEKLVQLCQALDRGDFSTALQIQVLLTTSDWDECNTWLAALKRMIKTRQNVR >KZM92650 pep chromosome:ASM162521v1:6:35129853:35131853:1 gene:DCAR_019985 transcript:KZM92650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSMVIVGTVFQLVFTLMMSSCTANNVRFYDVTGYGAVSNGKDDNSKQFLRAWGDACQWNGNSVMLIPKGIYKLNPVDFNGPCKGSTAVRIDGNLKASTDISAEAWISFNYVDWLLVDGSGTVDGQGASSLVFNFVKNSKISNLNLINSKSVHLKLFKSEKVMISGVKIRAPENSPNTDGICIADSLDIQVQNSNIACGDDCISMLSGNENVEISGVACGPGHGISIGSLGQSLGDSNGLTGLHVRNCSFTGTQNGLRIKTWAPSSSGLVSNLIYEDIHMESADNPIIIDQHYCPSRQCDNQAQSSIQIKNVTFRNVQGDSSTPVAVNIQCSSKVPCSEFKLQDINLSYSGREGVVKSECLNVDGQAYGQQHPPGCF >KZM90347 pep chromosome:ASM162521v1:6:14452409:14452768:-1 gene:DCAR_022288 transcript:KZM90347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSTYKPFHPSYEEMIFHAICSLKRRNGSSSSAIAKFILKHYGGLPKNFRKILLHRLKELVACQKLIRVKNSFKLPSQ >KZM91570 pep chromosome:ASM162521v1:6:26128254:26132588:1 gene:DCAR_021065 transcript:KZM91570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSVYSCELRVTFFNELAEQLEKQLKHTAEEQVTIIIASAKVNQHEGKNSVRWHKKYKCQVSVKKVEEKTNWYDNVCTSCDEEVNIVEGRFRLATVCNDSTGYLGIVFPDEEIQRITGKNVFDIENDSTQVGDSISFPPLLKAFEKKEFIVTLIIGETNVHNSCNVYLAHAIDEPPEMLGDHVPGEVVPANSKQDSISMNLEETLNRASDSPATEKSTNKQRPRKKTETVPFETEENVKKRKTVKKDIRVRIHAFVPGTEADELAKLLEVGKVYLIENFTVSDYTSDDKFRCVRKEIQIVFDNQTKITPLEEKAVNIEKHVFDFFDLSDLKSLVNQQTYLADVIGVMEKPKPLAKIKNRHGILQDQIKFRIADGSTIVKVTFWDEFAVRFSAALKHNFQCPIIIIIGSARITEWSNEPTIANASPTSFYLNCDHRNVAEFRKRLSSESFPDMNLDYSTNATLDVYKVQSIKEFKEDQILKEVLCQVKIRKIQNISSWFVNVCTSCYKETQLLENSYQCKFCDRKCSYPDKKFQVCIFASDDTGAIDIMLEDREVRTVIGKSVFNIIDEGQSKENLPVILKSMENKDYTIKLLIKKENITEDYPIYSAEDIMEGFKIETDSDDESTPHPIEQMQTQPSASSYHLDSLSGISYTSKKREK >KZM91863 pep chromosome:ASM162521v1:6:28492112:28493170:-1 gene:DCAR_020772 transcript:KZM91863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRTNRVKVPEGFELIEPTLLELQAKMREAENDTHDGKRKCETMWPIFKIAHQKSRYVFDLYHRRKEISKELYEFCLEQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCNGCASGD >KZM89685 pep chromosome:ASM162521v1:6:3334800:3335315:1 gene:DCAR_022952 transcript:KZM89685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPKVFFDMTIGGTPAGKIVMELYADTTPKTAENFRALCTGEKGTGKSGKPLHYKGSSFHRVIPGFMCQGGDFTAGNGTGGESIYGAKFADENFERKHTGPGILSMANAGPGTNGSQFFICTAKTEWLDGKHVVFGKVVEGMDVVKAIEKVGSGSGKTSKPVAIADCGQC >KZM89653 pep chromosome:ASM162521v1:6:2906059:2908764:1 gene:DCAR_022984 transcript:KZM89653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRGIEKIVKETEGSITEKKETLVSILSNRTDDVDEHGTPDSSASIDSGTALQLFLDHIPVSSVTAIPNPPVLKLTTQDSLRYAIRTMHDNDVFAAPITDTECEDYFRNKRDDDMRYEFTSGIFSMLEQVDHIAETKIGELAKSCLWEPFFPINSHDTLFHVLLLLSKHQLHLVPIVKGHKSNITGFITESAVVDLLLQSSGLEWFDNIADKAMLDFRFDKQAATVYEDQSVGEAMNMLWVNRICAVAVVQRQTESIIGCVRTSDVYHLLDYEDIFNNRKTVTMDHFIQLETSTADSDPTVDQKIVATSPALDSDETVDRDLDALTATTESSPTSTQSLSLRKKLLPRMNKPAIFRTTDSLKQAMETLARRKTNVCFLVNDVQQVTGMLTLSDIISQFAPPCMDSRITGGRFFDDALEQSGCDVKDRTLIFDSNR >KZM91599 pep chromosome:ASM162521v1:6:26362461:26372817:-1 gene:DCAR_021036 transcript:KZM91599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMFSSRQAQLVAKHTLSAILVLVLFAALSSAATLLPDDEVKALEEIGKALGKRDWNFSADPCSGEWGWVTLKPVRGSENAVTCNCSFSNNTLCHIVSIVVKAQNLSGRLPAAELLGLPFLQDIDFTRNYLNGSLPPEWGSSQLVNISLIGNRLTGSIPKQFGNITTLQQLVLEYNQLSGPIPPELGSLPAIKRILLSSNNFTGELPVTLAKLTTLKDLLIQGSNLNGPIPSGIARLTKLTDLRISDLNGIEGTFPPLSRLIKLKTLDLSFNKISGQIPKTYSGLSAAGYINLFASSSKGNASAMVSCLSFRCPRDWYSFHINCGGREVTEGITIYEADTAGGPSIFSQSGTNWASSSTDFIPPSGKGASISVSTVVGIVVAAVSVVFLVLGILWWKGCLRRKDRMDLGFLFLFPKFKSSLLQYLKALDLKEKGNLMELVDEKLESDYNEEEVMVMINVALLCADVMPSVRPPMSSVVSILEGGAIIEEFISDASQLRKKTGSDEIKEPNPSSSDTSIVQSLSIHDSWTASSTSTADLYPVDFESEYWKSRDGKNDES >KZM92717 pep chromosome:ASM162521v1:6:35727149:35729951:-1 gene:DCAR_019918 transcript:KZM92717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFKPNILNGKVALLTGGGSGIGYEISSQFGQHGACVAIMGRRKSVLDSAVASLRSLGIQAVGFEGDVRKQEDAKKVVESTIKHFGKLDILVNAAAGNFLVSAEDLSPNGFRTVMDIDSVGTFTMCREALKYLKKGAPGRTSSSGGSILNISATLHYTASFYQIHVSAAKAAVDALTRNLALEWGTDYDIRVNGIAPGPIGDTAGMSKLGPDEIKNSSRKEMPLYKLGEKWDIAVAALYLTSEAGKYVNGTTLVVDGGLWLSRPRPLPKEAVKQLSRTVEKRSRAAPVGVPTSKL >KZM89509 pep chromosome:ASM162521v1:6:1396485:1396968:1 gene:DCAR_023128 transcript:KZM89509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGELWAIFGPGLAGAVFGAGWWFWVDAVVCSAVAVSFLHYLPGIFASLAALMFNCVRREDIDYSPYDDGEWSICASCDVE >KZM90955 pep chromosome:ASM162521v1:6:20807852:20811673:1 gene:DCAR_021680 transcript:KZM90955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNANNASSNGTTTTTTSTNNNNNNFKKTEQAATKQEWEIDPSKLIIKSVIARGTFGIVHRVKLLDWGEEGHRTEAEVASLRAAFTQEVAVWHKLDHPNFIGATMGASGISIQTENGHVGMPRNVCCVVVEYLPGGALKSFLIKNRRRKLAFKVVHQIALDLARGLSYLHSEKIVHRDVKTENMLLDKTRTLKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSYGICVWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVTMLEAIDTSKGGGMLPHDHPQGCLCFRRYRGP >KZM90464 pep chromosome:ASM162521v1:6:16027085:16030535:1 gene:DCAR_022171 transcript:KZM90464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQNEGMQVEEDIESYLDALKKLYSLLVINNDRQSLQNYTSRDNFVIVSIFGLSFLTRSRNNTADINKQTGEDLKGQVANKRVPDDKPVQSVASVAAELLNRKKRCRICRRSSTKQLKFEHGTSSAVETDDINKGSTNFQHNHQADAHENVTESYSKAILADLSNEKEMQKRVLGFHFNSSGNGALIHSYNRDASGTFTSGALQNSAVGTEKKHKDIYCISKDASDAIKTIESCISALKVAGKQMDSLGKRMEPGQGAVFKFVAGSDSASGTSSPNMGNKAALVSQGDQTQVGPFRLGRNDSQNLWARRTRETKAMTRWRQLSRYIVNPPDELNLPKQLMRSSATLSPWNAKSRSQNFGKDNEINLRANTFSQADELIERKELGLHKASSVHIKNVKTNAGKVESMTQTKKSPHQTNSVQGLRIPLNSEKKNSLLDRSPGKTNKLILDRNLQKRRLSKAVQSQPSIPAVSARNKQIQTQTKMVATSKVLPHRKTMRQTLPYPLRYGDREEIDRGKVKKKTDRKGKQRQILSDQPESNSTNSHGSSSSSWTTQQGSTSYHEDEEYSVSDPSQSSHHSSTSAGSESEGQYVSSSTQLHNTGSPSYSDSQLSSAPSSTHSLEDSKSDGDNVGYLLSHQTSSSEPSYQQGHYSRRYRSTHRKRPRKRTSSLKKLKDKVAIFFHHHHHHHHHHHNSDDSEKSDDLSQAYHGTALSKRDTKMFKRRNQAETSGEKVTEKLSKSMVHYAPNKKRGSNFNKLVGGLVRHVRHPKKSKKSKSSTKHQTKNQHVSKKLAKKVHWWQLLHRRRPRMRMPKKPRIKLGFGTKRSKLKAVPTLKWH >KZM92755 pep chromosome:ASM162521v1:6:36042223:36044424:1 gene:DCAR_019880 transcript:KZM92755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNLGPALRRELEKLGKDADSRKSAMRALRSYVKDLDSKAIPQFVAQVSDRKENSSSAGEYSISLYEVLARVHGPRIVPHIDNIMTTIINTLTSNVGSFALHQACSKVVPAIARYGIDPTTPEDLKRKIIHSLCKPLSDSLLVSQENLSSGSALCLKALVDTDNWRFASSEMVNEVCQRAVGSLEKPMHTNSHMGLVMALAKSNSLTVEAYARLMIQSGLRILTDGVAEDNAQKRLSAIQMVNFLMKCLDPKSLFSELRLIMKEMQKCEADKMPYVKGAAFEALQTAKKIASNKGLNFEGDTSSVSGSNFCASNKNGRRNLSDADDQSPTLTSPESRTVDSFAEYDSFIESPFSNSYACGNLDYDRRLKQQLWRRFENGGLDLSFKDGLFTEATSKSAIENYEEYECSQNDERASEFAGFFQGSPRNAGVRSSTPSPQRARSNINVDNVKIFTTPRKLFDSLQDLSSENTDVSKNQSRQFRSPCRSQFESSPSRCDQTSFSRHPASMFDQYGFLRNVNHEKSQDDMSVTSRESQGSSKSVASAEAAANVQVSQQSVPASQTESLKVSVSDHYPLSIRGLVFVVLAVLFAASFLFWIGGDQDEVHYLPPT >KZM90084 pep chromosome:ASM162521v1:6:10439233:10441749:1 gene:DCAR_022551 transcript:KZM90084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHNIVVSAAVAHFSPVVLLRTHSSPFHFNIPRSEAGRIKFTCSVEEQHVWNNTVGVRKAISGLAASFVLLSQTSQVLGADLPHPQNVYGLANASDNLPTLPLDIMPEEKLGMMMMTKGMTAKNFDPVRYSGRWFEVASLKRGFAGAGQEDCHCTQGVYTFNEKKAAIQVDTFCVHGGPDGYITGIRGNVQCISDEDLEMSETDLEKLEMVKGKCYLRFPTLPFIPKEPYDVIATDYDNYALVSGAKDKSFIQIYSRTPNPGPEFIEKYKLYLADFGYDPNKIKDTPQDCDVSDSRLAAMMSMNGNQQALTNQFPDLELKSAVAFDPFTSVFDTLKKLIQLYFK >KZM91699 pep chromosome:ASM162521v1:6:27186228:27187211:1 gene:DCAR_020936 transcript:KZM91699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTFSVDPREAEVAHDIPPWIRVYKDGTVRRLSGYEVCDPGLDARTRVLSKDVMIVPGTGVSARLYRPSIIADGQRLPLVVYFPGGAYMISSPRFPGYHYSINNLVAEAKVVLVSVDYRKAPEHPLPIAFDDSWDALKWAASHASGAGSESWLINNVDFNKVFLAGDSAGASIAHQLMFRSKNMEIKAAGMKLVGMIMINPYFWGKEPIGAELTNTVRKALVDNWWAFVCPSDKGNDDPLVNPFAEGAPPLNDLGCARVLVCVAEQDILRDRGVLFYESLKKSEWKGEAKLIDVQGEDHVFHIFKPDCENAANMIKGLANFIHHQM >KZM90695 pep chromosome:ASM162521v1:6:18223151:18234078:-1 gene:DCAR_021940 transcript:KZM90695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSQVFILKHLPSVEIHGHHFRQVMARTKHPAKRTSGHRSRGEADAQEQQQRKPHRFRPGTVALREIRKFQKTWNLLIPAAPFIRTVSKAHSWTCMDLYVFATPYRVTWDYYFIAREHTLEFSEWEGKAEYEYVKRKGVSIFLMQAGMLGTLQALWEVAVLGSVSDYCAHRAHCMVTIVKKPKTKEGLTRSALGLRDPRPSILFKFARDQRGKYSVGNNSYLSLSTNIKMTTHAGAFWGGQDYGVLSWDNKLAGA >KZM91616 pep chromosome:ASM162521v1:6:26547495:26551935:1 gene:DCAR_021019 transcript:KZM91616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSKKDQKEVAEIAGDLSATASEEKTMKKKKRGILSRIWNWVFRLHGDDFEKRLQHISKEEAAIVARLKRRSINRRGVTKYLIIFSVLLELFAVCYAIMTTRSLDLNWKMRALRVSPMFLLPVLSSLSYSAIVSFTRMRDRKDQKTLERLRAERLEKINELKEKTNYYITQQLIQRYDPDPAAKAAAATILASKLGADSGLKLYMGDDSKLNPSTGKSSDVENVQSSGLRNRKQQLSRSSSGSSAVMQQLEGEMMQHAATEGSDMARHDQMVVEHENPTSLHAQDGGWIARIAALLVGEDPTQSYALICGNCHMHNGLARKEDYPYITYYCPHCNALNRPKQLEGSSPPGSSLVSSPISGPLKPVDEVSVDQNVSKPTSDRASDSSSPVAAAVENREATETRVCEGEVS >KZM90153 pep chromosome:ASM162521v1:6:11742268:11744805:-1 gene:DCAR_022482 transcript:KZM90153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDMDRILVLKWVFMVLGCGFFVGVSFAAYTPVDRYLIACGSSKNVTFSSQTYVSDSAESSVTLKSQGNSFVASSNSSVPLPVLQSARVFTNTTYYKFDIKEEGRHWVRLYLYPLSNTGHDLKSASVTVVTEDFVLLNNFSFSDYNGTYLMREFLINVTADTLSIAFIPSNNSIGFVNAIEVVSLPNELLPDQAQALSSFAPISGLSELAFETVYRLNMGGPLITAQNDTLGRTWVNDEKYLHVNSSAVNISASPAIIEYRDALTPEIAPNSVYATAETMGDSNVADSNFNMTWVLPVDPEFSYLVRVHFCDIYSKSLNTLVFTVYINSYIALPSLDLSSLLGKLNTPYYKDFIINSSANSDTLTVSVGPDPSAELAAAILNGLEVMKISNEAKSLDGHDAVANLLLKSSKKKKIGLIVGCAAGASAALLLIGLCYCCLLTRQSKTPNQGNSWLPLPLYGNSLTLTKGSTVSQKSGTASCISLASSNLGRFFTFQEIMEATNKFDESSLLGVGGFGRVYQGTLEDGTKVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMVLVYEYMANGPLRSHLYGSDLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKAGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMAWQKKGMLDEIMDKNLVGNVNSASLKKYGETAEKCLADYGVDRPSMGDVLWNLEYALQLEETSSALMEPEDNSTNHIPGIPMTPLEPFDNSVSMIEGRNSGTDDDAEDGATSAVFSQLVNPRGR >KZM91166 pep chromosome:ASM162521v1:6:22712746:22715096:1 gene:DCAR_021469 transcript:KZM91166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVDQKATAAPLSTNFIMTTKKKELLSDAMKRTSEWIFSQEIPKDLTVHAGGTSFLLHKFPLVSKCGFIKRLMSGSSGVSLSVIKIPDIPGGAEAFELVSKFCYGINFDIGLHNIGILRCVSEYLEMTEDYATGNLVGRTETYLDEVALKSLGGAVSVLHSTESLLPIAEKMKLVNRCIDAVVLIASKENQFGSSAKVKSETDEVHSSSYHSKEIDYWWAEELTVLRIEMFQRVLIAMMAMGFDQYALGPILMLYAKKWLRGLELFGKARKRMEPKEEYEKRVVVETIVGLLPWEKNAMSVSFLSMLLRASIYLDTTVACRINLERRIGLQLDQAVLDDLLIPSFFPTTGDTLFDVDTVQRIMMNFLDREEGTCVAYNADEVRLSPPQPEMERVEKLMEDFLAEIASDSNLTVPKFVNFAECMPEHSRTTEDPKYRAIDIYLKAHPYISDTERKKVCSVMDCQKLSREACAHAAQSDRLPVQTVVQVLYYEQQRLREAMDSSLSSGDSPTPASKVTVISKDAHPVTDNELSKLEQENQDLKLELAKMKIQLEEIKRKTVKSSPNTPLVITYPSADKPPLAPKSIINSMSKKLGRLNLFVRADGTTPSRVQNRPSKDRRHSMS >KZM92394 pep chromosome:ASM162521v1:6:33087893:33091815:-1 gene:DCAR_020241 transcript:KZM92394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGFWKYMFTKTEFHVLILGIDKSGKTTLLEKLKSQYSNVEGLPPDRIVPTVGLNIGRIEASNTKLVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDASLPSRFEDSKSALEKVLRHEDLIGAPLLILANKQDLGEALSAEELARYLDLKKLDERAYSYEAVSAYDGLGIKESVDWLVEAMERSKRTEMLRARAGLANSVSS >KZM91719 pep chromosome:ASM162521v1:6:27344936:27346327:-1 gene:DCAR_020916 transcript:KZM91719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFVSNASTFLPSLSSSSPTSSSLHAHSQRQLVLCRAVSSNEPPFPALSLSKRNMSIGLTATLLMSLAGKGLCSDANAAILEADDDIELLEKVKKDRQKRLEKQSVISSSDKEKGYLQDLVYKLSKVGQAIEKNDLPTAASVLGEKSDAEWVQKTNAALNKLSSSPEEIAEADAVKSSLSTLISSVGQKDIKASTLAFVASANALEKWTLLTGLAGQLKGL >KZM90028 pep chromosome:ASM162521v1:6:9721588:9727192:-1 gene:DCAR_022607 transcript:KZM90028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVREKSRSTLSECSKHRRVPIYVMMPVDSFGIDTLGVPRIRKIKALTISLKALKLAGVHGIAVEVWWGIVEGTSPLAYNWSLYEDLFKLIADVGLKLQVTLSFHSNLHLSQPGRGVSLPQWIMEIGNINKDIFYRDRNGNINGDYLTLGVDHYPLFGGRTALQCYEDFMFSFVDKFGSMIGTLIEEISVGLGPCGELRYPAHPFGDGRWQFPGIGEFQCYDKYMMEDLQRAACQVGKPQWGSKGPQNAGGYNSIPFGIPFFEEGQESFLSDYGQFFLEWYSGKLIGHADAILAKAAKLFEIYEASEQQSVLLVAKVGTIYWWFKTIAHPAELTAGYYNTAIRDGYDPLASMLSRHGATLQIACFEMLDSENPPKYFCSAEGLLQQIRSVSKKRVIHLTGRNSYERFDKGWTDPVIGGLKPRS >KZM89566 pep chromosome:ASM162521v1:6:2164612:2167843:1 gene:DCAR_023071 transcript:KZM89566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTKKKMLERVLSLRRGAQINEDGDEEADESKTKHISLAMRATQYFTRPGTGITVGYLWPSLFITLVVLSLVSLFIHSRDLVCVSSKHGKTVEWTSKDLLKGLEDFIPIYETRPIKNNMFGMGFDHSFGLWFMAQWLQPDLMIESGAFKGHSTWVLRQARPDTPIVSLSPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSLDWAKLMSKYGITDLSKVLVFFDDHQNELKRLKQALKAGFKHLIFEDNYDTGTGDHYSFRQICDQFYIRGGGHSCFKDSDEARLRSRRRKFWDKAVDIEELCGPGETWWGVKGEMRDDFNHSNKKITYTQHFENSRFVESVLDVYWELPPVAGPSLTHQSRYDPARVTSPIVEDGRFGLFRRLGLSNLDNSVFNGYTQMVYLQISES >KZM90143 pep chromosome:ASM162521v1:6:11659148:11659423:1 gene:DCAR_022492 transcript:KZM90143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKAWMVAASIGAVEALKDQLGFCRWNYGLRSLHGQAKATLVSYQQHRGSKTSSVSQTGCSGRARVKKAEESFDRVMDLSCWGPSSVRF >KZM89828 pep chromosome:ASM162521v1:6:5524327:5527146:-1 gene:DCAR_022809 transcript:KZM89828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEDGGRKNVLVGVGLDEHAKELLDWAILKVAGEGDCVTALHIDLTGVVLKGSSIRKGLVREAKKLAAMTLIVGISKPHAIGSRTCFAKYCARKLPLTTEVVAIHNGKIIFRRFSTSKRTGFGDPRPSFGSSGTPSCKDSGFGFDESETPDTIKSGWTKDEQLCSFDMHEKSTSSVSVSSDSLQQLPGWPLLRAVSKVSPPIHETREMSVVQWVMNLPSRSSPGTPGSSSSLDSTSSEIFLGKQSNNSANKDQTDVTSKGIYELPEAIEILKTNSSGCKWLSYEVLETSTSQYSSGKGGSNSVYRGILPEGKTVAVKLLKSSREAWKDFCQEVDIMTSLSHKNITPLLGVCVEENNLISVYDYMARGNLEDNLHRSNLNESVLSCEVRYNIAVGIAEALNYIHNECPLPVIHRDVKSSNILLTEDHKPLLSDFGLAVWGPTNSSFLTHTDVLGTFGYLAPEYFMYGKVSDKLDVFSFGVVLLELISGKRAIGFESPKSPDSLVMWAKPILESGDVISILDPHLGKNFNKDQVEMMLLAAILCLTRSARLRPKMNQILKILRGEKDAEDESEDPNESGNQSSNDDEVYPESVADSHFSLAILDLEDPEQNTMHSLNDYLKGTCN >KZM91292 pep chromosome:ASM162521v1:6:23879600:23881145:1 gene:DCAR_021343 transcript:KZM91292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLQSYRLLADMNRLLASLFHQGVLDEHFLQLQQLQDESSPNFVSQVVNMYFNESEKLLRSLRALSVDREHWEYKKVRLHLNQLMGSSSSIGANRIRNVCIAFRAASEQNNRPGCLRALDLLEHEFCYLKNKLHEFFLIEQQQVLAAGIRYPNYPMPQHPN >KZM89640 pep chromosome:ASM162521v1:6:2778400:2779305:1 gene:DCAR_022997 transcript:KZM89640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHQSDSSDTLTHFSDSLSPSEEALSESWFRSWSTPLDNEMLDIIDRLPLDGPSYANGFLIDAPVVEEEDFNLDPMEEDIEPREWVASGEMEALDCPSYANGFFIDAPVVEEEDFNLYPMEEEIERERRWSEACGWFASGEMEAKARRCPPPYFIHIRLLGADALDRDKRFVLRPWDGGELVNVERIAEINNLRPRETRFQKDQFRIAYVKGFVHNLQTDNLDDNPNPFLLAKFRLYDGSESIQVSLGDDTKDHPMITTGEVREGSVLVLYQATCFISMDETPHHQLSIGYSNILGIFN >KZM92466 pep chromosome:ASM162521v1:6:33617712:33618666:-1 gene:DCAR_020169 transcript:KZM92466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVSTSKLEGNEGLPVYEQGISSEVTLKKEKSRAKFSERAVHLIPFILVFCACVLWFFSNSDVDLPSKGDSIAARIEGLTLEGDIDSDGTQRGVLPHHLDMGDVLMNRVYPNHDHLNN >KZM91189 pep chromosome:ASM162521v1:6:22879197:22879733:-1 gene:DCAR_021446 transcript:KZM91189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLDFRSFILRARVLKLYRQALKITKRAPCDSKAELRNIIRQEFESQRNCSDKQRIRFLISDGLERLKRLDEMLDMQGH >KZM89554 pep chromosome:ASM162521v1:6:2003799:2007177:-1 gene:DCAR_023083 transcript:KZM89554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTLSAATKTAKKKILAERNQAFTFTNFLKPPILDSRTSPARSVRSSCSSDYDEEEENSFDGNTSSKKPYDPFTNYLSPRPKFLRYDPNKKRRIFLHGESDITEKKDDLGVISSKSSFESQKVVEETFEEDSVKEDVEPEKTGGDPYDHDDEVEETEEELEEFEEEEEKCWSLLGLLRFLLVLGSVYLTTSFICSMDSTEHSLIQDAIREFRYGFLNQSNTHEVATKMEYFTSKSIFLGGKGHESPISSPNVTQEDIDVEFVEVGISEVIRDDNEVPASTAGLPELKIEKSEDVDDDELIGKVEASDSLQWVEATESETNIDVAASGAEASSDEHSGSDFGENTSAKDEEMGENRDGLDQLQHHETAEVKDMFSETKASSDFNVEFEHMESEEIKVEFEPTESEEIKLQLGLAVLVLLFSVVPALCLLYHSRRGHTKEASFPTKKNSDEEASLPTKKNSSREASLPVELHQPNAGPAHWTQNTTSICSVEEEYVKKVASFPSPSTPLLPSMKVPEEPSRQRQTPIVELLGELVIGEEKSSFVRSSKVTRKIPNSEERNASNSLSTQMIKSQSQTSLVPNQVYPTQLEVSTADSTSQKKKRPVKERWRTYCLDRAVGWLSLTMLTMSVIMYGEVQIGGL >KZM90168 pep chromosome:ASM162521v1:6:11949225:11949692:1 gene:DCAR_022467 transcript:KZM90168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLSNPFLQAHLPPPSLSLKKDVCQTRPYTPRWTCRKPDIHPKFYDDAKVYCNGQLVMTTGGTKQEYVVDVWSGNHPFYQGSRSALLLDDDQVEKFRKKFSGLDSIMTIPDLKGEIILPPKRKAKKKK >KZM92374 pep chromosome:ASM162521v1:6:32957333:32958076:-1 gene:DCAR_020261 transcript:KZM92374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGPVSEISTSKEQEDEATVKEKQEHKVFNKPKKTNSFSSLQQLNALAVIIVLSASGMVSIQDFAFVVFTLFYMYFISKVAFPTFSSSAEPPVFGEKNRILGLYVFTGALIGLFLPIAYIFEGVVEGDKEGIQAAVPHVFLLASQVFMEGVAFSDRFSLPIRVFVPVVYNSARIFTIMDWLRTEISKGHREFSGSERRLVVGRGLAVANLAFWSFNLFGFLLPFYMPKAFKAYYASSPEIKKAA >KZM89751 pep chromosome:ASM162521v1:6:4261524:4269115:-1 gene:DCAR_022886 transcript:KZM89751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMEIEKASTGSIDDHLISHQTHLSHGLPLPALGAHSHHKLKQSGYIISPFNPYYRVWETFLVLLVFYTAWVSPFEFGFLEAPRGPLSITDNVVNGLFAVDILLTFFVAYVDKATYILVDNPKMIVWRYAKRGLVFDVISTIPSELARCALPHPLQPYGYFNMLRLWRLRRVSALFTRLEKDTNFSYFWVRCSKMICVTLFAVHCAACCFYLTATRQHNPKKTFLGIDYDNFHDESLAVRYLTSMYWSITTMTTTGYGDLHATNNAEMLFDIMYMLFNLGLNSYIIGNMTNLVVHRTSRTRKFRDTIQAASSFAQRNQIPVRLQEQMVAHLCLKYRADSEGLQQQEILDVLPKAIRSSISHFLFYSLVNKVYLFSGVSNDMLFQLVSEMKPEYFPPKEDVILQNEAPTDLYILVTGSVDLIRQRNGTEVTVRELQTGDVCGEIGVLCYQPQLFTARTKRLSQLLRLSRSAFLCIVKTNVADATIIMNNCLQHLKERNDPVMKEILVDIERMLAQGRSDMPVSLCFAAMRGDDSLLHTLLRRGMDPNELDSSGRTPLHISASKGCTECVVLLLAYGANPNSKDFEGNVPLWDAILGGHESVIRVLVENGADISSGNIGQFACFAVEQNNLGLLKELVRYGGDVTILNNTGTTAIHMAICQEKVEILKFLIEGVDLDKPDQHGLTPRALADYQGNEEIKDLFRTKKEVTSKSVPKGVPCMKKYQSEPPRRSEPIPDYTTSMSFENGASSNSCSLRPRTNNFDHSLFGIMSAARKTNKVGKDILQASVDFESEQILGNWIPRITIQCPEKKEFGAKVIPLPQSLQLLFDIGFKKFGVYPTKVLTKDGALIEDIGVIRDGDHLILASDNL >KZM91910 pep chromosome:ASM162521v1:6:28863275:28865305:-1 gene:DCAR_020725 transcript:KZM91910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHLGNLSTRVSRNELERVFRRFGPCNIQVKDKFGFVVYDITADAEKALRTLRGKNICGEPVTLSWSNRQPRPLGSNRRIGRTHESQTGRRHAKEKYGDQRLGLGDRRNYKSTDKQTDVGDRRHKSVDMGNEASYSKDNVEVYNRQKEHIVGDILPFEGTSMEPIPLDRESEQFGHQLNDNRLDFERYDPNNADSKRDDKDDLHHRTFSGASPTMKKSEEKKGTEQTCDTALKHPDNPKSLPTCFNCGKLGHKRLNCPIGEVANRNLTSRKNRRHDDKFYHRGKGEEELRRQRYISENVLQRIRSSVPVRKHWNERRESNYSKHQAVRSDRSSPLAMETHRSGRVEHQEGREEHQDGKRRRMERQSPVRHQAKKAKVAESSPIHSDYTACRSRSQSKSVSRFSSQSGLPLSKSRSSSSNTTSHCSKFGNHKTTLKSRTSSPASLSSPVSLGQALPSLKELQINEKMSLVNSAIHETEGDERGQLEGHAGSCDFNLCNASGSGENSNAVQSVQVDKDEGMDKTILDKRDGDHAIIKDAQKVDNSSAMGLDEDPLIAGNLSTQDLCVDLDHPSLSTDNLHLEVAVGSHSSSSITAEEMNMALKFYGLEQPEEHVPVDVFFGSARLWPWEIIFYRRLKKGLISDENYARRRSQNDEFGIVDKYIRSSSGWGDLSKNSS >KZM92347 pep chromosome:ASM162521v1:6:32709115:32711210:-1 gene:DCAR_020288 transcript:KZM92347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAGEVEPKAESLIEKITEKLHGDDSSDSDDEKKKSSEKESTVEAVKNKVYRILGREKPIHKVLGGGKPADIFLWRNKKISAGVLGFATAIWVFFELLEYHLLTLVCHVLILALAVLFLWSNASTFINKSPPKFPEAILPEDLVLGVAGALRIEVNRALAIFRDIAAGKDLKKFLAVIAGLWFFSILGSYWNFLTLFYISFVLLHTIPLLYEKYEDKVDAFAEKAEAEIKKQYAVFNVKVLSKIPRGPLKDKKFL >KZM90259 pep chromosome:ASM162521v1:6:13208461:13211121:-1 gene:DCAR_022376 transcript:KZM90259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSSPDIELLEKNLCEVELERDWRDGVGVRRKKRRKRRGFEGEKDEYGDVKQVFSRSRRKAGQHLSSEEEADYILLIKEEAKLEAARRKILETTKHDPTLSQLADVVGVPKSRLDKILCGGRESRQRITESYKRLVVSIASSYQGRGLNMQDLVQEGSIGLLRGVKKFNPEKGFKLSTYVYWWIRQAISKAVASKSRTIRLPGSVCEVIPKIAEANNSLAVRLRRPPSFEEIAKSVGTTVKTVRLIYRSSKEPVSLDQAMTSQGCMSLQDIMPGPEELTPESMLIKQHKKQELEKILNQLSDRESIIVRLYYGLDGKAPRSFEEIGNVLKLSRERIRQINGTALSKLKQNTER >KZM90623 pep chromosome:ASM162521v1:6:17575379:17575597:-1 gene:DCAR_022012 transcript:KZM90623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKSCPMVVTETTKYQKCPSHTPYYPSPPRIPVNKYGDTPGAALRSYAVTLPRTAALRRIGCAPLLSSF >KZM90668 pep chromosome:ASM162521v1:6:17922955:17924265:1 gene:DCAR_021967 transcript:KZM90668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWSGAVGAARKTLNQDKVPSSYESVALVVGITGIVGNSLAEILPLPDTPGGPWKVYGVARRPRPPWDANHPVEYIQCDISNPEETQSSLSRLNDVTHLFYVTWASRSTEAENCEINSKMFRNVLNCIIPNAPKLQHICLQTGKKHYFGSFEASGIAAHDPPYSEDLPRLESPNFYYNLEDILFEEVKKKDGLTWSVHRPGTIFGFSPHSMMNMICTLSVYAAICKHEGTVLRFPGTKEAWSLYSEASDADLIAEQEIWAAVDPYAKNEAFNCSNGDVFKWKHFWKVLAEQFEVECGEFEGDERLTLVELMKDKESVWDEIVKEYNLVPTKLEDIGVWWFVDYILGIEYPLDTMNKSKEHGFLGFRNSKSSFIHWIDKLKDSKIVP >KZM91252 pep chromosome:ASM162521v1:6:23571659:23572848:1 gene:DCAR_021383 transcript:KZM91252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFQEQAQEHLLAVDVDDKASVQRTKIKISGLKKGSNKDVAILRNIDLEVPKGVIMGVIGPSGSGKSTLLRALNRLWEPPSGTVFLDGVDICDLDVLSLRRKVGMLFQLPVLFEGTVADNIRYGPKLRGKKLSDSEVHKMLKLADLEISLSDRPGSELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVLVKLKTNQGMTIIMVSHSIKQIQRIADVVCLLVDGEIVESLEPDKLSQAKHPMALRFLQLSS >KZM92313 pep chromosome:ASM162521v1:6:32343483:32345274:1 gene:DCAR_020322 transcript:KZM92313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSSKKTKYTPGYEEPTVLASETSFSVSEVEALYELFKKLSRSIIDDGLIHKEEFQFALFRNRNKKNLFVDRIFDLFDIKRNGVVDFGEFVRSLSIFHPDAPVSEKIAFAFKLYDLRQTGFIERDEASIQVLRLKEMVVALLHESDLILSEDYVETIVDKTYTDADTKGDGRIDQEEWKEFVLKNPSLIKNMTLPYLKDITLAFPSFVLNSEVPDSEV >KZM90078 pep chromosome:ASM162521v1:6:10359804:10365287:-1 gene:DCAR_022557 transcript:KZM90078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRNRGGFSTEDERVSDMLVFTTMCIIGHYVDVFVKDGSVFSGTFYTSSLDQDYSIVLKKARMTKKGNRVANLGNKGVIETLVVKAQDLVQVGVLHSADGGTGNASRIDIGATSSVNATVSVEKAAQTTQNGKHGGKKKRINRSRSTARNGNGVVDCSPKGTDNLHIVADTESRKNIHPIMSKSAEDSGVASDTRIASRDESQQKQGDCEEKSEYRKEHNILEVQAISTVDAPDGTQSHVFNIPKKITSKVLTNNGHDSSVCLDVKIHGPEVAPISETIPSVVSTAISATIEATSETHLSTVKPANTVLQKISSLNKHAKEFKLNPGAKTFSPSSAQQRSVPSVVPAVTSVAYMTETSPVVSISAAQPEVGTSPFVPRSLPVKYVPYGGLVSSNGETEMQYSQPVVGHLGSRTQPVRYASHYHPVQAGTTYVHPTSQNLMVGRLGPLVYVHPVSQDVVQGAPAFSQVSNIPLFAQQVHLPKHQGNAAAQSLQWCPSTPYIAAGQQPFAVAPSHIPISQPHFPMIRPIHVPAGSNGFLGSKFS >KZM90552 pep chromosome:ASM162521v1:6:16821526:16821687:-1 gene:DCAR_022083 transcript:KZM90552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGMYGKPDKKAALKQLRTHAAMFGAWVVAIRVTPYVLHYFSHQDQQLSLDF >KZM92284 pep chromosome:ASM162521v1:6:32079495:32083457:-1 gene:DCAR_020351 transcript:KZM92284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSTKQVLVIDYKLAYPSGTATAVLINGFHTPKKDKKAKKQIHGFVKYFSVSFLWGFFQWFYSGGKGCGFANFPTFGLRAWKQSFNFDFSLTYIGAGMICTHTVNLSLLFGAVLSWGLMWPLIGEQKGVWFPETLPESSMKSLDGYKVFISIALILGDGIYNFSKTLYFTASSIYANLNKKTNRISSVDDTYALDDHQQNELFLRDRIPLWLACMGYMLFSVISIILIPQIFPQLKWYFVLVTYVLAPFLSFCNAYGAGLTDQNMAYNYGKVALFIVAASSGKNNGVIAALVACGLVKSMLSMASNLMQDLKAGHLTLTSPRSMLLSQAIGTAIGCVVAPVTFMIFYHAFDIGNPDGEYKAPYAIIYRNLAILGVEGFSALPHHCLQLAYGFFAFAILANLVKDISPEKVGKWMPLPIVIAVPFLVGANFAIDMCMGSLVVFLYDRLNKRKANFMVSAIASGLICGDGLWILPASILALAKVHPPICMNFLAAKS >KZM91553 pep chromosome:ASM162521v1:6:26002966:26006349:-1 gene:DCAR_021082 transcript:KZM91553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNLQPSFVRCVEESLSLCQNCDWMAHSGSNSGSVHKTQPVNCYSGCPSAAELSNIWSFLLDLPSVVDSTCEQGIGSMTINDKSQADFGKPTNNHQDLCIDASDSKNVNSNLWKGSKMAEIDKKRAEGNQQTESAKLTPTTVGGTKELDLCEDDDYYEDFNMDELELNIEKYEELFGVGHNDPQHLFNDDGIDSLFNMKRTGDDSNCQDAYAAEGSLIGLENIVQPTGSNAESADSMISCKTEPIACFARQTSNLSFSGLTGDSSTGGEYQDRGASPMLVMGEPPPWCTPESSLASSSRSSAVQRYKDKKKTRKFEKRVRYATRKARADVRKRVKGRFVKAGDAFDYDPLSQTRSF >KZM91100 pep chromosome:ASM162521v1:6:22126932:22137225:-1 gene:DCAR_021535 transcript:KZM91100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHHHHYPWRARPPPPPIVQQGNNICPICSISHFPFCPPNTRFFPHPPNNPNYFPPPPQFDPPPYYNHPPIDAFRMPRQFSPNFDHGVVGVKRMRDDVVDSVGSYSAFVSEDERRLKLLIRDHGTQSGYEKAGLGRGYSKIDESAIKSSGNSEMYEFQNPCVDGFDKGTAFVEPGVGSRGFGEEKGFGYRQMSDAENADRMRFQYKSSTMTDDMQRRPLVPQSVQGLYTGSNNDTGFHHNSQRLMSRDSMSIKEPYYSHQGHEMQGSINEQRSNFSVVNRDFDNQLSNSYRSSQNSEHQIPGIRPSFGVNAQLENANLSPSGHQNMQKGVYPSIYNGAAPRAYDIHPPLPASPPPPLPMEPRGNPYPRPFASSSPQLASNSLFPISVSSSIDVPLSHPPLAESQSVTQKYHSDKPTQHTSASAPCEDIQARQASFKYSVDQHTFPLRSIDKPKAIDASQIFKQPHRATRPDHIVIILRGLPGSGKSYLAKILRDIEVENGGDPPRIHSMDDYFMTEVEKVVDSDLKSPGSIRGRKPVMKTVMEYCYEPEMEEAYRSSMLKAFKKTLDEGVFSFIIVDDRNLRVADFAQFWATAKRSGFEVYLLEATYKDPAGCAARNVHGFTQDEIQKMAFQWEEASSLYLKLDAKSLLSGAGLEDGGIQEVDMDMEDEDPAGGLTGLEDGKFQDPTVPLINDVKSSDPVEHDKKWSVEDHPTEEVKELRTSKWSHEFDEGDGQRSESAKSNSGALSGLICAYGKKDKSVSWGDKVGNMGFSIGAANKVKLVSLVIGPGAGYNLKSNPLTEKDNLPLVKKKLESPRQNVFQEQLRAERESFKAIFEKRKQRIGGLGTEEDIL >KZM89530 pep chromosome:ASM162521v1:6:1692167:1693928:1 gene:DCAR_023107 transcript:KZM89530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPINLLSSMLFVSLCINFLRYSWFNPTELSSSAETVRDRKNSSIKGMFVFGSSLVDNGNNKFLKTLAKADYLPYGMDFPLGPSGRYTNGENIIDILGKLLDIPAFIPAFFNPATKENHTAVGVDYACGGSGILDETGSIAGGVLSLNEQIKKFEDVTLPQLQNQGKNRSKEELSKYLFVIGSGGNDYTLNYFLNRSKSNVSVHEFTDNLTTTLSSQLKKLYSLGAKKFVLMSLYPLGCTPRSIAAQPKRKGCDKSLNDAALLFNANLESLVKGIRPQKPSPNFVMVNAYNIMQEIIRDPVLYGFSDATKPCCQVPRRREGGNGISCQKGGTTCEDRNKYVYFDALHPTEAVNVELATKAFSSDSINDVYPFNIRKLAQI >KZM90391 pep chromosome:ASM162521v1:6:14978114:14979569:-1 gene:DCAR_022244 transcript:KZM90391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEEAIAFQDLYSYKNSIDIYDIFEGSYNWSSQCDLVQTEEQLGSLNILESGAENFVNNTSDLSHLVESHVEQRGCNSSVEFETTEIKSAPQEFPPSETSTTISCRPKKRRSRNKRNLEDIENQRMTHIAVERNRRKQMNEYLSLLRSLMPESYVQRADQASIVGGAINYVKELEHQLQCLSVQNQHNQNFDAKDTSSPFAEFFAFPQYSTSASDREKSMITDDNPWAKEGHSLSAMADIEVAMVENHANMKIRSKSRPRQLVKLVHELQILRLTILHLSVTSLDQIVLYSLSVKVEDDCKLNSGEEIATAVNQILAKIQIEGGCFSPNFLHQ >KZM90525 pep chromosome:ASM162521v1:6:16568887:16570137:1 gene:DCAR_022110 transcript:KZM90525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEAMPEAIQGLMEARESGPPLISHNNLKSDCHTSTATGTAFNNKKSRLSARDIEPRPNISAYHDDEKLKKGNAHVDDIEPRPNISSYHGDEKLRNNESFDKDIEPRPNVSAYHDDEKLKKGNFYRDDIEPRPNVSSYHDDEKLRNNESFDKDIEPRPNVSAYHDDEKLKKGNSNMDDIEPRPNISSYHDNEKLRNNESFDKDIEPRPNVSSYHDDEKLKESDSFSKDIEPRPNVSSYHDGEKLRDNESFDKDIEPRPNVSSYHDDANLKKSDSFSKDIEPRPNVSSYHDGEKLRDNESFDKDIEPRPNVSSYHDDANLKKSDSFSKDIEPRPNISAYQGDKKLTENESFIKDIEPRPNVSSYHDDDKLTKTDAFTKDIEPRPNVSSYDDNEALKEDKSYADDLKPRPNISIYQE >KZM89907 pep chromosome:ASM162521v1:6:7300178:7300522:-1 gene:DCAR_022730 transcript:KZM89907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLSARYSNSSSSLKSRENASGDGRLEGEDRKALLLKHGDSFSGNKKERQSSTTFSPEDVFSPIMDIHDSTIYASFTWLSHLVTLSAYLFVMLPSSSCFGNPFLVWNMVFKWP >KZM92029 pep chromosome:ASM162521v1:6:29908895:29909773:1 gene:DCAR_020606 transcript:KZM92029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGTTHWCYYCRQPVTLREQNGLCINCCGGFVQQLEDLPSPSLNVDDHNQRPGFMEAVSNFLRQQVAQRQRINISEARGRADSGTESGHFWGPWPIYSGDMPVRMPNNGGLLDLFNELLGFRRETGGDYFVGPGVEEFFEQFHVEDRRGPLPASKSAIDALPTVKVSKKDVRSDSHCAVCKEKFQVGSQAKKLPCKHIYHSDCIVPWLAQRSSCPVCRQDVTPQKPGSGNKNSNRREASGTNRQRQGPWSFLWPFRSSRSSSYHNGTAGSSSSTNHGVNHHREHFEWPVE >KZM91385 pep chromosome:ASM162521v1:6:24699475:24700633:-1 gene:DCAR_021250 transcript:KZM91385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREFPRSLADCQESDRTLKNYSGDPASPSVMIFGFLEEGLESSNSSYFSSGNSDSSGDYVAEEMDDDDDDVDSCSAEKNKVFWESQDQLLQATLRRSSSAESKIRKTTKETIRDIKLGGTTCVCRKPLADCRNCLQKEISLRLQTAKYNCAICKSKWMSTKEIPSGDHTYLEVVDNSSINKGEIRVVIELNFRAEFEIARAGEDYCQLTNRLPEVYVGKTERLRAVVKILCSASKKCMKERKMHIAPWRKQKYMQAKWVGVREVSATTAVLPSGGRFVEWSRPRQRRASMLSFDLLETSPALHCQAIKVL >KZM91775 pep chromosome:ASM162521v1:6:27760469:27761053:1 gene:DCAR_020860 transcript:KZM91775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDQALEHYESVMNEKFMFMRHWNALRFAPKFQAYFKKKNRSARDTDASSQSVDSQIPNLESDEMMERPIGRKAAKKLKRAADKESLELIKTMQKDALAIASSRSESVNRSLQLQLKMMQLQKEQLEMQKEIMQLQKEKLQLRLAREERERQKEERERQVYEASIMAVDTSKMLPDQAKYYEALKARIMRNIL >KZM90660 pep chromosome:ASM162521v1:6:17874310:17877317:1 gene:DCAR_021975 transcript:KZM90660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNNNNNNINNRFLGFVNPNEPDNNMYPMSVPLALPESLLDDFTRMNLNLSPYPPHQSQDNHVHVGTGSFPGINANNNFRHHVLESPRVVLDSPTDVERMRLEAAVRAQAQMRHLLYLQNNNINMNVVNNSRFMYNGHVPNAMTNGFEAPVVRMNRNNDYAGFLAANNNVIMRDNGRYNVDDPFMFNYLMNNGDGIVSTNNVVSTNNNFRGNRPLTLQREQMLRFTSMEEVRGSVYKLTKDQAGCKFLGEKIQKGKPEDVEMIFAEIKDHVRALMVDQIGNYVIQKLFEFCNQEQMNKMLMSVISDSRSLLSMCLDTHGTRAVQKMVENLKTPNQMSLFVSVLKQITLPLIKSVNGHHVIQNCLTFFTDKEHIKPILEVVAEHCIEIAMDKSGCCALQHCVGYAEGVYKKRLADQITSNALVLAEHAFGNYVVQFILGLGLPQYTTDILRQLEGNFVYLAMNKYGSNVVEKCLKESTEDQISEIISELVYSKDFLMLLQDQYGNYVAQSALEFAKDKQKVILVHAIQEHYPFIHSHPHGKRVLSRVKALSKNHA >KZM89916 pep chromosome:ASM162521v1:6:7394152:7396883:1 gene:DCAR_022721 transcript:KZM89916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFDTLDALDNSKYEWNIKDSYSTKGKREFKGRNLLLLDDKHKRMHAFIWPNYLQEFKNSFDEGKIYAIRNFAVKSYRKESLRCIKSDKQIWLSNYTKVSLIWNDEKSEKMIRQNEFDFFDLGEIADMIKQEANNHLIDIIGVLKDRDVLRHFTNQNQVEQRSIKFTLTDGNSSIKLCFWDDFGKSFDDALNKEIENPIVIIVAGGKLNDYNGEPYVSNVAATRFYVNSDHCSRKVMCQVIVKTVKDNMDWNYRACTSCHEEVEFIDLKFKCQTCKRIVPFPDMRFRLCLVVEDATGGAAIILNDREVGNIVGRTVYDVITEQQRENEATDEFPKCLKLFEGKQYTITILLNEDNINRGSNTYLAVDISEGFEINEKNASEDDDSYGGFKISQSSAQSVAKEDNLKTPDTKKSANTKKVRRMNNEEIITITELDDENDDTGLQNESLLKRKKGSDEAG >KZM91075 pep chromosome:ASM162521v1:6:21839985:21842159:-1 gene:DCAR_021560 transcript:KZM91075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMFTGTTQKCKACEKTVYVMDELTADNKVYHKACFRCHHCKATLKLFNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGAPKMTKVDRSAEQGQNNKRVLSMFGGTQEKCTACIKTVYLIEKVVVDGTAYHRACFKCSHGGCVISPSNFVAHEHQIYCRHHHSQLFKAKGNFSQLVKQNQVKEVVKQDRVTELTDNTAMA >KZM91264 pep chromosome:ASM162521v1:6:23660630:23662777:-1 gene:DCAR_021371 transcript:KZM91264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRQNLPQNHHQDAKLSALFLTIVIFASLVIFLSFIYFIYYLWYSLVHHSKTSPYDSPNFLVKLQKFTFKELKEATNGFSSSNSIGRGGSGAVYRGILKDGKTVAIKFLDSVSFEGDPEFQNELQILGGLKSPFIVLLLGYCVEKNKRLVVYEYLPNKSLQESLFSEAGLCLSWVRRYNIILDIARALQFLHLECDPPVIHGDVKPSNVLLDSEFRAKLSDFGLSRFKIEEGEFGVDLFSQDFGKSQELSGNLAIAGGETPPTGTPEESVNEVDFSLALQASSSRKNGIRSTNNVSGLRSSSFDCNDIGSNYNDTKNKNIKGKEVMNLDDAGKDSNKFADYDCEACSVDLSKEFNSSDAMIVEDNVVGKKQWGRDWWWRQEGTGELCSKDYVTEWIGSQICPSSDQDWEEEKKGYHDKTNLDCSKQADKYELEEVKESELQVHRIECPKKKDEKEKSKDKKITSSNKHKKMKEWWKEEHLDELKKKSKRASKLETKSKKRTKMPHLDLSKCIHFCKRRRLMQQGQTESDPHTEFSFRKGIKKSNDHSMGSDMWSGEIFSRELSSTTSMRGTLCYVAPEYGGANYLMEKGDIYSIGVLILVIVSGRRPLHVLSSPMKLEKANLLSWCRHLAQVGNILELVDERLNDEYNKDQASLCINLALACLQKMPELRPDIGDIVKILTGEMQLLSLPYQFSPSPPSKLYSSSRRKQKSYAE >KZM91967 pep chromosome:ASM162521v1:6:29459944:29460916:-1 gene:DCAR_020668 transcript:KZM91967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQELKDALGMPDNAPPSWLINMQERKHQCTVCYLPACILRGFPIDWRSDIATPAVAYGSRTGGSTRAGLFRTPISGGV >KZM90181 pep chromosome:ASM162521v1:6:12170075:12172538:-1 gene:DCAR_022454 transcript:KZM90181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESRSAEQSNFGFFLQYYYMHTERKREAEPLRGSWMLLPPTQYRQRPMTSSLMSPAYSCNPAVDRASNDYSVSGSSLASSLPSQYQLSFNFGGTTESYMLAVGESKYLKPAQSLLEEAVSVGGRSIQASNEEYIKRLSPADKKGSLGLWSELRSDLFNNASSLDKQLEAKLSKLISLLEEVERTFEQYYHHMEEVVSSFEVIAGSGAGKSYTALTLQAMSRHFCSLKDAIIYQIGATRRKHMPKINMGLSQLSLSDQENRVSLQQLGMIHSTRQTWRPIRGLPENSVTILRSWLFEHFLHPYPNDSEKLVLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFADDSINPAQESNSTSNERITFDAEESSN >KZM89503 pep chromosome:ASM162521v1:6:1360739:1363102:-1 gene:DCAR_023134 transcript:KZM89503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQETKTLSDEYEVTNILGRGGFSVVRKGKKRSTADSEHSHVAIKTLRRMKSSDSSGQMQLKNRAFPSFKQVSVSDALLTNEILVMRKIVEDVLPHPNVIQLYDVCEDFTGVHLILELCSGGELFDRIVAQSKYSEVEAAAVVRQIAGGLAALHQANIIHRDLKPENCLFLNDSKDSPLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALSQGKISSRSDMWSLGVILYILLSGYPPFIAQSNRQKQQMIMAGEFSFYEKTWKNISSSAKDLISSLLAVDPQRRPNAEEIVTRLHRFNARRKLRAAAIASMWSSTIFLRTKRLKTLVGTYDLKPDELENLNIHFRKICADGENATLSEFEKVLKAMKMSSLIPLAPRIFDLFDNNRDGTVDMREILCGFSSLRNSQGDDALRLCFQMYDTDGSGCISKDEVASMLRALPDECLPADITDPGKLDEIFDLMDANNDGKVSFDEFKAAMQRDSCLQDVVLSSLRPT >KZM89654 pep chromosome:ASM162521v1:6:2909791:2911976:1 gene:DCAR_022983 transcript:KZM89654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGSEGGSAAAPLNQWRHDVWKRFQFYLDKTTPHAVYRWVGTAVLASLYALRCYYVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEPVNGSLLPTKDSDEFKPFIRRLPEFKFWYAITKAFCVAFVMTFFSMFDVPVFWPILLLYWLVLFLLTMKRQIMHMMKYKYVPFNIGKQKYKGKKPSGSTGNMSRRD >KZM91916 pep chromosome:ASM162521v1:6:28916921:28921774:-1 gene:DCAR_020719 transcript:KZM91916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQPSNGQAPPPSPSARPPVSNGGNGIPPPIKRHLQFAAMKPPFVPSDDYYRFGESRGVADHEADVIIVKSTPSKRKPGNYNEVESSRLSMSPAYTNTVKSPNQTPVSGKGGRVYGRSNATKSLASVPQTPMSNAGSPSPLTPAGSCRYDSSLGLLTKKFINLIKQAEDGTLDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIHWKGIDASRPGEMEDDSNVLQAEVEKLSLEEKRLDDRIRFNGFSLIKQSLIPELNKTLIAIKAPHGTTLEVPDPDEVVDYPQRRYRIILRSTMGPIDVYLVSQFEEKFDEMNSVQPSMSLPLASSTGSNDNPAAETAIVGHGLENGTMTQDGHGVNSDLYTSEDISGGIMKILPSELDNDADYWLLTDPSISMTDMWKTDAGVEWDGASLLNEEFELPCISPRPQTPPSGVADVSTAANGTQR >KZM90980 pep chromosome:ASM162521v1:6:21001200:21004948:-1 gene:DCAR_021655 transcript:KZM90980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLMLGKDVVDSGVRPRLTRNVSGSQVKPPRFASSKRSINQVKKSSHAYQVTNLLLENRAYGVPSIALSGSTLSAFDDVSVEDPDFLYIQSLAEADIVSSKMSVDNSSWQKGVRFCPDRFISRQDLISWKAKLDYEVMPGLREEISRKSLDFMDVREINSDAIVVLFKDLLVGDKSIYRTVFGLSLCFCVPENDLHDSKKRAASHEVECSSDNSTPQASESGSKKKNVSDAKQPTDQTLAPIFDLNQRLVAEEEYQDSCEMARQDKLSELKLSLCRNVGHGSNRAVKRKISWQDPVALRVGLAIINIF >KZM90302 pep chromosome:ASM162521v1:6:14001733:14002119:-1 gene:DCAR_022333 transcript:KZM90302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPHQDLSNCGGADKDGGGFCRKSVRGNVCEKEENRVPLFRFRKLRRKNKLPSSESRWCCFGGKEENVGGWGCFMCFRRSPGGDSPARSDPNSPNFTFELMRSMIEKNDFYSKDCNTHFESEGDPKD >KZM89592 pep chromosome:ASM162521v1:6:2364508:2368239:-1 gene:DCAR_023045 transcript:KZM89592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQSEAEILSSNSSNTSPATFKLVGFNNFVRANPKSDHFAVKRFHHIEFWCGDATNTSRRFSWGLGMPLVAKSDLSTGNSVHASYLVRSANLSFVFTAPYSPSTTTSSGSAAIPSFSASGFHSFAAKHGLAVRAIALEVADVAAAFEASVARGARPASAPVELGDQAWLAEVELYGDVVLRFVSFGREEGLFLPGFEAVEGMASFPDLDYGIRRLDHAVGNVTELGPVVEYIKGFTGFHEFAEFTAEDVGTLESGLNSVVLANNEEMVLLPLNEPVYGTKRKSQIQTYLEHNEGAGVQHLALVSEDIFRTLREMRKRSCLGGFEFMPSPPPTYYKNLKNRVGDVLSDEQIKECEDLGILVDRDDQGTLLQIFTKPVGDRPTLFIEIIQRVGCMLKDDAGQMYQKGGCGGFGKGNFSELFKSIEEYEKTLEAKQITGSAAA >KZM91162 pep chromosome:ASM162521v1:6:22675642:22676435:1 gene:DCAR_021473 transcript:KZM91162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTLLRAGYQSLNHSDRNHVDFVKSDLQDESQLVVDFLVRIMVYVTLMVVIMVMIMLIMKFMKVYDQEADSVVASSSETDRLLPKETMSYLDYGTCCEQDSVLKNYSSSSSEDLYDENICIICYDNSRNCFFVPCGHCATCCACAKRIVDDGENKNCPICRRLIQKVRKLFTS >KZM90670 pep chromosome:ASM162521v1:6:17936855:17941417:1 gene:DCAR_021965 transcript:KZM90670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGCLDMGCLEKLKNHQSSVDSTDSPRSSSSKSGKKRTVRDSHPSLSGLSKVTSQIKKPSRRKVSPINWFPRTKVESYLKRKIKMLQDVDGMRSTLDETLGDSNPHYSRVLREKIAVREAAKKALEARKAALVEASWCRILKASRIETKEAETMLLKAENTAAEAFEAAKAIGVIMYDIPDYSQKHYQIETLNVNGGDSTRHTVRTSFETAFEVDKQVAAAVKAAFINLANCTSKDEIKEMLRTISHNPDTDDSNLEGLSSECESDTGSEFESASVDANICSQDVDAVALVGKARQRKYKRQFPEKHHKAKLVDMMLERIKCLKDDELASLATIVATCGLSAVLAKAENIDQHNLGAASDHAPAANLPRRVSSFGAGRTRTYHTDVQLHNQVETEIPSLDKFLVKRLTRLEREVQEAKNSKINKLGEEGYREEQEKPDHEKVCSSGSILTADLGSILVKHKSKLEKEVEEAKKNSGKMFVKDPMIAKGSNNSSEVVPDLGSVLNKKHVSKLKKEIEEAKREMGKLYEPNGKKAAREQNWSFGQTQQDVSEFPSLDKTMVKHMSRLEREVQEARFERKREADEKDKGTGTGNNSAVVVPDLESMLTRKHVSKFKKEIEEAKRENGKLYESNGKKTERKQNWASGQTQKDGSEFPSLEKALVKRMSRLEREVLEARNGKKKKPSIADSVENSTCSLGGQVGKENIDLNQELETTQNGISIDFSVETSIPNEQSILEAAEALSLDHKGLESSNGCESSLDKIVVKPPVHRVQKEKTKAFPVEADQGSNVADCESLDKVLIKHVSRLEKEKLEYGKKQEVTNIKKNRETKKELESSEGSLDQILIKPKSRLEREKISAAQQSDSQILHSATRRQAREKEMQQAWGGLSLGNSILPRQSRLQRDKAAWQKAEEEERMRATEHV >KZM89938 pep chromosome:ASM162521v1:6:7720733:7727618:-1 gene:DCAR_022699 transcript:KZM89938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNIFIDGELSALVFFHHWGIHAQKYNRDITKASDNEVLHRCVYKKFGHRKRIRNEAGVIRGYVLRYKMYDSSLGRNDEPASWNILEYMLPDDEYPVGLPADNKDHTDQEEPNNEHENEEQPQEEEQSDKQTEEQNLEEPEAEQNLEEQNLQEDEQADEQEDEVPEANEKTKTTKFKRKAFYPDTNLPKKKKPTIILPTMRYNNKESVVIEGAKHINKQKDEVKLRVSPRLFSEVIYFLTPEQRKWVQKAGFSLLLEFQLEMLPAKLSYNVLQIFDHNSVSLKIKDKEINITEDDVFDVLGLPHGDLSIRLGTEDEYRERIDAWLAQFKYDKDQITAQRLVQVMRGQPVTENFKLNFLLLMSNALLGTTTSSYIDRQLLRFDDDLDNLRKYNWPEFLLDYLVLATENWNRTTTTFFRGSLVFLTLFYVDRVRNKGIKIVERQFPSYKGWDVDTLRERQALELLGGGAFGVGQVLKPLREYLHEEDPFENQPKNPSNSGTNASEQNEIWDDLNVWQTVDDIEADHIRNKEPQKAFSPDDPQENDGNINENTINRDSQEDTEIEPSEEDIVQKLTTRAQDILEAKFQLEDDLRKAREKFPNCYSLKTIEEVICENFPAKKPPPTTSSTHEHVNPAVDPDVHPPSKANEQDQNPPVDPQPSTTNAPADQSKEPPTVQMSNQSEELGDKEPEIVNDFDRDLSPKSLEQLEIAEKRLRFKYGTAILSSPANELREPIMKEAEDLYKKAADKKIFEMVKSRSSKPQPPQQKEKETSSTKKTVKFARNLATIFEDVACQSEEEN >KZM89966 pep chromosome:ASM162521v1:6:8975146:8976987:-1 gene:DCAR_022669 transcript:KZM89966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAFEAAEKIILRWDSTASEDARDRMIFDGDRYEINSYLQAVDDVQRSMESTTXTLSDDQSKASTAIQIAMARLEDEFRNILLANANPIEIDALLDINVLNLSQSSHSLRTDSSSGDFTEDNVSGNDECLQDLGAIDQSRRSTSSYRSMLSIRELDLVPMEIVTDLRSIAERMISAGYVRECVQVYGSVRKSVVESSFRRLGIEKLSIGDIQRLEWEVLEVKIRRWIKAAKACVRILFASEKRLTELIFEGLGSNTDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALLELLSDVEVVFSSKSSESIRIQAEEILSRLAEAARGILSEFENAVLREPSKVPVPGGTVHPLTRYVMNYISLISDYKETLFELIVSKPVTGSRYSEDLTTPDMDFGEVEGQIPLALHLIWIIVILQFNVEGKSKHYRDTSLVHLFVMNNVHYIVQKIKGSPELREMIGDFYLKKLTGKVRQAATSYQRATWVRVLHCLRDEGLHVTGSFSAGVSRSVLRERFKAFNAMFEEVHRTQATWLVLDTQLREELRISISEILIPAYRSFLGRFRTHIESGRHPENYIKYSVEDLENAVLDFFEGNPVSQLSRRRSG >KZM91000 pep chromosome:ASM162521v1:6:21208499:21213723:-1 gene:DCAR_021635 transcript:KZM91000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEEKKVEEQKVEEKKVEEKPKKLVDEGEKKEEAKEEKEKSNTNEAKVEEAPKEPPPPPPPQEIVLRVYMHCEGCARKVRKCLKGFQGVEDVVTDCKTHKVVVKGDKADPLKVLARVQCKSHRQVELLSPIPPPPAEPKKAEEEVPKPPEKKEEPQVITVVLKVHMHCEACAQEIKKRIERMKGVEIADPDLKGSQVTVKGVFDPPNLVQYVYKKTGKNAVVIKQEPEEKKEEVKGAEATEEKKDGEGGDKEGKKAEEPAQAAPEDGKEKKEEGGEKEAAKAEEVAVAATGENEKKNEVMELVKKNEFFYYFPPQNYQNNPPRVADPYPPAPQIFSDENPNACSVIVGVNWGTMTSHQLPPAQVVEMLKENGIEKVKLFEADPKIMEALRGTHIQVMLALPNFMLMQLSQDSSVAVAWVEENVTNYFYPGGVDIKYVAVGNEPFLQTYNATYLPYTLPALKNVQEALNHAGFGSQIKATVPFNADIYYSPESNPLPSAGDFRPEIRDLTIEIIQYLYANDAPFTVNIYPFLSLYGNNYFPLDYAFFDGLNKPVRDGEYVYNNVFDANFDTLVWSLKKAGYPELKIIVGEVGWPTDGDKHANADSAKRFNQGLIQHALNGNGTPARKGVIHVYLFSLVDEDTKSIAPGFFERHWGIFEFDGKPKYELDLSGAQQNKGLVPVEGVRYMPKKWCVLNPRVKELDDLASNVDYACSLSDCTALGFGSSCNHLSRKQNASYAFNMYFQFKNQNGWDCDFSGLATLTDEDPSDDKCRFPIMIARGSSKILLHRKIWYILLAILEGIIVFLLVVS >KZM91156 pep chromosome:ASM162521v1:6:22628326:22628778:1 gene:DCAR_021479 transcript:KZM91156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGATGTHGMHGSGATGTHGTGLSTGTYGQGQGQLHRSGSSSSSSEDDGMGGRRKKGMKEKIKEKLPGGHKQEQQGYSTTTPGGGYGTTGGYGEQTHEKKGMLDKIKEKLPGTGHH >KZM92226 pep chromosome:ASM162521v1:6:31664756:31668950:1 gene:DCAR_020409 transcript:KZM92226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSFKASRLSMSSGADESNSQQNPSMAPTVTFGRRTSSGRYVSYSRDDLDSELGSDRFTNYTVHIPPTPDNQPMDSIQQKVEEQYVSSSLFTGGFNSVTRAHLMDKVIESESNHPQMAGSKGSSCAVPGCDAKVMSDERGVDILPCECDFKICRDCYLDAVKSGDSICPGCKEQYKVTDLNEVVDNGRPLPLPPPRTMSKMERRLSLMKSTKSQLVRSQTGDFDHNRWLFETSGTYGYGNAIWPKEGGGFVNGNGEEVAEPSDLVSKPWRPLTRKLKIPAAIISPYRLLVLIRMVVLGLFLQWRIAHPNNDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRSTDLKVLEEKFETSGPNNPTGKSDLPGIDIFVSTADPDKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANMWVPFCRKHNIEPRNPESYFSLKRDPYKNKLRTDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKQQRQKRDDEVVESIKIPKATWMADGTHWPGTWLTSSAEHGRGDHAGIIQVMLKPPSDEPLQGTSDDVGIFDLTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMKEGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRAKEHSPGCCSCCFGRKKRSSNNSEENRALRMGDSDDDDMNLSLAPKQFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGERVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPKMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLAITITLCLLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSSGDEEDDDFADLYLVKWTALMIPPITIMMTNLIAIAVGISRTIYSTIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPEGANEIGGSFQFP >KZM89694 pep chromosome:ASM162521v1:6:3442867:3443085:-1 gene:DCAR_022943 transcript:KZM89694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTNKPQFQEIISSTKIFTEEAEALLKEAIQEQMERFILQEQV >KZM89897 pep chromosome:ASM162521v1:6:7075884:7078905:1 gene:DCAR_022740 transcript:KZM89897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSKVSLAKKCLVLRMMNHRLKQPEFEEYNKSFPQWKPIEILSIEQIKNVKAEHSETDVLCKVMINEVLQDAWYKYICTSCYSNLQVVENQMHCLHCPRSVPYADKWFEIYCIASDATGTLPIVLGNFSAMKCFGKTAYEICDEENNVFPQIIRSLEKKEYTLKLLITIHNITGMKKVYTVKDMVPGHILKPIKSESEDNIPKPIQESFAEVRF >KZM90442 pep chromosome:ASM162521v1:6:15837479:15839068:1 gene:DCAR_022193 transcript:KZM90442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSWVLLLAFLPVFITFSWPASAEVASQNFVQCLSRSSLNPDTTSSVTFTPSNSSFTSILNARIDNLLFATPATPKPQVIVTPAQESQIQAAILCARKNALSIRFRGGGHDFEGQSYRATEPFVLLDMINFRQVNIDLTSSTAWVGAGLTLGELYYRISEKSSTLGFPAGLWSTVGVSGFLGGGGYGMMKRKYGLAADNTLDARFIDVNGQIHNRKSMGEDLFWAIRGGGVSSFGIVVSWKIQLVPVPETVTIFAAARTLEQNGAELFRRWQSVAPNFDERDLDVRSVVDTLLSNSSAREDKKTVRFVFQSLFLGKIDRLLPIMQKEFPELGLVREDCVELSWIRSAPFFSNFTLGTPPEILLDRNAIPRSAYKGKSGFVRVPISAQGLTGVWDRMLRLPVQTAVLQFTPFGGKMDEYTESSLPFPHRPGVLYMYNLGINLDEDATERLNWIDELFIYYAPYGTNSPRTSYVNYLDLDIGQGSRTYAEASVWGRKYFGNNFDRLLRVKAAVDPANFFRHGQSIPVFRK >KZM91817 pep chromosome:ASM162521v1:6:28034764:28035105:-1 gene:DCAR_020818 transcript:KZM91817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKYKNSQLAVARLFIKLLQRSLNLHNIAIDEELNKKEKLPQDVKEGHFAVFAANGEVPKRFVVDLCYLTNAAFLRLLEQAGEEYGFHQKGVLKIPCQAEELEKILQDSKES >KZM90071 pep chromosome:ASM162521v1:6:10292198:10293293:1 gene:DCAR_022564 transcript:KZM90071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAYSIDLSSKVSNSTPGQDVTVATDSGKSFTCTARFDTEVVLKYFDDGGILPCIIRRVLVHSYRYCGTDYNGNLELDPEWRSHTTRMAMWCHKCCDNGTSILFVLNWRSNSAHNIQHGSDKIWEMLYAERILISRTVLQKSGIGPSRLSHRFKD >KZM90441 pep chromosome:ASM162521v1:6:15814496:15815674:1 gene:DCAR_022194 transcript:KZM90441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLYFSVICFIFSAISIVNGQNSPGTPTDPYGYAKFSPSMAIIIAVLITALFFMGFFSIYVRRWSQSGSGGSVRATLSMRARRAAAARGLDATAIDALPTLAYAEVKDLHIGKGALECAVCLNEFEDDETLRLLPKCDHVFHLECIDMWLASHTTCPVCRADLAAEPVEAVAVADDVLPVSESANEVDNVVEPQEVRVTIEHDESQERPTNGLLEMPKPDVMKRAGSVRVNRPPRSGSVRSGSVRSKLFGIENIFPRSHSTGHSLVQPSLVQPGENMDRYTLRLPEELRNEIIKRSLNRTGSIVGEGSVKKGNKNEEGSSRGKTIKRLGSLERVGKSDRWNFARMPSFLTRALSTRSKVVAGDGEPSTSAQPGGNDGSVKGKTSDPAKLPV >KZM92392 pep chromosome:ASM162521v1:6:33076418:33077512:1 gene:DCAR_020243 transcript:KZM92392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEDSDLTSDISGLGVFELDALQDWEYKFMSKYVKVGTIKKTVPVTDGETTEGDAKPAEAAPSESVVPEPKETTTGGEADKE >KZM92547 pep chromosome:ASM162521v1:6:34254392:34258925:1 gene:DCAR_020088 transcript:KZM92547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHGFTAEGKGNAGLSKHSASRSIRLGMKKRSQGLMTFSKSLRSGVTRAVFPEDLKVSREKIFDPQDKFLFFWNRLFVISSIISVYLDPLFFYLPVFENADMCLHIDRSLAHFIVTTRSIVDSFYIFRIALQFRTAYIAPSSRVFGRGELVIDPAQIANRYLHRYFIIDLLSILPLPQIAVWRVLQESGDADVLATKKALLILILLQWIPRFWRFLPLINDMKKTVGVVTESAWAGAAYYLLWFVLASHVVGSLWYILAVERKDACWEKACSTSNKCNKDFLYCGQAVTKEHIQWINENRLAIDRNCSAAADNPEFDYGIYARAISSGVTESEDLVSKYLFCLWFGLQNLSTLGQGLETSTFASEVIFSILVAIFGLILFALLIGNMQTYLQSLTVRLEEMRIKRRDSEQWMHHRVLPQELRERWLETRGVDEESIVGSLPKDLRRDIKRHLCLNLVKRVPMFENMDERLLDAVCERLKPSLYTQNTFIVREGDPVNEMLFIIRGRLESVTTDGGRTGFFNRGYLKEGDFCGEELLTWALDPKAISNLPSSTRTVRSLTEVEAFALPADELKFISSQFRKLHSRQVQHTFRFYSQQWRTWAASFIQAAWRRYARRKFLEIRRNEELSMEESDDNIAEEERALLKSTSRSKFKTTMLASRFAASALRGVQRLRSRGSLAGGELMKVPAKPREPDYTDDD >KZM92566 pep chromosome:ASM162521v1:6:34410777:34413010:-1 gene:DCAR_020069 transcript:KZM92566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEDHGDMRFHHRDGDGLLNVSSSVMSTNPLSDKVAGKAMGSASMFKAINGPDPFGSGWDPLVSLNQSENFGGHSSYSSRGYSVDNQAIGSSSHLVHYQSDSGLGEMVPKLSGFGSGSFSEMVNSYGIPDCGQMSYSLNKGGMEKALLTGTHSRKDCQIPDDKTSPDRKXXXXXXXXXXXXXXXXXXXXXXXXRKNKRKAADTDSLLHANKNDSEQQDPSAISLEEDGRKQKTEQNITSNSRGKQSGKQAKENSDSGDAAKDSYIHVRAKRGQATNSHSLAERVRRERISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPEVNIDIERILSKDLLNSRSSSAAILGYQGLRPTHPFPLGNIPGIPNTTPSYHSMPQAVWDNELHNLLQMGFDANNNLGPTGRPKLDL >KZM91792 pep chromosome:ASM162521v1:6:27855085:27855928:-1 gene:DCAR_020843 transcript:KZM91792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKEKITANSIVNHQSNPHVNFKKISYRRGRYSSDYTCTHCFRTFTSAQSLGGYLKAHQAEKKEEKRLHVKNHLSYRKQLFLRSLDPNGVSSVSDLPPTLHVQGPPEAISAGNDGNETKPKSLLSFDLNLPAATGSDDELDVEK >KZM90554 pep chromosome:ASM162521v1:6:16837846:16839183:1 gene:DCAR_022081 transcript:KZM90554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQKIIKTVVTENSRPRQKLETLLLHSDINILNNEEDVEFVQRRLSSASVPSPPLYDTPSASPYVFSPWNQPISPYINSPWIHQPSTDDYNACSWQPGLIGSLVREQGHIYSLAASGNILYTGSESQNIHVWKNLKDFSGFKSHSGLVKAIIVTGNKIFTGHQDGKIRVWQVPVGEKRVYKRIGNLPTSKDFVKSSMNPRSYVKVRRHRNVPWIKHFDAVSCMSLDEETGLLYSGSWDKTVKVWRLSDLKCMESVNAHDDAVNSVAVDGGLVFTGSADGTVKMWRREVGKKVVRHVLVQTLLRQECAVTAVAVAASGVVYSGASDGLVSYWVREKKSLRYGGALKGHKMAVLCLAVAGNLVVSGSADSNICVWRREESGDHSCLTVLVGHTGPVKCLTIVEEEGSIVEDEEDDQRWRLYSGSLDSSVKVWRLSEHAHEVDHFGE >KZM91286 pep chromosome:ASM162521v1:6:23826876:23829420:-1 gene:DCAR_021349 transcript:KZM91286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRSLFCCISASQREGKGKKQATWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAESLLDWKRRMSIAIGSAEGIAYLHHHATPHIIHRDVKASNVLLNSDFEAQVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPLEKLSSTMKRTITDWALPLACERKFSELADPKLNGKYVEEELKRIVFVALICAHNRPEKRPNMLEVVELLKGDAESKMTDLENDELFTSTKPLDCDDGSSCVEDSSDYISAETESKQEIEKVEV >KZM89727 pep chromosome:ASM162521v1:6:3857925:3859102:1 gene:DCAR_022910 transcript:KZM89727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYCMEARALKSSLLSQVAMKSSTQQVFIADDDVWCVTGLNNVTCDDFSVDDLLDFSDKDFKETFEEKHSSVSVDDDNNSSNSANSPTSADFAGQLTVPVDEKENLEWLSQFVDDSVSGVSLLCSAGGHRSEQDRKRFTVLDLPNPVHKKPRTKRFRTIGKAWSHGLLFLTMSFSTSMCSYQDSTPSYPVQVMDSFGSVQKPPAKKPRKVTAGESSFSGPQTFRRCSHCLVQKTPQWRTGPLGPKTLCNACGVRFKSGRLFPEYRPACSPTFSGDVHSNSHRKVLEMRRKKETVEKVETRFMPMVRSF >KZM89805 pep chromosome:ASM162521v1:6:5181248:5188972:-1 gene:DCAR_022832 transcript:KZM89805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVSQADPSHLLQYLDSILDSDPFINEVGFIHPSQFLALNEEAAAPVSEELSAANSSDMVFWHRDHKLGISTQYLVPLYKAAKCAFMAAVGRYNDSNLSAESGEKNVSSLQDLCAVQVMKRSRALLLLTSDFGTAWNYRKLMILREPHVSTYMDELGFSKLVLSYSPKSECAWSHRRWVIKKISGNCLNLQEIVENESDLVKLIAEKSKMNYRAWNHRCWLVSYMSSEQVKHEITSSRVWAGLNVADNSCFHYRSRLMLRMLEGLRTKQGSKAVSSDNAEYYKIYKEELDWNETLIKRYIGREALWLYRRFLSLFWVDQFAIVDADALAHINQTPISTGEIKTFLDNEVQLFYSCQGYSNNDFEDYQAQATFSATYMLWITRHFSQYAGFELIMKLRLRDLQEVLDKYCPERSFLWDFLRTP >KZM90357 pep chromosome:ASM162521v1:6:14577338:14579053:-1 gene:DCAR_022278 transcript:KZM90357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFRLILRSLSRTHPLAHPHLNPNPTHLIPLRTFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPNAPRPTRDPNAPRLPDSTSALVGPRLSLHNRVQSLIRANDLDNASIVARQSVFSNTRPTVFTCNAIIASMHRAKRYQDAVALFAYFFNQSNIVPNVVSYNFLMNAHCDMGNVDIAMDVYRHIIANAPFSPSSVSYRHLTKGLIDAGRIGDALELLREMINKGHGADSLVYNNLISGFLNLGDLEKANELFDELKERCLVYDGVVSATFMDWYFKQGKEKEAMDAYKYLLSREFRMVPATCNVLLEVLLRYGRKAEAEALFDKMLDDHTPPTVQAVNSDTFNIMVNECFKEGKIAEAYEVFKKVGKGAKSKPFMMDVGGSNNMIMRYCEHDMVDDAEKVYLELCGRSLNPDVTTYRTLIDAYFKVGRVDNALEKYIKMVDVGLRVIPPYANQWFSLLIENGKVMDCVPILTKMAEREPRPDVTTYDIVIRALSQQGNLDAVLELVGQMCRYGVGITSPLEQFLSETFGNAGRDEEINRLMHARYPPYAARGPPAGPPRNPRQPAY >KZM92449 pep chromosome:ASM162521v1:6:33459400:33460527:1 gene:DCAR_020186 transcript:KZM92449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDVENPKGEAQKVSVSSSLVIEPQTTWYSSFLQHVSVYGIAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVFVLGSLKILEHDKLDLLTMWRFLPAAFIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSMKTWFSLATIFGGSVLYVLTDYQFTLMAYSWALAYLISMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLVMGELKKIKHEITDESDWYSFQVVLPVGLSCLFGLAISFFGFSCRKAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTIGLLICMSGGVMYQQFSSTKPKAAKDVEVQESKEEQQKLLEMQSSSENTGNEKQDTDSREEK >KZM92177 pep chromosome:ASM162521v1:6:31212141:31213571:1 gene:DCAR_020458 transcript:KZM92177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEITALKRILASNNLVRLFSFIVLIFFAKFAFILTVNSGDYGIYSGDYVTSAAERARILDFYTSVFENLIAERFIDSHSKSLCLEPGSREEIIALRDVGVENAIGVSRKRSLPLILQGTALRQPFDDDSFDFVFSGVFVLERSNEPAVRKSKEKGIGLGKDQAVQSTWNFLSNLDRIKGFDFANWLKKTVSKRDFVVVKMDVEGAEFHLIPKLVETGAICLIDEMFLACHYNRWKRCCPGLRSSQYRNTYAQCFNLYSSLREKGIPVHQWVSGNK >KZM92184 pep chromosome:ASM162521v1:6:31296495:31299298:1 gene:DCAR_020451 transcript:KZM92184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGTKFTGIIDLNSHHEYDISQVFYRKLGEGSNMSIDSLGSLQLSNGGGSVAMSVDNSSVGSNDSHTRILNHQGLKRVNNNYSVAHSANRGKVSSLSDDALAQALMDNRFPSQGLQNFDEWTIDLRKLNMGPAFAQGAFGKLYKGTYNGVDVAIKLLERPENDLERAQLMEQQFQQEVMMLATLKHPNIVRFVGGCRKPMVWCIVTEYAKGGSVRQFLAKRQNRAVPLKLAVKQALDVARGMEYVHALGYIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRQYTHKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPNLPNDCLPVLGEIMTRCWDSNPDVRPPFTEIVRMLEHAETEIMTTVRKARFRCCMSQPMTTD >KZM91232 pep chromosome:ASM162521v1:6:23412847:23414677:-1 gene:DCAR_021403 transcript:KZM91232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVNQYNPGSAFNHNKQAPTSRNNDGFKQLGVEGKKAAVNEEMRRLNQLPSNSSYVVHRSRVLSKIMQLLSVQRTTSQDEELELLFAGLSL >KZM90277 pep chromosome:ASM162521v1:6:13777589:13777927:1 gene:DCAR_022358 transcript:KZM90277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHSHHSKVFLVLCCITLLFGDHNQVSGQCQGDIQGLMQQCARYVQKSGPEAAPSKECCDVVKNVDLACVCQHVTDQVEKIISMEKAVAMANSCGKALAHGTKCGSKLKNP >KZM91131 pep chromosome:ASM162521v1:6:22450936:22451983:-1 gene:DCAR_021504 transcript:KZM91131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRVGRTLPVSKRLFSTTISASTTSNMDSAIDELNKEMEFVFGEAPPTSLAGSGSNQSVAQDSPTLPSEMVGIESSLTHIGSKGEAQMVDVSPKEMSKRVAIASCKVILGRKVFDLVSANQMTKGDVLSVAKIAGIVGAKQTSNLIPLCHNICLSHVRVDLTLNPSDFSVKIEGEAASTGKTGVEMEAMTAVSVAGLTVYDMCKAASKDIQITDVRLEQKMGGKSGTWSRDK >KZM91257 pep chromosome:ASM162521v1:6:23604599:23616622:-1 gene:DCAR_021378 transcript:KZM91257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKFPIGPQHYTLYEEIGQGVSASVFRALCVTHNQNNISREAQIMNLVDHPNVLKSLCSFVTEHNLWVVMPYMAGGSCLHILKAAYPEGFEEVVIATVLREVLKALEYLHHHGHIHRDVKAGNILIDTQGAIKLGDYGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMDQVHGYDFKADIWSFGITALELAHGHAPFSKYPPMKCFKQMIASCLVKDPTKRPSAKKLLKHTFFKQARSQEYIARKLLEGLPELGDRMQALKRKEEDMLAQKEIPDGQMEEISQNEYKRGISGWNFNLEDVKAQASLIPDEDILSDKEVGESSSTISGSDRQEQENQLQDQCQSLSLTSEAAETEDNSAVQNYSAAPVPVDSIVSHINKIEKSDDATSLASANQGMQVSKNNSPCNDNHTANNLVGNSDSENNGKEVKYTHTRKGGSYCSIDGPGTSLSASKGDRYTNSRIVSAVVLSNSEEQDEKIKPVVQQRGRFKVTSVNVDVEKVAAAPSPALQKSIIMQVISQDPCISMQSPSCATPLNQLADALFPTLQSILQTNILQREGILNLMKQLSDGDSSAIRPVDVGITLNTNGTDKSSVCEYEVEYYCSPVLILVPELEAAHDREKKLLSEVTDLQWRLICAQEELKKYKTEKAQHQASPSIYPGVTKCGVQNRGSETLVCDIDGTLLRSRSFFPYLMLVAFEGGNLLRAFLLLLSYPFLCFLNYELKLRVMIFITFCGLRLKDMDSVGTAVLPKFFLENLYLQVYEVWAAAGCRVVCTSVPRVMVEGFLKEYLSADNVVGTELHTSGTRFTGLLSSSGLLVKHKAVKELFGETRPDVGIGGSHSRDQHFMSLCKEAYVVSKKDLKNRATIMPKNKYPKPLVFHDGRLAFLPTPLATLAMFIWLPPGIILAIFRLLAGICLPYKLSILFVCISGVTIQAKGCDPGQKTGKGVLYVCTHRTLLDPVFLSTSLAKSLTALTYSLSKVSEIISPIKTVKLTRDRKIDRATMERLLSEGDLVVCPEGTTCREPYLLRFSSLFAELTEEIVPVAIDTKVGMFYGTTASGLKCLDPIFFLMNPRPAYYIHVLGKVPREMTCAGGKTSHEVANNIQRQLADALGFECTTLTRRDKYLMLAGNEGVVKDNKR >KZM91178 pep chromosome:ASM162521v1:6:22803687:22807789:1 gene:DCAR_021457 transcript:KZM91178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEPPKYAYPYPAQGNYYGPPVMAPPQYAAAPPPRRESGFLEGCDPMGHRQNIHAYNGHIQHTVIDVGKPMNYSGGLEFNDLTYTVKKKLKDDNGKWLNQDVDLLHKITGYAPKGSVTAVMGPSGAGKSTLLDGLAGRIASGSLRGRVSLDGVDTSPSLIKRTSAYIMQDDRLFPMLTVYETLMFAADFRLGSISRTEKIQRVETMIEQLGLGSTRNTYIGDEATRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAQSVIEKVQDIARSGSTVILTIHQPSSRIQLLLDHLIILARGQLMYQGSPKDVNLHLGRMGRRMPKGENPIEYLIDVIQEYDQSDHGVEALADFALTGMKPPVLTDEEMSITTIPPSPTPPRNQGKGSSGKRSQPKTQNEDEFDNSLRSPWNQSRSWSASQSGIIRTLKFTPKQRNESKAQNPMSSSPSYYTYSSEILAAGTPTPHSSDYTVDENDYRTPNVAPANMKHQYLGPKFANSFLSETWVLMRRNFINIRRTPELFLSRLLVLTVMGILMATLFLHPKGNLQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFVRETSHNAYRASSYTIAGVITYLPFLAIQAGVYAGIVWFPLGLRGEFYYFFIVLYMSLLSTNSFVVFVSSVVPNYIMGYAAVIAFTALFFLFCGYFLNSDDIPPYWVWMNKISTMTYPYQGSLMNQFQTTDVFGQNPGGQNVTGIAILESLAISTKESKKWYMVLIMFGWAVLYRVLFYIVIRFASKNQRS >KZM91398 pep chromosome:ASM162521v1:6:24797634:24800462:1 gene:DCAR_021237 transcript:KZM91398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPVTNAPKARLELLNAKPPANYVAGLGRGATGFTTRSDIGPARAAPDLPAGGVGGPVVGPVGVGRGRGKGGEEEEEGEEEEKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEEIDNKMDLRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLHGLSTEEWDSIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEKEHVTALDPKSRAAGGTETPWAQTTDLTAVGEGRETVLSLKLDKLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVIQTNPKHPPGWIAAARLEEVAGKIVVARQLMSKGCEECPKSEDVWLEACRLSNPVEAKAVIAKGVKAIPNSVKLWMQAAKLEQDEESKSRVLRKGLEQIPDSVRLWKAVVELASEENARVLLHRAVECCPLHVELWLALARLETYDSAKKVLNKAREKLPKEPAIWITAAKLEEANGNTGMVGKIIERGIRALQREGLGIDREAWMKEAEAAERAGSVVTCNAIISNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWIKAAQLEKSHGTRESLDALLRKSVTYRPQAEVLWLMGAKEKWLAGDVPAARSILREAYAAIPNSEEIWLAAFKLEFENLEFERARMLLAKARGETKVTERVWMKSAIVERELGNISEERRLLDEGLKYFPSFFKLWLMLGQLEERLNRLDQAKEVYESGLKRCPNCIPLWLSLANLEEKMNGLSKARAVLTMARKKNPQSPELWLAAIQAESRHGNKKESEILMAKALQECPNSGILWAASIEMVPRPQRKTKSSDALKKCDHDPHVIAAVAKLFWHDRKVEKARTWLNRAVTLAPDIGDFWALYYKFELQHGSEENQKDVLRRCIAAEPKHGEKWQAISKVVENSHQPTEVILKKVVVALGKEKGSEDNKG >KZM92472 pep chromosome:ASM162521v1:6:33642118:33644640:-1 gene:DCAR_020163 transcript:KZM92472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKAYVEGDKPMLDIFLDGFWLGENTRNLVDHLLIVAADQTSYDRCKYLRLHCYKLKTDGVDFVGEKLYMSEDFINMMWRRTLFLTNVLKHGYNFIFTDTDVLWLRNPFPNLIQNQSIDIQISVDSFIGHPWSERRQPINTGFYMINSNNKTIALFDSWYAQKNQSKGLKEQDVLVNLMRQGLFRRLGIRARFLDTLYFSGFCQDSRDVKVVSTVHANCCRSISAKVTDLTSVIHDWKRFLANQTVPFRWSPHRGCMDSWRHR >KZM92775 pep chromosome:ASM162521v1:6:36211746:36212450:1 gene:DCAR_019860 transcript:KZM92775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNDKETRDFMSVESFSQLPFTRPKLLKEKGIRLFGKEFGGDPDLDSVETNAEKVIKESENGESGRKFECHYCFRNFPTSQALGGHQNAHKRERQNAKRAAMGYDIYPQTHSFGINYHRFASGSDNSQVSTYNQYPWNSNSSVYNRFYGSYGAYNHAQTPPIHGSPLSLWRNPSTNNVPRLNNHDQYLTTNSSLLYSNIDRLQPSRNESSSSQNRYVYQSSKMKDHVSLDLHL >KZM91315 pep chromosome:ASM162521v1:6:24071155:24073353:-1 gene:DCAR_021320 transcript:KZM91315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKSDESGANAKAKTNSKDAPKDAKKISVSAMLASMDQKPDKTKKASSSSTAGKSKPKAAPKVSSYVDGLDLPPSDEEDDDIASEEEIETSDANKQTVRHQKTEEKPLQISVTEKELKKREKKEMITAQAAEYAKKVALKDDRDAFTVVIGSRAAVLEGQDDADANVKDITIENFSVSARGKELLKNTSVKISHGQRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDRSAVDAVVSANEELIQIREEVATLMKSSSAAGDDEDADGTASLDAAEKLTELYEKLQIMGSDAAEAQAAKILAGLGFTKEMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNEIIHLHDLKLHLYRGNFDDFETGYEQRRKEANKKFENHEKQVKAAKRTGSRVAQEKVKNRAKLTAAKEASKNKSKGKVDDDEPLPDAPRKWRDYTVEFHFPEPTELTPPLMQLIEVSFSYPNRDDFRLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPSEGEMRRSQKLRVGRYSQHFVDLLTMEETPVQYLLRLHPDQEGFSKQEAVRAKLGKYGLPSHNHLSPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCGDEEKSEIWVVENGTVEKFPGTFDEYKEELQQEIRAEVDD >KZM91652 pep chromosome:ASM162521v1:6:26818585:26819539:1 gene:DCAR_020983 transcript:KZM91652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFHKAFAHPPEELNSPASHKQKPKLPEETLQQFLSVHPTNTFSMTFAQSAVLAYVKPDHVTMLRHQRLFCGFDDIYCLFLGSLNNLCSQIKQYGLSKGTNEAMFVIEAYRTLRDRGPYPADQVIKDMEGSFAFVVYDSKAGKVFTALGSDGGVKLYWGIAADGSVVISDDLEVIKAGCAKSFAPFPKGCMFHSEGGLMNFEHPMNKMRAMPRVDSEGVMCGANFKLDVYSRVNSIPRVGSQSNWTEWDTSY >KZM92537 pep chromosome:ASM162521v1:6:34182468:34183019:1 gene:DCAR_020098 transcript:KZM92537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKALGIFVSVMVMSAFMVSECRIARKDLGLNLGGVGVGIGTGVGVGLGGSGSGSGAGAGSGAGSRSSSGSGSASSSGSGSGSSGSSAGSEAGSHAGSSAGSGSEGGSSGAGSEAGSRAGSHAGSGSGSGGGSSEAGSEAGSYAGSHAGSRDGSGAGSEAGSGAGSHAGSRAGSGSSNDNN >KZM90885 pep chromosome:ASM162521v1:6:20148537:20149534:1 gene:DCAR_021750 transcript:KZM90885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQQGRPLPKFGEWDVNNPASADGFTVIFAKAKDDKGGAANGGKPGNDVKPQNDKPKKKWCCCF >KZM92744 pep chromosome:ASM162521v1:6:35969417:35970356:1 gene:DCAR_019891 transcript:KZM92744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFNGLISVILLSFVAMKCVHGQWSDAHATFYGEADASGTMGGACGYGNLYSQGYGTNTAALSTALFNTGLTCGACFEIKCVNVKWCLPGSITVTATNFCPPNSALPNNAGGWCNPPLKHFDLAQPIFLHMAQYKAGIVPVQYRRVACRKSGGIRFTINGHSYFNLILVTNVGGAGDVVSVAIKGSRTRWQRLSRNWGQNWQSNAFLDGQALSFKVRTSDGRAVVSKNVAPSNWGFGQTYTGKQF >KZM90805 pep chromosome:ASM162521v1:6:19386700:19388055:-1 gene:DCAR_021830 transcript:KZM90805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENEGSRSQLKPSPKPLLPPPSQSHKRLLVNSIANPNPNPTPSPQSSLSSDSSSDDLRKKTRDLPNLYDCHRCNRHVNFANQSDRLRILDSAWRVVLLCKECVRCVELRQVCSYCLMDVSGSECFKCGSCDRLVHKDCVVKYSVCPPWSYCPVEMRFQVCVDCWVPNSVKRKGKGVGSYEVLEDSVREAECVAGRKFQVLVKAKEDAMRKRDVAKKAVDFASGVLDCVKERDGLEDGELALQLHRAMNSSPRIARSFGPVNYSCLGGRKSRKLGFFGKMRMRGDKQLTEIPEGRTNSEDCESGKGCRDIGDIGMGKEGVVRKCNPGVDNGTDSVLRYYQRRNKQRLLLQSVARSTQGDKNIQPALKCYQRKGRNKLKQMLESEVKSTQDARNRILMHYSRRKHDRFMSKYRRMKLSSKGVSYGQRRNLYDSLQIHEYILALPTPVKCPTN >KZM91037 pep chromosome:ASM162521v1:6:21593617:21595819:1 gene:DCAR_021598 transcript:KZM91037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEAEINKSTNPALDPDNPNPERPGLEFAQFGAGCFWGVELAFQRVPGVVKTEVGYSQGHVDNPDYVLVCTGTTEHVEVVRIQFDPAVCDYSTLLSLFWARHDPTSLNRQGGDVGEQYRSGIYYYTEEQASLARESLQVKETALDGKKIVTEILPAKRFYRAEEYHQQYLEKGGGQGSKQSAAKSCNDPIRCYG >KZM89633 pep chromosome:ASM162521v1:6:2740541:2742140:1 gene:DCAR_023004 transcript:KZM89633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEAAATQVEGEVNPKKQKMSSDEVRPKRRKIAILFAYCGVGYQGMQKNPGAKTIEGDLEEALFQAGAVPEHDRGIPKRFDWARSARTDKGVSAVGQVVSGKFYIDPTDSFIPRLQSHLPIQFKIFGYKRVTASFNAKKFCDRRRYVYMLPVFALDPTAHRDRESVLASFGSDVQLLKCFQCSERGRKVFGVMGKRSFGAVTSESENLSNSLSTVSDLGSEDVKLIDLANAGGDNVNGNDLNAEHVKEFGNDQNEAIEEMKIDVELNESGFTYGEKEKQRFNNILKSYEGTHNYHNFTTRTKADDPSAHRYIISFKADTTVTVDGIEFIKCEVVGQSFMLHQIRKMIGVAVAIMRNCAPESFIDIALQKDINITVPMAPEVGLYLDECFFTSYNQKWKDSHEEVSMNDYEAEAEDFKIKHIYSHIASSEHKDGAVGLWLHSLNYRNYPDLLVTTNDKKPVMKNDEVEITTNGRGSEAEVSSAEVKVTTEKDAEVIA >KZM89460 pep chromosome:ASM162521v1:6:933642:935371:-1 gene:DCAR_023177 transcript:KZM89460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRVGLGTCSESGFRENRPTRLPWLTLRPLRQAGHKQTTTMPLIKLVTTFTFGNDLGTFKEEAVSEIAEILRTEKKYVTLDIAYKKTENGHKTFCDITYFEEFLPSMVKEMYSQAALKLKTLLELYCGEHSFFLKYKSYEDQVLIDWTEKNDVIASIPVEDQGQRRNCWAYVAAFIVSGTYSQEHPSIQDLSEIMTSTQDLSDWVYSFLDLKNEVDNYGYYGGTASQAFAYVKDCGVALLKNYPTEEFKERQIQRTFDVDVFSKIWRGRGDRIFIDHYDCLVPDTIIYQPGTPLHGQPSFSLESITQFIHQGMAFGSIFYCGGCDICEGLDKFDGVGIYDEPPHPKGHNYCQHAVAVTGAGNRHGIDFVRIRNTRGPGWAKDGSGDLQIKFFLELAHVSGTKRKKSSITTQEQ >KZM90795 pep chromosome:ASM162521v1:6:19320843:19325662:1 gene:DCAR_021840 transcript:KZM90795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRDRGGSVFLCFQLCFIVSHFLLSNNRVLAQRQPRFACDAVRDPGVSNYRFCDQTLDVESRVNDLMSRMTLEEKILNLINDARGAIRLGVPEYNWWSEALHGVSNAGRGTNFTAPVFAATSFPQVICTAASFNTSLFYTIGKVVSTEARAMYNAGVAGLTFWSPNVNIFRDPRWGRGQETPGEDPLLTSRYAISYVRGLQERDDGDKYRLKVGACCKHYTAYDLDNWKGIDRYHFNALVTEQDMSDTYQPPFRSCVTEANVASVMCSYNQVNGKPTCADADLLTGVIRNEWKLNGYISSDCDSLEVMFDSQHYTRTPEETAADALKAGVDLNCGDHLRKFTLAAVQKGLAAEADVNRAVKNNFAMLMRLGFFDGDPRQHIYGNLGLNDICTLENQELAREAARQGFVLLKNSPGSLPLSPRATESIAVIGPNANVTKTMIGNYAGIPCRYTTPLQGIAAVVPETIYSPGCANVECVTAQVDDAKRIASTADATVLVVGADQSIEEESRDRIDISLPGQQTFLVQEVTKASKGPVILVIMSGGGMDVQFAKDDPKVTSILWIGYPGQAGGAALADILFGFYNPSGRLPMSWYPQSYVNNVDMTNMNMRPDPATGYPGRTYRFYRGPTVYTFGDGLSYSLFSHNLIKAQKLVSVPLGRHHFCKRFRCKSIDASEKTCKNVHVRVHLKVKNAGGMSGGHTVFLFSTPPGVHNAPQKQLLGFEKVFLTPKEEGIVRFHVDVCKHLSVVDKAGKRKLALGMHVLHVGIPISDSIPNLVCRIENVIGWMIILPDKLFVPAMITLTFPTLSTTISASRHRRRRHLRRLIFTSRLSTTTPTSTSTSPNPNKTGVIIIGGGLSGLAAATKLTSLNIPFLLLESSDAVGGRVRTDVVDGFLLDRGFQIFITAYPEAQKLLDYESLQLQTFYSGAKVFYGNTFHTVADPLRHFSDSLPTLVNPIGNFFDKLLVAITRIKVLIKSDEEIFSSEEVSTIDLLRGIGFSECMIDRFFRPFFGGIFFDRELETTSRLFEFVFKCLAVGTNTLPANGISAIPEQLAGKLPAGSVLLNSRVCSVNVGLDSVANVELENGEVFRSENGVILAVEEPEAIRLLGRKMKGEFKEPRKTVCLYFSAEMYQIPFKDPVLYINGSGKGIVNNMFFATNVAPSYGPPGKGLVSVSLIGLFEDVSDEDLIAKVVKELSDWFGGSVVGSWRHLRTYRIGFAQPNQSPPTDLMKDPRLGPGLYMCGDYLTSATFDGALVSGKRAAEALLKDEALIKV >KZM92562 pep chromosome:ASM162521v1:6:34371494:34376662:-1 gene:DCAR_020073 transcript:KZM92562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRSSQRKNVAATLDYSDTDSVSSSSTLPSEQLLVSGVVDLQLEKDSILDQALDDLYEKRGSTREKALASIIDAFNSKIQHEFVEKKFATLLHQCLSSIKKGSAKEISLASHAIGLLALTTGPGERAQEILEESFPPIIEALKSKSDASKISALLECLTIVTFIGGEEPEETEKSMQTMWQVVHPKLGSNVVAIKPSPAVITSAVSAWSFLLTTMDGWTLNPKTWQESISYLSSLLDKDDRSIRIAAGEGLALIFEMGNLEKFSVATTGPSDSFNHDGNNAREFTHIQGLRQKILNQVKSLSVEAGGKGSAKKDLNSQRNTFRDILEFLEDGFSPETSMKIGGESLNTTSWSQLIQLNFLKHFLGGGFVKQMQDNEVLHDIFGFSPKRKLLSGTQKLSGTEKRMYKSPNSVHNKSRTQFLNKQRMLSQDRNAGHFAVADDDI >KZM89824 pep chromosome:ASM162521v1:6:5474128:5475358:1 gene:DCAR_022813 transcript:KZM89824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARTVILFSATLLLLIQPLFLSPAFASFPTAGPAVATAGTRFFQNEILTSAWTGLFAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVIRTWGTRVVGLTLLVIGAMGIREASEVPTPCVALENGECDVSAYEALEDPTAGKKKKIGFATFATGIVHGLQPDALLMVLPALALPSRLAGAAFLGMFLVGTVIAMGSYTVFIGTCSEALKDRIPRITEKLTWASSLIAIALGLGIIISQFFGFSIY >KZM92614 pep chromosome:ASM162521v1:6:34858431:34866024:-1 gene:DCAR_020021 transcript:KZM92614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQDAWSIHLVNSVQRHQSPVLSRYNVFFCRSTLTPSKGHEIPLVKTIAELTRSCNAFPQGSLVLQLIPAIGVLAFAAWGLVPLVRLCLVLFQKKDITWKNSSAHYVLTSYVRPLLLWSGAALICRVLDPVILPSVASQAVKQRLLNFVQSLSAVLAFAYCFSSLIQQTQKFFTETKDFSDERDMGFEFAGKAVYTAVWVAGVSLFMELLGFSTQKWLTAGGLGTVLITLAGREIFTNFLSSVMIHASRPFVLNEWIQTKIGGYEVSGTVEHIGWWSPTIIRADDREAVHIPNHKFTVNVVRNLTQKTHWRVKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQKLHRRIFLDNIDPENQALRIMVSCFVKTSRFEEYMCVKEAILLDLLRVISHHHARLATPIRTVLKTYGEAEAERSPFSDLYTRPRAAANPPFLLIEPSKINSDEKSKVSAQSDSNLDIKDGSGLNNLETDVKDASASPSSSNPHIKVNEVAPSNSEILTATPVESLSDNSKKSIKYPGDVLSSTSGDHVSSGESSSVNTSSVSQEPGRQNSPAKPLKPDVERSVSVLEDNILLGVALDGSKRTLPIDDEDISHSSITEEPSELATRLKXGNKDDPTPSRTTDQLEKD >KZM91490 pep chromosome:ASM162521v1:6:25539125:25544785:-1 gene:DCAR_021145 transcript:KZM91490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQTDPSNPHADLINTFTQITSSSPQEAIFFLESHNFDLDAAVSTFFETSTAAAADGDAAIPVAAERSESLSPESTPSRSRSPSPQPPLRPQSDRVYNLRSRRQGSDKKASGSRGGRIHTFSDLNKKDGDDSDSDEQPQEYFTGGEKSGMLVQDPSKGNDVDALFDQARQAGGVEGHGDHLQPSSSSRSFSGTARRLTGETITTAPSHPETVTHTITFWTNGFTIDDGPLRRIDDPENASFLESIRKSECPQELAPADRRTVVHVNLVKKEQECPVQKKRPNSFQGQGRTLGSNDDAVPAETTAPSNLQSAPPPSMGLVVDQALPSTSIQLRLADGTRMVQKKRPNSFQGQGRTLGSNDDAVPAETTAPSNLQSAPPPSMGLVVDQALPSTSIQLRLADGTRMVSRFNFHHTVRDIRGFIDASRPAAPRSYHLQTVGFPPKQLTNLEQTIEEAGLANSVVIQKL >KZM91895 pep chromosome:ASM162521v1:6:28735890:28742816:-1 gene:DCAR_020740 transcript:KZM91895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALHISAISHTYKSHQTPSFNSARTSTPHICSAYSSSTHSRSLLSHSTYNSFTRQLWGFVHSSSTDRKRDLRRGLVVRAEMFGQLTSGLESAWNKLKGEEILTKENIVEPMRDIRRALLEADVSLPVVRRFIQSVSEQAVGVGVTRGVKPDQQLVKIVSEELVKLMGGEVSELSFAKSGPTVILLAGLQGVGKTTVSAKLAVYLKKQGKSCMLIAGDVYRPAAIDQLLILGKQVDVPVYSMGTDVKPAEIARKGLLEAKKKNVDVVIMDTAGRLQIDKDMMDELKEVKRELNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEDIQKKIMSAKFDFNDFLKQTRAVAQMGSMSRVLGMIPGMGKVTPAQIREAEKSLKIMEGMIEAMTPEEREKPELLAESPARRKRVAQDSGKTEQQVNQLVAQLFQMRVRMKNLMGVMEGGSVPALSNLQEALKSEQKAPPGTARRKRRSQSRKQFAESTSSMPSPRETVVEIKGPFGSLQRNGMEFRKGNAGKGKE >KZM90323 pep chromosome:ASM162521v1:6:14169543:14173233:-1 gene:DCAR_022312 transcript:KZM90323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPMDLDFGRLQSASLAFAIVLTSLTDGTQHYLIGVVLCLAYVVLAACFNVSHESQRRGYRYALDAYLHQHIVNLHNHGDGYLFSGMNSDPDVNTAIGSGICQTSNYNADIQGMTSDPDVNTAIGSGISQTSNYNADIQVDPRTGDAGMVMSLNQRHATTVTLQNTATSEKYISGKFYSFQAWMVIWWDSVSREMIYQDEKEASNFILIDRAPKRMIGTTATKLTAILLKKKTPNAFPKKATELTGKEVRLLIEINKDNVVSKSKLFVATDAYESGVSYSGISSTSFTESTMIQGFFCLFIIY >KZM90664 pep chromosome:ASM162521v1:6:17904868:17905485:1 gene:DCAR_021971 transcript:KZM90664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNTCILIVLLYGSYLAAAILPPTVYDKSQQKVIAGIRYYILPISRGHGGITIASTRNKTCPLDVAQELSVYNRGLGVTFNRVDASAHTVRVSTDLTIKFSSPAKACAQSSYWKVDGYDNLRARYFVTIGGVGGKHSGKSLPGTFRIEKEGNGYKIVYCRPVNCVATPCEPVCKNVGVYEQHGGILLALADEPLVVKFRKVYEG >KZM90305 pep chromosome:ASM162521v1:6:14010816:14013074:-1 gene:DCAR_022330 transcript:KZM90305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVLYIIVAFPCAVGAIALAIYHIYLHLLNYTEPTYQRYTVRIIFMVPVYALMSFLSLVATENAAIYFNSIRDIYEAWVIYNFLSLCLDWVGGPGAVVLSLSGRVLKPSWALMTCCFLHIPLDGRFIRRCKQGCLQFVILKPILVALTIILYSKGKYHDGNFSAGQSYLYLTIIYTFSYSTALYALYLFYAACRDLLQPFNPVPKFIIIKSVVFLTYWQGVMFFLAAKTGRIKDAEEAAELQNFILCVEMLLAAMGHYYAFPYKEYSDANIGISRGFSESLAHALMINDFYHDTVHQFAPTYHDYVLYNHNDCEEGATKYRTRTFVPTGKEMDMVRKNKNVFGIKIDDFRLSAGSSGTGSPSASHYISNSESKNSLLMESSSVGIPYEMPLIDLDFTGDSEQVPQAKDMEKG >KZM92275 pep chromosome:ASM162521v1:6:32014681:32016219:-1 gene:DCAR_020360 transcript:KZM92275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFAGGIVGMATTAAYPPHTEAPAFTRAQWKELERQAMIYKYMVASVPVPPQLLSSDFSAPTTPMGLGPLGYASIFNLKYGDNKDPEPGRCKRTDGKKWRCSRDVAPQQKYCERHMHRGRPRSRKPVEPQHQQQVSSSPKSNKKTRLVSAAPPPPGSPGLLGSTNELGNNSPSPLLLKTVSKVEEDMEWMMESKMMTMETSEQQWHQMMSQGSVYNTSPTDPSIFQHNNYGVEEQQLGLLSLPQIGDSSTDDYNLFMNSYNPPRDFIDAWSTDNNPNSETIKNDATCGVNNMNLSPCSLNLSMAMGVNDCEMGQIQMLEEGNEPSQKCNGLSWLSPVSWNASAPGGPLAEVLRPSNVIVNSNPGSPCAEKNCDTISPQETSPSGVLHRTMLSLSDNSVCNSPTVAAAAPEFVGFQWLN >KZM92431 pep chromosome:ASM162521v1:6:33352395:33353142:1 gene:DCAR_020204 transcript:KZM92431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWSAENATKAYLQTVKLGMNAKEPSVGEFVSAFAAGNNARLMVIVCATTAGCTVAGLVAAAYQTGGRVICIVRGNSELNSSINALGCNAGRVEFVVGEAQSLLSEKYTNADLVVIDCKIENHEGIFRAVEASATRSEPKVKKIVIGYNVFICKDPLRWGGSKTQVLPIGEGLLITRIDGKSEENKKRSHWIVRVDKFTGEEHVFRIRSPRKRVNKA >KZM90262 pep chromosome:ASM162521v1:6:13222079:13223139:-1 gene:DCAR_022373 transcript:KZM90262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARNTLREAIDSLYKHGRATTETYTRLVLDCVRQNDIQQAKRLQSHMDIHLEQPTTTFVHNRLLQFYAKSGYLSDARNLFDRMSHRDIFTYNAMLSGYCKLGSVDELKAFFDGMPCRDSVSYNTVISGLASSGCCNEALKVFVRMQKEGFEFTDYTLVSVLNVCSMVMDLRRGKEIHGRILVDGFGGNVFVWNTLVDMYAKCGHIDQARWLFNRMVNKNVVSWNLMISGYMKHGKPDECIGLFRDMKVSGLRPDQVTISNILGAFLRIGNMDEAEKLFAEIKEKDKVSWTTMIAGYVQNNMEEDALILFGQMLSENIKADKFTISIITGLFVSWSGSSWKSCSYGG >KZM89502 pep chromosome:ASM162521v1:6:1356538:1359376:1 gene:DCAR_023135 transcript:KZM89502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINTVAASEVLRTSSSDNAKSSAHDKKILWLGLVAGGLLLLIAALIYLSIKKRLFRACMLGRKQKKDDLDAEKLMLRRFQMEELVRATNNFSRGCLIGSGAFGNVYVGTFDVEGTLAIKKARADSYTSTHEFRNEVKLLSRVKHQNLVGLVGYCEEEGPKEAQVLVYEYVQNGSLLEYIVGRGGRFLTWRQRVNIAIGAAKGIAHLHEGINPGIIHRDIKPSNILLGEGFEAKVSDFGLVKSGPTGDQSHVSSQIKGTPGYLDPAYCTSLHLSPFSDVYSFGVILLQLVAARPAVDTAKNGSNYHIIQWARPSLEKGSVEDILDANLLLEPCNMEIMLKMGQLGLRCVVKVPKQRPTMTQVWQELEAALHIADTYIPKHPIKRRSLRVSQGSMVEQDESETGVEFQRFHVDMDTMHSASLRCLESSAKLVCQVPWKGHPVFSSVAAATNLGYPSLSYSWTILLLYEATSPWKLTSDYRL >KZM92273 pep chromosome:ASM162521v1:6:32009503:32011328:1 gene:DCAR_020362 transcript:KZM92273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNPAAGSSWFNAVKKAFRSPTKSIKSSKRREEHEQEDDEKKRGKRRWIFSKQCIYETTIQHYAPASSVVDTHLTVKPKALVDDYERRDIASAEAVAEVASVQAAVEVIRLSSPSKITGEYFHAAIKIQSAFRAYLARRALRALRGIVKLQALVRGQNIRKQAKMTLRCIQSLVRVQTRVRDQRRRLSYEGSKDSTFNVSNSLRDYHAGTRKSRSREGSSITDEWDCHQHTLEEIKAILRKTKEADSDHRNQLSTALSLRSLRPGKDQFLCKEGEEEKPGWSDQWKRIGRNSGDQRDPMKTVEIDTAQSYSVPSLRRSHNSHYQYPQLDPGSESDCSPMHRTSRYLSGAFPITPFQSKIKQLQVHSASPRCLKVERNIMMAQSPNMSSNYHKMAGQENAVAASVPNYMAATASAMARSRSESTPRQRPLTPERGSVTSVKKRLSFAAPEPDACAVMSDTELERNLCSPSSRYGMRGESNALLRGQPW >KZM89721 pep chromosome:ASM162521v1:6:3795489:3802277:-1 gene:DCAR_022916 transcript:KZM89721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGEEELMKWDKMQGGTSAREEKILVLVRLRPLSEKEIARNEVSDWECINETSILFRNTLQERSMFPTAYTYDRVFGGDCSTRQVYDAGAKEIALSVVSGINSSIFAYGQTSSGKTYTMLGITEYTVADIYDYIQRHEERAFVLKFSAIEIYNEVVRDLLSTENIPLRLLDDPEKGTIVEKLTEETLRDQKHLKELLSVCEAQRQVGETSLNETSSRSHQILRLTIESSAREFVGKGKSTTLAASVNFIDLAGSERASQALSVGQRLKEGCHINRSLLTLSTVIRKLSKGRHGHVNYRDSKLTRILQPCLGGNARTAIICTLSPSLSHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKQLQKELARLESELRTPAPTSTSDHTALLRKKDLQIEKLEREVKELSKQRDLAQSKLEDALREIRNGLFSDQGNKKNRVLSQLSDDEEDLSDGTSPSVSNRRKFGRSGLGRQELALQNGEDSNAICKDVRCIEMDESCKDGTVDLLSLSTGQDDGRVPASTLSGTGDSEDEEIMSSSGQGVRRLRNSFNYGALEQKVQDVQKTIDTLYGTCPAEPSPWSLTTDMPSSGSLKLTRSSSCRPNLMIGSSSPSYYEMLQPNEKTPPTQAAKLFTGRPAHSQRRIPPLNYNENTASVRLSRNDSQSSVGSVLLDELKGQNRAGETDLKDEMFVKNVKDVGLDPMQDVMGTLTDWPLEFERQQRSILELWQTCNVSLIHRTYFVLLFKGDPMDSIYMEVELRRLSFLKETFSRGGPAVEDGRALTLASSLKALRREREMLSRLMYKRFSEEERNRIYEKWNITLDSKRRRLQLIQRLWSDTKDMNHIMDSAVIVARLVRFSEQGQAPKEMFGLTFTPPRMMRRSSFGWKQSTAPLY >KZM90982 pep chromosome:ASM162521v1:6:21034875:21040881:-1 gene:DCAR_021653 transcript:KZM90982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKAFEAVHKLKLLLLTTATNTAQCRSLLLHFAAVKSLTNTKQLHSKIIASGLLTSKDSFYISSLLTSTYASCGHVSYARHLFDKLPYPTSRLFSAMIRMYAKCGLAYDALQLFVEMLVSGYVLPDKLTYPFVIKACGDSSWIRLGVVLHGLAVVNGFDCDVFVGNSLLAMYMNCGKKERARRLFDKMGERRVVSWNTMISGYVQNGSAKEALLVFKEMVNGGVEVDLVTIISVLPACGYLKDYEAAKLVHLLADEKALGDKLHVRNALVDVYVKCGRVDEARKFFDDMNVRDVVTWTTMINGVILNGDFESALSLFPMMLLEGVKPNAFTLACLLSACVGSQHLKCGKGFHGWATRHKLESDVNVETALIDMYAKCNCVHLSFRVFGKTSKKRQVPWNAIISGCVRNGLEREAVVLFNEMLSKGIGPNEATLKCVLPAYSTLANLQQAKNIHSYLIKSRFLTRVEVATGLIDIYSKCGNLDDAYMIFDGIPLSDKDIFLWSVVIAGYGAHGHGKTALTLFNQMVQSGVAPNEVTFTSALHACSHAGLVDEEKCKRRMLMMISGGNSRARMSGMSITKKKRQVWLWTESQQVMTTAVERGWTTFLFSSPHLPTIWSSIARINPLFMKDGEIYDVDDQIVATFSSISSPEELKQFQPSDEQPDIVIVNLLDWQAIPAENIVAAFQGTDKRVMAVSKTPKEAQMFLEALELGLGGVVLKVEDVKAVLDMKEYFDRIDEVGIPLGLTRATVSQVQMTGMGDRVCVDLCTMMKPGEGLLVGSFARGLFLVHSECLETSYISSRPFRVNAGPVHAYVAVPGGKTCYLSELRSGKEVIVVDQSGNQRSAVVGRVKIETRPLILVEAKAEMDNVIVYSTLLQNAETVALISPNKGNGSANMAIPVTALKVGDEVLMRVQGGARHTGIEIQEFIVEK >KZM91033 pep chromosome:ASM162521v1:6:21562081:21564470:-1 gene:DCAR_021602 transcript:KZM91033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTGAPRKPRILLAASGSVASIKFGNLCSSFSDWAEVKAVATTASLHFIDRASLPKDVTLYTDDDEWSTWSKIGDGVLHIELRRWADIMVIAPLSANTLGKPMAEPTGAPRKPRILLAASGSVASIKFGNLCSSFSDWAEVKAVATTASLHFIDRASLPKDVTLYTDDDEWSTWSKIGDGVLHIELRRWADIMVIAPLSANTLGKIANGLCDNLLTCIVRAWDYSKPLFVAPAMNTYMWNNVFTEKHLMSVDELGISLIPPVSKRLACGDFGNGAMAEPSLIFSTVRLFLESKAQSGGGSSNQ >KZM91546 pep chromosome:ASM162521v1:6:25936107:25953197:1 gene:DCAR_021089 transcript:KZM91546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILASHSCYCRNVELSNQGKTLDGLNFSSSVSLNQIIRIEKTKTGKLFRVHIEARQAELPNKYGENGKPVKMVPTSEIMKRNPALVNNPETVDGSQRVVNGSKPAVNGSKQALNGSKQVFIRESNVNGFPSGLMKSPNIKKSDELPPIEELKVLPSDESFSWANENYNSVQRSIDVWSFILSLRVRILLDNAKWAYKTRRRKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPSEFVDELAKLQDRVPAFSPKKARDFIKAELGAPVEILYKEFEDQPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLQNLKLVAEYFQKSETLGGPTRDWMGIYEECSKILYEEIDYINEGKNADRFRRDFRNVKWVRVPMVFWDYTAMKVLTLEYVPGVKINNLDLVDARGYSRSRVSSRAIEAYLIQILRTGFFHADPHPGNLAIDLDESLIYYDFGMMGEIKSFTRERLLDLFYAIYEKDANKVIKTLIDLEALQPTGDLSPVRRSVQFFLDNLLSQTPDQQKTFSAIGEDLFAIAADQPFRFPATFTFVIRAFSTLEGIGKTLDPDFSFVKIAAPYAQELLDIKQSQRSGTQLVAEIRKQANDVLVQRIEEFVNQLDAGDVKLRVRVLESERAAQKATILQMATLYTVLGGTLLNLGVTLSSQGNLAIANGSYVGADVCIVGVARTPMGGFLGTLSSLSATKLGSIAIQNALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGLPNTVVCTTINKVCASGMKATILAAQSIQLGINDIVVAGGMESMSNVPKYIAEARKGSRFGHDSLVDGLLKDGLWDVYNDFAMGSAAEICAEQHGVSREDQDNFAIQSFERGIAAQNEGAFTWEIAPVEVSGGRGRPSTIVDKDEGLGKFDAAKLKKLRPSFKDNGGTVTAGNASSLSDGGAALVLVSGETAVKLGLEVIAKIAGYGEAAQSPELFTTSPALAIPKAISSAGIEASQVDFYEINEAFAVVALANQKLLGLDPEKVNIHGGAVSLGHPLGCSGARILVTLLGVLKKKNAKYGVGGVCNGGGGASALVLELV >KZM91979 pep chromosome:ASM162521v1:6:29537144:29542950:-1 gene:DCAR_020656 transcript:KZM91979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRVTLPQYTPLSFSFPFPTRTEIQTYDYSVNYITYIILLVQEICINRFNDHDEDCGEKASLPHSQRRYHKMLPVSKMDTSVDALVKEFEAIWKPENGDYSRKMVEYCSSKALNEMCSNIKELISDGNFSRLSFDMMLAWEKPSSVAQYQESLSECVAKEDEDAVSARLNHQQDQIPLFYSDIMPLLVDREPSVGEDAFLWLVTVVPLLADVVNGRFTFETLTATTVNRLHFPAYDKFLKESDRCIKHLQKQEIPSGVELVDDEFILHVEGTASTSRVVRHIGVTSWPGKLTLTNYALYFEASGVISYEDAIKLDLSKGIGQSVKRAATGPWGAPLYDKAIIYESSELRESFVLEFPEMASSTRRDHWLALVKEVILLHQYILKSKVESPVQVWEMHARTILGIIRLHAAREMLRISPPNPKSFLIFTLFEELPKGDIVLETLVESLKNVSSGHPCSASSILRNLNVTQACPLCTDTKDIIEAPEVLSGQAENMSSLESVIEQIRDEAREVNIAKATTETIKEEGLSDSYAVLMELLNSLRSAILPWSQDVLTWKKPGITVMVAGVTLLIVYMEWIFRAIAALLLWTVSKMIWARRARVADKYTKLVVFVDSEQTTVGSLVSAQHGMNTINELMQSANISILKMWSILISKAPKHTNMVMTSMTTLAVALAVIPFKFIIMSFLLYIFTTTSKLRKNTKSQPGNRRLKEWWDSIPVIPVEIVEQQDGSSKSVCYEY >KZM91304 pep chromosome:ASM162521v1:6:23960221:23961033:1 gene:DCAR_021331 transcript:KZM91304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKKFRGVRQRHWGSWVAEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVPPQAPQQTDDKNNNTEKDNPAQLQLGSSSSSSSSSSLSTILSAKLRKCCKSPSPSLTCLRLDTENSHIGVWQKRAGPRSVSSWVMTVDLGNNISNSGTQQEQDVPAAEQAAASPSCSTAARNSDGVECGNLDEDERITLQMIEELLN >KZM89931 pep chromosome:ASM162521v1:6:7607249:7609245:1 gene:DCAR_022706 transcript:KZM89931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKLKCMQQFHNLMMIINLFLLLSSFNFCQSKKINTWEEEYPFIKNASSFSSKNREYDYIIVGGGTAGCPLAATLSQNFRVLVLERGGVPFGNKNTSLRNFHINLVDTSPNSPAQPFVVEGVFNVRPRILGGGSSINGGFYSRPSLGSLKELELDPKLAYKSYPFEHLNGTKIGGTLFDKDDIRHSAAQLLKSANPKNLDVLIRATAQKIVFDQSSGKPRAVGVIFKDENGEHHEAVLSKNKRSEIILSSGAIGSPQLLLLSGIGPKAELEKMNISVVHNNQFVGKSMADNPQNNLIIPFNRPVKQSLIQTVGITREGVYIKAISGFGQSPSSIHYTHDNSSLEEQNKELPYEDFNAGGLLFKVSDPLSTGELSLNSTDVDDNPNISFNYFSNPQDLQKCVNGYRILEKLVKTKYLTEFMQPGNNTFQKLLNLTTTETINLIPRTADVTESLQKYCR >KZM92216 pep chromosome:ASM162521v1:6:31607045:31608151:1 gene:DCAR_020419 transcript:KZM92216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVSCELVINAPASSVWDLIKGLKLAAAIEESFTHLIEKIDAVGDGSVGTELNIKFKPGTVPFSYYKERFTKVDNESMVKENEVFEGGYCDLGFSKYFVRFEIVKKDENSCIAKATTEYELKADADPNLASLVSVDQMMGVLNLAANKVVNGSK >KZM92725 pep chromosome:ASM162521v1:6:35840030:35841960:1 gene:DCAR_019910 transcript:KZM92725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLRTDSADYRTELLSPAAAVGAENSVVLQRGPSWRLNFDDHPLPEGRDDSFFSFGWSKRKITAYYKRQGKLLENYNEMDSFIELGRLPGSLTESEMSQLARSERMAIHASNIANVVLFAAKVYASVESRSLAVIASTLDSLLDILSGFILWFTAYAMKKPNQYRYPIGKTRMQPVGIVVFASVMATLGIQILFESGQQLVMKTQPDRDPEKEKWMIGIMVSVTVIKFALLVYCRQFKNDIVQAYAMDHFFDVITNSIGLTTAILAIQFRWWIDPLGAILIALYTMSTWSRTVMTNVWSLIGRTAPPEFISKLTYLIWNHHEEIKHIATVRAYTFGSLYFVEVDIVLPGEMSLSQTHNIGETLQEKLEQLPEIERAFVHTDFQHGHFPEHMPKKFN >KZM89459 pep chromosome:ASM162521v1:6:926960:928558:1 gene:DCAR_023178 transcript:KZM89459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQSVIAVLCFLIIVFHFLSERAVKASRKLPPGPQKLPIIGNIHQLAGEVQHRVITELSKKYGPIMHLQLAEIPVLVVSSSSIAKEVMKTHDLAFSNRSQLQVSKIMLEGCKDVVFNEYDDYWRQMRKICTVELLTASKVNSFQSIREDEGWKLVESIKSSLDSPVNLTHKFGALANAITCRAAIGQRSKYQDELVHLIDTMTALGNGFDIADLFPSYGFLHNVSGIKSKLLKIRTQMHEIFHNIIKEHEEKRASAKLDENGRVVGEEDLVDVLLRVQEKGGLQFPISSKNIQGIISDMLTAGTDTAAVVLDWAMSELIRNPKVMEKAQAEVRKAFKGKTKIREADLQELTYLKLVIKETLRLHPPAPLLLPRECREQCEVEGYTIPVRTKLMVNAWAIHRDPAYWPNAESFEPERFMNKSIDYNGTNPNFIPFGGGRRSCPGIAFGIATMELPLALLLYHFNWQLPNGSKPEDLDMNEVLGATLKRKTSLLLSATSCTPS >KZM90231 pep chromosome:ASM162521v1:6:12882374:12884137:1 gene:DCAR_022404 transcript:KZM90231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPADQTEKANNKLRKGLWSPEEDDKLMSYMVGNGQGCWTDIARNAGLFRCGKSCRLRWINYLRPDLKRGSFSVQEEELIIHLHSILGNRWSQIASRLPGRTDNEIKNLWNSTIKKRLKNNHHMTSTTSPNSADPSVDIKSGEMISFQEHQLMSFCMDSMSSTTTTSSSSANYNFDPFLPLNNSHDITTAPPLPNASNCGVGDVIFEGYGGSEHYVMAPENDLTVVSSLDNNDDPTFMFDKKSFDDINMINSDHPRSIKVEDFEFENREYLQGGQDIIRTGSDQLDWEGLLENISLTPYLDFQIG >KZM89680 pep chromosome:ASM162521v1:6:3284368:3286527:-1 gene:DCAR_022957 transcript:KZM89680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSINLSYVDKHLLQSKICNLILLTDPKSTCMRAEADPGECNVARKVQKADREKLRRDRLNEQFTELGNTLDPDRPKNDKASILGDTIQVLRDLTTQVDKLKAEYATLTEESRELTQEKNDLKEEKASLKSDIDNLNLQYQQRIRAMYPWGGMDPSVVMHPPSYPYPVPMPMAPGPIPMHPSIQPYHYFGNQNPALVPNPCSTFIPYLTPNTIIEQQSRTVNPVVQASSRSRISSKQDSRQRSSDHGESKAVEDSDDVATDLELKTPGSTADQDSSSRQQKPKKSSRKDRTLSDGNSSSRCSSSHSVLVSSSDSVFGAGIGSDDTEKEQQK >KZM92043 pep chromosome:ASM162521v1:6:30029692:30031499:1 gene:DCAR_020592 transcript:KZM92043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEGRNRRALGDIGNLVTVHGIEGKQQQIPRVIRPVTRSFCAQLLANAQAAAENKKQRAVIGDGVVAHKAVHQKKVTVMPKAEDIIVISPDTEEVKKVDRHLNKKKAIEGSSKKKSQTFTSTLTARSKAAAFGITRIPKEQIVDIDAADANNELAAVEYVEDMYKFYKLVEHESRVFDYIDFQPEINEKMRAILVDWLIEVHNKFELMPETLYLTINIVDRYLATKSVARKELQLVGISSMLLASKYEEIWAPEVNDFTKISDNAYTNQQVLVMEKKILSRLEWNLTVPTPYVFLVRFIKASIPNEPAVENMAYFLAELGLMNYATVMYCPSMLAASAVYGARCTLDTAPFWNETLKLHTGFSEQQLMDCARALVRFHSCAAENKLRVIYRKYSLAERGAVALLPPAKALLNVAASPHS >KZM92343 pep chromosome:ASM162521v1:6:32666727:32677172:1 gene:DCAR_020292 transcript:KZM92343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVFLLMLLFLHIKPSVIRTAGAHDVICIAKERQALLKFQQGLVDDSGQLISWGTRDCCTWRGVLCNNLTAHVVSLDLHRQDFSFDLPLTGNISTSLLELQHLNYLDLGGNNFGDGQNKIPDLHFLDLGYNVLDVVNLDWLPNLGKLRYLDLSGISLASVDWLQAVNNLSFLNELHLPMCGLPGASLISNFSSMALSVVDLSNNGYVSFLELEWLFNSSASLVDVDISSNGLQGPIPVGFGNMVNLRNLSLASNAIDGKIPESFGKMTSLTNLFLSNNMLQGATRSLETLRLVPDLSSKLVSYSSLDFNSNQFVGPVPSLPPDLTSLHLSKNMFTGSVSFLCSIANGYFTSLDLSDNKLSGELPDCWMDMSELVILNLGNNYISGKIPSSLGSLYQLQTLNLRHNSLVGELPLSMKNCSKLNILDLGNNKFSGIIPAWRWKVSCFRKEDSSDSDFDSVESKLPEESVRPSINQSNVVKDDWLSKVKKITEAVFAAEPWTVPWTAKTIVQVMFLWVTTFWLVGSWIIPFLAHTAGFRKDSLTYRGQALYSFLTDVVEGVAGIAILRHCLSRFRPLPPDWFRFSLQGKWFLEVGLGCLMFPIVNRLSQVNLNLLPMLPSTPVTVSNVEQSIFARDPVAMVLYFAVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCSILVSSVAFALAHFSVQRMLPLVFLGMVLGAVFARSRNLLTSMLLHSLWNAFVFIDLMK >KZM90432 pep chromosome:ASM162521v1:6:15788434:15788847:-1 gene:DCAR_022203 transcript:KZM90432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQGRTVMLLMIVLQTMHMID >KZM92651 pep chromosome:ASM162521v1:6:35133421:35135134:-1 gene:DCAR_019984 transcript:KZM92651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYWKATGKDRTISSNSRSVGLKKTLVYYKGRAPSGERTDWVMHEYTLDEEELRRCPSAQDYYVLYKVYKKSGPGPKNGEEYGAPFKEEEWADVECVSFSGLIDQQNIAKEADASCIDSTRSIILPQDQGDDIGEFLNKIVDETVFDQPPLNVCSYTFDQLFADKENGSTLLNHCSGDVSLPDQSMVPHHYPNSDMQAGFDHTLSLASQLPIQASEVVTCMKNGEDGSGEISIEDFLEMDDLLGPEPVQNSEKPLDTPSSNEMDGFSAADIYNDLNMILQDMGQGGPEQISQLHFSDGGMSNVVSHSYVNAVENGTAFYDEFTFHDEANMMSSQVWATEQRNDLFAPETNHAPASQPNYELLVRWMVFTCHVIFHMARTLVPKWGKRGVCNEDTGAIQNQSCTEDGGTDSWFTTALWSFVESIPTTPASASENALVNKAFERMSSFGRLKTICPAGNPTSTSRRRVQKFVGGMFSFSVIGIVFAILWLLLAASVKLLGRYITS >KZM90676 pep chromosome:ASM162521v1:6:17977694:17981730:-1 gene:DCAR_021959 transcript:KZM90676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGKNVRYVATELASDVVVSVGDVKFYLHKFPLLAKSARLQKLVGTVDEGNVDEVDIHDIPGGPAAFEICTKFCYGMSVTLNAYNVVAARCAAEYLEMHESVDKGNLIYKIDVFLNSSIFRSWKDSILVLQSTKSLLPLFEELKLVSRCIESIASKASVDVSDVDWSYTYNRKKLQEENGNDGNNNGVRSRLVPQDWWVEDLCELGMDLYKRVLVNIKNKAIISDEVIGEALKAYAQRRLPGFSKDMIKGGDIQKICSIIEMIVWLLPTERGCVSCNFLLKLLKAAIWVNSGEMVKDELTSRIGQQLEESSVNDLLIRNRTGESTTYDVRIVKRILDEFKMQDQNGEAQVEGGHEIEEVRKLGILSEASKLMVAKLIDGYLTEISKDPNLSLSAFIDLAEMVSTYPRPSHDGIYRAIDMYLKEHPQISKSERKKICRLMDCKKLSADACMHAVQNERLPLRIVVQVLFFEQSRAAATSGCSTPDLPKGMRDLNSGSHGSSRTATTNTDEDWDAVATAEELRALKGEIAAMRLGNGIVRSNSSNGKSNADKAVTSKMKGLLMSKRVFSKLWLSKGKQQGENSGSDSSESVGLGTIEEAKSTPSRKGKHLVS >KZM89691 pep chromosome:ASM162521v1:6:3409619:3415013:-1 gene:DCAR_022946 transcript:KZM89691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGRVHPDCRNADNPYHICSEYCFTVIAEAKKHTSKADLGDHPLLDIAMQPKDGNQSASAANPNQGEDLLDERQASEEKTDASDSQDVEHNVDVDFTQLKGTKRKYFELKLKMNQARKANQTDMMAEKKRMEAPQESRGISKQKWNEERKKKIGKLLDANGLDMSKAYMLDTEQMAEMKYKKWEKEHAPSGWDVFNQKTLFNAYKKRTKNIDVDLVEYNKMKEADPEFYRDASSLQYGKAPKISEDKIDKMVKELKDRDEKRNTFSRRRKFHEEKDIDSINDRNEHFNKKIERAFGRYTLEIKNNLERGTALPD >KZM90094 pep chromosome:ASM162521v1:6:10804552:10805175:1 gene:DCAR_022541 transcript:KZM90094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSKGRQKIVMAKMSKESNLLVTFSKRRSGLFKKASELCTLCGVEIAIIVFSPGRKVFSFGHPNVEQILDKFLNAQDPSPTNSTTLQLVEAHRSASARELNLQLSELLTQLEELKNQGEELMNLRKQRQDMFWWEAPVDDLRFEQLQILKTGMEDLKRNIATHTQKLVLMEQALALAACGTRSAHSMTPLGCTLGYGSEHSIEYH >KZM91586 pep chromosome:ASM162521v1:6:26260210:26260764:1 gene:DCAR_021049 transcript:KZM91586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVPQNWPLITKDGHAFSALEAMEMDDSALIMSLLQDSQVEDIDDERLCSAIQSLEAEIGTNDMMNDHDHGISSAVPSLEDFQPSDVLRMKDQHFSISLDDHMDFSWINDVEMVISSPGEDEMNLWSMDMNCDYYEFGNRVEFGEAADYFYQSSCQQMFDLEEPAEQHTSLWQETYEPVFYS >KZM92458 pep chromosome:ASM162521v1:6:33568781:33572498:-1 gene:DCAR_020177 transcript:KZM92458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTTNFNGAASNLHAAPTSKPPPPRLLNYTCDKVQRALEHLASIELLDLCNEAKVERCRAPRDLSSCGRAVESVLNTCGHASLCPECSQRCDNCPICRIPVSKNGNRLRLRLYYECIEAGLISKRCDDRFQEKEEAEQLLTADVERLYSLFDVAIENNLVSLICHCILLYPTDVTDVCMDESAVSSDPIVAFLLDEVVVKDWCKRIFRIIVAELHKICILTI >KZM90109 pep chromosome:ASM162521v1:6:11284293:11284946:1 gene:DCAR_022526 transcript:KZM90109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATSRCCRWTIICFLCILIKFAFIFVVSIFFSWVNVRTEKSGASFYVEKFYVPALNSTAGGNYTVDNTTAINFVFNFFNRREHIGIYYDDLNVTFYYGRNKSLEIGSLKLSGFHQHGEENSYSRGSVEVKGVPWNTMARNGSKVDFRVDMATKVRYSEMFFMGKRRRVRVRAIVEVNDTTGLKIKKKSIRLKSGATKTGWRVSVAFSLLMVLLFQM >KZM91595 pep chromosome:ASM162521v1:6:26335825:26336888:-1 gene:DCAR_021040 transcript:KZM91595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAYRSVMFYIGQKELEMQFKMNRIVAQCRQKCEAMQEKFTEKLEQVHTAYQKMAKKCQMMEQEMESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRCEYESMKRTAIQPVNNFYSGPEPDLFASPANMMPNRDPLRKDWPAYTPDTPGAREDIWPSAARQNSSNSGPFDVSGGSPVKQSVRPTDFNNRRGINRTAFGAGAGSGPGSRAGNPSMTLRNLIISPIKRPQPSRSRPQMFT >KZM92340 pep chromosome:ASM162521v1:6:32636826:32638628:1 gene:DCAR_020295 transcript:KZM92340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTFEYPAPNVSEYLSQVVDDEHHNPSVALCKSDARPRGKRNLKVNDVSSDHPSASLFLGGHSTNEIEEGSVDTGLSINLFCSRERRYEKRNKYCASPKALVLERSEPCLELSLSTCLSESAITTVNQGSIQFQDSANQLVDEGTTSSRLKLGHRLPPLQSTGTATILRPVPGSGITGLVIPHPASNVTDSFRNSVAFESGVASQKQSRKSRVKSCRFTGCTTGSRGGSGLCIRHGGGRRCQKPGCGKGAEGKTMFCKGHGGGRRCQYPECGKSAEGRTDYCIGHGGGRRCSHDGCPHAARDKSGFCIKHGGGKRCMMENCKKSAEGIAGLCISHGGGHRCQYTNCTKGAQGSTKLCKAHGGGKRCTFSGCAKGAEGSTALCKAHGGGKRCTFEGGCPKSVHGGSLFCVNHGGGKRCAKAGCTKSARGTTNFCVGHGGGKRCKHEGGCGKSAQGSTNFCTKHGGGKRCSWGQSGSGSKAVSPCDKLAKGKLGLCTSHTSQLDNLQLHGGSSFKKTAGMYAFENTRGKEHIHLQTPPPDSCLIENHHPSQFSLPEGRVHGGSLMAMMRRDGANVGPSRYDNEAGCSLDPGSSHSLPHEWV >KZM91053 pep chromosome:ASM162521v1:6:21676972:21683180:1 gene:DCAR_021582 transcript:KZM91053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSEKTISTSHQHNTSSSSASASMPPCDNQSISSVHIASLIPPDSASNTSTKGIQFLSRAETSHPLDPLSVAEISVAVATVRSAGATPEARDGMRFVEVLLSEPDEKIVALADAYFFKPFQPSLFPRTKGVSGIPSKLPARRARLVVYNKKSNETSIWIVELSEVHAATRGGHHRGKVVTSQVVRDVQPAMDAVEYAECEAVVKGYSPFREAMKKRGIEDMDLVMVDAWTVGYYSNADAPSGRLAKPLIFCRTESECPIENGYARPVEGIYVLVDRQNMAVIEFEDRKVVPLPPADPLRNYTPGETREGIDRSDVKPLQIVQPEGPSFLVSGRYVEWQKWSFRIGFTPREGLVIHSIAYVDGSRGRRPIAHRLSFVEMGCDCLGYIKYFDAHFTNFTGGVDTIENCVCLHEEDHGILWKHQDWRTGVAEDGKIETEVFLTGILSLGALQPGEFRKYGTTIAPRLYAPFHQHFFVARMDMAVDCKPGEAFNQVVEVDLKAEEAGKDNVHNNAFYTKETVLKSELQAMRDCNFSSARHWIVSLCVSISIIYAFRVRNTRTVNRVGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYTRGEDYPGEEFPDQNPRVGDDLTSWVKQNRSLEETNIVLWYVFGLTHVPRLEDWPVMPVERISFMLQNCSPAIDVPPNPCQSEAKDVDTKDNEQGKPSSNGFIAKL >KZM89802 pep chromosome:ASM162521v1:6:5127186:5135874:1 gene:DCAR_022835 transcript:KZM89802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHEKQSKPRTVSATIQPTTGEVVAESQDVSTSGDSIRRRFLEFYASRGHRVLPSSSLVPDDPTVLLTIAGMLQFKPIFLGQVPREVPRAATSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAIRWAWELSTLEFGLPSERLWISVFEEDDEAFTIWHDEIGVPVHRIKRMGEEDNFWSSGITGPCGPCSEIYYDFHPEKGYTDTDLNDDTRFIEFYNLVFMQYNKKEDGSLEPLKQRNIDTGLGLERMARILQKVPNNYETDLIFPIIEKASEMANVRYALADDPTKTKLKIIGDHLRAIVYLISDGVIPSNIGRGYIVRRLIRRVVRTGRLLGIKGDGAGNLDGAMLPVLAEIVIDLSPQIDIDVKSRSPRILEELKREELRFILTLERGEKLLEQMLANASASAQETSTVPYLSGKDAFILYDTYGFPVEITMEVAAERGVSIDMEAFDSEMENQRRQSQAAHSTVKLTVENGADQTKNIPDTEFLGYEYLSAKAVVEGLLINGSSVLQVSEGNEVDILLNRTPFYAESGGQIGDQGFLYVTDAENHQKAVVEIKDVQRSLGNIFVHKGSIREGIIEVGKEVEAAVDKNMRQRSKVHHTATHLLQAALKKVIGQETSQAGSLVAFDRLRFDFNFNRPLLENEVMEIENLINGWIGDASLLQTKVMPIVDAKRAGAIAMFGEKYGDQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGIRRIEAVAGEAFIEYVSARDNYMKQLCSTLKVKAEEVTTRVDALLEELRNTKNEVSEVRSKAAVDKASVIASKAFTVGNSKIRVLVECMDDVDADSLKSAAEYIVDTLQDPAAVILGSCPGEGKVSLVAAFTPGVVNLGLQAGKFIGPIAKICGGGGGGRPNFAQAGGRKPENLSSALEKARSDLLSVLSEKTG >KZM91069 pep chromosome:ASM162521v1:6:21796035:21796442:1 gene:DCAR_021566 transcript:KZM91069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEIVTCVMLLITGIAILVMIHVWIVERAFREQNGVGSGIALQRRTVSIDVIKKLPCFDYKGEVNEESQSNLDCAVCLEIFKEASCPICRRTLEISVCSVCEAGAQMA >KZM91047 pep chromosome:ASM162521v1:6:21653090:21655827:-1 gene:DCAR_021588 transcript:KZM91047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLRQNINSLFNFPRDSEFTLTYLDEDGDIVTLADDSDLDDIGRQRLNPLRISVTLNTERSFNFHGTSSGSSTPMRSPSVEPLMHNQDVSVSEILKSVPEAILEKISKLPTEMGSNTTGSPPVYSEILESLSKIGLYYLKEVVGTKSVPSPSVNAIGTQVNKDNSNIKFDGTVQALKSTGKDLDAATHGKKTSVGSSTINPSHSSLGVAGFPSKDTGSTSTQKLKEKSDESYMGWGSILSPKTGVSGTKDASVESRNSFGSRVGVNSFGDCPFLGLPVDNSSSFISGRPYAPHMPPFKKTYSHNDGSGSIFHRGVCCDGCGVHPITGPRFKSKVKEDYDLCSICFRDIGNDTDYFRIDRPVSHRHPFSLKGLFLRGSPSRPKLNCRFMLDVSIIDGTVMTPSVPFTKIWRMKNNGNVVWPSGSQLVWIGGDVLSKILFADVEMPPDGCPVGTEVDIAVDFVAPEYPGRYVSYWRMATPSGQKFGQRVWVHIEVEAMDERSMHRFNLNMLPVNGGIVDPQNEDVSAQLVDNIISKADNVARTAELVEPVSDAPSNPDQELNFPINDTLLVGGTESNPVPLSASSLVADATLSEVAAPIATSPVQTFSTGVDTKEQTLLKELEEMGFKQVDLNKEILRRNAYDLEQSVDDLCDPQNGLLRMSEDDVEQSVDELSGISDEWDTLLVELEEMGFSDREKNKTVLEKNNGSIKRTVMDLIVGENTQS >KZM91732 pep chromosome:ASM162521v1:6:27437936:27439972:-1 gene:DCAR_020903 transcript:KZM91732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGEEKDREENIPEIGEPPLTRSEMEMTDEVLDEIHRQPLSLSLPIVSLPASESIVDSLPVKNHRKTQKTDDDDDEEQCYICLAEYEDGDKIRILPCHHEYHMVCVDKWLKEIHGVCPLCRGDVREIETSASNIEIPSL >KZM90585 pep chromosome:ASM162521v1:6:17080753:17080920:1 gene:DCAR_022050 transcript:KZM90585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEICADGTVVNPLQYIPSGGAELAEDIRRVTIKFRKSRRESNMMRMQGNTLSMG >KZM91494 pep chromosome:ASM162521v1:6:25574530:25580101:-1 gene:DCAR_021141 transcript:KZM91494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTGIQDLGGSPPLPPPPSHIPTGVDPERVEPPKLVMISRPDFGKSGRRIQLEANHFKVSVKSPDEIFYQYSVSISYEDKKAVESKGIGRNIIDKLYQTYSSELAGKRFAYDGEKALYTVGPLPRNKQEFTVVLEESIARREGSSGEPSKRSRQSFHSKSYIVEINYATKIPLRSVLLALNGDETDKVQDVLRVLDIILRQQAANRGCLLVRQSFFHDDSRALDDVGGGISRCFGLHSSFRPTHGGLSLNIDVSTTMILTPGPVLEFLKVNQGTSDARALDWVKAKRMLKNMRIKAAHTNKEFKIIGLSDKPCNELYFLMKGKYGDGTHGQEQPEEITVYEYFRRHRNLQLETSAYLPCLDVGKPRRPNYLPLELCGLVSLQRYTKALSSMQRVALVEKSRVKPQERKKILTDSMGKYLYDEDPLLSACGISIEKQLMKFDGRVLEAPKLKVGGDEDCIPQNGRWNFNRKRLMKPMKIDYWAVVNFSARDISQLLQGLINCGRNKGVVIERPNTLIEEKPQYRRASALVRVEKMFDQIMSKFDRPPPYPFILCVLPERKNSAIYGPWKRKCLVEWGIPTQCVSPGNVNDQYLTNVLLKINSKLGGTNSLLALEVVSRVPIIRDSPTMIFGMDVSHGSPGQSDVPSIAAVVGSHSWPLISRYRAAVRTQSRKAEMIESLFKPLPNGKDDDGIMRELLREFYKTTSCRKPSQIVVFRDGVSESQFSQVLNIEIDQIIKTYQFLGEPNIPKFTVVVAQKNHHTKLFQAVGDHVNVPPGTVVDTEIVHPRNYDFYMCAQAGKIGTSRPAHYHVLIDEIGFSADDMQNLVHSLSYVYQRSTTAISIVAPVYYAHLAATQAGKLIKSEESSDTSSGHGSHTSAANVPVPELPRLHDNVAGSMFFC >KZM91880 pep chromosome:ASM162521v1:6:28623821:28625903:-1 gene:DCAR_020755 transcript:KZM91880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKIGAKAAAQLRPAAHRQVLSLTDAAADRIRQLLQHRQKPFLSLGVKSRGCSGLSYTLNYADEKGKFDEVVEEKGVKIVVDAKALMHVIGTKMDFVDDKLRSEFIFINPNSEGQCGCGESFMTTSSKESA >KZM91230 pep chromosome:ASM162521v1:6:23392824:23405415:-1 gene:DCAR_021405 transcript:KZM91230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDEKMHFNKLDDSPMFRQQIQRLEESAETLREKSLKFYKGCRKYADGLGEAYDRILYYASALEIFGGSDDDPICVSFGGPDMTKFAYTLREIATYQEVLRVKLEHGLTAKLFELANDDLQEVKEARRRFDKANVTYDQVREKYLSLRKSTRIDIAAAVEEEMSSARLAFEEARFNLIGALSTVEAKKRFDFLEAFSGTVEAHLRYFKQGYELLHEMEPFMKQVLSNAQHSKEGFIKEQADLSAKIQEYKKNINQDKCPLPGSHQSLNGDGTQPIPRTSQKEIEAVMQSTSEGKVQTIKQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQWSKLSVPGAPSAQKPSETGPGLLSRWLSSHYHGGVHEEKAVARHTVNLMTSTIKVDAEQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVITSLLSFQPLDKFLPCSPTSGSSSPGSPSRQNLQANEEHTCAKNPVSRNVIRTSRSSQQLPYTAKGGKPVDALKSLPGNDKCADCGAPEPEWASLNLGVLICIECSGVHRKIGVHISKVRSLTLDVKVWEPSVITLFLALGNVFTNSIWEGLIQSSKTYQADELPKRFPDFQRHKKFFSKPSHKDHISAKEKFIQAKYAEKRFVHKVKDTRKILSVAHQLWDCVRMNDIKSVYRLIVICEVDINALHGQALPTTFPSLDNIMKLEDQSPNLEGSFDSSSRESFKSSHSSFSSLKENDDEIIDEFLDGCSLLHLACQTADIGMVELLLQHGAHINAPDTRGQTSLHHSIIRGKNVIAKLLLTRGADPLAVDSEGATPLQLYSKCALDDVELLALLQNTRR >KZM92476 pep chromosome:ASM162521v1:6:33663673:33669094:-1 gene:DCAR_020159 transcript:KZM92476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGIDPDAQLDYAEFELFQSQNRYEVCICSGKKVETIESGLLEQLLLHSTSVQNLNSKRLNASFKIHLPNANSGAAWFTKSILTRFLRIVGSPDILDISKNFENEISHLEETRKFQLSMNEILNSSSLTDEGRLNSTKRAAKAEVDFVSSDASKNELLRAMDLRLTALRDELVAAFCKATGTSCSSKEITDLQTFSHYFGAMNIRNSLRKFIELSQVGQVVDVPDGEKFLFTNNPRNEKTNNTNENVQTSRSSTLDTPVKYGVSPAKVAQLERQSSTEESSVSSEDDQPSVERSRPVVRSATPRRSASPMRRVQIGRSGSRRASAVAIKSLNHFSGRERLLSQIEAANSDEEEISKPAVVKKTSSKASLPATRKSWPSTPLPRTVGTSPAKTPAGTSSTGTAPKRAKPQPVSSLPRSSPNMERLKPNLKTVKGPQFDSNKNVKGMNDKQQQTTKSEKITKTKTKTQTPSAVHSSTAKPSLYNKVTKKSSVVPLESKPFLRKGSRVAAGVSPVIKTKVSSPPEESMKDSKDVIKAEESELVASSLSPVCVEDTVDGMEIHSDKEPESQSITVEKCEDAESSNQVIACFDDNAESFAESILKTGDEEVSVISPAAWVEMEEYQDESIMYDDRSTETAATVAAVKVTSPRVRHSLSQMLLEETSECDTAEWGNAENPPTMVHQKDAPKGLKRLLKFARKSKLDASSGWSSPSGFSEGEDEAEESKTSKKNAENLLRKAALNAKNYGPQRSDSYESYEKYTVPQSGVNNLNNERANKLQESHTAASVTTSKATRSFFSLSAFKGSKT >KZM92499 pep chromosome:ASM162521v1:6:33867616:33880791:1 gene:DCAR_020136 transcript:KZM92499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDSAFQGAGQKAYPLVYNYFSCIIYKHLCLHSATFAYEFPFTAKFLLLCQLKHMVYIFLFFYWKFFVLSLILYSGLEIWRIENFRPTTALKSGALRNDIHYWIGKDCSQDEAGTAAIKTVELDAVLGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHAEAEEYKTRLYVCRGKHVVQVAFARSSLNHDDIFILDTASKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEIAAIEDGRLMADADTGEFWGLFGGFAPLPRKSAAEDANNISDVPTRLFGIVKGQHEPMDVDSLKRELLDTYKCYLLDCGSEVFVWIGRNTSLDERKSASGAGEELLRTVPRPKTHIIRVMEGFETVMFRSKFDSWPQSTDVTVSEDGRSKVAALLKRQGLNVKGLQKATPTKEEPQPYIDCTGNLQVWHVDGDAKTPLSDSNISKFYSGDCYIFQYTYPGDSGEEYIIGTWFGNQSVEEDRNSATSQANKMVESLKFLPVQARICEGNESIQFFSIFQSFLVFKGGFSERYKMYIAEKELPDVTYSEDGLALFRVQGTGPENMQAIQVEAVASSLNSSHCYILHNGSSVFTWFGNLTTSEDQELVERLLDLIKLRVVQPNMQSRLQKEGSESEQFWDLLGGKSEYPSQKVKEIYNFDQDDLMTEDIFILDCHSDIFVWVGQQVNSKYKKDALTIGEKFLEHDFLLEKLSGQAPIYIVTEGSEPTFFTRFFTWDSTKSAMHGSSFQRKLAIIKNGGTPVMDKPKRRTPVSYGGRSAALPEKSQRSRSVTFSPERVRVRGRSPAFNALAAAFENPNARNLSTPPPVVKKLYPKSGSPDSAKSTPRSSAITALTSSFDKPARETLIPRSVKVSPQATIPKPDTESKENVMSSRIESLTIQEDVKEGEADDEEGVTTYPYDRLTISSADPVKDIDVTKRETYLTSQEFREKFGMTKSSFYKLPKWKQNKLKMALQLF >KZM90659 pep chromosome:ASM162521v1:6:17862315:17871813:-1 gene:DCAR_021976 transcript:KZM90659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIDKEELKAAGAQLLPDGRTGLVINGWEIETRKFPILNSTHVQLWEQKLQTSHLPEMVFGESSLVLKHLKSDVKIHFNAFDSLVGWKQEKLPPVEVPAAAKWKFRSKPFQQVILDYDYTFTTPYCGSETVEKSLEQRETISDEGSCKLHWEDCEERIDLTALASKEPILFYDEVIFYEDELADSGVSLLTVKVRVMPSCWFLLLRFWLRVDGVLMRLRDTRMHCIFGESNKPVVLRECCWREATFQALASVERISDLDGMAACELGIR >KZM89467 pep chromosome:ASM162521v1:6:980587:982103:1 gene:DCAR_023170 transcript:KZM89467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNPKPRSIIESLGEEIVRIITPVSICMFSVVILVSILNNSESSSASITSVATIAYSEDSSDSFWDKFEGALLNSLVFVAVVTVVTFILVLLFYLRCTSFLKYYMGFSAFLVLGFMGGEIGLFLNQYFSVPVDCVTFLLVLFNFTVVGVLAVFMSKMAIFVTQGYLVVIGMLVAYWFTLLPEWTTWMLLVAMSLYDLAAVLLPGGPLRLLVELAMARDEDIPALVYEARPVLASDLSPRENVIPRRLWREPRSGDSTLNENMTTRSNVVPNVNAVSRLESSQTVGSIVNAEEGQGSRGISELTAPLIEHRINVQMHLAQQAVETENLVLEGIGLGSSGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIIAGLGITLVLLAFYQKALPALPVSVLLDVDIQHKKDEVVSLAACIRGKQI >KZM90814 pep chromosome:ASM162521v1:6:19492292:19495590:-1 gene:DCAR_021821 transcript:KZM90814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPTTTATTAHRPPHPPAHHHYYPSNHSSSSASFKGCCCCLFLLFSFIALLIIALLLIILLAVKPKKPSFDLQSVTVQYVGITPSPVATPAVTTASVSLNIRLLFTAENDNKVGIKYGESRFSVMYRGIPLGRGNVPSFYQPAHSVRQVAVNIGVDRVNLLQADAANLLRDASLNDRVEFRIFGDVGAKIRILGITSPGVQVSVDCVIVISPRKQALTYKQCGVDGLSV >KZM90399 pep chromosome:ASM162521v1:6:15248297:15248698:1 gene:DCAR_022236 transcript:KZM90399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYLSFLNYCYFHFFGKKTGDNLEEEVSDHDSVELDFDNHAPNWLLGRSGRTRKIMLDKMQKRKKNRCAELTKLREELSREMEEKMNKKLKNILEKIVQMTSLQIDIDEQLADDNTDHGAEAELDGNEAEGAT >KZM89789 pep chromosome:ASM162521v1:6:4867940:4870615:-1 gene:DCAR_022848 transcript:KZM89789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSSLFLLLSCWVFLNSLVKSDPGSSCSKSNTSLIDYETQFSMIQHQVRGVFTILDDCSFRVSKFDMLKGSDVHWWGSTGVDFENMTRGFLLSDDKLDKTFSNDSFVVNLRKNVSWDLVKVVSIWDVPTASDFGHVVLGNLGDGLEAPAPTPSNGSRGGVQNVPTMFQNCKQLSSDFRVRWTLAAEDNVIDIGLEAGIAIQNYMAFGWAKPGSSNPMLGGDVAVTGFTDDGLPFAEDYYITRYSQCMMTADGFQGVCPDTFYEPAEEVSFLNNTKLVYAHRKDGVSFIRFQRMLNSDDKKYDVQVNSTENMTVIWALGSMKPPDMLRPYYLPQKHGESYGYLKINVSEHINDCLGPLDAEDKEDQDLLIADGKEALVISTGPALHYPNPPNPSKVIYINRKEAPLLRVERGVPIKFSIQAGHNVAFYVTSDPLGGNATLRNLTETIYFGGPKAEGVQSSPTELIWAPNRNTPDQVYYQNLFTQKMGWKVQVVDGGLPDMYNNSVVLDDQQVTLFWTLSETSISVAARGEKKSGYLAIGFGSEMVNSYAYVGWMDSNGTGGVSTYWIDGRDAANIHPTNENLTYVRCKSENGVITLEFTRPLKPSCDRDERPECNNIIDPTTPLKVIWAMGAQWSGKHLSERNMHSITSSRPVRVLLVSGSAEAEEDLRPVLAVHGFMMFLAWGILLPGGILSARYLKHLKGDGWFKIHVYMQYSGLAIIFLGFLFAVAELRGLYLDSLHVKFGMGAILLACVQPVNAYLRPKKSASGEVVSSNRILWEYIHVIVGRCSIVVGMAALFSGMKHLGERYDGENVQGVTWALIIWFLIGVLITLYLEYREKQRRRESFLARSNWVLGNGEDEDHDLLSPSGMSVDKDLHPSDRMEVQLEPLNR >KZM89415 pep chromosome:ASM162521v1:6:564060:570373:1 gene:DCAR_023222 transcript:KZM89415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNVYTKTMIRSATLFHNTTPTAATCFYPGRPELSFTRPDSYRWPRVRLVRRGCVASQRIYGTNASPETLVADLPEICTADELHYVSATPRNHPLLLLSGVGTNAIGYDLAPGSSFARYMSSQGFDTWILEFRGAGLSAAITQNEIKQEVDVVSGQIDSIKTKINGKNFEEKKSEATADTAQSQVGPNPLAQSEVSSGSKISEADESQFLTYFTENFMALSERLSSLLNEGFSEMKQSYALVGQIRDLSQRLLNIIEEGQRSVSPQFVDLVARYSTTVEDFRKQLDLMAKYNWDFDHYLEEDVPAAMEYVRRQCKPTDGKLLAIGHSMGGILLYAMLSKNGCQGQDSYLASIITLGSSLDYTTSESSLKLLLPLVDPAQALNVPVVPLGALLAAAYPLAFRPPYVLSWLNPLITAQETMQPELMEKFVLNNFCTVPAKLLYQLTSAFQDGGLCDRTGKFFYKDHLHKIDIPVLAIAGDKDLICPPEAVHETIKLVPEHLVDFKVFGRQEGPHYAHYDLVGGPLAPDHIYPVIIDFLSRHDQI >KZM91528 pep chromosome:ASM162521v1:6:25831150:25832781:-1 gene:DCAR_021107 transcript:KZM91528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSMQASRRRRSSLSNTLYYPSTQGVEHCLFPKSQSLDKQLHYSNSIPGIKTERYCTLESSLATDNFGSLHNSTTAVTYSQSESSMSQQESQSYLHDHNYGSPMSVSCLTDDTTELRQKANTNDFKHKLKELETLMLGPDSNFLDSLNCNLASPETDNWKRMFEEIPRRDMKQVLVACARAVSDNDLLTAQWLMAELRLMVSVSGEPIQRLGAYLLEGLVARLASSGSLIYKSLRCTEPESSELLSYMHVLYEVCPYLKFGYMSANGAIAEAMKDENSVHIIDFQIGQGSQWITLIQAFAARRGGPPHIRITGIDDSTSAYARGGGLNIVGKRLSRLARSFKVPFEFHAAVMSGSEVQPESLEIHYGEALAVNFAFMLHHMPDESVSTQNHRDGLLRFVKRLNPKVVTLVEQESNTNTAAFFPRFLETMDYYSAMFESIEATLPREHKERINVEQHCLARDVVNIIACEGTERVERHELMGKWKSRFKMAGFTPYPLSSLVNATIKRLLDNYCDKYRLEEREGALYLGWMNRDLVASCAWK >KZM91712 pep chromosome:ASM162521v1:6:27291525:27293816:-1 gene:DCAR_020923 transcript:KZM91712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQFQFSVCLLLMTISQFISASLAHEMNCYIVHMDISAMPKAYSNHHSWYLATLASITDNSKLTTTSVPSTKIIYTYTNVMHGFSAILSDSELESLSSLPGYVSSFRDAPVNLDTTYSFKFLGLNSNYGAWPDSDYGRDVIIGVIDTGIWPESKSYDDYGMTPTPLKWKGACEIGTQFNSSMCNKKLVGARYFSKGLLANNPNLTISMNSPRDTDGHGTHTSSTAAGNYVDNASYFGYAYGTARGMAPHARVAMYKALFNEGSVSSDILAAIDQAIEDGVDVLSLSFGLNDVALFEDPVAIATFAAIEKGIFVSTSAGNQGPLLETLHNGTPWVLTVAAGSIDREYVGRIILGNGVTITGQTLYPNNLTHSQNFPLVFMGECDDVKKIGTGFVVCQDKTGALSEQVYACLNANVSGGIFITNNSDLGFFRDSSFPALFLNHQDGETILKYINMNQKAQASFEFQKTSIGAKAAPKVAAYSSRGPSASCPFVLKPDIMGPGDLILASWPSNVAATEGSSQYFSNFNLLSGTSMSCPHVAGIAALLRGVHPKWSPAAIRSAMMTTSDFLDNKYNPILDSGALNHPASPLASGAGHVNPNKALDPGLIYDVKAADYINLLCALNYTSKQIQTVTRSASYSCSTASLDLNYPSFIAYFNANDTSNSNELTVQEFHRTVTNVGDKTSVYEAKITHMDGLNVNVVPEKLSFKDKYEMQSYRLSIQGPRRMKNKIIHGSISWIEVSGRHVVRSPIVATNLSSRILSGRK >KZM92032 pep chromosome:ASM162521v1:6:29921457:29925234:-1 gene:DCAR_020603 transcript:KZM92032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRESYSSEVEIIHKMVKDPCPKALSGASLTRHQKKLGVARMITRVLSSREADLARYSKDKVRQRLNTIKWHLEAIRSKRLGSMSHHEHHPPADHFKSHSQKNDNMEMISSQMDQGLTNQHASLPQSSIQQGFSVSRENSINSLCNDKLVGYALSSFQNSPKSFKQQSPTNYAEQITMLDSPNIECQFGVEKGSQSLLHHGLRKSLHKQNLYSQQNPLPSNIASSLVPTMSRVLTSSVNYSHAVKQKAKNQIMNLEKMKQPVQKSRIEKKMQQMVVQKEYGLEHHKLCIQSAGYSPQILTSSLPSTDHENQSFCTENTIHLQRPVVPVEQSQTSRRKYAPAGSSQDTGAPLSIEFDTQEISLQPQPVKRLIKVVESMSTEALCASLQEFNAVINLVDNNGTGSGYPILERNIISSDIPSHDKVNRQISAMAFNSLSSFSRGTFSKRGCNQAADMILTPTYRIKKLKMEQNRDIFGEIRAINLQLIETSLDVDFGLPEDAARAGVGDGTIIRCSYNAVGTLAKSLRMQYASSPTLPDFIMLWLVPADYPHSSPMLLDTMPGQWSEVYKDMSEKLVLKLNQSIQTLSHPISLEEMVRNWDASARAVFTEFAEERVGKSLGSNLRTWENYITTL >KZM92776 pep chromosome:ASM162521v1:6:36220781:36223994:-1 gene:DCAR_019859 transcript:KZM92776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEERLRRGNLEHDPTINPGLGNPRCPRCLSLVNPHSVRLSLSPPPSFLSLPFPPPHFHQSHSPQENEEWTITPVLHDATAVAGCGIGGMLSAIHGIPFVQKHVKGPKWLPFIIGVPPLLICSAASAVFGGYALPKFTQLTVTSYYAASSASHYGISLLTRRIEEANTSHSPPKRIK >KZM90936 pep chromosome:ASM162521v1:6:20548926:20549204:1 gene:DCAR_021699 transcript:KZM90936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSLQCLHPGYCYHPTTTPMTINMAHPTAWPSSKQSTRLLQYNLSTSSFIFPFRVAHLPLTTTPPPTDTIPVSHGFSYHRVPGQPTRHHRH >KZM90295 pep chromosome:ASM162521v1:6:13943667:13946121:-1 gene:DCAR_022340 transcript:KZM90295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIASPLVKVVAISGSIRKASFNHGLVRSVIDISKELNNGVKVEYVDISPLPMLNTDLEVNGTYPAAVEAFRNKIAEADCYLFASPEYNYSVTAPLKNAIDWASRPPNVWADKAAAIVSAGGGWGGGRSQYHLRQIGIYIDLHFINKPEFYLNVFEAPAKFDADGNLIDAESKESLKKVLLSLHAYALRLKGK >KZM89527 pep chromosome:ASM162521v1:6:1661505:1663640:1 gene:DCAR_023110 transcript:KZM89527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILLHILQSPAYRRHQTRSIFDSAAPIKWVRDRALDHAVEKERNLKPMINLKNFIKSEPSKTSPISLILQHKHDLETPFRPIEFIRKYPSVFEEFLPGGIGVNPHIRLTNEVMQLDGEEEMVFLSGGHKQDVADKLLKLLMVGKVNRIPLRVIDKLKWDLGLPNDYVESVVPEFPDYFCVRKCDGLGVLELVCWSEELAVSEMEKRAMSGKEGYSKGMPIAFPLQYSRGFEVDKKFKKWVDDWQKLPYVTPYESVLSCQGKGDEFDKWAVGVLHEILSLFVPKKTEKDNILLLGDYLGVRSRFKKALLQHPGIFYISSKLHTHTVVLRDAYKRDLLIGKPNPVMEMRSKYIHLMTAVKEESKSKSAQVGNTRRKKKSVDLKEGEEEDSKDDENEEEEDENSNRLSDSEFEEESDDDDYEDESDDDESEHESTMNRGRASGKSSFEEKARALRTEQKQFDGRHPSKTGDRRSPTHSRGKEIPAKFDRDESNRRHQSKTLDGQSPSYERGRQSPIRNERHESTGRRESNYRHERDESKRSYPDKAQDKRSSTFSGRREGPWRDESDRSARRQSPIRNEREESNGRRESNFRNDSNESKRSYPDKSQDKRSSTFSGRRERPWRNEPDRAAKRYPRGTEDSQSPQSFRGQEASPTNSPNQSDRRHPSKARDEQSPTHTRGKETLKNQMARRKPHGRSDVSRTRKSTSPNKTPTF >KZM92344 pep chromosome:ASM162521v1:6:32686850:32690407:-1 gene:DCAR_020291 transcript:KZM92344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGKDTPESLPPLIERISSQDLDCFDTVNRGVVLDSHMVSPTPAETKIGEVEVENSGAESHQRTHSVSISMPLSPMEVHLEGKKRVLFRDDCETISSSGVSLSRLSTNNPHPKIPKFHSQPLPKGTAVSDAIASGKLPARPVRSLKNPVGGNLSDKRYNSFKTWSGKLERQLSHLRGRVDETEVDPPQKNEMENIPVHRYFDALEGPELDTLKPSEEILLPEDKLWPFLLRYPISSFGLCLGISSQAIMWKNLATSTSMDFLHVSLKVNLLLWILSIAIFCVVAFIYILKIVFYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPPSVTKDLHAALWYVLMTPILILELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIQGSFDFGSRIAFFIALFLYFSLLSKFQ >KZM90219 pep chromosome:ASM162521v1:6:12717863:12721495:1 gene:DCAR_022416 transcript:KZM90219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIPSSKIYMNLDTDSITAMRQRLIQEGYVAEENALTSPVAKPVLDIIETLTLKQLGDIAGESYKKVGQAMQMYETMMKVPFPFMRCWMELRNSPKFASNITKKSKAATKDNHSSSQSTESPVSSSAEASEEGMERPIGRKAAKKLKRSRNEATDDEFVEIFKKMKEEARLTASSRNESINNIIKMEEERQARERVQLEINKAKEERENQIYEASILAIDTTVMDPQLARYYQALKDKILSKVL >KZM91978 pep chromosome:ASM162521v1:6:29529572:29535497:1 gene:DCAR_020657 transcript:KZM91978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRHPEVLWAQRSDKVYLTIALPDAKNVSITCEPEGLFSFSALGLKGESFNFSLKLYGNIVPEGCKSKVGLRNILCSVQKEKKGWWKRLLMSEEKPAPYLKVDWNKWCDEDEESANSDVESDDNAMAYNEDDGENTDDDGMLYLPDLKKAGGN >KZM90563 pep chromosome:ASM162521v1:6:16885928:16890795:1 gene:DCAR_022072 transcript:KZM90563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRFLKDIGCFLFLSFLSLSPVSSTPDNVPIATEKDIDTKAFANVRLKNAYTALQAWKMAIFSDPNNMTTNWIGPNVCTYMGVFCEQALDDASLTVVAAIDLNKGDIAGHLVPQLGLLTDMVVFHINTNRFCGIIPEEFSKLIILREFDVSNNRFVGTFPEVCLRLPALVYLDLRFNDFEGPLPPKVFDVPLDALFVNNNRFTYNIPENLGNSPVSVIVLANNNFTGCIPKSIGNMTKTLNEMIFMNNNLTGCLPEEIGMLSNLNVFDISFNNFIGSLPVGLSGLKSINMMDVSHNRLVGSVPESVCSLPSLENFTYSYNFFKEEMKGCQQARNGLILDHSMNCLPDATDQKTVAECAPVVQETVNCESCCRGNPDHESPVPTPPKITSPTPTQPISSTPSPSTPSTPSPSISYPEGSYLHSPSPKPTPSPATPQASTPPSPKPTPSPSTVSPSISYPEGSYSPTPSPSISSTPMTPKLTPSSPTSQVSPSPSPKPTPSPSTVSPSISYPDSPTPIAPTPSAPISFTPMTPKLTPSPKTTPSTPTPQASPAPSPKSTPSPSTVSPSITYPDSPTPIAPTPSAPMTPKLTPSSPTPQASPPLSPKLTPSPPTPQASSPPSPKLTPSPPPPKVSPSPSPKPTPSPSIVYPEGSYLHPPGPKSTPSTPSPQASPAPSPKPTPSPSIVYPEGSYLHPPGPKPTPSTPTPQASPAPSPKPTPSPSTVSPSITYPDSPTPIAPTPSAPISFTPMTPKLTPSSPTPQASPPLSPKLTPSPPTPQASSPPSPKLTPSPPTPQVSPSPKPTPSPSTVSPSITYPDSPTPIAPTPPAPISFTPKLTPSSPSPSPKPTPSPSIVYPEGSYLHPPGPKPTPSTPTPQASPAPSPKPTPSPSTVSPSISYPDSPTPIAPTPSAPISFTPKLTPSSPSPSPKPTPSPSIVYPEGSYLHPPGPKPTPSTPTPQASPAPSPKPTPSPSTVSPSITYPDSPTPIAPTPSAPISFTPKLTPSSPAPSPKPTPSPSIVYPEGSYLHPPTPKPTPSTPTPQASPAPSPKSTPSSPTPQTSPSPIPNPSLTAPMMQQPKTPTHPSPTPLVSSPPSPVQSPPPTSPPPKVTPPSSSPPPSPKLTPSPPTPQVSPSPSPKPTPSPSIVYPEGSYLHPPSPKLTPSPPTPQVSPSPSPKPTPSPSIVYPEGSYLHPPSPKPTPSAPSPSPSLVSPAYSLPPSSSPQPSKSTPPPSDAKPPPMVHSPPPPVFSPPPPAKSTPPPTPSLVSPAHSLPPSSSPQPSKSTPPPSDVKPPPIVHSPPPPVFSPPPPVKTPVHSPPPPVHSPPPPAPVHSPPPAPVHSPPPPAPVHSPPPAPVHSPPPAPVHSPPPAPVHSPPPAPVRSPPPAPVHSPPPAPVHSPPPAPVVHSPPPAPLRSPPPAPARSPPPAPVHAPPTPVSSPPPAEDFILPPNLGALYSSPPPPQFAGY >KZM91618 pep chromosome:ASM162521v1:6:26575372:26580659:1 gene:DCAR_021017 transcript:KZM91618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLRPLMSTVVKMLTGEIEVQGKLIAKPGILPEAFLRRNIITGDASSPGYSSIEASPMPSTWLLNIASDILAWELYQEQDIVGLVDASLNGKFSLNEASRYIKIAFLCTQAAPRSRPLMSDVVNMLKGDIDVSEMEISKPGLLSELSRTYKSTPYESSIGSGKPDDVFLSMNEMSCGTMTFTSIEDGRD >KZM91158 pep chromosome:ASM162521v1:6:22654040:22655578:-1 gene:DCAR_021477 transcript:KZM91158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSSSVHAVQIPPSNFNYLSNSGDDLISELPDECLALIFQSLGSGDRKSCSLVCQRWFGVEAQSRQTLYLKARPDVVSIIPGLFSRFDSITKLTLRSHRTSRSLDDEALSLVATECRKLSRINLRGCREVTEIGMAALGLYAKGLKKFSCGSCTFGVKGMNALLDNSSSLEELSVKRLHGIKNSGGEMINPGVAASLKSIRLKEIHNGQCFGPLIVGSKNLRSLKLLRCSGDWDIVVKKAARRENSCLFEVYLDRAQISDIGLTALSNCSELEILHLIKNVDCTDAGVVAVLEKCRSLRKLHIDGWRTNRIGEEGLVSIGKHGAMLQELVLIGINPSLFSLDAIASGCKKLERLAICGSETVADPEISCIAAKCAALKKLCIKSCPVSDQGIEAFAEGCPNLMKLKVKKCRAVTSEAEALLRSNRRSLIIDFDVCEVEVEAVDGSASDAGTQEDDAEFLPLESQEVADTASSEASSSRKGRASTSKPKFGLFRGNCFLTRARKRWSNKAST >KZM91761 pep chromosome:ASM162521v1:6:27647661:27649820:1 gene:DCAR_020874 transcript:KZM91761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKTRSELTRTFHFEIRTESSKVPQRSSPATPRTTKQRKASGANTESVSSAATRAPKARSPKIVTRRIPRSPASEQKRRPGRISDLEDQVAQLQKELKTAHDQLSSSEAGKNQAHLDNEEAHKQLAAMSEKLEESEQQVQELSASEDSRIQELRKISQDRDRAWQYELEAVRKHHSIDSAALVTAMNDIQKLKMQLERVAESEIEQARRAESEHAEVQSLKQELGETLNLIENLKNKLIDCKESTTRAMEAVRGTKMQLAMAKSTEDTLRLECLKVTEAYDSVAVKLDQSKNKIIHLEGLVSKLQADISSDSSKNAAQPLGYIKPARESDYHEKSLQMEMELNNVNSEVAQLRSALEAAERRYQEEYVQSTLQIRSAYEVVEHTKLESRKCEAEMGANLMTAKSDIEELKSRLNNKEIDFHTVSEENRGLYLKIMENQLSGRETELELELKKIAEDMVELKANLLDTETTLQSTAEENEALKMEIRKKKLANNEVDNETFKFAEAAKDAEREALMKLSYLTEEAEKSSKRMAGVTEQLDAAETANSEMEAELRRLKVQCEQWRKAAEAAAAMLATGDNDKIETTGSLDNKYHMFGEKLSWNFSEDMDDDCPKKKNGNMMKKFGVLLKKSQK >KZM90355 pep chromosome:ASM162521v1:6:14560273:14567973:-1 gene:DCAR_022280 transcript:KZM90355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDAKNDTSPPQLDIENEGSLFDRRDFRNVNDDVSGMNSGRSLHEPLLLKNRKNTTSQIAIVGANVSPIESLDYEIIENDLFKQDWRSRKKIQIFQYVVLKWTLACLIGISTGFVAFCNNLGVENLAGFKLLLTNDLMLKERYYLAFVAYAGCNVFLAICAGVLCAYIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSIFGVAAGFVCGKEGPMVHTGACIANLLGQGGSRKYHLTWKWLRWRSALLWRTFFTTAVVAMVLRSLISFCKSGKCGLFGEGGLIMFDMDSAVTNYTVPDLLAVIVLGVVGGIFGSFYNYLVDKVLRTYSIINERGPAYKLLLVITVSLLTSCCSYGLPWFTECTPCPPGLEAQCPTIGRSGNYKNFQCQPDHYNDLASLFLNPNDDAIRNLFNSSNPREFRLSSLLIFFSAMFLLGIVTYGIAVPAGLFIPVILAGASYGRVAGTLFGHISNLNVGLFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLVSKTVADSFNKGVYDQIVMMKGLPYLEAHAEPYMRNLVAGDVVSGPLIEFSAVERVGNIYHSLKMTKHHGFPVIDEPPFASAPELCGLVLRSHLLVLLHGKRFTKQRELSGKELLSKFQASDFAKAGSGKGLKLKDLDLTEEEMEMFVDLHPITNTSPYTVVETMSLAKAAVLFREVGLRHLCVVPKSPGRPPIVGILTRHDFMPEHILGLYPHINPHK >KZM89396 pep chromosome:ASM162521v1:6:423137:426718:-1 gene:DCAR_023241 transcript:KZM89396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSQPQVIKCKAAVAWRAGEAMVIEEVEVSPPQPMEIRVKVVATALCRSDFNAWLSQATPLFLATLHLFPRIFGHEASGIVESVGEGVTEFAKGDHVLTLFTGECMSCKQCTSEKGNICQVLGLERKGVMHSDQKTRFFIEGKPVYHYCAVSSFSEYTVVHSGCAVKVDPKAPLEKICLLSCGVAAGLGAAWKVADITKGSTVVIFGLGTLGLSVAQGARKRGASRIVAKAFGVTDFINPNDYNEPIEQVVKRITDGGADYSFECVGETAVINTALQSCCDGWGLTVTLGVPKSNPEVKAHFSSFLSGKTLKGSMLGGWKPKSDIPSLIEMYLNQEILVDEYISHNMPLENINQAFDLMKDGKCLRCVIHMP >KZM89431 pep chromosome:ASM162521v1:6:687968:689737:-1 gene:DCAR_023206 transcript:KZM89431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMVRPNQPNQNIPPPPSQHPQQAALSPQQTNVHSALPLLGQTDSKDHTKASQTPNSLRKPNQTMMPVSSTSIPASNSQSHPLQSHLSQSAQQPLNAQVTTMPIQQPPQFQNMSHPSFHSVSPQPASLPQPPMSSASTQLQQPLQTSGMPQMHLQPAMPSLARPASMPAFGHQPNSQMGPNASFQHSNTVQMHHSQPMFQSGQNHPASMGHSMLQGHQPPRQSQYQGGSPQLGMEFNQTGGPMQAERGSPWIPGLRDNTTGAQNLPGPPSFMAGQMGPTSQAPRPPALSSDMESALLQQVMSLTPEQINLLPPEQRNQVLQLQQMLRQSQ >KZM92068 pep chromosome:ASM162521v1:6:30259017:30263488:-1 gene:DCAR_020567 transcript:KZM92068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVTDNKDQDVEFFLDSDAADTNAETDDYSLDFDDVSVGPGCAVADVADNERDVGEFTSSQWPQSYKESIDAYTISAAPGFGSFRDAFNSSFTGGDMDNQSNFDLDERAPLLSDLEKNKVDQCRILQSQTSCLCMTSMHRKHAGELPISQGCTFLQTLFNGLNALAGIGLLSTPYALKEAGWFSLAILIVLGAVCCYTASLMRYCFESREGIYTFPDMGEAAFGKYGRIIVAIILYAELYTSTVEFIIMEADNLTKLFPGTHIDWSGLQLDSTHFFAILVVLVILPTVCMKDLRLVSYLSAGGVIATMTIVLCMLLLGTVHNVGFHYSGPVMDWGGVPFALGVYGFCYSGHSVFPNIYHSMADKTKFTKALMICFMLCILMYGGAAVMGFLMFGEGTLSQITLNMPSNYAASKVALWTIDAWRSLADTLF >KZM90475 pep chromosome:ASM162521v1:6:16094718:16095245:-1 gene:DCAR_022160 transcript:KZM90475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADKSEIYSNDSEALEKWISDCFTDHHPPTRYYHHQQPVKNIIPIPVPKKEKEKPVVPVPKKEKEKLVVHEKRAMKLDSVSALGETQRFVANFLRVDNAKRMIVSQQPRKTRPCLENNSGVKRKFDDDANNEVKKIMHRKSEQRKCVADPIGKPSSRGQILVNKKYKFQPLVC >KZM90963 pep chromosome:ASM162521v1:6:20873456:20876884:1 gene:DCAR_021672 transcript:KZM90963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASTPEQVKLDKECELRVEIGPESPLRLRLLTGTAEIFGTEIPPQIWLSFPPSVKFAVFTWYGATIEMDGPTETYYTADETPMVSYVNVHAVLDARRNRAKASPSDTESSQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITIPGCVAATPIEMPIDPVEGIPLEMPLVYFYGHPTPSANADLYKVLVKELSKILERQFAGNTESRAAGMVINTMGWVDGLGYELLLHAIDTFSATVVLVLGQEKLCSMLKDVLKSKPNVDVVKLQKSGGVVSRNAKVRQKARGHRIREYFYGLTNDLSPHSNVASFSDLTVFRVGGGPQAPRSALPIGAEPAADPTRLVQVKIDRDLLHLVLAVSYAKDPNEIISRHGIFLFYNVAGFIYVTDVDTQRNKITYLAPSAGELPSRYLIVGSLTWIEN >KZM91419 pep chromosome:ASM162521v1:6:25011934:25015574:-1 gene:DCAR_021216 transcript:KZM91419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDSVDSVMTERSSTLPEVGERSVEEGILITPAMEGEFDLDLTYITDNIIAMGFPPGDMSSGFFNFVEAKKIVLLYGVSLTFQQRIMMQAPTLEFLMKQRSTTGLDDLELSEVDIQNFALAESVIAEFT >KZM90381 pep chromosome:ASM162521v1:6:14804203:14809285:1 gene:DCAR_022254 transcript:KZM90381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGSRDDREQSSGDPLLPSVVTDERFSSEASATVVVVFSTLVAVSGSYVFGSAIGFSSPAQTGIVDDLGLSLAEYSLFGSIMTIGAMLGAVMSGKLADVFGRRGGPWWLDCGRLSIGYGVGLLSYVFMICCGISGMFIVGNFISWRILTILGIVPCVLHLLGLPFIPESPRWLAKIGREKDSESALQRLRGNNADISRESADIREYIESLQQLSDTSFFDLFQRKYAHSLTQLGGVNGITYYASAIFISAALQISAAGTCLGCFFVGLSFLLQDLQVWKEISPILALVGVLIFKGSFSLGMGGIPWVIMSEIFPINIKGPAGSLVTVVNWFGTWAISYGFNFLMKWSSEGTFFMFSVFCGLTIVFVAKLVPETKGRTLEEIQASLN >KZM91229 pep chromosome:ASM162521v1:6:23386864:23389905:1 gene:DCAR_021406 transcript:KZM91229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFLHKKAHRSNNFIKQTTRFFSHNEDATIGEITKILKHNNWKFYLESSNIPRKLNPDVIPSVLHSNIDADPKTLLHFFNWSCQQMGTPQNLKSFFILAFVLCKSNHFLPATGVLKQMISTRVPISALVDCIVGFCKDYSDGSKVDNLVFDVLISAYQKRGLWDEAVSVFLAVKGSGFCPRIVCCNNFLKDLLRCNRMDLFWKVYEGMVKAKIGFDIYTYTTVIGAYCKIGNVGEVRRILLEMNEKGCKPNLVTCNVVIGGLCKAGLVDEALKLKKSMLSEGLVADGYTYNLLIDGFCKQKRSGEAKLILQEMCTTGVSPDHFSYTALMDGFMKQGDLDEASKIKVEMAANGVKLNLVTYSSLVNGFCRAGKMDKAVDILNEMILAGVKPDTRIYNLLIEGYTREKNTDKANELLAEMKERDLAPSTYTFSVIINGLCHSGDLKGANQLLESMILGGLKPNVIICTNLIKSYIREGQIEEAIKLLNKMGDEGISPDVFCYNCLIDGLCRANRMEEAKTYIVQMVERGLNPNGYTYGALIAGYCKGGDMQKADMYFSQMLGCGIVPNQVIYTSLIDGHCKNENIAEAVSIFRSMLGRGVIPDLQIYSVLIHGLARTGKLQEATGKLTEANELVNDMVTRQIIPNHVTFTTLIDYHCRKGMVEKAEELFLEMQKKNIMPTVVTYTSLLQGYNNIGERSKMVSLFEEMITKGIEPDDIVKSVVHSQLKEGNSDKAFKFCDELVERGLFSRDVYEVLVNTHCKMGEFSEVLTLLDSIGKQGLMLSFATCKTVVHGLYNSKHENEVAQVLKSMVKFGWVPRSTSLADLTDDHKKNSVSGDVMRVSEQVAY >KZM91022 pep chromosome:ASM162521v1:6:21491959:21495686:1 gene:DCAR_021613 transcript:KZM91022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYLLYESASGYALFNCHGIDEIGQNTEAVRNSVEDLTRFGKVVQLVGFNPFDSALDALNQINAVSEGQMTDELQNFLEANLPKVKETKKAKFSLGVAEPKLGSHILEVTKIPCQSNEFVLELLRGVRLHIDRFIENLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYSWHFPELVKIVNDNYLYAKVAKYVDNKSELSEDKLPGLIDLVGDEDKAKEIVEAAKASMGQDLSPVDLINVKLFAQRVMDLAEYRKKLYDYLVAKMSDIAPNLAALIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFLDKNTTAFGDKLREQVEERLDFYDKGVAPRKNIDVMKVAIGNVDNEGVEVDVNGATAKSSAKKSKKKKSSEMDVEELPVEPSAKKSKKKKSTEMDVDEIPTEPSAKKSKKKKSAEMDVDEAPTEPSVKKSKKSKSKVDSVANGEEKQTAVTNGDGTGKKKKSRKSVQDS >KZM89847 pep chromosome:ASM162521v1:6:5942588:5942884:1 gene:DCAR_022790 transcript:KZM89847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGSPFIIYRAREPNHPRMGRGSPIILYEAREPVHPHMGRRSPFILYGAREPNHPLWGEGARSSSIGRGSPIIILRGGVTRSGRRSPNDESPKDLVE >KZM90759 pep chromosome:ASM162521v1:6:18924690:18927892:-1 gene:DCAR_021876 transcript:KZM90759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATREENVYMAKLAEQAERYEEMVEFMEKVSDTVTDSDELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNADHVATIKEYRAKIEAELSKICDGILKLLDAKLIPAASAGDSKVFYLKMKGDYHRYMAEFKVADERKEAAENTLSAYKAAQDIANSELASTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDAGEEIKESAKNEDEPQAQAQ >KZM92432 pep chromosome:ASM162521v1:6:33356745:33357188:1 gene:DCAR_020203 transcript:KZM92432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDLSNDQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKTIIAEEKLTAAFDFNRFLELMSKHLKPEPFDRQLRDAFKVIDKDGTGFVAVADLRHILTSIGEKLEPAEFDEWIREVDVGSDGKIKYEDFIARMVAK >KZM91679 pep chromosome:ASM162521v1:6:27028688:27030187:1 gene:DCAR_020956 transcript:KZM91679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLNIFSGSIVLKGWTPSTHSTSITTSTSILQQFKQAPEFYNSPDCPSVLKLNDHHLCYDNAVHVAMTLDAAYIRGSMAAILSVLQHSSCPQNIVFHFVTSASSNASLLHATIATSFPYLKFQVYPFDDSYVARLISTSIRSALDCPLNYARSYFPSILPSCIQKIVYLDSDLVLVDDIAKLSATPLPDDIVLAAPEYCNANFTTYFTPTFWSNPSLSLTFANRKACYFNTGVMVIDLARWRAGDYTQRLEEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHKWNQHGLGGDNFRGLCRNLHPGPVSLLHWSGKGKPWVRLDNNRPCPLDALWAPYDLLKTPFSIDS >KZM91155 pep chromosome:ASM162521v1:6:22624367:22624804:1 gene:DCAR_021480 transcript:KZM91155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTTSGMQKAKFSAESKLKRMASNRESAKRSRIRKQRHLNDLTTQVSQLESKNNVIRTNMSMTHQMHVTLEAENSVLRAQLAELSQRLESLNQIMDCFNSNNHVVPTNDGDSQMLGDDDYFMDIWNIVPLGLSIEASADVFM >KZM91820 pep chromosome:ASM162521v1:6:28051734:28059420:1 gene:DCAR_020815 transcript:KZM91820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGSNREGASKSWGTNGSGQSVSTSGSVGSPTTRSEAVVNTPPSDKTLIRLNHIDAHADDVASQATDINKKKKRGQRAVGGDKNGRGLRQFSMKVCEKVQSKGRTTYNEVADELVAEFSDPGNGISSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWRGLPRTSMNDIEELKCELLGLRSRVEKKAAYLQELEEQYVGLQNLIQRNEQLYSSGDTPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKVCERQQGNEPQIPSDGGESSSVPVFQPQIAQPSSLGVQSSRPPTSPPLPGILKAARTTVHDNNHTGTPFCIISFNVSKSKLGECWRTKPEDLLRVPPRWHAKVCKNRILATVTPEDKTLVRRIQDIRMSTSSNVHESNLKLVRVMYNRSLPIVMANACGQGPALVPDILRLKTMN >KZM90123 pep chromosome:ASM162521v1:6:11390171:11390432:1 gene:DCAR_022512 transcript:KZM90123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRTGVQARNDGDLWKEDKATGDDSGSVVKECADEIPAYGGFLFFKSLWSLYVCHDK >KZM91389 pep chromosome:ASM162521v1:6:24723216:24726173:1 gene:DCAR_021246 transcript:KZM91389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGNNLFFPVIVLASCVAILFIAFGGLWSEHTQKKVSFVKRNGTHFIVDGGVFYVNGWNSYWLMDHAVNDHGKHTIKAMLQAGAKMGLTVCRTWAFNDGDYNALQISPGQFDEKVFRALDYVIAEARRQGVRLLLALVNNLEAYGGKTQYVKWAWEEGLGLTSSNDSFFYDPSIRRYFKDYIKTVLTRKNTITGVEYRDDPTIFGWELINEPRCATDASGNTLQDWIEEMSTFLKTIDSKHLLTVGLEGFYGPKSPKRFTENPELWASELGTDFIRNSMIPTIDFASVHIYPDHWQVFIFFDSLRTPLKDLEYKLNFVSTWMQSHIEDGEKELMKPIMFTEFGLSSENQGFNPAQRDRFYKIVFDIIYNSAKNKGPGAGSFPWQFLVRGTEEYNDEFGIVPWEIPSTYKLITKQSCGVARTRGVMLWQTEHLKQLCSH >KZM90180 pep chromosome:ASM162521v1:6:12167874:12169639:1 gene:DCAR_022455 transcript:KZM90180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDEEHVDVVNWIVYGVILWSSLFMLTRKIFHNRSFDFCNRIVSTLHACLAVTLSVLSVQDWSCPVCPLASQPTPLQAQTLAISVAYLIYDLICCLFDNEIKLDNSVHHIVSIVGLGGGLAYKMCGSEMVAAIALTEMSSPFLHMRELLKELGHKDSDLNLAADILFAVIFTLARMGGGPYLTYVTLTADIPILLKAMALGLQLVSAFWFYKIARMVKYKLTKRTSKRVPLE >KZM91733 pep chromosome:ASM162521v1:6:27440844:27443099:-1 gene:DCAR_020902 transcript:KZM91733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSRLGSRQNRAPRTPKRSLLSVLVCGGGGASTSRSASIEVNDNPADALMTPAPGQSSISGKFQRSKKETVADHSFSIPQIPQASSFETDFRATENSLVDDDSCSVETDNQKGLCRSKDVISPHQLGIDYRFRDIASTSYEDRPPPDQIPASGRDNLDAAGSVDNIIDRDLPQSCSIVAQTCISDSCSDGMSTENHASELTTIHDFDSSSISAVSDSPINFDMQSNDTHDATALGLGFVVSDREHGLRDESLLHLDVLSLSPNTLSNSSADNGDNEVRNNSRRLFWDAFSRRSSRRRSDSRTLVFTSEDSDDLGSQDRWLFDFNSEYLDDGVGDAGNVESRNHSLNEHRWHSSNEEFNIVKIFIAYPYMDVLMESSAYIVSDMGKTS >KZM90164 pep chromosome:ASM162521v1:6:11884732:11891493:1 gene:DCAR_022471 transcript:KZM90164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPTKQEDYNEIFRSSSGSSIPILELSDTDGSVNLRSSEINYKDVFSGCSEDTQAIGVSYQDLLSANVRTRSASEVPLKKLDCPTFPKENQLLCAEDSSLNFDDKKNFNMSYNKTSAGSQDRSNGTIHVAQFHPEPGFTTFVDDSSPQESKFEKPDPSVTSDIRPKLDFSDRKPEKAIQHIDTQRSSRREVNSRNKFQQDGSISNNKLLNKDVDDLRQRLPPLVEKNNSNILKRSTVSNSEDPEGIAYEHATGDDSQSFFSEELHLNSTSAIAAAALKDAIQKAQKIIEIAKKLMERKKSGLHSRSNQVFEDFFRVEVRKQNPIAHEEKRIDNINAKDTCDYVDSLLNAFSWRWKNAFRHGQDDLYFRTSTSAKVTNAQNHATNVKREPAVSTASNIWNNRAALPEQQVIMNKHEYDAREGNLTREALMKQEINVEKEEDNEKAYESVFIKQKEHAVVMDSELESSANKLAKDVGVDYSLVSQEDGDCGKIQHEQNIKDELKFKFVLLENSDYEKMTCKTQNQDHNKPKVNFQQHSEKATENIKEEPEHRPKTICDEGLEEKFEDAFEWVPNEDELNDSLGSASNENEKETYMAEVIWGERRLAELNKMTIEEKRSTDFNGHKKIEEAYGKSELGGSGNGYGSCNQYEKTETKQTGTKRLEALQQFEYECTVNRERDPLSREARDNIIETQKPFQPFMFDESLKAEQVNDNIRSIDTAAKEFCDFREGKAEEAKVIYKVHKLEDFETVGLVQGATEENEDKKMKNVFEVISSEGSIPGFGLTDSDLEHKEDEQRNKDFKSNINPDNGAQISVIVSAEKENVFKEAKINRDEKAVKNSPDISNGERDVVILKNFEASSGSVVSSEIEKVIQVDEEMTDRHNTHVNGDSCKTIEEKEACTKPENEMETEIVVEVAVDNAKEEVAMKGTKTGKVVSKGVEGYECLKKNHEESKRERQRGKDRIAVETAIQEARARVVAGARERAERAAVERATAEVRQRMMAEARGKFEKATTTAKPLAEKTLLDAKLRSERAAVDRATAEARARALEKALSKKPTATEDIRKSDKACIESALRSKARLEREERVMERAAKALAEKNMRDLLAQKEEAEKNRLAESLDAEIKRWSTGKEGNLRALLSTLQYILGPGSGWQPISLTDIIMSNAVKKAYRKATLHVHPDKLQQRGASIREKYICEKVFDLLKVAWNRFSSEER >KZM90995 pep chromosome:ASM162521v1:6:21180756:21181037:1 gene:DCAR_021640 transcript:KZM90995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTTMIIVSIILDFESNRIHGGNVVSKPAPRKSSKLPLAMSPADDQPSNKSSVQLEEPVMSPPADQPPSALHLDPHPPVDNKPVDTSNPPSL >KZM91964 pep chromosome:ASM162521v1:6:29430382:29431578:1 gene:DCAR_020671 transcript:KZM91964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLKIFVYPHKPNHPFANVLLPYKFEPGGNYASESYFKKALMTSHFITNDPSEADLFFLPFSIASLRHDKRVGVGGIQDFIRDYIYEISHEYPYWNRSGGADHFYVACHSIGKMAMEKAVEVRGNAIQLVCSSNYFLQGYVPHKDASVPQIWPRQGDPPNQPPSKRKTLAFYAGAMNSRVRESLVGLWKNDSEISVHQNRLKTPYHESLLGSKFCIHAKGFEVNTARIGDALYYGCVPVILADHYDLPFADTLNWKSFSVVVSTADIPELKKILKEIEFEEYVKLQKNVMEVRNHFQWHTFAVNYDAFYMVMYELWLRRTTIRVY >KZM89380 pep chromosome:ASM162521v1:6:264354:265382:-1 gene:DCAR_023257 transcript:KZM89380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICEPNTVSRTPGCTVGACTLYSNNPYGIFFGQGALSLGEDFIFAMTTNGVSVGTTYKSPKPIFPFTCSDANFLGNLSNKTRGMAGIGNGITSLHAQLSSQFKVPHKFALCLPSTSENAPGHMFVGGGPYYFSPYGKDIAKQLITTPLVENPLKIRGVTQSGEDAEYDFYVNVTSISVDHKLVSFNASLLSIEKDGFGGTSFSTTSAYTILTSSIYESLVSAFVKAAAFRKMIRVASVAPFGACFDSKSIARSQTGPVVPYIDIGLAGNTHWRFYGANSMVAVNNDVLCLAFVDAPFVRSSIHIGSHQMENYLIEFDLVSKKLGISTSLSFRNTTCSQSRGI >KZM91543 pep chromosome:ASM162521v1:6:25915009:25915161:-1 gene:DCAR_021092 transcript:KZM91543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIKKRKIVSEISRSQKSSTRSNDNQTLNSLVIMEAEPTEFPGMKHLCS >KZM91655 pep chromosome:ASM162521v1:6:26839521:26841544:-1 gene:DCAR_020980 transcript:KZM91655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLVMIVVVVFDIIAFGLAVAAEQRRSTATTTKDSEQNYNYCVYDSDISTGYGVGAFLFLMASQAIIMVASRCFCCGKALSPGGSRACALLLFVFCWVTFLIAEACLLAGSVRNAYHTKYTTIFSENPPSCQTVRKGVFAAGAAFTFFTGILTPFYYISYSKSRGSFAPYGAGEAGVGLGSYK >KZM91834 pep chromosome:ASM162521v1:6:28221446:28224629:1 gene:DCAR_020801 transcript:KZM91834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVGLGLDTISSGHPLFGNQSLVSKGGKFEMGFFSPGKSTNFYVGIWYKNIPVKTVVWVANRETPLRRFSDDSRLELAEDGSLILFNESNVKVLTVISTSVGLSSVPVKGVLRDDGNFVLSDGVSIVWDSFNYPTDTWLPHGKVGVDESLINRNQLLTSWKNPNDPGEGEFSFGIDEGASPELFMWRNRSKVLWRSGSWNDHKFASLESTFTNFSYNLVEQARYFSYVSENITSRFVLTHEGQINQMLWSESTQSWAIYLSYPRDSCSIFALCGSFGVCDLNSAPSCSCFEGFVPRLPVEWSRADWSSGCVRAKALKCSNDGFIRVKGISMPADSQSLSLDSAQVCEYACLRNCSCIAYSYSRSRCSLWTGDLLNTQISVDFHNKQKIGNVNGYIDIYLRSSEAPASRSGVLKKKKHSYVSLALYITIPIVITAILLLYLLWYIWRKKNKKRDAEEANENLLSFSLDISGQQNTYSNSNRLEGEKKDFNLPQFSFSSICAATDNFSSANKLGEGGFGPVYKGNLSNGQSVAVKRLSKRSGQGLDELKNETILIAKLQHRNLVRLLGCCLEQDEKILIYEHLPNKSLDFFIFAPAEIYVLLQILAWELWKTERVLELIDSTLELPASFLPLSNEHIQLNFPKRPAFTSGGSSGSGKDKAYNCSVNNLTASEIHGR >KZM91395 pep chromosome:ASM162521v1:6:24768400:24772765:1 gene:DCAR_021240 transcript:KZM91395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMAMGQYFQENFTLSDSSESNGTFLQKCSSDYVTEDNVEQGTNGGFDCNICLDFVHDPVVTFCGHLYCWPCIYKWIHYQSVSAEKLDQQEPQCPVCKAVVSKETLVPLYGRGQTTKHSEAKAPHPGLVIPQRPSTPRCGSRIPTTTPSMQPVQRLHNRQNQNQHWPDYSMPGSYSSTPVLSLNSSRTGTSQGMVYSRLFGISETTLFIYTFFALGASLSLITCFGHIAAETVNGCGLFSVSFCSGYTYVTDFPEDPTGNFADLKDFIRKNFQMCKWIGFSLITIQVLCMLLAMMLKALGPDHEAGYESEDDYIPEGVPILTKYASRPPVVVVDHFHGSRNVSYSVKFNR >KZM91379 pep chromosome:ASM162521v1:6:24655999:24658587:1 gene:DCAR_021256 transcript:KZM91379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKQGKENQIPAITIANRTSTRTIMPTKDAKKQVTSHTQQSVTQKDARTPQYNLQHTRAVDR >KZM90917 pep chromosome:ASM162521v1:6:20400161:20403866:1 gene:DCAR_021718 transcript:KZM90917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKPHSFFQDIKSRELNGFRVRKRPCIQNDAFQYNQIGAVSVEHDGEPSPPMALSFCKTSKNAHIIAVTDELGYVSLYNTRFKSTFSSTFGENAEKTKLYEWVAHDNAIFDVCWIKADTHMLTASGDQTIKLWDAQEKKCLGVLIGHTGSVKSICSHPTNDDIIVSGSRDGSFALWDLRFSGSKQGAIHKITPIAIKGASNSSHRRRARPIKAASKSITSVLYLKDELSVATAGAVDSVVKFWDSRNLKAPISQACSDDRSSTQQERRLYGITSLSQDLNGMFISASCMDNRIYLYNILQLEKGPVKSFSGCQIGSFFVKSAMSPDAAHILAGSSDGNAYIWQVNKPKAEPIILKGHDGEATAVDWSPSETGKIATSSDDFTVRFWDIRSSCYSKTRSPSSVRRRVMALPSIQCRRLFMDEEPTISKLKPGTCPKDEPGNLSDPSITASVPEFSTPKPQKRRFLSPSKVNERSEQTPEAFHGSPSSVLNPPSSLKRKTIRDYFLATS >KZM91795 pep chromosome:ASM162521v1:6:27868462:27869949:1 gene:DCAR_020840 transcript:KZM91795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSGIIVEKFIKFLTNADLMSDELLLPEKILSQYGNKLPKNLLLKFRNGYEIPVSNFEEGGLIYGISSLYEDFEMEPGQLLVFEYDGQSGFNVYVIGKNLVEIDYPLLVHETQKTRPRNVNVKSGGLKFIKFLKEEEAMFDDFEHPRSFKKAFHLLPGYQNFIFSNGKQTEVVYKHDVGRFCGLNKFWSMEGIEDLSSFNLALFSYEEPGVTTVSFFDYDFVEYMFPGTPLSSGLNSHALPVFGRIEITVQAHHLYKYVYGVDISTDYIAITVCWRKKDYISIYSGEKGWKLQVRNRGGKSNRTTIHEGWIQFRDDLGLHLGDVVVLECARNSRHHFSLQVVRNHGALD >KZM91889 pep chromosome:ASM162521v1:6:28684144:28690477:-1 gene:DCAR_020746 transcript:KZM91889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMAACCRTPLSLSTKLYNNKPPSLSLPLKPSPILFLRSHSSPFLHSKSHFLKHNSLSAHAPVIRASNDAFCSSETDKLSSDVRNRILDAVDLCGRKVTVGDVAGKTGIKVDEAQKALQAVAADSDGYLDVSDEGDVLYVFPEDYRLKLAAKSVRIRYEPLVEKTKSAADRAVRFAFGATLIVSIVLVAAAIVVILASKSDDDGKKSKSKSSSSNLFFLDSSPNTFRVAADSLAKSFITISLHRSKQCDFLVNYMMMNIDFLANSMDSIQPDLDNNCYKWLDALEPQADSNGMNFAEAVYSFVFGDGDPNQDFEEKRWKLIGQYIASVGGVTTAEELAPYLDVTTKAEKNDEGYILPVLIRFDGQPEVDEEGSILYQFPSLQRTASAGRRGKGLTKGTDKVVQNEKFMRENYWNFSNTTTHQKVMVVGLGVLNNLGVFILGALLRDKEVVKIGYIRFVSYIYPLLQIYAVSYFVIPFFRVIFILKINADIKRRNQAREQHAQGLELPDMSLRRKLQNARDMAKGNVVGNKKVVYSTKEDSIYQNLEADEWDRKLSRGRNLKVRGIIKIAKMKSIGNNSVASFGQDIDAKELEQENSDSGIGEN >KZM91222 pep chromosome:ASM162521v1:6:23307224:23308165:-1 gene:DCAR_021413 transcript:KZM91222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLQQPSHLARSADLSSEVLQPADLLREILVKLPSKTVFGFTLVSSYWNKTITDPELTCLLKVPRNPSAIFHRRLVLQENDDVVKYIHIPLEGVTRGGRVRVRRSPYVSTRVVQIMIKKVEPTKLLTTWLESCSSKEPRSCGIFQVLAEKPQLHKVEIYPRNGQLLVICKNWALGCCRGIISSSSEAPATRNRRHPVQDHISGT >KZM90690 pep chromosome:ASM162521v1:6:18145432:18145635:-1 gene:DCAR_021945 transcript:KZM90690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLESLVESIKSKVRSLKKKKAKKPYLKMEKSSSVKVEIRSIKARKLIEKNLKAADNVIAKRGLF >KZM92435 pep chromosome:ASM162521v1:6:33367771:33373701:1 gene:DCAR_020200 transcript:KZM92435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFLPLSFNKPSLSFLRTPPLLFSSSTVRTSVSVSCSVNHAGADNESTAVVWYKQDLRIDDHPGLVSASRHRNLIPLYVFDHRVLSRYSEEMFELLVFAVKDLKKSLRDQGSDLMIRIGSAENVIQELVKEVKATHIFTEEEVEYEWARLMETVKENLAALSVEEGSPNFLTWSTPFYDIKNVGDLPISHQDFEKLKLPVLSPLLPPKLPEVKMNICWGTLPTLEDLESFKNELVNKPEDEFMSIKKTSAEDILRKAEALSNNQIKDSLSSSYKQDNRRKRRNSVFLTQGSSVGGGTADVLNALAAYLRYLEGTIRDDWQEVHEKLREAEIREGASFDVLFGSALCLGIISRRRVYFESIKYEKERNAGFLSPFGYSAATVAAAVDSVCSKEWYWLVALRSRLINKGNHNIRIWRWNGYLIQASKYTVAGHKGPAILLVHGFGAFWEHYRDNVTSIAGDKNRVWAITLLGFGRSEKPNVVYTELMWAELVRDFIVEVVGEQVHLVGNSLGGYFVAIIAGLWPALVKSVILLNSAGFVIPGFSSMTSSKERQVSGAVWLGARVLLLYLRSSIRSIVKKCYPNNPDRADDWLINEMTTASYDPGVVRVLESIFSFDLSIPLNYLLKGMEKRVLVIQGMNDPISDSKSFLAMLTEQCRGITTKEIDAGHCPHDEQPGEVNSIILEWVVKIEDDSYSTQVQLEFTNN >KZM92770 pep chromosome:ASM162521v1:6:36158739:36163572:-1 gene:DCAR_019865 transcript:KZM92770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELIQKNESVESWLTSDFSKDQLQKFWTGLSQHARQELLRVDKQTFLDQVHKNMFCSRCHGFLVEKFSQIANRKSENVGNSDVAGCQCAHQDSCADKQTFLDQVHKNMFCSRCHGFLGEKFSQIAMYRKSENVGNSDVAGCQCAHEDPYADRWGCLTITRDGALTLLDSYWLSTSLKGIKDVFDYSRKRERERKLIFPYHSCGVGGRWWKGPATREACAVHTVRTSLDQLIVFWSYQKEEARQSLFRMKEEDFVEHLLFRLDNNKFCRECKKNVHREFKKIKELTSLRKESSIQFEISHDTVKADWSREFIDTEGCYHHFEWGIGTEEGKSDILVFKNVGLTKTAQVKGLDLSGLDACYITVRAWKIDEDCTELSIKAHTLEGRESVYCQLVVGDGFVTVTEGESIRKFFKRADEVVKEDNNLVDENGNRLDGGCSRPQGHPKSPEAARKFLLDAATVFFTEQVKKAFQESLARENAHSVFISLALKMLEECVHVAFKERNTLENQVKLLEEEEKEGERKSRRKNKEREKKLRRKERKENEKNRESFALTKQQLAPVVNIEESTIVAVEPDAVETGKIMSSRPVSPHIQNEHGKDVYMRKNMCNSSDVSSEKFARSNDKSGSSASDQLKYYGWKLNNHCQQDATSKCSTNKQSAVVPGNGVMFNKSDYHYDRFDTSSRTTSEQNKHSKSTKSVIRAGDMSKPSSFGNKFTLGDYMHNSRGRPQLNFVAENIPTSRDSPRIEEVGETTKLQTKHIRTCSESAADSTLSADAMKPCKPLDNLGAGGQVAVRIKDKDDVKRESRSSKPGMGNKGHSGFYVKKETSQYSTGAVDVCSFSARTRNPSIKNKFGSNSCSSCLSESNNSGPSSNTLLRKPLSTSESQHSSEQSVRGEVSQHQQGSVRKSKIVMDQKQIAGGGESIRSCWLDKKKNHTPAKVSQEDGIANPDFSEAENKGFSFFHFRGPVALADPYRSDSLPSEDVVGDRNKGVSFEEYKLFASTRGVTFSILGC >KZM89843 pep chromosome:ASM162521v1:6:5908895:5910526:1 gene:DCAR_022794 transcript:KZM89843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRRFNLTTVTRSLSSVSSGADPFLSHPSHNHLSSIKSKSELVKSYTVTPPIKPWPQNLYPKRLVSMITSQQNLDLALQIFHHAGKYHPNFFHNYDTYNAIINKLARARAFQEVEILLGDLLKLNIKCGENLFIGVIRNYGIASRPKQALEMFLRIKDFGVETSVRSFNTLLNALIQNKQYKLVHMLFKNCKKRFNVVPNVFTCNILLKGFCKSDDIEGALKVLDEMPEMGMVPNVVSYTTTLGGFVSRGDMDGAKKVFDEILDRGWIPDATTYTILMDGFCKTGKLIEAVKVMDEMEENGIEPNDVTYGVMIEAFCLNKKSGEAVNLLQDMLEKKYVPDSVLCCKLIDLLCEEGKVEDACDLWKKLLRKNVTPDNAISSTLIYWLCQDNKIVEAKKLFDEFEKSSFPSVLTYNTLIAGMCEKGELCEAGRLWDDMVEKGCTPNSFTYNMLIKGFCKVGKAKDGIRILEEMLDKGCSPNRSTYSILVEGLYDSGQEAEVPQILALAASSVQGIDTDTWEIIISRLFANLDQKNDVIDRLVR >KZM90126 pep chromosome:ASM162521v1:6:11414042:11414335:1 gene:DCAR_022509 transcript:KZM90126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKIDIMKSPSEIPENSGKRKLEDLDAGSLRLSTPSCYTNCDAAVFEKPENSTCDSALNMRIAKNISGFRSYTREVEAEERFLGVSTKLKLWIDGN >KZM92468 pep chromosome:ASM162521v1:6:33622490:33624477:1 gene:DCAR_020167 transcript:KZM92468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLSKLGFRSNPPTQITHSYPSDPSSSPALQPDPDTPGPGQQFAQFGAGCFWGVELAFQRVPGVSKTEVGYTQGVVHNPSYNDVCDGTTKHSEVVRVQYDPNECSFGNLLDVFWSRHDPTTPNRSGNDVGTQYRSGIYYYTSEQEKEALESMERQQKLLSRKIVTEILPAKMFYRAEEYHQQYLAKGGRLGRRQSAEKGCNDPIRCYG >KZM92478 pep chromosome:ASM162521v1:6:33685668:33695078:1 gene:DCAR_020157 transcript:KZM92478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPTSKLIIRSLHRHLISLKPSSNSHPIANLTGISQFLANKPKVQTHNFTPWMFNGQRNYGLPSFPKILKNPGAQLSSKSNLGLLGFRQFSKKGLNLEGNVYGKYVKGAVEKPVNVAKNVFSRYREAAGLQIEAFWKRNSLFFVGAGGLMVCILLWRVMFGIANTFVGLSEGMAKYGFLALSAAMVAFSGLYLRSKFTINPDKVYRMAMRRLNTSAGILEVMGAPLTGTDLRAYVMSGGGLTLKNFKAGFRGKRCFLIFPIRGSERKGLVSVEVKNKKGQYDLKLLAVDIPMATGPDQRLFLVGDEEEYKVGGGLIAELRDPVVKAMAAAKEFEDRDDKEDEEDAERELEEAERRHQEEIEKLERERLQFVKGGLGPYSCKGGLQSIQMHLAYASRVVLHSLYPSPGTPLHKEFLHNILMYLTKEKVNTRASQKGPKMLDIEVLIDAVDSIKLMDLTRSTINDDTVSSYAFITSEEAIKDLICLNWIECSVTSFKTLNSDLTSLQNAGTFGSKTLAMKKKRAKMIKPKEGIQPVCSLASGDQCSVAKGSIGDCAAADHVSPSSLLPEEGGERPTRKRQAPGRLEGNVWDYRYSNPNFNGTLCEQVQPSTKDDDVDDHFSKSKLGASNITLVTDMDKWEEMLSEADEAGKIVLVNFSADWCNPCRDVLPVFRSLAETYTSIMFLIVDVDELAEFSTSWEIKATPTFFFLKDGQELDKLVGANKEELKRRTDVMAKSLTNSRSLVSNRDQNSSQSRTDDNAKMQNVGTN >KZM89595 pep chromosome:ASM162521v1:6:2380393:2383590:-1 gene:DCAR_023042 transcript:KZM89595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSYPNLRLVLMSATLDAERFSQYFGGCPIIRVPGFTYPVKTFYLEDVLSFLKSSENNHLDSTSLCATDDLESAEERKVAIDEAIDLAWSSDEFVPLLELVSCERGANLQHSMTGVTALMIYAGKGRVGDLSMLLSFGADCHLRDNDGRTALEWAEQWNQDEAAEILKKHIEEGINNSKEENELLDKYFSKANSELIDVVLIEKLLRKICSDSADGAILVFLPGWDDIKKTKEILETSNFFKDSYKFIILALHSMVPSMEQKKVFNRPPPGCRKIILSTNIAETAITIDDVVYVLDCGRMKEKSYDPYNNVSTLHSSWISKASAKQREGRAGRCQPGICYHLYSKLRAASLPAFQVPEIKRMPIEELCLQVKLLDPQCKIDEFLKKTLDPPVFESMRNAIIVLQDIGALTPDEKLTELGEKLGSLPVHPLTSKMLFLAILLNCLDPALTLACASDYRDPFTLPMSPYDKKKAAAAKQELASLYGGHSDQLALIAAFECWKKAKERGQEARFCSNYYVSSGTMNMLFGMRKQLQSELHRNGFIPEDVSHCSLNAHDPGILDAVLFAGLYPMVGKLLPQKGRRAIVETSGGEKVRLHPHSTNFKLSTRNLDDQPLLIFDEVTRGDGGLHIRNCSVIGPLPLLLLATEIVVAPSKEDDEEDDDNDDDDDDEGSESEDADLDDVDDHIAEKHSKLEIEGEKMMSSPDNTVKLVVDRWLAFETTALDVAQIYCLRERLSAATLFKVREPRKTLPENFSASIYAISSVLSYDGRSGISETLDSVDKLTYMVSATEFGQAEHGTNSKIHQPNNFLNSLIRPHDNSAHYYNGKGSGSRGVANRNGQLNYHIRQPPPSGSTNHYRGRAPQNFSRPASETGVQGEIGPGGDSLKRRHRTYRN >KZM90465 pep chromosome:ASM162521v1:6:16030964:16031341:1 gene:DCAR_022170 transcript:KZM90465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILFLVVALVAASDLMVQPGQALKCSDLEVQQTGTDCNPYTRGDEAEPSSKCCNAYKSLRLRAKTREERRQFCFCVHEATSQNRFLRGSATNPATRIPRIDSLPEKCGFPFLFSADPKFDCNK >KZM89448 pep chromosome:ASM162521v1:6:801561:806264:1 gene:DCAR_023189 transcript:KZM89448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVDNVLAVTKESVKTITYESLNNIVRFINGVSALLLALIPGKTSILEGMQGWELRPTFRGPRLPRWMENGVSSFNQFIHELSVDSDTGSSVDYSSGEEYTDDDDDDTPSTPLSQSSRASRTSSFGRHERHWGNWVLWFRYILALMLLPAKFVMGILLYFSSASPFRGSTVSTTLEELQQLDSDSNKKALKDHIMQRATDRRRGVIEDIHLGTEIFIEAVFDIVHKATHCLLSPLETSRSILSWFSSNSSNDIPDDASNVSIPTATLAETDPTLRERTANLRDSLNTDGRTCRDVITELGYPYEAIRVVTADGYVLLLERIPRRDARKVVYLQHGVFDSSMG >KZM91669 pep chromosome:ASM162521v1:6:26962550:26964622:1 gene:DCAR_020966 transcript:KZM91669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLKGKSFSLYLLLISYILNLSLVFADTSFDNSNEPHDTISHTPSSNIADLNVLNQQQVQIKKLEEVVRNLTQLVYKLESITIETFGGKKKDPIHKKVKRKTEDGGSVSNVRDGEISGAVSITKHSLFWSERFQFVSAVKLDSNVTCVSVLPFKDSEGLSKYVVVGNDEGKVFVFSRNGNVLLEFSTLSDSPVTALLSYMSSHNQSVLVTGHENGIILRHSISEVSSGEEGNSLFMENDRKFIVLEIEEEGSPITILEVHHVGRTRYIISIDNSGKIKVFKENGSLHGLAVPTSRPLVFLKQKLLFLTETGAGSLDLRTMKILESGCEGLNYSYVWNFAFDATERSKAYGFTSEGELIHLLLLGDSKNFKCRIRSIKKLDMDEPLAIQTIKGYLLVSNREKVFVYNVSSPHYARSGGPRLLFSAGLDEIVASFLNYQLELDAEKDGVIPLIGSDQEKLVVLSLGSGYVGVYRSNLPIYRGESSSMIWGTPLVIFIVFVFGAWKFFANKKEAYSLWGPEDPFTSTSVTNENEAPLVSNSGERSFMDSSSRSDIMDLRGGGLRGPSRRYGSPSRYPGGAANSFRPNSNDTNSNSRPASVDQNYRPTTYSTETNSRHASVDPNYRAASELKFRPKLESAAYSSDTNSITSSVDPNYRAASELKYRPNVDSTTYSHRRESLYGNSPVGDDSS >KZM89514 pep chromosome:ASM162521v1:6:1452628:1462540:1 gene:DCAR_023123 transcript:KZM89514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVSAVKDYINRMLQDISGMKVLILDSDTVSIVSVVYSQSELLQKEVFLVELVDSIAKSIEPMSHLKAIYFVRPTSRNIQHIKRQLANPRFGEYHLFFSNMLNNTQLHILADSDEHEAVHQVQEFYADFVAGDPYHFTLNIPANHMYMLPAVVDHSNLQHFCDRVVDGLAAICLALKRRPVIRYSRTSDVAKRIAQEAGKLMYQQESGLFDFRRTEISPMLLVIDRRDDPVTPLLNQWTYQAMVHELVGIQDNKVDLGNIGKFSKDQQEVVLSSEQDTFFKANMYENFGDIGMSIKRMVDDFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMSKIVEERKLMVVSQTEQELACNGGQGAAFEAVTNLLNNESVSDIDRLRLVMLYALRYEKDSPVQLMQLFNKLASRSPKYKPGLVQFLLKQAGTDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQIMESITKGRLRDVDYPFVGNHFQQARPQEVVIFIVGGTTFEESRSVALQNATNSGIRFILGGSMVLNSKRFLKDLEEAQRITRAGTSVI >KZM90559 pep chromosome:ASM162521v1:6:16865695:16865946:1 gene:DCAR_022076 transcript:KZM90559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDDVEIEDMEWNAELEAYTYPCPCGDLFQITKEDLKLGEEIARCPSCSLFITVIYNMEDFAGDNDRNKNLEPTKQQPVAVA >KZM89945 pep chromosome:ASM162521v1:6:7844323:7848148:-1 gene:DCAR_022692 transcript:KZM89945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPTTALYANPPNTTTFPCSISSNSSYDFDSIGRSSSPMIGGLSYLFSSPSGRNAASTCVTDDLRHEKIDDLTSSYCYSPYLNSFAKRDCSPVSVFQGPVSCSSSPLKFGKESGEFSGCGKVRRSNGLFIGRAVSVDYRHLGELDELTFNMEEDNCAAKDLLVNAQSRHSMFYDDLVVKAFHEAEKAHRGQIRASGDPYLQHCVETAILLAVIGANSTVVAAGLLHDTLDDSFMTFDYIYGTFGAEVADLVEGVSKLSQLSKLARESNTASKTVEADRLHTMFLAMADARAVLIKLADRLHNMMTLDVLPLKKQKRFAKETLEIFAPLANRLGIYSWKEQLENLCFKHLNPEQHKELSSKLVKSFDETKITLSLERLETALKNGAIPYHVLSGRHKSLYSIHRKMIKKRLSMDEVHDIHGLRLIVENEDDCYLALGIVHQLWSQVPGKFKDYIIYPKCNGYQSLHTVVVGEDIVPLEVQIRTKKMHLQAEFGIAAHWRYKEGDCRYSSFVHQMVEWARWVVTWQCETMVKDQSTMGYRQSVKPPCTFPSHSKDCPHSLKPYCGADGPVFIILIGNDKMSVQEFPANSTVNDLLEKTGRGFARWSPYGIPMKEELRPRLNHEPITDPNYQLKMGDVVELTPTIPDKSLPVYREEIQRMYDRGLSVSSMAPATNSMAGWKR >KZM91588 pep chromosome:ASM162521v1:6:26265600:26268524:1 gene:DCAR_021047 transcript:KZM91588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIAAISPSKHFHLTQSNTPTNPFLKSIKTQVPTQFITKSTTPFRRFIIKSSLKAQTNPSPKPPLVVVGSANADIYVEIDRLPLEGETISAHTGQTLAGGKGANQAVCSAKLDYPTFFVGQVGEDAHGKLIIGALEDGGVGVDYLSVVCDAPTGHAVVMLQPDGQNSIIIVGGANMSCWPERLGDGGLEVVRNAGIVLLQREIPDSVNIQVAKEANRSGVPVILDAGGADGPVPSELLNFVDILSPNETELARLTGLPTENFEQISQAVVKCHELGVNKVLVKLGAKGSALFIKGEEPIKQPIISASKVLDTTGAGDTFTAAFAIALVEGKSQKDCMKFAAAAASLCVQVKGAIPSMPDRKSVLDLLQSV >KZM90520 pep chromosome:ASM162521v1:6:16492257:16494867:-1 gene:DCAR_022115 transcript:KZM90520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTKKAIMGGVIGGAVLLLLFVIACICCCCRKKKRKSHDTIDYFPDNPPRNQNNAYYNSGPNGYGMNNQSTEHHLKMPQGGAVSSEYGWAAPPPPPPMGYSSDMSSAYSGPQQPPMPPPHPALALGFNKSTFTYEELAAATGGFAQSKMLGQGGFGFVHKGVLPNGKEIAVKSLKLNSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGTQRLLVYEFVPNKTLEHHLHGKGVPVMEWSTRMRIAMGSAKGFAYLHEDCHPRIIHRDIKTANILLDDHYEAKVADFGLAKLSNENYTHVSTRIMGTFGYLAPEYASSGKLTEKSDVYSYGVMLLELISGKRPVDMDDDENDTLIDWARPILMRAVEGGDYNELVDPHLQDNYDPDEMLRMVACAAACIRHSARRRPKMSQIVRALEGDVSLEDLHEGVRTGHGPLTGSNASSDYDGSYSVDIKKFRKAGGSQEYSSSDMSGQSSGESRSRMPPL >KZM91436 pep chromosome:ASM162521v1:6:25183246:25196254:1 gene:DCAR_021199 transcript:KZM91436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGVACVPQQHVMDQFSVSETSLCAAKRKPKLVKRKVKRKIKMKREGSGSSNVKRGELRKSEIASFGNEVEDEVEEGEVENGEFIPDKPRKVEIRSRIEKVDSVADSRKKRDVEFSSSGRWQKGEVDNGKFGSGKYKNGEVDMNEIGSWKAGKDELENGEFVPERWHGGEMMKDDGSYSRTHRYDSSRDKVWRCDSERTPPLGKYTSDKEFSRRSGQFSKSSSRWEGKQERKPRISSKIVDDEGSLKNEYHVSKSHGREYSSSAGNRLKRHGTDSDSSDRRHYSEYDDYAVSMPKSRRLSDDGNRPAYAEHYQRPSMERPYRNSMSSRNMLSDRYSSRQYDSSSKFIYDRHNTSPHQYERSPREQVRYHDHRDRSPAPTVAHRGRSPYDRSPAPSTGHRGRSPYDRSPAPATAHRGRSPYDRSPAPATAHRGRSPYDRSPAPATAHRGRSPYDRSPAPSTAHRGRSPYDRSPAPATAHRGRSPYDRSPAPATAHRGRSPYDRSPAPATAHRGRSPYDRSPAPATAHRGRSPYDRSPASAHRGRSPYDRSPPPTTAHRGRSPYDRSPPPTTAHRGRSPYDRSRYQEHRNGSPSYSDRSPQDQNRYHDRRERTPNFQEHSSLYWGKSDKHREMNRKIGSQEKRQSQHGKKLPEEKLNVKKPDGRDSELLVKEPEYRRNLDIGDKSHDKMANLQSRKEEVSCSPCVNNNESVQINPTTDELLSMEEDMDICDTPPHVATVADSDTGKWFYLDHYGVDQGPSRLRDLKMLVEKGILVSDHLIKHLDSDRWVTVENAVSPLVTSDFPSIISDTVTQLVTPPEAPGNALSESGDVMQDGNQLNDEMVAASTVVGNIAAAEASLDFHLDERVGALLEGCTIVPGRELETVGEVLQMTFEHTDWGNWGIFEGLTCNHHAEEHFDQRGGESSLSHLEGSLKGTEASISAPSIDTDSMYLYGDWFSGRWSCKGGDWKRSDEASQDRSYRRKNVLNDGYPLCLMPISGHEDPRQRQKDELYNSSSRRYDLPLWAFSSPDELNESISIIKLSQSKSISARGVRGTMHPVVRINACVVKDHGSFVSEPRTKAKVKDRQSSRSSRHYSSTTDAKRLSVEGSSSMKSMHDQDSQGSLKSITSINIPKDRLCTADDLQLHIGDWYYLDGTGHEQGPLLSSEIQVLAEQGIIQKLTSVFRKVDNIWVPVISVAQASIAAGKTQRDSSCISRENSRARHPETKSDLHNENFPINSFDSLHPHYIGYTRGKLHELVMKSYKSREFAAAINEVLDPWINVQQPRKEMEKHASNLSVTRFQRSEQFRPGKRPRLLLDESEENYETEEDILAVQNDEFLFEDLCKDVTFPKEDKAGTKNEVGCWGLLEGLVLARVFHFLRDDLKSLVHAESTCKHWRCVSKLYKNLCVQADLSCVAPSCTDSVICSILNGYNKEKLTSLVLRGCTNITPGTLEEVLQLLPSISSVDIRGCSQFDDLTSRFPSIAWVGGRLLHPRARSLKHFNDRTSSIFKTSYGSLNEDSSGLRDYLESSSARDSANQLFRRSLYKRSKLFDAKRSSSILSRGAHLRHLAFKESGNGYKKMEEYLTSSLKGIMKENTSDFFEAKVAQITRRMENGYYVSHGLRSVREDISRMCRDAMKRKNRGEARNMKHIITLFIHLASSLDGGSKAFHEREELTKILTDESPPGFCSAPSKYKKIARLSERKYTMRSNGSSFTNGISDNGDYASDGEIKRHLSKLNKRSIDSGSETSGGSSEETETDGSTSSDSELGFESEGRKRESSRDDYSNADDGFETFTDDREWGARMTKASLVPPVTRKYEVIDHYVIVADQDEVERKMQVSLPDEYKEKLDAQKNGTEESDMEIPEVKDYKPRKQLGDEVLEQEVYGIDPYTHNLLLDSMPDESDWPLSEKHVFIEDVLLRTLNRQARSFTGTENTPMKYTLEPVIEEILKTSKEEHDIRTVRVCQYMLNSIRKRPEDNYVAYRKGLGVVCNKQGGFVEDDFVVEFLGEVYPAWKWFEKQDGIRSLQKNSKEPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYTVRPIGYGEESREEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKECHGILDRHQLMLEACELNSVSEDDYIDLGKAGLGSCLLGGLPDWLIAYSARLVRFINSERVKLPDEILRHNVDEKKKYFAEITMEVEKTDAEVQAEGVYNQRLQNLALTLDKVRYVMRCVFGDPKKAPPPLERLSPEEAVSYVWKGEGSIVEELLHCMAPYMEDSMLNDLRSSIRSHDPSGSDDVQRALRKSLLWLRDEVRNLQCSYKCRHDAAADLIHLYAYTKCFFRIREYKSVTSPPVYITPLDLGPKYSDKLGSDRHEYCKTYGENYCLGQLIFWHNQDAEPDCTLAKASRGCLSLPDISSFYAKVNKPSRQRVYGPSTLKLMLARMEKQSQRPWPNKEIWSFEKSIRVVGSPMLDAVLHKAPLDKELVHWLKHRLPIFQAKWDR >KZM91192 pep chromosome:ASM162521v1:6:22905766:22907927:1 gene:DCAR_021443 transcript:KZM91192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPDNEIDQSDDDHSYEFIDSDDLHTDKSDHSESSFEFIGSEEMNSLKDIEEPNFAGITCEGNASDILDSETADASNNDEEQLDDMDRFSYKSTDSELNFSDECTYMEVASPISDLDDKLRRFKRRALTYEITDISGVFTVPTNLMLRGNIEIKDIAKEFILPFLPAKALVRFKAVSKEWDNRISHPFLAHLQSYCFEEMSGFFCQNGFQHFFVTLDQAAYGIPNSTLSFLPSNFKIKSSCKGLLLCQRVPDEDEENEYCVCNPATREFHVLPQSTYYHGPEPNLILAFEPSSMNFGENYKVICAFDMHDGFKMLCFDIYNSETKSWTCCSDLVCPEFEVASLEDNGLYRNGVAYWATTLGYLLALDIKNSFYQVQPICSERLKAEGILTLLDGELSYIQAYVEPSYLESGTCCDDTDDVKNTCIIEIFGGVTMRLRRRVTVKLDMKIYDTQSFKVLFAPKRDFFIFNIENVLYSCNVEEKKFEVIRSRSRGISSSTTYTPYVNSLVSLA >KZM92260 pep chromosome:ASM162521v1:6:31895058:31895693:-1 gene:DCAR_020375 transcript:KZM92260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIHVKSPEHCAKKGLSIDKRYKKLFYAFFTFLFSILSIGFIIYFLLHPSKPEFSLKEADIYQLNLFTNPQLVNSSIQLTLLSKNPNQKVGIYYDELLVHASYKGQQITVSTSLPPFYQNHEGSNLLTASLTGNGVPVASSFRYEVIRDTTVGKMVMNLKVNGRLRWKVGTWVSRKYRFNVNCAAIMPFGPSIPSGPLSSKQGTQCTTTV >KZM91564 pep chromosome:ASM162521v1:6:26074766:26074927:-1 gene:DCAR_021071 transcript:KZM91564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVPKMRVVTEVIPPHFISLVRRPMEKMATIDEEENEMVIRSLSSSFQLSSK >KZM89704 pep chromosome:ASM162521v1:6:3569320:3577282:1 gene:DCAR_022933 transcript:KZM89704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKLLQRLESAVARLELLSSGSGGGGDAAAAIDPSVIAFDEFMEQYVGRLMSAAKKIGGQVEDVTKLLQQAFSVQKELLIQIKQSQKPDMAGLVEFLKPLNEVIIKANAMTEGRRSDVFNHLKSVADSISALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDPTHVEWAKALKELYVPGLRDFVKAQYPLGPVWSATGKTVASATPKAPTTRAPAPPPPPPASLFTSEAPQPSSSRPKVGMSAVFQEISSGKPVTSGLRKVTDDMKTKNRADRAGVVAANEKSSHATSSSFSKTAPPKLELQMGRKWVVEYQIGKKNLVIDDCDAKQTVYIFGCKDSVLQIQGKVNNITIDKCTKMGVVFKDVVAACEIVNCNGVEVQCQGSAPTISVDNTGGCQLYLSKDSLGASITTAKSSEINVLVPGAGAGDDWVLVEYRNKDPTHVEWAKALKELYVPGLRDFVKAQYPLGPVWSATGKTVASATPKAPTTRAPAPPPPPPASLFTSEAPQPSSSRPKVGMSAVFQEISSGKPVTSGLRKVTDDMKTKNRADRAGVVAANEKSSHATSSSFSKTAPPKLELQMGRKWVVEYQIGKKNLVIDDCDAKQTVYIFGCKDSVLQIQGKVNNITIDKCTKMGVVFKDVVAACEIVNCNGVEVQCQGSAPTISVDNTGGCQLYLSKDSLGASITTAKSSEINVLVPGAGAGDDWGEHALPQQFVHAYKDGQFVTTPVSHSGG >KZM89744 pep chromosome:ASM162521v1:6:4148107:4148430:1 gene:DCAR_022893 transcript:KZM89744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRDMRKFFIFSSPVKANSVFETELEALYFILKAHKSIPHKRLAVFVYSSSVAQQFNACKRNYNGSMAKWAHEKKVVFINTHLNGIADHLASKGRKKNSIFVAWVK >KZM91181 pep chromosome:ASM162521v1:6:22829090:22830683:1 gene:DCAR_021454 transcript:KZM91181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNELVQDDNDVSKLEMGIKTSESEPFRGFLQTPYVVTLLQKIIAEIVGTYFVIFAGCGSVAVNKLYGGSVTFPGICVTWGLIVMVMIYTVGHVSGAHFNPAVTITQSLFSPFSFPWKEAPVYILSQLVGSLLASGTLALLFDVDRKSYFGTVPVGSSGQSLVIEIIISFLLMFVICGVATDNRAIGNFGGVAIGMTIMLNVLVAGPISGASMNPARSIGPALVIGVYEGLWVYIVGPIIGTIAGAFVYKLLRFTNKPLSELLTKTK >KZM91247 pep chromosome:ASM162521v1:6:23529311:23531834:-1 gene:DCAR_021388 transcript:KZM91247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKDYLNDEEKFNETNRIVLFPKIDVNPRDGFVIKGKLTEWNLKQSERETLHRTKRDMEIHDKNNDGFVLSAEYDQPEVSSQWPRKGEECNKIAVIENVGATEDQFDCIDLSDNEIVKLENFPYLNRLGTLLLNNNRITRISSNIGEFLPNLHSLVLTNNRLVNLVEIDPLASFPKLTFLSLLDNNITKKPNYRLYVIHKLKSLRLLDFKKVKLKFPNQIGDNLLN >KZM90614 pep chromosome:ASM162521v1:6:17462027:17470606:-1 gene:DCAR_022021 transcript:KZM90614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPNQSADQPHSPKRWSNLMPLFVALVVIAEIAFLGRLDIVTKSSTVNSWADSFYQFTTLPWASSFDPPTIDEFVIGSGQFGVPDSDTESCEVWLERQDSVVYSRDFQSHPIFVSANEELVSDCSKTRSSSFDHPVDNLVVWSCQVGVPDPGTESCEEWLERRDSLVYSRDFRNDPIFVYASDLRRLEPILTHIHKSRSFLDLCFTTTVRNSKLKSMMPNHGADQPHSPKRWSNLMPLFVALVVIAEIAFLGRLDIVTKSSTVNSWADSFYQFTTLPWASSFDPPPIDEFVIGSDQFGVLDSDTESCEVWLERQDSVVYSRDFQSHPIFVSANEEDFKSCAVGCKFGFDSEKKPDAVIGLGHTSGAPAVLRSMESAQYYAENNIAYARRKGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEKALAAAFISNCAARNFRLQALEGLEKENIKIDSYGGCHRNRDGRVDKVQALKRYKFSLAFENSNEEDYVTEKYFQSLVAGSIPVVVGAPNIQEFSPSSNAILHIKELKDIPSVSKSMRYLADHPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIYLATRIRESDEKSLDSSKRPCKCTRGSETVYHVYVRERGRFEMVSIFLRSSNLTLKALESAVQSKFESLKHVPIWKDERPESIRGTDELKVYRIYPLGLTQRQALYTFSFKGDNELRKHLEKNPCAKFEVIFV >KZM90679 pep chromosome:ASM162521v1:6:18009891:18011111:-1 gene:DCAR_021956 transcript:KZM90679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNVALSQGLTVELIHRNSPQSPLYNASATPEDLSTDAASRSLARYRNYYTQRKIKSTVIPDGGNYLMKLAIGTPPVQQYAILDTGSDLIWIQCQPCDNCYQQDSPLFDPSQSSTFSYNVGCNSNACNALPRSGCGLINNQCLYDYQYEDQSYTDGELATETFTFDDGQSGTEFPRTVFGCGHMNAGTFSRASGLVGLSQGHLSLNSQLSFTKFSYCLVPVISGLNSKLKFGEDVVVSSAANAVSTPLQSQNDGFYHLNLEAVTIGGNTIQSPMSGGGDIIIDSGTTLNYLDPVLYDNLEAAVRDMLGLNSVQHPDGVYNLCYETESLTAVPEIQMTFHFTGADVVLNSINIFGDGGYGLSCLSMLPSDGYGLNIYGNRAQINFQVEYDIGSKQISFAPADCTRF >KZM92740 pep chromosome:ASM162521v1:6:35948066:35949693:-1 gene:DCAR_019895 transcript:KZM92740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFKQPFVYSQSYHCLPKSLSCSRLGIKPFIYHIVPSRHNSIARNLTLNKLSLVKFFDKASRCDGRTRSAFSINSELENRKTQQVQQETHEKSFWGAVSLIIGTAVGPGMLGLPAATIKSGPLPSTIAIILSWIYVITSTLLVAELSFAVMEEDNVAEVSFTALATKTLGSRIGSFVALVYASFIFSLLVACVSGIGSIISQLIPRLNPLIAHVLFPFVAGAMICFLPFKVTDTANRLLCFIMLCSITSLVAVGLSIGRSSLIGSFLHASWSVSSILPAIPVTVLTLGFHVITPFICKIAGNTVHEARKAILLGGFVPLVMVLSWNLIVLGLVGPNHASIHKDPISLLLSVNPSALTAVQAFAFSALATSFIAYAISFPKQVIDTIDMILFKSNRSQEAKSQSHRGSAESGGGRVGFVTSGPRLGFSLLQSMVVPLVLASPVLVASFCNSTFSAALDFAGIYANCFLFGILPPIMAYIYKTSKKHRSLSSFFGGDVTLLALVCIATVLGIWH >KZM91635 pep chromosome:ASM162521v1:6:26707697:26708625:1 gene:DCAR_021000 transcript:KZM91635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLGPCTIKILLDYNHTAEYSILNTESLAACSQFEMSWKWKEADLDRVLVPIGLFLIIAYHAFFIYRYRKFPLTTVLGRDNYYRKIWTEKCLQLNAQDRGTSVSVIGSNISDASALSSVSLVLSSLIGAWIGSSKEEDIFVSSIIYGDTSTRIVSVKYVALLSFFLVAFAAFMQTTRNYALATFLITIPSCDIPVNYVQKPILRASHCYTVGMRSLYTAGTLILWIFGPIPMFVSSVSLVAVLYYLDRNLTPPHQFQPLNLTPLYKTGEELASSANRVPHHQE >KZM90015 pep chromosome:ASM162521v1:6:9581768:9586126:-1 gene:DCAR_022620 transcript:KZM90015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDVCGVIHDVLERINYKKGTEEKSHVQFTISTDGKKSVKVTFFNAFGESFHTAKEECVELPIIIIIATGKVSEYKDEVYVTNFPATRFYLNLEHKYVKALRERVKAPDFYQMDVDEEVTLPLTVMKISDLRNMKSDFDKEQVCCQVKLAKIEEKRNWFTEHCTGCGSIVKFVDQDYKCTGAVCGRTIPWPDKRFNLYTLCSDSTGTIPIIWPNSEIVRLIGKTMYDVEVDEEQVGDGNKFPPMLKSFEKKNYQITIHLTKANIKEGCSVSNAIYISGPLESTASHSPTAKGPQQSAETLIPNEINTEGKLIELGEQNGKPHCSYQFKLSDGRLSQPQYARLNSTNKPKTFKLCTIEEIRKLNSQYIEDEVICKANLKFVEETPNWKQYDCTSCYSDCEKVDGINYSCKSCKRFLPELLERFKIATVISDDTGGLQVYLFNREVRTLLGKTVQQVQNKDNYFPRIIKSIQGKNCTFQLLIGAENINNKDSFYAATNIALGFDITLPVAQEEQAQQTQSENYTVQASGSSFHLDDISQLEYQQESN >KZM90769 pep chromosome:ASM162521v1:6:19017893:19018276:-1 gene:DCAR_021866 transcript:KZM90769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLIIKHPLSSPRFHYTVIPTSDPLKIRLKQILITENSEKKHHHHHHHHRSGVKKSKHGHKHEHSGRKSLDLSLRLGLSGKDQDATSTATSTMNKIKNLSVYTPQQEDEDKFYSVSTKLALFDYRL >KZM91788 pep chromosome:ASM162521v1:6:27834084:27840192:-1 gene:DCAR_020847 transcript:KZM91788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISRFVAKDCNFHSFHALSRSNYGLTAKTLHTHNLKCDFGGAGDERSSLRVQSKGKPLIALSFPCSNVHSSVIRSRTVQCKAATNVPGDLSGDSSGMTQYEKIIETLTTLFPLWVVLGTIIGIYKPSAVTWLQTDLFTVGLGFLMLSMGLTLTFDDFRRCLRNPWTVGVGFLAQYFIKPLLGFAIATALKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTVGAIIMTPLLTKLLAGQLVPVDAAGLALSTFQVVLVPTIIGVLSNEYFPKFTSKIITVTPLIGVMLTTLLCASPIGQVADVLKTQGAQLLLPVALLHAAAFLIGYWISKLSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNQPIPIDDKDDFKE >KZM90371 pep chromosome:ASM162521v1:6:14731535:14733637:1 gene:DCAR_022264 transcript:KZM90371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSMFSNMHATELRRKGLKKAILSLLVEWKEFEDHFDSSQEPLNQLQYHAQESRRERSKDGLERNQAESSQNPMHEKLKEIDVSNGSCDDRVEILENEVKRLKERWERFVGKERDLGRVEGLCEDKLREIEGKLKELEFSRNFIEERAKELEVKQKEIDDGFRDLEARKLEVSQNSKNIAVVRCCSADLMHSRSSREPPPRQRSTDHALKESCAVPNAIPNASDSLDTDLDHHQPTQSEVTNSRETLYASCPFCYTKVQYAKKSYNGNLCCSSCSKIFVVKDSGASSIVPQANQGKSNAQAETFHTTGDQPDLPQHKRVPRWEAINLGGALDDGEVPPSSMDNKTNSSQKLKKLNRASKTKDLTISDATLKTRGKEYQRASTEDRLSPSASVTPRVLRNLRSTRRRRETDLRFGSVEKFDARSGSSGPDPKRDSGVISSSAGYLFFID >KZM92027 pep chromosome:ASM162521v1:6:29895698:29898122:-1 gene:DCAR_020608 transcript:KZM92027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRIQKVLVIQDASRELSVDPIRGAVHHLSLKPGDKMKILRIVQPFRKTSNRSPLRACGLLAVSKSRLHSSATILKRQESIDTEIRKKLAEFETNREMKNILSLMRNQQIEIEGSVEPGHLLKEATVHAANNFDATCVILDRKLKGEIKYIMENLTCGIMRMRKDGGVKFIRARKAPEPEDYQFQELRQFTREQRPLLHQNSMCSVCFSPRHTDENVRAFTLEELQCATAGFSEQNLLSNNNKLIYKGILNDGTKIVISSCILETTSYMQFRNRVELLHKARHKNVVSVLGSYSEELEKRLLVCEYVCNGSLSIHLSNGSTGLTWERRVNIAVGSARGLQYLHSKSFYGSMRPDNILLTHDYEPLLTNYGTTRDQYHDMDRSSETRVLKTFDYLAPEYEETVIHSSKTDVYSFGLVLLQLITGWKTLADTHGKSLLAWARPLLREKNYPDLLDRKIGGSHDILQLFWMVRIAEKCLSTNPYRRYSIDQVVNALWQIKHGYSVTDYSPPESDSEIEATPTM >KZM89541 pep chromosome:ASM162521v1:6:1793525:1803838:-1 gene:DCAR_023096 transcript:KZM89541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATSSCCNPLQCPIVSPTTCTNPLFKIRCSPSFVPPLKTISQSTLLSPSLSSIRPLQFSLFSKYPVSRGVACGAASAAGSSSSENDINPYEVLGVNSIEGFDKIKATYQRKRKDAERRGDYATADRLESAYDKLMMLQLSNRKKGITAGSFQVSKDIKYADKQPLVPWGPRFAKSDIKDMQINMAISAAFIAWILIKRTAEWQPVQFISFVYVYRIFEKLKTFEPPVSTSTEEGEEEGRLMRMGKRLLRSLSLVFGCIAVSSLGYTGVLNAIELVRGYIPTFLYNNQELLVTATTSIALYIMASYYR >KZM89602 pep chromosome:ASM162521v1:6:2430997:2436443:-1 gene:DCAR_023035 transcript:KZM89602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPSDRFAMPCFEERRERKSDYDNSEDERRTRIGSLKKKALNASSKLKHSLKKKKRKNDKRVSSVSIEDIRDAEELRFVDVFREALLLDQLLPQNLDDYHIMLRFLKARKFDVDKAKHMWGDMIQWRKDFGADTILEDFEFKELNEVLNYYPQGNHGVDKEGRPVYMERLGKVDPNKLMQVTTMDRYIKYHVREFEKTFVIKFPACSIAAKRHIDSSTTILDVQGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFKLLWGTVRSFLDPKTTSKIHVLGYKYQNKLLEVIDSSELPEFLGGTCTCADQGGCLRSDKGPWKNPDILKMVLNGEAGRARQVVKVLNSEGKVVAYVKPQYPVVKGSDTSTAESGSEAEDVASPKAIRSFSHLRLTPVREEAKLIGSVSYTGSFSGYDEYVPMVDKAVDAVWKRQASLKKPIASGESSGTPVSSVIEKPPEGYHVRIMATLMTFFMTLCTVLYSVASFVTKKLSQNPSHQNTSPQESAFNTMPRENLFPPSTPTSIEKDLLFSVLKRLGELEEMVDALKAKPCEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDRQVEAMFRVLDGLVSIKNGAQ >KZM92114 pep chromosome:ASM162521v1:6:30655692:30657173:1 gene:DCAR_020521 transcript:KZM92114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETDQNIVMFPFMAQGHIIPFLTLALQIEKMGNYKIRFVSTPLNIKNLRKSLPPSSTIHLVEIPFDSSNYGLPPGLENTDAVTYDLMLDFFEASLSLKPHFRNLLNDLITGGDPLLCVITDMFFGWTADITHEFGVFHAIFSGCSGFGLGCYYSLWMNLPHRKTEFMEFSLPDFREAGKVHVTQLMDIMLKADGTDRWSKFLVYNLSSWVKSDAIMFNTVEEIDGIGLSYFRRKLGLSVWPIGPVLPSVDNRSRGGKNFGVSSDVCMKWLDSKPLNSVLYISFGSQSTISASQMTQLAKALEISGKNFIWVVRPPLGFGINAEFNAEEWLPEGMTKRVEEQNRGLIVSRWAPQVEILSHKSIAAFLSHGGWNSVLESLSYGVPIIGWPLYFEQFFNVKLLEEEIGVCVEVARGTQLEVRCEEIAHTIETVMRNDGKGKKMRDIACEVKAVIEDAIRDEEDYKGSSVKCIQEFLNAALLTKESRTEDAKVGKL >KZM91396 pep chromosome:ASM162521v1:6:24790470:24792210:1 gene:DCAR_021239 transcript:KZM91396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFTHQSLAPLLSFLLLLLHSFSAASSPLKSDLHWRPATATWYGSAEGDGSDGGACGYGSMVDVKPLRARVGAVSPILFKGGEGCGACYKVRCLDKSICSRRAVTVIITDECPGGYCSGGRTHFDLSGAAFGRMAITGEHGLLRNRGEISVMYRRTLFSSLTVYDQLTMTTGLLLCPLGADHSMSPYSYKHNYYCIHDVWTPCKYPGKNVAFRVNEGSTPFWLSLLVEFEDGDGTVGSMHIREAGSTEWLEMSHLWGANWIINGGPLKGPFSVKLTSLSTARTLSARDVIPNKWSPKATYTSRLNF >KZM89900 pep chromosome:ASM162521v1:6:7147200:7150256:-1 gene:DCAR_022737 transcript:KZM89900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISPQEFVEMKMPPTPTPTPKKVNFILTPSPTDARANASPGPSSFKAKSSMKQLLPKMSFKYKNSSSDAERPNNILSGGPQEKSSMSRSWSLTRIFTPRMKRTSSLPVTQISRSNSEIIRGGNLGSSLNLEFKGSQKHISRSLSVPDLNKGPTIRRMDSFIRVIPSTPQTRDGNDKIINTDANGDDENNEVDAEDIPEEEAVCRICMVELCEGGETLKMECKCKGELALAHQECAVKWFSIKGNKTCEVCKHEVQNLPVTLLRIQSVQNRLISANRAPAMEVNGFRQVHIDLPSTFDQSILLVDFVDVYRVWQELPILVIVSMLAYFCFLEQLLVANMGTGAIALSLPFSCVLGFLSSMTSSTMVKRRFVWLYASIQFAFVVIFAHFFFSVVHVQPVLSILLATFAGLGVAMSGSSIIVEFLRWKRRREAAADLYNNLQTADQRTQTVTPSNVVPQDT >KZM90868 pep chromosome:ASM162521v1:6:19977220:19978860:1 gene:DCAR_021767 transcript:KZM90868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGSFNLRVVPSNLLAAEKEEAKALLTLFLKKQGLSNAAASRTINKSDLFIDHLISRLHSIHKSRYLVGRELTTLEIREALSPYLDVLAEEYGEILIDVVENFPSAPDKGRYDESLPKATVKESLETMSPPTAPLDSKKLKALARVSNLGPSGKLPPHIIYLVELGMELETIKEVIRKFPAFAYYSLEGKIKPVVEFLIELGVPKSDIPIILTKRPQLCGISLSENLIPTMTFLEDLGVDKQQWAKVIYRFPALLTYSRPKLTATVDFLYEMGLSAESVSKTLTRCPNIISYSVEEKLRPTAEYFGSLGVDVALLLARAPQTFGLSIEANLKPVTEFFLEMGYTIEDIGTMISRYGALYTFSLKENVIPKWEFFLTMGYPRYELVKFPQYFGYSLEERIKPRYAIVKDRGVKLLLNQVLSLSDIEFHKVLKRKSEKLLSD >KZM92274 pep chromosome:ASM162521v1:6:32012406:32013269:1 gene:DCAR_020361 transcript:KZM92274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILGAINKPSIHNCTLPFYHILKSSSHSKPTILRNLPHKKSEMETQNNTIIADNKESNKHVPILRVKKLSDKAVLPSRGSPLSAGYDLSSAQEIKVPARGKALVPTDLSIAIPEGTYARIAPRSGLTWKHSIDVGAGVIDGDYRGPVGVILFNHSDIEFQVNVGDRIAQLILEKIMTPDVTEVDDLDDTVRGVGGFGSTGV >KZM91350 pep chromosome:ASM162521v1:6:24381306:24385844:1 gene:DCAR_021285 transcript:KZM91350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMLKPLLLSVYAFLFWQQTANAQVHNHSIFSSSRKLASRCNFFRGRWVYDNTYPPYQSSNCPFMNQQFDCQKFGRPDKTYLKYRWQPFSCNIPRFNGVVFLERMRGKKIMFVGDSLSFNMWQSLGCMIYTSAPRTKYTLITTTILSELVFEDYGVTLMLYHTTHLVDIVSTKAGRVLKLNSIRAGKAWVGMDVLIFNSWHWWLHTGGTQPWDYVQDGRKTYKDMNTLVAFYKGLTTWARWVNLNVNPAKTKVFFQGISPTHFEGKDWGAPMKSCSHETQPYSGLKYPGGIPMASVVLNKVLSRIRKPVYLLDITLLSQYRKDAHPTYYRGGHSGLDCSHWCLPGLPDTWNQILYAALIG >KZM92180 pep chromosome:ASM162521v1:6:31254474:31256016:1 gene:DCAR_020455 transcript:KZM92180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSAIAWHKVQVQMRNKEGDKKRAYLTLEELRQLSDDTNTYKSIGRTFVLEPKSALMEEQEQKLKDSETAIASLQTSKEYLEKQMAEVENNLRELLQQDPTLTRQIMSMSV >KZM90263 pep chromosome:ASM162521v1:6:13223928:13224392:1 gene:DCAR_022372 transcript:KZM90263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRRATINDLLAMQACNLFCLPENYQLQIYLYHIISWPQLLYVAEDYNGKIVGYVLAKMEEEATPECHGHITSLAVLRSHRKLGLATKLMMAAHSAMEHVFGAEHVSLHVRESNRAAFNLYTETLGYKVHDLEGKYYADGEDAYVMRKIFKG >KZM92198 pep chromosome:ASM162521v1:6:31426368:31427760:-1 gene:DCAR_020437 transcript:KZM92198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTLIIRPQMTSLCAFRPRLLSSLAFSSSSPLKYSPPELTIRRNYQSINTVSRRSFCIRATTQVNDPGSIDSPLMQSMKQKIQEHLNAESVSVKDAYGDGRHVSIDVIASTFEGQSAVNRQRMVYKAIWEELQSTVHAVDQMTTRTPTEAESKK >KZM92333 pep chromosome:ASM162521v1:6:32592202:32592465:1 gene:DCAR_020302 transcript:KZM92333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLDGSSNGSLLPLSRGKMTTKYGGHAAASSCLFQMPVHYPRYSKSDYENMPEWQLDRLLSQYALPCTGSLDQKKRFAMGAFLWD >KZM90146 pep chromosome:ASM162521v1:6:11692043:11695455:-1 gene:DCAR_022489 transcript:KZM90146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEQQISHIIDEDDDDNEEEDDNNNEEQEEEESSARVAMTRRATCSRDKLWFLGKVLDPRASRIQEWNQVFLLVCATGLFVDPLFFYALSISEACMCLYVDAYFAITITVLRCMTDSLHVWNMWLQLKINKHPHAVNADERSRPHDTSSRTVAMRYLKARKGFFFDLFVILPIPQVVLWIVIPALLEKGSTTEVMTTFLVMFLLQYLPKIYHSVYLLRRMQNLSGYIFGTVWWGIALNLIAYFVASHAVGACWYLLGIQRATKCLTEQCMKTQSCGPKFLACETNLFYGGKNLVTASTRLLWGENKEARSLCLIADHDKFGYGAYKWTVQLVTNESRLEKILFPIFWGLMTLSTFGNLESTTDWLEVVFIIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNVEWWMRKRHLPQEFRQRVRNYERQRWAAMRGVDECDMIRNLPEGLRRDIKYHLCLDLVKQVPLFQHMDSLVLENICDRVKSLIFTKGETISKEGDPVQRMLFIVRGHLQSSQDLRDGVKSCCMLGPGNFSGDELLSWCLRKPFVERLPLSSSSLETLETTEAFGLEAEDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLSSLSFIRPRRPLSRCSSLGEDRLRLYAALLTSPKPNHDDFDF >KZM91836 pep chromosome:ASM162521v1:6:28229039:28235505:-1 gene:DCAR_020799 transcript:KZM91836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEFLPGEFQVSSRVLIAIFVQVVTGFSQKDCKEKNCEQDLSLLSPRRASLRDDKRGSRQYSKPKRKSSRAVSVPVSPVGASSNKTSQIKSKRKPLKMVSVKKTPRLNKSREKLLGKTSSTPKSRKSTPSRVLSKLLEGYKTETGIYCRCCSSDVSPSQFEAHAGWASRRKPYNYIYTSNGVSLHEYAVSLLLKRTKNSLRDNDDLCIICADGGDLLLCDGCPRSFHRECASLQTVPRGKWYCKFCHNMFQRERFVAHNANALAAGRVSGVDVIGQITERCIRIVKNPEDSEVFACVLCRGYDFSRTGFGPRTVILCDQCEREYHVGCLKKNKMADLKELPSGEWFCCSDCNRIHSALQNLLVSGAEKLTDSLLDVTRKQHIDKGLECVTNFDVKWRLLSAKISSRENKLLLSEACAIFHENFDPIVDVLSGRDYIPSMVYGRNIRGQDLSGMYCAILTVNSTVVSAGLLRIFGRDLAELPLVATTKENQGKGYFQLLFSCIEKLLAFLSVQSLVLPAAEEAETIWTQKFGFVKIPQEQLNNYRKKCWQMLTFEGTTMLEKYVPQCRIASQESAVSDVSDQDMAVTEVRDKDILAVTEGYNQNMAATEVSGEDMVVTKVCDQDMAVIKVSVEEQDTSTAADKEQSAEVLEDVDDSKVNVPL >KZM89483 pep chromosome:ASM162521v1:6:1144968:1145813:-1 gene:DCAR_023154 transcript:KZM89483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDMRIFNTGIDRRLRTNAAINHQQALKCPRCESLNTKFCYYNNYNLAQPRFYCKACRRYWTKGGVLRNVPVGGGIRKAKRSSKNKKLISTADQADAEKSAESNSSSERSSLTATTEVVSAPSTMSSCPNLFSFATDTNSSQFPVPQVNEPGFNQQNFIDPDSAGSMFSDIGQFTEIMASSTTDLGFSIADTSTFRSGNQNDDQVQQMQSIRRCELYLEETTRIESKESDTKMSNDGLTDVEWRVDDQEIYDLTGNVDEAYWSQNQWPDHHSDHSFHFHLP >KZM89399 pep chromosome:ASM162521v1:6:442596:444014:1 gene:DCAR_023238 transcript:KZM89399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKCIQMASCRLALVLTIVITMLTLSSPVLASSSGKVLNVIDECWRLNPKWHRNRQELAKCSIGYAGKMTRNVGPDVTEYVVTDPSDDAMNPKPGTLRYAMTNVGGKKWVTFERDMKIKLQRPLLVSSFTTIDGRGASIHIAGGACLLLQRVTDVIIHGLRIHDCLAQGPGPVRGPDAKIVNIGHADGDAIRMLSSSKIWIDHNTLYDCPDGLIDVTRGSTDVTISNNWFRYQNKVMLLGHDDGFLRDRNMKVTVVFNYFGPNCHQRMPRVRFGYAHVVNNLYQGWGLYAIGGSMNPSVKSQANLFIAPKTGNKEVVWNKNSGDTSSNLWSVNDIFENGASFNQQRPNNAVAKPNYNQEQQFEVADAISVRPLTKTSGALRCSKRSTC >KZM92402 pep chromosome:ASM162521v1:6:33150024:33155044:-1 gene:DCAR_020233 transcript:KZM92402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLISTRERERAAAHLSISVLLLQSEGNIFIIWNLRSPTVSLAMMRNIEFQDPYLAGNIPAEIAENWKEFFPENEDFSCEEVLVQQESVYLSIQENGRDKRSTSGCSHSSDKSHLVSEKGGSSHGGSNNSQVALDEALARSLQELGDDFEDFYPHEDNGAEAGTRIREVSTVETPTRAVTHNLIQDDIDPDNMTYEQLQSLGESIGSENKGLPEELIARLPTFKYKSGFFSKKKKEECVICCMVYSTGERLINLPCAHQYHSACIKRWLSVNKQCPVCQTEVQDE >KZM90744 pep chromosome:ASM162521v1:6:18806894:18807172:-1 gene:DCAR_021891 transcript:KZM90744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKLFIISIILVALTFSSTLTPASATSRNLQAFPTFHFPFFGGSPSGDSSSPPSSGFSFFPPFPFFSSPANGVPGGYMPPATAATTTHTP >KZM92631 pep chromosome:ASM162521v1:6:34995244:34997393:1 gene:DCAR_020004 transcript:KZM92631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETHASPHERLSPSLKNTLLVINCMVLSIGHCGGPLVTRLYFIHGGKRVWLSSCLLTAGWPFILVIFLATFWFRRATLSDYTAKLFNIRPRLFLASAVIGILTGVDDYIYAYGVARLPVSTVALIIASQLVFTAGFAYLLVKQKFSSYSVNAVVLLTIGSGVLALHTSSDRPDGESKREYVLGFVMTLGAAALYGFILPLIEFTYQKAKQVIDYQLVMEIQMAIPREARNFELGETKYYVVLVCSGLIWQFFYLGAVGVIFCSSSLLSGIIIAVLLPVTEVLAVIIYREKFQAEKGVALILSLWGFVSYFYGEIKHNRRIEKSRRAEMDLP >KZM90327 pep chromosome:ASM162521v1:6:14235827:14237167:1 gene:DCAR_022308 transcript:KZM90327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKRMTEKVKKIIKYEKRNVVKEYQFPVLELPTILIYLIIAKLPLRTIFSCRAVCKAFRELIGDPYFTKTHLKEALSTSTAIIVKESYLCSPSFRPYILELDYTPKKSPCFSDHQFYNKHVISRQSQGVPSRGVKCCFLARIAVLVGSCNGLLCVTSLLQIKPTYCICNPVTGECVTLPHPTSFSSDYYFNHSGFGFCPKTEQYKVILFLSSEHTLRTEALVHTLGTESWRNIGEAPLFSSLRSFDCFLDGKLHFITASHKELYSFDLETEKFKPVPMPAHFSLEYCSEIPRIYVGVISGCLCLCYPFTDAYFEVYTMQEYGVRESWIKKFSIDIKFCCGLRAMKLLRPIKFSNNGELLFLSKHKTLVSYNTRKKSFRYIKNLGDECGDAIAYVPSFVSLRSHVFKSGITYEKIKLESSIQVQNASCLFCSCRLLNSGLVSMLRK >KZM90251 pep chromosome:ASM162521v1:6:13147638:13148847:1 gene:DCAR_022384 transcript:KZM90251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTVEREDHTIGNIVRMQLHRDENVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAINDLDKELDHLKNAFEV >KZM91709 pep chromosome:ASM162521v1:6:27266088:27272887:1 gene:DCAR_020926 transcript:KZM91709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSNQDSYGSNLLRKPVSKEAGRDFDEEESEEEEELVDWEDKILEDTVPLVGFVRMILHSGKYESGDRLSPEHEETIIERLLAYHPECEKKIGSGVDYITIGYHPNFESSRCLFIVRKDGELVDFSYWKCLKGLIRKKYPLYADTFIPRHFRQGRRRD >KZM92546 pep chromosome:ASM162521v1:6:34245905:34251710:1 gene:DCAR_020089 transcript:KZM92546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLNMGFFLFIILFITTVKCSSSDPILQQELDKVLSLPGQSFDVEFAQYSGYVTVNEKSGRALFYWLTEAVDDPADKPLVLWLNGGPGCSSIAFGMAEEIGPFHVNKDGKTLYSNPFSWNQVANLLFLDSPVGVGYSYSNTSSDHLNNGDKRTAEDSLQFLLNWLERFPQYKGRDFYITGESYAGHYVPQLSQAIVKHNLEKKAKAINLRGYMVGNALTDDFHDHLGLFQFMWSAGLISDQSYKKLNLFCDFQSFVHTSEQCDKVLDIAEKEFGNIDPYSIFTPSCTGNFSESNGKLRRRHKFGHIGQKYDPCTEKHSTVYFNLPEVQKALHVHQRTSPFTWQTCSDEVGLHWKDSPKSMLDVYHELIRSGLRIWVFSGDTDAVIPVTSTRYTIDALKLPTVTPWHAWYDDEGQVGGWSQVYEGLNFVTVRGAGHEVPLHKPKLALMLIKSFLSGVSMPKLKLVTDT >KZM91602 pep chromosome:ASM162521v1:6:26405041:26414346:-1 gene:DCAR_021033 transcript:KZM91602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLVDMPRSDSVESAQSALLALLNFIVKDETLNNVHHPKAGGFVRGGDTFYKTVEDICGIKLNAEEKHLPMLKEVSQNFECNKESVIGEQVDDATPYSTEAITFFNRKPHRSYELMEPGFFTRVVLPTCSLIHVNFTAKETDVDVARELFFAELTSTGEVLSCNFCVRLHPRDSALGDEIGDKTNGCYYCRKYNNVLHPKHGGFLAKFQHLDSMRYYG >KZM92443 pep chromosome:ASM162521v1:6:33412368:33414960:-1 gene:DCAR_020192 transcript:KZM92443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLTALELIDLTTPSLFFEQTTQIFSPFLTQPLDLLVTPPFFDLLEPPTQLVTRRVTPTEFYLQSLSDRLTALESTILPPKPKPKLDRKYTWTAEISSDEKDGLDRKYKLTTEIKGGKKKEEKSYKWTAQIKGKGDNAKVNRKYTFEACVDNAEGELKKEKEKKKKNDVVYKKIKKVAAPARVVEIEEEEADQGAIVLRQAFAKRAAAKSKGKRKELSYQDAAIMIQVSFRAYLIRRSQALRALRELAVAKAKLKELRALFNNFTYRRRLTLNAEERQKFSERIIVLLLTVDAIEGADVMVRAAKRSMVDELEAMLDVVDPQPQGRSLSMRRRTFDMPDGVIQKEIAAGVAQVVQMISEEEDVSS >KZM92636 pep chromosome:ASM162521v1:6:35018621:35021481:1 gene:DCAR_019999 transcript:KZM92636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYEILRDIGSGNFGVAKLVVHKCSSELFAVKFIERGNKHICHRDLKLENTLLDGSSAPRVKICDFGYSKSSVFHSQPKSTVGTPAYVAPEVLTRKAYDGKISDVWSCGVTLYVMLVGTYPFADPNDPNDFKKTIERILKAQFSIPNYIQISMECRHLLSKIFVTNPEKRITISEIKNHPWFTKNLPMELLEGGSYQRHDVNIPSQSIEDILSILHEARTMPSEATIGGKESFGGSMDFDFMDDADVEDIETSGEYVCPL >KZM90959 pep chromosome:ASM162521v1:6:20845578:20849243:1 gene:DCAR_021676 transcript:KZM90959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNWLILLLILVVFFVGCSSASSSPPAKIVSGVVSKVVSLLLRWLWSLTSNKPTALSGRSKMKFEGGYTVETVFDGSKLGIEPYSVESYVTTQIFYKFSADSRPKLVAGSSEGYSGHVDGKPREARLNHPKGHTIDERGNIYVADTTNMAIRKISDAGVVTIAGGTLSRGGGHVDGPSEDAKFSNDFDVVYVGSTCSLLVVDRGNQAIREIQLNDDECSQKYDGDLNLGIAVLAAAGFFGYMMALLQRRLIAMFSSPDSYHEHRDSLKGFPPAPYQKPPVSVRRPLIPAEHEHEPEREEGFFGSLHKLLINTSSSVVELFGGLFSGFRKPVHPVQQQYYQQLSRHANTWPVQESFVIPNGDAPPPLERRDPTPRKTYQSTTKDMEKSRQYKQNQSFYGGWNGDFRQQQQFQQHMQQQQQQLYQHLQQQQHKQIQQHHQRHQSSSPQTYYEQNCETNEIVFGAVQEHDGRHEAVVIKALDYADPKSSNIRSRYNYTGYSYGY >KZM91674 pep chromosome:ASM162521v1:6:26999610:27001013:-1 gene:DCAR_020961 transcript:KZM91674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAEQVAKRHIALLPSPGIGHLIPLLEFAKHLVVNQNVHVSFLVISSGEVSAIQDQLLHDSTLPPDLHVIHLPQVNISPSLDENTSLITQLSRICQESLKHLSKTLELNLPKALVIDMFTTDAIPVCKDLGVPVYSFFTCSTKALAWAFYVSRFKKECGRDVPGCASLGIDELPGAFLNMGDEDMRHFTRLTMVSGIFVNTWEDLESKSSWLNGIKNDPFYKTLPAPPVYPVGPLIKRDEAVAKSHDYIVSWLDNQSPNSVLLVSLGSGGTLTSEQMRELALGLEMSKQKFVWVVRKPNDFTSSGTFFNAGRDEDDPLSYLPEGFVERTAGAGLVVPSWAPQVAILRHEATGGFLSHCGWNSTLESLVHGIPMIAWPLYAEQKMNAASLIKEIEIAVKPSAVDADAGGKRVVKREEVERVVRLLMESEQGKLMRVKANELKETAAKAMKPGGSSYELVSSIVKSWN >KZM91605 pep chromosome:ASM162521v1:6:26434556:26439522:1 gene:DCAR_021030 transcript:KZM91605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHGLMEAPEPKIQYPFVHLDDLDQSLRSLSVDEDLKEHKQDNALIGAGKPLFKEKTKPKDDASLSSDAVSVSRSVQHDSDTNNHKQSEYFYYDPPLSEETGVWIPVSVPPMSENERIEWNRGLCLNGGYAPDSDMGWEQFGGEDREMTMWDVVLDMLLAARGKVGALASGDARACQITWLTNHLLLEQTWKEMSQTLTEANFSSIQEILEAVPPKWLADSAASACMLCNVRFHPIMCSRHHCRFCGGLYCNECSKGRSLLPPKFRKENPERVCDVCCVRLESVQSHLMDQVSRAAQSPTNDLTDLSTLRSWLNFPWGQSMEYEIYKAANTIRGYDKVGSLTPEKSIPDAILKQAKGLAILSIAKVGMMVTYNVGTGIVVARREDGSWSPPSAISSFGIGWGAQVGGEVTDFIIVLRTNEAVRMFGGNVHFSIGAGLSAAVGIVGRAAGADLRAGDGGCAACYTYSCSKGAFVGCSLEGNMVATRMQENRRFYGKPSINTSEILLGSLPRPPAAAVLYRALSELFKKAGI >KZM90828 pep chromosome:ASM162521v1:6:19630602:19631942:-1 gene:DCAR_021807 transcript:KZM90828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVLDKESIPVIDMSNLDDPNVADQIANAAEKWGFFQIVNHGVPIEVLEDVREAARRFFQLPAEEKIKFSQENSPTKNVRFGTSFIPKAEKALEWKDYLSLFYVSDEESSAFWPAACKNEAIQFMKKSEFVVKWLLQALMQKLNVDDMDSKQSLLMGSKRINLNYYPICPNPELTVGVGRHSDVSTLTFLLQDNIGGLFVGKMETDAWIHVPPISGSIVINVGDALQIMSNGKYKSVEHRVAANGSNNRVSVPIFVNPRPGDIIGPLAEVLKNGEKAIYKQVLYSDYXCIGFYFYFKSLCKQLFIYRPREIVFK >KZM91871 pep chromosome:ASM162521v1:6:28550088:28551575:-1 gene:DCAR_020764 transcript:KZM91871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKTLWLVQSLQPITLLLVCIIFCLYLFLKPKFCCKCNICKSYLNSTWRHEFHNLCDWYTHLLRKSPTHTIHIHALNNIVTANPENVEYMLKTRFDNYPKGKPFSVILGDLLGRGIFNVDGDLWRFQRKMASLELCRSSVKAYMLDVINFEIKTRLTPLLSSFVDRKSGVLDLQDVFRRFAFDCICKISFGLDPKCLELCLPMSEFAVSFDLASRLSAERAMTTVPLIWKLKRFFNIGSERRLKKAINMINVLAQELIQQRRKKDFSNDPDLLSKFMNSSITDDETLLRDIVISFVLAGRDTVASALTSLFWVLANHPLVSEAIRDEADRVIGTNQEMSSIEQLQKLHYLQAVVYEGMRLYPPVQFDSKFCLEDDILPDGTFVKSGTRITYHTYAMGRMKEYWGENCLEFKPERWLRDGAFFQENPFMYPVFQAGVRVCLGKEMALLELKSVILCLLRCFDIQLSCPTQMPRFSPGLTATFSGGLPVLIRERIR >KZM92371 pep chromosome:ASM162521v1:6:32934725:32938270:1 gene:DCAR_020264 transcript:KZM92371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAVEEEVSKNMLKAKIISTSHVKPKKAVGRRECQLVTFDLPYIAFYYNQKLMIYKGAVDFDDLVVKMKDGLALVLEEFHQLAGKLGKDEDGVFKVEYDDDMDGVEVVVAAAEEISVDELTKEEGTARLKELVPYNGVLNLEGLQRPLLGVQLTKLKDGFVIGCAFNHAILDGTATWHFMNSWAQICRGATSISVTPFLDRTKARDTRVKLDLTPPAAAAPQNGDVPKAVPPPLREKVFKFSESAIDQIKAKVNEKPSEDSKPFSTFQALSTHVWNAVTRARQLKPEDYTVFTIFADCRKRVDPPMPESYFGNLIQAIFTVTAAGLLLANPPEFGAKLVQQAIVMHDAKAINKRNDEWESNPIIFQYKDAGVNCVAVGSSPRFNVYEVDFGYGAPESVRSGSNNRFDGMVYLYQGKSGGRSIDIEISLDAAAMENLEKDKEFLMEA >KZM91412 pep chromosome:ASM162521v1:6:24944130:24945554:-1 gene:DCAR_021223 transcript:KZM91412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVAASVSILEQCEVTPAPDAVPVFSLHLPFYDLLWLPFHPLGRVIFYHFPHSTDHFIQKTIPNLKTSLALALKHFTPLAGKLFTPSDTKSDAECLIRYSDGDSVSVTFAEGSGDVNYLSGNHVRDADILKSLVPPLSSTTSADTSASALFAIQVTVFPNRAICIGITNSHVVADGSSVFSFIRGWASIARRLDNSDGGLELDISDLVATGDYQIPSYDRSSIQDPHNLGAMLAKTAALLMEQIQLQKDRMVEDPSNPRVRATFILTESDLQALKKTVLANRPGLTYVSSFTVACAYLWTCFAKARATASKEDHNLDEPQNFGFVMDCRTRLDPPLPASYFGNCLVPCVGVKTGRVMVGDEGLAAAAEALGNAIAVKLKEGPLHGTDKWMDEFAGIMRGEWNTGIAGSPKLDYYNNVDFGWGKPMKFEFVDEPLSLSKCKDSKMDIEVGVVMPKNEMDVFSTVFDRGLHNLAG >KZM92100 pep chromosome:ASM162521v1:6:30557890:30563778:-1 gene:DCAR_020535 transcript:KZM92100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKNTLSLPPPTIPIGNCQVSVEARNYSYESNQNTLQISLSKYNKINIIVSEDANKQGFDDANPRGHEGKGDYCFVVINAKDDDPESKSMLQEALNMYKKELPAMNYAANTGKKSTFLQRCVSNGKFCTLLVRLSSEEGIGEVLSAVTYQIIPADSLYAEIPLAAVRSINQHKGIGHLMYMELKRRLQSVGVRTIYCWGDEESEGFWHKQGFVPVGEVNTKGRARKLPVRADVRRALCLPGGSTLMVSHLVKDLANSTEPLNHSSPPKLLTWSSPLAICEVQEPGGITKVLDPPNNSIPVTPGSSSHEAKTLISDAGCRDMVPLEDLNCTKIATTLELDQTEADADGKNCSCSAPSSGAKKRRVWDASHTSLNSKKVKGAHLSSCELDSRDCLVDGSSLASYTNKILPNVATKGPLSHTFADGNDDDNEISNFALQNLSKEENLTRPNCYKIMLMNIADKAKQFRLTKIIKELGGDVITDGSLATHVITGKVRRTLNFCTALCCGAWVISACWLKESYRQGRFVDERPFLLTDNEYEVKYRIELQTAVFRGKANPQALLRGYDICVSANVQPPASTISKIATCAGGKVIHGIDEMNEASKTIYVASEVEMKEALVAVNRGIWTFSSEWFMNCVMKQELDFDAPQFAESL >KZM92768 pep chromosome:ASM162521v1:6:36153660:36154952:-1 gene:DCAR_019867 transcript:KZM92768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGSKQLFSFLKFNQIQTPTQNQQSRTLVKVRLKWVKNKSLDHIIDIHTDIKAASLLKDAIFRSSTGYLTSKSIADWQKLFGLTVPTLRFIRRYPTLFQEFPHPKYPSLPCFKLTNIALNLHNQEQLVYQNCESEIVERLCRVLMMTRDKMVSFQSLYNLKWDLGLPDDFDRNLIRKYPDCFRAVKGSNGLACLKLLKWDDEFAVSALQMGNEDVDLSVSDNGETGLRKFKRGQNGLAFPMSFPRGYGGQKKVKAWMDDFQKLPYISPYEDCRGIDPNSDLMEKRVVGVLHEFLSLTVYKKTKRNYLRSLREELILPHKFTRIFTRYPGIFYLSLKCKTTTIALREGYRRGKLVDPNPLSKLRDKFYYVMRTGLIYRNKGVDMLPQLDSLVADDGAVVNDRDESEEEEDEEVCYTDGSSEMEAASSEED >KZM90971 pep chromosome:ASM162521v1:6:20907327:20908422:1 gene:DCAR_021664 transcript:KZM90971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVRWTAVISVAVMLIVVADGRDPVLHRVGGSLGWTYNTVNYTEWSLHQTPPFYVGDWLLFAYDKHQYNVLEVNQTSYEKCNDQGFIKNITKGGKDVFNLTEAKTYYFLSGGGYCYQGMKLSVEVLDYSRLASAPTPPNSSPPRSFGTVITCIILSILLAFIAPLPWAVV >KZM89445 pep chromosome:ASM162521v1:6:774497:777473:1 gene:DCAR_023192 transcript:KZM89445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITIPGHSSSALAAAILRFPATHRRFSTRLMAKKRFSTKATAAGAPADSPASKTKPWLIVGLGNPGKKYNGTRHNVGFEMVDAIAESEGISMNSASYKSLFGKGLIGNVPVMLAKPQTFMNVSVNILSGFKVGGLVSYYKIPAQQVLVIYDDLDLPFSKLRLLPKGGHGGHNGMKSIISHLKGSREFPRLRIGIGRPPGKMDPANFVLRPFNKKEREELDFTFQTGVEAVRILLTEGINKSATFVNTPKTLEHLG >KZM92283 pep chromosome:ASM162521v1:6:32076507:32078086:-1 gene:DCAR_020352 transcript:KZM92283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDPKIHVFEEVAKHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSSTGKDATDDFEDVGHSDNAREMMEKYYIGEIDAASVPQKRTFSAPKQSNYNPDKSSEFIIKILQFLVPILILGLAFVVRQYTKEK >KZM92488 pep chromosome:ASM162521v1:6:33768237:33788381:1 gene:DCAR_020147 transcript:KZM92488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTKFHVMCSLFNWMQKSKSSAKKRSKFRKFIDNFCKPSDYFSAIRLILPSLDRERGSYGLKESVLATCLIDALGISRESSDAIRLINWRKGGAKTGASAGNFSQVAAEVLQLRQGTTSGELTIKELNDMLDRLASSENRAEKTSVLSELIQKTNAQEMKWIVMIILKDLKLGTSEKSIFHEFHPDAEDLFNVTCDLKLVCEKLSDRSQRHKRQDIEVGKPVRPQLALRVSNAAGAWKKLHGKEVVVECKFDGDRIQIHKNGEDIHFFSRCILDGEMLVWDTSTNRFAEFGSNQEIDVAFDILYVGDTSVIHQSLKERHELIRKVVKPIKGRLEILVPNGSTNVQRPSGEPCWSRIAYSVDDVERFFKEIIDNRDEGIVLKDLASKWEPSDRSIKWLKLKPEYIRAGSDLDVLIIGGYYGSGRRGGEVAQFLVGLAERPTTNSYPRRFISFCRVGTGLSDEELDAVVTKLKPYFRSIILSINSDIRTIRSEVFSAPYSLRFPRIDRVRYDKPWHECLDVQYFVNLPPTQSLDSLHRLVAENGGTSSMNLNNTVTHCIAAESKAVTYLCKKAGIIRECEIIDLCGRYFLHLSEQSKKLLEEEIDEYSDSYFLDLDIVDLKQLLNNVDRLEDSKSVDYYKKKYCPKDKWSCFTGCCIYFHLSTQSLRNPVSKALLELARRRLKLEVSMGGGKVCSSLSQATHLVIQSLLFVDVGIAGPLNSFSTTDVHHICNNKMHVVGSQWLEDSIESSQRLSEDTYSIKLEGLEDLNVGEW >KZM90686 pep chromosome:ASM162521v1:6:18075433:18075762:-1 gene:DCAR_021949 transcript:KZM90686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQNQPPVGNPPPQGYPPQDYAKDAYPPPGYPPQGYPPQQPAYGYPPQGGYPPQYQQPPPQQQKQSSGMLEGWYV >KZM91828 pep chromosome:ASM162521v1:6:28134014:28138262:1 gene:DCAR_020807 transcript:KZM91828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTISKERVRNCVVYVRLKPDRKYLLGAVDVDAENEAEFEYKEPSKKAPQFWGELKKEWGACKAAGDHNQSPVDISNENVEVIAKAGDLIRNYKPADAELKNRGHDIAIYWTGDPGSIKIDGSDYLLQQCHWHSPSEHSINGQRYDMELHMVHKDKQDKVAVVGQLYKIGKPDAFLSKLSEEIALLTDKRVNKGLGKLDAREIEMAGRNYYRYMGSLTVPPCSEGVTWRLNQEVGTVSQDQVKLLRDAVHDALVVRLDAEHEEEFEYKDHSPKAPKLWGELKKEWEACKALGNHTQSPIDISYEYVEVIPKSEDVITEYKSAEAEIRNRGHDIAVYWTVDPGPGFIKIDGIDYGLKLCHWHSPSEHAINGQRYDMELHMVHMTKDHKIAVVAQLYKIGKPDSFLSKLSKEMQVLQDKHGNTTVGKLDPKEIDLAGKNYYRYMGSLTTPPCTEGVTWIVNRGFADENARPLQALNGRKIQLYHQEAKGRNIS >KZM90006 pep chromosome:ASM162521v1:6:9515533:9517561:1 gene:DCAR_022629 transcript:KZM90006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLSLLFLAIFFTLLALATPQVQQQSPAYLGFAFNATDLPSEDYYDYIVVGGGTAGCPLAATLSQNFRVLLLERGGIPYGNPNLMSQEGFLATLTETDYFESPAQGFTSEEGVPNARGRVLGGSSAINAGFYSRADPDFYKNSGLNWDLKVVNHSYEWVEKAIVFQPELQSWQSAVRDGLIEAGVDPYVGFTLNHSVGTKIGGTTFDRMGNRHSAADLLQYAKPLNLKVAVHASVERILVASSSFSKQSAIGVVYRDRIGRYHHAMVRDKGEVLLSAGALGTPQLLLLSGIGSRPYLSSWGIPVVHHSPYVGQYLYDNPRNGISIVPPMPLEHSLIQVVGITNSGAYLEAASNVIPFYSPARSIFIRTPAPPLHLTVATLMEKIAGPLSIGSLRLASTDVRTNPIVRFNYFSNPEDVERCINGTRKIGAVLRSRSMADFRFQEWYGGHNFRFVGPALPHDLSNDVELGQFCRRTVSTIWHYHGGCVSGKVVDHHFRVIGVDALRVVDGSVFPISPGTNPQATVLMMGRYVGLKILRERMK >KZM90924 pep chromosome:ASM162521v1:6:20447781:20447978:1 gene:DCAR_021711 transcript:KZM90924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAQKIGHAAYQGPSVVKEIMIGITLGLMAGGLWKMHHWNNQKRSREFYNMLDKGLISVVVEDE >KZM91915 pep chromosome:ASM162521v1:6:28910801:28914163:1 gene:DCAR_020720 transcript:KZM91915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGYAYPAFQCFKTVEKNKVEIEELRFWCQYWIIVALLAVVERISDIFISWVPMYAEIKLAIFLYMWYPKTKGTGFVYETLLRPFVAKHETDIERSLQELRTRSWDLAIYYYHNCTELGQTKFFQVIDYLTSQSGRIKKAILDSYFQLRFVNLRIRTEKRRKSEKLKGDGPPPPDAIFSFNNQPSKPTPSAPPLYSQLQDSQFMTDQDQQFDRPDHHGSRFGFKHRKGSH >KZM92565 pep chromosome:ASM162521v1:6:34393768:34395943:-1 gene:DCAR_020070 transcript:KZM92565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKCTDLKVWKQSLSEYEARLESLGNNKKKPDLVSLDDFYRKQLPTILHQRKPNSYITTSELSKLMQWKLTRGKWRPRLLDFVSSLDEESVKSSSQKAFQSLPDISKAISELSKLKGVGPATASAVLAAYAPDVAPFMSDEAMEAALGNLKDYTLKQYLLFVEKLQEKSKELSLEGELFTPSDVERAIWSSAIGVKYPSLPNDEGKEASTNKSSKRKRKQ >KZM89658 pep chromosome:ASM162521v1:6:2930724:2932802:-1 gene:DCAR_022979 transcript:KZM89658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALPDMLQCMSTSRNASEMSVLERQRARIKWQQHEQLGFLEGNMYSQAEALDFELTSNDSYLKPDPGMDNGWPDYSKFLDNDYVKINSVMGRDSFKKRKADKALAQKAGEAQSQNLIVEDQSIKQKMSKGLAEEGESKITAGQRETSNDTSKENSKISEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVESIDVPGCTEGSNLKMEM >KZM92582 pep chromosome:ASM162521v1:6:34599623:34600510:-1 gene:DCAR_020053 transcript:KZM92582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNNNMSTSECSSGCESGWTTYLDHSSVSAYQYDKTHWRSLGFNVDQETEDLSMVSDASSGPRYLYDEDQSSAYFSASGSGFVFKQQQGTKKPKNKMKGMVMKNEDAFLDDTASSHVFKNNSSHSINQETNQDNSATQHKGKSALKKGFGFLKSSVSGKAASQKSGEEMAIRNCIDGVSSVSAAATQEREKMQ >KZM92486 pep chromosome:ASM162521v1:6:33742957:33752224:1 gene:DCAR_020149 transcript:KZM92486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGKRQTLMELKKSLQMKIAKEKSLAKNQKLNSQEKLINLIIPKKKIDSVKKDRRNDSLKNLIKKAKLQKIKASKKQSLLNCQVEKLSNETGTQPKENAKSQTLKKRRKRKRRKDNVEHDEPTRLQRRTRYLLVKMKLEQNLIDAYAGEGWKGQSREKIRPEKELQRAKQQILKCKLAIREAVRQLDSLGAVGCIEESAVAPDGSVYHEHIICAICKLREAFPDNDIILCDGTCNSAFHQKCLDPPLLTENIPLDDQGWFCKYCECKTDILEAINAHLGTHFSLDSNWQDIFKEEAALPDGGGSILDPEQDFPSDDSEDDDFDPENSENCSCSHSRAGSEDEASGATSSYSSLCLPEGDLFSEFGALDERTWKTNFGADSNETSDCEIIGGRRQRKEVNYQKLYDEMFGKDTFANEQISEDEDWGPTKKKRREKESDAASTLMTLCENDKKCPDKGATKLKDTILSRNNRRPFFRLPPDAVEKLRLAFVENELPSRLIRENLSNQLGLEFEKVTPLFKLAALWVNKWFKNARYLALKSRKLEIGKQLHSASPGGSIECKSDNGQDKTADLLPLQNISSAHTVNQPKSIKQFHRRKNLPLLTSSPQERTQLGSKTSKKASFGTGDDVSLKLLKEKAIRRKKTIKNGAELREAEAEMEKLCKMKDKLEKIQQVLKALPNRRYVEANKHREDKSSVIFVPVAEVRDTNI >KZM91444 pep chromosome:ASM162521v1:6:25234051:25237573:-1 gene:DCAR_021191 transcript:KZM91444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFNKDVSSPTSLDHIVFGVASNNMSWPRRRDYVRLWWKRKKMRGCVFINSNVTLLDQGNEDDSFPPMCISGDTSHFRYTYRGGLRSAIRVARVVSETVALNYTNVRWFVFGDDDTVFFPENLVKVLSKYDHNLWYYIGSHSESYTQNKFFSFEMAYGGGGFAISYPLAKVLANNFDSCMDRYPYLYGSDGRISSCLAELGVSLTKEPGFHQLDMRGDIFGLLAAHPLAPLLSLHHLDILDPIFPNMTSKKALGHLYEAAKYDPHRIMQQTVCYDRWFSWTVSVSWGYAVQVYARHVPLPYMLRAEKTFKPFKKGNHLNYYFNLDVRDYEENQCRRPAVFFMNRVFSEGSEIQSENIDGIRSIYRRLTPDNCTRDLGSPRKLEEIRVFSQKLNPSLAQLIAPRRQCCDVLPSTGGELMEIAIRECREEEMTYLHL >KZM91812 pep chromosome:ASM162521v1:6:28015780:28017324:-1 gene:DCAR_020823 transcript:KZM91812 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MAKNQQQKVHAIMIPYPFQGHVIPFVHLAMKLASRGFTITFVNTHVVHQQISQAQTNMTSAHDIFDEARKSGLDIRYATVSDGLPLDLDRSLNHDQHQESLLQASGSHVDQLIGKLVAENADAPVTCLIADTFFVWPSLIAKKYNLVYVSFWTEPALVFTLYYHLDLLKQNGHFASKDNRRDTIDYIPGVEAIEPRDLMSYLQATDITTVLHRIIDKAFADVKKADFVICNTVQELEQDTLSALNQKQPVYAIGPILANDFAKTKIAMSLWSESDCSQWLNTKANGSVLYVSFGSYAHVSKHDIVEIAHGLLLSGVNFVWVLRPDIVSSDDTDILPEKFEENARQKGLIVPWCHQIAVLSHPAIGGFLTHCGWNSIMESIWCGIPLICFPILTDQFTNRKLVVHDWKLGINLCNEKTISREQVSKNIAGLMCDEILNTKLMNEMKKVRRTLENATDGSSDKNFEDFINAVKVNIVSR >KZM92037 pep chromosome:ASM162521v1:6:29990364:29991847:1 gene:DCAR_020598 transcript:KZM92037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELISTIFSLILFFTPFIFFYFFHLPNPKYGFKSYPLLGSLPDFIKNRHRFLEWSTEILAGCPTNTAVFRRPGKIHGVITANPSNVQHMLKTNFENYPKGPRFITLLQDFLGQGIFNSDGHIWKVQRKTASYEFNTNSLRNFIVHISTAELQTGLIPVLREAAERNRVVDLQDLLERFAFDNICKLAFNVDPGCLKGVGNNAGSEFMKAFESAATLSAGRFMYIFLFVYRIKKFFNMGSEMQLRDSIRIVHEFADNIIRSRLQERVERKGEDLLSRFIQDSSNSAEMLRDIVISFILAGRDTTSSLLTWFIWLLSSRPNVVDKILQELKKIREYDTDSDTYSFDELREMQYLHAAISEALRLYPPVPVDTKLCENDDVLPDDMAYIQMKSIAASVIKRFTFEVVNVKEDAIPEHVLSLTLRMKEGLHVSVKERLLET >KZM92182 pep chromosome:ASM162521v1:6:31262795:31281646:-1 gene:DCAR_020453 transcript:KZM92182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITSDPTPIPPASSSSSSAAPPAAMPPVSTGPSKPASDRKSKKGTLMQIQSDTISAAKAALNPVRANILPQKQKQRKKPVSYAQLARSIHELAASSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILGDPGSQGLTPGGGIPTPNWDALADIDAVGGVTRADVSPRIVERLTVEALNADVEFHARRLQALKALSYAPSSNSEILARLYEIVFGILDKVADGPQKRKKGIFGTKGGDKEFIIRSNLQYAALSALRRLPLDPGNPAFLHRAVQGVTFADPLAVRHSLEMISELAALDPYAVAMSLGKLVLPGGALHDVLHLHDVLARVALARLCYSISRARALDERPDIKSQFNTLLYQLLLDPSERVCFEAIFCVLGKFDNAERTEERAGGWYRLTREILKVPDAPSAKDIDIETKDALPPKPTKDKAKKTRRPQLLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAVGLQDLDEGENINTYSEYSDSDINETAYEANRKVPSMSNGTSGKETVSSLLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHESFDELKSIIASELSDPAWPATLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMLGITSIDGVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIIAAQALTTMAIRSGEPYRLQIYEFLHTLAQGGVQSQFSDMHISNGEDQGASGTGLGSLISPMIKVLDEMYSGQDELIKDMRNHDNAKKEWTDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPTSAKLIDIYRNRHNISASAGMNDPAVATGISELIYETNKETPADPDSLDDDLVNAWAANLGDDGLWGKNAPAMNRVNEFLAGAGTDAPDVEENITSRPSMSYDDMWAKTLLETTELGEDDRSSGSSSPDSIGSVETSISSHFGGMNYPSLFSSKPAYGSSQSTEKPVASRFSNPSGSSSSLYGDTINEEPPPYTSPVRRFESFENPLAGPGSSSFESSQDEERVSSGNPQYGSALYDFSAGGDDELNLTAGEEVEIEYEVDGWFYVKKKRPGRDGKTAGLVPVLYVSQS >KZM89785 pep chromosome:ASM162521v1:6:4797741:4799501:-1 gene:DCAR_022852 transcript:KZM89785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCHRHNPTNLAAVVLDNHRSSTSLCSSFSRSSFRRKLIHSLRCGGGCSDKPKHKPLPDDDDDSRSDSTKKNGPGSEKLSELLRLSEDDEDEVKKKTAALDELKNVVKGLQCDDVLWGAKQVRRLTKEDPVARTTLALLGAIPTLVGMLDSRGNDLDFQISALYALLNLGIANPENKAAIVKAGAVHKMLNLIESSDQLLDRSVAEAIVANFLGLSALDVNKPIIGSSGAIPFLVKMLKDGDSSSGSQAKQDTLRALYNLSISPSNISPLLETDVVQFFVSSLGDMEVSERILLILSNVVSTLEGRKAVSSIPNVFPILIDALSWNDSPGCQEIASYILMVMAHKSYVDRQAMIEAGVVSALLELTLIGSNLAQKRASRLLECLRVDKGKQVSENCVGGSGGTLSAPLCGASPSFADPHLQECPDEDDMMSEEKKAVKHLVQQSLQNNMRRIVKRANLPQDFVPSDHLKSLTSSSTSKSLPF >KZM90187 pep chromosome:ASM162521v1:6:12250045:12251389:-1 gene:DCAR_022448 transcript:KZM90187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFFTLGGKDQEQDQASQENSLFLFKNEEIYNKGFELWQQYYQLHQQQKTQLQDVDLSLVGGSASNYSSTRRTNDNDHTFLYRSSSSSGFGLHMRQSGVLGNNNNNSNNMIGGGGSSVNCQDCGNQAKKDCVHMRCRTCCKSRGFHCQTHVKSTWVPAAKRREKQQQLQLSSSLQQPQQDHEPQEQNQFSLMRGAHQSKRTRENPLPCTRLQNHNSGLEVGQFPAEITSSAVFRCVNVRAMDDAQEQYAYQAAVNIGGHVFKGLLYDQGPESRYVGAGENSTTSGAAQQSLNLVTTAATTTNPPGVTWLDSSTTYPTPLNAFMAGTQFFPPPRP >KZM89872 pep chromosome:ASM162521v1:6:6588428:6590187:1 gene:DCAR_022765 transcript:KZM89872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTDSSGFPMAHVDEDSSLVDFMDEANIEQFIALIRVETADEPNVKFCHNFLDCDHINGCTNEQFDPGFGLPYDHFNDSAMTGFSDPGSLLNNSFPNNFDELKQLVQQEEDEAEEYSSGNMTTTTTSTQTKRSTKGDRSRTLISERRRRSRMKDKLYALRALVPNITKMDKASIVGDAALYVQELQMQAKKLKAEVASLESSLTGTDKQGGLHDNTQKKQVTNLYPVVKKILQIDVYQVEEKGYYVRVVSNKGHGVAASLYKALESFSTFTLQSSNLATLDHTLLFTFALNVRVGELDMNLPNLKLWVAGALLNQGFDFKTSPIGLAN >KZM91505 pep chromosome:ASM162521v1:6:25671128:25677041:1 gene:DCAR_021130 transcript:KZM91505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLWKLITLLVIFFSAKEVAARTKLYSDNEQNGICKLMVDTQGYLCEEHKVTTEDGYVLSMQRIPKGRSGETADRPPVLLQHGLLMDGISWLLNSPNESLAFILADNGYDVWIGNTRGTNYSRGHTSLTPDDSTYWDWSWDELAAYDLPATFQYVHEQSGQKLHYVGHSLGTLTAFASFSQDKMLNMLRSAALLSPIAYLGQMSSFLARSAADIFLAEELEWLGLNEFNPRGEAVVGLLEVICQNPNNNCSDLMTSFTGKNCCVNSSATDIFLQHEPQPTATKNMIHLAQMIRGGKIAMYDYGSTEENNKHYNQPTPPEYKMASIPANVPLFLSNGGQDLLSDVKDVQTLLDSLDDHDRDKLVVQFTADYAHADYVFAVNAKQLVSANSNARVFGLYFSPRRNSDQLTHTSVEALYGSSSPVLQLTPTNFKSKVLNSNGVVLVEFFAPWCGHCKALTPIWEKAAAVLKGVATVAALDADAHQSLAQEYGIQGFPTIKVFLPGKPPVDYQGARDAKSISNFAYQQIKSLLKDRLDGKATGKSSEKSSGNSEPSASVELNSLNFDELVLKSKDLWVVEFFAPCRVANRQVRRWENGGSRRGD >KZM91400 pep chromosome:ASM162521v1:6:24809562:24810770:-1 gene:DCAR_021235 transcript:KZM91400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEESHFISSMDGDKDQFKDFDYTGLEMRQWKTIFEEASMSDRPFKKINQDFSHFSSPATTATSTSPVSIPLSSSTQFPFAFNGSPQAIESLHSPPMNNQQTQQQMISFEPRHHQGFGFPPYFCGDLAASPKYQQQELLQYWSNALNLSPRGRMMMMNKLGQDSRALFRPPIQPIAQTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAAMAYDREAFKLRGENARLNFPERFLNKDKTVGVEPSSSTLSPEASKQAQEFPEILNQASDTELLPLAKSGDNLNNDSGLGSSAGEYLQPSVGSSGAGGGVLGSSSELVWGDISDTWFNPFPGGWGPGSPAWDTLDHSNNFMMPTNLSLGNAHQEEHTNLNTQKQPESMGSASSDTMNTFFWNDQY >KZM92204 pep chromosome:ASM162521v1:6:31473834:31482996:-1 gene:DCAR_020431 transcript:KZM92204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIEAEDLQLCQNDENDLQPFFVLHKASNQRIERKSGGKARRRIDLSSSVHDNLDKSDTGEADELEKLRNEAFDCVWSKIKSVIKDALRDINLDVFNEIDRWVHESFDAITSRGKPEFAKVTCSYPIVSDATSKQLFSGLVLTRNMEVVDDLLTFADLGQHLKSHGCHVANLSSSDFSPKNGISGSIRSLLRKFLMVTLDVADISALASWYAEPGNHGNPVVVIIEDLERCCGTVLSDFILMLSEWAVKLPILLILGVATTIDAPRNVLSSNALQCVLPRKFKLRSPSERLDSIIEAVLVRDCSGFNVGQKVATFLRNCFLRQDGTLSSIVRALKMSVIHHFSMEPLSFILKGLLDEDDRQVQFSWKHETLPEAMLSRALDLPSYTKNKLGEPNGEILEQGLLQLRRSQKLWSSVLLCLYEVGKHHKISLLDLYCEALDSELWDTRASDLHSVLDDKLKVPEDIMHMGGSIFQAIRKVRDSSPVALCQLLDSWEALSEGLTEIQEKVKELQCLSKIDDSKNVNRELTNISRRHTSRNYTHTERDAQALNVRAATLIGSMVRDYMQPMECIPFHEILCFKNVDKLQSNITGDPRRRIQADLLESYKFLKCSCCRVNCNTPLPSMHDTSIMYALAQEHGDLINLHDWYQSFKATICLSTKSKQRLKQSPSPKKRKSAVEPQAINGASLQARFCRAVMELQITGLLRMPSKRRPDYVQRVAFGL >KZM91399 pep chromosome:ASM162521v1:6:24802807:24805431:-1 gene:DCAR_021236 transcript:KZM91399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAAATLLPSAAITIHKEGRSSLKESTFFGVSRSEIYRADFNPLFVNNQGFNRRLQIRPIRAQTAATTPAISSPGAKKTLRKGNVIITGASSGLGLATAKALAETGKWNVIMACRNFLKAERAAKSVGISKENYSIMHLDLSSLESVRQFADAFKRSGRPLDALVCNAAIYLPTAKEPTFTAEGFELSVGTNHLGHFLLSRLLLDDMKNSDYPYKRFIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNALNNSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHKRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLSKSGVYWSWNTNSASFENQLSQEASDAEKARKLWEISEKLVGLA >KZM90128 pep chromosome:ASM162521v1:6:11425079:11425249:-1 gene:DCAR_022507 transcript:KZM90128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFDSKAIKIFVVMNGAGQLEMACPYLAHEHGQGQQGRGGVQEGQGQQGHGGS >KZM92572 pep chromosome:ASM162521v1:6:34475992:34489737:1 gene:DCAR_020063 transcript:KZM92572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQAPGGQTLRPPSVGPMGSQNFGAPYPMQFRPMAPALQGQHPMPVSSASQQFSPAGQVMHPGQGQQPLQYSQPMQHLQSRPLQPGPVPPSQATQMPYLQQNMTYASGQQQYQQAAPANGHGYGGPGVPLSSSYTYTASYGQPQNTMNMPPQFQPASQIQMPAVPVGGQPWLPPISQGLPAATPMQPIAQQPAASPGPVTVVSSPHTSSDWQEFEASDGRRYYYNKITKQSCWEKPLELMTPLEKADASTVWKEFTTAEGRKYYYNKITKQSKWSIPDELKLAREQAEKAAGDGSQSESVIATSGTAKEMVDVLTTAPPSNEVASTTVGVASSPVPVTPVSAPLMTISESATPVVNVAADTTTATVAGSVGLSNASVNVNTTPVTGSETPVSRDAGNSSEGASVQDIEEAKKGKADAGKINSTPVEDKTVEDEPFLYANKQEAKNAFKALLESANVEADWNWEQAMRVIINDKRYGALKTLGERKQTFNEYLMQRKKLEAEERRLRQRKAKEEFTKMLEESRELTSSMRWSKAATLFENDERFKAVERAADREDLFKNYLVDLQKKEKMKAQEEYRQNRLDYRKFLETCGLVKVDTQWRKVQDRMEESESGSRLEKIDRLEIFQARCYKSSGHVGQQVGCILEQVKRVERKNRDEFRKMMEVDVASGNFTAKTLWFDYCQKVKDSAPYHAVALNLSGSTPKELFEDVSEDLQRQYDEDKANLKDALKSAKAGHYAYRFFICFSYYIPLKVITVESSWTFEDFKTAIAEIIDSLSISSINLQLVFEDLLERVKEKEEKEAKKRQRLAEDFSDKLRTIKDITASSEWDECKQLFDSSSEYRAIKEESSARQIFEEHIARLQEKARDKERKREEEKAKKEKEKEEKEKRKERERREKEKEKEHEKDNETSVERSKDNNEGENLDAADNYVHKEDKKREKDRERKHRKRHHNDVNSEEDEKEDSYKKSRRHGSEDEKEHSKKSRRHGSDDENDDGKKSRRHGSEDEIEDTKKSRRHGSGRKKSRKHAYTPESDGESRHKRHRRDHRDGSRRTGGHDELEDGELGEDGEIQ >KZM90329 pep chromosome:ASM162521v1:6:14301874:14302542:-1 gene:DCAR_022306 transcript:KZM90329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAEDPSVGPSKGKLKGSKGNAGKTWRSVSQFILIFLVLIAVTALVLWLVYNPHKPKFSLVNAAVFDLNTTSPPFISTTLQFTIVARNPNDRVSVYYDRLNAFVSYKSQMITPQMILPPLHQYKDSTVSFSPVLGGVAVPVSLEVANGIVMDMEGYGLVQLRLVLQGRVKWKVGPIKTSYYDLYVACDMLLGLKKGIVGQVPLLGISHDRDQDHHGCHVDV >KZM91112 pep chromosome:ASM162521v1:6:22279665:22281979:-1 gene:DCAR_021523 transcript:KZM91112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRVVKTPGGRLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKLKEKVSAKS >KZM89512 pep chromosome:ASM162521v1:6:1419103:1419717:-1 gene:DCAR_023125 transcript:KZM89512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGSYNQIHHHRNLSTSSTTTTNNPTTTFLPLLCRISAKDVADIRRPKKNDPSSPKVSCMGQIKRHNITNKNSTDTNTTRKVRYSQLKKIFSGRNILITTTTITNPSTTNYCKANKSYRIEGGEKHHRRTKSDNYGVLVVPLNLAELDPPLPVVKSPCCGREGGSLWKRRGGGGPLGGLQIQQIQLPVNNSSCLLITPPSVS >KZM92703 pep chromosome:ASM162521v1:6:35579744:35584281:-1 gene:DCAR_019932 transcript:KZM92703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLNSPLKPSYYIHSKLYNYNYNRKRFLGLSFPLSSSCTLLKPYLLKSKTHLAAKKTGVHSQFDAKINGVLSGDPDPRSLDRIYGPESSGKTTLALHAIAEVQRLGGNAMLVDAEHAFDPTYSKGVGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRHKIGVYYGNPEVTSGGIALKFFASVRLEIRPTGKIKSVKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSQLGCILDCAEMMEVVQKKGSWYSYADQRLGQGRDKALQHLKENPVLSAEIEKIVRSAMMEGTGQISSFNTRALPLQLQEDDMAEEF >KZM92209 pep chromosome:ASM162521v1:6:31532814:31534755:-1 gene:DCAR_020426 transcript:KZM92209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYIPQVITCKAAVIWKAGEALKVEEIKVDPPKTGEVRIKMLFASVCHTDILYRDGFDQTLFPRALGHEGVGMVEAVGENVKNVLIGDIVMPVYLGECGSCLNCKSGKSNLCHVYPLSLTGLLPDGTSRMLISGTGERVYHLISCSTWSEYTVFDSNYLVKVDPKLPLPHASFLSCGFTTGFGAAWRTASVEEGSTVVVLGLGAVGLGAIGGARTQGASKIIGVDLIDLKGKKGTKFGMTDFINPKDSGKSISELVKEATGGLGVDYCFECTGVSSLLSEAIESTKVGLGTAVLIGTGTDPIGHFNIVPFLCGRTLKGTVLGNVRVQSDLPTIINKSVNKEIDLEELLTHEVSLDEINEALEITKQPDCVKVLIKF >KZM92581 pep chromosome:ASM162521v1:6:34552893:34553333:1 gene:DCAR_020054 transcript:KZM92581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRVLNKGKEMYVNSIMEVAQKPRSVSNRGLSKSSNLYLEQLIKEEQVKKLKELMERNNFSKSKSLRGVSSKSYRSAAMGKIEEEEEVEEIRSSNGVKKIEGLCLRSKSYGFR >KZM91640 pep chromosome:ASM162521v1:6:26731559:26733115:-1 gene:DCAR_020995 transcript:KZM91640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFRFFGLLLFRTPFAKHTPRFIIPPKFRFPLRCINLGNISHECDTTSSTDHEALKIQTILKTKQNTNVYDIERALNQCQLILSEDLVLNVLRRHRSDWKPAYAFFTWVSRGQDGSDYSPGTGAHNEILDILGKMKRFEELHQVLDEMSKRKKGLVNEVTYRIVINRYAAAHKVDEATEFFYRRVQFGLQLDLIAFQTLLLALCRYKHVEAAEFLFHSKYKEFRMDIKTRNIILNGWCVLGSLREAKRFWNDIITSKCKPDKFTYGIFINSLTKAGKLSTAVKLFRAMWEKGCDPDVAICNCIIDGLCFKKRIPEAIAIFKEMNDRDCLPDVVTYNSLIKHICKIDRMEKVYEFLDDMEQKGGSCSPNARTYGYLLSSAKKPEDVPPILKRMERYGCKMTSDVYNLLLRLFMEWGNEERVKSTWVEMERSGLGPDQRSYTIMVHGLFENGKMEDALQYFNQMTLKGLVPEPRTKLLIKAIHIKLQDKKNESGDTGDVMDKVSLLIASRKDRKKYRKAR >KZM90085 pep chromosome:ASM162521v1:6:10442472:10442942:1 gene:DCAR_022550 transcript:KZM90085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSEKDSKPTPFKHKFPSSTSPPIVEHVVYKSSTSSLSTMKRMDGKPPAGIGTKGTVGSLVLQEIEYFRQLEMKCREDLRKKPEPKVVGVVSTISFSKPNPGARATMPKKKKKGSSRIIPSMCSMVDVVEKKNQSNLRSRFSYRSLEADVKTLQF >KZM90642 pep chromosome:ASM162521v1:6:17742184:17744006:-1 gene:DCAR_021993 transcript:KZM90642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDSTHNSTPPPSPAKDIFILSGQSNMAGRGGVVNKHWDGVVPPQCSPHPSIHRFSADLNWVPASEPLHADIDSKKVCGVGPGMSFANAVKDVVGEVGLVPCAVGGTAIAEWARGCHLYENMIKRAKKAVESGDGRIRAMLWYQGESDTLSKHCVDAYKVKMEKLIDNVRQDLALPHLPIIQVAIASGEHKYMEKIREIQKAIDLENVVCVDAKGLELKEDNLHLTTEACVELGQMLAHAYLTHFGSNPKDPEILLSVTTKICCREDPKRPKWLL >KZM91059 pep chromosome:ASM162521v1:6:21741981:21744624:1 gene:DCAR_021576 transcript:KZM91059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDTLKYLAGSAGPSGYGSKSTADQVTEDFASCFLPCSRNLTAIITGATSGIGEETARILAKRGVKIVMPARDLKKASEVKEKIQKESPEAEIILMEIDLSSFSSIKRFCTEFLALRLPLNILINNAGKFSNKLEFSEDKIEMTFATNYLGHFLLTELLMEKMVETAAEKGIQGRIVNVSSVVHGWVKREHFCFNQMLQPKKYNSTRAYAQSKLANILHAKEVSRQLMARKANVTANAVHPGIVKTGIIRDHKGCFTDSLFFVASKFLKSTSQVNTLHACLINPTNVSCKAKHYSYRSLLKGASTTCYAALSPQMEGVSGKYLTDCNETHCSGLADDESEAQKLWMQSCALIQRRLQR >KZM90632 pep chromosome:ASM162521v1:6:17644912:17645847:1 gene:DCAR_022003 transcript:KZM90632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSTKLILFHPSFTKQPGSPTAIHHRRWWLSFISFLTFIFTLTFLTSKSLLTSTPPSPAADPLPPPVLDALLHYTTAKTNSSMTLQELTAVANVLKSCPSPCNFLVFGLTHETLLWKSLNANGRTIFVDESAYFVGKLEEKHPYIEAYDVVYTTKVNELYDLLDYTKEQIKKDCRPVQNLLFSDCKLAINDLPNHIYEMGWDVILVDGPRGFFPVAPGRMSAIFTAGVLARSKKGACGKTHVFVHEMDRQVEKVCSEEFLCKENLVESVDLLGHFVVKNKVVNSQEFCSTSFSSSKSRSRSSKSFYKGY >KZM91293 pep chromosome:ASM162521v1:6:23885717:23886642:1 gene:DCAR_021342 transcript:KZM91293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGGVTVDNSLIYDKETQLTVHKTCLFFDGDGFTVYDSSGDLVYRVESYAISAREHAEIVLMDPLGRCLLTVRRKRPSLHQRWEGFLGERKSGQKAIFSVRRSSMIGRSSVTVEVYNSTGDEYEIEGSIAQRCCTIFDGAKTPMAEIKRKVDCTSETVLGKEVFSLFLKPGFDAAFAMALVLVLDQINADDRSDDDSGSGSGED >KZM90397 pep chromosome:ASM162521v1:6:15095815:15097492:1 gene:DCAR_022238 transcript:KZM90397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGVKKLAAVMKPCASNKRKGKDKVQEGGDDYNPEDESEDTSVETTEDKRSKKAKKNASAPGPRTRSRRNATVVIGDGLTSAADENDNEPIRTTTTVEKEAPEKDVTSSLRVNRIRPTCSKKLKQSTEFEAAGSLPAYLALRERQKQGLADIIEHDVPEPNKEDIRAETSGAEAAPRKPRGRSKLEKIHGRTADRRPVITLNERGQPVSSDGKVVAELSRFLGTVVKDNVSLTHINWRVVPDQLKNKMWEYTRERYIIPDEGKKWVNTTLNDSWRVYKSRVKKKYYSRFESDKERLENKPEDIPLEDFKQLLNYWADEEVQVL >KZM90576 pep chromosome:ASM162521v1:6:17018624:17020864:1 gene:DCAR_022059 transcript:KZM90576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLKPIPSSSPPPAVLVNRAIAILKRHHPLDINSLSSHFTPQITSTLLLQSQFNQPLILKILKWVRPHPFFDLNCKCITLHILTRFKLYKSAQTLAENVAVDAASSGDKGSLVFQCLKDSYQASNSSSSVFDLMVKTYSHLNMIDAALNTIVLAKCNGFMPSVLSYNWVLDSVISSHKTMELAEGLYKDMVESGVQPNVFTYNILIRGFCAVREFDRGLEFYAEMERNGCLPNVVTYNTLIHAYCKIGMIDEAYEYMKLLSVKNLEPNLISYNVIINGLCREGQMEKTSEILEEMSQKGLFPDEVTYNTLVNGYCKNGDFHQALVLHAKMIRNGLSPNVITYTSLISSMCKARNLHRAREFFDQMIVRGLRPNERTYTTLVDGFCQQGCLDEAYRVLNEMSRSGFSPSIVTYNALINGHCLSGRIEDAFKVLEDMTEKKLVPDVVSYSTLISAFCRNQDLERAFEINRMMVEKGVLPDTITYSSLIKGLCEQRRLGEACDLFQDMIGKGLSPDECTYTTLINGHCTEGDVNTALHLHDEMIKKGLLPDVVTYSVLINGLSKQARTKEAKQLLFKLYYQESVPVDVTYNTLIESSSNIEVKSVIALIKGFCMKGLMKEADRVFDSMLQRDRRPSAAVYNIMIHGHCRGGNLQKAYNMYQEMMHDGFIAHTATIIALAKELRKDGLTDELNQVIENTLRSCQLTDAELAKVLVQINNKEGNTDAAFDVLNEMARDGLLPNSGKSTRA >KZM90600 pep chromosome:ASM162521v1:6:17255705:17256733:1 gene:DCAR_022035 transcript:KZM90600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAHARAAEVKSAANSTTKRRKLDAGELVQSSSSSFDNLSKCSCLINSPENSKSPVRNSASSDQSPADMPCSHELCDNSSRSAHLQVENSKANNSTFMNRRELRLDAMETTTKRTTKSKASSAQQPSMAEIEEFFSVAEKYEAKRFAEKYNFDIVKDVPLEGKYQWVRIKQ >KZM89545 pep chromosome:ASM162521v1:6:1844864:1845175:1 gene:DCAR_023092 transcript:KZM89545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLKVLISKTLEERENLLQAFSGLQVDPSSENGHQGALQPCHEVNAEGDEVKEKLFIVENPHSEIVSDINQVSYISDEDYFSYTAASEDSVGGGYGDTLSCN >KZM90989 pep chromosome:ASM162521v1:6:21140621:21142716:-1 gene:DCAR_021646 transcript:KZM90989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVASLGLVCPVKLSQKPRYSPSLTRHSSSSVKMMSVSVDQDKKTFTLDKSKQAFEAAKELMPGGVNSPVRAFKSVGGQPIVIDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDEVLKALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTGRQKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATYDTLTSPYNDIQTVISLFEEHKGEIAAIILEPVVGNSGFITPTVDFLNALRKITKENDTLLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLKQAGNYEYLDKITSELINGILEAGKNAGHAMCGGYISGMFGFFFTEGPVYNFDDAKKSDTAKFAKFYRGMLEEGVYFAPSQFEAGFTSLAHSSEDIQRTIAAADKVLRQL >KZM90976 pep chromosome:ASM162521v1:6:20950835:20956690:1 gene:DCAR_021659 transcript:KZM90976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADERGAKKSKVEEASDHIDQQLLASIENLQELQDQLDKVNEEASDKVLEIEQKYNEIKRPVYARRSEIIKRIPDFWLTAFQSHPALGELLIEEDQKVFKYLDSIEVEDFKDVKLGYSITFNFKANPYFEDTKLTKTFAFSDEGTTKITGTAIRSLNMKEIANGDAHEKKENKRLPVDESFFTWFRETQQKDTVEGFHDEVAEVIKEELWPNPLKYFNNEADEEESDGDEDDDEEDGDEDDDDEEGDEEDDS >KZM91299 pep chromosome:ASM162521v1:6:23941397:23944199:-1 gene:DCAR_021336 transcript:KZM91299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVNSACIRSDSNHKVTSSVGEPLKGHRSPARIVRKSSRSDDFWNSSTLDKDNSGAQSGESYSTANETLEVGGSGNGNIPSEFVNHGKQQWLRNKKSENHGSQLHKPRSNPLSWSATYDSLLTSNKRFTKPIPLAMMVDFLVDIWDQEGMYD >KZM90851 pep chromosome:ASM162521v1:6:19800086:19801963:1 gene:DCAR_021784 transcript:KZM90851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLRKHTSIIYPKHLIYDVLHSHFSPAERQTTVRRRFTGPEKQQLLHGSSLPLSGLLHSPHYCTFRAPYCQISCILSHPPSLDILQETRLLSDTALFDCNVGVKGSFGLFSFVGLRKDLIFRPRGFCSLEGYEDPSDSEEECESEKVEKSEADLREVERVCKVIEELFALDRNMEAVLDECGITLSHGLVVDVLERFKHARKPAFRFFCWAGNQEGYVHDSRTYNMMMRILGKTRQFETMVSLLEEMGEKGVLNMETFQIAIQAFAASQERRKAVAMFELMKKYKFKVDVDTINCLLDALGRAKLGKEAQLLFGRVWNEMVDKGIKPDVVAHNTMLEGLLRDKKVSDAIKLFGVMKTKGPAPNVRSYTIMIRDLCKQKKMDRAVEYFEEMLDHGCEPDAAVYTCLITGFGNMKKMDKVFGLLKEMKEKGCPPDGQMYNALIKLMTNRKMPDDAVRIYKKMVQSGIQPTIHTYNMMMKSFFQTRNFDMGFAAWEEMNQKGCCPDDNSYIVLIGGLIRQGRSVEACKYLEEMIEKGMKAPQIDYNKFAADFSRSGKPHILEELAQKMRFSGKLEVSDFFSRCAEMNKGGIKSRVF >KZM92648 pep chromosome:ASM162521v1:6:35119219:35123235:-1 gene:DCAR_019987 transcript:KZM92648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLDSFFNKGFKAPRCKTLLKLTIPRIKLLRNRREIQLKQMRRDIAKLLETGQEATARIRVEHIIREEKMMAAQEIIELFCELISVRLPIIESQRECPLDLKEAISSICFAAPRCADLPELIQVQMLFAGKYGKEFVVAATELMPECGVNRQLIELLSVRAPAPDVKLKLLKDIAEEHELDWDPTASESELLKPHEDLLNGPTQFVSETKVPLPEEKKDDDVDDTGSEQVPHYASDSDAGFDTSDFPEVPTASLRPSKGTGTAPEMLPFPASALSGLDPESTNSSGDEESYSHDLKAELEEMLRDKPESEDFKPPSTLVDEDKQFLPFISPPPPSSVSLPPPSSSTALEREINPPPSVLRTSTDDVDLLDVLAAAQAAASSAEHAAAAARSAASLAEFRINEIVSKRNVGGSQITGDNPFHSGSSVSDTGKKQALDHENSFTDSDISNSLHSRLHLGDHPELETTKYPEYDNSELNSNPSISENLNLGQYTPPHQPKRVDSLEDESYLSYPNLFNRGPNSVSREPSFDDKFDSAGKH >KZM91686 pep chromosome:ASM162521v1:6:27081888:27083404:-1 gene:DCAR_020949 transcript:KZM91686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNITRLLGNNRAYSHGTAYVFNSRTTTMESTSFSVLSKMGFRGEKCAVGGEGENKPAMALNASVALAGRASTAKTQTIEEVKLAFILANVSALVFHGLKAIASRPRTWRTHIQTLIERVVINSRFFTMLAVAGTLLGSVLCFLEGCFIIIESYLQYLHALSHGSGSDHGHHMVQLLIEAMDMYLVGTAMLIFGTGLHVMFVGTNFKGKGSTVLPESNFFGLFHLQKLPTWIGMKSIAQAKTKIGHALMMILQVGVMEKFKSIPLITGLDLACFAGALFISSASIFLLSRLTTSVASNAASNKNVAQESTSL >KZM90918 pep chromosome:ASM162521v1:6:20404933:20405475:1 gene:DCAR_021717 transcript:KZM90918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGTYAHQVQVHPQQTATQPGGVKSLLPKNSPSTSQVLAVVTLLPVGGTLLFLAGITLVGTLIGLAVATPLFLLFSPVLVPAALTIGLAVTGFLGSGAFGLTGLSSLSWVLSYFRQASQRVPDQIELAKKRAQEMAAYAGQKTKEVGDTIQSKAAQAQDTTATTGRDTRSTARDTSRT >KZM90052 pep chromosome:ASM162521v1:6:10036920:10038278:1 gene:DCAR_022583 transcript:KZM90052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTRGPIIGRGSSAAVYLATKCSGDIFAVKSTELASSSFLQREQNFLAQMNSPHIVKYLGVDVKFEDDKAMYNIFMEYLSGGTLSEVIKKRGGSLDESMIRVYTNQILQGLDYLHSNDIAHCDIKGQNILLGKDGVKIADLGCARLLKDGVAATSAFSGTPVFMAPEVARGEEQGFPADIWSLGCTIIEMVTGTNPWPELDHPLSAIHKIGFSGEVPEFPNRLSDNGKDFVSKCLKSNAKERWTAKQLLSHPFLNELCFDFEKVEKFDKNSPTGVLDLGFWDSVQVSEPSVKSSQFIYASDHLAKERIRGLVASKVLPEWDNDDDWVTVRIIPAENNAQVLQQNSDSSTNSIHMELVDVNSLNTEESESSIDIQDFLLLEPSDVGMIGNFDTCLSTDSELSSVSQVSNFERRMNENIFLLIMNVVPAVHMHFTFSFAAVSLSLLFSCLNFC >KZM89821 pep chromosome:ASM162521v1:6:5436073:5440213:1 gene:DCAR_022816 transcript:KZM89821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDVEMKEVPAPAPSNSVTAATPSTLQHLKEIASLIESGAYAREVRRILRAVRLTIALRKKLNASVVNAFLNFSLVPGSEVHARLASYLPKEDEHDMEVDTAMSATTALAKHSLPELEIYCYLLVLIFLIDQKKYSEAKACSSASIARVKNLNRRTVEVLASRLYFYYSLSYELTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRAVADKFASTFTADRTHNLIVRLRHNVIRTGLRNISISYSRISLVDVARKLRLDSPNPVADAESIVSKAIRDGAIDATIDHANGWMVSKETGDIYSTNEPQAAFNSRIAFCLNMHNEAVRALRFPANSHKDKESAEKRRERQQQEQELAKHIAEEDDDEF >KZM90271 pep chromosome:ASM162521v1:6:13748917:13755119:1 gene:DCAR_022364 transcript:KZM90271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYMEEFLRKNFDVEHKNPSEEALQRWRSAVWLVKNPRRRFRMVADLAKRAEAGRKRQSVQEKVRIALYVQKAALQFIDAVSVKPDYKPSDEVRKAGFDIDPEELERINQSHDIKNLDTHQGIKGFASKLKVSLNEGVVSSNVPKRQEIYGYNRVKEKPMKPFWMFVWEALQDMTLIILMVCAVISVGVGIATEGWPQGMYDGVGIILCILLVVMVTATSDYKQSLQFKDLDREKKNIMIQVTRDSSRQKVSIYDLVVGDIVHLSIGDQVPADGLFISGYSLTIDESSLSGESEPVHVDEKKPFLLSGTKVQDGSGKMLVTAVGMRTEWGRLMVTLSDGGDDETPLQVKLNGVATIIGKIGLAFAVLTFLVMTTRFIVVKALDNEISRWSVSDALTLLNFFAIAVTILVVAVPEGLPLAVTLSLAFAMQKLMNDKALVRHLSACETMGSASCICTDKTGTLTTNHMEVTKIWICNEAKGIGGGDNTGSALKNSISENLLSTLLHSIFHNTNSEVVKDKNGKINIMGSPTESALLEFALLFRGDYMSQCQKLNIVKVEPFNSVKKKMSVVVALPGGGHRAFCKGASEIILGMCDKIVNKDGESEHLSEEQRKNITDVINGFASEALRTLCLAFQDMRTSRSNEDHIPESNYTLIAVVGIKDPVRPGVREAVRTCLRAGIKVRMVTGDNIHTAKAIARECGILTDDGVAIEGPNFRDKSVQELEDIIPKLQVMARSLPLDKHKLVKLLRNEFQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENSDIVVMDDNFKTIVTVAKWGRSVYINIQKFVQFQLTVNVVALMTNFVSACVSGSAPLTAVQLLWVNMIMDTLGALALATEPPTDELMQRPPVSRNTNFITHIMWRNIIGQSIYQLIVLGILQFSGKNLFHLHGSDSVSVLNTLIFNTFVFCQVFNEINSRDMEKINIFRGMFDSWVFMMVMVSTVTFQVIIVEFLGTFAETVALSKELWIASVAMGAISLPIGALLKLIPVSSHKHPQQHDGYEQLPSGPDLA >KZM90216 pep chromosome:ASM162521v1:6:12682958:12686194:-1 gene:DCAR_022419 transcript:KZM90216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRSFKVSGDVSDVTNERRPILSGHQSEVILKIEDNATGNDDDVSGVVAEESSSFQFCTDAVKDESEVSLGVNSKVGKLEPFQSVSGPPKCEIEVRESKVSFKAQIEVSSSDDDLDPDDDLVDDRLRNRLSNVSHKNGASNKGVKGKSILRTKGRLADQPVSRRRSGQGSNAGQRKSGEGSGKASEEDDDEAFNDDDVPLHLKKLNFNTLAKIECTSLVLIVTFLMCTLGISKWKRKKYHGLHIWKWEVLLLVLICGRLVSDWVIRFVVFCIERNFMLRKRVVYFVYALRKSVQNCVWLSLVLVAWNELFDKKVMVARNRFLRFVDRFLWCLLAATLIWVVKTLLIKVFASSFHVKTFFDRIQDTLFNQYVIEMLTGPPMIEIHDKIEEEGNLMVEISKFEDADTVLPPDLRAAAFRTVACGENELVKQYSGITPNKKDDVGVFSKKQDEDKFLVSQLHKLNPKNISAWNMKRLIKLVRYRALTTLDEHLQGVPTNIQDPSTNQICSEYEAKVAARKIFHNVARRKSKSKPTDASFDILVYDRYIHMQDLLRFLGENEAERAMEIIEGIPASNKISKRDLRNWVVNAFRERKALAFSLNDTKTAVNKLHNMVNVLVSIIIVIIGLVILGVTSRQLLVAVSSQLVLVTFIFGNTCKTIFEALIFLFVMHPFDVGDRCEIGGVQMIVEEMNILTTVFLRFDSQKIIYPNSTLSTMPIHNLYRSPDMDESIDFFFHIATPVEKVNVMKQRIASYIDNKKDHWYSNPPIVTRDMVELNKVQMSLWPTHKMNHQNAVERYERRGLLVEEIIRIVKEMDIDNLFRPIDINIRNMPTVKSSRTNLDY >KZM90320 pep chromosome:ASM162521v1:6:14153145:14156248:-1 gene:DCAR_022315 transcript:KZM90320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRLASDGELQILVGSDTIKDQSYIDPVVMSPPLDDKSQSCSSRKYFIWTIGIVLAAGLIVGFIILLIKTLPHHHHRPQSLPDNVTLALDRAMLQSNKYTIALSKALMFFNAQKSGKLPKNTNVSWRGNSGLDDGKSDPSSIGRDLVGGYYDAGDAIKFNFPQSFAMTMLSWSVIEYSAKYEAAGELNHIKDVIKWGTDYLLKTFNSSADTIDRLVIQVGSGDTAGGSIPNDHYCWMRPEDINYEQPVAECHRCSDLAAEMAAALAAASIVFKDNKAYSRKLVHGAKALFKFSRHQRGKYSTGTEAAVFYDSSSYWDEFVWGGAWMYYATGNSSYLSLATNMKMAAHAGAFREGPGSGVLSWDNKLPGAQLLLTRLRLFLAPGYPFEEGLRTFHNQTCMYMCSFLPSFKRFNRTHGGLIQLNNGKPQPLQFVVNAAFLATLFGDYLDATDTPGWYCGPNFYPISVLRTFAKTQIDYVLGKNPRKMSYLVGFGSHYPKQVHHRGASIPNNKVKYSCKGGWKWRDSSKPNPNTIVGAMVAGPDRHDGFHDKRTNYNYTEPTIAGNAGLVAALVALSAGKNTQIDKNTMFSAVTPMFPLPPPPPAALKR >KZM92504 pep chromosome:ASM162521v1:6:33909461:33910538:-1 gene:DCAR_020131 transcript:KZM92504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTNINSSAAENQGGVSGTQQETSGDTQIRIFESSSNTISRADLKDSMRSLLAPWGFDLDQLMKESTDPAPPEVKKPKPAGEKLKCAICLCAFVAPIAIKVCGHVFCGACLGEAFSKKQECPKCRAPVAKRHLLRIHF >KZM91746 pep chromosome:ASM162521v1:6:27511245:27518593:-1 gene:DCAR_020889 transcript:KZM91746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREASALITSPNSDDESSLSFTYALAKEAAVLFHSGNFSDCLQLLLQLLHYKPTDPKVLHNIAVVENIEDGFSNPKKFLEVLNDVKVGITMQKRSEELASAPVENLEDVSNAESNVVAVSNGSSILVPESSAAHSSKIVQFAEFSTHVASFNIAVTWFNLCEYSKSFSILEPVYRKVAPISEGIALRVCLLLLDVALLSHDALRSAEVIDYVEKISCVTGMMNQGENVSHAQLQPQSLVTRPSSVPNSTPNSEILSGDSATNTSEISLSRSLSEEAEYENLFSTLDMSGQNLSRPSVLHSLNDISRTQVDDSLPVTDLRLKLHLYKVRFLLLTRNPKAAKREVKMAMNIARGNNSMVLFLKAQLEYARGNHPKAIKLLMASSNRTEIGTSIMFYNNLGCIHFQLGKYQTSALYFSKALSTSSAMRKEKPHCGTSFSADKYLRIAYNCGMQYLACGKPILAARCFHKASLISYNRPLLWLRIAECCLMALEKGLLYSDGDLSSRSEVKVRVVGKGKWRHLVIEDRELRSSQAGFIGREDSLIRSDKQPKLSMSLARQCLLNALQLLNSSESLHLSSGLPSDLAIDEKAFSKSTNYKGVVGGDSQAHNMAVGSGQFANGELKEPKGASILNATLQNSVSDHEDICRKEIQIIRQSVLADLAYVELELGNPLKALSTARTLLKFTECSKIYLFLANVFAAEALCLLNRPKEAAEHLMIYLTSGNIVEHPFTQEDYEFWRVDKNVKCEESNGGSMDANNPSAGDGQVFALNSEEARGTLYADLATISARQGDLEQASRFSSLALSTIPNSPEAMLTATYLDLMWGKPHEAVKKFKNFSRVSFLDGSFAVKGSL >KZM91639 pep chromosome:ASM162521v1:6:26728961:26730197:1 gene:DCAR_020996 transcript:KZM91639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFYHNLQSNADAQLPPGFRFHPTDEELITYYLLNKVLDHNFTCRAIAQVDLNKCEPWHLPERAKMGEKDWYFYSLRDRKYPTGLRTNRATEAGYWKATGKDREIYNSKTSSLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLDGKLAYHYLSTNSKDEWVISRLMKKSGTAGPGDKTPTYGMYSEMSSSSSASLPPLLDSTPFTAATTDHHRVIDYSYLEKEHVSCFSRSTAAPGGFNYHTTLFDCGLPPPLMVDHTSSSTSSSSQFHENNTNGGEKLHLPSFFFPSITPSPIHGGVGSIYASDTGNYSVLEAQKPGLTELDCIWRGSFN >KZM89572 pep chromosome:ASM162521v1:6:2211901:2213731:-1 gene:DCAR_023065 transcript:KZM89572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQDGAINSQVVDARARNISHNVRCTECGSQSIEDSQADIAVLLRKLIRDEIKAGKSDKDIYKKLEEDYGETVLYAPKFDMQTAALWLSPLIVAGAAGGIWAYNKHRQRTNVHIMALNLVRGVSLTPKEKQTMLELLAPTDLNGATPSLPWWRRWLRQ >KZM91661 pep chromosome:ASM162521v1:6:26891480:26897351:1 gene:DCAR_020974 transcript:KZM91661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSTLTITTHLTLLTLLTAATSYLFYKSRRLKLLKLQSLNPNFSPRKPKLIYVSQTGTCKTLAHRLHALLASSNLLFDLVDSKDYEPEELHKESVVLVVVSTWENGSAPSSGRFLADWLSESVSDFRVGNLLLSGVKFAVFGVGSRMYDETYNVVGREFSKGMRALGGAEVLGFCEGDVDGELDEVFDAWSRDVVGVLKGGVEGNGGFVCDASDDEVVDEDEDDYDEEEDVEGGEEGIVDLEDLAGRGPSRNFVVEVKKVNGKVNGEKEMVTPVIRASLVKQGYKIIGSHSGVKLCRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLDIVNTAIDLHTKMIKQMKGVPGVKAERLLEGLSPRHCALSLVGEPIMYPEINSLVDELHQRRISTFLVTNAQFPEKIKALRPITQLYVSVDAATKESLKAVDRPLFGDFWERFLDSLKSLREKKQRTVYRLTLVKGWNTEEIDAYYSLFDVGDPDFIEIKGVTYCGSSATSKLTMENVPWHADVKSFSEALAEKSKGVYEVACEHVHSCCVLLAKVDKFKINGQWYTWIDYEKFHDLVAAGKPFDSKDYMAPTPSWAAYGAEEGGFDPKQIRFKKERHHKAK >KZM91945 pep chromosome:ASM162521v1:6:29244395:29249042:1 gene:DCAR_020690 transcript:KZM91945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMHSVYFKEHEGVQDSIGHLSSASAPWWTGLGTQLPYADTGSQLRSPSVDNNFNGDQYTATKFGEQCMEQGSEKGNTSHFTIFPGSSLSGHSKSPANGPKLLPSQAAFSMQATSPDYHGHFELGFVPPVISAKYPYGEQFYGVFSTYGPEITGRVMLPLNLTTDDGPVFVNAKQYHGIIRRRKSRAKAELQQKLTKNRKPYLHLSRHLHAMRRPRGCGGRFLNTKEKCKEGNNSKMTGMGQLCQSTGSQSSDVLQSDSSLSPREAIHIRSNLSGSEATSMFSMADLNNFPINNMQASILSLSSMMNTGHGIVMPSKWIAAADNCRNLKM >KZM92143 pep chromosome:ASM162521v1:6:30901809:30903786:1 gene:DCAR_020492 transcript:KZM92143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPLWNQKASQRAEGLTLWDYHVICVEKKEGDSPLLVWDLDSTLQFPCPLASYVSEAIKPSIQLFSEFQRIVHAPIFLRSFASDRRHMKDSEGNWMYEPPEHDPIVAEDGTVHNLNEYMTMSAADTVKAIEPQLVNAVRSQKLGVLIGETQLEEFFSLLS >KZM90498 pep chromosome:ASM162521v1:6:16318669:16325447:-1 gene:DCAR_022137 transcript:KZM90498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGKKRILVLSVSSLLLVAIVVGVVVCVVNTKEEKTTEVSTSTKAIKTLCESVDYQDTCVEGLSSKEYNQTDDPKELVKIGFAVAMKQVKSALNKSSTLQELEQDPRSKEALKTCSELADSSVRDLERSFEKFSDLDIAELNAILADLKVWISGAMTSEQTCLDGFQNTTGEAGDKMKEALKVGMQMTTNALAMVSEIATAFEQINNNQQAATQRRLLSFSDWFDPAIRLLIQDPPKKFEANVDVAQDGSGKYKTINEALKEIPKSGNKTFVIHIKEGVYEEKVEIFRNMTNVLMIGDGPNKTRITGKLNVIDGTPTYKSATVAIGGDNFIAKDIGFENSAGSEKEQAVALRVSADKTIFYNCWMDGYQDTLYTHTYRQFYRDCSISGTIDFVFGDAAAVFQNCTFLVRKPLENQRNIVTAQGRKNVRQPTGLVLQNCTITADSSLQADKSKFETFLARPWKEYSRTVIMESFIDDVIKPEGYLPWNETFALETLFYTEYNNRGPSSSKDKRVKWPGIKELTTERIKRFTAASFIEGDTWIARTKVPYASGLIQPPPQDEPAASPISPEEDVDFNRTESKTQSELLAVLKTPPSSPQSSGPPPPPSSSSGSPSPSPSSGPGSSPAAAPAFDDSPGPGPSNSGPVSAPELDPFDFGTVFDSQPSPPPPASSNSSSASKGGSPSPSPASSSASNATHGSASSPSSSSTSNATHGSASSPGSSPTSNNTHGSASSPGSSPASNTTHGSASSPGSSLASNTTHGSASSPGSSPASNATHGPAASSPSSSTHDSTSSHASGPSSASTHAPASSPGSDYISVVRMAILPGIVIMRAVRIRDVLLR >KZM90635 pep chromosome:ASM162521v1:6:17673127:17676084:1 gene:DCAR_022000 transcript:KZM90635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVSGIHGQLLEVTIVGCSRLKDTEWFSRQDPYVCVEYGSTKFSTRTCTDGGKNPTFQEKFVFTLIEGLRELTVVVWNSNTVTFDDLIGSGRIQLSKVLSQGYDDGSYSIQSKTGRYSGEVRVIMHFSNVSKPAKNHASSAPYAGSPVPPPSGYGYPPPASSGYGYPPPAAAAPYPAPASGYPPSPAYPAYPQNAAPYPPTPFPPPHSAAYPPTPFPPPAGYPPSQYPPPAGYPPSPFPPAQPSNQYPPAPYPGSYPPQY >KZM89688 pep chromosome:ASM162521v1:6:3387057:3392781:-1 gene:DCAR_022949 transcript:KZM89688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLNSPTIMLTLISTCLVVVHARGSYPPITYDARSLIINGSRELLFSGSIHYPRTQPEMWSDLIHKAKLGGINVIQTYIFWNIHEPIQNLFHFEGNADIVKFFKTINEHGLWASLRVGPYVAAEWNQGGFPYWLREVPDIMFRSYNDPFMFHMKRFCEMTINIMRKENLFLPQGGPIILLQIENEYGSIQATYREDGVKYVKWAAQMAVSLYNEVPWVMCKQPNAPPEVIQTCNGRHCADTFVGPNGPNKPMMWTENWTAQYRAFGDPPSQRSAEDIAYSVINFFARGGSFVSYYMYYGGTNYGRISSSFVTTRYYDEAPLDEFGFFREPKFGHLRDVHRALKLSKKALLWGERKIQTLNHHVKTIVYEKPGDVSMCAAFIINNKTKIPTTVNFRGVDVYLPAKSISVLPDCKTVVFNTETVTAQHSARNFVTVNTDKNLNWEFYQEPIPTFETLPIKNVIPIELYFLTKDVSDYAWYSTSVSFDRRDLPMRPDVLPIMLVENNGHAMVAFVNGELVGFAHGKLDEKKFTLEKPINLRPGINHISLLCMTLGIQNSGAHMENRWTGPDALFIKGLNTGTLDLTRNNWGHQVGVSGEKLQLFKEEGTKKVKWTPDRGLGTPATWYKAYFDTPPGNDPLAITMDSMKKGHCWINGKSIGRYWASFLSPLGKPSQSEYHIPRAFLNQKKNLVVVFEEVGGIPHDITILTVNRDTICSLLSEITPPSVRSWERKDNQLRPVVEDMKVGARLICPDGKVMEKVEFASFGDPIGACGMFSQGKCHATNSHKVVEERCLGKITCTIPLVRDVFVDKDKDTCLETFKALAVQVKCGFGVARK >KZM91009 pep chromosome:ASM162521v1:6:21341231:21349321:1 gene:DCAR_021626 transcript:KZM91009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRGRIVSREFWYGDPDCLVSTAQILLAFEILFWAGRGEEKMAGSQGGSSRKSSMSLSSSSPMSRKKTSENGGPDSARRFNASRSIGQLTGERTVKRLRLSKALTVPDSTSVHEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIAREISLEDTPVSKVMTRNPVFVLSDTLAVEALQKMVHGKFRHLPVVEKGEVIALLDIAKCLHDAISRMERAAEKGKAIAAAVEGVEKHWGTPISGPNTFIETLREKMFRPSLSTIINENPKIITVSPTDTVVMATKQMLECRTGSAVMTPNPQCATVDTPIVDALHIMHDGKFLHLPIVDRDGIVVAVTDVLHITQAAVATVGNAAGVNNETASSMMQKFWDSAMALSPVDDDDETRSENSLKLLSEATGTDIGRFPSNMPTAFAFKIQDRKGRMHRFNCDTRSLTELLTAIIQRMGNDIDRDHLPQILYEDEDNDKVVLASDSDLVAAVDHAKSAGWKGLKLHLDYSETPRRRRGSDSGDMDYAQADAWASAYKGVAAGAALVAGLGFFAFLRKAGN >KZM92442 pep chromosome:ASM162521v1:6:33405047:33408108:-1 gene:DCAR_020193 transcript:KZM92442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELETNHNASVLNNSSPKNNSRLGPHSGLPKYPTSGAVSSSSLHLTVPRKKTGLLDDVRLSGWLDAMKSSSPTQLEPSRDSATELTDKEIAYRNWMLKYPSALASFDKITTYAKDKKVVLFLDYDGTLSPIVDNPDQAFMSNNVLEFVGLTELYYAGSHGMDIMGPVSANTDGHTNCVRSTEKQGKEDNLYQPASEFLPMIDEIRKSLVEITKDIEGAKVEDNRFCVSVHYRNVEEKSWTTIAQSVHDIMKNYPRLRLSHGRKVLEIRPVLDWDKGKACEFLLESLGYSNCDTVLPIYIGDDRTDEDAFKVLRAGNQGFGIFVSSAPKASNAFYSLRDTSEVLEFLKSLGKWKEASALQL >KZM91607 pep chromosome:ASM162521v1:6:26449661:26451031:1 gene:DCAR_021028 transcript:KZM91607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVNVATVTPKKTRLARAFTKVLHIRALTGITPAGDQHTKEHVQKHKIFDQVNHNTVKTDRCDSFDEDEKLQRAEVIEAFLAKLFSSISSIKASYAEMQFAQSPYDSESIQSADEMVVSELKILSELKQCYFKKQIDDSSPRTTQLMAEIKEQKSLTRTLQIMAKNLDSEHKLKQSELTFVKEKFEEAKSENKLLEKRLNMCGPLSPLDNLHFSVLNPNHFVLVLRQTVKAIRSFVRLMISEMESAGWDLDAAAKSIEPGVVYSRDMHKCFAFESFVCQELFDGFNYPYFSLSHESMSEKNRQQRQFFDRFIAMKSHKMKEYLAWKPKSTFAKFCRTKYLRLVHPKMEASLFGNLSQRNLLTSGDFPETDFFSLFSEMAKKVWLLHCLAFSFKPEASIFQVNKKCRFTDVYMECLNQEAFLSPSGTVDTDPRVAFTVIPGFKIGKTIIQSQVYLN >KZM89771 pep chromosome:ASM162521v1:6:4594982:4601623:-1 gene:DCAR_022866 transcript:KZM89771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKEPSQNELDNQRKKIKEKRQRQEKTKEAVQNNKERQHKKRKYKENTPEKIFYVDRVTIKGAENVERQFPAFKGWTRQALKQRQAAELKAKVFGRGDIIKPRWVQNDKEQGKNVESTAEKEATVSSPTTREQEQETQHDWFDRLSIKASMLGDAIEAYKKEYESAIALMTPDDPDIIEIQLVLNQLIKTLPSTTHASNSEGAPEDGGMQPQNTSNKGGNVDDVDNFGEKFDSDDVIDQNVIDQAEILEYLYSSQGRRDAEALDDEFFIPKFSLGIHDQGDPVLEVCKDINKDHGDQPNTNGDSHPSMFQTPLPQDKMPKRKTKLAAIYLSPYVQRNVDLNAKYSTEEYSTWRWIIQQGKDPLEHVFKCGVQFCIREHMMTFKAKEKLYYSLVDVWATLLNDREKYKAPESPLRIFFDTAFSNVPLNNEKDDKHQYNSFKGLMQHFFEVNPDKKIEECDLIFFPVLADEHYYLLCFNVKNKAFEVFDNIRLGKSAAKIYGKDVQLLNTWNTGFKAEKMGQRAQLERLRVKYSNAILTSHLNEYREIVTMQAKSFYKKIANKTLMSIVLASSSKKPKNTEIHGNVLFPDIETGQEEA >KZM91999 pep chromosome:ASM162521v1:6:29678709:29681389:-1 gene:DCAR_020636 transcript:KZM91999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGLHERLLVPKAQEEKEIELKTKIWQESKNIWRVALPGVISRVGSFGTIVVTQSFIGHVSPLDLASYALVQTILVRFVNGILLGMSSATETLCGQAFGAGQYHMMGIYLQRSWIVDLITLTILLPVFIFGRQLFMLLGQEESIAKSGGYISLWFIPFVYSFVFSLTIQMYLQAQLKNKLIAWISTFQFILHVPLSWLLVSYFDFGVPGAMSALNISSWFLIFGEFIYIFGGWCPETWRGFSKAAFLDIFPVVKLSLSSGLMVCLELWYSAVLVLIAGYMENAEVAISAFSICLNINVWELMICLGFLGAACVRVANELGKGNADAVRFSIKVLIATSLVIGVFFSTICFLFHKEIAQFFTNDKEVADTVSDLSVLLGISVFLNRVAVGAGLQATVAIVNLVCYYLIGVPVGIFLGYVVGLQVKGVWIGMICGVACQSITLCILAWRTDWDVQVQKAKERLNRFYLSSGEERHQSSNHA >KZM90843 pep chromosome:ASM162521v1:6:19750653:19752080:-1 gene:DCAR_021792 transcript:KZM90843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLKTPLFRKLSHSTLSTLTASIFHPPNLSKLADECSSFTQIQQIHAQMIVSARIHDNFAASRLLSFCALSSSGNLSYAIRIFNNTQQPNSFMWNTLIRAQADSSNPIQGFILYMKMQRMGVLPGKHTFPFVLKACSKLRSVKCCVQVHTHVVKYGLDCDLHVLNGLIRGYSVPGDLGGARKVFDDVPVRSLSIWTTMICGYAQNHCADEALVLFDQMVGDEVEPNGATLASVLSACGQSGCLDFGEKVRVYMEERGIEVGVILGTALVNMYAKNGAILLAWKCFDGMLEKNIATWNAMICGLAAHGYAKEAIDLFGELKKEHVVPNDITFVGVLSACCHAGLLDLGREMFELMNKVYGIEPRIEHYGCLVNLLGRGGKLMEAEEVIRRMRCKADVMIWGALLSACKNHGNIEIAERVVKEILALDPHNHGVYVVLSNMHAEVGQWTDVSRLRKVMKEESLNKTPGLSLISGDD >KZM91855 pep chromosome:ASM162521v1:6:28393536:28395400:1 gene:DCAR_020780 transcript:KZM91855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPRKIQDHFEYEENVCNRGGYCNFMHLKKISRELRRQLFGKNRRGRSRSRSRSPQHNRGYEERPHGGGRGYGRRGDYADHRQNDRGRRPRSRSPGRRGGRSRSPSGRRNKSPVREGSAERRAKIEQWNRERDQAETGNKSANNYSNDDRNSDGNGNGNAHNKEQYYEPHQQRQNVPDDGGYSN >KZM89477 pep chromosome:ASM162521v1:6:1097944:1102432:-1 gene:DCAR_023160 transcript:KZM89477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRKKKDVAASGKATDLEHGDAIPAANVSFSRILSVAKPEAKYVIIAIVALLIASTSSLLIPRFGGKIIDIVSRDLQTHKQQSEAWNDVKDTIIEIFLVVVVGSISAAIRAWMFTAVSERVVARLRKNLFSHLVHQEIAFYDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLSTAAIGLGFMFSTSWKLTLLALVVVPVVTVAVRKFGRYLRELSHKTQAAAAVAASIAEESFGAIRTVRSFAKEEYEISRYSEKVNETLKLGLQQAKVVGFFYGGLHASSTLSVIFVVIYGARLTISGSMTPGALTSFILYSLTVGTSVSGLSGLYAVVMKAAGASRRVFQLLDRVPSMKKSGNKCPLGDEDAEVDLEDVWFAYPSRPDHTVLKGITLKLQPGTKLALVGPSGGGKTTIANLIERFYDPTKGKILINGVPIVDILHDHLHRKISIVSQEPVLFNCSIYDNIAYGLEGKTSSIDVENAAKMANAHEFISKFPEGYQTIVGERGIRLSGGQKQRVAITRALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMNGRTVLVIAHRLSTVKTANIVAVVSDGQIVERGTHEELLDKNGIYSALVKRQLQGPKTEV >KZM90914 pep chromosome:ASM162521v1:6:20384712:20385026:-1 gene:DCAR_021721 transcript:KZM90914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKKHQTDEGGDSKKWVIAGIAIRAPLRCISTKPRDGYVEEDEEKRSTTPTAKEARIPKKLKCPPAPRKQPQTASCQFNGVREFFNPPDLESVFIRRVERAN >KZM89813 pep chromosome:ASM162521v1:6:5274987:5275217:-1 gene:DCAR_022824 transcript:KZM89813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELCMCRLLVVKRTAWTENNAGQRFVSCVKGWNGCNYFRWTDPPVCARGRAVISGLLRRIERNEECCYIWFAEKD >KZM91346 pep chromosome:ASM162521v1:6:24357634:24359999:1 gene:DCAR_021289 transcript:KZM91346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDTFVYSAKLAEQAERYDEMVEAMKNVAKLDVELTVEERNLLSVGYKNVIGSRRASWRILSSIEQKEESRGNHQNVNRIKDYRQKVETELTAICNDIMSVIDEHLIPSCTPGESTVFYYKMKGDYYRYLAEFKSGDDRKDVADLSLKAYEAATASADAELASTHPIRLGLALNFSVFYYEIMNSPERACRLAKQAFDEAISELDNLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDGHKDGAGKAVKGEDAEVGSV >KZM89569 pep chromosome:ASM162521v1:6:2192803:2193021:-1 gene:DCAR_023068 transcript:KZM89569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGPKVRIKAVVYSLSPLQQDTLPGMFRDMLGKPWIKTYGHWNSLLVLGIVGGTYQYTQYYKEKEKMAHRY >KZM92521 pep chromosome:ASM162521v1:6:34064386:34066394:-1 gene:DCAR_020114 transcript:KZM92521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPQRISTTDTTPFLSPQDRDGYVHQILSPIPSPTRPIPSFSMSSSSPCRSVFLGIDVGTGSARAGLFDESGKLLGSASSPIQIWKQGDCIEQSSTDIWLAVCSAVKSARSLAKVNANEFPGVVMQEEMLSYGWIIEL >KZM92186 pep chromosome:ASM162521v1:6:31311937:31316580:-1 gene:DCAR_020449 transcript:KZM92186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGQPGPDRVASVSTSPVTVERQFVPDNLIEQTLPPKEEKVVSASSTDTNSVRPPRIVTNPPELMVSSGALATSYQPNAYAPLDQSFYYGAYENGNGNWGEYPNYVNANNLQIMPPAMFNDNPSLYFPSGYSFDTQMAYGQFPPLASPMSPFLLDGQLYSPHQIPMSPNYYPQSISPGLPHVTSSHSMSQTEMVTPVSTGQDSLNDNMLFGPGSGYYLHFGSFPASNPPGNSSLGLYKYPGEFGSGENPAIRSNSSDTGNILSPLTPGAVYTQPVGILGSYDHSLAQASQQQTPFTRRYQNNISSRIPNYGNWDASRFNRFTTDKGGRRDRDSASISADSHGPPNDRNRGPRALRPKIKNNTEEMAAPVITKAGASTSGVNLELYNQPDFVTDYEKAKFFVIKSFSEDNVHKSIKYKVWASTPLGNKKLDAAYQEVKDDGGNYPVFLFFSVNASGQFCGVAEMTGPVDFENDANYWQQDRWSGQFPVRWHIVKDVPNGRFRHILLDNNDNKPVTHSRDSQEVKLEQGLAMLKIFKDHESESSILDDFSYYDGREERSLQEKRTKQPCPDESPVAPIDQLLDHVASTLHLKVNDDKRK >KZM91548 pep chromosome:ASM162521v1:6:25962514:25971846:1 gene:DCAR_021087 transcript:KZM91548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGGGGKVSIPSNMKKTIQNIKEITGSHDDEEIYTMLKECNMDPNETTHKLLFQDTFHEVRRKRDRKKEAGNVNKESSESRWKPGMQGRGTRGGRGNYSSRYTSHDAGGTRTSASVKENGPSRILEKSVGISTPPASKDMKHKVTSVASSATVTTDGSSGIAFESAGVTQAGSRFTGGENNQSVTSADIAKVEGQQPPILLAETQKITTNGFVTVEAPSLVLQSSSNIPTSGTSVSSTGAYFSASDPVLVSSQDSRLLSAVGTIKREIIPEQTFTAPVESKSITAFSNVDSSLEEKTGSTPQMINKNELCESLQATAASHIVPSSNYSSRTAQVIGPQKGVPAKEWKPKPTNFNLGQVSGTVVSADPPAVPVETTKPRPATVHDSKETYSILGKQLEQSRISGSQHVIIPNHIHVPEADKLGFCFGSFDANFKVVTHNSTASEKNKSPIPETSEKTEERAKEQISSQSAMETVEEEEDSKHSASSHVPNNLTTDSDVSSTVVVPESSESKQLTDLPSGGNQYSVVNTSPTYSFGILPTMVASQAAPIESTDSQARDASRLPGFVVQQPYDPNSYYAQFYRTGTDSDTRVSPFQSPGFTAKYTGNVAMLSPQASQSAQEIGNSLILSTAGPTTLVTQAAGVMQSSIAVTQQPLPVFRQPAGVHLPHYPTNYIPYGHYYSPFYVPPPGIHQFLSNGAFPPQPQPGSLYPAPPVATTKYPLSQYKSGNNTGNSTQMGVPGSYVPYGSSPSGFNTTSSATTGNSASNDDLGSSHFKETNVYITGQQSEGSGVWFAPGREISGMQASSFYNLPQAQMGYTAAQAGRGSFASIYHPAQPVTAAAVHPLLQPPQTMAGAVDMAGPTASVYQPSQPATVNWPNNY >KZM89992 pep chromosome:ASM162521v1:6:9293981:9294812:-1 gene:DCAR_022643 transcript:KZM89992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTVSRSSEAYCDIPRYKFEFAQMDEIIQRAEEYNSEQTFALDVIGVVENIQPLQIVNTPRGTVRLIRIILGDGFQSISIHLWGDITNDVLPIHHDVLERPVILILSSVIVRNHSGNVVLMNIPATSVYTHLGVQAVFNMRERLDRDGYEDMLDV >KZM90902 pep chromosome:ASM162521v1:6:20262226:20262970:-1 gene:DCAR_021733 transcript:KZM90902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGFGEASSLSRSSSYSANNAGNGDAGNFECNICFDLAQDPIVTLCGHLFCWPCLYKWLHIHSQCQECPVCKALIEEHKLVPLYGRGKNSTDPRSKSIPGVEIPQRPAGQRPETAPPPDTNHFPQPGFGFMGGLGGFAPAATARFGLIPSLFNINVHGFPGANMYGGAANFPYAYSSPFHGGHAHGFPHRRVTQEEQADFSLKLLCLVVLFFVFVTLLWS >KZM92767 pep chromosome:ASM162521v1:6:36132655:36145598:1 gene:DCAR_019868 transcript:KZM92767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYRYSPSPPPRNYRSSRRRSPSPRGSYGGRSRDGPTSLLVRNLRHDCRPEDLRRPFGEFGPLKDVYLPRDYYSGEPRGFGFVQYVHPADAEEAKYHMDGQVFLGADHMTENHPLNVIEDLIPAVQIIIHRHRGVGNIQGQFRLDVGAIEEGLTPGVLVVLGVEAEPLTSSFLCYCYYILDPRISLYLPQPFLPSSKNLLFYSTAASMLRHGEALDRSSRAVRKLHRDALSLGYVSLFVDHCAEEKQKNVVEEHVSSADNEGDDSNFEDEDYSDSSGDGDEFISDNDIDIESDVDDELQSIRENKKKLLDGQIDPLKEGNLTDMHINNGDYNAPVHPLYLNDQQHVDQHERHEKWCAKQYDEYMGDMPQWNELVPYELDLLGNIFPQFEDNNVLGCERVDKMIEDGELIKKHRRREADEEGGGTKLSKKGILMRCSRCLVIGHNRATCKTSEAEVLENQKKAAEAKKAQSVAAKAHSLRNKQNVRKKTQQTKDGHTRTSANPGQKKKATTSTEPPAQPKKRGRPPKAAPPVQKVSTSAEYIIQHFTAATGCRKLEGSVKNMYVTGKVTMAMVDELGSAGGAAVRQVPTRGCFVIWQMVPKKWQIELVVGRHKVVAGSDGNVAWRRTPWLGSHTAKGGVRPLRRSLQGLDPVATADVFSSAQYMGEKQIHDVDCFVLKLSADDTDLAARSDPTAEMIKHVMFGYFSQKNGLLLYLEDSYLTRIQSPGCHPTYWETTMSTKMEDYRTVEGVRISHSGKSSAIITRFGDNLRGGPVTTRMEETWTIDDLAFNVPGLSMDCFIPPEDIQ >KZM90188 pep chromosome:ASM162521v1:6:12283466:12286303:1 gene:DCAR_022447 transcript:KZM90188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKYAERVGAGAPVYLAAVLEYLAAECNAARDNKKTRVVPRHIQLSVRNDEELSKLLGKVTIANGGVMPNIHAHLLPKKPSSASSRADADD >KZM90217 pep chromosome:ASM162521v1:6:12689371:12697276:-1 gene:DCAR_022418 transcript:KZM90217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEDNESCSSRVVESMGVNSRQERMKLEVYNEVLWRLKDSNHPEAQDSDFDDQLWAHFNRLPTRYALDVNVERAEDVLTHKKLLLEAHDPANRPVFDVRLVQVSPASNGHTDDSIDSSSPKKEVVQSIHPPPAFGSSPNLESLAIDTKKNHAQDRDSATNASTKSSRPMHEITFSADDKPKLLSQLTSLLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPFEETEQLRTTLAKEVLKIESRSWPNQLPLDPLDVNEQSPIKCENDHLTIPSDGTDVWEIDPQQLKFECKVASGSYGDLYKGTYRSQEVAIKVLKVERVNAEMQREFAQEVYIMRKVRHKNVVQFIGACTKPPSLCIVTEYMSGGSVYDYLHKHKGTFKLPSLLKVAIDISKGMNYLHQNNIIHRDLKAANLLMDENEIPYEYLTPLQAAVGVVQKGLRPTIPKNTLPKLAELLERCWQQEPTSRPDFTEIIAILQQIAKELKYLGQVVDDGDDRRKSGGFLSVLRRH >KZM91562 pep chromosome:ASM162521v1:6:26065105:26068517:1 gene:DCAR_021073 transcript:KZM91562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSQSAKRSIDILYAAQKDLRKRGVILASKKSSRTAAEGLLALAQNENKAAVIELNCETDFVARNDIFRCLAASLAKLALLVDNSQQLSGAFPIGPEYFEGLKLDVEHPKLSGERTVQNAITEVAAMMGENVKLRRGYAMSMSSYGVMSTYLHASPQPGLGRIASILSLEVEDQNAPLDAVQRVGSELAMHVVAAKPSFLTKELVSSEAINNEREILKSQAEASGKPPMAIEKMVEGRLRKYFEEVVLMEQKFVVNDSVNVKTLLSNLSKEVGSPVKIGNFLRMEVGEGLQR >KZM90588 pep chromosome:ASM162521v1:6:17094174:17096123:1 gene:DCAR_022047 transcript:KZM90588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETHDACARLGVDKRRLRDFIVILESIGLLKKERKNHYSWKGFGVLPKTFQLLQRGGLGESYTRLEDDEVSQVVEDGRGGKLDNRKENCIELLTQKFVKLFLCSELELISIDEAAILLNGDAQDPSLIQTKVKRLYDIANVLASMNIIQKTYQPETKRFVFRWIGIRGEAEMATTNDLALKTKRRTIENEATDTSAKRHMGDQSSKGTTSQTVVAPSQTPCEGRSPKKVVENDLEKGSIPDIEKHRFGPSAPKTVPQDKPKSKNNIGTQIQDWESLALTHRPQYHQHQGTASFFDELLQFFGALRDLFSHYRGAWNNYHSEVAGKDPAQP >KZM92495 pep chromosome:ASM162521v1:6:33832939:33835288:1 gene:DCAR_020140 transcript:KZM92495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISKSTVVILLVLTVAVSMSNGVEANASSEFVKQTISSHPIVIFSKSYCPYCRKAKSVFKELNQVPYVVELDERGDGWNIQSSLGQLFGKRTVPQVFINGKHLGGSDDTVAAYESGELAKLLGTSATGKEL >KZM90503 pep chromosome:ASM162521v1:6:16344681:16351959:1 gene:DCAR_022132 transcript:KZM90503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQSLHDLITSKRYRAWQKTHEKIMFKYIELCVDMRRGRFAKDGLIQYRIICQQVNVSSLEEVIKHFMDLSTKRAEAARSQAQALEEALDVDDLEADNRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLMALYADTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESIQFYLDTRFEQLKIATELELWQEAFRSVEDIYGFTCLVKKMPKTSWMAVYYAKLTEIFWISTSHLYHAYAWFKLFQLQKSFNKNLNQKDLQLIASSVLLAALSIPPYDHTHRASHVELEHEKERNLRMSNLIGFNLDTKIEGRDMLSRSALLADLISKGVMTYVTQEVKDLYHLLEHEFLPLDLASKVQPLLEKNSTVRGKISSASSVPEVQLSQYVPALKKLATLRLLQQVSHVYQTMRTESLAKMIPFFSFSAVEKLSVDAVKHNFVAMKIDHMKGAVIFSTLGLESDGLHDHLSLFAESLTKSRAMMYPSERKISKLGEMLPSLAEVVDKEHKRLLARKSVIEKRKEEQERHLLELEREEETKRLKLQKITEEAEQKRLASEYEQRKNQRILREIEERELEEAQALLQEAEKRSKKKGKKPLIDGEKVTKQSLMELALNEQIREKQEMEKRLQKLGKTMDYLERAKREEAAPLIEAAFQRRLSEEKVLHEHEQQQEIELSRQRHDGDLKEKNRLLRISENKTQFQNKVVKGRQVEYERLRVERDARINEILRARKQERESKRKMLFYLSVEEARLKKLQEEEEARKLIEAERLKKEEAEKRARLDRIAEIQRQREQEIEERRKASEGLSRPSELSGGSRPLEAPPAPTAAPAAAAAAPTPGKYVPRFRRAEGQAPPPEPDRWGSGRQDDRTLPPPGGDRWRGGGQRQSWGASRIPPRGG >KZM92225 pep chromosome:ASM162521v1:6:31655090:31661994:1 gene:DCAR_020410 transcript:KZM92225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRSYGNPRFRSPIIITLLFIISLLIYISLSPSRPNLPSTKSQVPMLNPTVDYSFLTSLQKFLSSPSESRQYRDDSVANTASQADVKKLDDLMWRRETEKLYEDSAYPGVSVVRVYVYEMPDKFTYDMLRLFWNTYQETVNLTSNGSPVHRLIEQHSIDYWLWADLIAPESQRLLKNVVRVYRQEDADLFYIPFFTTISFFLLEKQQCKALYREALKWVTDQPAWNRSGGRDHILPVHHPWSFKSVRKYMKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVANLDLCDAKCLSESELKRRTLLFFRGRLKRNARESCLLSSFNTPAETNSVASSSKMAGGRSYGNPRFRSPIIITLLFIISLLIYISLSPSRPNLPSTKSQVPMLNPTVDYSFLTSLQKFLSSPSESRQYRDDSVANTASQADVKKLDDLMWRRETEKLYEDSAYPGVSVVRVYVYEMPDKFTYDMLRLFWNTYQETVNLTSNGSPVHRLIEQHSIDYWLWADLIAPESQRLLKNVVRVYRQEDADLFYIPFFTTISFFLLEKQQCKALYREALKWVTDQPAWNRSGGRDHILPVHHPWSFKSVRKYMKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVANLDLCDAKCLSESELKRRTLLFFRGRLKRNAGGKIRAKLVAELNHAEDVVIEEGTAGEVGKAAAQHGMRTSVFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYSKIALFISSSDAVQPGWLLTYLRSFGVAQLKKMQMNLAKYARHFLYSHPAQPLGPEDLAWRMIAKGAELP >KZM92074 pep chromosome:ASM162521v1:6:30331053:30332569:-1 gene:DCAR_020561 transcript:KZM92074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLSPPLFPSFGWPLVDDHLNNISQDHEGTFFGNIDSIDTSFSALPSPQSTNFEPIVSDINTTGVVKKLNHNASERDRRKKINNLYSSLFSLLPDADRMKKLSIPATVACVVKYIPELQKEVERLVQKKEELSSRMCRHREDHDDYQVGKRRKMERAETSSSAAVTISPVGGKEVVVQITALKADKGILSEALDKLELGHGLLLLNASSFQSSGDRIFHSLHFQAQGKYELELQAIKEKIMSLYEKNKQWLF >KZM90566 pep chromosome:ASM162521v1:6:16909673:16914229:1 gene:DCAR_022069 transcript:KZM90566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNAKSESEAMRKPELQEIQQLTPPIETSGDGGWGGWGFSSAAEAAKTAAQSIADMQIAEESSDSSKEDEIDTSGKEDDTEDEEVTRRKAALDKLENASEDTLLSQAKSGLKVLDNSVENIASGAWQAFGNAWRGGSTFVQKLESSAASLADSINQGDAGSVAPSLLETGKAFTARGMQVLEHVGKETMDLLIAETGIEVDKKSTELEGEDQLLEEVTFDRYFYIYGGPEQLEELEALSSHHALLFNRRKTKLSSEQKSVYDSKLKQVQQIFSLDSEYDGIGSELEKGKQVETRTDTTIDEMKNLHDSSVSKAAELAAGFASALVGQTPSDIIQRTAGRLDSLHSEGVHRLSEMCCSAVSQLLMIGKSVISNADKAPDTDADDIVKIDWPEDAIEKAKVIRIRVQSMTGKVDEVSNSFITGISEVAEACSAAIKTATTDSAVFLQEKPIEEKTHEFSENLRVDQTTAIGKIQDGLQYLSYLVISSSMPAA >KZM92369 pep chromosome:ASM162521v1:6:32883730:32887752:-1 gene:DCAR_020266 transcript:KZM92369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEISRKGKQLKKAACGTCGGKPLVDGIESESASMLSTVDLELSSFINPDLTWKKVKKGCRTTTRRSRKLVDQKSNVGAKSGNKSSERDQDSSVTESEKLGVAVLGRRFAEKVVDVPIKKRRFSVRPPSPPPRTPSLDHEGSLSPQLQTPSPHSIESEQLVDTRTSAAGLKFEDKVEIRKSNKSEITNAGIDNDDDFSGIELLAAAACGSSIDNDVKLETFAVKESAKADAVGFHDAAIPLKESIASSGASHFSDKDLVNEDDMGESAVNEIAAVSKNENGGVVRNRAHWDLNTVMEAWEEPDDVTPDNSLINCSEIAPGGIHFEKLNVENSLVQSNEKDVLGHTERLEQSGMCKVVPSNVESNKELADDTELAAIRSCFKEDASKTCSSLEGTCAEKQYVTTSERLQEASNFAVHATITSIPVTTTEALGNHLFTKSQHTARIDVSEEKMHAFNSEIEQVGEVSCGTSVDKNKKLLSGCSQVVKLEISTPNLMPPNNIGHAIVDTQIKDCNAFENTHGSPNSKTSPRQVISTVTYKTSDVNFTGELDRACQFHPSPKGEALSASSTSVVVGEVKLQADKAPAAENDASDVALQHGPMNLFDKSDNFPIKIDQNHNVGDYYDSYGKDGKQVNLDHVSEYEAGYDSSFEDGELREPGVYTWEENDMEGETEYVDYGSEYGDGDDFNTVNSKSANADNGQDGYQTSRKGTLLGKNDEIDKGGTGRNRSGVTGDSVKSFDQCFSGGALNGNHSACMKTEIGGDQFNAHLEYRVAVADGKVAGFDDKGLYGEFGPRDFRGKLSSFSKGPSPYDALERKTSLDVHRNRFDNSNYSYSRGERNFGPEKSMGRGRFSMKPLGSRNDTDGRWVDSPSSYRDTRTRYPHSYRGPDSHAYSRPRDSNATSGTKIGGFKRDDGRRPINYSSNNGPYRTFMGRRSPAEGEDSYFGRHGSPPVRDIGQDRSRGRSGRYVQGIRRVPRDDYNEDVPDDASLRPPRRQPYFSRRERGFSPNYGSGQFFRSRRNSCSRSRTRSPVAWNSQRERNMNTRRHSPDFRSDARMERIRPAFPKTSYGADNDELYVSPPRTRVSPNSKPRWLNDGNYMDDHFRDRRSPVRLFRQRSQRLESVGYSGRKSDGIFQPNVRRGRFQQVSSTGRGLDLEGSDIEKSKPDDRHEINHRVRRYDASGAVRRFRYDADNGFEARDGHKDGFRRTIKRDSPKDGAGEERGPRYNSNMMYASGSCAGQRDFNEDAAIGEE >KZM92645 pep chromosome:ASM162521v1:6:35100105:35105326:-1 gene:DCAR_019990 transcript:KZM92645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDEINSTRTGQGNAGHRVVLISAGASHSVALLAGNVVCSWGRGEDGQLGHGDAEDRISPTQVTALDGQDIVSVSCGADHSTAYSESSKQVYSWGWGDFGRLGHGNSSDVFIPQPIKALHGMRIKQIACGDSHCLAVSMEGEVQSWGRNQNGQLGLGTIEDSLVPQKVQAFQVWYAVKMVAAGAEHTVAITEDGELYGWGWGRYGNLGLGDRNDRLVPEKVTTVDGDKMVLVACGWRHTISVTSSGGLYTYGWSKYGQLGHGDFEDHLIPHKLHALSGEVISQISGGWRHTMAMSSTGKLYGWGWNKKVVQISCGWRHTLAVTDKQNVYSWGRGTNGQLGHRESIDRNTPKIIEALSVDGSNGQLIESSNIDPSIGKISVLPSDRYAVVPDENKAHGQTVPAAKDITTDASVPEGDVKRIRL >KZM91124 pep chromosome:ASM162521v1:6:22398976:22414932:1 gene:DCAR_021511 transcript:KZM91124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLKIWVSDKLMSLVNYSQPTLVQYIIGLSKKAKSPADISEALKDDLSACSVAELQAFARELFVKVDRGRPVPNAYLQQEREAAMLAKKQSMYTLLEDDDVDNGGASGVGTSAVSESKTRDSKKKRFRQKTEVVLPRKDDRRVKRRTAQSEDDSDSEEERLRDQREREELEEHLRERDASGTRKLTEQKLSQKEQEEAFRRSKALEQDDTDALRKVSRQEYLKKREQKKLEEIRDDIEDEQYLFENVKLTEAELRDLRYKKQIYDLVKKQTEEADDIDEYRMPDAYDQEGGVNQEKRFSVAVERYRDLNATEKMNPFAEQEAWEDHQIGKATMKFGSKDKKHDDYEFVFENQIEFIQDEVMKGDNYDGEAYGDSPDESIAKSAFEKLQADRKTLPVYPYREKLLEAVNEHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKIGCTQPRRVAAMSVSARVSQEMGVKLGHEVGYSIRFEDCTSEKTVIKYMTDGMLLREFLGEPDLSSYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFIVPGRRFPVEINYTKAPEADYLDAAIVTALQIHVTQPPGDGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFERTPEGARKVVLATNIAETSLTIDGIKYVIDPGFVKMKSYSPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTSHNYYNDLEDNTVPEIQRTNLANVVLSLKSLGIHDLVNFDFMDPPPEASLLKALELLFALSALNKKGELTKVGRKMAEFPLDPMLSKMIVASDKYKCSDEIISIAAMLSIGSSIFYRPKDKQVHADNARMNFHMGNVGDHIALLKVYSSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELMSNPGDLEAIRKAITSGYFPHCARLQKNGSYRTVKHPQTVHIHPSSGLAQVLPRWVVYHELVLTTKEYMRQVSELKPEWLIEIAPHFYQLKDVEDRFEGLCVVVMDNAPTHQKQVNSPSPITQSPIWKLTPGNSSSKMSNLYDSYELQAVSKQINRAIRGSKSPLSPYSYYINLTPYCSRRSSRPTTKRICYPQSESRTLNPKMNSKGNGGLFSRLWKRIKSGLTNSI >KZM92809 pep chromosome:ASM162521v1:6:36452847:36453323:1 gene:DCAR_019826 transcript:KZM92809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTMKNVNKIRQIVRLKQVMQRWKAMSLTRGGGGAAFQDTHKRIPSGSLGVYVGVERCRFVIPTRYLKLPVFVSLLEKAEEEYGFQSDGGLVLPCEVELFEAVLKLLGRDEHRYGGIGLNEFVELFSQASSSECDFSCKDPKGAFTPLLHHKARVSN >KZM89549 pep chromosome:ASM162521v1:6:1930265:1931477:-1 gene:DCAR_023088 transcript:KZM89549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKTTGGNEKATSEAMSIVADKAPVTRRRKVAQDLDAKLPKPYVPRALVAADAEHVNGTWGHRHRNMSVLQQHIAFFDQDNNGIIYPWETFKGFRDIGFNPVASFIVMLLIHAAMSYATLPTWLPSPFFPIYIDNIHKAKHGSDTSTYDTEGRFVPANLENIFTKYAHTAPDKMTFRELWHMTDANRNVFDFYGWITSKVEWVGLYILAKDSEGYLTKEAVRRCFDGSLFEYCAKTRKGASAKLG >KZM90491 pep chromosome:ASM162521v1:6:16251736:16255619:1 gene:DCAR_022144 transcript:KZM90491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTKGEIAHQHLLEVAGESRQGPALGEKDAKDEQKKKRIASIDIFRGFTVALMILVDDAGGEWPIIGHAPWNGVHLADFVMPFFLFIVGMAIALALKRIPSRHLAIRKVVLRTLKLLFFGILLQGGFSHAPDELTYGVDMQRIRWCGILQRIALAYFVVALIEILTRSVKIKEDSSTSQFLIFKTYIWHWLLGACVLIVYLAVLYGAYVPDWHFMVDDPNSADYGKILSVSCNVRGKLDSPCNAVGYVDRELMGIRHMYQKPAWKRSKVDILKLKYVFLPFEWIGMNAMLVYVMAAEGIFAGFINGWYYKNPHNTLIYWIQKHIFFGVWHSRRVGLLLYVIFAEILFWAVVSGILHWQGIYWRL >KZM92229 pep chromosome:ASM162521v1:6:31684060:31685143:-1 gene:DCAR_020406 transcript:KZM92229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFNVVQRRRRAAIADHKRAKHGDPVTGKLKLRAPTIPISGKRKRKLFKKWRRDQKDAIAKGLITMEDVEMAVAEGTSENVSKAPLAFSVKKSLKVKSKRSQKKGR >KZM89737 pep chromosome:ASM162521v1:6:4011071:4017444:-1 gene:DCAR_022900 transcript:KZM89737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSSLWVGNLSSDVTESDLSRLFGKFGPIVKITLYSSKYFAFVHFKLPQDAKSAKDSLQATLLRSSPLKIDFAKPAKPCKSLWVGGISPSVTKEELEEQFRRFGEIQEFKFLRERNTAYVDYFVMEDATEALKNLNGLEVGGNMIRVDYLRSQASKKEQPDFREARDTQSLNRSTGGSNPSWIPQHPLRNISAAYGDTRPQHIQSPVGPKGDGQPSKVLWVSYPPSYPMDKQMLHNAMILFGEIEGITLFPSGNYSLVEFRSVEEAKLAKEGLEGRLFSDPRILIMYSNSEAVPSNGQSGFYSDVEGPRTDVLSNDVQGYPQIVPYSIAGNVPSRGVSGPGILGRPLGPLGSFEPLQQLSLTKHQSLQDSNTNLLVGVSNRKRLSPSPGILSSPSQAVQPSMRPASGTWDAFEASQLQRESKRFRVGGLSALSDRSLEKMDEHYMILNQFNGHGQGGAIRGAAATVSGMNRSSTVEPRVLTGGTVQGHPEHDCIWRGVIAKGGQHVCRARCFPLEKEFEFEIPEVVNCSARTGLDMLAKHYGDAVGFNIIFFLPDSVEDFAPYTEFLRYLGAKNRAGVAKFDDGTTLFLVPPSDFLESTLNIAGPERLYGVVLKFRSHVFGSTAEHTLQRNYIDRPVMPPQFDNRVMLQEERLMQTDYNRSMQGNPNTFSNSVAPSTNSVPGEAFPANSTSLSNAGVTLTPELIATLASLLPAKGNTLGAQPLSGSSNPGPMQTPGATDRRHPHGWDHEQTKMSEPSGHLINQAGYHYNSQAQIPLDHHYSLGQNLPNHAAQGVAANNRIQDATFNMRNDEFSSRQITSSVSHPHAGQYLVSPQNNLQCKVETSQETRHGYVQGINASAAYSASPLLPITNSVTLSHQVSNSTVSQHLSSNPNDGGNFGLDSQKQIQPAQSHLGAVQGTLNEETDKNERYRSTLQFAANLLLQIQQNPGSEAGQGPGNN >KZM91386 pep chromosome:ASM162521v1:6:24704619:24709836:-1 gene:DCAR_021249 transcript:KZM91386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCGKPSSPEGERRESPKSRQGALVLSRGNSGKRVESFRAKDKRENGDARVGYIDKRTNNSRRVRDEQSEKKKTQLVDSIPASISSAAQAELIAAGWPSWLVAAAAESIKGWIPRRADTFEKLEKIGQGTYSSVYKARDVLNKKFVALKRVRFDNLDRESVKFMAREILILRRLDHPNIIKLEGLVTSRTSSSLYLVFEYMEHDLTGLASLPGVKFTEPQVEQLHKIFKLCGSPSEDYWKKSKLHKSTVFKPKQPYRRRLAETFKDFPDAAVGLIETLLAVDPAQRGTAASALKSEAISRVWQFFIVEPHACDPATLPKYPPSKEIDAKLRGEEARRQGVGIKDDKVERDTSRPRDSRAVPAPDANAELATSLQKRGRSNPKTRSEQFNRQKDEAASGFPIGPPRATQASKEGRKEQIEQPPNRASYSGPLVPGVGWTKAAKKNEAMPVVLPRTNLSSLSGLVASRTLTSEDSRDKFCPPHQAAADQARRVSETFDEWGSARKQDIKHQTQGTTGSRQMGNLLGSTKESILNGQGFQGKGNKIHFSGPLLVPSNNVDQMLKDHDRQIQEAARRARIEKARVGIIEPQVTPNPMYVGNRGAR >KZM92379 pep chromosome:ASM162521v1:6:32978226:32981017:-1 gene:DCAR_020256 transcript:KZM92379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGLPLLECVYCLACASWAWKRCLHTAGHDSETWGLASAQEFEPVPRLCRYILGIYEDDIRNPIREPPGGYGIEPDWLLLKRTYEDTNGHCPPYILYVDHAHVDIVIAIRGLNLAKEGDYAVLLDNKLGKRQFDGGYVHNGLLKAAGLVLDAECDTLKVLMEKYSNYTLTFTGHSLGSGVAALLAMVVVQDLERLGNISRKRVRSYAIAPARCMSLNLAVRYADVINSVVLQASNFSLLQDDFLPRTATPLEDIFTSIFCLPCILCLRCMKDTCISEERMIRDPRRLYAPGRLYHIVERKPFRCGRFLPVVRTAVPVDGRFEHIVLSCNATSDHALIWIEREAKRALEILLEQDPITEIPAKQKMERQKTLDKEHSKEHKAALRRAVTLAVPHAFSPSRYGTFEDQEIGENSNMSSGDSSSEMS >KZM92818 pep chromosome:ASM162521v1:6:36539554:36539703:1 gene:DCAR_019817 transcript:KZM92818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFSNLSGTQMTKHTSITNMWGPQRETCGSMCPPRPQCGTATGYQNGH >KZM89612 pep chromosome:ASM162521v1:6:2512320:2513109:-1 gene:DCAR_023025 transcript:KZM89612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEMVGIHEKRLRKCLSKLRGIQKVEVDANSQKVVVTGYAHRNRILKAVRRGGLKADFWSPQNELLTVYTSASYGSLRFNNFSFF >KZM91773 pep chromosome:ASM162521v1:6:27747392:27748282:1 gene:DCAR_020862 transcript:KZM91773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYCKHVEGDDEGYMAMDSSSFSKFTHYSSPQGREFEFIADDQAREFIIFPADELFYEGKILPLHLSPRLQMLQSLLQNSAPTPVAQECNVSPPESLCITSDKLNDYFLTQLSEENFIVGDSPKKSWPKKKLKLIRKLLAYRAHLKSFLAESSCTSEAFTIASPKKREDENVSTGGNDSLSRKVKTSKKMSSTKSLISDQKVESESPKNVSPRRPFCRKPKPVKCLSSSSSSSSLFSSSSSSSYGQNLQKMRDSFGSDTEGSVDAAIAHCKKSQENIDDTESGYWSFSVKNCRLH >KZM91592 pep chromosome:ASM162521v1:6:26310837:26312066:1 gene:DCAR_021043 transcript:KZM91592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILNGNISSSSPQHASYKFLIYGKGWVGGLLGKICEKQGICEKQGIPFEYGKGRLENREQLLADIQTVKPTHVLNAGCVTGKPNVDWCETHKTEIIRTNVAGTLNLAEVCREHGLLMMNYATGCIYEYDAKHPEGSGIGFKEEETPNFHGSFYSKTKAMVEELLKEFDNVCTLRGRMPISSDLNKPGNFINKITRHNKVINIPNSMSVIDELLPISIEMVKRNLTGIWNFTNPGVISHNEVLELYKEYIDPAFKWSNFILEEQAKVVVAARSNNELDVSKLKAEFPELLSIKDSLIKYVFEPNKKV >KZM91044 pep chromosome:ASM162521v1:6:21638124:21638546:1 gene:DCAR_021591 transcript:KZM91044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKQREVKDEHELEIIKAVAQAWYGHSTSPSTSSSTSEFDAPRMNFKSKPSRFKLEAYNNKSLAMKHTNGGSWDFGQSLWDSYEIVSVSKKLDAALVLDHRCFDLDKAGEGEKKRKPKENSNSLASLLGRVSSRRFRGE >KZM91216 pep chromosome:ASM162521v1:6:23261483:23262316:1 gene:DCAR_021419 transcript:KZM91216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLNFVKDGAVNLPPGFRFQPTDEEIVFQYLARRVTSSPLPASVIPDIENIFNYDPWTLPGDVEEDKYFFSKREDKYRNGNRSNRASASGYWKATGLDKHITFPTRRNIKQPIMGMRKTLVFYRGKHPHSSRSNWIMHEYRLLQSLNNQNCMKQMGDWVLCHIFSKNDDAMAGSLCYDESSYCTSSSTSFCMGSSVMADQQGSSYESDHEETSTAYNM >KZM92223 pep chromosome:ASM162521v1:6:31641262:31646136:-1 gene:DCAR_020412 transcript:KZM92223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMTWLSAILVGAGCLALGYLFGTKYPGVIKFKAKSATNTAVINGKKTRAKPPLEVEKLAEILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKILHRAPKALNRWEMCGQVKVVVKIDSEDDMLVLQERAKSLNIPTHIVIDAGRTQIAPNSRTVMAVLGPAELVDDVTGGLKLL >KZM91778 pep chromosome:ASM162521v1:6:27794925:27795765:1 gene:DCAR_020857 transcript:KZM91778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGKVKKGAAGRKAGGPKKKPVSRSVKAGLTFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKSRIIPRHLLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSEKASQESTKSPSKATKSPRKAAA >KZM92003 pep chromosome:ASM162521v1:6:29709927:29723887:1 gene:DCAR_020632 transcript:KZM92003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFNNSVSMCPVDQHCSQWAEENMSYCLCSTTDLISLTLGVISVLSWGVAEVPQLITNYKEKSVEGLSLMFITTWILGDLLNLIGCKLEPATLPTQYYMALLYTVTTLSLATQTIYYGHIYPRLKANKRHCKIDNVEKRRPHSNDIDKKQADSPRGLEIRSQLLACGVAPSIPIPLLSNDRGSVGGEFYYTSARSLSRSHTPTCGFYMPKRSSSGYECIPAEESLFGEHESTQSTPPSKTKSLMCAVSTLTFFLSISNLRHVDSNAAVMQNQGYVVHMGRKLLQFRRGHVEGLNPLMFIFALVGNITYVGSILVSSLDWAKLRPNLPWLVDAGGCVFLDTLGITFTPIPFQSDYLVNTDDDTGVQTKTVLQSILSGSLESLLRPNTVKLLPEVDFHGISWHQHKRIIAFTSGPNQVTVCDYDDSGKETCILISESQRSVKALEWRPNGGRTLSVACKGGICIWSASFPGNAAPVRSGVTAGTISGSSGIRWTLVDILRGHADEQVTALSWSPDGRYPK >KZM89815 pep chromosome:ASM162521v1:6:5281637:5281867:-1 gene:DCAR_022822 transcript:KZM89815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELCMCRLLVVKRTAWTENNAGQRFVSCVKGWNGCNYFRWTDPPVCARGRAVISGLLRRIERNEECCYIWFAEKD >KZM90208 pep chromosome:ASM162521v1:6:12632826:12634005:1 gene:DCAR_022427 transcript:KZM90208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRPGDTTDSHPYGDDEDMISIRLYHGGKLRWLPQTEYVCGELSVYDFYGISKLSVEEIVMPNKTNYRVDIYVKHFNEDEDDDRELQEKDYENLCPDSDERRDSNDLVYLDEEDKEWPGWSDSDYAMSDDDALFDQNVDEGAEWIGKIRYDLGLSDADDSGEEEDEEGVDNGDASYCDLLDSLFQDMYGENVPRMSKIKWPVYRKEVPSNLEVGMTFENHLKFRDAITKHSYEEGKMVTFLLSDKSKTSSQDCCQSKKSSTLERGRNDIDLYSAQ >KZM92066 pep chromosome:ASM162521v1:6:30248911:30249749:1 gene:DCAR_020569 transcript:KZM92066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSPPLFQTFAWPIEDPMMNQEYNFYAHTDNIPNSILHLPSTLDAQPQVGLGDSSAFEPTAVVKKINHNANERDRRKKMNSLYSSLRSLLPAFHQTKKLSIPNTVSRVLKYIPELQNEIERLVRKKEALSVKISKQGELFQYENQRNNSIRGSLATVSASLIGDKQVTIQLSTFKANMRLQSEALEVVEKDGFELVNASFNSFGERAFYNLHLQVTFRNTLICVGSVYNCHCNKIATDFITDSIY >KZM91838 pep chromosome:ASM162521v1:6:28250061:28251554:-1 gene:DCAR_020797 transcript:KZM91838 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MANLAHEDLHFILFPLMAQGHTIPMVDFARLLAQRGATVTIITTPVNALRFQSTVSRAVQSGLRIRIAELYFPSEEFGLPKGCENFDMLSTIHLSGAFYAATVMLREPFENFLGEAKPPPSCIISDMSFPWTSDVAENFHIPRLIVHGPCCFSLLSVLNIVKSQVLGKVKSDTERFVVPDLPDKVELTKAKIIRLMNTSNTTQKSPQQTAAMAGFREKMIEAENKAFGIVANTFEELEPKYIEEYAKTKGKKVWCIGPVSLSNKDMSDKVERGDKVSIDENDCLNWLDGQDPGSVLYVCLGSIARLATSQLIELGLGLEELNRPFVWCVRYKTEEFDKWISEEKYEERIKGRGLIIWGWAPQVLILSHRAIGGFLTHCGWNSTLEGICAGIPMLTWPLFAEQFVNEALVVQILKVALSVGTELPIVFGEEEEVGVLVKREDLVMVAERLMNGSDEAEERRERARELGDLAKRAMDEGGSSHLNTNLLIQEIMAQANM >KZM90150 pep chromosome:ASM162521v1:6:11721486:11722998:-1 gene:DCAR_022485 transcript:KZM90150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNIYNMNRGQLTLLGSTICVMLSVLFSIKLLLAHLSNWKKPKEQKAIVVIILMAPIYAVDSYVGLLDFRGSEAFFMLLDSIKECYEALVMAKFLALLYTYLNISISKSIVPDEVKGREIHHSFPMTLFQPHSVRLNHKTLKLLKYWTWQFVAIRPVCSILMIALQLLDIYPAWISWTFTIILNISVSLALYSLVLFYHVFAKELAPHNPLAKFLCVKGIVFFCFWQGIVLEMLVAAGIIQSHHIWLDVVHIQQAYQNILVIVEMVFFSIFQMSAYSAAPYAGMKDKKTD >KZM91731 pep chromosome:ASM162521v1:6:27434081:27434326:-1 gene:DCAR_020904 transcript:KZM91731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSSPVSVKGKLREILSVLCFKIRKHDLPELKKGLRVFSRRQPAKIDDLHLSYALSFQDDGHVHHFALRSFSAKLPRRL >KZM91609 pep chromosome:ASM162521v1:6:26494089:26495032:1 gene:DCAR_021026 transcript:KZM91609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQSFHERWHQQLHQLVQKLNKSPRPPASDEENNNLVKLAQKFLSHMSDYYRVKAALAHQDVLFLLDAPWATKFEASLKWMAGWRPSTVFQVIHTESNILFENYLLEMLDGGAQTGDLGNLSPHQIKCLSDLQCQTTREENDLDDHDMMLMRYEKDMDEMMDGLGAIVRKGDELRMRTLEKAVQFLTPHQTVDFLVAAAHLHSLVRAWGENRDIGDGIQ >KZM92241 pep chromosome:ASM162521v1:6:31760327:31761306:-1 gene:DCAR_020394 transcript:KZM92241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHAASETANKELHSMHPIRLGLARNFHVFYYEIMNSPERACQLAKQAFDEAIVELDTLSEESYKDSTLIMQLLRDNLILWTSDLPEDGGGALGGIC >KZM92657 pep chromosome:ASM162521v1:6:35165075:35166236:1 gene:DCAR_019978 transcript:KZM92657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVYLSLNRGFLKVKSVSRHPTIKWAQRSDVLYITIELPDAEDVNTKLEPEGRLYFSATSGPDNLLYEVDIDLYDKVDVDESMASTNSRNFVYILKKVESKWWSRLLKKEGKPPAFLKVDWNKWVDEDEQDEEDESNINLDDFNFSGLKLGGSGEDHGNALDDDNEGNSFLLRNVDMHDTGDDGSDTEEEIKDDEAPATGKAEPNDEEEPTSTKP >KZM90680 pep chromosome:ASM162521v1:6:18019350:18020658:1 gene:DCAR_021955 transcript:KZM90680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGAIFENEWSSFNAMYSNEESQFMAQLFNDSSVSGDFHAGSGLIWPDHDLKGANAVDIDSINASICSNYDSYCTAGDWNQMLVANTDSMSSDYCIKEAGDVLESNGFLSRDMIKDSCIMEEAPPEPRKEIEFKHPVQKSKKRCCNTGDTVQKNKRSVKPRKNQKLDLSNKIKDKDIKGEAARQSLSSYSSDGENEPNAVIGGLSLASSNSEDALDGTNGKKTRTKGGIANDPQSIYARKRRERINERLRTLQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSNDLWMYAPIAYNGMDLGLDMNVTMPGR >KZM90186 pep chromosome:ASM162521v1:6:12227402:12228608:-1 gene:DCAR_022449 transcript:KZM90186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILRIEQVRLNRKDGIGKVAGTLFCVAGASVITLYKGPTIYSPPPPLQGANSGYDIVSPMLGSLSLGDAKGKNWTLGCIFLIGHCLSWSAWLVLQAPVLKKYPARLSVTSYQCFFGVIQFLVIAGFVERDSQAWMIHSGGELFSVFYAGIVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASVALGEEFYLGGIIGAVLIITGLYLVLWGKNEERKFAMQKAAIQSPADHSNNRTPSLPKSSLAQPLLSQSTENA >KZM91231 pep chromosome:ASM162521v1:6:23408927:23411137:1 gene:DCAR_021404 transcript:KZM91231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNKVEALREKADAEKLIACNDYVGAREKLLKAKQLFPPLDHIAAMLTVCDILSASRNRVPGYETDYYWVLNIMPAASSIDLKQQYDKLLNLLQPIKGKFSGAALALKYVDEAFSVLSDRVKRLIFDSKRACSWDELESFANPLPSQPFMDAETGVNAQSSLIHSSAQVCNVQNAEGLSMQVHERVDDLGPKLEATCDSNKQNIVVSSQDSSVVSSDMNSEGNAREDIDLSIDDLNSSLGPPRMSDSSWSKRHVAYKKPDQDFFNFEVNKTVENFKIGQVWAVYYYLKDDQNYLYAQINAISKSEVRVSWLKPVPVTLCERRWCDAGLPVACGSFCLDLNLSEEIMSSTLAFSYNCSWVPGVTEEQFEIYPKKGEVWALYEDWDLDDWCYYPEKVRGCKFKFIEILSDFSKIIGGEGACLSKVDGFKNIYQRESEDGNPSIFHISPRDLYILSHNVPAYKFTGGEIDGVVSGMIELDELALSYNRIQDTDSQLMIRAGQNSDFKKPMEALPPVVRSSQCTTLGPKWSVSDFSTGQVWAVYYGEDLMPRRYARLNSVISGDEVGVTFLEPQLENSYDHNLKKVMPIVCGVFQAKETNVHLNISQVSHQVKCQMSTTRPMYKIYPMKGEIWAMYQNWSCKWGPGDYENYKCWIVEILSDFSVDERMVVVRLGEVKGCLTFFQRIQRDGFDMSRVVLKTDILGFSHQIPAFRVPGIGRYGIPENSWHLEPNALPPPFRK >KZM89474 pep chromosome:ASM162521v1:6:1059557:1065615:-1 gene:DCAR_023163 transcript:KZM89474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGPGNFPPQRGQGPEISVATSQSVQPHLPQPPYQHGNGNRTQHSQFMERGPSYYPAPDQAYPPTYANEHHIPTTGMSNSGQSYLLPLSAPPAPPPHTQGHSAPIAHPFPGPQQNSQWAPNVQHVPPPLLPTFGCPPPRQNGPEMLLPPPPPRLQPPMLSQGQALFRGFVPSPLPGPVQGLQHTPLAQPPPQNITSYTHGHFGSVVNPISEDPNGSSAGLLPPPPPPSPPPGPPPPPVASPPQASPSSHSKSVGANQHNVPQEDVQPSDSPSRSVQDGSLVDAGQSYSPADSNMDIEDEMTEIDENQRCSEIVDGKSVLVSSECEVEKELHEPQISAKNTSSEEPAFRNTLFLNTSEFADHKEDLKWPNAGAGVVDKNVSTELGTGTSAFKLLQDYASEDDSDHINDRCDKDIAQAPVNPSGGSLSAAVESEKGSSFVMNETRNPSESEMGFGQSSEHVLSLPASLQSKTLDSSLGFRGPSREVDKTVIVKDKKSEDNRDANQLYFNTEIADKDFEQKSYVGSNVRPASNVGKRPKEDGDSQLKVDEFGRLIKEGGSDSEDLSQNRRHDRRGRIRSRSRSPHDRRRSPQRRRHRRSRSRSWSPRKRRSRSRSPSRHRAQYGTDRTRWDKVPSAACFDYKRGKCYRGASCRYSHGDSTMNELSRGYRSKNHKSQEKQLSRELSEKNLGASMDYNVDQKEVAPVRNISDKDVDLLISSVAKSVRTREEAAQVQETEHARDDRVNSSIHDTAENNCVEGVTPKFVGSYVVDHGTDVNARRLPPGNTCHGTESSVVQNSQANLVSDVLQNADHQAQPMEAPSARDPESLPATEAHLNSHSVPEPHPDTVSYQLHPATASVSKSYSSDTFSTHHLRSGEQSPKKSYYASQFLPPPPPTSEDANTPQMPRDCIVMPQSSRYQSLPTPPDSFAPHRAPFPKQLANFTGPYSPSLDNESTVRVSSSYGLPSSQFQENELPSRNDYPPQVLVGTYSTEMNTYLQAGESHGQSCPPTQQPNQPLSHIEDNKSTGLPTVSTTTQQFGEGGLTSLPGYTGQVSSAGEHLSSSSQRYSHLQQPMDALQHLTDESGSVARKPGKTNSEILSYNSEYFRRNLPSHSHVPDFVGSRIPTYCNPYGSICEQPASLKVSLNVVSEAKGMPLGNGYGDPFSSSHVSADARVADSIESKNLTCFPNSNQAVEKMLPNSIGDQYDPLFDSIEPSSNLYRIPNNGQKHEITGDSDMKMNHSDSNKPLDFAENTEQREAEAVAVMSSLDNDEYGETAEAEVGAVENGSQSDPVHEANTAAEENEIDQGTNILKRKLVASDQVIVEFKCSTALA >KZM92698 pep chromosome:ASM162521v1:6:35518065:35518565:1 gene:DCAR_019937 transcript:KZM92698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLATADICDAHIPLLNNGDVRVLQPIFQSYGMCRAFSGPIVTLKVFEDNVMVRGLLETRGEGRVLVVDGGGSMRCALVGGNLGVLAQNMGWAGIVVNGCIRDVDEINSCDIGVKALATHPRKSNRRGLGEKNVLVHIAGTIIHDGEWLYADGDGILISKTELSL >KZM92366 pep chromosome:ASM162521v1:6:32856360:32858738:1 gene:DCAR_020269 transcript:KZM92366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BCH-1 description:beta-carotene hydroxylase MAAGISAASSSTSFSLGRNPFLGPNPIWLFAPSVRKLNPSLRFKQKSLTTVCFVVEPRNDSSGKPENNADRDEVSREEIEAGSCSVRVEERRARKKSERFTYLVAAVMSSLGITSMAVLAVYYRFSWQMEGGEIPYSEMIGTFALSVGAAVGMEFWARWAHEALWHASLWHMHESHHKPREGAFELNDVFAIMNAVPAIALLAYGFFHKGYFPGLCFGAGLGITVFGIAYMFVHDGLVHKRFPVGPIADVPYFRKVAAAHQLHHMEKFKGVPYGLFLGPKEVEDVGGHEALELEINRRIKSSASRASRS >KZM89428 pep chromosome:ASM162521v1:6:669508:674482:1 gene:DCAR_023209 transcript:KZM89428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASKTRSMIEGFVKDGSFKWLARSRNTFDEDLEEMGKSSSDTSNWIAELSPIGNAMVRRCSKILGIPAIKLREIFDDEAPDNIKEASQYARNFLEYCCFRALAMSTKLDGYLDDKLFRRLTFNMMIAWEFPAASSKSISAIDEDATVGVDAFSRIAPAVPIISNVIISENLFEVLTMSTGGRLQFSTYDKYLIGLERALRRLKSQTESSLLSSLRSAREEKILEIDGTVTSQPVLQHLGISAWPGRLILTDHALYFEAHRVVSFDKASIYDLAENLNQVVKPELTGPWGTRLFDKGVSFKSNSLSEPVVMEFPELKGHTRRDYWLAIIREILLVHRFMHKFHITGVEREEALMKSVLGILRVHALREIISAVPLCCETLLMFNVCNQLPGGDLILEKLASMTTRKGERANEAKAGTDMYSISALSMASNLGFPVGSSMDSPGKKDLVVGEIAVGGLSPLRKAVEESRSSYKKVVLAQASVDGVKVDGIDTNMAVMQELLSPVTQLGTWLLSLAHWEHPLKSSAFCLVSSYIIWREWLGYVVALLLIFFAVFMLITRCFSEGKVAELKVIAPPPMNTMEQLLAVQNAVSQTEELIQDGNVVLLKLRALLLSAFPQASEKLGISFLATSMILFFLPFKYTMLLVYVGLFTMYSPPRKANTEKWMRRLREWWFSIPAAPVFLERDKEDKKKK >KZM89731 pep chromosome:ASM162521v1:6:3907814:3914477:-1 gene:DCAR_022906 transcript:KZM89731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLDRVSAVSHADNSLNMQAHRQAVNMSASSYFVPDFGSMQYLNYGAAVSEVEINTLTGETTILRSDIIYDCGQSLNPAVDLGQIEGAFVQGIGFFMSEEYLTNSDGLMVADSTWTYKIPTIDTIPKQLNVEVLNSEHHRNRVLSSKASGEPPLLLAVSVHCATRAAIKEARKQLHSWKNSSDQSNVSFQLDVPATMPVVKRLCGMDYVESYLQNLLSCQGRKQA >KZM90939 pep chromosome:ASM162521v1:6:20663443:20664053:1 gene:DCAR_021696 transcript:KZM90939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEGEVKCEEECPGICGFATALALHSSGCRTTPQSLFRNARHMKRCF >KZM89718 pep chromosome:ASM162521v1:6:3697849:3700242:-1 gene:DCAR_022919 transcript:KZM89718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLNSGFKMPVLGLGVWRMDRKDIKNLLPTAIKIGYRHFDCAADYKNELEVGEALKEAFDLELVKREDLFITTKLWNSDHGHVIEACKNSLKKLQLDYLDLYLIHFPIASKHSGVGTTRSILDEEGVLEIDTTISLETTWHDMEKLVDMGLVRSIGISNYDLYLTRDCLAYANVKPAVNQIETHPYFQRESLVKFCQKNGIAITAHTPLGGALANTERFGTISCLDDPTLKKLGDKHKKSPAQIVLRWGIQRNTIVIPKSSKTKRLEENIDIFDFELSKEDMELIRSMERKYRTNSPAKAWGIDVYA >KZM91391 pep chromosome:ASM162521v1:6:24744226:24746760:-1 gene:DCAR_021244 transcript:KZM91391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVCVSLPLPAKTPLKPININPNFKPPNFDDNLIHLANTAQIHKAMHLITTHQHKLTPDLNTYSTLLKSCIRTRNFDLGKLLHNNLISSGLVFDDHVIMLNSLITLYSKSGDLVTAKCLFDSVGDRRDLVSWSAIISGFAHNGMERESVCMFVDMIKSGERPNQFCFSGVIHACCSRGNVDVGLGIFGFVIKTGYFEADLCVGCALIDLFAKGLSDMKLARVVFDRMPERNAVTWTLMITRYAQMGFSGDALELFLSMVENGFVADRFTFSSVISGCAELGWLDVGKQLHSLVVKSGLSTDVCVGCSLLDMYAKSGGSLIDSRNVFNRMSEQNIMSWTAMITGYVLSGGFDREAIEMYIKMIESRVLPNEITFSSLLKACGNLADTKAGEQIYSNAVKLGFSSVNCVGNSLVSMYARSGSIEDAQKAFEILFEKNLISYNILVDAYAKNLNSDEAFDIFNQIEDTEIGVDAFTYASLLSGAASVEIGKYAAEMILKQDPSDPAAHVLLSNLYASKSQWDHVTEIRKGMKQRNVVKEVGCSWIETGNTVHKFYVGDTSHPQARDIYGQLDQLAVKIKKLGYIPDTNFVLHNVVEEQKEQYLFQHSEKIAVAFGLISTAKPKPIRIFKNLRICGDCHTAMKYISLATGRDIVVRDSNRFHHFVDGSCSCNEYW >KZM89622 pep chromosome:ASM162521v1:6:2619239:2628180:-1 gene:DCAR_023015 transcript:KZM89622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTIPPLINAQLNYLRSNAPFSIKVEQMWSGCRNPSLIDRFTLAIPFCLDYIKWDVIYNAQNPLAAPDVIFGPEDEAFHPFRGMREESLSNSKKNCLLEWNYKDPACLLTLILQLRDLYMVYQKQRVGEVDDERLKFELGTIISKEGLEMYMSSGVEKPEEVRFAVPLLGMDINKIVIGSSWRQQQKIYLQVIFPVVGKFTNKPPVPRLKLVSTPDLRAVLSVEDVRLLPWSDGMCLAEYLPTLEELLASQIKDAVTLIELRRQFIVALAPFFGRPLEADSVFCRKASFLSVSGIFTFVVHFALTLQFPKQPPLLVLQSSQHFDLRGAAIKSSSLTEYPWSPRWDVSEMAERIFDFVADECLNFRKICNESVHQQQR >KZM91988 pep chromosome:ASM162521v1:6:29604642:29605760:1 gene:DCAR_020647 transcript:KZM91988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNILFIVCVLVISPLSVVIADLRVGFYNSTCPNAESIVRQVVQNRFRTDPSITAALLRMHFHDCFVRGCDASILIDSTQAKSSEKDANPNLTVRGYELIDEAKKNLEAACPSTVSCADIITIATRDSVALAGGPNYMVPTGRRDGLVSNKADVNLPGPDFSVSQALQSFSAKGLTLNDMVTLLGAHTVGVAHCSFFRSRLSSPTMDPALAATLSKVCGSGSDPTAFLDQNTSFVFDNQFYNQVMLNRGVLHIDQQLSLDKSSAPMVSNFAKNGAVFQQSFANAMVKMGSIQVLVGTNGEIRKNCRAFNQLQQKNARALGIF >KZM89523 pep chromosome:ASM162521v1:6:1615840:1619417:-1 gene:DCAR_023114 transcript:KZM89523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSLINATAKRSIHLAQLNCQRGMHTRNKKAMELIANGWSALKEVDRVIDYCELNDKRLIPLLRTAKENFELALETDNSNTHARFWLSKLHLKYHVPGACKAVSLKFWVVLPPRSRLFELGAALLVEAADMGDADAQYELACRLRVENDYVQADQQAFQYLEMAVDQLHPAALYMLGAVYLTGDCVRKDISSAIWCFHRASQKGHAGAAIAYGSLLLRGFQVPECLTKFTVRKDSSTRTSRKNAENSKKNALEMAREQFEIAAKAKCDLGFRWLKRLDEEEKRLTNL >KZM90892 pep chromosome:ASM162521v1:6:20194579:20195232:1 gene:DCAR_021743 transcript:KZM90892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSATEEDMVLNLEFCVRKTTSSEYYHEDGGVLFIRAKYSEVYQTTRLHYAVFFRVEDAYNAISRSLDRLGVHGDVQTECINVVISKAQELCQKNLSKQDHRENRVLCIVDLPHEHLVEDWQESTEDQDQGMEDEDEGMVPAALPAIRSLGATRIQVEEGNKNVQQCVICQEEYHDGEKVTCMPCLHMFHKDCITKWLLRSHLCPICKFQMPTSS >KZM91135 pep chromosome:ASM162521v1:6:22485527:22490059:-1 gene:DCAR_021500 transcript:KZM91135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTESVSEDSFLHNYNANDRRIASEFLSGWLPFLTKGLCDSCNRKLTHRVRSLCPGDDSDKKSVGSLKDGVIDAAETNSLGSWKDGENGCAEPAVESDLGANVCAASDMIASSVPAGSGERRTSWADMAQEDELEGDEESEVTSTSRLSVANSASGDGTAEEKSVSKPGLSRDQREYIRFTNVKRKKDFACIERVNQKLVNILDGLELHTGVFSAAEQKRIVDYVYELEEMGKNGKLKARTYTAPQKWMRGKGRVTIQFGCCYNYAVDKKGNPPGILQNELVDPIPHLFKVIIRRLIRWHVLPTTCVPDSCIVNIYDKDDCIPPHIDNHDFLRPFCTVSFLSECDIVFGSNLKIIGPGDFAGSTAISLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRKMDETKWPVGYTPEPDLQGLQPLSYEADRSKHSNISKINHAGNLKAARSVDNSDRLKERGFFGPGPRRGRVNRQRLRLDMMEG >KZM90504 pep chromosome:ASM162521v1:6:16353733:16354809:1 gene:DCAR_022131 transcript:KZM90504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISKHLNLSVFTRTRSLFPVPGCGSNALYTTASSGSESDADKVDDFIPPKQELHLQTVDPRKGWDFRGVHKAIICGKIGNVPVQKILRNGRTVTIFTVGTGGMFDQRLLGTRDLPKPAQWHRIAVHNDDLGAYAIQKLVKNSSVYVEGDIETRVYNDSINGTVKNIPEICVRRDGM >KZM92573 pep chromosome:ASM162521v1:6:34491638:34492929:-1 gene:DCAR_020062 transcript:KZM92573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTASVTKQLGDLLQEQQEPFVLELYLIDRGYRKNVMLSKRNRIFRRACRSEVLCRRRKIISRCSSAVKALFEILGVKRGSSRKSAEGTFSRKGQHRHGNLLDDDEGDMDRKMKKISEDRMQLSPVSVLEAALSNEESPVHIIDQTIQNTEILREEVSSDSIYSDSYESLEKYLSGKTSEVSKPNSHSQHTKVTLALLQTKQLLFDCVKEVVETQRLNNKRPCEFREALGAEAFGKSLCENILRWTTQSLNANYSNQLFDRDVLCSAEWNVFEQGKGIIGKEIADCILEDISVDIVMDLIRV >KZM92781 pep chromosome:ASM162521v1:6:36257486:36260732:-1 gene:DCAR_019854 transcript:KZM92781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGGVCKEVVDSHNKYVKYDVVTAKAEKSNTPAKTGIGLGDKHGMPSTNGVHELLECAVCTSLMYPPIYQCPNGHTLCSDCKIRVHNCCPTCRLELGNIRCLALEKVAESLELPCRYRSLGCDDIFPYYSKLKHEQHCRFRPYSCPYAGSECSISSDIPTLVTHLKDDHNVDMHDGCTFNHRYVKANPHEVENATWMLTVFNCYGRQFCLHFEAFQLGMAPVYISFLRFMGEDSEAKKFSYSLEVGGYGRKLTWQGVPRSIRDSHRKVRDSLDGLIIPRNLALFFSGGDREELKLRVTGRIWKEQ >KZM91374 pep chromosome:ASM162521v1:6:24618770:24619289:1 gene:DCAR_021261 transcript:KZM91374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWAGARSILRSASAVRTGAARLAAASKAPKASPSPFRTPTTKPPLAAPRRLFSCPVEMSSMQPYHTATASALMTSRLTLSRLGYAWLPEGSCCSMLISNRKKIISYFAILVHTRCVYV >KZM91071 pep chromosome:ASM162521v1:6:21801836:21806661:-1 gene:DCAR_021564 transcript:KZM91071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRKRKTPNPETLDSPSPQNDAVLPIPTPHLTNPTATTSTNNNPIRRRGRPKKTPQAQPQPAEPNPTPSPTRHIETNGHNGLDHNSVDSDYENVGGSRGVPSMEAVVKVFCVHTDPNFSLPWQRKRQYSSSSSGFVIAGRRVLTNAHSVEHFTQVKVKKRGSDTKYVATVLAVGTECDIALLTVADDEFWEGVSPVEFGDLPTLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELVGLQIDAAINSGNSGGPAFSDRGACVGIAFQSLKHDDAENIGYVIPTPVIKHFIQDYEKNGGYTGFPILGIEWQKMENPDLRMSVGMKPNQKGVRIKRIDPSAPESQVLKPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGDNATIKVLRNSEIFEFDIKLGSNRKLIPVHIKGRPPSYYIIAGFVFTTVSVPYLRSEYGNSYDLEAPVKLLDKLLHEMPQSPDEQIVVVSQVLVADINIGYEDIVNTQVLAFNDNPVKNLKSLASMVENCTAEFLKFDLEYQQIVVLQTKKAKAATVDILKTHCIPSAMSDDLK >KZM90930 pep chromosome:ASM162521v1:6:20478068:20485245:-1 gene:DCAR_021705 transcript:KZM90930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLDTSDTTSLSYWLNWRFFLCTICVFIPMLGATYIIWKYECLYPPKPDREEAEEDNLWFRYANDSWMPCVEEIHPICLMTFRIFSFCLILTALVVDVVVRGGSLFHYYTQWTLALVTIYFGHRKIFSGNDASNDVVDAERGSYVSLIQHEAASGVNIGKYSCYQDKYPLSRTASKCGQVLQIMFQMTAGAVVLTDCLYWCVIFPFLTIKDYDFNFFTVMEHSLNAILLFGETTLNGLRFPWFRISYFILLTGVYVIFEWIVHASVSLWWPYPFLDLSFPSAPLWYLAVALMHLPCYALVALIIKTKYYVLYRWFPQPDRSLR >KZM90572 pep chromosome:ASM162521v1:6:16989950:16991192:-1 gene:DCAR_022063 transcript:KZM90572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLITLATRFSDDPFVLQEVMSIICTLSLRAPDNATRAIEAGAGDLAIRVMNEFPEVPQLQKHSCLMIRNLVVRNPENRQVLLDLLTSFLGVLSV >KZM90587 pep chromosome:ASM162521v1:6:17091206:17093005:1 gene:DCAR_022048 transcript:KZM90587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLKKERKNHYSWKGFGVLPKTFQLLQRGGPGESYTRFEDDEVSQALDGGRGDNLDKRKENCIGLLTQKFVKLFLCSELELISIDEAANILNGDAQDPSLIQTSMNIIQKTYQPETKRFVFRWIGLREEDEMATINDPVLKTKRRTIENEPTNTSVKRHMGDHESSKATTSQTVVAPSQTPREVRSSKKVVENDLEKGSIPDMEKHRYGPFSPTIVSQDKTKGKNNGTQIQDWESLASTHRPQYHQHQALRDLFSHYVGAWNFYHSEVAGKDPAQP >KZM92105 pep chromosome:ASM162521v1:6:30576938:30577947:-1 gene:DCAR_020530 transcript:KZM92105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGAYTDLTDNLFRSDVKERINILSGSFYIPKDDRSKPEGDDAHFICEEKQTIGIADGVGGWTKKGVNAGEYARELMINSINALHTVPRGAVDPKRVLSQAYSKTKLPGSCTACLLSLNGNILRAANLGDSGFMVIRNGNVLYKSPVQQHGFNHPYQLGQGSGDHPSSAMRTELTMEAGDVIIVGTDGLFDNMYSEEILLWVTQEINQSSDPQMIAWRLAEAALYNSMDKFADTPFARASRENGGNHSGGKIDDITVIVALILQDYSV >KZM89706 pep chromosome:ASM162521v1:6:3583879:3594924:1 gene:DCAR_022931 transcript:KZM89706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCPEEIWSKYVNKLEDFLSEGNSVKAVQFVNEMVTDALRHVEDSLEFSSRIQDPGLFRFHALPRILSMASVTSCYNNVEVFRKDMRENLGVRTKLLHNTRSMADVYSFYYNISCTLQSKPSSLLLHRWNGGFNSASAKIRHLFSINIGFVSRRTLLCAVSKDAEESFKKTVEVDHLIDMLRDADEKEKDYEELASSVMSIVELIVHKTKEKIESATDILKDILRPAVDEEEEICWPPRDPDVLIRMEKEINRRELEGQLDEGFLAEVSAQLRQAKEDGDKPGLEAMLQKVLQLYASRVLSKRSYAKKGSEVILPEQFLETIITAPEQEWNKLLLDGLTIGKGKVTPEELFAVINKRMERTLIRTEGGSYAQRILSEYLKGIQSRSEEIIQVLKGEKQS >KZM92332 pep chromosome:ASM162521v1:6:32588960:32590353:-1 gene:DCAR_020303 transcript:KZM92332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSSSPQNSVLKTSKQRGSSKRHKQPSSWDQIKNLLTCKYIQTATALQNPPQNNTNATLNGAYAKLGHCKYTCGFEDVAHGNTKVIHRPDNLSGNSSSGRETSRKNVSGVLLSSSSRSLASNASGRSNSFSASSRRMQFRKLSGCYECHLIVDPSRYPSPTSTICGCPECAEIFLNTESLELHQALRHAVSELSHEDSGRNIVEMIFKSSWLKRDGSFCNIERILKVRNRKLTIQRFEDCRDAIKLRALANTKRNPRCAADGNELLRFHCTSLMCALGARGSSSLCGSMPGCGVCTIIRHGFPGTHFADHGSGVRTTSSSGRAHDCFAGTSTRRAMLVCRVIAGKVRMAAEGDGLVAAGGYDSVAGIVGVYSDLEDLYVCNPRAILPCFVVIYNAML >KZM91146 pep chromosome:ASM162521v1:6:22565102:22567021:-1 gene:DCAR_021489 transcript:KZM91146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYTFRNVLILSCLFSLPSISKSCSAVDKEALLDFKHRITYDPSNLLSTWTPSSDCCKSWKGVSCDSTGRVVNLSRSGLIDDFNDGPLDTLMSGTLSPFLGNLSSLQLLDLSNLKELKGHIPPEFGKLSRLKHLFLNTNKLTGSIPATFRSLSRLQKLYLNANSLSGIVPSDIFKSFTSVSELGLSGNKLIGPIPSTIGKLSLVRSLDFHDNYFSGSIPETFGNLKYLQYVDFSGNQITGSIPNSIGGLSKLEFSGPIDENIGEEVSMANVASLILSNNSLGGSIPKSLGKLSELQVLKLVNTGITGAIPEEIGNPKRLTTIILSNNKLNGTIPKNILNLEFLQEFDVSRNRLSGMIPQHKAHIPVSAFLDNPGLCGAPLPPCKQS >KZM89364 pep chromosome:ASM162521v1:6:71442:71816:-1 gene:DCAR_023273 transcript:KZM89364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGMRKNGKGETVKRTILIPWQTATRGSFPVQGSYFQANEVFADHESSEHPIDVPKAWICNLPKRVCIVE >KZM92350 pep chromosome:ASM162521v1:6:32732299:32733422:1 gene:DCAR_020285 transcript:KZM92350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFSLLKFWRNAAGESTVGDLDVRNRAPESDDETEDFFDLVFTGADCEFTLQQQNKNKKVHNDSDNSKSKQTVQNKHKSPKLQAGEAKSSSIRKILPIETHTKPQSPISLLKSPYRFRVFKFGVKDKTESSPSRTATSKRYTTEEVEVPMNTFLTRDNSLRSKMRCENFEDSSPAYELVRKDSAVHKYMKLIRPFYVKASKIKMFDVSPSATPSSTASVSSPRKFVGEKSGGRAVVFRGLLKNRWASSTVGVVPSPSPVSRRDDSIMQQQDGIQGAILHCKRSFSSSSCSSTRSQECTVLSRSASDSSHQKAINQARVSVEEKRSSI >KZM92806 pep chromosome:ASM162521v1:6:36429596:36433155:-1 gene:DCAR_019829 transcript:KZM92806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSESVQVTNNLTNPEASSQRTLYPYVTGTSVVAIKYKDGILMAADMGGSYGSTLRYKSVERMKSVGKHSVLGASGEISDFQEILRYLDELILHDNMWDDGNSLGPKEVHNYLTRLMYNRRNKFNPLWNSLVLGGVKNGQKYLGSVSMIGVHFEDNHVATGFGNHLARPILRDEWKEDLSFEEGVKLLEKCMRVLLYRDRSAVNKLQIAKLTEEGMTISQPYSLKTFWGYGAFQNPTVGAEGSW >KZM89730 pep chromosome:ASM162521v1:6:3875010:3906320:1 gene:DCAR_022907 transcript:KZM89730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPSDTVRNDEESDKDLSLIVPETVENATFHDVIEALEDDQNVCISTEKTDLIQAGDHKGSMILTLNTALLRSNDEEVNQDLSFEVLEKAENATKIDASIEAAEVLKDYKTVSTQIDGVIEPAEVPKDDNKLSIFTGLKDETETSDWTEFGDDDYVITDEEIKETVGCESLADKMSIEDEIEISDNIVMRKLLRWPRYFDLLDSGRGACYNCGEEGHTVVRCTMAKRRKPCFVCGSLEHNAKKCAKKIQCYICKEFGHLCCAKYTFTVGGKVSCYRCGQSGHTGLACNILRGERNEIESPNSCYKCGGGGHFALECTNSLKPYARLLRGPNKMESPSTCYKCGQGGHFARECTESIKAATRLRGGPNIQESPSSCYRCGGEGHFARECSNSPMVIIEGFKSYKEQVATEPFSSKVNCVVGANGSGKSNFFHAIRFVISDLFHNLRSEDRHAFLHEGAGHQVLSAFVEIVFDNSDNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGNKRNQIIQVVQYLDERLRELDEEKAELKIYQQLDKQRKSLEYTIYDKELHDARQKVVEIDDARNKVSEASTKMYNNVLDAHEKSKELDKTFKDFTKEIQSLSKEKESVEKQRTEAIKKHAQLELDDKDLQEKIFTNIKAKDDATKQLELLQREIQESTEELNNIKPLYNNQVREEEGITREIMEREKRLSILYQKQGRATQFANKAARDKWLQKEIDEYKRALSTNLAQEKILTDEIDKLETDLEEKDAYINGRQNDAEALESFISQYREGFNQHKRQRDKLHDERKSLWQNENELSSEIERLKAEIVKAEKSLDHATPGDIRRGLNSVRRICGEYRIAGVFGPIIELLDCDEKFFTAVEVTGGNSLFHVVVENDEISTQIIRHLNALKGGRVTFIPLNRVKAPHVVYPRSSDVIPLLNKLKFLPQYNPAFAQVFARTVICRDLDVATKVARADGLDCITLEGDQVSKKGGMTGGFYDYRRSKLKFINIIRKDTQSIGEKEQELERVKIQLQDILELTFGKCNVDFLGRMVDNSKLLICFLFYVIDQEINKLVSEQQKNDAKLSHDKSELEQIKQDINNAKKQKASDSKALEKKRKVLGSVLSQIDQLKASMAMKKDEMGTELVDHLSPEEKDSLSRLNPEITDLKERLISCRTNRIEIETRKSELETNLSTNLVRRKQELEAVKLSAEPEMLHSEAELKRQELRDAKLLLDDMTQQLKRASESIEERTKKLKKIKDEKNKLKTLEDDYQRTLQDEAKELEHLLSKRNTFFAKQEEYSKKIRELGLLSSDAFETNKRKSIKELYKLLHKCNEQLQQFSHVNKKALDQYQNFTDQREELQKRQEELNAGDEKIKELISVLDLRKDESIERTFKGVAKHFREVFSELVQNGHGHLVMMKKKDADQLDDDPDEDGPRPSDMEGRVEKYIGVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMVRRLADMQNTQYITTTFRQELVKVADKIYGVTHKNRVSRVNVVSREEALDFIEHDQSHKVD >KZM91864 pep chromosome:ASM162521v1:6:28499330:28502291:-1 gene:DCAR_020771 transcript:KZM91864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLYGTGEIVELDEERNFSQNYQGIHQPNAHDKAKKTSVSRQGRISPIEDDINKLFEGIYIKTSSKGESPDNRVASALPSKKDSKRPMRVSASTSGIGFSEQVSLKQALRGLCISQASEIAAMKRLSKPPGSPAMSESGNLSSLFRSILVETSESGLPIAEAKNVRSEVSLVPEVGTSGTRGKGPLCHQEPKSQSFNYNSHMSPRFAVPLTTMTKVSTLGKNEVVSASMEVSRQPAKVELLQKEVSSSFASLPDHVGNDKFSKHGRSISAAAKLKEDVDENLYASTHLANEDAPRLRRKAKFQRVRPNSISSNKLGKFSKNVPRTFKPVIRNKNFAKKKPKQRSTFNGHDDVNCELDIRTNELVCQKCQCSLVDSKKETGRDYPVPSSVVISTESISNVNHASSKTNFNVNACVVIPKTSNNSRFREKGEFSQSSKSSIGEFSSSTSLSEDSYLSGSIYGNRPHMSKDSRWQAIQHVMKQCGFLGLGHFNLLKKLGGGDIGTVYLAELIGTSCLFAIKVMDNEFLAQRKKMPRAQTEREILRILDHPFLPTLYAQFVSDNLSCLVMEYCPGGDLHVLRQKQPSRYFPEQASRFYVAEVLLALEYLHMLGIVYRDLKPENILVREDGHIMLSDFDLSLRCAVNPMLLKSSSLVTEPPRVSGPCAGSKCIDPFCMKPSCQVSCFTPRLLPVSGKLRKAKTDLATRMRSLPLLVAEPTEARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTLGVFLYELLYGKTPFKGAGNEETLANVVLHSLQFPDTPIVSFQARDLIRGLLVKEPEYRLGYTRGAAEIKQHPFFEGLNWALIRCAVPPEVPEPYDAIIPKFVSRGKGSNYLEYGASGENLEFELF >KZM91695 pep chromosome:ASM162521v1:6:27163146:27170845:1 gene:DCAR_020940 transcript:KZM91695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESANHQHPPEPQPPPPLAPPLGAARGPSFPPAEQLLQLHYCIHSNPSWPQTVILAFQHYIVMLGTTVMIATTLVPRMGGGPGDKARVIQSLLFMSGLNTLIQTFLGTRLPTVMGPSFAYVISVLGIINDFSDSNFSSEHDRFVHCMRAIQGALIVSSFINIILGFGRAWANLNRLFSPIVIVPVVCVVGLGLFGRGFPQLANCVEIGLPMLILLVVCQQYLKGIHPTAHSIVERFALLFCIAFVWIFAVILTVSGAYNNVGQQTKMSCRTDRSGLLSSAPWIRIPYPFQWGAPIFRASHVFGMIGAALVASAESTGTIYAAARLAGATAPPTHVLTRSIGLQGIGQLIEGIFGAAVGTTASVENVGLLGLTHVGSRRVVQISTVFMIFFSIFGKFGAFFASIPLPIFAAIYCVLYGIVAATGISFIQFANNNSMRNIYILGLSLFLGISIPQYFVSNTDPAGHGPIKTDGGWFNNIFNTIFSSPPTIAMTVATVLDNTLEARNTHDDRGIPWWVPFQHKKGDSRNEEFYSFPLRFHEYIPTRFL >KZM89841 pep chromosome:ASM162521v1:6:5738812:5743531:1 gene:DCAR_022796 transcript:KZM89841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLNEVARTGTIDELYRMIREDPSLLEPADELQFIDTPLHIAAQVGNTHFVLEMMRLKPSLSRKLNPDGFSPIHLAVESNNDNLVIRMINIDRNLVRVQGKEYCTPLHCVAKKGNVKLVVEFLLACPESILDVNVRNETALHMAVLHNKIHTVKVLLEWSKLLDSEFLLGWTDEQGNTILHIAASTNDIQMIKMLIPMVDMDAVNLGGKRALDIFQAETLTLLQKDKKLKIIHWLFRKRSHYNATLYCDTNNNCSVAESLKRGFPWYKRWILANHRHMSQVNKDGILVVAVLIATTAYQAIVALPTVFDNKLIASASHYYFLVFQFFNTAAFVASMSLIYILLPPGISYMLQLIIPIVMAFHLFRSEITMKAITKSIHKLNKVAEAGDIEELYHSIREEPYILENIDKIPFIDTPLHIAAKAGHIPFTVELMRLKPSFARKLNPDGSSPIHLAVQEDHERLVIRMINVDRELVRVQGKNCNTPLHCAADKGNVELIVEFLLACPESILDVNARKQSALHLAVQQNDIHTVKVMLEWLKLLDAQFILGWTDDQSDSILHIAARKNNVEMVKMLIPKIDMHARNSDNESARDIFEAQNPDLLPNDKKVTIMQWLVRQKSHYNATLYCDTNDNTSLKESLRKGFPWHKRWILSNHRHISLVDKNGVLVVAVLIATTAYQAVIQLPPIFDPASIAYTTHYYFFIFQLFNTTAFVAAMSLIFILLPPGITYVLQLIIPIIVCYFVGLYLSNLETGLFMISLFLFLWQFVRFGRRDRNGRRERHFLLRHGASFSRELEKKGYKYETAI >KZM92696 pep chromosome:ASM162521v1:6:35507243:35507761:1 gene:DCAR_019939 transcript:KZM92696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLATADICDAHIPLLNNGDVRVLQPIFQSYGTCRAFSGPIVTLKVFEDNVMVRELLETKGEGRVLVVHGGGSMRCALVGGNLGQLAQNMGWAGIVVNGCIRDVDEINSCDIGVKALATHPRKSNRRGVGEKNVVVHIAGTIIHDGEWLYADGDGILISKTELSVKVRSLL >KZM92279 pep chromosome:ASM162521v1:6:32041273:32041650:-1 gene:DCAR_020356 transcript:KZM92279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDFKNLLNFHLHIHHHHHHHNHHNNHKKEMKEIPKGCLAVMVGQGEEQQKFIIPVIYINHPLFMQLLKEAEEEYGFDHHGPINIPCHVEEFRNVQVMIDQENSHHHGHAHHHHNHHVWCFKA >KZM89417 pep chromosome:ASM162521v1:6:589463:589675:1 gene:DCAR_023220 transcript:KZM89417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKLAGTHEESDDELMQDIRQIPINSAMDKDVSDEDTSDEDTSDGDSSDADNIGAMTPDSDADEFYKSE >KZM91983 pep chromosome:ASM162521v1:6:29578998:29579955:1 gene:DCAR_020652 transcript:KZM91983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKLYIISFLITSLIFFLLYIPTQLTAFNNTTRFKPPKSFLIPKSSNSSYPVTFAYLISASKGDTLKLKRTLLALYHPGNFYLIHLDSEASEAEQLEIVDFVSEHPVFDQVGNVWIVGKPNLVTYRGPTMLATTLHAMSMLLRSADWDWFINLSASDYPLVTQDDLIHAFSDLPKDLNFIQHSSRLGWKMNKRGKPIIIDPGLHSSNKSDIWWVIKQRSLPTAFKLYTGLSSSWSLLVI >KZM89862 pep chromosome:ASM162521v1:6:6233757:6237491:-1 gene:DCAR_022775 transcript:KZM89862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGLVNEDYDMGGLNGSKDGLFREAEVGSGDLREEMSVDGVVGGTELGKSGEAVDGQNCRQEDGNVDEGDGMVDIDDCGVDKEADRDVVMLLAGGGVMDNGKDGVPGVSDSVDASVSEYGDGGNGDGNVIIEVSGTDFTFPDNQKSDQSVISESSSEQEPQVHREFGELNGSGEREGAHRQISGSTEESICETDKILKINVVHGTSSADPQDDKSEGLNDVLGRSQCDEKAEEKLADVSTGDGIELDDESAVELPSVALPKWQNGVSFSKVLNSNGFEGGGHDHQLQEADSLLDQNEKSLELNTNTATITNTHQDDNNVDPEDNSARLHSGQQADKDETPCNELHNLEMEVISDRSSGLEDKMIAGIGADDKVPAEELVVSLNLKQNEGTSVLDDNICTSVEESSDVLEVDITPTTEVSLKEDEKTEGAASHVEQSLNRSVTYQTSLSAEKSKSVDGGIAGEALNTEQRKQTIGDTKVPETRPAATPSPAGLGRAAPLLEPSSRVVSQTRVNGTSSHMHNQVTEESANGDVEENDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGGNGGRGATFSFDRASAMAEQLESTGQEPLDFSCTIMVLGKTGVGKSATINSIFDQVMFNTDPFKLGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQHRNEKILESVRRFIKKSPPDIVLYLDRLDMQSRDSGDMPLLRTITDTFGPSIWFNAIVVLTHAASAPPEGPNGVPTGYDMFLTQRSHVVQQAIRHAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANTLLKLQDGPPGKSFPTRARAPPLPFLLTSLLRSRPQLKLPHEQYDDDDTLDDDLDESINSDDESEFDKLPPFTNLTRAQLAELSKSQRKAYYDELEYREKLFMKKQLREEKQRRRMMKKMAAATNDMPTDYSDGLEDSGGETSVPVPMADLPLPASFDSDNPTHRYRSLDSMNQWFVRPVHDSHSWDHDVGYEGVNMERAFAIRNKVPMSFSGQVTKDKKEAHFQMEVAGSVKHRDGKSTTLGFDMQQIGKDIACTLRTDTKFSNFKRNKATASLSVTHMGDGVTAGVKFEDKLIVTKRAEVVMSGGAIAGSGDIAYGGSMEATLRDKDFPLGRFLTTMSVSLMDWHGDLATGWNAQTQIPIGRSTNLIGSVNFNNRGQGQISIRLNSSEHLQIALVALVPLFRKLLGYSQQLQFEY >KZM91138 pep chromosome:ASM162521v1:6:22497496:22500237:-1 gene:DCAR_021497 transcript:KZM91138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQEDFLYRVFTKWSEAQNKFSSGAILETKFILQLTDIPMLPSILKETLCVDPNCAKPRHIPQTQWRCPPKIAIDSNWHVAAGEESTEAVSQRRDATDPRPSAPLYMSGDNDDNKTPVIPLNPIELEEEGSLRNNSSTASVTTDSMKREKQKEVLTSGTSVTDNIISAESVKNSDAEKPESSKSSALGGDIMAVAMAGAAAAITAYASRQDEQTSIDIGLLMQFLSNPDTVHKLISEQSVPGIELASILNKPTVSVPFVRSNSDQLKLSTNNTCVSGNTSVVISKPDARTSSGSIQSSRPDQGPIDIDLLARLLKNPKGIEELIKEYDASDRSGSLSASMMMKATVNQSSPAIANNSEPLLSCVNTDGEESSPTITGVPFLVPQKIFSSVFTASTKMSLIDKQLPSPETTMAPMSPLYSSRSTNTEAGAMKIERLDGGVADGMRMDPRPVTRLMSPVDSVSLPQLLGLPKASTQPNVLQSFLQKRPVLPELHPNPVLRESSSVAMPRSMLMSSSGLVPSPRTEFTLPNSRPVAIMGPLPVAFSHPNPLMRSVAHPENHVNYISDRTAHGGFGLPRASFQPTANVLQSFQQMTPVSPEVYPRPVLGVSDPGCMPVTSLGLDPFARADLFTLPKANSQLAANMGPLPLVLSHTNPFMPAAALPENHGNFLSDETGQRRGMQKTLNNGFLSSHDNTQVVGQGLSLIKPPKPCIYFNTPRGCWNGTNCPYMHIKSEQPAPSQVEALHSAKRIKLGWETTGRM >KZM90061 pep chromosome:ASM162521v1:6:10196456:10196935:-1 gene:DCAR_022574 transcript:KZM90061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLIAVLGALVVCSSARVLTSRKGESFGDEKTFYGRPGLGGGLGGGGGGGLGGGGGLGGGSGFGGGAGAGAGGGLGSGGGLGGGGGGGFGGGGGGGLGGGSGFGGGAGSGFGGGAGGGAGGGFGGGGGGGAGGGLGGLGAGFGGGSGGGFGGGLP >KZM91973 pep chromosome:ASM162521v1:6:29502192:29508754:-1 gene:DCAR_020662 transcript:KZM91973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIVVLHGSKQNSQFSVRKYLPSGSTKDPWLVVREGSVADVDASLALLKKNGGNINTRNAFGLTLLHIATWRNHVPIVRRLLEAGADPNARDGESGWTSLHRALHFGYLAVASVLLQEGASITSEDFKSRTPVDLLSGPVLQTLGNEENSVATELFSWGSGVNYQLGTGNAHIQKLPCKVDSLHGSFIKLVSAAKFHSVAVSAKGEVYTWGYGRGGRLGHPDFDIHSGQAAVITPRKVTSGLGSRRVKAIAAAKHHTVVATEGGEVFTWGSNREGQLGYTSVDSQATPRRVSSLKSKIVAVAAANKHTAVVSESGEVFTWGCNKDGQLGYGTSNSGWNYTPRVVEYLKGKVFVGVAAAKYHTIVLGVDGEVFTWGHRLVTPRRVVIARNIKKIGSTPLKFHRKERLHVVSIAAGMVHSMALTDDGAVFCWLSSDPNLRCRQLYSLCGRTMVSISAGKYWTAAVTATGDVYRWDGKDGKDEQPVATRLHGAKKASSVSVGETHLLFISSLYHPTYPPSVLHHSQNLKLKVDDELEELEVNFVYDDAETDNALFTIQEENTGTLPVPSLKSLCEKVAAEFLVEPHSAIQLLEIADSLGADDLRKHCEDIVIRNLDYILTVSTHAVATASLDILASLEKSRDLKSSELWSYRRLPTPTATFPAVINSEEEDNEDGFIRTSDNHNKLLTSMKDKYQRLDNFLHPDEDVNQGICRQVRALRKKLQQIEILEEKQANGFHLDNQQIAKIQTRSSLESSLAELGSPVSSITTKAASPINSDGKANKKVEASRKQRRKSKHKVTEAEVMSGNDEIHVEANPVKGFSPLDISWLKQKEDAVLEVSVTKQVNNEESFIQKGFDNMPSKISSPAASKKKKKKGGLSMFLSGALDDSPKVAVPSPPTPKVEGPAWGGNKISKGRTSLRDIQDEQSKTMEYKTTRNKDQVDEHSKTKEYKPFRNKDQVEDPLDGKSGGKVLLSSFLPSTPIPMVSPGAASQVPDGERGTPPWASSGTPPLMSRPSLRDIQWQQHGKQQQSLAHSPKTRTTGFSVNTSPTCPSDSAVSNKWFKPEVDVPSSIRSIQIEERAMKDLKRFYSNVKLVKNQS >KZM91006 pep chromosome:ASM162521v1:6:21320610:21326868:1 gene:DCAR_021629 transcript:KZM91006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKEGKMNVSSIDALGDDLCDTVLCTPKLSSALSSNPSLQEAVEEMFNKVFAELIRPHFAIVTVTSPDLEEARQLITKKLGSTIPIIYTAPTGLIGRDAITNEFKELQWQVYVDQNDSSSVSFDDDDSAVRQRRVICLTVGFLPGLKVAAVPLFQSKMVPFVDEFLEDISECVTSVSGCKAPIGMILFTDESMDSDNLLRKMDYSMPPETVIVGDLCGEFLCGNDVMNKRSKRKRQPLFMVAVALLFMQDPNKPPGIGDIKLHAALSAGLVPVGHKYEVTSVNESSVYWTKLTARRENSDQNLDEQTVRNIFGIGNRLLFDAYIGIVKKRKDIAGFEEVKWLSSQIFHAVHGVDKEDLVVDSGADIETGDCFRFFKADSHMTSSSLRQVSDKFRDLKISCDVGNSDGQRIRPANSEKMTIFGGVIFSCTRRGKPLGKAKLGSSPFLENFPDVPLAGSFCIGEICRGDSSSYGQLSEEGSHRCCLHYNSSVYLLMSYTPSSQGS >KZM92779 pep chromosome:ASM162521v1:6:36244728:36252154:-1 gene:DCAR_019856 transcript:KZM92779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEMIESNTVIEEEEEWRRDTILPEVMKIVCSRSMLPHKDLISLLLVSPSLRRSLNSYSSLWPVIDLREYSNAGDRLLAALSLARYQNVNQISLEFAQGVEDKHMELLKKKFNSSVQNLEHLNLNGCQKITDKGVEAITDACPKLKSFSIYWNVRVSDLSMTYLVKNCKNIVDLNLSGCKVRQANRWGFATDIMQMLCSADSKSLCRFKNLSDEGLSCVAKCKNLVSLNLTWCVRLTDAGVISVAQGCTSLEFLSLFGIVGVTDKCLEALSTSCSNTITTLDVNGCVGIKHIVASSLREATFTAANSQRIYSKNFRAQIWSLDSSARSLPCFYDAYYSIADLREVVRVKYFIGRLY >KZM89539 pep chromosome:ASM162521v1:6:1759069:1760752:-1 gene:DCAR_023098 transcript:KZM89539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIDGERGNEYRRQILPSYKANRRKFVQPLSALQTCGKSSTGKHQLITDVLRNCNVPVVRIESHEADDVVATLVGQVLERGYRVVIASPDKDFKQLISEDVQIVMPIPELDRWSFYTLKHYVAQYNCDPHSDLSLRCIMGDEVDGVPGIQQMVPGFGRKTAIKLLKKHGSLENLLSVAAVRTIGKPYAQEALLNYADYLRKNYEVLALRRDVNVHLQEEWLSHRDVNNDEKSLANFIELLGETTWNMSRQSRSSCNE >KZM92416 pep chromosome:ASM162521v1:6:33243306:33244268:-1 gene:DCAR_020219 transcript:KZM92416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHKGRKASVKEAVKNDVVEDLDVEVSDQDEESEDDVEVSDQDEESEDDAEESGEDDGDVKLSVPQEKAVYDRAGLLDKLGNVSWPENVDWIHKLTLDYSQEKEVDVNDDLNRELSFYTQALDSTREAFMKLESMNIPFLRPTDYYAEMVKSDHHMEKVRGRLLAEKRRIEEAEERRKARENKKMSKAVQAQKQKERAKEKKNEIESVKKWRKQRQQSGFPGNGKAFDIGLSFEDGNTFERSNKKRPGVHPGDRSGGKARQGAGRGKPGADKKRKGREFKESKYGFGGRKGMKKQNTAETTNDMRDFSKGFSKNKKQKT >KZM90565 pep chromosome:ASM162521v1:6:16907202:16908971:-1 gene:DCAR_022070 transcript:KZM90565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREANWDHLKLDNFTSLQGGPVRRRRKNNGSNRTHQESSNTQNVTLMGLRLIVRLSSKYCCGFKIKEEVREEVSFP >KZM90790 pep chromosome:ASM162521v1:6:19264413:19265206:-1 gene:DCAR_021845 transcript:KZM90790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQIMGLTHLSIHLFIVLSLASIVRSEDSDCVYTIYVRTGSVLKAGTDSIMTVTLSDANGWGVRIKDLEKWGGLMGPGYNYFERGNLDIFSGKGPCLSAPVCSMNLTSDGSGSHHGWYCNYVEVTSTGAHVPCAQKTFTVEQWLATDTSPYELTAVRNYCKAKEEGGARKMLKGSGSVLEVI >KZM89978 pep chromosome:ASM162521v1:6:9104454:9109251:-1 gene:DCAR_022657 transcript:KZM89978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFRAALQASLTATKRALTWNVEDLIPPSERFIFNFNSKEELKKWHLYSDSEYGGLSSASLEITDTGTESSNTGIFSGNLSLDVTAGSKWNISRSGFCGMRSKKFDGFIDLDPYDTIALKLKGDGRCYISTIYTENWVNSPGQEEDNSWQAFVFVPKDDWYIAKIPLARYLPTWRGNVIDAQMEMNPSRVLGMSLSVNAEGGPPGAKSGPGDFHVEIDWVKALRTV >KZM91762 pep chromosome:ASM162521v1:6:27651635:27652231:1 gene:DCAR_020873 transcript:KZM91762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KZM89576 pep chromosome:ASM162521v1:6:2247173:2247933:1 gene:DCAR_023061 transcript:KZM89576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLASFAAVQPMTIKGLAGSSLTGTKLHIKPSCQTFKPISYKSGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYPPIQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYVSSTATGDVLPIKKGPQLPPKLGPRGKI >KZM90330 pep chromosome:ASM162521v1:6:14308057:14313558:-1 gene:DCAR_022305 transcript:KZM90330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHRPQLRRSSRIRQQSFPKSTSTEPIDLVQSSSASKPDDDMDHQTKTYRHRPQLRRSSRIRQQSFPKSTSTEPIDLVQSSSASKPDDDMDHQTKTYRKSGNKAKVTAGRPLQDPHHTTETCKHNYTLADLRREHQQQQNMDEDEESSYEEYDADITENEDEDKSEVEDSSDEQTDANIRENEEEDSEEISEELNEQPPPPKRKFTKWKRPKNDKDQYAKAKLPNVKFTKKKNAKTDKWQQQDNDYTDPCEDNIHEHVGSQEWSPCTKSTNQYQQKQDGINHQSIPDINMEDVQDTQTQACEQGNNDTLNQADGTGNQHDRAWNQDDRAWQTWSPWEKLKDFQSKTMRTNDVPEVHMEDVEQEYERDTSKRNNETGDWLQSLRNSAQDLIELMMLFDTDLKLTLAKDPENPEIHMIQNLVNDVFGNNQPAFPQQNTHQTSTQQDPKKPIPSEIDDDFELNSQDIEQLDLIEFLHSAKKDININHLFVTDEKEDVIPNFSLGIDDDIYGNNNQAVNLGSDEQLDVSKDDDHVITPKPAMREKSQRASKLSRYGKSPYVDRVVDIKSKLTNQEFGLWKYMIRKEDPIDDMQALKINTNVATSVIDIWIFILNDEEKYRSDESPLRLFYTIGSVLPSLANHVKLAPTYPLFVVNMTEMLTRINRQAIETMHMRLVNFAIQSSQTSQEEDIAVTTQRKADNKKTVTFAKNLTSTLNEAADNQ >KZM90522 pep chromosome:ASM162521v1:6:16513482:16513972:-1 gene:DCAR_022113 transcript:KZM90522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNLAFLALLAFLFIASTSYARPAPEHYLHVMKGEAMLKQQNLTEIRNSGLNKKLTSDAKEKLGEANVHYPEQRLTEEYDDPLFKEKKTVDEFGPDFPIYGGQENRTVDEFGPDFPIYGGPLFGAEKKVGGKPKP >KZM91573 pep chromosome:ASM162521v1:6:26144062:26145016:-1 gene:DCAR_021062 transcript:KZM91573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEVILLDFWASMMGTRVRVALAEKGVVYEYKEQDLRKDHKSQLLLEMNPVHQNIPVLIHNGRPICESSNIVQYIDEVWNDKAPLLPSDLYQKAQARFWVDYIDKKIYDAGRKSWALDGEEREAGKKELIENLKVLEDELGDKCFYGGDTFGYVDIALVTFYSWFLTYETFGNFKFEEECPKLMAWIRRCLKKESVSKSLPDSQKILDFAFFLKKTYLKKSG >KZM91877 pep chromosome:ASM162521v1:6:28597866:28598234:1 gene:DCAR_020758 transcript:KZM91877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASGENVEEHEIRAYEEGLIGDMLEKEEDEEELFEINLDVMEKMPGSLRHNYSENDTNYSPAKSSSSASKLALLANCLLPVSDLSCAVPMAPRLTGSRDIIIVAAADSIYILPKLLHVLF >KZM89972 pep chromosome:ASM162521v1:6:9052611:9053548:1 gene:DCAR_022663 transcript:KZM89972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRISPEKSRFAQTCNLLSHYVKEKGSLRDLNIDINGGVSAHNNVKPMNLFPQYATMQDAVRITSSREKNETEVKNGQMTIIYAGQVLVFDDFSAVKANEVMQLASKYVNASKVVENPSNSMAFASSMGSISGSVEAQNEIKQQAQPIWLDLPIARRASLHRFLSKRKDRASSRGPYQLHKPSAESPKELFDLNL >KZM92593 pep chromosome:ASM162521v1:6:34670692:34671782:1 gene:DCAR_020042 transcript:KZM92593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFEDLCSSGLSLGLASSERPKDRKISRGSSLNLALSLELSSEGDVVARSGVLERQVSSISAVSSLSDSDFMKSKGGVGSIRELGGGREVFDDHDQDEDGMGGRKKLKLDRFQSRILEDSFKDHTTLNLKQKQALARRLNLKPRQVEVWYQNRRARNKLKQTEMECEMLKKCYETLKDENRRLEKEVQHLTAIQGKGMMQVPPANLTICPFCERITGSVSRFMTP >KZM91932 pep chromosome:ASM162521v1:6:29090775:29093472:-1 gene:DCAR_020703 transcript:KZM91932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYYHGNSEIQGDGLQTLILMNPGYNNNNNVGYSDTPQQQQQQQQSPTGNYMFLNSNSTGGNSLGHSTMSHAPPTQQFVGIPLSATASAAPQQDGNNHPHHPSMVQSHHDMSGLHGYMPRLQYSLYNPVELTAARDVTRTPQGLSLSLSSQQHGFGSFGTDRELSSPALTPGHPPTISPRSGGGGGEDVRPHGGSSSSVSGVSNGVNGMQSVLLSSKYLKAAQELLDEVVNVGKGVKTSDLPVVPTNGNMKNGENSSPVATGDGLSGGGEGSSKRPVELTTAERQEIQLKKAKLVNMLDEVEQRYRQYHNQMQIVISWFEQAAGIGSAKTYTALALQTISKQFRCLKDAIMGQIRAASKSLGEENSLAGGKLEGSRLKFVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQNGSEDKTSKSEQNEEMASKGKAPQDKSPTENQDNLTRHNSQNTVSASAISTSPTGSNIRNQSGFTLIGSSEMEEMTRGSPKKLRSTDMMHSVSGNALYMNMESKPETNNEQMSMKFGNDQRQTRDGFTLMGSPTNYIRGFGSYPMGDIGRYGADQFTPAPYSGNGVSLTLGLPHGENLSMSGTHQNFLSNQNMQMGRGVDISEANEFGAIDTPTSSHSATMYENMNIQNRKRFAAQLLPDFVA >KZM90799 pep chromosome:ASM162521v1:6:19360683:19362692:1 gene:DCAR_021836 transcript:KZM90799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLRQIHANLIKTGLIADPLVASQVLKFSALSEHCRRDIQYAHKVFVQMPQPNCFSWNTIIRALVEVGDNGYSVEALNMFYLMLESEFVEPNKYTFPSVLKACANVGLVEVGKQVHGMIRKYGIERDEFVLSNLVRMYVLCGEMADAFVLFEDNVSRSDCKKMNLGNVVLWNVMIDGYVRAGKFSVALEMFNDMPDRSVVSWNSMISGYAQNGFYKEAMEMFREMQMGDVCPNYVTLVSVLPAVSRLGALELGKWIHIYALRKGIEIDEVLGSALIDMYSSCGSIIEALEIFESLQHKNVITWNAMINGFAMHGQAKDAINYFWRMEQVGLSASDVTYISDPHREYKYSITC >KZM91510 pep chromosome:ASM162521v1:6:25722886:25724793:1 gene:DCAR_021125 transcript:KZM91510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEQSNIRLARDKYRSFLHDAVADTHWRHGASPTYDQVNLLFEEGRTKVWPEGSLEETVQNAIKSWEMELSHKTRLQDFQSINPDKFKLIVNGREGLSGDETLKVGSYNALLKNSLPEELKYYKAEEESFESSHDAFRSAFPRGFAWEVLGVYSGPPTIAYKFRHWAFFEGPFKGHAPTGEMVQFCGMGVLKVDEALKVEDCEIYYDPAELFAGLLKGPPLSADHQQLDNVAASTNTTSTKRCPLH >KZM92420 pep chromosome:ASM162521v1:6:33270111:33271127:1 gene:DCAR_020215 transcript:KZM92420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGELRKLQSLLLWQNNIVGTIPFGLGSCTQLTVIDISENLLTGSIPTRFRALSGLQELQLSVNQLSGIIPTEIINCTALTHVEIDNNNISGEIPSLIGKLKSMTLFFAWQNKLTGNIPESLSECENLEALDLSYNHLFGPIPRQIFDLKNISKLLLISNDLLGFVPPEIGNCSNLYRFRVSDNRLAGTIPPEIGNLKSLNFLDMCNNRIVGGIPASISGCKNVEFLDLHSNALTGSLPDRLPKSLQLLDISDNMLTGPLAPSLGSLTELTKLNLRKNQLSGRIPAQILYCSKLQLLDLGSNGFSGEIPKELGQIQSLEISLNLKSCEMFKKSLRTYT >KZM92376 pep chromosome:ASM162521v1:6:32967008:32970989:-1 gene:DCAR_020259 transcript:KZM92376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRGSNSSRKVRIIATIRGYTDQESGSISENSLPLISVYKHGEGGSDEKVTLSFGEQPACRKNAYDMDYCYGQNEDSSLIFVKEIKPLISGVMNGKNASIIAFGARGSGKTCTIQGSEDKPGLAALAMAEILSAVEENGNLIAVSVYEVYQDNVLDVLDANKKVRINSMSEFVKLYYNNGTRKATEKVGPKIARRSHKGLMICVLSDSGNPNSKVVGKMNFVDLAGYEDARRNSIDCANPVETANINKSLYALLNVVYALNTNESRVPYRESKLSRVLQDSFGGSSRVLLLTCLNPVCQDTMYTVSLASRSLQGTNGLSDTMIRSSVSLAKPVQSSMKNQKLPILSDATNKKVGSTTIMRSSKSLTKQTQSSVKNQKPATVSATSKKGKESQSRFHKKIVAKSGRKLFDDGVPSTTHKEAKLAVDCSSAISLNEAVGVASSPKKKEETIEDSSLKIMDSTEILEKENSLAPACNTSEEVASPLISDIDFKALSPLEEESDIIKEENNNSQILEKSPPLSSQLRELSNSMKSLYSSTPSVIATQDISNPFSRLSVLEPQTPQTPLTSSLQNPREIFNNRSSGMKQSLVKDCLQFLNTASKDELKGLKGIGEKRATYILELREESPEPFKNLDDLQDIGLSAKQVKGIMRNVAGDLFN >KZM91058 pep chromosome:ASM162521v1:6:21731916:21732470:1 gene:DCAR_021577 transcript:KZM91058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNTATYNTPCAACKFLRRKCVPDCIFAPYFPPEEPHKFANVHKIFGASNITKLLNDILPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQMQVERLQEELDAANADLIQYASSCNHRYPHQNMSSALPIQSTTTTQYTSQQAPRQIRPNNNAYYPTPSTFSFPWNDNNASGRGGAAGE >KZM92625 pep chromosome:ASM162521v1:6:34932256:34934797:-1 gene:DCAR_020010 transcript:KZM92625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEKWVEEGNRVSGSELRRISTQLVKFKRYKHALEILNWMETQDRFQMTAADHAIRLKLITEEHSIDEAEKYFASIPSPSQKYAFLHLLRFYVKEKDTEKAEDLMTKMNDSGMIVSPHPLNEMMKLYVATSQFAKVVFVIQHMKRNMIPRTVLSYNLWMTACAEVSGVSSVEVVFKLMEIDKHVVVGWSTLATLANIYLKEGLIDKATWAVKAAEKKLSAVNHLGYLFLMTIYTSLNNKDAILRLWEACKKVDRKITCANYMTVISSLVKLGHIYEAEEIFTEWESQCRKYDIRVSNILLGVYMRNGNTEKAEALHLRTSERGGQPNYKTWEILMEGWVKNQEMEKAVNAMKKGFAMLKHCEWRPSSSIVETIARHFELTGDIGGATRYVGVIKRFGLASLPVYKSLLRLHTCNQRPIDKIIVMMQKDNMELDEEVLTLIQSTEVQKNQ >KZM90486 pep chromosome:ASM162521v1:6:16220605:16220982:1 gene:DCAR_022149 transcript:KZM90486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLGFHAVLAVMIITIMTLSLPSHSVEASGPHQNSIGWMPARSGCRGTVADCLPGEDENEEFGLDSESNRRILASSRHISYGALQRNNAPCSQRGASYYNCKQGAQANPYNRGCSAITRCRTN >KZM89500 pep chromosome:ASM162521v1:6:1333754:1337818:-1 gene:DCAR_023137 transcript:KZM89500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTHAVRPWMVDTQLLVQPIMSAQARDGENSKECMTGGSLFQGQYLNDEADTDASVPNKTTLDIKRSTVSNVILTRDEPVEEPWLLQYSSLSYYVEESQVSNNMIDGDEIENLHCSPLLKLNYSGGKDINKTSSSHGTLSESDFAVEEPWLFQPASLFPSPANGVCYASKNMESFKDELEDVECHNLLQAVQGELSSEEESSIDKGLSASVDTPTRSAAQFESLIYDSTFSKRNEEESSIALQKSISTVILINSSLCTMQRIAVLEDGNLVELLLEPVKDNVQCDSVYLGVLTKLVPHMGGAFVNIGSSRPSLMDIKPNREPFIFPPFRQGKKEKDKTSLENLKDYPEMTENGLDLDDAEDIDDPEEHETEDVSVQYMHDDFREHEIEDDFDVMEDFRENVNGSVPGHGNEVKSDTSLDQFGGEGHHNESQTTGKLLLTDLGFPPNLQDVEDQKDLRTDKNKWGPVRKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWVLSTQSNTIGISKKISGVERTRLRVIAKTLQPQGYGLTVRTVAAGHSLEELQKDLEGLLSTWKVIIEHAKSAALAADEGVEGAIPVLLNKALGQTLSVVQDYFNEKVKCLVVDSPRTYHEVTNYLQEIAPDLCDRVELYSLRTPLFDEYKVEEEFDKILSKRVPLSNGGYLVIEQTEALVSIDVNGGHCMLGDGTSQEKAILDVNLTAAKQSSEWFAFYIQN >KZM91004 pep chromosome:ASM162521v1:6:21294498:21300375:1 gene:DCAR_021631 transcript:KZM91004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKEGKMNVSSIDALGDDLLRNVLSRLPSSSFAFAACVSRYWNHVCDTVLCTPKLSSALSSNPSLQVFAELIRPHFAIVTVTSPDLEEARQLITKKLGSTIPIIYTAPTGLIGRDAVTNEFKELQWQVYFDRNYSYSVSFDDDDSAVRQRRVICLTVGFLPGLKVAAVPLFQSKMVPFVDEFLEDISECVTSVSGCKAPIGMILFTDESMDSDNLLRKMDYSMSPETVIVGDRCGEFLCGNDSMNKRSKRKRQPLFMVAVALLFMQDPNKPPGIGDIKLHAALSAGLVPVGHKYEVTSVNESSVYWTKLTARRENSDQNLDEQTVRNIFGIGNRLLFDAYIGIVKKRKDIAGFEEVNWLSSQIFHAVHGVDKEDLVVDSGADIETGDCFRFFKADSHMTSSSLRQVSDKFRDLKISCDVGNSDRQRIRPANSEKMTIFGGIIFSCTRRGKPLGKAKLGSSPFLENFPDVPLAGSFCIGEICRGDSSSYGQLSEEGSHRCCLHYNSSVYLLMSYTPSSQGS >KZM92250 pep chromosome:ASM162521v1:6:31825417:31832003:1 gene:DCAR_020385 transcript:KZM92250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLPVGYRFRPTDEELINHYLRLKINGFHKEVDVIREVDICKCEPWDLPDLSMVESVDNEWFFFCPRDRKYQSGQRSNRATVAGYWKATGKDRFIKSTRGTNVIGRKKTLVFYTGRAPRGNRTHWVIHEYCATEDALNGNHPGQSAFVICRLFKKHDGKLDEVTESSNCDEYEQKVSSSTVKLSAEDTQSEQETPMSSPQAGVQSSRMKGDLDESIMNIFADNHITTDRRNNICPSNEATGHGMGNIPIQADKGMEIALGDFSELQDLPGSKIFSPLHMQMSNEFGSVFFPNPVSFSYENMPVPFQYGSNSADMAAFLVSSGDCSSSRQIPGINCEPQKCTNDHRDFVNTATGSCWESDLEISQRRILTPAEMCSMPDGINQEGRRNVSFPQNASNSSAAVSVDDQVCNLQNLEESRTYNNAVDGDDYTRTGIKIRPRQTDNQAVARKIVLQGIASKRIRLQNKSRVRSISRRLPKTLSYDNEKCDARASFIEARDADEHPATSTAASSRTDEFEGVSLAQSNYGGIVRKLPTRSTGKLTNVPAVFLKKAPVYCFASSYLHQWRILAAAGLVIVVAGICGKLLL >KZM92452 pep chromosome:ASM162521v1:6:33494559:33496650:-1 gene:DCAR_020183 transcript:KZM92452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRESSPELLLNKPFSWLKNTKSLKKFIFSMKLQDLQFNDNLDQPKKGKIQNLDDPNHFSDEILLQIMCRVPQDCKDANFLVSKQWLSLQGRLVRSVRVLDWEFLVSGRLFTRFPNLVHVDLVHGCFDSPQNLCVLLNLDVGSFHVDLDYVNCGLCVLSAEEIDLGLKVLANGYTNLRKLAVVNASEMGLLSVGEECLILQELELHMCNDRVLFGVAAFGNLQILKLIGSVDAVYNGLVSDVGLTVLAQGCKRLVKLELRGCGGSYAGIKAIGQCCHMLEELSFSDHKIEDGWLSALSYCDNLKTLRFVSCKSIDRSPGIDKGMGVCPALERLHMEKCQLRQKRSVRALFLLCRLARELVFKNCWGLTDDIFGTGNICRRVKSLSLEGCSRITIEGLSSVIFCWNEIESLSVTSCSNIKDSEVTPELATLFSVLKKFTWKADTRSLLSASLVGTGMGKRGKFFRKK >KZM91998 pep chromosome:ASM162521v1:6:29672916:29675310:-1 gene:DCAR_020637 transcript:KZM91998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGLNERLIVPKVQEEETDLKSKILQESKNIWRVALPGVISRVGSFGTIVVTQSFIGHISPLDLAGYALVQTITVRFVNGILLGMSSATETLCGQAFGAGQYHMMGIYLQRSWIVDLITLTILLPVFIFGSQLFMLLGQEESIAKRGGYISLWFIPFVYNFVFSLTIQMYLQAQLKNKLIAWISVFQFILHVPLSWLFVTYFSWGVPGAMSALSISSWFLVIGEFIYIFGGWCPHSWKGFSKAAFLDILPVVKLSLSSGLMVCLELWYNAVLVLIAGYMDNAEVAISAFSICLNINGWEFMICLGFLGAACVRVANELGKGNANAVRFSIKVLMATSLLIGVIFSSLCFFFHKELAYFFTNDKEVADTVSDLSVLLTFSVFLNSIYPVLSGVAVGAGLQGTVAIVNLVCYYLIGIPVGVFLGYVVGLQVKGIWIGMICGIVCQSITLSVLTYRTNWDVQVSD >KZM92699 pep chromosome:ASM162521v1:6:35525202:35537616:1 gene:DCAR_019936 transcript:KZM92699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRELVSGGAACAVPGSSSSSNPLGALANALIGSSSKTQERLQEIPTQSNGISEGNLYPGGQEAINALPGSELDHLQHPSSQGSAFLHNFRSSDQNRFADAWDDIQTPQMPPFQGRNGLTNFPLEHTRVQPNLEGPPQRVLSSFLHSFVNSGHQGLPLHPTQLPSLGLSEGDKKCIRDRSSIMARHIFADKSEDFLNSQVNALLSSLDIDTDIRSRGGPPGRFQEMEEYWNDSQVMQPNPHAADGWIAEFGQNRLQLADPNAWAHSFEKQHGANGWASEFEHEQSQLTSYDHMRGASIPNLAAMEQTRMLAHTLAQNDDPKFQNSKFLRFLSKMSQGDFNMDENQFRPPVISAPGDWATEYQQQYNKGQSWADQFAHEEAIHELNKSPLTSNSSRNRLKFVVRALNAKVGKATQIKDLGSLLAHAPEAWANEFSTKHGPVNDQWVDEFSKLNVQDWADEFGDQMGKGVLGDSSADNWADAYDEYVNEQATAKQRSEASRGVYVFSDLNPYVGHPNPMKEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGIANAENDDDQQAIASMMRAQEVDPTNLEVLLALGVSHTNELEQQAALKYLYSWLSHHPKYSTIAPPELFESLYYADVSRCFNDAAKMAPEDADVHIVLGVLYNLSREYDKAIESFKTALELKPRDYSLWNKLGATQANSALDIKPNYVRAWANMGISYANQGMYEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMLDACDARNLDVLQKEFPL >KZM92272 pep chromosome:ASM162521v1:6:32007329:32007835:1 gene:DCAR_020363 transcript:KZM92272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSVVCRRDNNAPCFRDAEKSKNKNVVQLVKIDGKVMEFSAPILVRDVLQNFSGFNIALSREALQHLPLSSRLKLGDTYYLIPSVDGLGIEKGARKVDNEGEGSVKRIKVVITRQQLQDLLSEQGLPVDILSQLRSDTCSENEKFTAIWKPKLESIPEDDEIRSIF >KZM92481 pep chromosome:ASM162521v1:6:33709981:33712502:-1 gene:DCAR_020154 transcript:KZM92481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAELIELYEAAKKSADLAAGDGVEESSPEEDRCVDALKQLKKFPVNYQLLVSTQVGKQLRHLTKHPRKRIQTLASNLIGVWKNIIVEETTKSKKNGNLVSKDSVKEEPVHTSGAKKFARVNSVKVEKASKTENVKLEKTNSSSSLVSETISKSESISTVKKVEHFDSFKIEKSSSGNSSKVEKEITKEVTSDVRKPRATVRPIGSTAPPKMSSVTLCKDPLRDKVRELLSEALLKVSGEVGEELREEADASDPYRVAVSLESAMYEKWGKSNGAQKFKYRSIMFNIKDSQNPDFRRKILLGHVKPDQVLLMTPEEMASDERQRQNKEIKDKALFDCERGGARQASTDQFKCGRCKKNECTYYQMQTRSADEPMTTYVTCVNCDNRWKFC >KZM91683 pep chromosome:ASM162521v1:6:27056999:27061723:1 gene:DCAR_020952 transcript:KZM91683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNNNSISINVSDEDSDNHAEKLRLRARRKRKKSAPRGTCKLLSRLLRRWWPVFLFLPAAGLLVFEASSLGRKHTPSINSNIATQKTHELITEKKSQTNLNRLDPTTRVVAGVRERCLKLLPQEELQRLEIPVKKVFVDPIKKLTYISESDTPDNVQTRQPMDTSRFNLFTGNQSFEQREHSFKIVNEMSRVHCGFYSKDGGFIISDDDKSFMQTCKVVVSTCTFGGGDDLYQPIGMSESSLQRVCYVAFWDEITLAAQEVAGHKVGKDHFIGKWRIVLVQNLPFSDQRLNGKIPKMLAHRLFPQASYSIWVDSKSQFRRDPLGVLEALLWRSNSILAISEHGARSSVYDEAKAVVKKNKATPEEVEIQLSQYRLDGLPEDKRFNGKKALSEASIIVREHTPSTNLFMCLWFNEAVRFTSRDQLSFPYVLWRLKVLKNINMFPVCTRKDLVNSMGHIRKAKPLGLKSLVEANNTRCIRHPQKIIDKGNIPDFIRRCSRYTNVFGGQNINLNTWPVTSTYSRNYLQSLIETGSMLPKIIFCTGVLLATLIVILLAVFSPVVHRKPVKNSTSPVMAFSLYLQQPQLSSSNPHTVASPDAGALIFHRTLTVGPEKTSLVVGKAQGFMIPVEQFAQSAFNLIYFTFDTPEYSGSLSVQAKNFGHKDRDELVVVGGTGSFAFARGIAVFQQTELEEGSASHITYKIKLKLEYPE >KZM90897 pep chromosome:ASM162521v1:6:20228151:20232893:-1 gene:DCAR_021738 transcript:KZM90897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSSKEQSYRQSSSTRSTSSTSWNQQDYVQSPSYAPREAYAYPAYNQQPNNPPPTQNYGGRGYEPQRKQLDRKYSRIADNYNSLDEVTAALANAGLESSNLIVGIDFTKSNEWTGAKSFNRRSLHHIGDNLNPYEQAISIIGKTLASFDEDNLIPCFGFGDASTHDQDVFSFYQDRFCNGFEEVLSRYKEIVPSLRLAGPTSFAPIIEMAMTIVEQSTGQYHVLVIIADGQVTRSVDTERGQLSPQEQRTVEAIVKASELPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKKLSTSRKETEFALSALMEIPSQYKATMELHLLGRARGNVPDRWPLPPPSGRTASFSSSKPSHSTSFKTSSPSYNAYSGPASTAPPVTNSTYDNLLCPICLTNSKNMAFGCGHQGSAYNFNNSSPYSFVTLPGIKLGILLTHQINMAMASNTRISYQRLRNEGGLDEYGGAGIGRASSWTRRSRRVHLRRKLKIRIPGFKRFMRRKAKRVVSSWAKIVKRFREGQSHFGDLFAGNYLFMQVTPTSLKCLQNSSKPQLCSDFGARYYLPKVS >KZM91020 pep chromosome:ASM162521v1:6:21477955:21481060:-1 gene:DCAR_021615 transcript:KZM91020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIMDGSESPRSPEARLGMKVEDLWDTQEPQLTPTEKLNACFESIPVSSFPLAPPSQVIEINSDTSVAEAVQLMAKHKILSLPVVDVDAPKDASWMERYIGIIEFAGIAVWILHQSEEKEGSAGSELIATGSEESTSPAVAAATKGMYSPRYRSFNSFSAASASGHFFEALTSSALYKNTKVRDISGSFRWAPFLALQTSNSFLTMLLLLSSYRMKSVPVVDSGEGKIENIITQSAVIHMLEECAGLQWFERLGSKKLSELGLPLMKPGNVVKVHEDEPVLQAFKLMRQEGFGGVPVVGSDGKAVGNISIRDIQFLLLAPAIYKEYRYMTAKNFLTAIRHYLEEHEQSTPFSSGMITCKRDDTLKEVIIKLDSRKIHRVYVVDEAGNLEGVVTLRDIISKLVYEPPGYFGDFFYGVLPLPANSRV >KZM89976 pep chromosome:ASM162521v1:6:9081072:9088235:-1 gene:DCAR_022659 transcript:KZM89976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSETPIDYAVFQLSPKRSRCELFISRDGNVEKLASGLLKPFVAQLKIAEEQVALSAKSIKLEAENYRDGERWFTKGTLERFVRFVSTPEVLEMVNTFDAEMSQLEAARVIYSQGVEDHTSSTSGRDRSGATTAADATKKELLRAIDVRLAAVKQDIATTCARAAAAGFNHDSVSELQIFSDQFGAYRLNDACNKFLSLCERRPDLMNSWNSGVDDRTVRSSYGSDMSIDYEATKEQIPDEQSGEQSKLSSWQQLNSSSPTFSVRRSRECSTERDDTSSALEKKEESSGEVEPLKTQPGPPARRLSVQDRISLFENKQKETSTGSGGKPVVAKSSELRRLSSDISSGSTAAEKAVLRRWSGVSDMSISVDLSDEKKEVDNPGCTSSLASAPQPKPDDRKNLHSAASFDKPEFRSIPGIVCEVGTEDQTAVSVREEGNSKKDFVNPIIPMVASSGRTGDSCESENSDLILKTSKVQDKNLSNTSSSQAGVKDQPTSESQSRSFSFDRADRFGFKKHGRSRSSYGNDEQQGTIEQSGTLDQATSEMQIANLKKGGLSEMKPVTFASKSSISLTAKESYQPSGDHIVDREEDLGSMEQSAPRSRLRAPVKTAMDSRQSDGGSKIREAFSAQYRGSLGDPLASQVSMESIKETEEMKKQALASSEKHYASPILKIEDSGIPKNVFQKQDPAREHTRKSQKSTSSSVHGNNKTSFSGKMAFKDQEDIFTTPETEQLQRIRQTKGNQELNDELKIKANELEKLFAEHKLRAPVEQSNSTRRSKPNDMQTEQVSSSLPERKQVEDTTPQLLDKNMIVSSGSLSNMEKLNEGLHVDFADNQNYMDNVKYNVSDFGLLDESRGKCYDTYMQKRDTKLREEWGSRRTEKEAKLKEMQDIFECSRREMWDKLLGSTDRNDSAYSARRRAERLRSFKNQSSLKIEQPDFLQSDDEDPLELAEQKSFGQESSINDASLGNGASRSSQNKRVLPNRNFSSSTPRNLAVASSRSASKASNSSLARRRMQSENPLVQSVPNFSDLRKENTKPYSAGSKIARPQLRNYTRSRSTNEEIPNVKEEKSRRSQSVKKTSMNPAETKGMSAFNSDAAVLSPRKFDSGQTEQSRYDKPFLQNKYSGGRGVGEMKLKASMFKSIDNDDESDELSFDPEDSAALVRDEDDEASLTRTAEENLSMDHREASVGQESAKLNTSGSEDGDVLHSSSQVDKSLFPSSTHSTLHAVGAVQESPGESPMSWNSRVQHPFSYPHDGSDIDASVDSPMGSPASWNLHPLNQTEADAARMRKKWGSAQKPMIGANLSSTQSRKDVSKGFKRLLKFGRKSRGTESLVDWISATTSEGDDDTEDGRDLANRSSEDLRKSRMGFSQDHASEESFRESDFYSEQALRSSIPAPPANFRLREDHLSGSSMKGHS >KZM89559 pep chromosome:ASM162521v1:6:2064217:2069012:1 gene:DCAR_023078 transcript:KZM89559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDSDAPEFTLAEVLEMESLYKEKGEETFSEKCCEELATKFSFSAHRIGKESIECKQVKSWFYEKHHRTAPKDTQHTSFEEFVSSLRNKASGISSPATSGPVVPLDSPVLTDTMRPSDKSKAERVAELTDLRYEALSAKDGAWFDVASFLNYRVLYSGEIEVRVRFSGFNHDEDEWVNMKRAVRERSIPLEPSECHKIKVGDLVLCYRANADHALYSDAHVLDIERNIHDAESCSCMFLVKFDYDETEAKVDVNQVCCRPT >KZM92227 pep chromosome:ASM162521v1:6:31669734:31673720:1 gene:DCAR_020408 transcript:KZM92227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGLATAGLFSALASSLSVPHVYADGPLNFPSFNSSPAPAPGVSSPEKPTESEEKPAPPRVRNDHPRTTSAGFDPEALERGVKALKEISSSNSAKQVFESMKKQEETKQKALVAKQAEYKALQAQAETERQKVIYEEQKNLAQQQAQIKSQMARYEDELARKRMQAENEHHRTRNQELVKMQEESSIRQEQARRATEEQIQAQRLQTEREKAEIERETIRVRAMAEAEGRAHEAKLAEDVNRRMLVERASAERDKWVAAINTAFDHIGGGLRAILTDQNKLVVAVGGVTALAAGIYTTREGAKVIWSYVDRILGQPSLVRESTIGKFPWSGSSRRFSTLLRGGNNASTTNNGNGFGDVILHPSLQKRIQQLASATANTKAHQAPYRNMLFFGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERFKLLKLYLDKYIAQAGARKPGLFSNLFRKEQQKIEIKDLTDDILKEAAAKTDGFSGREIAKLMAGVQAAVYGSENCVLDPNLFREVIDYKVAEHQQRKNLAVKSA >KZM89594 pep chromosome:ASM162521v1:6:2377864:2379676:1 gene:DCAR_023043 transcript:KZM89594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSY-1 description:15-cis-phytoene synthase MACNFAVRVIYYPKEIHGVSVLNTNRSRKSRFSCRVMKLSTGVSAVAANPVRTSEERVYEVVLKQAALVREEKRSSRGLCLDTKRTGSKSFDKSENDDAGMKSWNLLNEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAVWAIYVWCRRTDELVDGPNASHITPKALDRWEKRLNDLFDGQPYDMYDAALADTVSTYPVDIQPFKDMIDGMRMDLKKSRYQTFDELYLYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRIYLPQEELKLAGITPEYIFKGKVTDKWRSFMKGQIKRARMFFDEAEKGVAELSSASRWPVWASLLLYKQILDAIEANDYDNFTKRAYVGKAKKLVSLPLAYSRALFAPSTVR >KZM90012 pep chromosome:ASM162521v1:6:9562120:9570487:-1 gene:DCAR_022623 transcript:KZM90012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPVPPPGVDVEAGPSNDDSVLDQPRPSGDDDEEDFDDPFDIAHTKHAPHHSLRRWREAALVLNATRRFRYTLDLQSLEAREKRSKLIRAHALVIRAALLFKLAGEQSIVGDEEPSPSPSNEFGIGVDQLSSLTKDNNSSALQNYGGARLSKMLNTHLDKGITADDDELSKRRSTFGSNTYPVKKGKTFWRFLWDAWQDLTLIILVIAAVASLALGIKTEGIKEGWYDGGSISFAVLLVIFVTATSDYRQSLQFQNLNEQKRNIHVEVIRGGRREKISIYEIVVGDVIPLSIGDQVPADGILINSHSLAIDESSMTGESKIVQKDPKKPFLLSGCKVADGTGTMLVTGVGLNTEWGLLMASISEDNGEETPLQVRLNGIATFIGMVGLTVALAVLVILLSRYFTGNTKNSDGSIQFEKGKTSISKTVNGVIKIVTDAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALAKSIMQVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGRKKISPPEDRSQLQNEVSSLLDEGVALNTSGSVFVSKDGKSIEVSGSPTEKAILQWGVKSGMKFDDVKSECTLLHVSPFNSTKKRGGVALQRTDSQVHIHWKGAAEMVLGSCTRYLTSDGSLQPIDNEVGFFKDAIEDMAAKSLRCVAIAYKKCEMDKVPKDEEQMAEWVLPEDDLVLIAIVGIKDPCRPGVKDAVKLCSDAGVKVRMVTGDNIQTAKAIALECGILASDADASEPHIIEGKQFRELSEEERENVAPKIAVMGRSSPTDKLLLVQTLRKLGEVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRKESLVTNIMWRNVIIQAIYQILILLVFQFHGESILNLKDDGTGHANKVKNTLIFNGFVFCQIFNEFNARKPDEMNVFTGVLKNHLFVGIVGATFVLQIIIVEFLGKFTSTVKLDWDLWLLSLAMGLFSWPLAALGKLIPVPKTPLAKVFSKPYRRLIASRDSRSNSLKHE >KZM91811 pep chromosome:ASM162521v1:6:28005568:28010507:-1 gene:DCAR_020824 transcript:KZM91811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQPESSDPKGTKRDFSTAILERKKAANRLVVDEAINDDNSVVALHPETMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCADVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVRREDEDRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEKISKETHGYVGADLAALCTESALQCIREKMDVIDLEDDTIDAEILNSMAVTNEHFHTALGTSNPSALRETVVEVPNVSWEDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSNSGDAGGAVDRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRYSIFKSCLRKSPVSKDVDLKALAQYTQGFSGADITEICQRACKYAIRENIEKDIEKERKRNENSEAMEEDVDDEVSEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFADTSAAAAASDPFATSAGGADEDDLYS >KZM91200 pep chromosome:ASM162521v1:6:22951150:22953749:-1 gene:DCAR_021435 transcript:KZM91200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDGYDEVGFAVDNPPPGTVNQPNVAPHYQDGLSDIHTMEDSLAAFPMKPLQALKYSFTSRSKPQNYDTHQQRVLLLQIHYLKNYRDARLSDSVSVRAKCDKSILLSLDFYKINTPSFISSYWRFQYKWQVVYGLVIQSPYEPSATKVSCEA >KZM89465 pep chromosome:ASM162521v1:6:968296:972399:1 gene:DCAR_023172 transcript:KZM89465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWVVAAAAGAGYVAQHWKDLTKSGTSVSDSASGSDSFSNLEVASSSPLLQEEKGPFSIFRCRKNVGKKFYKERDSVSNSDLTANMPSTSGLNGEESELMNNYKDSDVLSQSCLSPRYLNNYELGGYGESSKASPRKIGSLYNRRILRSRLVNREAIKPKNSLQSCLMSQIYKEHAEMEDYMFKPGSSLARPTVRQLLVTDGSRIISRASSQTNIVQTQIVIKEDQEGTSEEKASIIGVPPLPNSGTSSEVKIRKDKGRRLSKSSNSIGAKYLDSQGSPHGAILFCFGLSIGIMSSLMSYRQEVDKLSALLKQTEDLVQDLQEELDMKDSLTVKEIVVKGHELQETHEDTIDKDEEVVAFSSEQKFGQLTKGQDEDCDERAQEDSMRKIEAELEAELATLELNMTSLTLDAQLSDLLELDEECVPDIVRGEFKANMFSIQPAEESRADRDGTGNSTPHMINYAVSPRELSLRLHEVLESRLEERVKELETALQNSVQKNRNKDSEHTRSSRQFSNSEARLSSAQGSPTPVEKNAMDEVAIIHLADDALYAYNEAYDEFTKVDGPEEEGSISGVENNQDSLNSFDQNLDSLEDGWENHFIPHQSDTDMKASPTIRSQHKADDDISRYEINSDNDGDNDYDSDEMEKLLIKQILEKTRQGSPAVLNARRILFSLDDH >KZM92792 pep chromosome:ASM162521v1:6:36335532:36338647:-1 gene:DCAR_019843 transcript:KZM92792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLAKGQASSSLNNNDDSSGGADNSGEDGVKRRVLRSRYLAVKNLISDERDDISRVDSDTFNSIINKVESLHQLVQRPREQVADAEALLDITSTLMSSVKAHCNDGITPFEYVACLIRDFGGQGGDSIDWKKIGLEVAHAFKRAPGSCTMLGPMNVEVKQRAVATRKRHARPTEKSRPEELEESAEEKTETDKNMATMFNILRKNRRVRLERVVLNRDSFAETVENIFALSFLAKDGRAEITIDEEGNHLVSPKNAPAANAVASGEVSYSHFIFRFDYKDWKFMMNSIEAGEELMPHRNEANMSRRDSEPNSSPEQPRGDLPTTPIRKYSRNRGRVLQESIVEDSPESDDSAARAAAIRKKRRKVG >KZM91153 pep chromosome:ASM162521v1:6:22615609:22617009:-1 gene:DCAR_021482 transcript:KZM91153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGVGDTSYARNSSLQKKGSDMVKHITIETIQEVYMNTTPKSIGIADLGCSSGQNTFSTIKTLVDAVGETCQKLLLYPPPEFRIYLNDLPTNDFNAIFKSLPDFYKELKEHRNYGIASSINCSPAIYIAGYPGTFYGRLFPDNCLHFIYSSYSLHWLSRVPAGIYDKQGKSVNKGSIYISESSPPEVSQAYHRQFQEDFNLFLRSRSGELVSGGSAVLILLGRSGANHVDRGNSFLWKILSRSFKILISKGQIDAKSLDEYDVHFYVASEDEIREEVSREGSFVVERLEMFEMEKKAEGEMSYGTAVAMAVRAIQESMISHHFGEEILDSLFDIFGKLVDEELGVEEISLVSFVLALKKL >KZM92757 pep chromosome:ASM162521v1:6:36052883:36055392:-1 gene:DCAR_019878 transcript:KZM92757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNPQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQRFGFGNVGSWAAQFIHEKGGKVVAVSDITGAIKNSKGIDIPNLLKHVKENRGVKGFHGGDSIDANSILVEDCDVLIPAALGGVINRENANDITAKFIIEAANHPTDPEADEILKKKGVVILPDILANGGGVTVSYFEWVQNIQGFMWTEEKVNTELKTYMTNGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >KZM91634 pep chromosome:ASM162521v1:6:26705963:26706792:1 gene:DCAR_021001 transcript:KZM91634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWEWKEADLDRVLVPIGLLMIIAYHAFFINRYRKFPLTTVLGCDNYYRKIWAEKFLQLDAKDRGTSVNVISSNISAASTLSSVSLVLSSLIGAWIGSSKEKDIFVSSIIYGDTSPRIVSIKYVALLSFFLVAFAAFIQTTRNYALATFLITIPSCDIPVNYVQKPIIKASHSYTVGMRALYTAGTLIMWIFGPIPMFVSSVSLVAILYYLDRNLTPPHQFQPLNLTPLYKIGEEVTSSVNRVLHHRE >KZM90157 pep chromosome:ASM162521v1:6:11809953:11812782:-1 gene:DCAR_022478 transcript:KZM90157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRTIALILFVWALLTVITPTLVRMSASAKLLDGIQTRGMKSRLLSRRALMDTRFNELPSHEPEPVQGPAPGPAPVITPALIRMSASAKLLDVNGVEASAVKSRLLPRRALIATKFIETPAPTPAPTPEPGTNKPVH >KZM89371 pep chromosome:ASM162521v1:6:144316:144564:1 gene:DCAR_023266 transcript:KZM89371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHIAAGRGGQTGRTGTPRTEFLTARNHLNTGRFETDLNRYRVRAEYGLGNEGPNRNWPGTAKTRGTVEPRNCGLNRRTAD >KZM92059 pep chromosome:ASM162521v1:6:30173246:30176772:1 gene:DCAR_020576 transcript:KZM92059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLRIRKKHNHHLNNPFTSNSKSLPFVQGPLFLNRTVPYHQTFLIGDSFLLTWASKDGGSISISHQSQPRRSIWATVPGQAFVSAALAETQVDESRGSFVIKDRNIQLVCNCQTVEDVRMINEFSECFYAKDGGFLSGVDQKMEFKNIEYPALLIMGRIFSFKKKKKMVALSEIQENLHLLDKEPSSSARYWLLFDQKNSNQLAFQLRLGKSVFRAQQRVSPRIYKGFTWRLRPIWRRRRKRGFIAVTSAEEDVVVKTVEPFDQFNRVCLTYSSEKNERFYGFGEQFSHMNFKGKRVPIIVQEQGIGRGDQPITFAANLISYRSGGDWSTTYAPSPLYMTSKMRSLYLEGYDYSVFDLTRDDRVQIQIYGGSAEGRILNGNSPCELIECLTESIGRPPKLPDWIISGPVVGMQGGTATVRNVWEELNRYEVPVSAFWLQDWVGQRKTVIGSQLWWNWEVDAERYRGWQNLIKDLTSEHIKVMTYCNPCLAPMDGKANVKRNLFEEAKKLGILVRDSHGEPYMVPNTAFDVGMLDLTHPHTASWFKQVLQEMINDGVSGWMADFGEGLPVDACLYSGEDPISAHNRYPEMWAKLNRDFVEEWKTKRAGVEHEGSEEDLVFFMRAGFRNSPKWAMLFWEGDQMVSWQANDGIKSSVVGLLSSGISGYAYNHSDIGGYCAVKLPFCLNYTRSEELLLRWMELNAFTSVFRTHEGNKPSSNSQFYSNHKTLSHFARLAKMYKAWKFYRIQLVKEASQKGLPVCRHLFLHYPDDDYVHSLTYEQFLVGTEILVVPVLDKGKKKVKAYFPIGEQCPWKHIWTGNLYTEQGSKAWIEAPIDYPAIFVKDGSVVGDTFLKNLKDYNIL >KZM89571 pep chromosome:ASM162521v1:6:2205230:2208114:-1 gene:DCAR_023066 transcript:KZM89571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSDQINGLKQDAPKRQKGGLVTMPFIIASNILPLIGAFVADSYLGRFVTIIIGSVFSLLGMIVMWLTTMLPATKPDTNCQTCKPSGLQYLVLLSSFVLMSIGAGGIRPCSLAFGADQLDKKDNPKNKSILERFFGWYYASAALSVIIAMSVVVYIQDHKGYRLGFGIPVILMFLSATLFLLASSMYVKQTVKTSLFTSFAQVIVVLYKNRNLSLPPQDSDAWYSKKDSVLRMPSSRLRFMNKACIIRNKEDIAPDGMAINPWNICTVDQVEELKIIVRVLPIWSTSIMIAINLNQGSFGYYQALSMNRQLAGDFKIPPGSFGLFTVGALFIWITVYDRILLPLASKFRGKPVHIGVKERMGMGLVLSFFAMIVSAIVEHIRRRRAIEQGLLSNPSGVVNMSAYWLVPQHALSGLAEAFNAIGQMEFYYSEFPKNMSSIASCLLGLGMGVASLLASLILSTVDAATSKGGKQSWIQKDINRGHFESYYWLLAVLGFINILYYIVCSRVYGPCVEQKNGVRRENVDDFATPGQELLKRNPAQITDEGNIAKEP >KZM92382 pep chromosome:ASM162521v1:6:33003504:33006077:-1 gene:DCAR_020253 transcript:KZM92382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYWVFKAVGLLSMAAMLFTMLRKISLSFWIWPNKAYKKLQMNGINGPPPTFPMGNINDMVTISKKTKPSPVSTDLTSHDNYSTVFPSFALWQKSYGKVFVYWLGTEPFLYVSDAEFLRQMNAAVPGKNWGKSRLFKNDRDAMFGDGIVMAEGEDWVRHRNVLTPAFFPPNLKALASFMVTSTNNMIDRWVSIINSGQQEIDIEKEIILTTGEIIAKVSFGMTYENGRKVLEGLRALQQTLFTSNRYVGVPFSKYLCLKQNWEAKRLGEEIDALLLSVINDITKSKKVGDHASVADDEKTILNMALADDESLKLLTTKEMVDECKTLFFGGYETTALALTWTLFLLALHPEWQNQLREEIKQVVGDQVVDANIVAKLEKMEWVMNEALRLYPPAPSLQRQARDDIEVNGVIIPKETNILIDVMAIMHDRGFWGDTVHQFRPERFESDILYGGCENKMGYVPFGFGGRMCIGRNLAIMEYKILLTLLLSRLSFSLSPYYTHSPAYILSLRPAKGMPLIVQPLY >KZM90589 pep chromosome:ASM162521v1:6:17098068:17100270:1 gene:DCAR_022046 transcript:KZM90589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSANSTQFNFRKPTYCRKEKSFDLLCSNFLNLYDQDQGEIIEIHDACARLGVDKRRLRDFIVILESIGLLKKERKNHYSWKGFGVLPKNFQLLQRGGLGESYTRLEDDEVSQVVEDGRGGKLDNRKENCIELLTQKFVKLFLCSELELISIDEAANILNGDAQDPSLIQTKVKRLYDIANVLASMNIIQKTYQPERKRFVFRWIGLREEAEMATINDPVHKTKRRTIENEPTNTSVKKHMGDHESSKATTSQTVVAQSQTPCEVRSSKKVVENDLEKGSIPDMEKHRYGPFSPTIVSQDKTKGKNNGTQIQDWESLASTHRPQYHQHQALRDLFSHYVGAWNFYHSEVAGKDPAQP >KZM89767 pep chromosome:ASM162521v1:6:4481375:4484374:1 gene:DCAR_022870 transcript:KZM89767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSEAYRDTPVHLHHIIPLDFHAVDSVPESHEWPHSDVLRPVIDEISIPLVDLKDPNAQKLIGHASEDWGIFQVTNHGVPLGVLMDVEFEARRLFSLPVKEKLKVLRSPEGATGYGAARISPFFSKFMWHEGFTIIGSSSVDHAKQLWPHDYESFTDVIDVYQKKMKELAHQILLLLLKSLEISEEDITSWAASTHDSNNGALQLNSYPCCPNPSRAIGLAPHTDSLLLTILSQTHDSSALQIFRDGVGWIAVAPVRDALVVNVGDLLHILSNGRFPSVYHQVIVSEKEHRISVAYFYGPRTDAQVAPLSRIEVPIYRSLSVKEFIGIKAKHLDKALSFVRI >KZM90817 pep chromosome:ASM162521v1:6:19532349:19535259:1 gene:DCAR_021818 transcript:KZM90817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAGRRLSALSRSPTAAAAVFRNPISAGADESQQVRSNTHFSSSSFSMIPHQFHLPSRGFTADVALPKDVNNLIPEVPPTVTALKSPTSKIDYDISNHERFPPGDPSKRAAAYFVLTGGRFVYASLIRLLVLKFVVSMSASKDVLALASLEVDLTSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVESLRDPQEDAVRVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKMLIG >KZM91966 pep chromosome:ASM162521v1:6:29439930:29442630:-1 gene:DCAR_020669 transcript:KZM91966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAWLYGSVFVCFWAVMCLMAQAEYLQYTDPSQPIEVRITDLLERMTLEEKIGQMTQIERTVASIEVMQKYFIGSVLSNPGSVPSEEASPEAWVDMVNEFQYGSLSTRLGIPMIYGVDAVHGHNSAYGATIFPHNIGLGATRQVEVLDSLPELVKKIAAATALEVRATGIPYSFAPCIAVCRDPRWGRCYESFGEDPKLVQAMTEAISGLQGEVPADSPKGYPFVSGQNKIAGCAKHYVGDGGTYNGTNEGNTLMSITELLNIHMPAYYDSISKGVATVMVSYSSWNGVKMHANRALITSFLKNTLKFRGYVISDESGLDSITTPRHANYTYSIETAISAGIDMVMVPYNYTEFIDGLSFLVKNNFIPMTRIDDAVERILRVKFVLGLFERPFADYSMVKYLGCKEHRELAREAVRKSLVLLKNSQSLAHGPLLPLPKKASKVLVAGTHADNIGYQCGGWTVTWRGVSGYIKNGTSILSAIKNTADPETVIVYREEPLPSFIKSNKFSYAIPYLSKIDSLVAAWLPGSEGQGVADVLFGDYDFTGKLPVTWLRNVDQLPMNYGDGNYDPLYPYGLQST >KZM89864 pep chromosome:ASM162521v1:6:6354783:6365496:1 gene:DCAR_022773 transcript:KZM89864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEATSSAPNSSSSGPTTRSAKRARTSAAAGSSSVVSANTASPVAPAIVTRSRSLKMDEPSGSGSRGGGRRGKSQDKDSSNKGKEKEHEVRIRERNRESRDIERSLGLNLESTVNGGEGNDDDNDSEDGVGMLQQNLTSASSALQGLLRKLGAGLDDLLPSSAMAAASSSQQSGRLKKILLGLEADGEEGKQVEALTQLCEILSIGTEDSLSTFSVDSFVPVLVNLITYMENPDIMLLAARAITHLCDVLPSSCAAVVHYGAVDSFVNKLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLQYLDFFSTGVQRVALTTAANMCKKLPADAADFVTNAVPLLSQLLQEHDAKVIELAAICLTRIVESFAASPERLDALCDHGLITQVASLISTSSSGGGQASLSTSTYTGLVRLLSTCASGSPLGSKNLLLLGISGILKDILSGSGLVANMSVSPALSSPPEQISELSASAQIFEIVNLANELLPPLPQGTISLPASSNVFVKGSLINVTPVTSEEHEEQDDSIGTEISSREKLLNEQPELLQQFGMDILPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSSADMIQGLLSVTNISSFLAGVLAWKDPQVLVPALQIAEILMNKLPETFSKIFVREGVVHAVDTLIIAGSQGTALPLQTSCQKENDFIPGSSSSRSRRNRRRGGNLNLDGNTAEDTKNSGSAVGSPPNPIEVPTVNSSLRTEVSSSAQAFKDKYFPSDPGSVGAGLSDDLLHLKSLCSRLNAGISSQGTKLKGKSKASGPCITDTSAIKEENLAGIISEILTELSKGDGVSTFEFIGSGVVAALLNYLSCGFSSKDKISKSAISKYHEQAVRRYKSFIAVALPSGPQTKNIPPMSILVQKLQNALSSLERFPVVLSHTARSSNGNVRLSSGLSALVQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLATVEDFLWPRVQRNESGQKPLSSAAKSESRTTPDVGASSPSNSTPASTTRRLSTRSRTSLNIDDSAKKELAPEKNASSSKGKGKAVLRTSQEDGRGPHTRKAARRKAAADKDVQMKAVEVESSSEDEDLEASPVEVDDEMVLGDGSPRVCMMDVVHDVKLGDSADDGLNAPTTSDKQVNAAGVSSSKATTLRSSESSDLRSGSAFGSRGAMSFAAAAMTGLSSSNGRGISAMREQPGRPQASPRLLFYAGGKHLNKHLPIYQAIQRQLVLDEDEDDRYNGSDFISSDGSRLWGDIYTITYKRADSQTERASVGTISSLSTSKSRKPVSASSSSTDSSKQHKSLLDSIFCGELPCDLERSNPTYDILALLRVLEGLNELAPRLRIQSMTDSFAEGKISSLNEFNAAGVWVPGEEFINTKLTPKLARQLQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSMNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYCSQKAVLEVEYFGEVGTGLGPTLEFYTILSHDLQKTGLEMWRSNFSSDKSMMEVDEKGSKPTSNMYQASEDLIHAPLGLFPRPWSTGADVSDGSKFNKVVEHFRLLGRVLAKALQDGRLLDLPLSTAFYKLLLGQDLDLHDIFSFDVELGKTLLELQALVYRKKYLESVGANDQIADLRFHGTLIEDLCLDFTLPGYPDYNLKLGEDNVDINNLEEYLALVVDATVGVGIRRQLEALRAGFSQVFDISSLQIFTAKELDYLLCGRRELWETETLADHIKFDHGYTAKSPPIVNLLEIMGEFTPEQQQAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSTIANTTSSATGASEFADDDLPSVMTCANYLKLPPYSTKEVMYKKLMYAISEGQGSFDLS >KZM92400 pep chromosome:ASM162521v1:6:33124731:33126324:-1 gene:DCAR_020235 transcript:KZM92400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMRSGITEMPSNKGGPGGAENEWEMRPGGMLVQKRDPDSDHPRVPPPPTIRVRVKFGSIYHEINISSQATFGELKKMLSGPTGLHHEDQKLLFKDKERASKVFLDVVGVKDKSKIVLVEDPISQEKRYLEMRRNAKMEKAAKFISEISLEVDRLTGQVSALESVISKGGKVAEKQVLSLTELLMNELLKLDGIVADGDVKLQRRLQVKRVQKCVETLDLLKIKNSSQSVIDGSDISDTIQQQENKPESVVEKREEQRYPNGKIPFRTQPQSRRTTGHSQLQMQQDAARHSPSAPVVITTQWETFDPVPAMPTPVQPAASSGNNTVHPKFTWDLL >KZM92228 pep chromosome:ASM162521v1:6:31676062:31682245:-1 gene:DCAR_020407 transcript:KZM92228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKSSFISPLPFSQTPSKPKPPKPTISCSAISPDPWSLSDGNSINKPKPKSKNPKNNLSDDNARRIIKSKAQYLSTLRRNQGSNAQTPRWIKRSPEQMVAYLEDDRNGHLYGKHVVAAIKRVRSLAGRGEGEYDMRVVMAGFVSVLSFREMCTVLKEQKGWRQVRDFFGWMKLQLSYRPSVIVYTIVIRMYGQVGKIKLAEETFLEMLESGCEPDEVACGTMLCAYARWGRHKAMESFYSAVRERGISLTIAVYNFMLSSLQKKSLHVNVTELWRQMVDTGVVPNEFTYTVVISSFVKQGQAEQAFETYREMIKLSFIPEEYKIAADEVIYGLLIRIYGKLGLYEDAQKTFREIKTLGLLTDEKTYITMTQVHLNSGNFEEALRIMEEMRAKNILFSRFAFIVLLQCNVMKEDVAAAEGTFQALAKTGIPDARSCKDMLSLYMKLSLIDKAKSFIVQVRKDGVNFDEELLKTVIKLYCQENMLKDAEQLIDDLNTIESFRNNKFIQTISLVMHGESVLPDGDDSFEPLDAHGTMAFGMMLSLYMADGNGSGIEEKLKFLLNTPNGLTVASQLIINFIKEGKNAHESDRDASKAECLHALLLKLGYTPEDVASASMISLYGKQRKLKQAQEVFAAVADSSKARKLLYSSMIDAYAKCGTSEEAYLFFREETVKGHDLGAVAISMLVNVLTDCGKYRLAENVIRDCFRNNMELDTVAYNTFIKAMLDAGRLHFAASIYEHMLSLGVVPSIHTYNTMISVHGRGRNLDKAVEVFKMAQSRGVALDEKAYTNMICYYGKAGKSDEASLLFRKMREEGIKPGQVSYNIMMNVYSTATLHHEAEELFETMQRDGCSPDSFTYLALIRAYTKGLKYSQAEETIRLMRKNGISPSCAHFNLLLHAFAKTGRTKEAAMVFEEILGAGLIPELACYRALLGAYMDYGHVEEGISFYEKITGLVEPDRFIMSAAVHLYQSVGMEPKSEDILKSMKSLGISFLDNLVVGSKAQSI >KZM91063 pep chromosome:ASM162521v1:6:21766328:21768175:-1 gene:DCAR_021572 transcript:KZM91063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLTGSSQTSWNPVMTTSTITPGYWLNWRVLICALWIISSISLAAFLISRWTFTLITIYFGLGSLLSINGCYKHHNKVDDERIANLEMEGEVEKGTLHTSGPPNFTNTATSTKESARNGQDREIAGLMGYVFQVIFQMNAGAVLLTDCVFWLIIVPFLTRKDYHLNVLLISMHSVNVVFLLGEAALNCLWILHSALSTWWPYPFLDLSNSYAPLWYLSIALLHIPCYGAFVLIIKLKHLTFPRLFGQSYQYVY >KZM90466 pep chromosome:ASM162521v1:6:16035634:16037524:1 gene:DCAR_022169 transcript:KZM90466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYSLYIINKSGGLIYNKDYGSAGRMDTNDSLRIASLWHSMHAISQQLSPVAGCLGIELLEADTFELHCFQSLTGTKFFVVAEPGTQNMESLLKHIYKQYTDFVLKNPFYEMEMPIRCELFDVNLSQAVQKDRVAFLG >KZM91492 pep chromosome:ASM162521v1:6:25562498:25562875:-1 gene:DCAR_021143 transcript:KZM91492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIKCRSLRSRSIHFGPRNSYEFEPLANSLVESADDGGRSTHSLPKWKIIWRKLKREKKKILETTTSILSQSRNGHYPKAYDEYNYSQNFDQGASSFWDDEPDILTRSFSVRFSDSSSVFRRFE >KZM91648 pep chromosome:ASM162521v1:6:26802503:26802940:1 gene:DCAR_020987 transcript:KZM91648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTFFLVCILHSVVAITCGILMMFYTNEVFVFSHGRETAVKLMGSTPHDQLLIQTSDSFSGLLLFAIGFLLFMVAFVKDRNFQGAFARGCVFLHVATAVWRISFDRKLLEDLGGDWVRLVVGDMALALSWVFFLLYTWREKYD >KZM91523 pep chromosome:ASM162521v1:6:25802348:25806987:-1 gene:DCAR_021112 transcript:KZM91523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSVSPSLLKLTSSLRLYSPKLRSNHHRLPPLRRRAISATAVELVNNNKSDNKIITPAANQSADEDVRIVLPTNQSSAKLLRIRHTCAHVMAMAVQKVFPDAKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIIGRNLPLVREEVTREEARERIAAINEPYKMEILESIREEPITIYHIGNEWWDLCAGPHVESTGNINRKAVELESVAGAYWRGDTDKPMLQRIYGTAWENEEQLKAYAHFKAEAKRRDHRRIGQDLDLFSIQDEAGGGLVFWHPKGSIVRHLIEDAWKKIHIRQEYDLLYTPHVARADLWKISGHLDFYRENMYDQMNIEEELYQLRPMNCPYHILIYKRKPHSYRDFPIRVAELGTVYRYELSGALHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILLQFGFEKYEVNLSTRPEKSVGNDDIWEKATLALKDALADKGWNYEIDEGGGAFYGPKIDLKIEDALGRKWQCSTIQAKRFDITYVDSDSVKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPIQARILPVTDMQLDYCKEVTRKMKANGIRAEVCSGERLPKLIRNAEKQKIPLMAVIGPKEVETRTVTVRSRFSGELGSMAIDDFIRQMEHATENRTFI >KZM89489 pep chromosome:ASM162521v1:6:1214941:1217477:1 gene:DCAR_023148 transcript:KZM89489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSETLRESESNEYTIFRLNHSIEYLMKNADEVQKRVLYEILSRNAGVEYLQRHGLGGRTDTHSFKKCVPLITYEDIQPDINRIANGDKSPILCSQPISEFLTSSGTSGGERKLIPTIEEELDRKSSLNRLLMPIMNQCIPGIYTGKAMNFIFLVPESRTPGGILAQPLSTSYNKNTQLVNYTSTNEAIYCLDGYQRTLNPEITDQLVRESVLTRVLKPDPVLADFIEAECSKKSWKGIITRLWPNTKCIQAIVTGTMSQYIPTLEYYANGLPIVSSLYASSECCFALNLDPLCKPSDISYTFIPTMAFFEFLPVRGEANSSPEARLPINEKKQQELVDLVDVKLGEIYEPVVTTYAGLYRYRVGDLLRVAGFKNKAPHFNFVCRKNVALSIDVDKTYESELHSAVVKAEINHLRPLDGNLIDYTAYADINTIPGHYVIFWEYIMYDNGSITTSQVIPPCVFEDCCLTIEESLNSVYREGRVADKTIGPLEIKIVEKGTFNELMDYAISLGAAMSQYKTPRSLKFAPFVHLLNSKVVASYYSPKCPSWTPK >KZM90332 pep chromosome:ASM162521v1:6:14364626:14365201:1 gene:DCAR_022303 transcript:KZM90332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKTEIIWVIQNPLPHVGDADSTVIISTSVSPIIDLCLNPLDLNMLPTEEEDNGQPGDHVAMHVLENNHHSLHIHSPQVISLSSNNHLERRDLKEKLEKATKQAESVDEAYAKKAINAQASGKKAIYEAVASTTNCFKICIENFVVSLGINGEDKSLEDHVSKLVKAIPFDARAPADMAVEVPGQEGDVG >KZM89985 pep chromosome:ASM162521v1:6:9189098:9194560:1 gene:DCAR_022650 transcript:KZM89985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRESNTYIGIGPGPSSVRIDPTRSPVDAALLASQEGGDYLDAEKNPIYSHTFLISLTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSTLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNGKAIGSGSEGADSSLQEQYNKDLTLREAETIALSVLKQVMEEKVTPNNVDIAKVAPSYHLYTPAEVEAVIARL >KZM92390 pep chromosome:ASM162521v1:6:33064583:33068164:1 gene:DCAR_020245 transcript:KZM92390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLTLTGLLKRAAGKFPERRAISVSGEFDLTHARLDELIEQGASRLVAAGVKPGDVVALTFPNTVEFIIMFLAVIRVRATAAPLNAAYTSEEFEFYLSDSESKLLITSKQGNESAQAAASSLKIPHVTAILPQANSEITLSTSQSESNSVSEIINQSSDISLFLHTSGTTSRPKGVPLSQLNLASSVQNIISVYKLTESDSTVIVLPLFHVHGLICGLLSSLVAGAAVTLPSAGRFSASTFWADMKNYNATWYTAVPTIHQIILDRHSVKPETDYPKLRFIRSCSASLAPVILERLEEAFKAPVLEAYAMTEATHLMCSNPLPENGPHIPGSVGKAVGQEMAILNENGIEQEAGANGEVCIRGPNVTKGYKNNPEANKTGFQFGWFHTGDIGYLDSNGYLHLVGRIKELINRGGEKISPIEVDSVLLSHPNIAQAVCFGVPDDKYGEEINCAVIPQEGTDLDEAEVLRFCKKNLAAFKVPKKVFITDSVPKTATGKIQRRIVAEHFLSLISTAKVPKFGA >KZM91730 pep chromosome:ASM162521v1:6:27422059:27422310:-1 gene:DCAR_020905 transcript:KZM91730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSSPVSVKRKLKRILSVFCFKIREHDLPELKKCLVFSRQQSSCKFDDNVHLSYALNFQDDAHLHHFAFRSFSAKLPRRLT >KZM90573 pep chromosome:ASM162521v1:6:16998613:16999426:1 gene:DCAR_022062 transcript:KZM90573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMHVQLVMLLLLAATLLVVTDANRNFPKGPQNWNSGFNYSSGWPWNSPNVPPKYTSPPGFNFTAGWPWNSPNIPRNYSSPPGFNFTGGWPWRRPNVPKSRRVVVGDDQKWHYGFNYSDWAIKNGPFYLNDTLVFKYDPPSNTTFPHSVYQLKNYRSFVNCDLRSAKRLASVTQGGGNGFELVLKNWKPYYFACGEHDGIHCRDGLMKFSVIPLIRWGY >KZM90090 pep chromosome:ASM162521v1:6:10713319:10713477:-1 gene:DCAR_022545 transcript:KZM90090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMNRKQKNILEKIVQMTSLQIDIDELLADDNTDHGVEAELDGNEAEGAT >KZM91440 pep chromosome:ASM162521v1:6:25213028:25213300:1 gene:DCAR_021195 transcript:KZM91440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVGKATKIFLFLLTAAIITALFLGFRHFRRSKSHNCTSDVNDDLSCHLTASNPPPQASPSLPATTNAPPPATAPPPPVLVTPAPLNSS >KZM90641 pep chromosome:ASM162521v1:6:17736923:17739970:-1 gene:DCAR_021994 transcript:KZM90641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKPTVLVAEKLGEAGLDLLKGFANVDCSYNLSPEELCTKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASIKAGKWQRNKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEALATADFISLHMPLTPTTSKILNDENFAKMKKGVRIVNVARGGVIDEEALVKALDSGIVAQAALDVFTVEPPPKDSRLVQHENVTVTPHLGASTMEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPYVVLAEKLGRLAVQLVAGGSGVKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGIRISEERILLDGSPESPLEFIQVQIANVESKFASAISDSGEIKVEGRVKDGVPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGTVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDEQPSKESLKRIGEVPAVEEFVYLKL >KZM91318 pep chromosome:ASM162521v1:6:24104386:24109033:-1 gene:DCAR_021317 transcript:KZM91318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVNINRLDLQRNFGKQMKSSLSGRSSPRNSPSFRRINSSRTPRKEGRSSSVFSASWFRSNRIVLWLLLITLWAYAGFYVQSRWAHGDNKEGIFGNVSTQSDEDPVSEQNHRRALIVDEDNSSTKIQVGKNHSDSEDMDGILEKKGKDAASHPPILVKKKSKKSRRSSRRGARGKQVTPLHTVNNYTEVQEVQEEDIPRSNSSYGLLVGPFGSLEDAILEWSPEKRSGTCDRRSEFQRLVWSRKFVLVFHELSMTGAPLSMMELATELLSCGATVSAVVLSQKGGLMPELARRKIKIVEDKEKVSFKKAMKADLVIAGSAVCASWIEQYLDHSTATASRQIVWWIMENRREYFDRSKSVLSRVRMLIFLSDLQSKQWLAWCAEENIKLMMQPALVTLSVNDELAFVAGIPCSLNTPAFSIENMLEKRQLLRKSVREELGLTDDDMLVMSLSSINPGKGHFLLLESVRYMIEQKSFLDDVAIDSLAEKDGYYQSMNNSDAQRLGNMRKLFSDNVDKKAKTIKVLIGSVGSKSNKVKYVKAILGLLSHQPNLSKSVLWTPATTRVASLYAAADVYVINSQGLGETFGRVTIEAMAFGLPVLGTDAGGTKEIVEHNLTGLLHPLGRPGSHILSENLRYLLQNPSARQEMGIRGREKVEKMYLKKHMYKLLARVLFKSMRIK >KZM91927 pep chromosome:ASM162521v1:6:29041857:29044641:-1 gene:DCAR_020708 transcript:KZM91927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELYDGKMWLPSGVFPPGELTASPLGTNIFSYYSSGPHSVADCNLLPSNINHRPYSAQLYRPAAQHGSLLQGGAAAAYGANNMFFAPVQLQLYRPAAQHGSLLQGGAAAAYGANNMFFAPVQLQVEMLRREEMMLRSRSGQNLGIPGGVLQYEKQKKLIRGPGGEYRGKGTGVFIPRTSVKNNVGGAARATRTREGALSSTIRNFSVGVDEKEKNAHFPPLACARIKQENQVHSHINSGKRFDAGKEAECHYQLPPELALPPEWTY >KZM89723 pep chromosome:ASM162521v1:6:3811552:3812364:-1 gene:DCAR_022914 transcript:KZM89723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLTEDAMENLFQALNAEDDMVQDSSSPLTVNPAKYERNGNVPSVEDVDWADSCLVNNAEDSDPNWTLVLDALAETLSSRSDAPADSASQRDASTGVDTAIARTSEETEPLVSVDTIAFNSASTLAKNKSEKNSDGYPIDDQNDALPLGSTYDSFFLPTYKEDTREVNDFVAEFDSEFPVYAMEQRNQIIFKEWDIDIPDEEDELTKQLDKILSGGNTSQVDPPGIDDTGLWEASRGKSMNDPLAGSVDLSIDDLISGVSDLSLNGYSA >KZM91246 pep chromosome:ASM162521v1:6:23523279:23524127:1 gene:DCAR_021389 transcript:KZM91246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKAPSHQVAGHQARDGKLGPLVDELGRFYKPLQSGERGSQEVAFYEKFCSNTTIPDHIRNFFPIFYGTQLLEASDGSGKHPHLILQDLVSGRVNPSIMDIKIGSRTWPPESPEDYIQKCLQKDRGSTSLPLGFRISGLQVNGGEESASWKPEKKYIKSLTADDVRLVLRKFVSSNVSAESSVQPDCSLASIVYGGSTGILAQLLQLKAWFEEQTVFHFYSCSILFMYEKGSMLEGKKSNAEIKLIDFAHVLDGCGVIDHNFLGGLCSLIKFIREIIDTPSA >KZM89413 pep chromosome:ASM162521v1:6:556059:557455:-1 gene:DCAR_023224 transcript:KZM89413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKICNISKIYQFGGSKADTGNRRIEDALDQCNLPPYGQSFFGKPTGRCSDGLLMIDYIDCSPWRHVKSLFVVGEAGTNDYTNALFQGKTVKEIKSKLMPQVVRAIMRAVRNVISAGAERIIVPGQYPLGCFPIYLTAFPSNSSTAYDKHKCLTSLNDLAEAHNNYLQHAISTLQTRKPHTKIVYGDYYNAFEWLLNNAPHIGLDAESTLKACCGTGGKYNLNSNQRCGISSVPVCPDPDRHISWDGVHLTQKANNLIATWVVAKFLLMIDCKK >KZM91610 pep chromosome:ASM162521v1:6:26503597:26505432:1 gene:DCAR_021025 transcript:KZM91610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQNPCVPKTLNTNLPLKSTFLPKLTNPFHRSTISTFLSSSRTPKLIKIRSFYTNPNFISTIENVSNTRRDVSLCAGRSKKKPGGPSSGRIEGNADFRREVKKNARRKNKKLAESLFYRLKNPHKNYPDNFSEEELQMIGLGYDRLVRFMDKDDPNLKHPYDWYKYGEFGPYSWRGVVLGDPIRGGISDECVSMIGEVRDQEEWEKIEQHEMAVDFGKRLSDMDKSAGFRYFWVFVRHPKWRVNELPWEQWTLVSEVVVEAGKQRLDKWNLMGRLGNQTRTLITKCAAWMRPDIVYVKKPVYQCRFEPQDDFFGAMIPLLDPKTEREFLCEVENDDGSIDTCSFYEGLCKIVKVNKKAFVDDVVKAYEKLSDEKKSKCWAFILGYHPKELLHPYTKEWKAKLEELEFGCDAPDDEDDNRSRTAEVFDWIEDDGDDDDDDDDDEYVDVNQDDIVLDVGGSGVDSIGPEEDDEEVSTEDDEDLSPEEDENYWDEEFNKAVNSNEAMEKLVTRSVKSSTKLYEEMAKSMEEKEQKGASEDGDELTMRRTRAKISPDEWKRIGNGPRMRKTKKSRIPPELFLRAAVRPFTYRNLLKEIVLTRHAIVEGEIGAKK >KZM92051 pep chromosome:ASM162521v1:6:30106953:30108763:-1 gene:DCAR_020584 transcript:KZM92051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRVSRQLETWCDLEGKVVLVTGASAGLGREFCTDLAEAGCFIVAAARRAERLKSLCDEINGSSTITQAVAVELDVAAAGEIIEATAQKAWNVFGRIDVLINNAGIRGGTKSSLDISQDEWEDVFKTNLEGAWMLSKYIGRHMRNAGQGGSIINISTGFGLNRVQQHGSVAYASSKSGMNHMTKVMALELGRHKIRVNAIAPAIFPSEMTVEIFKKKWFRDVAKKIVPLQTSGTSDPALTSVIRYLIHDSSQYVTGNIFIVDCGATLTGMPIFSSL >KZM92590 pep chromosome:ASM162521v1:6:34647816:34649027:-1 gene:DCAR_020045 transcript:KZM92590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGDEGAQQDDQEKRNGGIDTTSGKLGLVKEAVALFEERVHAGDSDHVNKVQQISKARSEGEHVTCKVTLELELKETKQNLQRAIDEGMVMATCLSILQNELEQTKRELQQLKQKEQCFKYQSITGPAEEDWVEKDVKHVEDVTKFEAKPELIMNLQKEPEFQKNHGAIANVLERHPSLRTKKKKPLLGRIFSRRKGH >KZM91753 pep chromosome:ASM162521v1:6:27592936:27601647:1 gene:DCAR_020882 transcript:KZM91753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDDEFGELYTDVLTSFTSSPSLSVQKGVSVENDRKENTSFDQFNSGGSSSRPELNRVGLDEPARVLEIDSSGKLRDDRVLGFEDPNLVDESLIHVSEGEDGDHVVIGDMVIAGLPIPGVGDGGVLGDDNSDWSDSDSDDGLQILFDDFDAGQIGDGVEVDENGNVIDTVDEAAERRMMEGQEWYEDTGILYGGFDMRDEDGERKELGYGAVKGKEVVQPPNIGVGYSNQSHSQFKFMRPGAAPLGGLVRPPLIVGPSPGHGRGEYRPAGLKNAPTMQEVHGMPAWTNNTPQHGFSGGLNFTLPSHKTVFEVDIDSFEEKPWRLPGVDISDYFNFDLNEETWKNYCKQLEQLRVEATMKSKIQVYESGRTEQNYDPDMPPELAAATGIHNISANKTNLGKAEIVHTDVAKGSMGARPQLQTGRAIPVEIGNGERLPSIDTRPMRIRDSDAIIEIVLKDFVDDDTVNGNDITELPEDDSNEGLRGGHDNKEDAPIQNTSSPAEKNSVYTGPHQDERGKKGKIGRSPSNGNSGSTSDKRRVRNQKDESTESGEFKRSPHSLAYHTREFTGEQYSEEEDAIRDDHADGSSKLNRVDVDLNMEDTHKDEYSTQYLKKQRKKLRNELSLEDVDNREGSKAARRSKAISGSTSDYWNLPYGTEDEVVQDGRSTRMRIMSKVNEGDRRAPSKGREERQDMDRHHMVTKGREDLYYHKERDSNPSYPTYHSHTKSDVIDRMKESDNFGGSWLRKDEESHGRTRFDNPRKRVHSTEMGKVREIEPINFDQYMLRKQLDKGSRTGGYHDRFTGSRHWDRPDNSKSRTDSYDDLHGKQRKYDIHSKRDLGEKEEFLHVRRESTSHQNRERDDIMEQRKREDDIARIRKNDKQSMMHKEGNRFPRKSGDRQRDEWQRLKRSHEETRSKRERADVRGVKTGQTGEENSRSSHSRVMDERKASDREYHLKDPGHRSEQYKRKDKVETENLLRLRVSEDIYSRETRPRNDERRFRQERPSALSDRAVASDHHRKPEKKYEEYPRKSKESEKDYNSVVPSKINRDDHHSQISDQVKMKGMIEQGSGDRVIPLSHQSSIKRKKDASDDDEQHEFMRGHSKSERWTSHKERDFNALTKSSSLNVKDTDEQKKIGTLAANKVPDKSLRAVETGQNPPSLASGRHADDLMDKDGKVKPKEDNHLEGVAELKKRSEQFKLPMPSEKEVGAVKKMENEELPPVQTDRMELEIKQE >KZM91267 pep chromosome:ASM162521v1:6:23680906:23685239:1 gene:DCAR_021368 transcript:KZM91267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLADSESRPVLGPAGNKSRPVELRKPVAKPKSNTKMEVVSGEVKGKKSPTLSGAIPNGKLMNCVVKKEQERRVFRSNLSMNASCSSDNSSDSSHSRASTGRITRKSVPILRKQSVTKAQKGVGSDGSVNGELGTGELVDAKKRCAWVTPNTDPCYVAFHDEEWGVPVHDDSVTSCRKLFELLSLSTALAELTWPAILNKRQLFRDVFQDFEPVGVAKLNEKRITAVGSIASSLLSELKLRVIIENARQMCKIIDEFGSFDKYIWGFVNHKPTVGHFRYPRQVPIKTSKADVISKDLVKRGFRGVGPTVVYSFMQVAGITNDHLISCYRFQECIVAECAKDKDGSFKDRFEGKQIEDEPDLGVTGAIDGLSLSQD >KZM89404 pep chromosome:ASM162521v1:6:499111:503552:1 gene:DCAR_023233 transcript:KZM89404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSGIEAAEEIKVERTADDQLNGFVLDNLQEIRMKLVANGIGLTPQMGWNSWNHFQCNINEQMIRETADAMVSTGLAAAGYKYVNLDDCWAELNRDSQGNMVPKRSTFPSGIKALADYVHSKGLKLGIYSDAGVRTCSNLMPGSLGHEEQDAKTFASWGIDYLKYDNCFNNNVTARQRYPIMSKALQNCGRPIFFSLCEWGQEDVATWAPGIANSWRTTGDIVDNWNSMSSLADQNNQWASYAGPGGWNDPDMLEVGNGGMSVEEYRSHFSIWAIAKAPLLIGCDIRAMNNATHAILSNKEVIAVNQDKLGIQGKKIKSNANLEIWAGKLSKNRIAVVLWNRGASTAWITAYWPELGLAPYTEVNARDLWRHQTYKVKGKIYRKVKPHDCKMYVLSPV >KZM89513 pep chromosome:ASM162521v1:6:1440788:1445521:-1 gene:DCAR_023124 transcript:KZM89513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNSGKISAKWIPIFSVSFFFFGMLFTNRLWAPPESNGQLMSRQRQEQELQIISEDCTTKKKPEEEKDVMGEVHKTHDAIQSLDKSISMLQMELAASRSAQEVVKSDGMSDNSHGEPPKKKAFVVIGINTAFSSRKRRDSVRQTWMPQGDKLIQLEKEKGIIIRFMIGHSATSNSILDRAIDSEESQHKDFLRLEHVEGYHELSAKTKIFFSTAVSKWDADFYVKVDDDVHVNLGVFAATLARHRSKPRVYMGCMKSGPVLAQKNVKYHEPEFWKFGEDGSKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSVEKLKFVHERCGEGDEALWSALL >KZM90196 pep chromosome:ASM162521v1:6:12398746:12406971:-1 gene:DCAR_022439 transcript:KZM90196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVETENKVEEKERSGELLFCGATNWDLIGKKKGPADTNLVSPTRLRPLVGIDIRFVASGCMACHCVALDVDGRCYTWGRNDRGQLGHGDTIQRDRPTVVSGLSKYKIVSAGSGRSHTVVVTDDGTSLSFGWNKHGQLGSGSVKNEFELSPVRCQVSDVKVATCGGEFTVWLTSEPGASIHTAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPKAVASLSRETIVKVACGTNHTVAVDSNGYVYTWGYGGYGRLGHREQKDEWSPRRVDVFTRHNVLPPNAVVSAGSVNSSCTAVPPAYVNCVGKGWVGIYSLFCSPAGGQLFMWGKLKNHGDDWMYPKPVMDLSGWNIRCMDSGSMHHFVGADSSCISWGVAQSGELGYGPLGQKSSAMAKKVDSLEGMHVMSVACGCAHSLVVVDRTEVGERLDQLDVYDGKAAGEGSEVPVNNSNIAANKNGKKNGAKTPEKSNKRKSKDSSESEDEENDSSDEGSEDSEEMNGDAKGKRQRGGKASGRGRGKSAGKAKTETKSSGRGRGRPSADKNTADNTKTKSGRRGRPRKS >KZM92413 pep chromosome:ASM162521v1:6:33224469:33224684:1 gene:DCAR_020222 transcript:KZM92413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTQAFGYRSDGHPGPYRSPDPFKITERGSSGRPPPQDCLHWCMPGPIDTWNELMFEEIRKEFEGAKEVS >KZM89748 pep chromosome:ASM162521v1:6:4222808:4224587:1 gene:DCAR_022889 transcript:KZM89748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNNDLDGNNGAIPNQRDLPFSHHINSGSSGLINVGGHNVEKISDNDEAGIFHNKEDLDFHTELGSLDGSQDMHPGYLTKRAMHQPPIVHSQYEDKHSNMLSTEQGDLNKELDVSCNELHQLNLCDINYSTSNESSKHVQSHSVTNGNNHLPTLHEIKSPNCYTSDGCILDEMEDDLSDKVTESMQNRTPVEDSQASISGLHGDLFSLSESSQVSGSNYSECAGSTDDGSISEFGPHVKDSGMKFNQVDTERAAYDPSAWTPMEIEIATGCVDSRLMESPLKLRSTYSEVEDSSGTRDSNGEPMVTSYNRCFQGTVDYIWRSEGLQTVRVLAPIPKHAMQWTNGFPTKDPDVIYV >KZM91152 pep chromosome:ASM162521v1:6:22611791:22613193:-1 gene:DCAR_021483 transcript:KZM91152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGVGDTSYARNSSLQKKGSDMVKHITIETIQEVYMKTTPKSIGIADLGCSSGQNTFSTIKTLVDAVGETCQKLSLYPPPEFRIYLNDLPTNDFNAIFKSLPDFYKELKEHRNYGISSPSDCSPAIYIAGYPGTFYGRLFPDNCLHFIYSSYSLHWLSRVPAGIYDKEGKSVNKGSIYISESSPAEVSQAYHRQFQEDFNLFLRSRSGELVSGGSAVLILLGRGGSDHVDRGNSFLWKILSRSFKILISKGQVDAKSLDEYDVHFYAASEDEIREEVSREGSFVVERLEMFEMEKKAEGEMSYGTAVAMAVRAIQESMISHHFGEEILDSLFDIFGKLVDEELGVEEISLLSFVLALKKL >KZM91237 pep chromosome:ASM162521v1:6:23453920:23455722:-1 gene:DCAR_021398 transcript:KZM91237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTVAALTIFISCFIPLLILLIKKKHEHKKLPPGPRKLPIIGNLHQLSQPVHQALRCLSDKHGSLMFLQLGSVPTLVVSSAAMAREVLKTHDLIFASRPSLYATKKLSYNGTNISLAPYGKYWREVRKIALVELLSAKRVKSFEAIRKEEVAYILKIVEDSTAKSTPVNLTELMFLVVNNIVLRSIFSKKGNHSEEKGKSSVSKFIEILNETQELASVGNIADSYPWMGWYNKFNGFDGRLEKNFRALDGFYDMVIQEHRQQSGGSQHEDLVDVLLRVQNDPNQEIRLSDENIKGVLTIIFSDFDEGHVYSWNGYFFHNTSMDNGRTDKKPSRDEKSTGRSERSCQGKWFTTGKRKPSSGTCISKDGYKRGPKTPPPLPLLLPHETTERCSIAGYDIPAKMRVLINATSIAMNPEYWENPEEFKPERFLNVDTDFRGQHLELLPFGAGRRGCPGINFAVVIIELAMASLLHSFNWNMPDGESAEDLNMEESFGIVAHKKTPLNLVASKPSVAT >KZM92399 pep chromosome:ASM162521v1:6:33121373:33121621:1 gene:DCAR_020236 transcript:KZM92399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNSRNGHIIVSVWTINQQPSITTATTTTTTTSKETPKTHQINIQENKPLFKFFSPKNPNSRKPTKVRPKWTYSRCSFSSQ >KZM91651 pep chromosome:ASM162521v1:6:26812951:26815899:1 gene:DCAR_020984 transcript:KZM91651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTISTIKPSCNTNNLIKTKSLLSLSRYSTATQSKPSNSSRLFQRINPLRDPKVSILPVLDQWITEGNKFKEPDFQRFVRELRASKRYSHALQLCEWVNINNFYPVSSGHLALQLDLIGVARGLDAAECFFDKLSEKEKDERTYGALLNCYVREGLVDKSLLHMQKMKEIGYASSPLVYNNLMCLYSRTGQLEKIPDVLTQMKENGISPNNFSYRICINSYGERSDFDSMQKTLEEMECQPHVTMDWTTYSTAVNHYIRAEQKEKALVVLKKLEAKLNKDALGYNHLISHYANLGNKNEVMRLWGLQKVVCKKQVNRDYITMLGMLGKLGEIEESEIVLKEWASSCQTFDFRVPNVFLIGLCSKGLVEKAETTLKNIINTGKTPIPNSWAIISLGYKEVENMEKAFECMKEALAVAEGSPGWRPKPALISSILHWLGEKGEIAEVEAFVRSLRSVIAVNKEMYHALIKANVRGGIGVDEIIESMQFDNIDIDEGTEEILGLRKEVTE >KZM90661 pep chromosome:ASM162521v1:6:17884884:17886790:1 gene:DCAR_021974 transcript:KZM90661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQGFFSNLKDEVIRSLSPARSRSKSPGRLGSSGFLGRRKSSPNHSNFVNSDQFICRSGSLRPGLGETLTPLKEGPDPDGGEMGDSKRVGLGQWMMGQLSRTPSMNPNVQKRSDLRLLLGVMGAPLAPVHVSTDPLPHLCIKDTPIETSSAQYILQQYTAASGGQKLQNSIKNAYAMGKVKMLASEFETATRTMKNKNASRDAETGGFVLWQMNPDMWYVELAVGASKVHAGCNGKLVWRHTPWLGTHTAKGPVRPLRRALQGLDPRTTASMFADAKCIGEKKINGEDCFILKICADPKTLKARSEGPAEIIRHVLFGYFSQKSGLLIHMEDSHLTRIQSSGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGEVAMSHTKTRMEEAWTIEEVAFNVPGLSMDCFIPPADLRSGSISEAREFSQDGRGNNAMAIAAHRAKVAALETTDGTELVWKVEV >KZM91445 pep chromosome:ASM162521v1:6:25240550:25240963:1 gene:DCAR_021190 transcript:KZM91445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGRVEDRKNECLPSLREACVCKEDHNQSSQLSPPFLCELCDSEASVYCQADDAFLCQNCDARVHAANFLALRHIRCFLCTDCHALTHRYLIGASVQVILPTIVCTKGGDRNGPDDISNVVESDHRNQLIRPFLFL >KZM89848 pep chromosome:ASM162521v1:6:5956024:5957748:-1 gene:DCAR_022789 transcript:KZM89848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATHLHSLSLAPQKPHLFICKTPYQRPLFSLSKTLTPIPPLSKTLVTALSNNPISTFVTSNNHDPDDDFDEKPREECGVVGIYGDQEASRLCYLALHALQHRGQEGAGIVTNHDNVLHSVTGVGLVSEVFDQSKLDQLPGDSAIGHVRYSTAGASMLKNVQPFVTRYRYGSVGVAHNGNLVNYEELRIKLEENGSIFTTSSDTEVVLHLIAISKARPFFLRIVEACGKLEGAYSMVFLTEEKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEARYEREVAPGEVIVVDNTGIESSLCLMKHVVPKACIFEHIYFALPNSVVFGRSVYESRRKFGEILATEAPVDCDVVIAVPDSGVVAALGYATKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRISSPPIIASCYYGVDTPSSEELISNRMTVEEIRDFIGSDSLAFLPIDSMKGFMGTDSKNFCYACFSGNYPVLPTGKVKRVGDFLDDGLSGSIDSFDEGWIHGTKNEVGKTINSVKEEDEVVA >KZM90173 pep chromosome:ASM162521v1:6:11996057:11999670:-1 gene:DCAR_022462 transcript:KZM90173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQGHVIFPVAVRAKQTKVHTPPANGLSRKCKFSSRFWGVKGVTCRKTNVGNRLKLHTAKVVMCSFSSSSDGNGSMAENSNENAEYVNCTVTDAVEVKGSPDGFMIKMSNGQQLRCAHNNDEAKTPTNFSPHPAIVLKMEDGTGLLLPVIVLEMQSALLMVALKDVKVARPTMYEVVMQMIERMGYTPKIVRITERVNEAYFSQLYLTKLGDETQNISVDLRPSDALNIAVRCKVPIQVNKNLVYRDGMRVIETTSIFHGPLSDGIVSTELDPKLDRPSGQPCLETEEFNLLRNMWVAAAEERYDDAAEWREKLSEFRSNMNEA >KZM89434 pep chromosome:ASM162521v1:6:715920:716315:-1 gene:DCAR_023203 transcript:KZM89434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMKKPCKGKQNVAMRKVRIICNDPDGTDSSSDDDDESNDLNNEYGKRVKRIVSEVCIPMDNSRKGSLSSVGVVVTRKIDGVRRKSANESLPILYEDELFQYLLENRPPLRKPEQDFELGKEELDWINNL >KZM89402 pep chromosome:ASM162521v1:6:463924:464986:-1 gene:DCAR_023235 transcript:KZM89402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEENSFVGMKRLLMKSVIEAGIDYDDDGNVESRIIQDDHTVSTKIMKPILDYYDLRRIKYEEIYGDWRFHDDSDYLSPKEENQVGEKPEEVIDLTAGDEYEQPRQPPQINPPETQRYQHTSSSYQEQIKEILNLYIKVNRDHSKRPCYISRVLDIHVRYKDTNQEIHIKSLSKLKIIVVKKMYIKVTGSLNNLEAQFAEECEAVLGSRKNEDPKLDEKRRETFYGVPGKKFRKERNEMEFRCCSSGHILWFNIDGVRQLGSHALMLRIQEIEDFVETPEEVALVADLNLSVDELKERERKDKEERRVRK >KZM90856 pep chromosome:ASM162521v1:6:19850755:19860230:1 gene:DCAR_021779 transcript:KZM90856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLTKNLEIPEGLRARWSALKPVFANDDDGGVHRRPWRRRVVSSIAFFASASVLRVSLLLLLLGAIVSACVFLPVEKVLKNFLTWIKSDLGPWGPLVLAVAYIPLSILAVPASVLTLGGGYLFGLPVGFVADSTGATLGATAAFLLGRTIGRPYVKSKLKNYPRFQAVTIAIQKSGFKLGGGYLFGLPVGFVADSTGATLGATAAFLLGRTIGRPYVKSKLKNYPRFQAVTIAIQKSGFKIVLLLRLVPLLPFNMLNYLLSVTPVRLVEYMLASWLGMMPVTFALVYVGTTLKDLSDVTHGWGEVSTIRWIFIASGFLISVIILVYIFKTAKASLEKALEEGAGTDGLLASPVLPFVAEAPLGLQNPLVIKIDSSAVDHVQ >KZM91748 pep chromosome:ASM162521v1:6:27529347:27529724:1 gene:DCAR_020887 transcript:KZM91748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYMGDAKTWTSDLKPENYGQTRQLDKIRAKYCHAILASPLNEIRQKILDEAKLLYNKMASERVMSIVIEASKRKGARVHGKKMIKGRVLFDED >KZM90529 pep chromosome:ASM162521v1:6:16586523:16589658:-1 gene:DCAR_022106 transcript:KZM90529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLGGEMQKAKAITLYQIAQIGHLGLLLLWHLVHLIVNIWYFALGLGQTLESYLISSGLLKRYNSLDSNRVRYLAIVVDSEEACQTSKVLELLQHLENIGIKHVCLYDPEGLLKKSKEAIFEKLTRVKLYEEATKSDSLVRKHITLELASFSDGKKAVAEAANYLYKKNYIGGEQKEFICSESSIDEALKAIGCAHADPDLLLVYGPARCHLGFPAWRLRYTEIVHMGPLKSMKLGSLIKAIYNFTKVHQNYGM >KZM89752 pep chromosome:ASM162521v1:6:4279392:4280877:-1 gene:DCAR_022885 transcript:KZM89752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKPGSKVEVLKEVDSLTAWCGGEIISGKGHSYTVRYDHYVPEHGEATDRVHEEFVRPPPPIQRVDSWVSDDVVEVFDDVMWRTAIISSARGSYCNVRLLGSSYKFRVHISNIRIRQSWKNDKWLLMDKGWANSGELELSQLSTSDCYQKMTYQAAQTNAAVKKQRKFNLSAAAQNSGARDSHIAYSRTLKRASPYCSSLIQANSGNLKKFRTAEKEDRRHPVLPVNVNQVDAVAYPRKNLGETYMRASFNNITNGHNELDRGELNDVIGCSAARDSESNDSDSDISSVGSCSAISRTLNKFSTHKLAVSYQETNSLSSDAESCCSGARDK >KZM90898 pep chromosome:ASM162521v1:6:20236925:20241457:-1 gene:DCAR_021737 transcript:KZM90898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEESGSKKEGGGELLLGWPTFVELQNGRFKCLETGHELVGSCRESYSRSKHCRLGLIDSALSLNKPPLNVFRQDPLSRKKLICKLTGLTVNKTEEHIWKHITGKRFLNTLEKEEAIKRTLKGRTQDQTQKNPDKDAKKNQKSLKDVKKKNQLNEEQIKEIISKARNMSDKDSDTEDDFWMPSRECQEIHRVDRRGSDLHVITKADGLRRAGRAEAENEDLKELPDKMKRLSIEIGPSAFASRKKKKKISTLD >KZM91302 pep chromosome:ASM162521v1:6:23949439:23953590:-1 gene:DCAR_021333 transcript:KZM91302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRLLHGTLHVTIYEVDHLNAGLTGGLLGKFRANLEDTVGFGKGTPKIYATIDLEKARVGRTRMIQNEPNSPKWYESFHIYCGHFASNVIFTVKDNNPIGATLIGRAYVPVHDLLEGEEVDRWVSILDKNKKPISKGSKIHVKLQFFDVTHDRNWARGIKSSKFPGVPYTFCSQRQGCRVSLYQDAHVPDNFVPKIPLSGGKFYEAHRCWEDIFDAITNAKHFIYITGWSVYTEFSLIRDSRRPKPGGDMMLGELLKKKADEGVKVLLLVWDDITSVGLLKKDGLMATHDQESELYFQGTNVNCILCPRNPDGGGSRVQGLTISTMFTHHQKIVVVDSEMPNGGSEKRRVVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFEGAAITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKNVLVNLRELENIIIPPSAVTFPDDNDTWNVQLFRSIDGGAAFGFPDTPEAAAKAGLISGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFGWNSEDIIDADVNALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGLPESASVQAILDWQRRTMQMMYKDIVQALQANGIEEDPRNYLTFFCLGNREVKNSGEYEPSQQPLPNTDYSRAQEARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGGKDSEIAMGAYQPYHLATRQPARGQIHGFRMSLWYEHLGMLDDTFQHPESVGCIQKLNTVADKYWDLYASETLEHDLPGHLLRYPIAVTSEGNVTELPGMEFFPDTRARVLGVKSDYLPPILTT >KZM92656 pep chromosome:ASM162521v1:6:35162045:35162860:-1 gene:DCAR_019979 transcript:KZM92656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMSLKRQRSTGVEAWMQHTPLGFRFRPSDLEAFAYLFSKIYGKHLEKIPLELVQEFDVYVSEPSKLPRNEEDEFAYYFTERSPLSKNKINRYTRDGRGYWRISGTTWKTKAGKKNTLVYYIRSRDERGKGQKTNWIMHEYVLAPRSSASRRFVLCRIYNRKEHEEKEVFDMSSEYQASDNELDWSGLLRMLGESHSVAEEGDLFCEMEALLNSPTDDPSDTDASHVNKVRQNLTRNLTKQMDWMSNSSRVEVNEENDIDLTLKLATMIQ >KZM90191 pep chromosome:ASM162521v1:6:12310713:12316841:1 gene:DCAR_022444 transcript:KZM90191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFRDDESIHSFQSLQSDSTILSSAQGEKIFVAVRLRPLDDKEIARNEASEWECINNTTIMHNKEIPEKSMLPTTYVFDKVFACESSTKEVYEDGLKNVALSVVTGINTSIFAYGQTSSGKTYTMRGISEYAMADIFDYVHEHDEREFVLKFSAIEIYNESVRDLLGMDSTPLRLLDDPERGTVVEKLTEVTVRDWSHLKELMAICEAERQIGETSLNATSSRSHQIIRLTVESYAQDFSSADDLSSLAASVSFVDLAGSERSSQTLAVGSRLKEGSHINRSLLTLGTVIRKLRHVPFRDSKLTRILQNSLGGNARTAIICTMSPAHCHAEQSRNTLLFAACAKQVSTNAQVNVVMSEKALIKHLQKQLASLENELRIVKSASSPGDSSSLLREKELLIEKMDSDIRDLTRERDLAQSRLQEMLESTSDNQVSETWVSADRVPDASEKRSWRNENSVSDSSKVVDPPPLDVPSSNRNFLDKSQSHNSVNNVPQVFDNSDDFFLPHGSPNAYLNKYFGPDLSRGWEEIAQKNYYSSEVNCKEVQYVNGEPKTYKNSETATVPLPHNRDGNIGQVQSDPTSEAPQPNQDKKNIADDGSVGPKPTELSPSSPDNDASSLGNNKLVKSSSCRENDMTVSLIPLSQEYEKDTTPHNEYEVDFPVKPEEPVLDDNRGKLSIVDSSHESDSIDEKEKNDRKRPDSDSDSLFNGAMRDKEALKHNYEVKYGENTVQDAETNKYELMKIVKGSNEMRYGPEHQSSDWKLEFERQRKQIIRLWDACYIPLVHRSFFFLLFQGDPSDSVYLEVEYRRLSFLMQTTSRGTSSGAIDRERDMLSHQLLKKYRTKQREVLYEKWGIELNSKQRRKQLSRKLWKDTEDLDHVKASASLVAKLVGDPNQAPKETFGLSFAPQHGSFALPSLRKSTCAPY >KZM89430 pep chromosome:ASM162521v1:6:678673:687185:1 gene:DCAR_023207 transcript:KZM89430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRKRSYDLGDVIELVNKLMVEKAFIVFVVPLVLICWLVEKWVFSVSNWVPLVVAVWATLQYGSNQRRNLVEDMNKKWKQVVLQTSPLTPLEHCEWLNKLLIEIWPEYICPKLSIRFSSIVEKRLKQRKSRLIEKIELQAFSLGSCPPILGINGTRWSTLGDQRIMHLGFDWDTTDMSIMLLAKLAKPLLGAARIVINSIHIKGDLLLMPVLDGKAVLYSFLSTPQVRIGVAFGSGGSQSLPATELPGVSSWLVKIITDSLIKTMVEPRRRCFSLPAVELRKKAVGGILYVTVLSADKLSRVHLKGSPYRGQQSVKGSYTIEHLDDKDMLTFVEVELGDLSRKTGVREGTSPKWGSTFNMVLHEDTGTLRLNLYECKAGNVKYDYLTSCEIKMKYVADDSTMFWAVGAESSVIAKHAEFCGKEVELTVPFEGVESGELTVKLVLKEWQYSDGSHSLNNFHLNSRASSLYGSSNFPTRTGRKIYVTVVEGKDLMVRDKSGKCDLYVKLQYGKVQLKTRSKQNTSNPIWNQKFEFDEVGDSEYLKIRFYSEETFGDENLGSARVNLDGLIEGSTRDVWIPLEKVSLGELHLKIEAVNVDDSEGSKGSNGGSGNGWIELSLIEGRDLIAADIRGTSDPYVRVQYGNLKRKTKIMYKTLNPKWNQTLEFPDDGSPLELHVKDYNALLPTSNIGDCVVEYQGLPVNQMADKWIPLQGVKRGEIHVQITRRVPEMQKKSSLGGESDSSKSRQISSQMKQMMLKCRSLVDDDNLGELSASLSELESLHDLQEEYAIQLETEQMLLLSKINELGQEIINSSPSLSRSSD >KZM92232 pep chromosome:ASM162521v1:6:31710865:31713249:-1 gene:DCAR_020403 transcript:KZM92232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYPHLSVTEEGVKELAKGLSHHPPSVLTVGSKHRERLLREYSWPGRESFLGEILSSVVLSQVSAKMVLYLLYQAALGYSLFLCHEYESEDIGKNTDAVRNCVSDLKEFSKVVKLVAFDPFQSALHALNQITAFSLGQMTDELHRFLVLALPKPEEGNNSKLSVGLAEPKLGSHIFQVTKVPCQTNEFILEVLRGVQLHIDRIINPSMKPFYTIPSKRRKLSASSPDWANLHTDILESVIKRMTCFDIIRFKHVCRSWKIAVLNLCLISNPSSQPPYLIWSTTDNRGCCFFNFARQKLHHEFNNFWGDLNIYCIGCSKGWLILHVVDEERQSSVFIFNPYCFEARKIVIPSDTLPHYMLIRKFYRTRLTSDPIRSGGRFGVIFLMCNKVKLLLQDSYHPENNKWIEAPLTSSSFYSLEAACVRKALDFQWTSKLASTIDSPRFSEMTRNIPPREIYWRPWVNNYIILYLVESGVDILLVTRIIGSLLENGQQVYATKYFDVFTYNFDDRRWVKVDSLGDRSLFLGGDHSLSFSVLDTQGWQGNSIYFTDHISSISNETGVDMGVFSLEDGSIKQLTWRCQKNQTTI >KZM90328 pep chromosome:ASM162521v1:6:14296120:14296860:-1 gene:DCAR_022307 transcript:KZM90328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDPKVDGEPSKVTTTAADGGLKGKWRRRWGYGGWSSYGKSKESYAGHMWRSFAQSILVLLVLFAIIALILWLVYRPHKPKFAIVSAAVFNLSTSTPPFIATTMQFTIVTRNPNDHASIYYDRLSAFVSYRNQRITPHLSLPPLHQREDSTVSFAPILGGSAVPVSLDLANGILMDVEGYGLVQLRVVLQGRVKYKAAGIKTSHYDLYVTCDMLLGLKRGMVGQVPLLNTNHDPNQDQHTCKVDL >KZM92408 pep chromosome:ASM162521v1:6:33191306:33192025:1 gene:DCAR_020227 transcript:KZM92408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFFSYSSSSYSAPPQDPYQYSGHPQSTFTTGNASGFGNDNDQPIHIPDEEMSLASSNPKKRGGRKKVQETRHPVYRGVRRRNPDKGQNACLNFADSTWRLPVPASSDPKDIQKAAAEAAEAFRPQENHNSEDRSIPEETQELQENHEGSYLDEEAMFATPEYMNNMAQGMMLPPPQFAQSDMYYGNDDMDYVTDMSLWNY >KZM92126 pep chromosome:ASM162521v1:6:30737720:30739370:1 gene:DCAR_020509 transcript:KZM92126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHMTACAVLSYLSIVFLKIVPFQRIKSKSQFLRIATLSVVFCASVVGGNISLRYLPVSFNQAVGATTPFFTAMFAYFMTFKREAWVTYVALIPVVAGVVIASGGEPSFHLYGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLMLYMAPIAVVVLLPAALFMEPDVVEVTVTLGLKHTFMWLLLFLNSVMAYSANLTNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTFQGIAGYSMTVMGVVAYGEAKRRYK >KZM91034 pep chromosome:ASM162521v1:6:21566808:21571109:-1 gene:DCAR_021601 transcript:KZM91034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSECFLTEEQREMLKIAAQSAEVLSTSPLSPSKLVSEHFVKAPVGGKAGNPGVGSRHFRKTHSGKLGRAKKDGGGGKGTWGKLLDTDGESHIDRNDPNYDSGEEPYQLVGSTVRDPLDEYKKAVVSLIEEYFSTGDVDVAASDLRGLGSNEYHPYFIKRLISISLDRHDKEKEMASVLLSALYADVITSKAISQGFLMLIESADDLSVDILDAVDILALFIARAVVDDILPPAFVTRARNGLSESSKGFQVLQTAEKSYLSAPHHAELVERRWGGSTHVTVEEVKKRISDLLREYVESGDTLEACRCIRQLGVAFFHHEVVKRALILAMEIRTSELAILSLLREAADEGLISSSQMLKGFARLAESLDDLALDIPSAKTLFRSLVPQAVNEGWLDASFLTSSDDNGVHEKDSEKLKLYKEEAVAIIHEYFLSDDIPELIRSLEDLRAPEFNAVFLKKLITLAMDRKNREREMASVLISALHIEIFSTEDIVDGFVMLLESAEDTALDILDASNELALFLARAVIDDVLAPLNLDEIGTRLPPNCSGSETVHVARSLLAARHAGERILRCWGGGTGWAVEDAKDKIAKLLEEYETGGVVSEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDHLLDLLEECFREGLITTNQMTKGFTRISDGLDDLALDIPNAKEKFKFYVKHAQMKGWLLPSIGAVSADGPIIPPSATS >KZM92005 pep chromosome:ASM162521v1:6:29754106:29756142:1 gene:DCAR_020630 transcript:KZM92005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSGSSSGFINKNEVLDVKPLRTIYPVFPKQSGNPSLTGSSSPGVTPFYPFLVQKESKGPKPNQAGPSTFDKTLLSPVPLNSYKTPSQYSDGDSGPSRRDIRSHKGVSALDDGYSNYHNQSDRFGEGTSKKRKGKTPKTTAVVDRSASVDVDDIVAKSLKEFKLIDLEPAQQTDGNKELIDQILMVYNLLRRRIIQLEESRGDNPKFTRRPDMTAGQMLMRKGIRTNKRKIIGAVPGIEVGDVFFFRMEMSLAGLHAPVMAGIDYMGVHMSGLEEPLALSIVSSEVYGDVDEDGDVLIYSGQGGVDRKDGKVADQKLERGNLALETSMHRGNEVRVIRGLKDIANATGKVYVYDGLYKIHESWKEEGKGGCNVFKYKLVRIPKQPKAFTLWKSIELWKDGVTSRTGMILPDLTSGKEILPVCLVNEVDNERGPAYFTYTPFLKYEKPFNLPKPSPSCTCRGGCQPGDIKCPCIQINEGFIPYVSPGVLVSRKTMIHECGSSCLCPLTCRNRVSQAGLKVHLEVFKTKNKGWGLRSWDPIRSGQFICVYGGEAIDTSKTMELRGEEDNYYIFDSTRAYPSVECFPDGHAEVPLPLVINAYRSGNVARFMNHSCSPNVFWQPVVRENYNEAYADIAFYAFGHISPLQELTFDYGMVQENTQMKKSCLCGSSECRGFFY >KZM91862 pep chromosome:ASM162521v1:6:28489700:28491597:1 gene:DCAR_020773 transcript:KZM91862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEHLKQWTEQQKQKHEAEQQSDLTRLLLNDCYYQQHHSNCSELPLFTTPAQQTKPSLHSVPSSSILQSGGYWGRGGMDPEPGRCRRTDGKKWRCSKDVVGGYKYCDRHMHRGRNRSRKPVEIPTPPAPIASNNHITTINNTTQPFLNSNTNPSIPAQAPTPTTFGLSRPSHSLDLLHLNHRSSENKLSEDDFSASQILRPFFNDWPRSAQESDNSLNLDSPGTSLSISVPGNPLADSSLKLSAGANGVNYGPQASEEREQPQLQWASTTSPWGTNQMGGPLAEALRSSSTSKSSPTSVLHQLRGAASFIST >KZM91476 pep chromosome:ASM162521v1:6:25448416:25450029:1 gene:DCAR_021159 transcript:KZM91476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGTNCFHRRKVIYGLSPDHNITTGELSDLDLRRKFGKSSMMKVSAAEILSVSPSSKGALSSFVEDAHCVAGCDYEYGTCWGHREDVLTGLEIHRKGWRSMHCTTDPASFLGCAPSTGPDTMIQTKRWATGLLEVLFSSKSPITATINGNLQFRQCLAYMWVLSWGLWSIPELVYSLLPAYCIISGSHFLPETYEPAIVIPVAVFLIVFMKLIGLSESAFVLTAKNATTNSDEVVMNKDDGKFTFNESPIFLPGTTILLVNLTALLFGIVGLYGKGKYGIPSLTILKSGVLALMFTHFCKLSEKA >KZM91865 pep chromosome:ASM162521v1:6:28507220:28510770:1 gene:DCAR_020770 transcript:KZM91865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSFKYVIIGGGVSAGYAAREFANQGVKKGELAIITKEAVAPYERPALSKGYLFPEGAARLPGFHTCVGSGGEKLLPEWYAGKGITLFLSTDIVKADLASKTLVSAAGDTFKYESLIIATGSTVLRLTDFGVQGADSKNILYLREIDDADKLVETIKAKKNGKVVIVGGGYIGLELSAVMKLNNLDVTMVYPEPWCMPRLFTADIAAFYEEYYKNKGVKIIKGTVAVGFNSNDNGEVKEVKLKDGRVLEADIVVVGVGARPLTTLFKGQVEEDKGGIKADAFFKTSVANVYAVGDVATFPMKMYNDIRRVEHVDHARKSAEQAVKAIFASEQGKTIEEYDYLPMFYSRSFNLSWQFYGDNVGDAVIFGDNSPKSDNPKFGSYWIKDGKVVGAFLEGGSPDENKAIAKVARVQPAADSLDVLAKEGLAFASKI >KZM89464 pep chromosome:ASM162521v1:6:964722:966545:-1 gene:DCAR_023173 transcript:KZM89464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPEKRCLYEILGLNLDCSPDEIRSAYKKLALQRHPDKLMRSGLSQEDATASFQELVNAYEVLSDPRERAWYDSHRSQILFSSSSNAGSATSIPNLFSFFSNSVFSGFSDSGKGFYKVYGDIFDKVYANEVNFARKMGVGGVKEAPVLGNLKSPYTQVNAFYGYWLGFVTVMDFVWVDEYDSKAGENRKVRRLMEEENKKLRKKAKREYNETVRGLAAFAKKRDKRVIDMVVKRNEEMEKRKEEEKERKREAERVRAERVKAYKEPEWASVEEGVEEVEEVVEEGERKNEFYCVACGKKFKSEKQWRNHEQSKKHKEKVAELREAFEYEERMNANGEKEEEEDDEGEEEDETEGNGFVSADDCADDLTEQFGNGFGVLEEEGTGGDEEQEEGESSEEEMFVDIDNGHNLKGNSELGMDVDDEASILEAMVSGLKSKKNKNGESKASSPEVHVAKDSDEMEFMDYNNCKGTRRNKGSRRRKGRKDDEEVKKRDAAETTGQAVEEDFSTLGKSDKPDEVVQDESEKLSSQSDEVNVQVDSSVAEEIKPHSFVVTGTKGVDKDQKGLKAVAQKILADKKDINSKSKTASKGKKHKVWKFLSFNHQRGH >KZM89745 pep chromosome:ASM162521v1:6:4149317:4149556:1 gene:DCAR_022892 transcript:KZM89745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFVPYNAPHHVNFNVNVSLAEQVEDLGLGQINAPKAARMEMEVDKMEELMEDFFWVAWGRSTHSWLTSLQLVIRTKF >KZM89987 pep chromosome:ASM162521v1:6:9230500:9234484:-1 gene:DCAR_022648 transcript:KZM89987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNARDFLAGMSNFAKDKKKLYIAVFASVLLVSAVIGIAVGVKNNSGNSSQSHIDSFKATAAHSVLKSACSSTLYQDLCYSTIAAVPGMSKKITSQKDVIEQALNITHTVVEQTYDKIKKLSKHKHLTKREKGALKDCLELIDETLDELHESVEDFEKYLTKKSLREHADDLKTLISSAITNQESCIDGFSHDGADRKVREELLASEHNVEKMCSNALAMICNFTNTDIANEAKLKGRNLREEGNSVWPHWLSVGDRRLLQSSTVTPNVVVAADGSGDYKTVSEAVAAAPEDSKTRYVIRIKAGVYRESVDVPKKKKNIMFLGDGRTSTIITASKNVQDGSTTFNSATVAAVGAGFLARDITFQNTAGAAKHQAVALRVGSDLSAFYKCDILAYQDSLYVHSNRQFFINCFVAGTVDFIFGNAAVVLQDCDIHARRPGSGQKNMVTAQGRTDPNQNTGIVIQKSRIGATSDLQPVQSSFPTYLGRPWKQYSRTVVMQSSITNVINPAGWFPWDGNFALDTLYYGEYQNTGAGAATSGRVTWKGFKVITSSTEAQGFTPGSFIAGGSWLKATTFPFSLGL >KZM91072 pep chromosome:ASM162521v1:6:21814935:21815816:1 gene:DCAR_021563 transcript:KZM91072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTRKLTSPLLGHKRRRCLRKIVRITVTDHDATDSSSDEEAELYNRRRVKKYLNVIRVEAGVASPRKREVSDNGNAGRQTKLASRPVNESSEKKYRGVRYRAWGTFGAEIRDPMKKERRWLGTFGTPEEAARVYDSVAISLRGTKATTNFGNPSWGVKQQIVVQSDSSNEFAEGSHQNTLTSPTSILRNENRPAPEEVKDKRKGKDKVFDPMNICMPMDNPFRDDDGFGSMEPISFADAPIFHGIFLENDELSGADMGFSYDIMYGIGTTGSTSRVTDDYHFEDSDFSPATD >KZM89390 pep chromosome:ASM162521v1:6:369481:370373:-1 gene:DCAR_023247 transcript:KZM89390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALVPHISFCPELTGKYSHTDVYMFTLLLSHFTYNFVHTPISFFSMLDANESADAPSSFVFLWFQIQNSNSYPLIGFKRIQISSLFISKLSNLASQPAPILGVFVKIWGTFCLRVCCEWNCGGGRRRGGDNGRQKDEVFKL >KZM90830 pep chromosome:ASM162521v1:6:19641669:19643588:1 gene:DCAR_021805 transcript:KZM90830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKGVKAPVAAKKKADKVMNPLFEKRPKQFGIGGAIPPKRDMHRFVRWPKVVQIQRKRMILKQRLKVPPALNQFTKTLDKNLATNLFKMLLKYRPEDKAAKKERLLKKAQAETEGKTIESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTIVHKKTASVLCLTTVKNEDKMEFSKILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTRAKERILAKEAAQRMN >KZM90235 pep chromosome:ASM162521v1:6:12910503:12912575:-1 gene:DCAR_022400 transcript:KZM90235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAVLKSTSTSSTQSAEESKSDKQNMGLLSVETEDNFSSLLEFAANNDCEAFKALLEENSLAVDEVGLWYVRKKGSKHIVLEHRTPLMVAATYGSLDVVKFIISQPSVDVNIACGLDKCTALHCAASGGAVNVVDIVKLLLAVGADPDIKDANGQRAVDVIVAPPKVLYVKASLEELLLSNVLDGLMGDCKLQVSISSQSNSPSLSSSPDNGSPCSPSDIVTSPMPSKFTDVPGGAISEKKEYPIDPSLPDIKNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCDRRVCFFAHTPDELRPLYVSTGSAVPSPRSSASAASVMDMAVAMNLLPGSPSASLMSPSGFNQPMSPSGNGAHSSLAWPQPNVPTLHLPGSNIQSSRLRSSLSARDVPPEDLHLLEDMDAHQLLLNDLACLSQSRPSPVSLNRSGRPKTLTPSNLEELFSAELASSPRYSDQAASASGFSPSHRSAVFNQFQQQQNMLSPINTNVFSPKSADHPLLQASYGVSSPGRMSPRSVDPISPMGSRHTAFSQREFQQPQQMRSLSSRDLGSNNVSIVGSPVNSWSKWSSPSGKVDWSVNGDENSWLPRSSSNDLNNNTEEPDISWIQSLVKESPPEMKDKTASFNC >KZM90340 pep chromosome:ASM162521v1:6:14435774:14436140:-1 gene:DCAR_022295 transcript:KZM90340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMINAQPSTYKPFHPSYEEMIFHAICSLKRRSGSSSTAIAKFILRHYGGLPNNFRKILLRRLKELVACEKLVRVKNSFKLPSR >KZM91646 pep chromosome:ASM162521v1:6:26786684:26791904:-1 gene:DCAR_020989 transcript:KZM91646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSEFGWQRSDGSRRLNSSDVSDRNPRLVSASSIRSSGNTSNESEFVVGKKGTECGLSRGGGDGDGGIRALECNDISLRQWLDNPKREVTALECLYIFRQIVEIVNLAHSQGIVVQNVRPSCFVMTSFNRVSFIESASCSDSDEDASNSPVELHASGSQCRNELHQHEIQLGASGSIHNVNRRNASEMISGTSCLHSGSDNVMNLSLDICNADQTEEKKQAFPMKQILNMETNWYTSPEEVAGAPGSSASDIYRLGILLFELYSTYSSAEEKSSTMSSLKHRVLPPQLLLKWPKEASFCLWLLHPEPASRPKMAELLESEFLNEPGDNLKEREMETELREKMEEQDLLLDFLLLLQKKKQETASKLIETVSFISSDIEEVKKLQIGLQKKGVSTSELEDSPLGTLSIVNDDSGCLKPRKRFRPGLPTQCSEEFDDGEDGNQKSEAPTEKQERIMSRSSRLMKNFKKLESAYFLTRRRAIKPSGKPVARQAPISSDGRGSVILNESSGNTLSAKERSTESRQDGWINSFLGGLCKYLSFTKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFEYNTIIDEDRDIHYPVVEMSSRSKLSSICWNGYIKSQIASSNFEGVVQIWDVTRSQVFLAMKEHEKRVWSVDFSLADPTMLASGSDDGSVKLWNINQAITPLLLRMSSIKLNGASVGTIKTKANVCCVQFSSDSGHSLAFGSADHKIYHYDLRNMKMPLCTLIGHNKTVSYIKFIDSLNLVSASTDNTLKLWDLSMSNSRVLDCPLQSYTGHLNVKNFVGLSVSDGYIATGSETNEVVVYHKAFPMPALSFKFKNTDPLSGEDIDDSTQFISSVCWRGQSSTLVAANSMGNIKLLEMV >KZM92398 pep chromosome:ASM162521v1:6:33115975:33120468:-1 gene:DCAR_020237 transcript:KZM92398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLDDMGLIGGNFHPGAMVRTREEEFESKSGSENMEVPSGDEQERPRGRSQKKKKYHRHTPFQIQELEAYVLTHFADLACFKFTQLERHENLILKQENDKLRIENIAIKEAMRNPICSGCGGAAILGEISFEENHLRIENARLKDELNRMCVLTNKFIGRPLSPLPGPFPPAMSNFNLELAVGRNGFGGLGPAEASLPMGYDFGTGVPNALHVMPSTKPGMAGLDTPFEKSLLLELASNAMNELLKLTQIDNPLWFRSLDGNCETLNFEEYTRTITAIDMKPGLRTEGTRAIGMSTINSAAFVEMMMDANRWADMFPGMIGSSSTLDVISGGIGGSRNGALQLMHAEIQVISPLVPTRQARFLRFCKQHGDGVWAVVDVSVDTVCEGPNPHTFMHYRRLPSGCVVQDMPNGYSKQQLFELLQNEELRSRWDLLSHGGQMQQMIRIPKGQDSGNRISLSCANSRTNRGKSNVLRRVAKDDYELDREACMEWWFGY >KZM92475 pep chromosome:ASM162521v1:6:33659523:33661271:-1 gene:DCAR_020160 transcript:KZM92475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGNAVVPESFLKKQKRADEWALAKKQEVEATKKKNLEKRKLFFNRAKGYAVEYAKQERELIDLKREARLKGGFYVSPEPKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGHGKLKGQRIALTDNSIVEQALGKFGILCVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREGFVNELIRRMN >KZM90489 pep chromosome:ASM162521v1:6:16237773:16241257:1 gene:DCAR_022146 transcript:KZM90489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEMEGEEWRDKARSLQLLLRHRFRVAVDRHRPNLSDGYFSTRIQLWIDRFRNFRRDSLPSSTKFYLKRVSKDIDMEEDSVLTRMLQAVAVPVIGNVCYVFMHGLNKVQIYGAEKLHKALQHRPSNKPLITVSNHVASLDDPLVIAALLPPSVLLDAHNLRWTLCASDRCFRNPVTSAFFKHVKVLPLTRGEGIYQKGMDIAIAKLNRGGWVHIFPEGSRSLDGGKTIGSAKRGIGRLVLDADNVPMVVPFVHTGMQDIMPIGAKFPRIGKTVTVLVGDPVEFDDLLGMEGEPGMSRGKLYDAVSARIGERIRKMKAQVDRLALEQLLQSQNYPWTCTDRAAGILRQVDWESLGMEHYIGLDEQEAPKQNVVTEQKAEHINVKENNAQNRYFRMGLSYEGGIVSRIRGYMDPTELMGFAARGIFTSSRVDEGFVNLQNNNPLKAWKNFMEGHLV >KZM90540 pep chromosome:ASM162521v1:6:16698843:16699130:-1 gene:DCAR_022095 transcript:KZM90540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRVGNGEGSMAAVDKNVVQGSDWFGGGLCKDGLRCDEPGLDSFDMDSFDVPELMQLLDSTAEEEILDDRAIHMPGKRKLEERDSSCQPKKLCF >KZM92314 pep chromosome:ASM162521v1:6:32348633:32351448:1 gene:DCAR_020321 transcript:KZM92314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAGVARSPVHGGSFSFPAKVSAKAVENNVEVSIVATDLAEETEALNGGKFQLGTQLRKPHAIVKNILQSNLILEPIVVSSDIKLTPGIKKLISGTLDGLEMGKQPVRSSEGSGGTYFMWDSSGHKYISVFKPMDEEPMAVNNPRGLPLSTNGEGLKKGTRVGEGAFREVAAYILDHPRSGPRLCYNEEEGFAGVPPTVMVKCFHGGFSYAAGYENAPKKFKIGSLQMFKKNSGSCEDMGPRAFPVEEVHKISVLDIRLANADRHAGNILISKDGCSDRLALIPIDHGYCLPENFEDCTFEWLYWPQAHEPYSPDTIKYIMSLDAEQDIELLKFYGWDLSRECARILRISTMLLKKGAAKGLSPHAIGSIMCRQTLKQESVVEQIIQEAEEAVLPETSEAAYLESVSSLMDSRLNELFP >KZM90853 pep chromosome:ASM162521v1:6:19816114:19820987:-1 gene:DCAR_021782 transcript:KZM90853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSLLSPPRFSLITSQTTTTFAVHSSPSPPPPTSISLPTPPISDQSPPLSCALHCSHFNSCSGCTHEFNLHRPAIVDEASVFFKNFGVKDFSFDTCRLWGWRCRAKLAVRGSASDPVIGLYEEGTHNVVDIPDCKAHHPNINAAVDLLRKGMVEFKIHPYDEDQGTGLLRYVQMAVTTYNTSLPASERYRNGKVQLSLVWNSRNENSPFSDRLDALAKFLWKYGGPNSKHHLIHSVWVNFQTSTNNIIFGNRWRHLLGERDLWEHVGGIDVSMAPSSFGQANTRAFDSLLRKLHKYVPYGTSVADLYAGAGVIGLSLAAARKCRSVKCVEVNKESKLSFEKTAERLPVSVDSSISWHHADTSIEPLTWLVGADVVVVDPPRKGLDPSLVNLLRNISSVERKVNISGSPVAKVKDEKRPWVIRSKEASLQIRSQTVHEESQALPRTLIYISCGWESFKEDCKMLLSSKAWHLDKAHGFNFFPGTQRYFYEYLIDCLLSFLQPLF >KZM92687 pep chromosome:ASM162521v1:6:35405514:35413730:1 gene:DCAR_019948 transcript:KZM92687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKPFPFTACVDHPNIKERRADVARARKNHPYSIPVIVQQAEESQMCYPKKKKLLFPPNCNLEALFIAIWTLIYQRGHKSLRGYVEHMDPIFEKPMSLIYEEYKDEDGCLYINLSGEKKELFREPIPTSHSLSKPKLKMSSPRNNPQRLDGAAAQEIEGSEGKSVVMYKVGECLQDLLKAWKEFVSSQANKSSESFENGVTLEMRIPAEHVTATNRQVRGNRLWGTDIYTDDSDIVAVLMHLGYCRTTASPPPSALQELRAVIRLLPPQKCYLSSLRNDIRSRAWGAPTECSYRVESCCIIKRGGGTINLDPCTSPIEPTLAPMVVEKTMTTRAAAANASKQQRFAQEVMIQFNLCNEPWIMYSINAVADRGLEKPLFTSARLKMGEVLYLENHACRYELCFVKEKVVKRETKDPANIAESESIDLFRWSRCKKPLPQELMLSLGIPLPLENVEVLEDNLEWEDINWSPSGVWIAGKEYPLNRVHFLAPKK >KZM92404 pep chromosome:ASM162521v1:6:33160423:33161977:-1 gene:DCAR_020231 transcript:KZM92404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLGVVKVKQEPSSASAKWDDDTHRIFTELCVNEVRKGNKPRTTLSKTGWANVRKEFSAITGKVYNKKQLKNHWDCMKVEWILFDQLRERHTVLKWNPEKKTIVADDAWWDAEIKINPKYAKFKNKDLSIIWFNYDILFGDVVATDNRALVPVGLSPDFKLKDEDYFIDEGDAAAVEGAEESECGDSQASRQPGSDDIKIPVTSEKKLSSGTKRKIGALSSKDGIDSLDNVMASNSTESSFHTADAPSIKECMDKLEEIEEIPKNAPLYWYCQNLFTRADMRTIFMKQRCDRSRVGWLEYNFSEYLNRKPV >KZM90705 pep chromosome:ASM162521v1:6:18368800:18369150:-1 gene:DCAR_021930 transcript:KZM90705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWDAVVAQYALNYTNSRIGDCGLVHSGGPYGENLAKGSGSFTGTAAVNLWVAEKADYNYATNTCASGKVCGHYTQVVWRNSVRLGCARVLCSNGVWWFITCSYDPPGNYIGQKPY >KZM91867 pep chromosome:ASM162521v1:6:28517410:28517876:1 gene:DCAR_020768 transcript:KZM91867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPHANMSVLIVDDDPTCRSLSAIFLRQFGIRDITMAENGAEAVLSCRLGITYDIIVMDFSMPLMNGVEATKALRDMGVESKIYAVSADNDDALIESFREAGANKFFNKPLNLDKVASFFV >KZM89524 pep chromosome:ASM162521v1:6:1647591:1649299:1 gene:DCAR_023113 transcript:KZM89524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFGSSLVDNGNNNFLQNLAKADYLPYGIDFPLGPSGRFTNGKNIIDLLGDQLKFPAFIPAFTDPSTKGNRTLLGVNFASGGSGILDDTGSIAGEVMSLNEQIKKFEEVTLPEMENQLESSSRESLSKYLFVIGSGGNDYTLNYFFTKSQANISVQAFSANLTSTLFTQLKKLYNLGARKFVLMSLYPLGCSPGTISASAQPQRKGCNQYLNEAAHIFNTNLRSLVNDSRTEMPGSDLVIVNAYKIVRDIIKNPALKGFTDATQPCCHVPSLEEGGNGISCKRGGSTCEDRSKHVYFDGLHPTEAVNVVLATKAFASNSTTEVYPFNIRELAQIQGHYL >KZM89860 pep chromosome:ASM162521v1:6:6202384:6202716:1 gene:DCAR_022777 transcript:KZM89860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPVCIFFSVLNSRCSSIFFLFTSISLAQLETMGEKCLCGNVVIQQTSWTQANPRRRFATCVDRRCNKSSDGWRNLFAVELKLSSQVYFEELTSLKKRRWSWKQNWPKML >KZM92047 pep chromosome:ASM162521v1:6:30054560:30054709:1 gene:DCAR_020588 transcript:KZM92047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDCVSLPRSVEDKSLYATLESKNSPYYACYYFIVHLSIPSSSFSDQQC >KZM89568 pep chromosome:ASM162521v1:6:2189292:2192489:1 gene:DCAR_023069 transcript:KZM89568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGNPNWWSLNESSSAADDDHLHNHGYPVPWTQLLVYMLSLSLPPSLPSLPPSLSLSLSLHPGPSFPVSVPPSVGRLATEQEERLSSTSCDSDLDNRRKQLDQKSSISDDAQITSSYGRNYSSRVAFDNDHVKQEMKEQSINQQEELYSTSDETNHKDHDHPWSSCFTGGSNHINYLDFSRDRKRNQHQVLDQYASLQQCNDTVVPSGTSKKARVQPSSTQQPALKTDTASVLLEAMGYIRFLEAQVQALSSAYLGNASTGSMRIPQSVNDELTTVKDLRSIGLSLVPVDYALHINNTIGIDNNNINNGADYWASSSL >KZM92008 pep chromosome:ASM162521v1:6:29785607:29789474:1 gene:DCAR_020627 transcript:KZM92008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINWLCSLYGDQIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYRYYGAAIGKGRQAAKTEIEKLKLSEMTCRQGVIEVAKIEIEKLKLSEMTCRQGVIEVAKIIFGVHDEAKDKAFELEMSWVCDESKRQHQKVPDELLEEAKSAAKAALEEMDAD >KZM89919 pep chromosome:ASM162521v1:6:7443172:7443711:1 gene:DCAR_022718 transcript:KZM89919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSSHVLRVRCQGYHYGRFSAECVELSWGTPVESFGAIKFPDANATTEISSIVQQILVLKNNQTWNCSVRFVYASRNKVVRQNSWTANEVDGPLVIGHAANFLRAAGFQLAPPVQAHQEQDLHDIVYEDELDAEDGGMIHVALLYASSMLFQISA >KZM91453 pep chromosome:ASM162521v1:6:25286941:25287846:1 gene:DCAR_021182 transcript:KZM91453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRNSQRSEASQIEPAPNRNGQNIVICGYQAQIGGCWRNVSILWNKNLMSNSLNICVDSVESKRHQACKIDIKPWHFWARRGYKSFVVDEIQLEAYWDLRSAKFTASPEPCSDYYVALVSDEEVVLLLGDYGDKAYKRIKARPALIDAILVYKKEHVYGKKSFLTRAKLDHKNREHDIIVESSTSGPRNPEMWISIDGIVHVNVKNLQWKFRGNETVLINQQPIQVYWDVHAWLFCDPGSHYGFFIFKPETVDQNYDDDKCSAHSIGNGSDCSADSKYYSTLGYSRAPHYCFLLYAWKIE >KZM90740 pep chromosome:ASM162521v1:6:18772244:18780995:-1 gene:DCAR_021895 transcript:KZM90740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPKVMKTQKPKKRERPIEHESASNPDPLKPMERKKKRKAMAKEKHKSLNPPCELKQELIVPMETPSSSSGNNGSPELHLGVFKDLGNGDVGVRVAAAQRLVLELKQVQKAFDKSDEKDEDGDGLMLEAEKDDGLNNCAPSVRYAVRRLIRGVSSSRECARQGFALGLTVLVGALPCVELNSLMKLIVDLLEVSSSMRGQDVKDCLLGRLFAYGAIVRSGRLTNEMTSDKSYIYVKDFVSSLISLASRKQYLQEPSVVIILELVDKLPIEAVLHHILEAPGLREWFEKAPEVGNPDALLLALKIRLKISIDNDVFGKLLPNPYSSSNLFSVDHLSSIANCLKESTFCQPRVHNVWPSLLDILLPNVASKDADTASGSNVVKKPKRHRKSNSSEEDIEKNLRCFWETIIEESLLLSSHDRKHLAFDILLLILPRLPITCVSIVLSSKFVQCLMDVLSTKTSWLYKVAQHFLKELSDWVEYDEVKRAAVIVSLQKHSNGKFDCITRTKTVKDFMAKFTTESGCMLFIQNLMDMFLDEGLVTEEPSDHSQTTDDNSEIGSVEDKDSAGTQGTSEFLKTWVIDSLPSVLKHLKIDQEAKFGVQKEILKFLTVQGLFSSSLGTELTSFDLKEKFRWPKVPTSSTLCRMCIEQLQLLLKNAQKGEGPRSVVGGLEANDLGSYFMRFFSTLCEIPSLSLFRTLSNEDKKALKKLQATEIHLSREERNCGLSTDARKLHSLRYLLIQLLLQVLLRPDEFIEASSELIICCKKAFPSDDLNFSGDDESEDDGTPELMDVLVDTLLSLLPQSSAPMRSAIEQVFKYFCDGVTDDGLLRMLRVIKKDLKPARHQDVDSEDDEEDDDDLLGIEEAEESDEGETAETGNSDEESLDSEAVDGSQLIGKEVSEAPDDSDSGMDDDAMFRMDSYLAQIFREKKNQAGGETAQSQLVLFKLRVLSLLEIYLHENPGKPQVVKVFTYLVQAFVNPNTTEGSEQLGQRILGILQKKIFKAKEHPRGEAVQLSMLESLLEKNLKLASKPFKKKKTAPNPSKKKQSASFNRYKMIKNLAQNSTFWILKVIDSGSFPKSELQRIFDIFKGVLGSYFDSKKSDLKCDFLKEILRRPWLGHHLFGYLVKKCSNAKSKFRQVEALALVTEILKSLVSVNADGSSQDLSKKMLKSHISKLCHLIKELLTNMPDKQAKRAEVRKFCGKVFQTLISLKLSASFLKSLEPEVHAACEAQLGESFLALKRQE >KZM90033 pep chromosome:ASM162521v1:6:9759792:9761875:1 gene:DCAR_022602 transcript:KZM90033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGRKKKSSAAAKKEGEYGEAATEDAKKSNHVQRKVQKRQEDRKIDPHIEEQFGGGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAAA >KZM92756 pep chromosome:ASM162521v1:6:36045614:36051133:-1 gene:DCAR_019879 transcript:KZM92756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSQSYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPNGGFGVGVEGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIIIGYTKAINKTIEILNELIEDGSDTMDVRNKDQVTLRMKSAVASKQFGQEDILCSLIADACIQVCPKNPANFNVDNVRVAKLVGGGLHNCAIVRGMVLRNDAVAVFVSGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKSVAESGAKVIVSGAAVGEMALHFCERYKLMVLKINSKFELRRFCRTTGAVAMLKLGQPNPDDLGYADSVSVEEIGGARVTVVRNEEGGNSVSTVLLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFEMVPKTLAENAGLNAMEIISTLYAEHASGNTKVGIDLEEGACKDISTMSIWDLHVTKFFALKYAADAVCTVLRVDQIIMAKPAGGPRRDAQPAGPMDED >KZM92618 pep chromosome:ASM162521v1:6:34895666:34897192:-1 gene:DCAR_020017 transcript:KZM92618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDLGCVIVAVDSSEESMNALNWAIRNVKLRPTDGHLLILHVQSPPSIATGLNPGAIPFGGPSDLEVPAFNAAIEKHQKRISDAIISHAVEICSENNVSYKTQVVIGDPKEKICQVVEDLHADLLVIGCRAFGPIKRE >KZM92727 pep chromosome:ASM162521v1:6:35858709:35860309:1 gene:DCAR_019908 transcript:KZM92727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFSDHSIKDASALELQRNSASSSGLMARSMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQTILEKACQTLAGENGENMANSSYRGLPDHFSGTKEYGHPLNFPSFQDLNLYGGDQQLELQPTMDRSSSLDGFMSNNEDDTCIGKKRPNPNYTSGSGKSPIIWSDDLRLHELSGNAASCVGSQDNDHFKGDHDQIQIEGGDMDSVADIYERKPILGGVSIDDKKFDALSKLERPSSRRPSLQPERMSPMIGGGISRGTSPFG >KZM92673 pep chromosome:ASM162521v1:6:35302838:35305246:1 gene:DCAR_019962 transcript:KZM92673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIYVILKNTDDAVVIDSELMKLDLRLNERFVLDVLSCGEDVLSCLKFFDWAGRQRGFEHTRATFYAIFKILSKAKLMTLMTDFLDSYRRQSGVCKTRFYNTLVMGYAVAGKPDVALRLFAKMRFHGMDLDEYCYHVLLNALAEQGCFDAFDMVAKQIVFRGYQNNVTHAVLVKGLCKKKEWDRAESYTRDLVTSGTGLSEAVIGTLVAGLCRDKMFERAAEVVEEFGGSKVPLQAAYNMWIRELVRAGELEGALEILKKMKGMDGPDVFRYNMLINKLLKKNRLVEVYDLLMEMMEKQLCPNEETMNSVLCLFCKAGMVDDAVHLYDSKVEFGLSTSNTTHNFLISTLCAEGNTNEAYRVLRNSLTQGYLPGQNSFSIVSDALCREGKVDEMKDLVLLALERNFAPSSSIYNKVISAMSKNNRVEDGYMLHGQLNQLNKVTSRHAYMSLIDAFKKMNRGDIAVRLLIEMQEKGHDPTPTTFKHVIELLFDMENPEQKFLRMLEIQLSRQGQNRHVYRMFIFAAGHARKPDLARAVYDMMSASNIPPDVKTNTHMLLSYLKNERTASAVKLFNELKVEGKAGKKLGQCLIIGLCRMNKVEMALSYVEEMRRNKETPSLRCYEELVLVLCNNSKYDEVVNIVDDTIRDGRPLSTFIGNLLLLHSFKAENLYYYWIRSRHNTTSFSWALGGLIRAFSPYISDVPDIEDLEEVTQQLFPLDTFTYNLLIRRVIMAKRIPSGRRMENACTLFRRLRQKGLEPNRMTYDCIVHGFAKFGMESEARRWSEEMMRRGFEHTSCTKLLL >KZM92596 pep chromosome:ASM162521v1:6:34700138:34701926:-1 gene:DCAR_020039 transcript:KZM92596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSILLSDRIARKPVNKPNVNLFRDRRFFESSNSTNTASFYVQSLLQNYGCFKNSGVVARLLYNSGGDWVDFEGSVVEMVRDCFVKKSGVVEVEIGGVLCLFDFYRMLVCDFEGEFEVSVGWIDVEGRSWFPKFVVGGDFEFSGFREREDVNLGDLGRLGKLEIEIRVSGGDVVNKGDGIRVSGGELVNKGDGIRVGGGELSNKGNEIRVGSGELVNKGNEVRASVGDLLNKGNGIRVSGGKLLNKGDGIRVNGGGLWNKRKREGGDVVEEEVKSVGSCTDEDGIKRRREGVCEEMESRRWNRVKVMGEGGKAGMVVRNLFLNWGGIKGIGAEITGVHQVTRTAPLDRARYEGFLNQVEMTKAARGEANVTFAWIKCSSEGVQRILSYGFSSPEKASLGEAFGVGIYLSPIKSSRVSVMPPDIGENHVILCRLILGKCEKVQAGSQQLYPSSPEFDTGVDDVSNPKWYIVWGANMNTHILPECIVSYKHNIDVLGNYICANGAAWLDYVSNCDIFGLVLYHVNVFFVRPIVHNTPGGPIICKTELDVAAESV >KZM91278 pep chromosome:ASM162521v1:6:23758304:23759299:-1 gene:DCAR_021357 transcript:KZM91278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASYERAIEFVHIVKQRFVDQPSKYSQFASILSALNSSNKMQDGGFLVSKLKDLFVDGHQDLLLALDEFLPKDMVFEQKDDDDKYSWLLRDWKNQFFNKIRNRCIEKDDKSFSVLIKFCEVIVSCDDKRMTAKEADLEFQVLFKDDVDLYIECTQVLAKLWEDQSNEEPGLDYSSVGFVDKMPKVARKRTLYDETRAAKEFYMYEMGLAMGRIESTMKKLDGDPETFGECFSVHDSRCILKLYKGRDPDYTYGEELLEILRSDPHRCILARGVVQQRLRQKMAHLMGEKLRLDQAWKEIFEDIREKGVVWRHRGFLEQMREFSRSNCVGN >KZM91984 pep chromosome:ASM162521v1:6:29581473:29581739:1 gene:DCAR_020651 transcript:KZM91984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNRPFARKFKQRNRVLDKIDSDLLKRHRGKFTYGGWCERDDTNHVCEGLQSEKFGILRPGTGGRRLKTLLKKLVSADNIRKRQCK >KZM92811 pep chromosome:ASM162521v1:6:36457736:36463503:-1 gene:DCAR_019824 transcript:KZM92811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDGVNSIWDGVYYHPHLFGGLMLTAALLGLSTSYLAGISVPQLPYVFPDLRLFQKKKYQKRRVRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEQIVANKGPPVLSMEERLTLVSGLKWVDEVIADAPYEITEEFMKRLFNDHKIDYIIHGDDPCLLPDGTDAYALAKSAGRYKQIKRTEGVSSTDIVGRILASHKDSKSSQDDNISSQHTETDNMNKLENDKKVANSQQMSHFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILKNARKLGDFLLVGIYADQTISEHRGSHFPLMHLHERSLSVLACRHVDEVIIGAPWEVTKDMITTFNISMVVHGTVSEKNSTLNGAVDPYAVPKSMGIFRMIESPKDITTTSVAQRIVVNHEIYQVSSQLSGFLTFLHELAVTLAFSHAKKKSEF >KZM90339 pep chromosome:ASM162521v1:6:14433107:14433466:-1 gene:DCAR_022296 transcript:KZM90339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSTYKPFHPSYEEMIFHAICSLKRRNGSSSSAIAKFILKHYGGLPKNFRKILLHRLKELVACQKLIRVKNSFKLPSQ >KZM90908 pep chromosome:ASM162521v1:6:20335710:20338146:-1 gene:DCAR_021727 transcript:KZM90908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARLSGGHFRMINEKLYTCSGEEALNYFEDDPSLFNMYHVGYQEQMTHWPEQPVNIIIQWLKDHSPSLVVADFGCGDARLSRSVKNKVFSFDLVAHNSSVVACDMANTTLETSSIDVAVFCLSLMGTNFPSYLCEAQRVLKPGGWLLIAEVKSRFDPTNGGADPNNFSKAICELGFYSVSKDFSNKMFILFYYKKKEKLKSKKEIKWPSLKPCLYKRR >KZM92421 pep chromosome:ASM162521v1:6:33271923:33274078:-1 gene:DCAR_020214 transcript:KZM92421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYTLLKDLTLGRGTGFIKVRISREWEGRKPGATHATTKTYIIIDEEGTQVQAGPLQFGLIAEFSKRLLLGSVYLISNYDVAAAPDTYRPVPGEYTVNFHRKTSVKKIGDVPAIPMFQFNLKTFEETKARLGDVVTLIDVVGKLKDYTHIQTAKSGKKSLDIVLADKRDEIKVTLWENQAFEFLKLENEYTRANVIVIITGTSTRLVKGEFVLWSSSSTQYFFNIDHSAVTTLRESTKLENSIIPTLVPSMKSQEQQNMANIETVTIAQLFEAQLPDGKNFIEFYSEATVIGLFPNEGWYYIGCNKCGKKMNDFGQCIKCSHKTKPIPIYKVTLAVKDSTADTSFVIFDRHVMKLIKVSAQHLLNSDQNATPEMMPPILNNMVGRTCIFRLKLNQYNTVQHKEGFTVMEVDDVKSNKPTSTSKIDSGQDSSEHDLDHESTEPSEHHLQKKRKKPNDDKYSDQVQPPPENTSKGSLEVEQGHDAQRHAKNGGQVPPPNSGTKGSPGNKSLKRTNT >KZM91467 pep chromosome:ASM162521v1:6:25394701:25403224:1 gene:DCAR_021168 transcript:KZM91467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDEYEFSGSSEDDNNKDPDYDGVNRAGQDFLSMIEENDDEGVKLNQDREGVIDLSDYEGIVSESDPDDEVSKKRKRVAKKKEVVRSRKRVAKKKEVLAEGNMAGLSSHGVQEVPELVVDGAVEYNIRSRRKKKGKDRPILLWEVWEEEHERWVAAHKNADTDLENQNDVVAETVEGSPNLIIPLLRYQKECSNTDCPNCPHKSMRHFCWWNKHIATPIQAQGNIGSGRNAMILLKHKILKSILLRRTKKGRAADLALPPRMISLRKDSLDVKEEDYYTALYNDSRAQFNTYVTEGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSKTALSKNSNVGNATNGEVNTSQVDDNNGEVICGLCHDSVEDPVVTACGHPFCKSCLIGFSASVGQVSCPACSKPLTVDFSAKNDHGGQDAKSAIKGFKRSSILNRIRLNDFQTSTKIEALREEIRFMVERDGSAKGIVFSQFTSFLDLIHYSLQKSGVQCVQLDGSMSMNARDAAITRFTDDPGCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQVVRFVIEGTIEERILKLQEKKELVFEGTVGGSADALGKLTEADMRFLFVT >KZM92564 pep chromosome:ASM162521v1:6:34385527:34387939:-1 gene:DCAR_020071 transcript:KZM92564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPFSWWTEETHRGTPVVVKMENPNNWPFGVKGVFDLAYSSWVSIRVDYLAPPLQFLSNACVVLFIVQSVDRLVLSLGCFWIKFRNIKPVLSDGGNDLESGNGGDYFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNLLIQVLDDSDDPTTQLLIKEEVHKWQEEGANILYRHRVIREGYKAGNLKSAMNCSYVKEYEFVAIFDADFQPTPEFLKKTVPHFKGNEELGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGTFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMHLFRLCLPDIIRSKISIWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEATLPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSESDLISLVEKEPVLQRGNSMPDLDEVRAEKKKTSKRKKHNRIYTKELALAFLLLTASARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQIA >KZM90137 pep chromosome:ASM162521v1:6:11603144:11605324:-1 gene:DCAR_022498 transcript:KZM90137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLYIIYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPEILSDEVLAKMSAPPKSDVPHITPAELAEADGFIFGFPTRYGMMAAQFKAFFDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPVGYTFGAGMFEMEKVKGGSPYGAGTFAGDGSRQPSELELEQAFHQGKYIAAITKKLKQTD >KZM89808 pep chromosome:ASM162521v1:6:5212272:5216682:1 gene:DCAR_022829 transcript:KZM89808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNIINDNFEESVSQVLGAPKYRDTGALLYKREKENDSEQQDVAPSIGLLRPLLPPNNPHLQTLHLDCARLDDSSLDCLLRPSLRHVSLHNCADFSGSLLSQLGIRCKLLRSLYLSSVADKRWRSMCVSDLEQLLTGCTQLETLSLMFDVSIFLRPNFARVWPLASAKITCLEIGYISSVMVTELLSPILGPQQSPSHMQCSILPNIHKLWLAVDYITDTMIGTISKSLVSLTHLDLRDAPIIEPSVTIDLTNSGLQLINPHGKLKHLSLIRSQEFVATYFKRVNDLGILLMADRCESMESICLGGFCRVTDTGFKTILHSCTSLYKFKVTYGSQLTDLVFHDIDATSLALTHVSLRWCNLLTNLSFVRLASNRNLSVLDLRDCRNLGDSSLKAIGTLHKLKTLLLDGSDISCVGLSYLKESVMHSIVSLSVRGCKRLTDKCISILFDGSSSRELRELDLSNLPNLSDATVLLLAKSRVQLVELRMRQCPLIGDTSVMALASMQADDDTWRGSSLQLLDLFNCGGITPISFRWLKKPYFPRLRWLGVTGLVNRELVDALARSRPFLRVSYCGEELGTNQWDNSNDMYMHDYEEVDELEQWLLDDDNEIADEEMVDAADDVEA >KZM91509 pep chromosome:ASM162521v1:6:25714538:25715302:-1 gene:DCAR_021126 transcript:KZM91509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITAELVRNVLAKSRSIATHESNVRCNLERRKWKTSVRSCLCGDEINSVLAEEDSASVRSSKAAVSTQPEYSLEQEGNEVTLLEHPFKFKQEIPVLERQNAAEYALTT >KZM89830 pep chromosome:ASM162521v1:6:5547983:5548138:1 gene:DCAR_022807 transcript:KZM89830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSWPEKSWIRAPVCGDHVFTVLSADEVSRRAPLSENLTAEMARLWVVRV >KZM89686 pep chromosome:ASM162521v1:6:3361739:3362257:1 gene:DCAR_022951 transcript:KZM89686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQEAQGQDTSWAKRDDSGKEVVTNLQFYFHDTLSGNNPSAIPVVKPARTNTSSFTGFGSLTMVDDPLTTGPESTSKEVGRARGLYGSAGQNDFGLIMVMSYVFTDGIYDGSSFSLLSINPATNPVREMAIVGGTGLFRLARGYAIAQTYWLNPATGDAIVGYNVTISTYI >KZM90239 pep chromosome:ASM162521v1:6:12966078:12968820:1 gene:DCAR_022396 transcript:KZM90239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCLEAQSCSPQCSILLFLLYNCSRLLDHQDEVYNIRIQDENSLDSRWTKLFNKGKLDKKCSFLAANIECDIFGAVRVPASFHDRYGDFLPSQLGIRCKKYLWPAKYDKAVRKIYDIGKFMRYYGLTVYNVALFEYYGDGLFEVQIFRDTAVECLYPKMHPTEFFKTTGKYYDEEDYILDTKSLELEKQLSLLCFNACANKTDFVEMCLSEQNLNPHLQNLELDPSWEKFYNKWDDGSKVVLRLERTYWEVFVSWQNNRCSFGRGWVDFARESGLQAGDNLLLFKHNTDEENILNFCIFKAEAWSDACVEGTSNAEHSFYKMVYPHAAKEGHFVLPRLFSKKYCSRLCRIRQVDVDDRSWYIFYNVPNGYIYNLEDMLKHFKVIEKEAIVFSMNSSNVMTARIFQKDGMEIAYKRRIRSAKYLGDEHWFIKPDLRSDYDLEEDSEHGSESSGGNAGVGNDMAENDLQFTITVSTLLIDKKTHGPFIPVVIHPPNRAWKKGDEVEIRTEKGSWRLGMVLHGNRARMSAGWNKFARDNEYQVDDVLSWQLIEENGTDVFIVTKVAPV >KZM91310 pep chromosome:ASM162521v1:6:24014672:24016199:1 gene:DCAR_021325 transcript:KZM91310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLPTTANFWGDLPEDEYYASQGVKNSKSYFETPNGKIFTQSFLPIKNSDTGIKGSVFMTHGYASDSGWMFQKICINYATWGYAVFAADLLGHGRSEGLHGYLGDVDKVAASSLSFFVSVRKSEEYKDLPAFLFGESMGGMISMVMYFQSEAEMWTGFILSAPLIVIPQPMIPSKVHLFAYGLLFGLADTWAAMPDNKMVGKAVRDLDKLKIIAGNPRRYSGRPRIGTMREVVRVTNYIQNNFDKVTVPFLTCHGTSDGVTCPTGSEMLYEKASSADKTLKLYEGMYHSLIQGEPDESANLVLADMRAWIDERVQRYGPKCDT >KZM92166 pep chromosome:ASM162521v1:6:31127099:31129861:1 gene:DCAR_020469 transcript:KZM92166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITNQIALLFSLLFLICIQNSASVSTESDVLLSFKDSIDDPLGLLVSWSNSTTNHHCNWTGVTCIATKDVLSVSSLNLQSLNLSGEISATICDLPNLFHLNLADNLFNQPIPLHLSQCGSLETLNLSNNLIWGTIPNQISQFESLRVLDFSRNHVEGMIPGNIGLLQRLRVLNLRNNLLSGNVPSGISNLTELTILDLSENPFLVSEIPSGIGKLLKLEQLLLQSSGFHGAIPDSFMDLKSLSTLDLSQNNLTGVLPQSLELVSLRKLVSFDVSENKLFGSFPNGVCDAKGLTTLSLHTNSFTGLVPDSSITGCLNLERFEIQDNGFSGDFPDGIWSLPKIRLIRAENNRFTGKIPESISMAKQLEQVQIDNNTFISKLPLGLGQVKSLYKFSASVNGLYGEIPNNFCDSLVMSIINLSHNYLTGPIPELKRCRKLVSFSLADNNLVGEIPHSLAAFPVLTYLDLSQNNLTGSIPKELQNLKLALFNVSFNDLSGEVPQSLITGLPASFLQGNPGLCGQGLPNSCGGTKSKHEVAGLSKLVSVIISLALSLAIVILAVGVYITYRSSKKSTQMGVWRSVFFYSLRVTEQDLLVAMDDKAARGSGGAFGKVYIINLPSGENVAVKKILNFESQSLKTLKAEVKTLAKIRNKNIAKILGFCYSSDALILIYEHLQKGSLGDMIAQPDFKLPWDDRLRIATGVAQGLAYLHQDYVPHLLHRNVKSTNVLLDENNEPKLTGFALDRIVGEAAFQSSVLSASSGSCYIAPESGYCKKATEQMDTYSFGVMLLELVTGRGADEHIESGDGEFLDIVKYVRRKINITNGAIQVVDPKISNSSSQQTILQALDIAVRCTSVAPEKRPPMCEVVRALQSL >KZM89917 pep chromosome:ASM162521v1:6:7400252:7405873:1 gene:DCAR_022720 transcript:KZM89917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRICRAYHQGRDDMTLWRFVGSRSRSDVVGETCLTTLNNGLKIVSQSSQREDARVALVIQCGTMYEGKEKFGATELVKRMAFYSTYKRSSGQIELDLEKIGGCKSCSTSRDHMIYTIKGRKFDLPLMVDLLVDNVRNPVFFELEVQQKIQGMAEASCEPRYLLLDALHAAGYIGALANPVIAPKSTLDRLNATTALQFVTGNFTASRMVLAASGVDHKELVKYVEPLVIDMPSVLLPKEAPSVYVGGQRHISADSELTYIALAFELPGGWQQLKHAITLMVLQILLGGFQSGGGVDGRGKGLHSWPYVRVLNKYPAVESYTTFSSIYSDTGLFGIIVSTESKSIPQAVDVAVKELICVTKPSGIVRKELKDAKLATKGEIMKNLESGVVELESMAKQVLMYGERIPLDQILETIDKISREDVAYVAQKLISSPLAMASLGEDFHVPAYESLSRLFHVK >KZM90116 pep chromosome:ASM162521v1:6:11314926:11316876:-1 gene:DCAR_022519 transcript:KZM90116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKNVTIRTRKFMTNRLLSRKQFIIDVLHPGKANVSKAELKQKLAELYSVKDTQAISVFKFRTHFGGGKSTGFGLIYDSVESAKKYEPKYRLIRNGLDTKVEKSRKQLKERKNRAKKIRGVKKTKAGDAAKGGKKK >KZM90218 pep chromosome:ASM162521v1:6:12712728:12715993:-1 gene:DCAR_022417 transcript:KZM90218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCVNPYLTMADGFNLPSHKRNKENASDGAFSGIREYAGGRALDSFLQKRAENIKKSRTNPPDFAPVEGSLQNSSGSNRSPLSTVHRNSPLAFVMGTTTKGVILCSNLLFRPVSKNVTPMYTWHSNGNHSHDINSHITSMGVGIVLASGHTVSKWTGGANSASNAVTPQAMEQEMLNLIVDCRDSKVTFDEFPYYLSEQTRVLLTSAAFVYLKNFEYAKHTRNLAPASRTILLSGPAEPYQQMLAKALAHYFGARLLLLDITDFSLKVALKSDSQRISSTHKLF >KZM91367 pep chromosome:ASM162521v1:6:24523424:24527677:1 gene:DCAR_021268 transcript:KZM91367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTDRALFRTQLLSRHLQNDTAFTSNSPLQPSPCLHYSPPEARFEFDTKDLRKLVDGHHVEERDWLFRLMNGEPELFGVKRRGDRVFASPDYNQPMEQQREMTLKRIRYMAHQGAFHGWVTAPPEKIDLKKFAMLEICGAYDHSLAIKIGVHFQLWGGAIQFLGTKRHHDKWLELTEKYLINGCFAMTELGHGSNVRGIETVTTYDSSTGEFVINTPCESAQKYWIGGAAIHATHTVVFSQLEINGKKEGVHAFIAQIRDANGNVCPNVQIADCGHKIGLNGVDNGRIWFHNFRIPRENLLNSVADVSSDGHYISSVEDPDQRFAAFMAPLVSGRVTLGSGAIYSAKVGLGVAIRYSLTRRAFSLATNGPEVLLLDYPSHQRRLLPLLAKTYALSFASNFLKMLYVKRTPESNKIIHVYSSAFKATMTWHNMTTLQECREAIGGQGLKTENRVGQLKSEFDVQSTFEGDNNVLMQQVSKALLSEFLSAKKSNIPLSRDLGLEHMNNSSPVIPSQLTSSILRSIQFQTDILCLREGDLLKRFAEEVSHYQAQGLSKQKTLTMTYQLAEDLGKAFSDLETFRSFVEVEANVSAADMKNILGLLRSLYVMITIEENVSFLRYGYLSTANAAAVRKEVAKLCTELRPHALALVSSLGIDDTFLSPIAFNWIEANSWSEVRN >KZM91742 pep chromosome:ASM162521v1:6:27480485:27484988:-1 gene:DCAR_020893 transcript:KZM91742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAILSEFGTQIFIPICALIGIAFAIIQWFLVSKVKVTPNYSPANRNGQTEPLIQNVDVINDRYLVHRCAEVQSAICEGATSFIVTEGQYVGVFMAAFAILIFLFLGSVEGFSTKSHTCTYDTTRSCKPALATAVFSTISFLLGAVTSVVSGFLGMKIATFANARTTLEARRGVGKAFIVAFRSGAVMGFLFAANGLLALYIAINLSKLYYGNDWEGLFEAITGYGLGGSSIALFGRVGGGIYTKAADIGADLVGKAEKKIQKDDSNNPAVIVDNVGENVGNIAGMGTDLFGSYTESSCISLVVASISSFGITHDFTAMCYPLLINSMGILVCLLTTLFATDFFEVRSVGEIEPALKRQLLISTAVMTIGAAIISYIALPSSCTIFSFGAQKEIKNWQLFLCVCVGLWDGLIIGFVMEYFTSNAFSPVRDVADSCRIGAATNVIFGLALGYKSVIVTIIAIAVAIFVSFSFAAMYGIAVAALGMLSTMATGLAIDAYGPISDNAGGIAKMARMSIRTRESTDALDAAGNTTANIRKGFTIGSAALVSLSLLGAFVCRAGISVVDIMTPIVFIGMLVGAMLPYCFLAMTMTSIGSATLKMVKEVRWQLHSIPGIIEGVSKPDYATCVKISTEASIKEMICPGALIMFTPLIVGILFGVTTLSGVLAGAIVSGVPIAIAASSSGVAWDNAKKYIQAGAIYHTEGLGSIESNSHKAAVIGDTIGDPLKDASGLAINILIKLMAVESLVFAPFFAAHGGLLLKIF >KZM90514 pep chromosome:ASM162521v1:6:16432834:16434697:1 gene:DCAR_022121 transcript:KZM90514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNIESLWVFALASKYKSYTSIINFLVLVSASALAWLVITLIHWAHPGGPAWGKHKWVEKRTNHGSCSSGRVIPGPKGFPVIGSMSLMTGLAHHKLSAMAKACGATSLMAFSLGETRVIITSNPDVAKEILNSSVFADRPVKESAYMLMFNRAIGFAPHGVYWTSLRRIAANHLFCPKQIKAFGSQRFDLAHQMVNMFRSQADKVVTVRDGLRKAALSNMMASVFGKTYGLDSEEAELRKLVDEGYELLGMLNWADHLPWLSEFDLQHIRARCSSLVPKVDCFVRRIISQHREKNTGCDFVDVLLSLQGREKLSEDDMVAVLWEMIFRGTDTVAVLIEWVLARMVIHADIQSKVHDELDMITRRSRSVTEADLSSMVYLPAVVKEVLRLHPPGPLLSWARLAITDTIVDGHHVPAGTTAMVNMWAITRDPHVWEDPLSFKPERFLDCGSPDVEFSVMGSDLRLAPFGSGRRACPGKALGLATVTFWVATLMQEFEWSQASDSGVDMSEVLKLSCEMAKPLVARVEPRRANYV >KZM91097 pep chromosome:ASM162521v1:6:22102995:22105040:-1 gene:DCAR_021538 transcript:KZM91097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLQNDEKANATTQEPNYRGVKAMPFVIGNETFEKLGTIGTSSNLLVYLTTVFNMKSITATNLINIFNGTCNFGTLLGAFLCDTYFGRYKTLGFASISSFLGMLVLTLTAAISTLHPPECTTHDEGSCSGPTSWQMVVLLSSFGLLIIGASGIRPCNLAFGADQFNPNTDSGRKGINSFFNWYYFTFTFAVMVSITVIVYVQADVNWAIGLAIPTFLMFLSCAFFFIGTRMYVIVKPEGSPLTSISQVIVAAIKKRNLELPAQPWLSMFNHVPSSTINSRLPYTEQFSFLNKAAILTPEDEINSEKAAVDPWRLSSMQRVEEVKCILRVLPIWLAGTIYFVSMVQQQTYAVFQAIQSDRRFGSGSFEIPAASYSVFQMLCLTLWIPVYDRLLVPFLRKITKKEAGITILQKIGIGIVISIFTMLASGLVENKRRSLALSKPLGTVARKGAISSMSAYWLVLQLALVGLSEAFTVIGLVEFYYKQFPENMRSFGGSFLFCSSAISSYLSSALITVVHRVTRNSAGENWLAEDLNKGNLDYFYYLIAGLMVLNLGYFLVVAKWYKYKGTADNIISEVAMDKIKPEMPHAHV >KZM91805 pep chromosome:ASM162521v1:6:27955739:27956473:1 gene:DCAR_020830 transcript:KZM91805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALRKLKTLNPQIETLAYQFTQKCFVSGTAKGKAKLKTGQILKRSTVSTKKGAAPPDSSKGPGPRKSEFEQMVDDCLRATAPVRHLKPKERAREAMREKMGLVSESRKLEIQKLKKQSKMQEEDKPGIIGTPGLDLITLGLVNADEIPEYKLTAEDGKKLAKEYSRILMRKHRARQAAETNLLKCKKAAIEALPEELKKAALVPDFAPFPMNSFMATLTPPIEGYMDKINEAAKRKVGKEKLR >KZM90104 pep chromosome:ASM162521v1:6:11209806:11211250:-1 gene:DCAR_022531 transcript:KZM90104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIQIPNAFDPFAEATAEDSSAGSKEYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNASTFLIQAGIVKKEHIKIHGF >KZM90019 pep chromosome:ASM162521v1:6:9638052:9641218:-1 gene:DCAR_022616 transcript:KZM90019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSPSSSSSSPTTTATWANGMLILAEDQLLLILMLLPVQSVLCLGMTCRRLRSLLSQSDTLWESLCVRDWRLRDLGDDDDGAAGGVSKYKKMYRRVYQLQAVSCRGLSVSDPDVYPGPRASHSLNFVSGSLLFLFGGGSDGGRHLDDTWIGYLSNDMKRILKWQLVNTGVPSGRFGHSCVVICDHIILFGGINDNGIRQNDTWVGEIALHETLGVTLSWRLLDLLSVAPPPRGAHAGCAVDKNRMLIHGGIGLSGLRLGDAWVLDIAENFAFGTWHEVVGHTLPVARSGHTLTYIGGTQTILFGGRGLGYEVLHDVWLFDTSEGQSRWVQLLYELGNIPDGFSLPRVGHSATLILGGRVLIHGGEDMYRRRKNDFWVLDINARSFKMQSANIYQRAGTRMWKRLKSEGVILNCRSFHRACADSSGRYLYIFGGMLDGLLQPAEAFGLRFDGEHFLVELVI >KZM90368 pep chromosome:ASM162521v1:6:14660861:14664044:1 gene:DCAR_022267 transcript:KZM90368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQLDIPLDLICKDKFLLQCAMVVAGTTEEDITSVTVPFKDFFTIDIDEKYVEGKKLGVILVSPPNSITLSPVNERLNQVQSYEVSIPEDQNPNSIENLISHQTVTEDAKENKKDVAEDLKPIIKENTEESMQSKDVVHEMKDELISKTKKDLEEILKDIKDSKPLSVVASKLMDGDELKLVKEIEEVKSKIIGSESKLNEAERKISKLTEEKRLSTQDRKIVQELIFECGRESGKPIIFEIDGEEENHFKSLLYFLHKNKLKEEIKRSPSDIYGLLVVADKYGTTSCIKECSRSLKNLDMIEEVALLYLDPWSILMMTDVQNYGMIRSAKQFFMNKFGDFDSAPEKFDLYMVEAVLESSALQIDSEDDIYDLVVKWSEVQYPYERQRIQFLSERIHSLVRFVFMSRAKLIEAKFFWKKSLNQTPFP >KZM89807 pep chromosome:ASM162521v1:6:5207969:5210429:1 gene:DCAR_022830 transcript:KZM89807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GGR-1 description:geranylgeranyl pyrophosphate synthase MAFTSILSSSSSYSPLVQTNIHRFSVSGKTRRRIITCSSTSTSFSSISTTHFDLKTYWSTLIAEIDDKLDEAIQVQYPNQIYEAMRYSVLAHGAKRAPPVMCVAACELLGGDRRAAFPTACALEMVHAASLIHDDLPCMDDDPSRRGRPSNHTIYGTDMAILAGDALFPLGFQHIVSHTPSSLVPEDRLLRVIAEIARAVGSTGMAAGQFLDLEGGPNSVEFVLEKKYGEMGECSAVCGGLIAGATDDEIERLRKYGRAVGVLYQVVDDVLEEKMRSQDEKGKKGGKSYVRVYGVEKAMEVAEELRGRAKRELDSFEKYGERLLPLYSFVDYAADRSFTIGGQA >KZM92826 pep chromosome:ASM162521v1:6:36606712:36607251:-1 gene:DCAR_019809 transcript:KZM92826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHQMLKYLSKLTNLKKLLSSGQQDEADALVSHDKEHGRTWLVGRGGKASGVKDHAPSDRFVQELATKIKLDLEQELESKVNKKVQENMAWVLKKLGDANPGLKLDIGDYCPASSSEHDENGTPMTQDGATS >KZM92418 pep chromosome:ASM162521v1:6:33254724:33265666:-1 gene:DCAR_020217 transcript:KZM92418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVCAERESCRLWICSVMMIVLCAQTSVCIRSEKEIRERFYGNLVNSSAPDSGDASIAKMFDRVLEKEFSENDQPEGKDKSSFNSSVADKEKMAGANIETRLEDLMLCSLLPWLSSSVRNELLTVSVTGTKAFFQDVFSGEKENSDDMDTIIDSKDNTFVMSNKKSKYPVLQVDLRLISDLVVVIVSAAIGGIIFSCLGQPVIVGYLLAGSLIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSLIKLKAVGTVAVLGGLLQIVIFMFLCGITALLCGAKLSEGVFVGCFLSMSSTAVVLKFLVEKNSNNAIHGQVTIGTLIFQDCAVGLLFALLPVLGGNSGILQGIASMGKVLVHLFLSINLCIHVLTHMFLLALTLFNAVQAGSILCSDKLGLSLELGSFVAGVMISTTEFAQHTLDQVEPIRNLFAALFLSSIGMLIHVQFLWTHVDILLASVILVIIVKTTVAAMITKAFRYGTRTSFLVGVMLAQIGEFAFVLLSRASNLGIIEGKMYLLLLGTTALSLVTTPVVFKLVPAMVHLGVLMHWFPPESSGETEVGICLSGHDT >KZM91565 pep chromosome:ASM162521v1:6:26080201:26083253:-1 gene:DCAR_021070 transcript:KZM91565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPPNTNLFAAIDMGTNSFKLLVIRADPTTGRFLTVDRLKHPLIHPLSAATTAASLRQFKQIIDSKHIPPSNYRIVATSAVRESPDQALLLNHLHQTLGLQVQVLTGQEEALLIYRGIRQFYPVNSKSVLVIDIGGGSTEFVIAESDCVHFSTSLKLGHVTLSQRFGSVDDVASLRDYVRGVVRESGLVEVVKRYGFDVVIGSSGTIKAIEKAVFCRYGSEVSDVFAEFDEFRREWRFSKEELRGVVGSLLGGEVEGVRDVFFKRRSGFIVAGAVLLEEIFGLLGIEEMEVSGYALGEGVVAEMLAEVFEGYDLNANVRWRSVMQLATRFNNKERMKCAALCAAIAKEIFEGLKKLTEVGDASLNDKDLEYLEAACLLHTIGQFTGKKGYHKRSYQIIMNGGQLHGYNTEEIKLIALLSRHHRKKFPKRGHNSLEGFTNEAAEKFRVLCIILRLSALITQSMPINVEDIELSHCHDGLKLEIKNQSLQSADMIDAKVQTKKELEHFKVVLKQKLTVEVCTNTSEPFG >KZM91774 pep chromosome:ASM162521v1:6:27755472:27756524:-1 gene:DCAR_020861 transcript:KZM91774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSLSKLSNSISPLSLHHHRPSLSPPISSLSFKLTSSKSPSFFKPSSITSSSLSISCSSSNHPISKPDSLQASNSHPFSPFKTTLVAATVTAAIIFARFNLKPAIAAPVSTPVNTVEEEVISDEDKERNLEEYLSFHEDDVEALKTLLEIRIKNKKVQGALEIIDKLIVLEPEDRDWPLMKSHTYYYSGEIELAKKGFNEILVKDPLRVEAYHGLVMVASQDESSTELAEIEKRIREGAEMVKKGNKKGNFRDFMLLLAQVQVFQGGFGDALEIYKNLVKEEPRDFRPYLCQSIIYSLMGKKDESEKNFQKYRRLVPRGHPYSEYFDHNLSATKLFSQKVENERTRANV >KZM90387 pep chromosome:ASM162521v1:6:14840633:14841267:-1 gene:DCAR_022248 transcript:KZM90387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEAKDPLKVKDWKNIGKAVADESSSESVVKKRLPKKVRNIPECYFLPRKTLPAAIAYYGSWILGGVGAGMLAEIWINKKVKEDGGGVVWEFGK >KZM92441 pep chromosome:ASM162521v1:6:33398323:33401324:-1 gene:DCAR_020194 transcript:KZM92441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFELRLVLICLLFRLCFSEDASKYVTLELSYITASPLGVPQKVIAVNGKFPGPIINVTTNENVIVNVRNKLNENALLTWPGVQMRRTSWQDGVLGTNCPIRPGWNWTYQFQVKDQIGSFFYFPSLNFQRASGGFGSFVITNRKVISLPFNMPDGDIVILMGDWYTRSHTALRASLDSGKDLGMPDGVLINGKGPYQYNASVPNGIEYETINVDPGKTYRVRVHNVGVSTCLNFRIQGHNMLLAEAEGYYTQQSNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNQSIWQRVTGVAILHYSNSKGKATGPLPDPPNDVYDTSYAVTQAMSISFSFT >KZM91601 pep chromosome:ASM162521v1:6:26393282:26397764:1 gene:DCAR_021034 transcript:KZM91601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNDIYVLLLFCFVLLSCFRSDAQLLPPEEVRALQAISTRLNNTYWTLSQSSCTSPGDFNVTFTTKILSNVTCDCSFNRSTVCHVTSIEMKGLNLTGELAPEFADLTNLLRIDLSRNNIKGTIPRAFGRLRVKFLSLLVNRVSGLIPPEIANISTLEELVLEDNRLEGPLPKELGSLSGLRRLLLSANNFNGTIPQTYGDLKNLTDFRIDGSGLSGRIPDFIGNWTNITRLDLQGTGMIGPIPLSISVMKNLKELRISDLSGRDSPFPDLKDMKSMEYLVLRNCLISGEIPGYLGEGFTKLKNLDLSFNKLTGRIPDSLEPLNLNMNFLFLNNNSLTGDVPRWIFSSKENFDVSYNNFTRADQYSCQPSTV >KZM90134 pep chromosome:ASM162521v1:6:11485662:11486138:-1 gene:DCAR_022501 transcript:KZM90134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSPFSSPLIAFLLTISSLFHLSHCTTTSIHDFLRQNGLPGGLFPKDVKSYTISETGLLEVYLDSPCLAKFDTMAYYETVVRGNLTYGGLTGVEGFSQEELFLWLPVLDIILDDPLSGIILFDIGLAHKNLSLSLFEDPPDCAPQSQGNCFSSCLFF >KZM92748 pep chromosome:ASM162521v1:6:35991276:35996876:-1 gene:DCAR_019887 transcript:KZM92748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSLSPSSPSADGKFYDREKDADLLFRXXXXXXSKPVSEIRTIEASTRKQIDDKSEELRQLVGNRYRDLIDSADSIVLMKKSAESISENISAIHDAILNSLSLTSANKSVSAPNSTRSKTYGIACRVKYLVDTPENIWGHLDEFMFLESATRYMRAKHVHFSLDQMNDASNRNVLVNFPLLRHQWQIVESFKAQISVRSRERLLLLDLSKGVGVGVYADALAAVAVIDELDPVQVLGLFLESRKSCISQKLSGCLSGSNVGGEEVISVFCEVLKVIQVSVAQVGELFMQVLSDMPVFYKTILSSPPVSQLFGGITNPEEEVRLWKAFRDKLESVMVMLDRDYIAQSCSDWLRNCGAEIVSKINGRFLIDVIENGHQLAAAEKMMRETMEGKLVLEGSLEWLKSVFGSEVELPWKRTRELVLGDDADLWDEIFENAFIGRMEAIIESGFYELSKSVNVKESIHGIAEANGDRVDFQAYMNRSPIGGGVWFMDLYNKSGGSKVHAEETDFRSCLSAYLGTEVSRIRDVLDSHCESVLEDLLSFLESPKASSRLKNLAPYLQNKCYGSMSTILKELKTEMEQLYDAMKNSNKEGEFVLPPSITVQRSLFIGRLLFAFQKHSKHIPVILGSPKLWVNKAVADIPGKSAVSRLSSVESPRHDRTIKSSASLKRQNSVVTAALYGANDASSPQLEELNRAIQDLCIRAHNLWISWVSEELSAILSRDLMQDYSLSATASMRGWEETVVKPEQSADSPSEMKISLPSMPSLYITSFLFQACEEIHRVGGHVLDRTILQNFALKLLEKVIGIYGDLLSNQEVLDTRVSEKGVLQILLDLRFSADILSGGDSSGNGDVSRPPKVKTSYRKRQDKHQTKKSVSGERIDALINRLAQRLDPIDWLTYEPYLWENEKQSYLRHAVLFGFFVQLNRMYTDAVQKLPTNSESNIMRCSTVPRFKYLPISAPALSAKGTSKTSISASMDDVSSRNSWKDYMHNEHSRNIDIDEDSGFGVATPFLKSFMQVGSRFGESTLRLGSMLTDGQVSRFGDILPVQAAGLLSSFTAGRPDI >KZM91375 pep chromosome:ASM162521v1:6:24622348:24622944:1 gene:DCAR_021260 transcript:KZM91375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSDDWVRYYQQIIAVSGHGRAASNEQPNTVMGTATTINSSGGKTPGGLVTEPILKCQENHLFKPIRKRSRVSRQTPITLFSTTTTNFKAMVQQFTGYPYLKRAHINLGLENSQPIANPNTVMVGRAGYNLAEIEQQRQFKHQQYMLPGNISGSVDHEHAFLQELHSSIGPVMAASGVGLGMDDAFPPEPPFFTRT >KZM92397 pep chromosome:ASM162521v1:6:33109109:33114426:-1 gene:DCAR_020238 transcript:KZM92397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLISAARDGDFVEAKMLLDCNPCLAKYSTFGGLNSPLHFASAKGHNEIVALLIENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCKVTRGDYLSGRTALHFAAVNGHVRCLRLVVADFVPSSPYDSINGQANGGDASNCRNKPDQSALTKFVNKAADGGITALHMAALNGYFDCVQLLLNFQANVSAVTFDYGTSMDMIGAGSTPLHYAACGGNLKCCQARILLANGASRSMLNCHGCLPLDVAKTWGRHWLEPLLTPNSELTVPVFTPSNYLSLPLMSILNIAREFGLQSSATTDDTDNCAVCLERPCTVAAEGCRHELCVRCALYLCSTSNIGSELLGPPGSIPCPLCRHGIISFTKLPGSQAKEIKLNMSLGLCTPCMIHTRESNHLTPACTPEIRKNRVASVSSDIFCPVTCSPFPSVAIPMCTCNDGSCPSFEPREGATEDESSRSQSPSVDEDKMLTVRPERTSCSKMFWSRRSCSREQQCNSEINS >KZM89643 pep chromosome:ASM162521v1:6:2819368:2823686:-1 gene:DCAR_022994 transcript:KZM89643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYMSSSACFHALSSQRKFHQRIRAVASEEYAATTSTVNQDKVKLGNSDLKVTKLGIGAWSWGDTSYWNNFEWDDRMMKAAKAAFDVSVDSGITFFDTAEVYGSRFSFGAINSETLLGRFIKERKERDPGVDVAVATKFAALPWRLGRQSVLAALKDSLSRLELSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSEKRLRGAYEQLKKRGIPLASNQVNYSLIYRIPEENGVKATCDELGVSLIAYSPIAQGALTGKYTPDNPPSGPRGRIYTPEFLTKLQPLVSRIKEIGEKYNKTPTQVALNWLIAQENVIPIPGAKNAEQAKEFGGALGWILNTEEIDELRALATETKPVIGFPVEKL >KZM92742 pep chromosome:ASM162521v1:6:35956134:35957761:-1 gene:DCAR_019893 transcript:KZM92742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFKQPFVYSQSYHCLPKSLSCSRLGIKPFIYHIVPSRHNSIARNLTLNKLSLVKFFDKASRCDGRTRSAFSINSELENRKTQQVQQETHEKSFWGAVSLIIGTAVGPGMLGLPAATIKSGPLPSTIAIILSWIYVITSTLLVAELSFAVMEEDNVAEVSFTALATKTLGSRIGSFVALVYASFIFSLLVACVSGIGSIISQLIPRLNPLIAHVLFPFVAGAMICFLPFKVTDTANRLLCFIMLCSITSLVAVGLSIGRSSLIGSFLHASWSVSSILPAIPVTVLTLGFHVITPFICKIAGNTVHEARKAILLGGFVPLVMVLSWNLIVLGLVGPNHASIHKDPISLLLSVNPSALTAVQAFAFSALATSFIAYAISFPKQVIDTIDMILFKSNRSQEAKSQSHRGSAESGGGRVGFVTSGPRLGFSLLQSMVVPLVLASPVLVASFCNSTFSAALDFAGIYANCFLFGILPPIMAYIYKTSKKHRSLSSFFGGDVTLLALVCIATVLGIWH >KZM89620 pep chromosome:ASM162521v1:6:2589378:2592583:-1 gene:DCAR_023017 transcript:KZM89620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAGATVMDPETAFLASKEETGNEWELYKENVRPLKRGRNVTLLNHALRSQSNNHLKKSLVDHRRKLIEAINEYDGDDPLHPWIECIKWVQEAFPPGGDCSGLVVIYEQCVRAFWHDTRYKDDLRYLKVWLEYAENCVDSEVIFSFLDANEIGQTHAIFYISYASQLEAKNKIKTANDIFNRGLLRNAQPIEKLNEAFKKFLARSMRSPKATEEDFTENCLPVRSFGTVLAKGENRIQTTGNSGLSRKRLKQDRAQGTSLSVYTDANSAASFGHQSELSKSEVKPWHTLGPRAERNKENNAIPTKWTANKIPKFSHTIGVATVKPFIEVFVDEECQETNNANNKDQRPSALRLKNKDIKDLKKETELLRERPLRHFPPSCLPR >KZM90149 pep chromosome:ASM162521v1:6:11717917:11719203:-1 gene:DCAR_022486 transcript:KZM90149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISVRLALSIAVVFSMVIMVTFSPQHTMDITISRVRLIWRPVASWLRPPYLYLVINCIIITIFASSKLHEHHRDYSEPVRLFPTDDHCVGEDQRELVDLPQSSTGVECVEFSDEAPGNENDSLPTTRIITCVNDVQVVDSDNANVRCSDEGTAKGANVETWKLPGSESFIHPKDVQLHTQGRKKLGAQKPEKEFKTLESTWKAITDGRPMPTTHHYSGGNLQDPRNMIKSEIFNQQASSNRNNISRTLEGSSGWGKLRRDPSLGQDELNKRAEEFISRFKEDMRLQRKESLRQYVEMINSGAY >KZM89892 pep chromosome:ASM162521v1:6:6983389:6998372:1 gene:DCAR_022745 transcript:KZM89892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLRLTLVISEVREDLSRRKARACRGLQPFGPSLVLRRLEKNDIGLVTRNDGTLGFFNACSINKACSTQNDFEHCQTTVKQWAYTSRDLDVKQDKQMLRDLLFFLHIPRTGGRTYFQCFLKKLYSSSLECPRSYDKLRFNPRKADCKLLSTHNDYSIMSKLPKDKTSAMTILRNPLDRIFSTYEFSVEVAARFLVHPNLTSVTRMSSRIRAKKVGVSTLDIWPWKYLVPWMREDLFARREARKLKGSAYTLSNQSYDMKDVVMPLLEYIRNPVALDIIHNGATFQVAGLTNSSNLEGSHKVRHCVVKYQSLGEHVLEVAKKRLDNMLFVGLTEKHKESATLFSHVVGAQAVSQLMALNSTAEQTTDSDSDSESDTSLHQNSSSYQMVKQITPAKIVEATKGNMTVENLMEAYETCVSSLRKTQAQRRNMSLNRISPANFTKEERLHVPELVLQEITSLNNLDVQLYKYAQDIFAKQHRHMVLKLASSNCNCMMDGYFHNGVTDVDADFLNLLSDLPENFEQEEENSVGVEDWEALFQRLGPIPLDVLQGTCQNDGNSHFKESSGFQAPSPTSVLDSRSSGSRVKSTSSSPELFIPVRTRTKRPRHSTTCKWHLITLSTPDSAMNKKVKKKKRKRMKHTSDAIEEKGYCSNQTVSGRRCSHCQVTHTPQWRAGPEGPNTLCNACGVRYRAGRLVPEYRPAASPTFVPSVHSNFHRRIIQMRNKSIQESSVPQMHPATYSSQAELFPLHVAQVDRNFVPEALVPEQHPPARSPPIEFVPMSSYLFDCI >KZM90985 pep chromosome:ASM162521v1:6:21081123:21085732:-1 gene:DCAR_021650 transcript:KZM90985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFSRTSVRKKKPAKPRLTNNQSVGSGGSKRWSKSRKERFDDVELQDQAVTAAANILLKQQYGVAFDRSSSLRFPNSNGHSRKNQGLPRSSSSRARSLTDPLLQPHQLVDTKLEDLETTHFVLVHGGGFGAWCWYKTIALLEESGFKVTAIDLTGSGINSFDTNKILSLSHYTKPLADFLENLNEGEKVILVGHDFGGACVSFAMELFPSRIAKAIFVAAAMLISGQSTLDMFSQKDVSNDLMRQAQIFRYANGNKQPPTSIDLDKSLLGELLFNQSPAKDVALASVSMRPIPFSPVLEKLSLTEANHGSVRRFYIQTPEDNAIPIQLQEDMISRSPPEQVFRLKGADHSPFFSRPQTLHKQLVEIAKLA >KZM91791 pep chromosome:ASM162521v1:6:27851818:27852439:1 gene:DCAR_020844 transcript:KZM91791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRGSSSSNLVLLCIFLALFAIPCFAKGKVDAEGIDTEIYEIDYRGPETHTYTLPPPSKGRAGAHSKSKGPVTARRTGPSCVSMYYTEMNSLINLDCDFRLKRFMDEAVRAMDLEFQTCSIKVDLFIYIGYAV >KZM89715 pep chromosome:ASM162521v1:6:3662509:3665638:-1 gene:DCAR_022922 transcript:KZM89715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNLIWVTARMHIEVYKYPAWSDVVEIETWGHSEGRIGTRRDWIIRDYSNGEVIGRATRFTFPEEDNYSLKKISKLEDPATFSSLGLAPRRVDLDMNQHVNNFAYIGWILEKKQLRVLKNLRMGSLKSITIHKSESSTGVDLHKLVVKNFRGVANSLEGQNLFYLLFVQVVMRADFCSRRPRGKKDLIYAATAAACGQDIEVPDLMLYNILLLSPFIPERGAAGDHAARIPTPGAVISGHAVRN >KZM90544 pep chromosome:ASM162521v1:6:16724328:16725171:-1 gene:DCAR_022091 transcript:KZM90544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVIVAIENPQDIIVQSARPYGQRAVLNFAQYTGANAIIGRHTPGTFTNQLQTSFSEPRLLILTDRRTDHEIPYEGVKMKEVQRT >KZM92701 pep chromosome:ASM162521v1:6:35565062:35570390:-1 gene:DCAR_019934 transcript:KZM92701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDIGLFKQGWKWLQSKKQFYSVAGTAATCFRDKIGIFRERHWPVVCRVCARLGRVLRLLLIYWKQCFVRGFWSFFGLGSADLLFIMWSCFISLTSMSCLVNALLSMGIAGVAVQYLGYTPGLFIVGLCAILVLWMYANFWITGTLFIVGGCLFFLNHARLVILVATIYAVYSVKVRVGWLGVVLSVCLSFPSNDLLNNVLQWCDNLRESTHFEEQKESMLFSDDDFVEDCDQSVPTDEDEKVRYCKSSSTKSPPSKSSPSPSKKSSSPSENSPTSTVVKKLNESSSNHIEKEVVNAPNEMKRILASVNHYDALGLSRSNKIDAVLLKKEYRKKAMIVHPDKNMGSPLASESFKKLQCAYEVLSDTTKKRDYDEQLRKEEYKSVAQKSSDTSSQGRSDYCSEESRRIQCTKCGNSHIWVCTNKTKTKARWCQDCCQHHQAKDGDGWVEYRGSLVLNRPQKVEIPRAFVCAEGKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKSTQRSNSGRYPWNLDAKMMDEDEDFDIWLQQALASGLFCETSKRRKSWSPFKLPQKKGKKPWKRSP >KZM91794 pep chromosome:ASM162521v1:6:27862776:27867377:1 gene:DCAR_020841 transcript:KZM91794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLNVWRVSSIDFIAWRGLVYTVYILHFVCICQFLFLHPLVSAQDGKPGDVAELFERVSRSVKVKRYSEAINDLNAAIEADPTLSEAYRHKASILRQLCRYEESENSYKKFLEMKPGNSAVEKELSQLHQSRDALNTALSLVNSGDFSKSLEYVDKVVLVFSPACSEAKILKVKLLLLVNDYSSAISETGFILKEDENNLEALLIRGRAYYYLADHDVAIRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNESRGKLRLAVEDYRAALALDPNHTAYNVNLHLGLCKVLVKLGRGKDAVSSCTEALNIDGELLEALVQRGEAKLLVEDFEGAVADMRVAAEKSPQDMKIRQTLMKAEKALKMSQRKDWYKILGISKTSSISEIKKAYKRLALQWHPDKNVDNREEAEAKFRDIAAAYEVLGDEEKRTRYDQGEDVEEMGMGGGGGGFNPFGGGGQQFTFHFEGGFPGGGGGFHF >KZM91129 pep chromosome:ASM162521v1:6:22441484:22442305:-1 gene:DCAR_021506 transcript:KZM91129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVPRSAGVVLRFNDQKGFGFIKPDDGGDDLFVHHSDIKSDGYRTLFPGQSVEFYVLLDDNKTKAVEVTGPNGSPLQQRGSGGARAGGGGFGGARGGGGFGGARGGGGGGECYTCGRLGHMARDCDGGARGGGGRGGAGYGGARGGGERGAGGYGGARGGGAGNGVCYNCGGIGHMARDCTSARNAAGGGGGIGGGACYTCGEHGHLARECPVGAGGGGGGRGYDRFGGSAGGRGYDRFGGNGGGAGRGGGSCYNCGEPGHYAKDCNTVRE >KZM92765 pep chromosome:ASM162521v1:6:36123452:36123646:1 gene:DCAR_019870 transcript:KZM92765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDTLLLPFKSRQGLTVKASIHPSFHNHLPHCNTQSSISMSHNLPSTNTINKQLDPTEIAAA >KZM91959 pep chromosome:ASM162521v1:6:29364932:29365765:1 gene:DCAR_020676 transcript:KZM91959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESIGKLGKTLGGFCANLQNSCHALKQSVERRPIPLDSASTNFVRCLNGRVSSTSTDLNLLHSMAFDTVSFEELLGHCNQVYNKNYTDILDLEDRLFTFGYSPHDAEPEQVEDKEDCLLEDSPPQSSLKAIQDDNLFDDSMSLQNLGLSDACLATIASQG >KZM91842 pep chromosome:ASM162521v1:6:28275663:28275996:-1 gene:DCAR_020793 transcript:KZM91842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLWAAIGGQDLERLPSASTCYNTLKLPSYKRSSTLRAKLLYAIKSNAGFELS >KZM92125 pep chromosome:ASM162521v1:6:30734382:30736668:1 gene:DCAR_020510 transcript:KZM92125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSIEVMTRNSHSNGTISSYNGLEEKLDEFRRVLGKADGDLLKIVGVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREIFEEISKYWKERISSPVIISLSKGIEAALDPVPHIITPTQMINWARMVAALTKESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDMGDSITGKGMIQGVSAVEAFYELLSQFSLSVQHPEENKPVAPVELCPILKTLYKILIKREQQPCGILQALRDETMNDPRDRIEIAQSHAFYRPSLLGQVEGKTITAKNN >KZM92028 pep chromosome:ASM162521v1:6:29899947:29901878:-1 gene:DCAR_020607 transcript:KZM92028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEIVTYILEKLADAIEESEKMKIRFAAKVLLPNLHKEFTELKTLVEQKRDKIKSAAAAAATTTPPSAVKKLPEASKPSVPASTSPKKDEVKKSEAPGNDKKEMAASKNAGDEKETGEAEDDDKKETEAHSDDAADNKESSAVPQIDEKEPGTLLFTTKSDNDADPEQTKSDNATGPEQTKDTAPITSGVISGGGDKKDSIVGTTAKTTSEHGSEITPATDDVTGDKSITPTASAGDGEKESAASKTATLAKPGGADKSETSPVSDKGAPENKPKALSAPGGGKEKAVSQEDLLREQLYYINNLLTEWQMITQNPFSYPSIKEFSNTLKKIKQDLKEPPKKSDESSHPGTRQPTIIKNGSPAKTTPEVVEYRWSTRVNVKTVHGFQDKILSLERLLLLPRKDTNTFKAFGIHGMAGVGKTTLCQSIFSRPKVMEYFFPRIWVCLSKQKGEDVDNKKEVVKRMLRCVGIDDKIINKVDEKQSIRGLLFALRLQLTGKRYLIVLDDAHNPDEWFKMADSDAGNDQNKIYEKFSYGLPKECGGTVIVTSRVEQVVRNMVGEEENLRCIEPLTDLETCWNIFKDTVNEGNTELPASIEKLKAEIVKRCDGLPLAAKLLGQIKHKELRDQQAAAQSGNHQAAGETAK >KZM92261 pep chromosome:ASM162521v1:6:31898628:31907598:-1 gene:DCAR_020374 transcript:KZM92261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKERVVQSRETEMKNVEEVKEEGAEDMVLRWEKFLTKMELRVLLVEADDSTRHIITALLKKCSYKVDAFSDGLKAWEILNRKSDSIDLILTEVEVPSISGFSLLSLVTEHEACRNIPVIMMSAQDSVSTVYNCMLKGAADFLMKPIRKNELNNLWQHVWRRQALSSGQARTASDAQQMVEAAAEKDAISNYSSGYEACSTQRHRECIQKGSNSQSSCSLQNSGTKKACPEHKYDISQLKQRKSPSSDIISQKDELGKEGEQSLMHDGKAQGSMSVPKTDSEVLMFRQDSAADHQCEDAILTTETFDYNIVQDKSPREAIDLIGSFDTYPDCSYRSLDPTYGLNKLDSSFLLELSLNLSHPKGSLNQVKDDGHLLKQSEVSAFSPYSSRTLQPLRKSSDGICDQQRGYETDFDKQPSLQAHEYSHDDNTISLTSDRCGIQFPSPQRVIPVTGTAKCASFERVCNVYNNLAPPLFCTQSSQSPLQCPDTSGNHERSIQGDKYCYVNPGIRDSPKVLQYLMDQNVSSASPPMESAKSYESELSKDRVHVPSVSLQQVSGGSCNGISKHYEIINNGSTGTSHAFELVKVAAEDAKEANLIHDEKLKRSIQREAALIKFRLKRKERCFDKKVRYQSRSKLAVQRPRVKGQFVRQALTEAAEVKTDEHSS >KZM90708 pep chromosome:ASM162521v1:6:18388979:18389671:-1 gene:DCAR_021927 transcript:KZM90708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKKVTMKNPNENRDKSRKRKQPQVEDLDAGSLSCYTNNNAPVFKKPKKSTYECVMNMRIAKNIRGFRFYTREEEEEERFLGVSTKLSLSVDGNESGDDPWKIKKTLEQSDCDHLCRLMLRKDMVQNYIIKVWEDAGRIDEIAKVLDGQGEGVVVRVWDYERGKEYELKLKKLVSSQCYILAGAWSNKFVKERRLKKGDTIGLYWSTAKSRFVFSVRARAPAPGPNAAA >KZM89800 pep chromosome:ASM162521v1:6:5083901:5087002:-1 gene:DCAR_022837 transcript:KZM89800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHGDLEASSTPITPRPNSMAHTPQSSAPITPRQMSMAPSPYITSAPHSQFHSPSMSRSPLLSTPDHPVPSKTPTAKTPSRTPNFISRNITPRFLTPLGSPLRKALHLTKLNPQDAWLPITESRNGNAYYAGFHTLCSGIGIQALVLPVAFTILGWAWGVILLSIAFVWQLYTLYLLVELHEDLDKGVRYSRYMQLAAATFGEKMSKLVALFPIGYLSMGTCVALVIIGGSTCKTFYQIVCGPTCTSKPLTPAEWYLVFTSVAVVLSQLPNLNSIAGVSLVGAITAVGYSTFIWTVSVSEGRIPGVGYNPVLTGSQIEKIFSILNAVGIIAFAFRGHNLILEIQATMPSDEKHPSRVPMWKGVRVSYTIIALCLFPLAIGGYWAYGQLIPTSNGGILTALYVFHGKDTARWLLGMISLFVIINALSSFQIYAMPMFDDLESTYTTRFKKPCPWWLRAILRAIFGYFCFFIAVAMPFVASFAGLIGGISLPVTLAYPCFMWLKIKKPKAYGFVWWLNWFLGIFGICLSALLVAASLYVVIDTGVEYSFFKPT >KZM90850 pep chromosome:ASM162521v1:6:19795573:19796034:-1 gene:DCAR_021785 transcript:KZM90850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGYYPCEDRWECLLEDFGGCFSIGDPVTVADGVIYEYAHYREIHGFFRAFDLATKEWLNVRVAPAFLDTYESYLHISTYRGLLHLPNSILCLLSSIYEDHEPGQPQTTAVHVVQFKVELVKMDDKEEVLVTPLSSRYCHLDSRCYVFRCSRL >KZM90784 pep chromosome:ASM162521v1:6:19204114:19204401:-1 gene:DCAR_021851 transcript:KZM90784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVAALPYRIICTYYEGNIKENQTPGLDDDEYLMDRDNQFGEDHKIDIDVPFFELEFIVAATGNFSQAHIDINLARGIWPCLQGGLLSTISNAVI >KZM91041 pep chromosome:ASM162521v1:6:21622439:21626464:1 gene:DCAR_021594 transcript:KZM91041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANESTSDHDPDDSEADFVEVDPSGRYGRYKEVLGKGAFKKVYKAFDELEGIEVAWNQIKVADLLRNSEDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKTENINFITEIFTSGTLRQYRKKHKHVDLRALKKWSRQILEGLSYLHSHDPPVIHRDLKCDNILVNGNQGEVKIGDLGLAAILQQARSAHSVIGTPEFMAPELYEEEYDELVDIYAFGMCLLELVTFEYPYVECANAAQIYKKVTSGIKPASLIKVKDPGVKIFIEKCIAKVSDRLSAKELLMDPFLRSDENNEATGQSSQSCRQQADNNRDHLNNGKNGEDPTHEGNRDFTVQGQRKDLNTIFLKLRMTDSTGHIRNIHFPFDIEVDTSIAVASEMVEELDLTDQDVTAIAEMIDTEIHSYIPEWAPGDLFGDHTDTEVGICENFVSEGQDATSPLTNESGARSGSLVLERMPSGRKYWSDSPKTGDACSPHRLGPSNLSHEESATPGGSLTDEDVQSTASCQYEDNVNDDASPEKQNVGVLDDVVENQEFNLSDHSHGSLDSHSGDIAHPLLKDKTLDDTDLNDDNMIAEKLKNLLLEQQRELDELKKKHKQIVSGLLKELPPETREKIVRTCDMEISDYTSESAEE >KZM91459 pep chromosome:ASM162521v1:6:25344142:25344642:1 gene:DCAR_021176 transcript:KZM91459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHEAPVLTQGSQSTQGEANIVWPEVVGTPPQTVWPPWPVVEERRSSVVGATDVNVVIKGKHTLKGGKGNNNKRLPPTNYNGPSLHGCSSKTHVQQFKTNVGGTEIVSAPFTKKGKLMVTHGALSQALAAAKSKLGEANSDTVVQSVETMHKDGHEDEAGGEDAT >KZM90064 pep chromosome:ASM162521v1:6:10210981:10212600:-1 gene:DCAR_022571 transcript:KZM90064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDTPIKKEFDVEEASRRLYQKLIDDLRSLLAGDRTVRDRPVLPSAAEIPRDHPRFEVVIRTNSNEVTFLFRRQDLYLEAYQRGGSNIWYEFKEKNQVVHAVKDSTFLDFSGSYSGSHGLEENAAYRDSSGKPKKGTGDRDRIPLGRYALRDAVNALATSDVQNVIARALIVIIEMISESLRFEDIEFFILANWYTGAIPTSELVVLENNWGDFSNGLLRSYAHPDLSIFPIRLVYDGEQHIFTFEMVVALIALLAHNVNGIPKPRSTRSVNDDIDEYAGRALLEVFEVIVNDIDGESPGDLYGKITVTDGLLSQYIYNQDRDHSESVYPNEKATLTGPTQSCVSALDNIIIDVDLMDKDQDLSPDDQVSKGEISWSVYDIHTNAYNKPLVETIYGTYGSVSVVYAVFSDAVQAFVEVTMINGDDEDPADVYGGIFASNSHPNFQGKESVLFHRERNDVVEVKEGAKIPLSRSIVAVPLDSQLVIRADLVDRDTTIFNPDDEIAKGTRSFPAKLSGDVYGYINGQYGQIKIKVTWNP >KZM91807 pep chromosome:ASM162521v1:6:27963173:27963541:-1 gene:DCAR_020828 transcript:KZM91807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVEPAADCDNCCQWALWRFRHQEEDCIPRDVPKGHLVVYVGKEYKRFVIRISLLENPLFMALLDQAREEYDFTATSKLWIPCNEDVFLSVVRCAKSRKHQNVLLTLRGQSTELFTKFYS >KZM89963 pep chromosome:ASM162521v1:6:8682847:8691895:-1 gene:DCAR_022674 transcript:KZM89963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGKAENLMKTLQISSSEEEYDTDGDSHEEEYDVDGDSNEEEEYEDDEEEEEVFMLGLIKKTKLDRFPSPFKPVAGGFPAWLDPLNLPSDTSINCGICGDPLQFLIQLYAPLCEMESAFHRALFIFMCPSMKCLLQDQHEQRKHPLNNPSRRSVKVFRCQLPRSNQFYTYEVSHHYENVKARLCSWCRTCKGCNDCGSAHYCSKKHQVAHWRKGHKSECRELAVDPQHIRFKPVPRYTIWPQFEIGISVEPKENLMFENGGYATRLASTCNEDEEFDPVLFSIKADADQKSCATFQDRVLRAPRQVLRYCRDAGAKPLWPMSGGRPSETDIPTCCYCGGPRCFEVQIMPQLLYYFGVQNDANSLDWSTVVIYTCEASCDGSASCDGSVAYKEEFAWVQLPELATLR >KZM90051 pep chromosome:ASM162521v1:6:10030378:10035338:1 gene:DCAR_022584 transcript:KZM90051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVVGRHALLFDDDAMAAFVNSTDALVEWNSIPIDRYDVRHLLSSPPPPRRRNYHHPIESIESELDLERYADLSYQQQEEEEDLKTVNAGGYNAVAFSYGNEEESAFHKDSDSRLKSSAFCPPFPVPVDLVIGDNPTFGFLMPDHHLHSYFRYLVDHSELLHSEEKPQNDKISGTERGVGGGALSLLGSLYGFGDDDDAAVENALTSEENASSGAITAHMSEKTASVKSISIDALSNHPVNSQDEVPAKPKDKVFVLKRNSFTSGSKAGSGSDRRKEADSVVSHSATTSRSQSSFVTPTSMVEPPSEMKKMIAKIVEFIMKNGKQFEAVLIEQDSEHGRFPFLLSSNQYYQYYLKILEEAQKSRVSGRASVKEKDGSGLHGSSKKTIVPKGSGSSLESAECEIPFDFDRKDKFKMVIGKSKNDGLDPPSKAGQQQSEVGVDAAAAAAILQAATKGIRTPNLDFLSRSSLNRKDNNSEHVSSLGSSRASHPQSVAQKSDENGARAREAAGEAESREANLTREQKLKAERLRRAKMFVAMIKSGSAPQIKTESSHGTSSEPCNLSGVDAEGDRAAREREGSSAPLEIGATENIESAANKFSTDEYNERKARRKYRSNSSKHDAAKDYTDDEEDEVEDEVVYKHSRNKHRKEEKDHSEKYHRHSRRHHRSRRASHDGDEKDEVEHDDEKDHKYSRKHHRSYKSSNDEDEEEHEDRRYRKKHRSLRCSNDGDEVEGDDKRDHKYSKKHHRSSKSTDDDDEDEYEDRRSRKKHRSRHHSRHHSRDSHRHKHRRHTSSKDRESQRQNRHNITSGEENVLCIGSDEFNGEVPQPEREDLEEGEISAKVSDQSRGSAGGAHREPSVDVSSSFQDQKSSSQPLEPTEVSNDLRAKIRAMLLETM >KZM92237 pep chromosome:ASM162521v1:6:31732692:31734388:1 gene:DCAR_020398 transcript:KZM92237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNNVIPNGHFKKHWQNYIKTWFNQPARKTRRRNARQKKAVKTFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAAIPKKLAPTIGIAVDHRRRNRSLEGLQTNVQRLKTYKAKLVVFPRHARKFKAGDSAPEELATATQVTGSYMPIAREKPAVELVKVTEEMKSFKAYSKLRAERTNERHLGARLKRAAEAEKEEKK >KZM91769 pep chromosome:ASM162521v1:6:27723023:27724532:1 gene:DCAR_020866 transcript:KZM91769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVWLTEFLTGMVKPVAATAVVLLAVLLSYFQKLGLEAEMLYSILRAFLQLSVIGFVLEFIFTHDNSVWILMAYLFMVVVAGYTAGQRAKHVPRGKYVAGASILVGTAVTMFLLVLLKVFPFTPRYIIPVAGMMVGNSMTVTGVTMKRLRDDIRTQMSLVETALALGATPRQATLQQVKRSLVIALSPVLDNAKTVGLISLPGAMTGLIMGGASPTEAIQLQIVVMNMLIGASTISSIMSTYLCWPAFFTNAYQIQTTVFSSD >KZM92489 pep chromosome:ASM162521v1:6:33800380:33800553:1 gene:DCAR_020146 transcript:KZM92489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAYWIGEETDDCFLGTWGIKLCLSTKIGVSKTFLSHFKYNKLYKTMSASPCEQLER >KZM89896 pep chromosome:ASM162521v1:6:7054616:7055339:1 gene:DCAR_022741 transcript:KZM89896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNQYHSLLQIGPERSEWSISVRVQAIWKSINRTTNEFRGYNMVLTDIQGCRIHAFIMDKLSDKFEGRIKEGDVYNISNFKVKKYEGKELNRVVRNEKHIFFDYQTNLKKLTYELCRIPSYAFDIFPLQELEKIYTDSYFLVDVIGKVEQSGVSTYVSKEDNKKTNVKFKIFDGRYYIEPIFKC >KZM91209 pep chromosome:ASM162521v1:6:23189359:23198849:1 gene:DCAR_021426 transcript:KZM91209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRASSRTVIDPKVRQVGFFTPGAPPDPTQTSTTLTTITSTSPLVSPSGNSVLCPVMIPPPLHFSDSNSKCVVGGGECIAVGSSYNASSPATEFSGSGGECVGKVGASSMPAGGFEIPRKLIKGNAGVGASSLTTVSMLSGSSNLLEKGGLSIGAQIESSAGLKSLKEKSSKAERRAAQEADRAAKGAAKSLKGTTSKAERRAIQEAERAAKAAAKAEGKKAPVSAGIAAPGNVKAPKAALQKKVGPSVAATDKRLGDRAPDKDRKKEVPHPRLQFDDESRVEKAKKRAVVKQREARNRVELFRHLPQYEHGTRLPDLESKFFHLDPVHPAVYKVGIRYLAGDISGGNARCIAMLQAFQESIKSYSTPAEKALNRDLTAKINCYVSFLIECRPISISMGNAIKFVKTRIANLPLTLSETEAKATLLSDIDRFINEKIILADQVIVNYAVTKVRDGDVLLTYGSSSAVEMILLHAHELGKHFRVVIVDSRPKLEGRLLLRRLVGKGLNCTYTHINAVSYIMHEVTRVLLGASSVLSNGTVYSRVGTASVAMVAHAFRVPVLVCCEAYKFHERVQLDSICSNELGDPDAISNVYGRDDLNYLKDWANSDNLQLLNLIYDATPSDYVSMIITDYGMPDLTWLEEMSAFSGTS >KZM91892 pep chromosome:ASM162521v1:6:28705422:28718317:-1 gene:DCAR_020743 transcript:KZM91892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVKKEIVEPSQRKSPDPSIPPILIDLGSSDSDDDSDSDSSSSSFSGEKRPRSPDGGGFYMLKRRKKEKGSGVTLPVGFLDPLPAKEPVPAPLSVVPSVEENVTTAAIVPVSNANANSGAVSALGSCHKQFWKAGDYEGAISSNWETSAGGMDHVRVHPRFLHSNATSHKWVLGAFAELLDNSLDEAVNGANCVNIDMIKSNKDGSRMLLIEDNGGGMDPEKLRQCMSLGYSLKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCSGSAGKRATQSIGLLSYTFLRSTGKEDIVVPMLDYERSGLEWKKMTRLSTADWDRNVETILQWSPFSSEAELSRQFNQMKDQGTRIIIYNLWEDGQGLLELDFDSDQHDIQIRGVNRDDKNIQMAHQYPNSRHFLTYRHSLRSYASILYLRVPPGFRFILRGKDVEHHNIVNDMMMTQEITYRPNPVAEGIPKDLNMVAVVTMGFVKDAKAHIDVQGYNVYHKNRLIRPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTIVLSRLETKLVQLQKTYWSTNCHRIGYAARRNKKFLDDREPSPDNIPKLASNKKKDSNVFSGKAPVLDSFGKHSSHTSGGKEARKQSKRGDMGLGSGKRDYTNGKHGKRHPMKSGTEQNHVDLTSSSQKDTSATDVQNSASEGEQPNGGMSHKGLSSKKFSRSGGFLGARSPSCLEQDDAEPACSSGRRADRGNRPLQSERDDVDANLGGSPSSYGFKTLEDLKEDNRELKKRLKREEEISRKLQQELQNEKDRNALLESELEASTMKIQDMNKEQDSLIDVFSEERARRDIEEENLRKKLKEASNTIRELLEQVRLLERR >KZM92555 pep chromosome:ASM162521v1:6:34305302:34308641:1 gene:DCAR_020080 transcript:KZM92555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENEIYSLAKNGDVSKLEALIASGADVTFFDSYGLTPLMHAAKQGHAEVVKILLEAGAPWNALSPSNLSAGDFAMDSGHQDAFDVLLNAGIQAELILGTIARKDYADGDTNKDYLEDRISFSEDKLMESNSKAIMMAWEKPLMEAHAKAICSRGGHILNIGFGMGLVDAAIQQYGPVTHTIVEAHPEVYKRMISSGWGDKQNVKIVFGRWQDVLSQLESYDGIFFDTYGEYYEDMREFHQHLPVLLKPEGLYSFFNGLCGGNAFFHVVYCQIVSLELQSLGYSTQLIPLPVKDCLGEKVWEGVKHKYWQLDTYYLPVCEVLQDSE >KZM89650 pep chromosome:ASM162521v1:6:2877578:2880645:1 gene:DCAR_022987 transcript:KZM89650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETLTNPTNGSVITSSNPQRTYQVVVAATQNMGIGKDGSLPWSLPSDLKFFKDVTMTTSDPLKRNAVIMGRKTWESIPLQHRPLPGRFNVVLTRSGSFDIATAENVVICGSLLSALELLAESPYCVSIEKVFVIGGGQIYREALNAPGCDAVHITEIGKHIECDTFIPALDESVFQPWYSSFPVVENNIRYCFTTYVRVRNSGVELASEANGLLSDGNSDSGKFEVQRFSFLPKPVFEKHQEYLYLRLVENIILNGVEKNDRTRTGTVSMFGCQLFVIGQKVFWRGVVEELLWFISGSTNAKVLKEKGINIWEGNGSRDYLDSIGLTHREEGDLGPIYGFQWRHFGARYTDMHADYTGQGFDQLQDVISKIKENPDDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFVHTIGDAHIYLNHVRPLQDQLQKLPKPFPVLKINSEKRNIDSFEAADFKLVGYDPHQKIEMKMAV >KZM91933 pep chromosome:ASM162521v1:6:29108714:29113354:-1 gene:DCAR_020702 transcript:KZM91933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPTSTVTAEKALSFITKGWREVKDSADADLQLMKDRAKSFKTLASSFDREFENFLTSASRSTFAVPAISATSAPAEIDFVKRLQPKFTEFRRAYSSPDFSRRVLEKWSPRAKLRIDLSAIKNAIVSEVEEFEERERFLRGIRERFKTERESQEGLSKDWEPIKAFKLRLREFEQKNSSSELFGGFRKSEIVEKLKSNLKLILKETNEGKVSADEVPPLDFSELLAHLVRQSEPFLDQLGVSRGISDKIVESLCSKSKSHLRLTSLSSDETSIIESDNINDELDMRIASVLQSTGHCYEGGLWTDSTKRDASDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLSKSAMQNVTLLVPWLCRADQELVYPNNLTFGSPEEQELYIRKWLEERVGFKADFKISFYPGKFSKERRSIMPAGDTSQFISSKDADIAILEEPEHLNWYYHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFLVKHVNNLLTRAYCHKVLRLSGATQDLPRSEICNVHGVNPQFLKIGEKVAAERESGQIAFSKGAYFLGKMVWAKGYRELIDLLAKHKTELDGFKLDVFGNGEDAHEVQNTAKRLELNLQFMKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCAEHPSNEFFRSFPNCLTYKTPEDFVAKIREAMANEPQPLTQEQQYRLSWEAATQRFMEYSDLDKILTNASSTSQPTNSDKKMSKSVSMPNMSGMVDAGMAFTHYVLTGNSFLRLCTGATPGTLEYDQQHSKDLHLLPPQVAHPIYGW >KZM92659 pep chromosome:ASM162521v1:6:35177909:35178091:-1 gene:DCAR_019976 transcript:KZM92659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTPFRSQCLFTSSFFDLKLPVEKRTMSLVLVMTSLEKPHFLGTVNRKVQFSLVPIPTS >KZM89977 pep chromosome:ASM162521v1:6:9091944:9092498:-1 gene:DCAR_022658 transcript:KZM89977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSETPIDYAVFQLSPKRSRCELFISRDGNVEKLASGLLKPFVAQLKIAEEQVALSAKSIKLEAENYRDGERWFTKGTLERFVRFVSTPEVLEMVNTFDAEMSQLEAARVIYSQVEACLQSQALGANYRDL >KZM92483 pep chromosome:ASM162521v1:6:33721209:33724515:1 gene:DCAR_020152 transcript:KZM92483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKKRGSAEIGERLDLEPKRVKYRDLESVFRSEAIADQPSLKLGGGKVWRCLGYCYWVSDAKVTSWTVMKPPVSVNLYNDRYGKNKQASDLAHSAEKEASRVTEEKSGKTGKDRCQVLATPAIISPDPNANIMISGGSVSDIAQTSFGDTTKRGFDSINIRSPREIKLHHNAEDVSSSAYCDPLNTDKYNDQLKSRDTSECGNTHGPAVEKDPMTVWKQMKQNGFMSTFQGGAPVPKPRSKKIKAETIKKKLDIARRDQVDRCLESAAPSGLLNALNPGIINNVRNSRQVRSKIEALLRSAKYEDKSAFKHSSSASMALENASSLSSEDSSNQAIVSALSLKAATVASEWLELLHQDIKGRLAALQRSKKRAQAVIETELPFLVSGEFSSYKENANNRTNFSAPGPADDLHKTRWSALFNQMNKSLNEEERRLESWLSQVNAMKLHCGRGLFDSTETSAMQQLATSGIDCRPQKWSDSQEGLEVKAAAAAFYSTCSSLQSSENLASF >KZM90994 pep chromosome:ASM162521v1:6:21169411:21177405:1 gene:DCAR_021641 transcript:KZM90994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIDGDNPLLKDFYFPPFDSMQAHHVRPGVAALLNKLENELAELESSVEPSWPKLVESLEKLVDRLEVIWGAVNHLKAVKDNPQLRTAIEEIQSEKVKFELKLGQSLPIYNAFKAIKESTSWDTLSEARKRIVESQIKVAVLNGISLEDEKRDQFNKIEQELVKLSEKFEEHVLDATKKFEKLITEKKEIEGLPATALGLGAQTAVSKGHKNATAEDGPWVITLDDPNMEELKQFSKDQGALEADDLTHWDTTFWSERLRESKYEINEEQLRPYFSFPKVMHGLFNLAKTLFGVAIEAADGLAPVWNNDVKFYCVKDSSGSPIAYFYFDPYSRPFEKRGGAWMDEVVARSRVMSRDGAPARLPVAHMVCNQMPPVGDKPSLMTFEEVETVFHEFGHALQHLLTKEDEGLVAGVRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGESLPEDIYSKLLAARTFRAGSYSLRQIRSASLDLELHTKYVPGGWAEVQSADAFSAFEDAGLDDIKAVKETGKKFRETILALGGGKAPLDVFVEFRGREPSPESLLRHNGLLAVSV >KZM91330 pep chromosome:ASM162521v1:6:24218611:24221037:1 gene:DCAR_021305 transcript:KZM91330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYGSTPGCNPGPIDDSVLHDQDKHVSSAVWEGQCWSYYRLSVGRPKLKQEPKHDCFPFVLRWKGKQCGATNRDVAFYRKALDSLKPSDRWERKSRGVDGGVDLAGKMESELTEWVDRHLRVVDIDEDVDESEYMPWYLNITRKLVGRPVPITSEFQRMNVALRDIAHVVDMLSTQGMDDHQINAVARIRYLAHECLRDHGGSLIVEVANPQDDIVKRIRGKERVRRKSAGKRKRKEHLGLSHVETEGVRSGLCVVQMEADQSPLYHLNSELDHSQLCLPASEGEDAELCYLPNKVVETQGCLENQVDDSHFKHAVEAVDETQYAHMISVNTSVPSNADLAVVSQSSLESSKDVEHQNDYSVLV >KZM90021 pep chromosome:ASM162521v1:6:9648149:9651895:-1 gene:DCAR_022614 transcript:KZM90021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMTSTAMPYTGGDIKKSGELGKMFDLPVDGSKARKSGPVTGAPSRTGSFAGSASHSGPIMTNAASRSSYSMSGPVASGGISGSVSVKKSNSGPLSKHGEPLKKTSGPQSGGVTPVTRQNSGPLPPILPATGLITSGPISSGPLNSSGAPRKVSGPLDSKNSMKLHGSIAINQAVTTLSQDEDYSFKGSFPKPILWLMILLFVMGFIAGGFILGAVHNAILLIVIVVLFGIVATIFAWNTCWGRRSIIDYIDRYPDAELREAKDGQYVKVSGLLIVVHLSVKVVTCGNVPLESSFQRVPRCVYTSSCLYEYRGWDSKAANPTHRRFTWGLRSSERHVVDFYISDFQSGLRALVKTGFGARVTPYVEGSVVEVNDLDKDMSPDFIRWMRERNLSCDDRIMRLEEGYIKEGSTVSVIGVVQRNENVLMIVPPPEPFTTGCQWGRCILPSSLEGIVLRCEDASKVDVIPV >KZM92685 pep chromosome:ASM162521v1:6:35388758:35392171:1 gene:DCAR_019950 transcript:KZM92685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGGGSGARLPAAGGTGKAKGKNVSQVSDSWADPVADWVSDISLDSEQDGGWKEVARKPRKNSVGNVGSLYPGTKVSGNPWTGSKGTSNAWTGPKQPANAWAGKGSVNAFAAPAGNNKWPSAGRGVWNQSSSIVYENIYDQPAVIPPPLEDGWQWRNNTSQVANSGNVSASNPADATYDEEEERHDDNDGDEDDFNTEDIYDSEEYDSDESQKSHETLKKTSSLKGFFKTLDEMNIEEISEPGREWHCPACAGGPGAIDWYRGLQALTTHAKTKGKRRAKAHRLLAQLLDEELRLRGASVIPAGEAFGKWKGLNENVVRDRKIVWPPMVMVMNTQLDQDDNGKWLGMGNAELLEYFNAYEAVKSRHSYGPQGHRGISVLIFEAFAVGFLEAERLSKHFEEEGTNRETWARRQKLFLPGGQRLLYGYLAEKGDIDYFNQHSHGKTKLKFELRSYEEMVVNPMRQMNEDNHLLNYYKKRALEESKQAKVYHDSFSAVSDKLRKTQEENRIVRQMTKAHHEENREKMDYQEEFYKEQIKLIHEARVEEEEKFEKIQQEKRHQMKIYMEKPSLAEDRQFRIDKGASLILSQQKEMDNFAEERDKLLNNLELRKAELARLRFQEDISLEKEFNAQLTWLMEKYSRGKSPDMS >KZM91552 pep chromosome:ASM162521v1:6:25991490:25994154:1 gene:DCAR_021083 transcript:KZM91552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETQIQESGNVPMKRRLGQKKGGKGKKKMKVYKGSGEKVKVNKKMQKIFRKRARDYNSDDDEEGEDDDDEGDELVPVIRNKKNQRRESEEVDDEKSSDDDDGEDREDKGEEFEVSEDEDGEIQPGITKFTKGSSAFRKAFMKITKKKVADSVLGPVLSAHKKLIAEKLAEEEVERKVKGEVKKEKHIVGEKGHVQLPANFLDTHEKFLIGIATKGVVKLFNAVNKAQSAKKGLNPERAKDAKVIKQKKRAAFFSELGKKPYKSEASSKAEGEGPSWAPLRDNFMLTNSKLKDWDKMHDTTGPEDSGMPTYSSSDDED >KZM92586 pep chromosome:ASM162521v1:6:34620541:34623406:-1 gene:DCAR_020049 transcript:KZM92586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPKPLPPTETLALPNSLTLAPRIKLLLTLHRADDSVSPLDTWLLKTSLISFLESSFSISLPLPDLHIVPFKDLKKRKRHDPVARGTIIIRDLDFASLKLSKFEEEEGEEEKGRVLERKFAEWRKNAVEKMDGMEMRIVGDRFKLSVEVPVGDDFERMKKEWEELAAFGNRGYARGGRRLPDTIILKGVPTRWFVEPLVPDRPSQIVTHTIFSALGEIRTLDVKEDNDTCNLEDEEDGYTVPGLHCKIIVRYKEYSDFCNALKVLSGRSLQKQGSRLKADYEVTWDKDGFGNARSQPEETDRWMPSNRYSREDGQSYNSRFSSEKGRPKRFKVGNKCPLLVS >KZM89997 pep chromosome:ASM162521v1:6:9358617:9362247:1 gene:DCAR_022638 transcript:KZM89997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCDRVLINAVATLEPKIVNEKNAHDGHCTLHIHSDSETLQRAEHQSTSDDELDDREKLRRKRISKANKGKSPWNKGRKHSPETRQRIKEKTRLAMQDPKVRMKLINLGHTQSKETRVKIGVAVRIGWKKRREKLMLQETCHVDWQNLIAEASRRGLTGEEELQWDSYNILDEQLEKEWVESVQQRKRAPRPKGSKRAPKSAEQRKRISEAIAAKWADPAYRDRVYTGLSKYHGTPIGADNPRRRQKRASTSTSILKKKKNNVTDNPAGNERKASTPRSRIKRSNAPIYKDPLASSKLQMIKTIRADRENKKAEAVRRAKLLIAEAENAAKALELAASKSPLAQASLIETRKLIDEAIQSIESIEGSFDENEPDSPLSLSDVEDLKSRGFVTKDQIEVNGASLSNFDDISDSAIGKFNLQQLLNHEDENLPSSSYDVDFMNDMDYLKGLLDNETDLSQLEPLNKPSSLTHQLDLLAPNGTTAKHGNPVPNGAKSELLELKKPPKSINSSKEKPPKSTNTVKKWVRGKLVEVTEGD >KZM92050 pep chromosome:ASM162521v1:6:30103423:30103716:1 gene:DCAR_020585 transcript:KZM92050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSKKQMGLKKDQKLAACFSLLLLTMLLHLQFTIYPCRVDASNENTHKSRSFKPAAAATSNNKIKKGFFGKVDGEDEIFGSDKRKVNTGPNPLHNR >KZM90887 pep chromosome:ASM162521v1:6:20163282:20168073:-1 gene:DCAR_021748 transcript:KZM90887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPTGFWSSLWRFICFLPFFIGLLLLGLLKGVILCPLICLLMTFGNSAIILGLWPVHCFWTYLCILRTKKFGPVLKLVLCVCIIVPLLLWPPVGILSSIIGGAAYGLLSPIFATFDAISEGNTDKFFHCIYDGTWDTITGCCTIIRDFKDVCYHSYFSYMDDLKRQGPQEEKYYEIRLLYLPGAIIVGALGLIVDFLIITFVAVCKFPYMLFKGWRRLFQDCIGREGPFLETMCVPFAGLAILLWPLAVGGALLASMLSSVFIGAYAAVIVYKESSVWLGLCYIVASLSIYDEYSNDVLDLPEGSCFPKPKYRKNAAVKRSPSRSNSSVHSFRKGSMRSGSIRAPLIELKPLEIIDGIINDLQHDGEIIFSQGVITKQDIEDEKINKNKAICNGLGAYSLLQCLLRSAKANAVGLLLADNTEITSTNRPTDTFFDWFLNPLLVMRDQIKAQNLSETEEQYLGRLALFIGSPEKLKISTMGSPPESEIKKAELNALAMRLQGISKSISRFPTYKRRFDGALKTIKCDHARKTDSSRHNADPETLPRSKSTFGQIFNLKYSRRKAPAADLETQLAVDKDVR >KZM90127 pep chromosome:ASM162521v1:6:11415675:11416370:1 gene:DCAR_022508 transcript:KZM90127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKIDTMKSPNEIPEESRKRRLEDLDAGSLRLSTPSCYTNCDAAVFEKPENSTFDSALNIRIAKNISGFRSYTHEEEARERFLGVSTKLKLWIDGSEGNDPWKIKKTLKHSDCDRLYRLTLCKDMVQNHIIKVWEEAGKVDEIEKVVSDEGVAVKVWDYEREREYELKLKKQSSSKSYVVSGAWRKEFVKERRLKKGDIIGLYWSTSKSRFVFSVLARAPPVAVSERGGE >KZM90931 pep chromosome:ASM162521v1:6:20488532:20492652:-1 gene:DCAR_021704 transcript:KZM90931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVYAPEDPTLPKPWKGLVDSTTGALYYWNQETNVTQYEKPGDNSTIDDDERYNRVSNGGSKIDSGAGTQQSRRVGPAVENGASSESYQQRNEITVSGKDVPMPMTSFEAIGLPSEILREVRDLLRGVKFRTLFCPLLLGFVQIGGYSNGGPIKKAFRISQLLQAGFPAPTPIQAQSWPIALQNRDIVAIAKTGSGKTLGYLIPAFMHLKRVRKNPQLGPTVLVLSPTRELATQIQDEAVKFGRSARISCTCLYGGAPKGPQLRDLSRGVDIVVATPGRLNDILEMRRVSLSQVSYLVLDEADRMLDMGFEPQIRKIVKEVPARRQTLMYTATWPKEVRKIAADLLVNPVQVNIGNVNELVANKSITQHVEIVSSMEKQRRVEQIVRSQEQGSKIIIFCSTKKMCDQLTRSLSRQFGTAAIHGDKSQGERDYVLNQFRTGRCPVLVATDVAARGLDIKDIRVVINYDFPNGVEDYVHRIGRTGRAGASGIAYTFLGDKDAKHAADLIKVLEGANQRVPNEVRGMVSRGGGFGRAKRQWGSGGRDGGRGGNYDSGFGGRAGWGNGSRDRYICSLIPNMNS >KZM89616 pep chromosome:ASM162521v1:6:2550329:2550651:1 gene:DCAR_023021 transcript:KZM89616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGWRGGIEKLRSLAGGGLDRGSNIASWALAGGVAYYLWVKPSQDLKREHQDRVARTAAAAASADIDKKQKSTP >KZM92009 pep chromosome:ASM162521v1:6:29792971:29799660:1 gene:DCAR_020626 transcript:KZM92009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDADMEDYGFEYSEDEQEEQDVDIENQYYNSKGLIETDPEGALAGFAEVVEMEPEKAEWGFKALKQTVKLHYHLGRYKDMMEAYKVMLTYIKSAVTRNYSEKCLNSIMDFVSGSASQNFALLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMNKIMKEVHRSCQKPDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKQLYQKALTVKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEIVEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISKELNVPEGDVEQLLISLILDNRVQGHIDQVNRLLERGDRSKGMRKYAAIDKWNTQLKSLYQTVGSRVA >KZM91208 pep chromosome:ASM162521v1:6:23182936:23187068:-1 gene:DCAR_021427 transcript:KZM91208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPKLSAWRVRRNARLRERKRFEAIELQKLKKTATRRCRNCLTPYREQNPAGGKFMCSYCGHISKRPVLDLSIPHGLGVPNPGILKDLGVKNGKVWVDNGGLMCGQDWLDNGYWVGGSFPQKASYWRKHGGVFFGRDDHCLAEKSYSHVLIFAGRALTATMLSIMWVWRKIFRVGSSGGDASLDAERKRMGKRGENGANFQEDRGEKARKKAEEKRQAKLVKEQSEEEDRKQREEVLRLVDERRRSRDERMDVDKDRGKGPTPAKDNDNKKEAEKKRQLKKKERDRGSSKSNSDVEELEKRADKESERNGKRESGRRDHHRHGIETAKSYNNSTIKGAPTNNSSHGAAGTKYFDRVKGNIMSSSRAFTGHVKGAMNSVAVGREHRYNGPVNHYQTPVTKREMSQPDRVSGKPYFTGDDKNTNLPVHVKAQPCEAPKKTWRQLFTRSSTVCPSDSAVTDRPNGKSLEVTSPSLTGRPVTTQPYDNPINFGLPSPFPIITNPCEPMSSKGIQMSSESKFPLNGEALPECLLEDPDIFEDPCYVPDPVSLLGPVSESLDNFQLNLGFATEMGSEKPCTIQSIPISSEVVRPSPIEAPASRSRVQDERHASSFLLQGTPKAKDVHSTLANGVSSGNENGTWQMWNTSPLGPDGLGLSGGPASWLLSPDLNRPNKEEIATPLHQKNMASLFMRDEHIPSGTVSPQKVSFGSYQNGETYGIPMSGGSNDPWLPNALFGPLDSQDHFAIKPSERIGQDELTSGSPKSAANHPFELSSADRWPKGGQRPEGCRIYVAWHSWVPSLLIGVAGYPKGAKRSCHIMGPLSVD >KZM91090 pep chromosome:ASM162521v1:6:22033930:22037641:1 gene:DCAR_021545 transcript:KZM91090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKRVKSELFKIVMNRQRSFQFLLFLALCYILIVTVIQVPFVLKTPLSSDTLTPPLQLKTELQLDQKQSPSRPTIVVNQSENEPYKYLSRLNFDDKDGLLLGIDKSVIEAFQVGCKFWRELQESRKFQGLVNATGVENGTESCPHSISLSGFEFSDKGRNLMVIPCGMALGSHITLVAKPKKGHLEKEPKISLLREGQSALVSQFMMELQGLKIVDGEEPPRILHFNPRLKGDFSGKPVIEQNTCYRMQWGSGLRCDGWGSRAEEETVDGQVKCEKWNRDDDNHSERSKTRWWLNRLVGRTKKVTVDWPYPFEEEKLFVLTISAGLEGYHVNVDGRHVTSFPYRTGFALEDATGLSLNGDIDVHSIFAASLPTSHPSFAPQRHLDMSTRWKAPSVLPGPVEMFIGILSAGNHFAERMAVRKSWMQHKLIKSSNVVARFFVALNGRKEVNFDLKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVHRTAAKYIMKCDDDTFVRLDAVIKEANKVADNRSLYVGNINYYHKPLRNGKWAVTYEEWPEEDYPPYANGPGYIISSDIAQFIVSEFEKHKLRLFKMEDVSMGMWVEKFNSSKSVEYVHSYKFCQFGCIEDYYTAHYQSPRQMMCMWDKLQKGKGKPQCCNMR >KZM91760 pep chromosome:ASM162521v1:6:27643046:27646563:1 gene:DCAR_020875 transcript:KZM91760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGNLFSSIKKAFSPESKEKKKQKAIESKNKGIEKEKPLNPESSTLETVSMPHSLPPPTEVNFTEIEIEDEQTKHANFGTASTSTLAEDAAEVPLGVTEAVQAVAVTQIKSKMTDDVAATKIQTAFRGYLAKRALRALRGLVRLKSLVEGSAVRRQTTNTLRCMQGISRVQSQINSRRIRMSEENQALQRQLLQKRAKELESLQMGENWNDSVQSKEQIEAKLLSKYDATMRRERAMAYSFSHQQTWKKSARSTNLLYMDPTNPQWGWSWLERWTAAHSGESRTVKEHNNDYSSAKSASLSFSGGQIAKSYARHLLNSDKPNSPNNPRPRDPSSIQSPISPLSKATSSKVGKKYKPPGPRGSVMSPDFDSKSLFSMHSEQNRRHSIAGSSVRDDESMVSSPAVPSYMASTQSAKAKSRLQSPLGMEYGTPPKGSTVYAKKRLSFPASPARPRRHSGPPRVDSTSVADLNDVAVN >KZM92179 pep chromosome:ASM162521v1:6:31219883:31224729:-1 gene:DCAR_020456 transcript:KZM92179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCRSVEVDGQCQGQQQQYDFLLKFAGNPLALGLGIGIQGAVGADRVIVVIPNNVRDLRQNPGNSNLDIFTYEEMRLATKRFRSDQVLGEGGFGIVYKGVIDENVRPGYMKTEVAIKELDPEGIQGDREWLDYNINFYNSLVDLSSLSVYVIDNPHYILLTGHLTARSDIYGFGVVLLEMLIGRKAMDKSRPSREHNLVEWARPLLNHQKKLFRILDPRLEGQYSNRTAIKVANLAYQCLSQNPKGRPVMSQVVDILESLQIPHRRDVPIQSGNSATL >KZM92387 pep chromosome:ASM162521v1:6:33049900:33051061:1 gene:DCAR_020248 transcript:KZM92387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFNCALRIFAVSALAFAFCIQGTFGSIACEDLSKESCAYAISSSGKRCVLEQRVRRSGEEAFTCGTSEIEADKLKDWIESDECIRACGLERSVLGISSDSLLEPHFARKLCSAQCYNNCPNIIDLYFNLAAGEGLFLPKFCASRGRRTRRGMSELIRSSGSVAAGPVSAGKFMVADGPEAVEFMDEEAEAPAADNMWMEEEAEAPAF >KZM91431 pep chromosome:ASM162521v1:6:25150604:25151443:-1 gene:DCAR_021204 transcript:KZM91431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNCELCKSVARVYCESDQASLCWSCDAKVHSANFLVARHVRSLLCHFCQSRTAWSGSGHKLGRTLSICEPCVEARVALASESHGANDLDSESGIDYDSDEEEDVNEEGELFQEDLEDEDNQVVPLSSCSSESHRCRPDIDINISPEGSDDFVADLNSKDNSESSSPHQMDKVTSPGDEDAYIDSSSLRPFKFRKLEASRVAGAQKYLSDSRIAEALQRKICKGGGEGHECVDLDLNECPSN >KZM92680 pep chromosome:ASM162521v1:6:35355221:35360837:1 gene:DCAR_019955 transcript:KZM92680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLSSHSDAYTTSLSTSRSVVIRGFYAYLADFDSLEDNSTAVIIDEPLRSVLRGTGLVGSCNGLLCLYQQKMDIFIWNPAMRKCKQLPTAPADFVCPFSVDPFFLRGFGYDAVNDDYKVLRILHPDGREAGSKVIVYSLKSDSWKRLPDISYPYQIVRDLGMFLGGALHWIAVTTLGVEICLIILAFDLGVENYKEIPLPNLRFKKSNKLNLCTFADSLCVLLLEADIRIDVWLMNNYGDGNSWCKLFSLEHTQLVSPSLSVRPLAFSKSRRDVLVEVSDKKVIWYNLDRKNLRTVKIANMPAVFHDMEVYTESLVPPDYFLSCNGKQPEEEKKQKRQQKRNESFVSYSVDYDSLGRSTTAIEIDEPLKTYLHATGDVGSCNGLHCLYNLQTDLFLWNPAMRKCRKLPSAPADFLRPFEFDKSSLCGFGYDAANDDYKVLRIVQPDGHDLCGSKASIYSLKTNSWRRLKNISGHFQFWGAWGIFIGGALHWITVTPLGSPSILAFDLGVENYREVLMPRLQNNYCKNMNIVVFEESLCMLEYHPFIRIDVWVMKEYGVGNSWCKLFSVEQPKVTRCCMSITPIFYSKNRKDVLLEVDSKKLMWYNLKKKRARTVKISNLPEIFDLEVYTESLVSPDYNISCGGPQVPSQPQEKKKKQQQRNER >KZM90612 pep chromosome:ASM162521v1:6:17442229:17442915:1 gene:DCAR_022023 transcript:KZM90612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAEDDLSQSKRVKKSSRKRYSGTKVASEQNKALVKIGEEFLESLQDKSEDSRNFSPLEEVVSDVVNSVSQGERKKFVNIRCRSGSSDVCSDGTSNFVEENKSDAGKQSTNLSSQSTLCSGITRKLKVKSNRGRPRKVTSKHRNPFEIGGGFKRRYKSRVKGKISQKYRRSSLTTQYLQIVPSTVVGSSVKQALEILETAENMGLAVRGDREVVVKEIARQLEKNEL >KZM92585 pep chromosome:ASM162521v1:6:34616997:34617818:1 gene:DCAR_020050 transcript:KZM92585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLVCGSGSFQDEDDIELSELLRSCSSSAKKTSKILGTRHSFSSKSKDSKNPYADRGLDKFSALLAELDSKRQKIYTQKGSEDISLLGFAYSNSSDYKPIVVRVRDKKPIKTLIKAPVKLADRSDSQVSKNVVEKSDYDLKQTRAESNRVDQKKASPEKFRHVSVEYLTRPSYYVPVIIILILLFLAIFGKSFAILCVCIGWYIIPAINSDIAKTSTGRIKTKDQTSHKTKNASNFNIRDHRRTPSSPTSVLTGSKSPPQHGSPLHPRSLT >KZM89829 pep chromosome:ASM162521v1:6:5537176:5541263:-1 gene:DCAR_022808 transcript:KZM89829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKADDLDLLLSLQDRVLETPPASPSAPPSGYLSDDASPKRGGDVDMSVFRNAVQDCLDYEPETSKKEIKSKNSTNGSVEKFSGLRIRNELVSPVELANRFSDIRFIRLPAIKKLLVGDTLSGCWATVGVLTEKGEQRMSSTGKPYCIWKVGSLDEDTASVFLFGTAYQKNSKDEVGSVFAFLSCGARKDNSKVGCSLSVYNASQILKLGTSVDYVVCKGNDCKTVINRRQGIYCKYHKLKASEKYTNSRTELKGGNLRMSLNNHHKSEGIYVVDPHAQKKNLAKPNQVLSVEGLKKALRSSSSFVGSEVPVKGTSQPLNAKRTKTEKRQHSPKETNQTKEKMIELEFVSSDEEI >KZM90451 pep chromosome:ASM162521v1:6:15909118:15915369:-1 gene:DCAR_022184 transcript:KZM90451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKILKPSNSNRKDDDSKKKKKGSKLKPDSIAMKKVQATKLNPFETIWSRRKFDVLGKKRKGEERRLGLARSLAIQKRTKTLLKDYEQSGKSSVFVDKRIGEQTEGLPEFDKAILRSQRERQLKLGKRSKYNLSDGEDEDEFEESGLGSFPEKDDFEDDVPFEDDVDKELGESEKRSAILKHLIGHGSQGSSEGGEENRRKTKKEVMEELISKSKYFKAQKAKDKEENVEFLEQLDNNFTSLVNSEALLALTDPTKINALKALVNKSTSDNKEKIDVVSSIPKIVSLQQEKPDSYDKLVNEMVLDRRARPSNRTKTPEEIAQEEKERLEQLEEERQKRMHAADDSSDEDVDGSRNEDASKRRLTSISGDDLGDSFTANEETNTKLGWINDMLEKHADEVESEEGTSSEGSESGGDDDEEETGDDDNDNPNIKAVSKQPLNKVEDLPYTIEAPKNIEELSLLLKDRSESQIIEAIRRIRTFNAISIAAENRKKMQVFYGVLLQYFSVMANTKPLNFKLLNMLVEPLMKMSTEIPYFAAICARQRLRRTRTQFVEDMKESGRLCWPSLKTLSLLRLWSMIFPCSDFRHVVMTPAILLMCEYLMRCPIVSGRDMAIGSFLCSMVLSVTKQSQKFCPEAIIFIQTLLVAALDEKSGAYHDSQLYHLMELKAPKPLLCIQGSLNEIHPLNFLSIMDLPEDSPYFSSDEFRASILVAVIETLRGYVTVYEGFNSFPEIFLPLSNILRKLAGQVHVPSELQAKLQNVAELIEKKADEHHTLRRPLEMRKQKPVPIKLLNPKFEENFVKGRDYDPDRERAEAKKLRKLLKSEAKGAARELRKDNYFLSEVKNKEKMRLEEERAEKYGQARAFLQEQEHAYKSGQLGKVYCNAAKNEIHFAQFL >KZM90207 pep chromosome:ASM162521v1:6:12630644:12631298:-1 gene:DCAR_022428 transcript:KZM90207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCNSTFFLVVLVAISAIVTAAPSSAIGITPGPVPNVSDVIRIDGFLYCTVNTTTSPDGCIALGTPRTFTDTAAFLMCGNKVLAKTTEFNLGLFRITLNSTRKDIEAQKKFLVAPASNCTVAVTTPHASCNATVPAGNFITLVSSLYQGLVENFNGSPRIHHLGLGRVPLPK >KZM91922 pep chromosome:ASM162521v1:6:29014264:29014890:-1 gene:DCAR_020713 transcript:KZM91922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYYENKRRKKILKRVLFGTLIFLFVVGLAVLITWAILQPKKPRFILQDASLYAFNVTANPTLLTTNFQLTISSRNPNSKIGIYYDKLHVFAAYRSQQITYYTTLPSNYQDHKEVKVWSPFVYGTNVPVAPYNGLALGQDQANGAIWMMIKINGRVRWRVGSITTGSYNIHVTCPAYIPFGNNNAGTVVTVGGVIKYQLSVSCEVSV >KZM92551 pep chromosome:ASM162521v1:6:34272685:34274751:-1 gene:DCAR_020084 transcript:KZM92551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQENCVRMTRLASKRAAESQVEQPIAKKRTVLGEISTNCGKSVVAGKNKKGRVNPGKLTCGVKKGKKGVKKIGIEAKSGSGFGVDGDLGDPQMCAAYSCDIYQYLRNMEAESKRRPLSNYITRVQKDVTVNMRAILVDWLVEVAVEYKLLSDTLYLTISYIDRYLSMNVLNRQKLQLLGVSSMLIASKYEEINPPHVEDFVYITDNTYTKEEVVKMEADVLKSLKFEMGNPTVKTFLRRYNSVAQETQEAPSLKLQFLGYYLAELSLLDYECIKFLPSLVAASVIFLARFTIDSKVHPWSSALQLYSGYKPSDLKDSVLIIQDLQLGKRASSLTAVREKYSHHEFKKVSELTSPEIPVSFFADIKEC >KZM91128 pep chromosome:ASM162521v1:6:22435111:22439224:1 gene:DCAR_021507 transcript:KZM91128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKGGAAPKASTLRWTILRRALLPRSHITDKHNSEIGIERVSRKTSHGFSLIPSCLIENRNDTSSSSSLIDSKEAACFCYTLPVPNAPKLFLFQRFSSFDVLGDFEICNRYDIDNTGLVYIQHNIDANSGAFGPSKVKSLMLHWNKEEISDISNKFDIVVASDCTFFTEFHKGLVQTLVNLLKSEGPSTAIFFSPKRGDTFDKFVAEVKEIGLHYSIDEIYDTEIWRQHQDFVRGDDSWPNYDKDHCYPFSDPFGWYNAPREERKGRSRGRLLACLEFATFALPDLLK >KZM90535 pep chromosome:ASM162521v1:6:16652473:16655133:-1 gene:DCAR_022100 transcript:KZM90535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGENDSASVPSMTDKGTESWKPLEKLIPNQVNRETAPVLYEYPFFPPIAAPLANPISFFPSISAPQANGNQSAATSGYPHIFLSHMNSVEDCVPTTISLGKEGKSNESRETLNKRPEGSVKIFQASEFQNTLSSGHRQSSDEYEEKNQAKMQQFLFFEKKQESDAGQKHMPNCVVSKGLDTEKIYTSQEASHGHTLISESSLHVINSETSSVLPESMVVRNTVASIFPVLEGECITDVPMQDEKELEKIRKRRANTLAARRRRIRQKECEKLQALADELSSETSELKETLNNYSKECIRIRRENKALLEEIKEMCRPDEFANIEAQNPDREDNIPSESSSSDKCVDTGSDIEEAGSY >KZM91423 pep chromosome:ASM162521v1:6:25078827:25078979:-1 gene:DCAR_021212 transcript:KZM91423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQQCPYRKVITPLELLQGPGFFVVSYKCPSRGPAPLVASAVMISQKPV >KZM92554 pep chromosome:ASM162521v1:6:34301645:34303584:1 gene:DCAR_020081 transcript:KZM92554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGLSPARSRSRRSRSNSPHSGLLRRRKATNPHDHFMFRSGSLRPTEALSPLREGPDHNEVIDGGDSKKWGHWMKGQLCRAPGNQSSGGGNQRSDLSLMLGVLGAPLAPVHVSCSELFANLSIKDTPIETSSAQYILQQFTAASGGQKLQNSIRNAYAMGKVRMLSFDIETATKVIKSRNSSKTAESGGFVLWQMHPDMWYVELALGGSKVHAGCNGNLVWRHTPWHGAHAAKGPVRPLRRALQGLDPRTTASIFAEARCIGEKKISGEECFILKLCADPHTLKARSEGPAEIIRHVFFGYFSQRTGLLVHLEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVATLFKFGETAMSHTKTRMEEVWTIEEVAFNVPGLSEDCFIPPAELRYGSINEARERPKEGRAKTAVAAAATSYQTKVATLERSHSSRANNKFYFEDEYKQK >KZM91234 pep chromosome:ASM162521v1:6:23433474:23445806:1 gene:DCAR_021401 transcript:KZM91234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRMMEQRDAGKTSGLFKGKQTLSENWQGKTDCRELKTLPDKHVILSREDVQLHQYGNPALSDKVEPLVETKENEAQSIRNLLPDEDDLFSGIMDQLRLSTLSDGIEDEEDLFQSGGGMELESDNCLNSHQHNSNYTTGVLNVGTNSNSLIAPRHSYEEQSSRRLLVMNFGTSTEDSELRSLLEKYGEIHSLYTSCKHLGYIIVSYYDIRAARSARNSLHNKPWRPMNLDAHYLIAKDDPLGTDIHSGTVLVSNIDSLVSEDYIRQIFRSFGEIKEIGEAHQCNKYIEYYDIRSAEAAYRTLNCSNIAGKQIKLELGPDRQHSMQQFLPPMAIDERSHRGSLDGYSSIDLLAAFTGTVSHSLMTPGCFNGGSVGRLHSKSEMTIDAFNDNAFSEGSFSVPDSFPVRLGSGHDRFMLHEPRDESCPPSNHLHSLPEYHNGSAHVISHNPFGTVGDLSVDANPRILEGMNDRNIYINDPKGQQANHHAGVFGSFRTTGCTIPEDRYVKSNSNIFQHFSSSSLGWPNSLPLAGGSHAHGNPNLPEFSGLSSHGLNTVSYSHDMTQASNPSHWNRGHAYMESIGRPGFQNKAPDFSPHNCVHDVNHIGKYINSAASPPQRLSDFTSGVNLVASAPTSFCSPKERTRNLSNCRSEADSSHSDKMKYELDIDRVMRGEETRTTLMIKNIPNNLNQKNGSLQNKCNMGYAFINMIDTTQIVPFYKGAYNVDMNSQLPRNKVKKEAGEEPHGAGDDRREQDEEDEQALLALIDHRTKLVETNKSRVIYYTAQLEQSEKKLEETKNQLYRLRRRRNLLGSREILEPGMLNVNVEKGSTSPCHIISHSPENQSGSVLEPLQGSVKSSRKSEVDVKGVFGGAVIQNDEGSSHNKAKPRKQIVIPSMNPVTPQLSKSHLGTKASNGSVSILTHGNSVKSRKISYEQDSVDIQSKGTKRKFEQKEHQDLIRLVRGSSSAQTIRCQTGSIISSQHKRKLRSLILCPTNDQLFVTSALDGVVNLWQLQARGSTANCLSSTDCVSVKQRRWPEDIAWHPHGSSLFSVFSADGKDSQVAVLDLNSKNERRRVNFLEDKPHVKGIINNIMFMPWEDTCFVTGGSDHAVVLWSENEGIGEWKPKALHRNIHSSAVMGVAGLQHKQVIVSAGADKRIIGFDLVARKSSYSHQIESKCMNVVPNPCDFNLFMVQTGTMGKQLRLFDYRVRQLEVHAFGWDQESSDSQSALIDQAWSPDGLYISSGSADPMIHIFDIRYNAKKPSQSIKAHQKRVFKAAWHNTYPLLISISSDLNIELHKII >KZM92507 pep chromosome:ASM162521v1:6:33944570:33947122:1 gene:DCAR_020128 transcript:KZM92507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLMEGSLFPNRPAFPNQPTKPTPASKRFKFNPTTLPLSPQPQSPPSFPLDPLLKHLSYLSSPPPVTHKSKFVKQAQISNTHVKKSEESVKYQELVAAHLGKSGSVATQVLEKTKVEALEVVDDEMLGFLPKRSKFILYEIVEQPLSSLNSYFDGVKFELVDVDFFSVLKGLDVTGNWEKAVCLFEWNLLNFGVKNDRLDDQTIELMVRILGRESQHSITSRLFDAVPVEDYALDVRAYTTILHAYSRTGKFKKAIGLFESMKEQGLSPTLVTYNVMLDVYGKMGRSWDKILGLLDEMHSERLKFDEFTCSTVIAACGREGLLKEAKNFFAGLKTQGYVPGTVTYNSLLQVYGKAGLYSEAISVLKEMEENNCPPDSVTYNELVAAYVRAGFTEEGAAIIDKMPQKGVLPNAITYTTVIDAYGKAGKVDKALSLFKQMKKSGCVPNVCTYNAILAMLGKKSRSEDMMELLCDMKSYKCTPNRITWNTMLAVCGNKGMHIYMSRVLREMRSCGFEPGRDTFITLISAYGRCGSEVDAANMHEEMIKAGFAPCVTTYNALLNALARRGDWRAAESLVQDMKNKGFKPSEASFSLLIQAYSKGGNVRGLERIANQIYDREIYPSWMLLRSLVLANFKCRSIVGMDRALKELLKNGYKPDLVLFNSMLSMFARNKMYDRAHEMLQMIRDSGFQPDLVTYNSLMDMYARMGQCWKAEEILKRILKAGENPDLVSYNTVIKGFCRQGLMQEAIRILSEMNVRGIRPCIVTYNTFVAGFSALGLFQEVNDVISYMIQDSCKPNELTYKTIIDGYCRAKQYKEATDFVSNIKEMDNSFDDRSLERLASRIREADKLY >KZM90649 pep chromosome:ASM162521v1:6:17791877:17793751:-1 gene:DCAR_021986 transcript:KZM90649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEVERKTIGWAARDPSGVLSPYTYTLRDTAPEDIFIKITCCGICHTDIHQIKNDLGASNYPMVPGHEVVGEVVEIGTDVTRFKVGDCVGVGTIVGCCKNCRPCNADVEQYCNKKIWSYNDVYTDGKPTQGGFSSSMVVDQKFAVKIPDGMAPEQAAPLLCAGVTVYSPLTHFGLSKIAGLKGGILGLGGVGHMGVKLAKAMGHHVTVISSSDKKKEEAMDHLGADAYLVSSDTTQMQEAADSLDYIIDTVPVNHPLEPYLSLLKLDGKLILMGVINTPLQFISPMVMLGRKAITGSFIGSIKETEEMLAFCNEKEITSSIEVVKMDYINKAFERLEKNDVRYRFVVDVSGSKLDQEE >KZM89871 pep chromosome:ASM162521v1:6:6554558:6555933:1 gene:DCAR_022766 transcript:KZM89871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEALQHGIIKPIKQIFKNPGHYKQGSREAIEINELPPEENIPPLSESVREIIKLFAEIYFPAVLKYLK >KZM92153 pep chromosome:ASM162521v1:6:30972641:30976770:1 gene:DCAR_020482 transcript:KZM92153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELKVGTQERSSNASAKIVVAVKASNEVPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKWGFPRFAGDCASGHRRSHLGTSSEQKVDITDSCSQMILQLHDVYDPNKINVKTKLVPVSPCGSVAAEAKKIQTSWVVLDKHLKHEEKRCMEELQCNIVVIKKSQPKVLRLNLVGSPKKEPEADCQLPCEVSQTSTSKKRLEKNESLDSIRGPQVTPTSSPEVFTATEAGTPSVSSSDPSTSPFLIPEINKDMKEQLLAEKYNCQLDALSSDSDSEDMSTSSSLRFQPWMKDIGNSRRQSSPSEESSHSSKYHIQTSTMRALHEKFSKLDLKTGYGVENYRPDMEFSGDVREAISLSRNATLGPPPLCSICQHKTPTFGKPPRWFTFAELELATGGFSQANFLAEGGYGSVHRGVLPDGQAVAVKQHKLASSQGDREFCSEVEVLSCAQHRNVVMLIGFCIEDGQRLLVYEYICHGSLDSHLYGRHRDILEWSARQKIALGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAESGQITEKADVYSFGVVLVELVTGRKAVDLDRPRGQQCLTEWARPLLEEYAIDELVDPQLMDKYSEEEVYCMLQAASLCISRDPQSRPRMSQVIRILEGDTIMESSHMSTPGHDAHSRSGRMYLNHQQQQHEHFSPASLKDAFGSFSGKLSIDANIRPALRERDRGRRASCENEL >KZM89970 pep chromosome:ASM162521v1:6:9020342:9021478:1 gene:DCAR_022665 transcript:KZM89970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRISQEKSKFAQTCNLLSHYVKEKGRFLDLNIGIHGEVSPQNDVKPMNLFPQYASLQDAVRVTSSRVKTETGQKSGQMTIIYAGQVLVFDDFSAVKANEVMQLASKSAAPSDTNTGSVIASGASKLVENHSNSMPFISSIGSVSGSVEAQSEMKQQLQPIGLDLPIARRASLHRFLSKRKDRASSRGPYQLHKPSKESSKELFDLNL >KZM92788 pep chromosome:ASM162521v1:6:36310805:36312260:1 gene:DCAR_019847 transcript:KZM92788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEDIQPLAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVSIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYSLPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETAYNSIMKCDVDIRKELYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KZM91144 pep chromosome:ASM162521v1:6:22549025:22554013:1 gene:DCAR_021491 transcript:KZM91144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENPTGKGRRGPGPFDKVYIHVNVNVKVLLKWMNFHLKKAGYEKEDGAAYAHLLTALAPELGSKTVLATDDPTERANLIVEQAENMDCKRSLCNILCDQPHPDKLWGSLWGLRMKTARKLPRRGATMTLEMMGLINRQKKYHKYSSGVLFDTCREIEAPFLDVLAKVNAKQWAIGPFNPVEICKKSGDEQRHDCLKWLDNQARNSVIYVSFGTTTSLTDEQIYALAVGLENSGQKFVWVLQDADKGDIFTGKVRVCQLPKGYEERIGEKGQGIILRDWAPQLEILAHVSTGGFMSHCGWNSCMESITMGVPMATWPMHSDQPHNALLVTEVLKIGVVVKDWTCCDEVVESITIEKAVKELMASKGGEEIRRRAVELGTAVKGSVAKGGDSRRELDDFIGHICRFHHRSHL >KZM92750 pep chromosome:ASM162521v1:6:36016400:36019573:1 gene:DCAR_019885 transcript:KZM92750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYHDRNSFGLGGKMGSNGKRVEGSGDLILLRGRSREERLKNFIDSFTEEEKAEWDTDDEEDENGRHSMSFEEYVSHRLDMMESDGFDIQDYSKVADPGVLHQFYYPPEKPTDEECVQELKDCSYQAIAKFNSENSTRYGNVLLVKANTQVLCPYRYFITFKATNETNNQQETFQAKVNVCFPNLDKVVELVRIKTAPNPVYIARRSWTKLRVTSSRSPAVLTPSHRGRRVEALLVKTKPSPTLNFPRLSSSVVASVSILVLVVFHSKC >KZM91557 pep chromosome:ASM162521v1:6:26029261:26031734:-1 gene:DCAR_021078 transcript:KZM91557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEASVAVKTPENKEKQMLKKSTWGDFKAEAKQINMIFTPMLIVTTSQYMLRFVSTLMIGHVGKLQLSGAVVSMSFTNVTGFSFLSTFQEHFVNGPIGAGILWIFMEKLLVLMKQDPLISHEAGKYSIRMIPALFPYAILQPLVRYLQSQYLILPLLTSSVATLLFHVPVCWAFVFKFNMGSDGAAYAMGLSYWFNAIFLGLYAMYSPKCADTRAPLSWEIFGTIKDFFRFGIPSALMVCLEWWAYEIIILLAGVMKDPQLQTSVLVTVTALHYFAPFSLGVAASVRVSNELGAGNPKAVRTTVWVVMVLGVIEVSVAAVVLYSLRHVLGRAFVSDKDIIDYVRRMAPFICLTMILDSVQGILSGVARGTGWQRLGAYVNLGSYYLVGIPMALLLGFLVHMRAKGLWIGLVIGSLVQSILLAIITSFTNWKKEVEDTKERVLEMKASDETK >KZM90934 pep chromosome:ASM162521v1:6:20518569:20521690:1 gene:DCAR_021701 transcript:KZM90934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLIWNEQPGQEVIYQWVEWVQSSSLSYLGFDEEIILGPYEIIHTGDKRAISESVSPDVDIPSLKSYNDEQCHENFVKNFHECHICYSEYPGGDFIKLSRQHFFCWKCMETYVGITAREGTKTKLSCPEEKCEGVLPSGILRRLLGDEEFQRWETLVMQKTLASMSDVVYCPRCETTCCADEDNDAQCSKCFFSFCSLCSEKRHIGTSCMTPEMKLLVLQRREKEMINEILSVKEILRDAKQCPSCKMAISRTEGCNKMVCNNCGNYFCYRCNQKIDGYEHFKGECELFPQEMIQTWERANARMVEGQILADLFGGRGHPCPLCAQVNAKVGNNNHIFCWACGNHYCYLCKKIVKRGSNHYGPKGCKQHTEG >KZM90549 pep chromosome:ASM162521v1:6:16804558:16805271:-1 gene:DCAR_022086 transcript:KZM90549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQPKSSIYTQDSKSNGSSIGIKKPGTTFDWRPAIRDGIDQFPERDLWNPSVSTPRMSYKSATMNSTLPLKPDSWNMTQTGSLAPQSNSKYSFNSMTILKDDQVAPKTLTRSIKLPPIGSGVGTAASDIGKLTEKMQNTTLSTESRKTTDDGYTLWLPQMDGINMVLPSSSLETNRSSSSAATSTTDHKEKMTDEMLKTENYINSVLYGPRKSKRLPVFEEICPSSLFSDTEINPN >KZM92311 pep chromosome:ASM162521v1:6:32327250:32331581:-1 gene:DCAR_020324 transcript:KZM92311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSSVRRVFKPSSKDLPPDSRKENGNKSQQDVPEVVSFEHFPAESSPDTTNDDSNEDSFSPVTEDQHANAVAVATAAAAEAAVAAAQAAAKVVRLAGYGRNSKEERATIFIQSCYRGYLARRALRALKGLVRLQALVRGHNVRKQAHMTMRCMQALLQVQSRVRARRLQLAHEKFEERIKEEYKLAPKKDNHTQKTPERSFGSKACDYEDQTREKIKGSSMRKHDADLKRERALAYAFAYQQQKIAHSHWDLHGDEFAQLSNEHDKQQWGWNWLERWMASQPGHLGNLGPHDSSYTTLTTTDNMSEKTVEIEMAMPMASEHVPMGRLRGNTLELAQFSTRPNRQSGLDVVPSYMAPTESAKAKVRSQGPMTTKPRNSSANQWNTSTGRGPIFGLGNADSSSSGGGTAGYQVLKSPKSSLLQHGQAKWMTGYSPDSSGGDERFSHGWRHSMYSKMIFYACIAKGTTILAEFISKDEDLGALALKCLEKTPQFHSMYSHSVRKRIYMYLIEDPFVYFGIFDGNLEKPLGLDKNSVKKLSNLKPHCFQGDLYPVFHQLVAAPLGEESLDGGKLVVSDDSGSCSVRDNRVGLTPLLGGSEKNVMKKKRRFLGEASGCKEEVSKENKVDMSCDNGITSSREFSLISSHKNGLFVVDKAKRVWKKQLWIVLSVDLIVCCIMFAIWLWICRGFKCISE >KZM92473 pep chromosome:ASM162521v1:6:33651311:33655352:-1 gene:DCAR_020162 transcript:KZM92473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAQAQKNHQIVNFTLASLLFLGLLYVFVCNEANVNYLILPTPFQKPQVLASSSKSHAATFNVTPYEKDELLEEVLAETSTQNKTMIIAMVNKAYVEGDKPMLDIFLHGFWLGENTRNLVDHLLIVAADQTSYDRCKYLHLHCYKLKTDGVDFMGEKLYMSEDFINMMWRRTLFLTNVLKHGYNFIFTVDADVLWLRNPFPNLIQNQSIDIQISVDYFIGHPWSECRQRINTGFYMINSNHKTIALFDSWYAQKNKSEGLKEQDVLEKLMRRGLFRRLGIKARFLDTLYFSGFCQDSRDVRVVSTVHANCCRSIHAKVTDLTSVIHDWKRFFANQTVPFRWSPHNACMDSWRNR >KZM89423 pep chromosome:ASM162521v1:6:643100:646012:-1 gene:DCAR_023214 transcript:KZM89423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPSLMDTLFQRSLEDLIKGLRLHLPGTPTSNFISKNIEEIKKEIKSTDLNTKSIALQKLTYLHSLYGINMSNWASFHAIELTSSPFFGHKKVGYLAVSISFNSNTEVLLLLVNQLRKDLGSPCEFEVSLALETIGFVINQELAVNLIDNVFVLISSSKSFVRKKAIVTLLRVFEVYPDGIKVCFKRLVENLENGDVGCVSASVGVFCELAMREPRLCLPLAPEFYKILVDCRNNWVLIKVLKVFGKLAELEPRLGKKLVDPVCEHLRRTGAKSVVFECVRTIVSCLSEFDYALRLAVEKIREFLVDDDPNLKYLGLQGLSIVSRKCLWAVVENKDAVIKSLSDADVNIKSEALRLVMAMVSEDNVTEICKVLISYAIKSDPEFCNEILAAILSTCGSNVYELIIDFDWYISLLGEMARIQHCQKGEEIENQLIDIGMRVRDVRPELVRVGRDLLIDPALLGNPFIHRILSAAAWLCGEHVQFTKNPFEVMDALLQPRTNLLPASIRAVYIHSAFKVLSFCLHSYLLPNESASSWVDSDAGEPYLLSESEILETSNSSALNDDQIYEQRVLNLAEGASSIENVLDTNVAQEQSTLPLKKGLFTEESIKNLLSLVETALRPLSGSHEVEIQERVCNILGLIELMQGELPNSVIQGGENFEKGESKASDFIKLVHDAFSEDLGPISLSAQERVPIPDGLLLEENLNNLDKICGDIELPVSTSFSLGRPVSDKHDSVPLFNNHDKDESELSKESTSLLTEHRKRHGLYYLSSDKKEVVSDYYPLANEPETQGNANDVTEDLVKLTEQSFFPKKKPNHVKARPTVVKLDEADGRIPSVTKKLDSANTAISGAVQDVLLGNDTNPYSSQVKPSDKLSSNSKGKEKLHIGQSIELNEHTTVDKSELDSSRPKRNKKHKERKHRSSVKTAEDRDKNGLEDKQTSGHHHTRNKARHRADGDLKVVAQTPVIPDFLL >KZM90228 pep chromosome:ASM162521v1:6:12853048:12855334:1 gene:DCAR_022407 transcript:KZM90228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFSHEHELVLNETYIAKEGDVCRGCNDQIVSCKSFVYSCGRSSSITTASTSSTNASATVDSGCHFLLHKTCAELPRELDVKLLKSCDDFPKTLLPPRKFLYLLRSPILPYRNKNPLSQWSNMCALCGIKWKWFCYSVSRNIFEFFFFNESHFFVCIKCAIYLFQSVEDEKFHHPVHNHHPLARIQSPSSFKCHACRVEDDIKDLSYKCTKCPFWVHKSCADAPTSFPFQFHDKHPLHLTLTLPKVYHKYSQYCKLCHETLNRVNWLYYCPKCRFFVHFHCARSNRLLSSGENDTYPNLVHLPTTDEVSLVEHFVKAMNTLDHSSNSGIKDWAHDEHHLKLITFNELHDHEGIDELFCGGCVKPIRTDGELFYGCIICKYFLHKICAELPTEIEHHLWPGKKFFAFKCSQLADDLKCDVCGDFCNGIVFGDSSINSDSPKIKLHIRCALLPRMIKHEAHPHQLTQLETPYYCRCKACGQEYNEHKHGCKNCDFYVCGSCIMQARTVEHRWDPHPLQLIYDPSMVMDHEHEFNSVLSIQKDIPGTLMERGGSSNCCTSLALP >KZM92603 pep chromosome:ASM162521v1:6:34751118:34754140:1 gene:DCAR_020032 transcript:KZM92603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTAFAGPKLDTVFLGNAVASSSSSASPFNHQIDRVFCMPQKSRFSRNCNNLKCEQLQTTSNEKVIDDTSAISPSSSLSALEQLKSSAADRYTKERSSIVVIGLSIHTTPVEMREKLAIPEAEWPRAIGELCSLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGVPASDLCEHRFLLYNNDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVVGFGRNISGLFKHAITVGKRVRSELNIASGAVSVSSAAVELALMKLPKTSHTTARMLVVGAGKMGKLVIKHLVAKGCTKMVVVNRSEERVTAISEELKGIEIIYKPLTEMLASAAEADVIFTSTASETLLFRKEHVADLPPVGADVGSVRLFVDISVPRNVGSCIKELETARVYNVDDLKEVVAANKEDRHRKAMEAQSIIAEESKQFEAWRDSLETVPTIKKLRAYAERIRLAEVDKCMSRMGDEVSKKTKKAADDLSRGIVNKMLHGPMQHLRCDGSDSRTLSETLENMHALNRMFSLETEISVLEQKVRAKVEQQNQK >KZM89508 pep chromosome:ASM162521v1:6:1394442:1394711:1 gene:DCAR_023129 transcript:KZM89508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLYEIGERLGLRISLSRLFDARGRPPKEPFPVCSPAGTRQLAFAAGAAKAIHRQSTGLGLGPLCSALRANPFPKIYRSILPTSLAYV >KZM89866 pep chromosome:ASM162521v1:6:6407280:6407730:-1 gene:DCAR_022771 transcript:KZM89866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQKYTINLLITDENITKGSTVYDAKEIIQEVEKVETHDPLKVPDAEMKAAEMDEISMLHDTSPEGRATETPNTGKSTNMKTRARKEMDPLEFNAESLMEIKPVKNIKLEKVCNELYYVHYQVQI >KZM92289 pep chromosome:ASM162521v1:6:32134736:32167577:-1 gene:DCAR_020346 transcript:KZM92289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCYPVAASRHSRFSCVQPSNLVTYWHTWFPSIDRRHVRGAQDYNGSHDRRGAQRVMRAEEPSDCCPCGEILCFNNRSEVTIPIDDHNVMGSGGSDAAMAEPQLVGVRGPEGPRPPNASCTLFVDGLPQDCSRREVAHIFRPFLGYKGVSFVPRASRNSNGDPLVYCFVDFESPGYAASALDCLQGYEFDEQDQGDVRLRLGYAYFLECPGATPTLVGEKYYYEQDVRGAQDYNGSDGRRGAQRVMRAEDSLRASSDYCSCGEILCFNSRSEVTIPIDDHSIMGSGGSNPAMAELQLVGGSGPEGLLPPNASCTLFVDGLPQDCSPREVAHIFRPLRGYKGVRFVPRASRNSNDDPLVYCFVDFESPGYAANALYCLQGYEFDEQDQDDVRLRLGFAHFVQRPGATPTLVGEKYYYEQSHSNWRFSGVSQIKDKWREYRHPQKLRKVVSLFVSPTGEHVAITTANQITILQKDDDYRQPCGYYNCSSNVTFTCGAWSEAHDILGICDDTDTLYFIKVNGELISSITRRHLKLPSPIIDLIVLDDFDDKKSCLGVFRIFTSDGSYYDIDINKDPRVSISPACASMIDKRFPHYVSCMDYHSDLSLVALVCTGPSISLTSSTTGSYSLSLWRRTGNLNLEPVSSTQFEGLYSILKGYTGLLTYPKLLFSPSGKLVAALDLRGSLVTFKLKDENCSLSIVSCEESSNLQQSDNKSTERREWLNDIVDFTWWSDNILTIAKRGGTIIMFDIFSGIRLSKSDLVYSMPVLQRVQQFPRQIFLIESTARESDDSSQKKEPSSIHHMEQVIVDKYNQLDIAKLKWSLISFAGKSVPEMYDILIGGGKYQEALDFALHYGLDQDEVLKTEWLLSGQGIKEINKLLPNIKDQAFVLSECVDRVGQTEDSTRALLAYGLRLTEQYMFSDSEDDLSLQIWDYRLARLKVLQFRDKLETFLGINMGRFSMQDYMKFRTSPINESAVVLAESGKIGALNLLFKRHPYSLAPCMLEVLAAIPETIPVQTYGQLLPGLHPPSSISLREEDWVECEKMITSVGRILASHESSVNIKTEPIIKQTCGLLWPSSNELATWYRSRARAIDSLSGQLDNSLCLIDCACQKGISDLQQFREDMSYLHQLIYSVGTEDETNFTMDLITWEQLPSYEKFKIMLKGVKEENVVQVLLNKALPFMQFQTVIDTRAASFLVEWLTEMAVDTKLELCLMVIEEGCREVGRNGFFRNEVEVVDCALQCIYSCSNTDKWNTMNAIMSKLPKLQDSEVQGLKERLELAEGHIEAGRLLTFYQVPKPIGFLIQPHEDVKGVKQILRLILSKFVRRLPGRSDNEWANMWRDLQTLQEKAFPFLDLEYILMEFCRGLLKAGKFTLARNYLKGSGSVSLAADKAEYLVIQAARDYFFSASSLACSEIWKAKECLNILPSSRNVRAEADVIDAITIKLPNLGVSLLPMQFRQIKDPMEIIKLAITSQGGSYLNVEELVEVAKLLGLSSQDDISAVQEAIAREAAVAGDLQLSFDLCLALAKKGHGAVWDLCAALARGPVLDNMDVSSRKQLLGFALSHCDEESIGELLLAWKDLDIEGQCEKLMNLTGTGPPKFSTHGASVISYPPHSFQDIADLSDRSEQVDRVVNDDQVYFESIKNTLFVVAKDLTVDNAPDWDSLLRDNGKMFSFAALRLPWLLELSQFTVSGSISSKMYVNVRTQAVVAILSWLARNGIAPKDNLIASLAKSILTPPVTQREDILGCSFLLNLVDAFQGVEIIEEQVKRREDYNEISSIMNVGMIYSLLHNYGFECEDPAQRRELLLKKFQEKYTSLNSDEQEKIDKTQSSFWREWKEKLENQKRVADHTRVLEQIIPGVETERFLSGDIGYMESVVCSFVGSVRSEKKQILKDVLLLVSTYRLNETKVLQQYLASVLVSDVWAVDDIMAEISIFKGNVLACAAESIKTISLSVYPAINGHDKQRLACLYALLSDCYMQLGKDKDLLPVMDTKPPHIAAHALAHFYKVVEQECSRVSFIQGLNFKNIAGLQGLNLESFSSEVLSHIDIHTVEALAKMVQTLVDYCGDPESEVLLSWRDVYKHHVLSLLSELGLSAKSRIPFESPENLQHFINELESTYDMCRNYISALTCPAVLEIMKQYLDIILPFKNSLNIISCDSSWQDCLRIMLTFWLKLAGDMQEFVSQEGSENFHPRCLMVCVEGFLDLVVGKKVSPRQGWRTLVRYVEKGLTGSITIEISHFCQAMIFSGCKFEAIAHVYSHAVSQFPSNSTPISGSQKYCDETQDLRHLYMSIFEKILQDLSSGASLNHPDLPNLLSSLSEVKHEMEDLKMVRQAVWTSMAKFSDNLELPDHVRVYILELMQSISSRGRTLKAFSAEIQANIVPWEGWDGLQRTDADTETTVDHGVQNVNTEASSRFANTLVALKSSQLVSAISPSLQITPKDLVTVESAVSCFSILCEAAEVGSHCDCLIAILEEWEGLFPSGRAEANSTETTDAGNNWGSDDWDDGWESFQEPLDKELKENTLVVHPLHACWLEIFKKMVQLSRFRDLLMLIDRSLAKTTGILLDDNGTLHMSQTTAELDCFAALKMALLLPYDGTQLLCLDSVEDKLKQVGISDAISRDVEFLILALHSGIITKIITKTSYGTTFSYICYIVGNLSRQCQEDRLPSLKQRRVYEPESKEKYIMLLFGKLLFPCFITELVKADQQILAGILVTKFMHTNASLSLINVAEASLRKYLEMQQLQVLQDDASASADRDFCEPLANTVAQLKGRLGNLIQTALSMISTNVLQQYLASVLVSDVWAVDDIMAEISIFKGNVLACAAESIKTISLSVYPAINGHDKQRLACLYALLSDCYMQLGKDKDLLPVMDTKPPHIAAHALAHFYKVVEQECSRVSFIQGLNFKNIAGLQGLNLESFSSEVLSHIDIHTVEALAKMVQTLVDYCGDPESEVLLSWRDVYKHHVLSLLSELGLSAKSRIPFESPENLQHFINELESTYDMCRNYISALTCPAVLEIMKQYLDIILPFKNSLNIISCDSSWQDCLRIMLTFWLKLAGDMQEFVSQEGSENFHPRCLMVCVEGFLDLVVGKKVSPRQGWRTLVRYVEKGLTGSITIEISHFCQAMIFSGCKFEAIAHVYSHAVSQFPSNSTPISGSQKYCDETQDLRHLYMSIFEKILQDLSSGASLNHPDLPNLLSSLSEVKHEMEDLKMVRQAVWTSMAKFSDNLELPDHVRVYILELMQSISSRGRTLKAFSAEIQANIVPWEGWDGLQRTDADTETTVDHGVQNVNTEASSRFANTLVALKSSQLVSAISPSLQITPKDLVTVESAVSCFSILCEAAEVGSHCDCLIAILEEWEGLFPSGRAEANSTETTDAGNNWGSDDWDDGWESFQEPLDKELKENTLVVHPLHACWLEIFKKMVQLSRFRDLLMLIDRSLAKTTGILLDDNGTLHMSQTTAELDCFAALKMALLLPYDGTQLLCLDSVEDKLKQVGISDAISRDVEFLILALHSGIITKIITKTSYGTTFSYICYIVGNLSRQCQEDRLPSLKQRRVYEPESKEKYIMLLFGKLLFPCFITELVKADQQILAGILVTKFMHTNASLSLINVAEASLRKYLEMQQLQVLQDDASASADRDFCEPLANTVAQLKGRLGNLIQTALSMISTNVR >KZM91461 pep chromosome:ASM162521v1:6:25346622:25347119:-1 gene:DCAR_021174 transcript:KZM91461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNWNFRSHLAHEQNTIKRQPGSIRVGATGKVSTLMTHELDEVKGSSSHHVSRKRNTQNFPVTVYCGESRRQACYQSGNSTTSTGQRAETRQKQQHNMRHTGRDIPVFASEDASVHMKPVRDEKRKPQYIEVVDLKCGTSLKKAWSRHTTKNRSLKFSRLSVSN >KZM89819 pep chromosome:ASM162521v1:6:5398197:5399606:1 gene:DCAR_022818 transcript:KZM89819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENNAEYTALGHGLPPGSYTRDKISLLPLVFLIFYEVSGGPFGVEDSVRAAGPLLALVGFLAFPLVWSVPEALLTAELGTMFPENGGFVVWVSSALGPYWGFQLGWLKWLSGVIDNALYPVLFLDYLKSGIPALESGLPRAVAVLAFVVLLTYLNYRGLSIVGWAAIALGVFSILPFVLMGLISIPRLQPKRWFVVDLQRVDWSLYLNTLFWNLNYWDSISTLAGEVENPGQTLPRSLFYAVILVVFGYVFPLLIGTGAVPLNQELWTDGYFSDIAKFLGGVWLRTWVQGASAMSNVGMFVAEMSSDSFQLLGMAERGMLPEFFGKRSRYGTPIIGILSSASGVIFLSALSFQEIVAAENFLYCFGMLVEFVAFMKLRVNYPAATRPYKVPLGTTGAILMFIPPTLLIFVVLAVAPLKVMMISLSALVIGLVLQPCFIYSERKGWLTFSRSPDLLDLHDANFDFSRPS >KZM91439 pep chromosome:ASM162521v1:6:25208610:25212089:-1 gene:DCAR_021196 transcript:KZM91439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIVYPKLSGLPAESRLSHPIFLQSTLQKFEKIKPANAQDFTHTLTFSAHITVEKIQATTTEVFTTDQETPAPLRDEEKMLPNYVPIYVMLQLDIISSDSILQDKDGLERQLTQLKEASVDGVMVDVWWGLVESKGSKQYDWSAYKSLFQLVQQCGLKLQVVMSFHQCGGNVGDTVNIPLPNWVLDVGKTDPDIFYTNRAGNRNTEYLTLGVDNLPLFEGRTAVEIYSDFMKSFRDNMADYLDAELLTDIEVGLGPAGELRYPSYPENQGWVFPGIGEFQCYDKYLKADFKEAAIKEGHPEWELPDNAGDYNDKPGSTEFFGSAEYLTAKGKFFLTWYSNNLLKHGDQILDEANKAFLGCSVKLAAKVSGIHWWYKDDSHAAELTAGFYNLNDRDGYRPIARMLSRHYSVLNFTCLEMRNSEQPENAKSGPQELVQQVLSGGWTENIEVAGENALPRYDRSAYNQILLNCRPNGVSRDFPPKLRLTALTYLRLSDQLLQRKNFKLFKTFVKKLHADQDYCPDLRKYGKVVPLERSKPKVPTEDLLKASETIQPFPFDKETDMSVGGPIADYWDGLVEKIASIFI >KZM92619 pep chromosome:ASM162521v1:6:34900919:34901197:1 gene:DCAR_020016 transcript:KZM92619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDFCFSEEEANELCRTLEDNEEISRTASGMVDVPYHAMISYSVREETMEEDLTIFPQIFSRSGIGEKRADCKEAVVNMFFRKIIKEIVDRT >KZM91527 pep chromosome:ASM162521v1:6:25827132:25828491:1 gene:DCAR_021108 transcript:KZM91527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSIKSRITICTSIANSRGADPMGPAQQRPSGEIHVIVGPMFAGKTTALLRRVKSEGANGRKVALIKSSKDNRYAVDSVVTHDGIKYPCLALPNLQAFTQRLGSDAYNKLDVIGIDEAQFFDDLYEFCCKAADNDGKTIVIAGLDGDYLRRSFGSVLDIIPIADTVTKLTARCELCGKKAFFTLRKNGETQTELIAGADVYMPVCRQHYVSGQVIVEAAKAQSDHTLDPAAVKY >KZM92132 pep chromosome:ASM162521v1:6:30780058:30780687:1 gene:DCAR_020503 transcript:KZM92132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVVAVPGFELVQNMGLGEVIDDAPPPRPFKRKRVDTCDECGRNVVRHVPTRAISRDTGGSSSALINSFARQNFKGKTTGYETFAFYDDGALSRRAINILDSGALMKFAPFFADVELNLEAHVKNGFFVKDILHYACLGTLGLVEFMLVDSYIQDFNAVPDDSVLMPFDQVGINMGLDDAVVPALDEDGVTHEASAGIYLAGPSTTGL >KZM92187 pep chromosome:ASM162521v1:6:31322835:31323515:1 gene:DCAR_020448 transcript:KZM92187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPKKTDGSSSSTPQTAGAKRLRGPYRGARLRDGKWVAEIRIPKTKKRIWLGSHDTAEAAAQAYDDASFCIYGVEGPCNFPNNRRPQVASQLIGSKSTEEIRRIVEQSTSAGAAKVSDTKSPSKLSAACHLINRPVVQDQLDLSGTAAHKTCPEPVVTSNVSEVERHVTELDFTGNASEVEPYIPTFSPEEPPLPWENLPFDEAFIKESDDWILDFMAEPATQKD >KZM92510 pep chromosome:ASM162521v1:6:33963741:33970691:-1 gene:DCAR_020125 transcript:KZM92510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGQFDGSAAFAGGGFMPSQATQSNRESQQLLPLTVKQISLALLSSDEKSNFSIDGVEVNSVKLVGMVTNKAERVTDVSLEIDDGTGRIDCTKWVNESADMKEMEGITDGMYVCVHGHLKGFQGKKQLVIFCIRPLKDFNEITYHFVECAYVHHYNTKLRVLGGGPVAGHMPTPAASMPFQGHQATPSNQFPGVQYSSGDFGGVDKMVLEFLQQPSCVTLFAHYALSNFTYAQKLFTQIHKPTIFDYNNMIMGHSKTSNLEMGVSVYAQMRSLGISPNARTFPLLAKACENVHSLGQIYGQAVKFGLCGDVYVVSSFIHMYALIGEVGLAVRVFDGSLDRNVVCYTSLISGFCRNGYVDCAREVFDGMTERNDVCCSAMVSGYISNEKYSEGIGLFCELRECGNVRFNKSILMSVLSACAAVGTYEEGVRVHCYVRENYCEYELELGTALIDFYAKCGNVEVAVAIFKKMSCRDVTTWTSMILGLALNGKNDMALDLFREMERIGPKPNAVTFIGILSACNQKTPLTDAWRLFGRMCKVYGIAPLIEHYGCMVDLLARAGQIKKAEILIKSMPMEPDGVIWGSFLNGCLIHGNTELGLNAGKHVIELEPQHSGRYVLLANMYATLGNWESVTRLRKMMKVKQVVSKPGWSSIEIDGITNRFTVDDKSHSHAKDIYQLLSLLTRDLVSSNLESVDPD >KZM89538 pep chromosome:ASM162521v1:6:1752640:1752804:-1 gene:DCAR_023099 transcript:KZM89538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSRSGVSITFAKRQANKAAHLVARLPCLLGCQSIFTSPPDLLLEILLSDSSS >KZM89648 pep chromosome:ASM162521v1:6:2863601:2865799:1 gene:DCAR_022989 transcript:KZM89648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAAIASSRIPTTARLPSKTSHSYPTQCFSKRLDVAEFSGLRSSVSLTYSRSAREGSFFDVVAAQLTPKTTGTLAVKGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVIVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDNQTISVDGKLIKVVSNRDPLQLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVIGVNETEYDHDVANIVSNASCTTNCLAPFAKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVAKKGISAEDVNAAFRKAADGPMKGILAVCDEPLVSVDFRCSDVSTTIDSSLSMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGVATGGSGDPLEDYCKSNPAEEECKVFE >KZM89603 pep chromosome:ASM162521v1:6:2440938:2442045:1 gene:DCAR_023034 transcript:KZM89603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRCQDCGNQAKKECVYMRCRSCCRNRGFQCQTHVKSTWIPVSTRRPALPQPSSSPPPPTHHHHVYPAIKRYRDHHASSSAHHPLGYGRGEFPAETTFPATLRCVRVSSDDNAVDEYAYQTSVNIEGHIFKGILYDQGPVRQEASSSAAAIFQQPYLFTTASTQPFELVNPSTQFLPYPKP >KZM91756 pep chromosome:ASM162521v1:6:27622442:27623236:1 gene:DCAR_020879 transcript:KZM91756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVHHCREDAVVVDTPAPAAAPALGEPMDIMTALQLVLKKSLAHGGLARGLHEGAKVIEKHAAQLCVVAEDCNQPDYVKLVKALCADHNVSLISVPAAKTLGEWAGLCKIDSEGNARKVVGCSCVVVKDFGEESEGLNTVQAHVKSN >KZM92395 pep chromosome:ASM162521v1:6:33100948:33104125:1 gene:DCAR_020240 transcript:KZM92395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKVRWNEANLCEIEANKPVRQKIAEPKTPYHQMIDDDGSLSPTCSFEEGTANSVHGDEKGSALSDVASSRRYNSHRSGWTSSEDEADAMDEDGSSSEKRDSFGEHRRAHYDEFRKVKELRQKGSLHEQESDEDENCQLKNRKCDVSSSVKESNIAGCKDMPPT >KZM89367 pep chromosome:ASM162521v1:6:107191:111629:1 gene:DCAR_023270 transcript:KZM89367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIANSSINLNLPPPNEEDVIHRSGARKFEQDVQVQGQPTKSLIDLNILPESETNFEQEHHVFTQENESIENQANLNEFIFFEGFLFSIIALISLENCDLELYFAIHHNLKLITAVSN >KZM90471 pep chromosome:ASM162521v1:6:16060009:16063118:-1 gene:DCAR_022164 transcript:KZM90471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYLNIHHFTIPKIPSVTTTLSSVHFLDLCGSTKLSSRTFAKFDKFQGEAPLDNLEENSGSALDSVQQTVQEVDDEEEDDSCLPSDLEGAVRQSSEAAASFVSAGGMRATVELLIPQLQFLDDEGAQAELWQLSRIFVDTLMKETGSQNVKAIFPDAGAAALLKYQWKDAAFKFASLSDRKPVETEDEIIVMLVPDYQMLEYVQKIAYDLSDDSPRPLIMWNPRLVSEEVGIGVNARNIKRYFLSSFTTVYSMRPLASGAVFRCFPGSWKVFYDDKDRFGRYLLAKELESRPNSEDLEIIFGDVDEKTEEGPSLMSQAANVFSSMNRFMRFISK >KZM91975 pep chromosome:ASM162521v1:6:29515682:29516536:-1 gene:DCAR_020660 transcript:KZM91975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHFKTQEEDDRISHRVASADNPLDIQFSQLMDDFQLGLMAEPDEGEFLLAAEEVNVGVDIMIVNGDGVVMGGMPQQMEVFEAPYPEFHVQQHVDGIIVDNGGIACINDSTTVESNGEIYVPVQPLRMLTSDNVEIFSVLEVPVPVQPLRIFTPDNGEIPVIDVQPLRVFTPDNREIPVDDVPVPVQPLRIVTPDNREIPVDEPLGFSDQIIEGVQPLRIFTPDNREIPVTNAPLQPLEIPRPDYAEFLATYVPFVVQPLRSVEPEYEGNKQMDNGDEAGPSET >KZM91051 pep chromosome:ASM162521v1:6:21669218:21671191:1 gene:DCAR_021584 transcript:KZM91051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLQLLLLFLSFSPISTLDFIYNSFNATTNLLLIDDARVDSSVIRFTNDSNQFSIGRCFYPVPIRIKPGPNSSNISSFTTQFVFSVVPQIASSPGFGLAFVLANSTEPPGALSGQYFGVFNNDTVPTVGPLFAVEFDTGQNDEFNDPDGNHVGVDLNDVESVKTATGGYYDGNGGFVRVDMRNGRNIRAWIEFSGERFEINVTIAPVGMDKPVRPLLTYRDRKIERYLSREMYVGFSASKTTWVERQRVLAWSFSDSGVARDVNTTGLPVFDHDWGSSVSPTAASDVYSYGVVVLEVMTGRRPIEMQPESDNDILLVDLVKAHYEKNNILASADERIRGEYEVEAMEAILKIGLACCNPKPTLRPDMGQVVSLLVGEATPASPRMLLSELTTNKSYRNDDDDDGDMTTPLHLSV >KZM92316 pep chromosome:ASM162521v1:6:32399254:32400284:1 gene:DCAR_020319 transcript:KZM92316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSNKGASHGAPCVSGQSVSTSGSVGSPTTGSEAIGNTPPVIRLLLQLIIRSNKGASHGAPCVSGQSVSTSGSVGSPTTGSEAIGNTPPVIRLLLQLIM >KZM91504 pep chromosome:ASM162521v1:6:25668257:25670334:1 gene:DCAR_021131 transcript:KZM91504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLVFQIILGMFCFCGSADGTRTKLFGAKKGLSAASDDGICKSVVVPRGYVCQEHTVITQDGYILSMQNIPHGRSGGITGSRPPVLLQHGLLMDAVTWLLSPPDQSLALLLADNGFDVWLTSTRGTRYSRGHTSLSTNDAAYWDWSWDELVAYDLPATFQYVNSQTRQKLHYVGHSLGTLTALASFSKGQLVSMLRSAALLSPIAYIGQMTSPVATNAADNFLAEVMKWLGIHEFNPRGNAVLDLLKDICAKPGVDCTNLLTSFTGQNCCLNTSAVSFFLEHEPQSSSTKNMIHVAQMIRDGTIQMYDYGNADNNNQHYGQRTPPAYDMSRIPKDLPLFFAYGGADALSDVKDVKLLYDSLKDYDGDKLVFQYREDYAHADYVMAVNAKEAVYNPVIAFFRLQ >KZM91160 pep chromosome:ASM162521v1:6:22665049:22667957:-1 gene:DCAR_021475 transcript:KZM91160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSFGLHLWWKFLALSSLVVSTSALNTDGVLLLSLKYSILSDPLGVLAKWNFNDENPCSWGGVRCGANSSVIDLSLPNSQLLGSIPSNLGMFKNLQSLDLSNNSINGSIPSSMYNLTSLEKLDLSNNLISGELSELVGGLENLQVFNLSDNALAGYIPKNLTTLHNLTVVSLKSNYFSGSLPSGFNSVQILDLSSNLINGSLPSAFGSSSLQYFNLSYNRISGQIPVEFGRRFPENVTIDLSVNNLTGPIPESKVFNSQESKSFSGNYQLCGKPLKNNLCPIPSTMSAVPNVTEATSPPAIAAIPKTIPSSNNVPSKNGFRVGTILGIVVGDVLGVTILALISVYVYKVKKKRTEKRVRDEAKDFEWASSSEAKKEASSWGCFSKRRYDDSEESSVSSESSSLDEKKDSVKCDQAEVDRKRSSKNLVTVDGGEKELELEALLKASAYILGATGSSIMYKAVLEDGTMLAVRRIGESGLERFRDFENQVRVIAKLVHPNLVQIRGFYWGADEKLIIYDFVPNGSLANARYRKAGSSPCSLPWEVRLKIAKGMARGLAYIHEKKHVHGNLKPSNILLGADMEPKIGDFGLERLVVGDNSYKSSASARNFGSKRSTASRDSFQDTAGGATPSPSPSALGCSPYYAPESLRSLKPNQKWDVFSFGVVLLELLTGKVIVSDELGPTVVIGNATLADEERNKVLRMADVAIRGDMEGKEESLLALLRLGYSCISPVPQKRPAMKEALHGLEKFPLSTTSSAYLYGH >KZM92557 pep chromosome:ASM162521v1:6:34318595:34320966:-1 gene:DCAR_020078 transcript:KZM92557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFLLFQQLFLTALVTLCVSFLLTKFFLSDGDGGHVERGQKGDQESVRLSKVLKVGGAKSKRKKVRFVDDGGDQEVGKVGNFSGFEDHVVGKVGNFSGFEGSDEGSDHGVDKVGNFSGFGDHGVGKDGDFSGFEGFEGESSGGFEVKLRDDREVFDGVAERDVGSGEVGGVVEELGVDRRCEIEGEIGFSDFEKSVKVVGGERCDLVGMVTEDMGEGNGNEGNWEDRYSSEKKDGCEEVVVDECVGVAGDDGVDDQSRELEVRKKLVVGENSEGDLEVKDVVNVAFDEDICIEEADNEKKVESGHDDVLEDRSGEVRVLKDLDPVQSAVDGVTKQENAVKSVIDNVVTINKLENENTSVTLASGGVVMDQNEEVRVVEVSQGTGVVEMDIENSLRKGLVSDDDDDWEGIERSDLQKVFAAAANYVENAGKADKLLNLGNDEQMQLYALHKIALEGPCYEGQPMALKIAARAKWNAWQRLGNMSPEVAMEQYISLLSDKDPGWKEGQTSVDQPDLHEFANPGTPESLNKQI >KZM90526 pep chromosome:ASM162521v1:6:16571652:16571870:1 gene:DCAR_022109 transcript:KZM90526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGPDRILFRSGPKYPNGPNFQKIRTGPDRNYSVRSGFSVSVRFCSALVPSLTFFTKYGSNYIYLSLFKIS >KZM89495 pep chromosome:ASM162521v1:6:1259268:1264592:1 gene:DCAR_023142 transcript:KZM89495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEEKRHQMMQNLFGDQSEEEDDDEEVESEHESNRQPVDYASVVFALFGQNIDAFVAVVFRKALDEGDGGMEPEVEGEAEVEGQGEVEAEMESDGDLHDADPVHGESEAERDQSSQEVEVGDQREESEGKDFESDDQEDYRQRVVTSKRRNAIESGSERFEENRYAENEDEEVEQTKSQRSPGEDEDEAHISHTAPELRDVFGDSDDEEPEYAVENQIDHDSNRSPIDEEGSYEKGLRPEDMVADEEGQYESEEENIKAKHKERPVGPPLELGIPLRPPPARPEKMNIIKVSNIMGIDPKPFDPETYVEEDEFVTDESGSKKRIRLENNIVRWRNVKNPDGTITRESNARFIRWSDGSLQLQIGNEVLDISVQDARHDQAHLFLRHDKGILQSQGRLLQKMRFMPSSLTSKSHRMLTALVDSRHKKVYKVKNCVTDIDPEREKEQKERAESQTIRANELLSRKKEKDDEPDYYESRRSAPRRRFDDDMEMEDRAEKRILSAKKASNFSYSEKEESEYETDGEEEYRSPARRMTEDPELDDEEEEENYEEEAGADEASEEEV >KZM92364 pep chromosome:ASM162521v1:6:32841224:32843981:1 gene:DCAR_020271 transcript:KZM92364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKTKLDAQPELFIRIVPDKVNKTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDVDGERIXXXXPQGGSALEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEEEEVKKEEEGDVEEIDEDKEKKEPKKKKIKEVSHEWDLINKQKPIWLRKPEEISKDEYASFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKEEEKKKKEEKKKSFENLCKTVKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNVFAARIHRMLKLGLSIDEDEAGEDTDMPALEEDAGEESKMEEVD >KZM91815 pep chromosome:ASM162521v1:6:28027440:28029245:1 gene:DCAR_020820 transcript:KZM91815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYFGNAYRGDPGVPHADPERFMNIWIGSAAFNVCTFFNPYMWQLSNQFNWHDKAMQFEHYHWKKALEKKQPYQFKWNQHSKEFRDSYYYNWPVYFP >KZM91191 pep chromosome:ASM162521v1:6:22898689:22899210:1 gene:DCAR_021444 transcript:KZM91191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQMMHMRLLCPSPDRLVSTLVRTNYTLFSASKRKLSTSRNITAMAKNDTSSEDELGIAEKAGIAAGLVSNPVIAWSLFTLKTTGCGLPPGPGGSIGALEGVSYLAVVGIIGWSLYTKSKTGSGLPSGPFGLLGAVEGLSYLSLLGIIVVFGLQFVQQGSIPGPLPTEQCFG >KZM90072 pep chromosome:ASM162521v1:6:10305813:10309062:-1 gene:DCAR_022563 transcript:KZM90072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCVSKGKLVEGRSNGNRSGDGRHSQESQIIYTKSPGPGSQVPFKPPVSPRRVHRSDTILGKPYEDVKAYYTLGRELGRGQFGVTYFCTDNRTRLHYACKSISKRKLVSKSDKEDMRREVQIMQHLSGQANIVEFKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYSERAAASVCRAIVNVVHICHFMGVMHRDLKPENFLLSDKSENALLKTTDFGLSVFIDEGKVYRDIVGSAYYVAPEVLRRKYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAVLKGDIDFESQPWPKISNSAKDLVRKMLTQDPKKRITSAQVLDHPWLREGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSAEEIQGLKSMFTNMDTDNSGTITYEELKTGLARLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHRYKLDRDEHLYKAFQHFDTDNSGYITRDELEAAMQKYGMGDAATIKEIISEVDSDNDGKINYDEFCAMMRSGTTQQQGKLF >KZM92697 pep chromosome:ASM162521v1:6:35512561:35513053:-1 gene:DCAR_019938 transcript:KZM92697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLGLSLVVCVFRKKQKRRNQMRIIQEGRILYNFLLITPYLLRYWTPNAGRLFKRYMLLVLINQMASALFRCIVALGRSLISIGQEVDCLKDVCSFALLILFALCGSVLSRDKDYKFEIYLSQNLKQDLYPL >KZM90922 pep chromosome:ASM162521v1:6:20431095:20433102:-1 gene:DCAR_021713 transcript:KZM90922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPNKTEPELSFSIPVDSDHKATKLKLLSVSSPHMRAFHLAWLSLFACFFSTFSIPPLLPIIREELNLTQADIGHAGIASFVGSIFSRLAMGPVCDSFGPRIASATVSLVTAPAVLAVCFVSSPLSLIVLRFLIGFSLANFVSSQFWMSSMFSGYTVGVANGLVAGWANVGSGLTQLIMPLIVSLIAYLNVSSFPAWRVAFILPATLQAVTAIMVLTLGQDLPDGNYKQYSSRRTNKNKETVIKGLIHGLLNYRGWILALIYGLCFGVEMTIDNIIAEYFYDRFSLDLETAGAIAASFGLANIVSRPAGGLLSDLMGKMFGMRGRLWSLWLVQMVAGLLCVALGRANSLWGSILAMVGFSLFVQAASGLTFGVVPFVSNRSLGVISGMTGSGGTVGAVVTQLLLFSGSNKFPTQTGIFLMGLLMILSTLPLTLIYFPQWGGMFCGPSISSDHYYLIA >KZM90036 pep chromosome:ASM162521v1:6:9818813:9821122:-1 gene:DCAR_022599 transcript:KZM90036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVILAHEEDGVLIPHQIIPKELSEYTDEESEQMNLDDALQLILVESLDPVMYNAVVNCTNAKQIWDTLEIINEGSEEVRENKKEILMAQYEQFGSHPGEGISEVFIRLNNLINNLNLNGKFYDKKEVNMKFLLTLPEHLEHRITAIRESRDLNEISLERLYGVLKTYELEQVQSKQRYGWGKTQNHSRALVVESPVLEEKKKDVVVPSKTTQEFVVPEMGQTASSSGDEEFYTMEELEQLEDQSLSLFAKKFGNMRFRKNPSYKYKPTVSKFQKGGYSSSTSKGGYKTGMVDRSKFKCFNCGEPGHFATECKQPKVQGKRKDSYDELKQKYDALVRKHHGTSGSQSFKSKSYLAEGKSWDDTDSDEEEQLGNVAFMATTGSSSPPPAGSFQVDPTCPKLFMQLGLERDDAIKRMKAANLKIDTLVLEIHAYKMNEMKVLKPKIEQLTMDLGLQCAKVKVLEKGEIALRLQLDEEKVKCKAFKDASMIVKELNDKQEIKRTVGIGFDYNKSVGKASNITPFKKSAEERGIPFVLKDSLKPLFKTSEAEPLLETPVVIRYELKQEDLKMKESNEMRDEILTNLKPIKVKGNVRLPKAGLGVNSERTKFNKPNNFVNSKNKNNRCHSTENFKSDNKVRVESIDVPTTMTDTSVVPAFDACHKSCSVDNCMTCAFNLMSAYFKNLHAKNENTSPRQHTNNKHARSKTASPTHVRKETYVPKPKTKVYKAVVKEVSSVKSEPSISPRGSVVTPDRNQFFKFAGPNQVWVPKNV >KZM92588 pep chromosome:ASM162521v1:6:34635442:34636500:1 gene:DCAR_020047 transcript:KZM92588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLWSKLPEELLEHILSCLPLKTFLYLQSTCKHFKSLSVSPSFISKHFSSSSSSSTTSFLLLSHPQFTHQYFLYNSNLNSWRAQVLSLSSLLPASATLIASSKGMICFCNFGGNCFVVCNLLARDLRVVKFPKHPFEFECFSMVLGCDGYKLFVISQDSRCCVYVYDSIKQDWENYGSQFDVNYRTQGVCFNSRLYFTTRVPFGVTGFDFETGNWGNLRAVSPRELIFGRLVGNGDDKLCLFGGIGNNGIARSLKIWELSEGGASWVEIGSVPDMMCRKLVSVCFHNYEHLYVFWHQEMICVCCYSWPEVLYYKVSRRTWHWLPKCPSMPEKWSCGFKWFSFVPQLHPYV >KZM89803 pep chromosome:ASM162521v1:6:5138376:5142813:-1 gene:DCAR_022834 transcript:KZM89803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTRFLSYSLLSYNLRQVNPSQHQRWISSTSPLNQSWINKIKGVITGKKNDDPSQSVKAESFTLLRFADELRNARRLGTFKKYMVGRSSEATYADAFEKQEAIIRFLGVCDPTGENLLKSQKQAAAKHCNCTIADVENALSKFTWAKEAQKKIEKLKAEGKPLPTSMAEVQKLMGSTPLDLAQSNLAKSGAISRNALCPCGSKKRYKR >KZM89677 pep chromosome:ASM162521v1:6:3227996:3243983:-1 gene:DCAR_022960 transcript:KZM89677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASQWQPQEEGFREICGLVEQQISPSSDKSQIWQQLQHYSQFPDFNNYLAFILSRAQSKSVEVRQAAGLLLKNNLKNAYTAMPLANQEFIKSELLPCLGAQDRQIRSTTGTIISVLVQLGGVASWPELLNTLVKCLDSNDLNLMEGAMDALSKACLSPILQLRTFRLYRSSLNICEDIPQVLDSETPGSSERPINIFLPRLFQLFQSPHASLRKLSLASVNQYIMLMPSVLYISMDKFLQGLFVLANDPNAEVRKLVCAAFVQLIEVRPAFLEPHLRNVIEYMLQVNNDPDDEVSLEACEFWSAYCDAQLPPENIKEFLPRLIPVLLSNMAYDDDDESLAEAEEDGSLPDRDQDLKPRFHTSRLHGSEDAEDDDDDIVNIWNLRKCSAAALDIISNVFGDEILPTLMPYVQAKLSTSDDETWKEREAAVLALGAIAEGCINGLYPHLSQIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIVEGINHPEGHKQFEKVLVGLLRRILDNNKRVQEAACSAFATLEEEAAEELAPRLDIILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGVDLNQPMHLDVLMPPLIAKWQQLSDSDKDLFPLLECFTSIAQALGTGFSQFAQPVFQRCINIIQTQHLAKVCPIHLHPRLSEFLDIAAKQLVRQEISPVVVTVISLLVPILQHAEELNKSLIENSSITLGRLAWVCPELVSPHMEHFMQSWCLSLSMIRDDIEKEDAFRGLCAMVRANPPGALSSLPFMCKAIASWHEIMSEELRNEVCQVLLGYKQMLRNGAWEQCMSSLEPPVKEKLLKYQV >KZM91163 pep chromosome:ASM162521v1:6:22683479:22691067:1 gene:DCAR_021472 transcript:KZM91163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSMAPRSLTIITSLLLILIAILFSRQPVCCSVNNNDFILRRPDDGTNRHILFLPLTLSHPPANSSAARRHLHPNAHMRLHDDLLKNGYYTTRLFIGTPPQKFALIVDTGSTATYVPCSSCEQCGTHQDPRFQPELSTTYQPVKCNYDCTCDDDSGQCTYERQYAEMSSSSGVLGSDVISFGDQSELKPQRAVFGCETVETGDLYRQRADGIMGLGRGDLSIVDQLVDNGVISDSFSLCYGGMDVGGGAMVLGGVSPPNDMIFTYSNAVRSQYYNVELKEFHVAGKPLSLDPSVFDRKHGTVLDSGTTYTYLPEDAFVEFKKAIMKEVTSLKQISGPDPRYNDICFSGAGSDISELSKFFPTVDMVFENGQKYSLFPENYLFRHSKMHGGYCLGFFKNGDQSTLLGGIIVRNTFVTYDREHDRIGFWKTNCSELWERLNATGAHSPAASPAGPSTVDSPRSSAVLPPEANPAADSPYFHIEEPRIGRVTFSMSFNVTYTIIKPHIKELAHNVAEELELQASQVRIKNFSSEGNGSLIRLAISPAGSDDYMSNTTALSILSRFADHSITLPEIFGTYQLSSWAAELPLKRTWWQQHHVAVVVTIILALLLGLSVSGTWFIWRRRQQTITYRPVSSSAFSEQELQPIVS >KZM89628 pep chromosome:ASM162521v1:6:2689930:2693574:-1 gene:DCAR_023009 transcript:KZM89628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLSLKPWVLLSHSSRSLLKLSGSNKLFSNCVAIPVRKAYRGGNLVLKAQSQQDNNNNNNNASSDSNPPNGTLQKSRKEILLEYVKNVQPEFMEMFVKRAPPQVVEAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQDWPDYAPGTQKKVSGEVIKWNNVSGPETIDAAKYIELLEAEIEELNRQVGRKSANGQNELLEYLKSLEPQNLKDLTSTAGEDVVLAMNTFIKRLLAVSDPDKMKTSVTETSAPELAKLLYWLMVVGYGIRNIEVRFDMERVLGTPPKFAELPPVPSQLGSAIGNTLPNRNKNGFFNIYDHVKTFLTVTSVTETSAPELAKLLYWLMVVGYGIRNIEVRFDMERVLGTPPKFAELPPGENI >KZM90382 pep chromosome:ASM162521v1:6:14810258:14814219:1 gene:DCAR_022253 transcript:KZM90382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRESRGYGDESSSDPLLPGAVADESFSGEASATVVVVFSTLVAVSGSYVFGSAIGFSSPAQTGIMDDLGLTLAEYSLFGSIMTIGAMLGAVMSGKLADLFGRRGAMGFSEMFCLLGWAGILFSKGPWWLDCGRLSIGYGVGLLSYVFMICCGVSAMYLVGNFISWRILTILGIVPCVLHLLGLLFIPESPRWLSSLLSSCIFPPSALSIRIGEFEDIADIHLAKIGREKDSESALQRLRGKNADISAESAEIRDYIETLQQLSDTSFLDLFQRKYSHSLIVGVGLMVLQQLGGVNGITYYASAIFISAGFSSRFGTIGMVFVQISAAGTCLGCFFVGSSFLLQIFTGSFSLGMGGIPWVIMSEIFPINIKGPAGSLVTVVNWFGTWVISYAFNFLMDWSSEGTFFTFSVFCGLTIVFVAKLVPETKGRTLEEIQASLN >KZM90244 pep chromosome:ASM162521v1:6:13080581:13081623:1 gene:DCAR_022391 transcript:KZM90244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHLATSTQTELVHHTINILKSTNTFVLEEEPVYVDPKQYHGIIRRRQSRAKAELQKKVTKSRKPYLHESCYQHAMRRATGCGGRFLNTKKLDASVADSRSKELSFGRSVNSSVPKWFTTTCDENSNPKEKRSTFKEAFPSDDTNGCDLFSMYNFRSANSKRQVSFQQQRGHTVVNQVLYGA >KZM92422 pep chromosome:ASM162521v1:6:33277386:33279725:-1 gene:DCAR_020213 transcript:KZM92422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDEERWKKFKEDQRKRLRDIEEQSVPEMTDLEILAGKRRIKLQGGSGQDVFKSYSHLVGDHNPLTLVPVMSETSEKTASEISKKLDQHTQKPRARYKAQKKAAEKDDLNLDTSLSTSEALRKIEAEAREKRDERNKKRRAKYKAQKKAAENDLNLDTSLSNSEALRKIEAEAREKRDERNNKRREKYKAQKEACKKDGLNMDFEAREKRDQLNQKRRARYKAQVMPRKEKNVGTNDNRCIAMV >KZM89790 pep chromosome:ASM162521v1:6:4885460:4896456:1 gene:DCAR_022847 transcript:KZM89790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVRVIEARNIPAMDPNGYSDPFVRLKLGRQRFKTKVVKKSLTPSWCEEFSFKVEDLKEELVISVLDEDKYCKDDFVGLVKIPVSRVLDADSKSLGTAWYTLQPKNNKSKITDCGEILLTIRFLQNNPFTDEQDLDLAPSRNSTDTAYESPSRSFSTFSSPNRLEEVAPIKEEKSQIQNFVSRVAQLFNKNVEYNPTTSEITQMPEMLETAKTEIIEDSSAEPSSSPSFSDAMKSLELRYQEIDMPSNLSGGVIIDQLYALASPEMNSLLFSPDSDLLRSLADIQRNTDLQLRPWKFEDDGSLKRVISYTKAASKLIKALKATEEQTYLKADSKGFAVLSSASTPDAPYGSSFRVEVLYCITPGPELPSGEQSSRVVISWRMNFLQSTMMRGMIENGARQGVRESVEQHAALLAQNVRLVDPMDIASDKEQVLASLQVEPQSDWKLGIQYFANFTTISTIFMGFYLLLHLCLTTSNTVQGLEFVGLDLPDSVGEVIACAILVLQGQRVLALISRFMHARVKRADVWVPLQGKLAQTCQSKLHLRIFLNDTRGTNAVKEYLTKMEKEVGKKIKLRSPQTNSAFQKLFKLPSEEFLINDFTCQLKRKMPLQGRLFLSSRIIGFHADLFGRKTTFFLLWEDIETIQVVPPTLSSMGSPIIVITLRPGRGLDAQHGAKIQDSEGRLKFHFQSFVSFSVANRTIMALWKARALSPEQKVQIVEEENKASNLLVEEEPADKSLDASEEESDTRSLQSEESGSFLGFVDVDTPMSVVYSSVLSVPTAYVMELFSGSELDDRVMERAGCLNYSHTPWENDKLDVFMRQIYYKFNKGITRYRGEVTSTQQKTRLSDRQGWLIEEIMTLHGVPLGDYFNLNLRYQLEDLPTRSIGCNVQVYIGVAWLKSTKYKNRITKNILTTLQGRLTVMFSTVEKEFISGM >KZM90873 pep chromosome:ASM162521v1:6:20018581:20026713:-1 gene:DCAR_021762 transcript:KZM90873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKSDVVSKVAFESVGRLFQEFESKRMSRLAGDKLVDSENSVAIRSNWVSWMVDFVWRKRNALMARSVILPVENFRATVYPLVYGVKAVASGSLDVIRKLYKSSGTKNSEVKASEASNAERFLGVSDVVSHLAPFLNSSLDPALIFEVGLNMLYLADVPGGKPEWASASIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLSMQVSLFKRLLLMVKNLRAESDRMHALACICRTALCVDLFAKENVRRGQKPLQGTDIASLFEDVMIKDDLNNVSSKSLFREELVATLVESCFQLSLPLPEQKSSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCEGRTYAVDCYLKLLVRLCHIYDTRGGVKRVKDGASQDQILNETRLQNLQRELIQALREVNTPRVCARLIWVIAEHIDLEGLDPLLADDPEDPLNIIISNMHKVLFNLDSSAITSNRLQDVQAVLLCAQRLGSRNVRAGQLMIKELEEFRTDGVADSVNKHQSRLILQRIKYILNHPESKWAGVSEARGDYPFSHHKLTVQFYEPAAAQDRKLEGLVHKAILELWRPDPSELTLLLTKGIDSSLLKVPPSASTLSGSSDPCYVEAYHLTDSNDGRITLHLKVLNLTDIELNRVDIRVGLSGPLVFMDGSPQAVRQLRNLNSQDPVLCSVTLGVSHFERSALWVQVLYYPFYGTGAPGEYEGDYTEEDPQVMRQKRSLKTETGEPVILRCQPYKIPLTELLLPHNISPVEYFRLWPSLPAIVEYTGTYTYEGSGFKATAAQQYGSSPFLSGLKSLSSKPFHRVCSHIIRTVAGFQLCYAAKTWYGGFLGMMIFGASEVSTNVDLGDETTTMLCKFVVRASDASITKEIESDLQSWLDDLTDGGVEYMPEEEVKEVAAERLKVSMERIALLKAAKPPPKMPKSDDEEEEKTDDEEDSTEVKENGKENGKPKGPTTLFKLTAEEVESHALQAAVLQEWHMLCKDRTTKVN >KZM90418 pep chromosome:ASM162521v1:6:15760831:15761244:-1 gene:DCAR_022217 transcript:KZM90418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQWRTVMLLMIVLQTVHMID >KZM91377 pep chromosome:ASM162521v1:6:24635718:24638671:-1 gene:DCAR_021258 transcript:KZM91377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDSAVSWNFQGSNKVKESSTHSIARVVVEVMKNVTAGEKFIHLGIGDPSTIPCFRTAVAAEDAIIQALRTNTFNGYSPTSGLPLARSSIAEYLSRDLPDKLSADDIYLSVGARQAIEVVLTVLARPGANILLPRPWYPLYEAFVAFTGVEARHFDLLPEKGWEVDLNAIKNLADENTIAMVIINPGNPCGTVFTYEHLKNIAETAEKLGILVMSDESYGHLAYGSTPFTPMGVFGSVAPVITIGSLSKRWFVPGWRLGWIAISDPTCILQGSEIVEGIKSNIDISSDPTTFTQGAVPQILEKTDAEFYSKTTSILKEDAEICWDLLNHIPCIACPHKPNGAMFVMVKLDISQLEDISDDMDFCNMLAKEESVIVLPGFILGMKNWLRITFAQEPSVLKDGLGRMKAFCSRHGKKK >KZM92360 pep chromosome:ASM162521v1:6:32793592:32798254:-1 gene:DCAR_020275 transcript:KZM92360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLWRFTRPLMMMARVKETTGIVGLDVVPNAREVLIGLYSKTLKEIQAVPEDEGYRKAVESFTRHRLKVCQEENDWGAIEKRLGCGQVEELIEEAEDELKLIDKMIEWDPWGVPEDYECEVIENDAPVPRHVPLHRPGPLPEEFYKTLENVTTGTSCEECYNXRVKETTGIVGLDVVPNAREVLIGLYSKTLKEIQAVPEDEGYRKAVESFTRHRLKVCQEENDWGAIEKRLGCGQVEELIEEAEDELKLIDKMIEWDPWGVPEDYECEVIENDAPVPRHVPLHRPGPLPEEFYKTLENVTTGTLEDITIKKKDPSIA >KZM90039 pep chromosome:ASM162521v1:6:9902640:9903927:1 gene:DCAR_022596 transcript:KZM90039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVTTLIFVVIGVIACLCTRICCNRGPSTNLFHLTLVITATVCCWMMWAIVYLAQMNPLIVPILSEGE >KZM90396 pep chromosome:ASM162521v1:6:15034110:15034470:1 gene:DCAR_022239 transcript:KZM90396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM90958 pep chromosome:ASM162521v1:6:20838864:20841497:-1 gene:DCAR_021677 transcript:KZM90958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIFSTPASQLRQLRRQAANPLKSKTEPALARAAPWLYQILDPSSPIVARWNRFFLLTCLFSLFIDPLYFFLPYVGGPACMSTDVPAAITITYFRTMADLFFVLHIVMKFRMAFVAPSSRVFGRGELVMDSHQIAMRYLKSDFIIDLAASLPLPQASWTNLKIADHAHNTLVLILLIQYVPRLFVMFPLNQRIIKTTGVVANTAWAGAAYNLVLYMLASHVLGAAWYLMSIGRQHSCWRDECLAEQHRVPPCNFTFLDCNSLGQIQRAYWLNFTKVLSTCDANNLDSTFKFGMFSDAFTSEVAMSPMYEKYLYSLWWGLRNLSSYGQNLQTSTYIGETLFCILLSISGLILFAQLIGNMQSYLQSMSVRLEEWRIKKRDTEEWMRHRQLPPALQERVRRFVQYRWVATKGVDEEAILKSLPQDLRRDIQRHLCLKLVQRVPFFSQMDVQLLDAICERLVSSLSTQDSYIFQEGDPVNEMLFIIRGQLESSTTNGGRTGFFNSITLRPGDFCGEELLTWALLPNSSVNLPTSTRTVRTLTEVEAFALRAEDLKFVSNQFRHLHSKKLQHAFRYYSSQWRTWGACYMQAAWRRYKRRKLSKELALQENHYYIVPDGTGNAVVYDDGSGEHVLVESSDGTQHLGATIMASKFAANTRRGVGHKVVPVQVVGASSSSLKMPKLFKPDEPDFSLDREDV >KZM92620 pep chromosome:ASM162521v1:6:34903359:34904486:-1 gene:DCAR_020015 transcript:KZM92620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASFSEDILTEILKRLPVPSLIRLMLVQKSWYRLIQSPDFNKALSWYHQNNTPAYILFHTNYCNRPISLCVNDKQFNQYSSLPFPQDFKHAKVLGISNGLICLSHLSHNNPRPLRIFLWNPVIRKFKTSPRCPIPDPSSGGSFDATGLAFGYVHKMNDYKVINTVRPYDEIGRYISDKIVVLVYSLSTNSWKTSWKTVSKGMLPLSRNIDKPVIVNGVAYWNGTGGSIACFDIESEMMQEIMMPLKYRSLVNSITLVQNFSDLFLFGFDYVNGCPSFLDIWLLGDADVWTHKFRLDLENIEQDPVCFMSNHEIILKRCYPYGFKSYDIEKGEPTEIIDDLLDLCSALDIGPFTRGIGASPFVESLGLLGEESYT >KZM92497 pep chromosome:ASM162521v1:6:33853277:33858191:1 gene:DCAR_020138 transcript:KZM92497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLWETHHKPTSKTPKSDDPFEQLGPRSGFEKKELSFARPTEIPWQKKVSNLVKLIGCIQAPVRFHELPDGKTWAATVISQEKKGSVSLRIPLLFEGDLAHIAASHLKEKDYVYIDGQLSADSPPVALKCEQSSLQVVVHNVNFVEGSSWKKNFSDSKIMVPLESFERDDTLQKKVSRDDDYQREESVKDSTSRKKESVEEFKILDQSLLDLITNPQEWWDIRLKQANSSAAYEHKVDGKVVHINESTPDWIKKELESLPFDRKTEKKSSKGGRVSMNNSGELILNSWRDLLKNSKQWRDYRDNKLQGLVKPNYPDFKNKDSGAALWLDRAPDWVLPGLEGLEFDAPLQKGKKADDDSWKDLLENSEKWWDNRLNKKNPKAPDFKHKDLGTALWLSDTPAWALSKLPPQKV >KZM90613 pep chromosome:ASM162521v1:6:17451141:17452058:1 gene:DCAR_022022 transcript:KZM90613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIYHQDLIATVPNNHLPPLRLADDQEVSFMVNALRKVIFGGSATEMPPQFAFDNSPSSFSSSSSSSGYSSTFVAQLEPNTCQYCRISGCLGCDFFVPPTEVTQQNQIFQTQMQSEESNMYADVTPAPKSRSPVSSRSPVSVKKNNEASSSSNIGVVQKKKKKNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFETAEDAARAYDKAAIEFRGPRAKLNFSFADYTNNPIPNQQQQKTVSPPPRQLQEEDSRKFETEMEMGVNKEKEFWEVMADDEFKKWMMMMDYSNADNSSDSTSGFNVHSV >KZM92423 pep chromosome:ASM162521v1:6:33283582:33288314:1 gene:DCAR_020212 transcript:KZM92423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEILKKEKKKKMKDAMETDSPIENKSVKKEKKKKSKKSDSDSEEFVFDDSEEKSKKKEKKEKKRKVIEDEEEDRSDVSSGEEKSRKKVKKMKVEEEVKEENPNAVANFRISEPLRNKLRENGIEALFPIQAMTFDTILDGTDLVGRARTGQGKTLAFVLPILESLTNGALKTSRKTGYGRSPSVLVLLPTRELSTQVAADFEVYGGSLGLNSVCLYGGAPYNNQQIQLKRGVDIVVGTPGRIKDHIERGNVDFSSLKFRVLDEADEMLRMSFVEDIATRFLKPDKKTADLVGNEKMKASASVRHIVIPCSSSARVQLIPDIIRCYSSGGRTIIFTETKDDASQLAGILPGARALHGDIQQATREVTLKGFRSGKFMALVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGKTGVAVMLYDPRRSNFSRIERESGVKFEHLAAPQPTDIATAAGLEAVEAINEISDSVIPVFKSAAEELLSTSGLSPVELLAKALAKTTGYTEIKSRSLLTSMENFTTVLLEAGRPIYTPSVAFSILRQFLPEQKVDTVKGLALTADGRGAVFDVSAEDLDIFLAGQENAAGVSLTVVKELPELQEKDRSQRGGRFGGGRGGFGGGRFSGGRGGGFNDRRNDRFSRGRGGGRNSRW >KZM90615 pep chromosome:ASM162521v1:6:17482011:17483745:1 gene:DCAR_022020 transcript:KZM90615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYINFKNYGVTSQTEGSGSKQAENPSLARQSSVYSLTFEELQNTLDGVGKDFGSMNMDELLKSIWTAEGNQPVISSGDIRQGIPFPGGNLNKQGSLTLPRTLSQKTVDEVWKDLLKETDNMRDGNGGMNLSEREATLSEMTLEEFLSRAGVVGGTTHFGRSYNGSHGDITQQDNSNADLPFGFPQTEVSYESRSNNVIKNRNAVHNPYSSLGLNSGGITPQQQPRQQQQPLLPKQVTLAFASPLNEGNNAQLTSLGTRSPLVRRGDPFMDNSATQSCVRQSREIGIASLAPRVAIPVGYHKSQLTSNMNPDRNLDASSLSHSPYAYNEGTNGRRTGTFEKVVERRRKRMIKNRESAARSRARKQAYTLELEAEVAKLKEVNEEMQSKQEEFMEMQKNQILEKMNMPWGSKRLCLRRTLTGPW >KZM89868 pep chromosome:ASM162521v1:6:6438217:6439973:1 gene:DCAR_022769 transcript:KZM89868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTPGSSMHGVTGREPVLSFAVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKGDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFVIMLTAPTVFCMSFVSDASGYIAVRFMIGFSLATFVTCQYWMSTMFNGKIIGTVNGTAAGWGNMGGGATQLIMPVLYSVIQNVGATPFTAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLGALQKTGNVSKDKFSKVFWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFDLKLQTAGIIAATFGMANLVARPFGGYASDMAARRFGMRGRLWTLWILQTLGGIFCILLGRANSLPIAVTMMIIFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSSSISTATGLTYMGIMIVACTMPVTLVHFPQWGSMFLPPSRDIVKGSEENYYVSEWTEEEKQKGMHQGSVKFAENSRSERGRRVASAPTPPNATPDHV >KZM91093 pep chromosome:ASM162521v1:6:22052172:22053016:-1 gene:DCAR_021542 transcript:KZM91093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSGTNQACARCRYQRRKCTSECVLAPFFPADQPTIFQNAHKLFGVGNILKILKDLDETQKTEAMKSIIYEANIREKFPVHGCLGVVMQYQYQIQQMQEELQIVLSQLAFWKQRLPRDDPSQVLSGLSVTPVPQNAVDFYETQCINHVTLPIASDPLFFGSGNVSHDHVHGAGPYNGSYNVVDSLRIQTDDNQMVQNQLQALNVQQDVVDEDYEFIDAIDDRQSLVDSKETGQLSLDSRVKDSRSLLGTLV >KZM90692 pep chromosome:ASM162521v1:6:18205244:18206812:1 gene:DCAR_021943 transcript:KZM90692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLRSIETNQTLKLEIPNSSSLLDLKQALCLQINSSSDAIHLSLNRKEELLGESSQQTLQSLGVISGDLLFFSVNSSFSSDPTRPSSENIQDSVNFSVVESDRVDNMKDESVNLNTHEGNCVNLDGDEKLVDSETLGKLDDKNEGDLDSGDTRMGENAEFMEIDDEGLYFDVGKSLSVPGFLRKVFTEELGNDGGDHHKLLVIAVHAVLLESGFVCYDHVTNLVIKGFKFPEKWPSSAFVMSMRYTLPEIVTRDGFKVDGVKTVVLKFQSLGKFFKVYGSLSMGSGTHCVCINEDQLVPFLNLIWANCGSVSETNVNGGVLFTDPAREVFAFWRTVKDKLALPLLIDLCEKAGLELPPCFSRLPTDLKLQILACLPGVDVAKVGCTCSELRYLSSSDDLWKQKFVEQYGDAEPSEGEALWKEKFAKASEKRKRRRIAASTSLMRRDRHPFPGVIPGPFPGQFPGSFPGQFPGSFPGRIPGILGGDHDMLPASIFRPLLLPERGNPRFRNLLPHCNMGELG >KZM92797 pep chromosome:ASM162521v1:6:36379676:36384775:1 gene:DCAR_019838 transcript:KZM92797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARSLLLYFTLLVFFALGLQASAETDVLDSKALQDLYRTLNIPSQLKGWKTDGGDPCAESWTGVTCNGSSVIHLIIPGLQLGGNLGFQLSDLRNLKQLDISSNYIQGEIPYNLPANVTHLNLADNNFSQNMPYSLTNMKHLRHLNLSHNSLSGPVGNVFTGLTNLKEMDLSYNNFTGDLPSSFGDLKNLTRLFLESNGFTGSVIFLSNLPLSDLDIQDNHFSGVIPETFENIENLWIGGNRFHRGENYPPWFFPLDTTPNITSPPSTESSAVESHPSHHEHKHKKKGFGPGGIASIVCGVTLLAACAAFFAVARIQQRGRSLRRLESSPGSWQSLPVSTAREFSSTAPEESSELSAISSPPLIDLKYLPPLRARTVRTSRRSFSRKSRVPVGTKIYSVAELQAATDSFSEANLLGEGSLGSVYKAEFPGGQIFAVKNINTVALALHEEEKFLDVIWNVARLRHPNIVKLVGYCVEHKQHILVYEHVRNLSLDDALHCDSYMPLSWGLRLRVALGVARALNYLHSTCMPPIAHSNLKAANILLDEDLMPHICDTGLAVLKPLTSNKIKIKASEMAIGDTGYIAPEHIQSGIGNMKGDVYAFGVLLLELFTGRRPFDSSKPRGEQSLVSWASSQLHDNESLEEMVDPAIRRTIPAKALSRFADIISLCIQAQKEFRPPMTEIQEGLMCILQEHRVVRGHTAAADGTEADPLDRSFRSSNSRFFASPTLSYLSI >KZM90779 pep chromosome:ASM162521v1:6:19124926:19125324:1 gene:DCAR_021856 transcript:KZM90779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHTRYVLSLIIICVLVITPKSQATLQLLGNCLSTIGDIPGCAEEVITSILTIQIRIGPQCCRAVLDVEDNCWGQVFPLITSTFPLSIRRYCTRPRFHQLNGQLSAEYSAFSPAPTPEIEDAPPMSNGMDA >KZM92428 pep chromosome:ASM162521v1:6:33316021:33320095:-1 gene:DCAR_020207 transcript:KZM92428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGIEVKPGKPYIHKYDDEQGRLHVSQATLGDYSSTKRILLQCTAGDKSPVYLCSLLPGKNETCSLNIEFEEEDEVTLSVVGPHSVHLSGYFYGQSEDQCGHDHGIDGYGEDIDIGSSDSEYEESSDYDLEDDEDDSIDEDMDFFPPATVPKSGVRIEEIIDDEEPVKENNGSRLKKKKSKSVGSDDNDNSQKQIAVRSGIDSSVMESEDEDGFPISTPGTKDTSVQNTGEKKVADKATEKKGKDNDASIKSIKRKIQAVAEDVNQLREPGQSLGGSADHEIDDKQARMNKKKKTRGTEEKVQESVIKSDIAVLPAEVPTTNVNQEAANENKSEVPTSKREKKKKKKGKKQDSVAEANGLENLSENNASNMNIKEKNEIKPLQERTFPNGLVIRELGMGKPDGRKATPGKKVSVHYTGKLKKNGKIFDSNVGRAPFKFRLGIGQVIKGWDVGVNGMRIGDKRRLTIPPAMGYGSKGAGGSIPPNSWLVFDVELVDVN >KZM89740 pep chromosome:ASM162521v1:6:4047681:4049942:-1 gene:DCAR_022897 transcript:KZM89740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQALEKVVPFLNHDVISSVLENNTDPRLTFRFFIWACRRKQFCRLNSHNFVVGMLVNNANAFDLYWKVLEELNSCNVLIHSSAFTVLIDGYWKVGKADMAVEAFGRMNDFGVKPDLFTYNMLLHILVKKDVILLGLAVYNMMLKSNIDPNCSTFSILIDGLCKSGSTKEALKLFDEMTERGIVPDEITHTVIISGLCLAGRADDGLRLFNTMKVSGCVPVSATYNTLLNGFCKLGRIDEAVLLLEGFFKEGYVLDLNGYSCLIDGLVRARRYKEACGLFLNVLRVNIEPDVVLYTIMIRGLCEEGRVMEAMALVEKMRERGVTPGVQCYNALIKGLCGKNLMDEARSLLDDVYMVDQFPDTHTCTILICGMCRNSLVKEAYDLYESMEIRGCYPSLVTFNALIDGLCKAGELEKAQLLFYKMEIGRNPSLFLRLSQGANQIPDSESLQELVSKLCEVGKVHKAYKLLMKLSDSGVLPDIVTYNILINGFCKTKNINSALKLFKELQFKGHSPDTVTYGTLIDGLQRVDREKDSFALVDLMTSNGCAPNASVYKTLMTWSFRRRKFYLAFTFWFRYLKSLYGQEEEIASIEVMFSNGNFEEAVRSLLKIEFKSREFDSAFYTIFLIGLCQWQKAEDALKIFSILVEHGVNLTPASCVMLINSLCKEGMLDQAVNIFVYSMEKGFMLGSWICSKLIRSLLKLDGKREHAFDLVGRMKCVGYDLDTHLTRNTKGFLLRISYMQQNETVIDACTVR >KZM89432 pep chromosome:ASM162521v1:6:695985:702250:-1 gene:DCAR_023205 transcript:KZM89432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDVSGSASPRYEKQREALIEWLNCTLSDVNLPVHSSDDELRAVLLDGSVLCRILNKLRPGSVIELSGVDHASKMGIENVKKFLMAMDQMELPRFQVDDLEKGSLKTVVDCLFSLRAHFIANAGGYDLFTTGKAYPSGTCTSIRSKPSVHQYGHGDSSQYGENYSSAKLGEQKRKSASNSQELNSVMPHPSTTLPHHAGHKFHEVFQHRNGHLADLPPATLSEIIKSARLDNAPTQSLLSLMNGIIDESIERKNSELPGRVACLLRKVVQEIERRISTQADHIRTQNNMFKAREEKYESRIRVLEALASGTCQEAQVDKISVGESDKDEEQDVERLIKQTNNNHNEIATLKHELGIAKKNYEQLRAQIKTETKETNKFTVLKQELEALKKKYAELSLQVNVEAKGANEILCLKQDLKITKKDHELHCLRMEAEAIGVQQELAKRLEQVTQKFEDSKKRVKDLEAYAESRNEQLNKKENICKTLIEFQLGALRELKSSSQAIRHQIATIPESYSEDFNHLGAKIEVLEKVANNYNAVLDENRMLHNEVQDLKGSIRVYCRIRPFMPGQMGMQSIVEYVGENGELIVVDRTKQGKEGPRSFKFNKVYGPTTTQVDVYSDTRPLIRSVLDGYNVCIFAYGQTGSGKTYTMIGPDGASEEDWGKSNLSIKDEILGFLDSQTLGILTASQPNGLAVPDASIQPVQSTSDVLDLMELGLKNRAKSATSMNARSSRSHSILTIHARGTDVKTGSVLRGSLHLVDLAGSERIDRSEATGDALKEAQHINKSLSALGDVISSLSQKSAHIPYRNSKLTQVLQSSLGGHAKTLMFIQLNPDLTSFSESLSTLKFAERVSGVELGAARNNKEGRDFRELMEQVTSLKDAIAKKDEKIEQLQLLKDQKNSSQGVNGEKSTTALAKYGSVPSVQKSTSATPLRSSKVTSGKSFRSAFIQGSSPDNITKNSSVNPQGSLDNKRPKASLRHSRSAGESRTKNSSFSPERSLDNKNQKALLRHSRSVGEMTDQNLSIDVKGIQISDSEGEGGFSDGSVVRKIRRAPDRAMRPKSSNRIPRSIPKPTTAKPSNDAAKKSIGQIKSPSGNSLGYKSTRT >KZM89698 pep chromosome:ASM162521v1:6:3479971:3492846:1 gene:DCAR_022939 transcript:KZM89698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSESIAPCLSVSEDNAAATKSSASSSPWMKPVNGVVEPVMGRDSWPTITESTRYPLLVKSSSDPPDAAAAAASSLSVSVSKGSVKSNAHQKADTNGSPNSSNHNAHPHPVRQRPSKRGGGAAGGSVQSGFSRPIPPSPTPPPFPIPFGLLPPMMDLQVREPPFKGNTWESRPVGGVGSQSNNGNDHSSQRHPSRRGNYGSRPRGDGMHYNGHGGRRDQDRNWNPPRNSTARDVHMHQMAPPPPPPPRFMRPGLPGSTPFIPLPHVYGNPMPFEFVRPILYGPPLPPESLRGVPLIPSQVPPPVVFPFVDPNLPSLLVNQIDYYFSDDNLVKDEFLRSKMDEQGWVNISVIANFRRVLSLTSNVQLILDALGTSTVVEVQGDKIRRRDNWKKWISPSRQVDPDSALSSPIQLIDKVLETSIQNITLDESDSSKLRTVETGDAHLEVFPGKISGEATSSQTKVGNGVDTAGEV >KZM92587 pep chromosome:ASM162521v1:6:34626695:34629402:-1 gene:DCAR_020048 transcript:KZM92587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCACSGEQFKFEEAVPQSPESLATRDFSASGLSSRTGTVDWELSRLEEAQVDEAESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFNGIDIKTLSPSMTKAIAERTRQQPRSRSKSDNVQPSVMSLHSVSLLLEAILLKAKSLNELGKVKEAARECKIILDIVDSALPGGMPEGFNEDCKLQEMLHKALELLPKLWIDAGFLDEAIIAYRRTLVKPWNLDQHKLANVQKNLAAMLLYGGVEASLPPQLKIWNPSTPSNNTEEAILLLYILMKKLMYCEIMWDPEIIDHLSFALSMCGQFESLAGHFEQVLPGTFTRAERWFFLALCYSAAGQNEAAINLSKKIAGSSEAKHKTHVPSLLFGAKLCSQNPEHALEGIELVRKVIKLANHENEHFKYLAYRFLGVCYGNAARTSVSDSERFFYHGEAISSLNLAAASHSEDPEVRFTLGLENAMQRNITAAFDNAMIYSDMVAGSSGKGWKLLALVVSAEQRYSEAETIADLAFDETEKIDQVELLRLKAVLQIAQEQPKQAIETYRILLSLIRAQGEPQQKDLKSQVSSERKLETETWLDLAKIYADLDSWRDAEICIGKAKSIDFYFPRCWHTTGAILESRALYKEALVAFSISLSIEPDYVPSIVSTAQVMMRLGSKTLPIARSLLMSALRLEPTNDEAWLQLGLICKREGSLQQAADCFQAAHELKLSAPVQSFV >KZM92595 pep chromosome:ASM162521v1:6:34687247:34688154:1 gene:DCAR_020040 transcript:KZM92595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNSGRCAACKYLRRRCPRDCIFSPYFPPTNPHRFSCVHRIFGASNVGKMLQQLPVELRGEAAETLYYEAQCRMENPVYGCVGIITQLHQQINEAERELARAQAQIAFHRHASNAHRPLPQQDQLEVEPSAPEAVTDSSWLDQNEFDVSALMSPLDPAWFL >KZM91068 pep chromosome:ASM162521v1:6:21791883:21792068:1 gene:DCAR_021567 transcript:KZM91068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKGVETREEQKKSALIWIVEPRGTRNERQMERGIQKGPKLTTPFCVFSNSQCPVRYACP >KZM89381 pep chromosome:ASM162521v1:6:273004:274338:-1 gene:DCAR_023256 transcript:KZM89381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIHHYAVIFLCLAAAIFSVSSAATFKKPKPTAFLFPIRKDTKTLQYYTTLDISSRENSVQLVLDLGGQHTWFTCNAEVLASFKAVDCHSRKCKDYKSTSCMRCGSDPAVNVGCTDDACAVDYRNPFNTREIGRGLGEDALFVDSTNGLSVGFNYQSPKPFPFSCLESDEYLIGGLSNETKGMIGLMNTTTSLHAQLSTQFKIPHKFALCLPSTSDFILGHMFIGGKPYFYPPYNKDIGRELITAKLVRYPVSTANVFSVGDPLDEYFIDVKSISVDHKIVAFNASLLSINEEGTGGTTLSAVTPYTTLVTPIYESLLSAFTKAAALRKMKKVSAVAPFGACYKAKSVAKSQTGPVVPYIDIGLTGGKQHWRFYGANSMVLVKKDVLCLAFVDGGSSVRTSVVIGGHQMENYLIEFDLVTPKVGISTSLLFRNSTCSQTRLL >KZM91384 pep chromosome:ASM162521v1:6:24687978:24693207:-1 gene:DCAR_021251 transcript:KZM91384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSLYNPASSAPKMERKYIEKNRRCQMKTLYFKLGSLLPRQHCKEGSALPDKIDEAVKYIQSLQLELEKLKDNKDKLMSRKREHSCITDDTMSPIKKSRSPHLEIQEMGPDMDMVLVNGYDSFINFNEIIHVLHQQGAEVVQASFSSTGNSVFHILHPKAVPSFSSSTSMECLADMARAKGTKPISIKACSEPTNHIRSSENRNIYEKLGQQEREITDKLQTLKLNQAKLHKKRKSISWYGHGYYPSSTKEDIDRKLWVIRDLKQSLPEPTDSKKPGHRKNTHLCSPEEILLNEQLVKLHKGRLHGSMNLVEERLLLQKIEKAREEKEKICSDAVPAKQAPYIFMYLHNYKRLMNTSRGYTNQYSEEAIRHCIKVLSNQVEELRMKKMRYEAYRNRLVLEQAATEEEIRIFERKLENIKQKKVELASKSQAI >KZM89536 pep chromosome:ASM162521v1:6:1741771:1743312:-1 gene:DCAR_023101 transcript:KZM89536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQKRLCGVETWTKLPSSTQLELQKKVPPDQHSFINFSSQGNVQKWNDPSIIDYSTRIGEQFPEFVQPSQTQCTYPCNNDNQMNILGQLDGQMNGIRDAIRNCDWDPRVMLTNLSIMEQKIHQLQDLVHIIFGRRTEAMNGSEALAAQQQQLINADLTSIIVQLISTAGSLLPSSKNTFSSGNPFGNGLGQPGGIGYPSGPSGTVNNHVNKVEDHSDQIDLIVNNVEDHDLKDEEEVDEGEHLPPGSYEILQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEMSSEPSLVKRYSCPYIGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHITLFQGHTPAIPLDENKGMVELSDHGEANETPSKVARTDFDFSPAAFLNIMDAKGTADEDTRIFSPLDFDISSLSGFSDFPRPPFEDSDNSLSFLIPSACNYPRGTERNPGSNNLK >KZM90426 pep chromosome:ASM162521v1:6:15776607:15777020:-1 gene:DCAR_022209 transcript:KZM90426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQGRTVMLLMIVLQTMHMID >KZM89457 pep chromosome:ASM162521v1:6:912554:912958:1 gene:DCAR_023180 transcript:KZM89457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPMPKKISPAPEKLAAGFLTSVVSLWLICSKHATRTSKKIAPKKVMARLSHKAIQFRQRKSGGEAKTGGEDGGVWRRAILMGDKCEPLDYSGVIYYDSEGNRLSEVPVRSPRASPLPSYAYKSEKEKSRLGW >KZM92403 pep chromosome:ASM162521v1:6:33158106:33159328:-1 gene:DCAR_020232 transcript:KZM92403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTEQCRFSGAKIYPGRGIRFVRADSQVFLFVNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDSAQEVVKKRRRATKKPYSRSIVGATLEVIQKRRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTAKKSQGKANVPKTGKGPKLGGGGGKR >KZM92089 pep chromosome:ASM162521v1:6:30436347:30437237:-1 gene:DCAR_020546 transcript:KZM92089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELFIKQAKQYLATRPNYPQQLFQFIASKTPSHDLVWDVGTGSGQAATSLAEIYKKVIGTDTSPKQIELAPKLPNVEYKCTPADLSVAYLDSHIAAKSTVDLVTVAQALHWFDNLGFYQQVKYVLKKPHGVIAAWCYTVPQVNEAVDAVFKPYYAVDVEPYWDPARKKVDNEYRSIEFPFEPVDGLDDTGPFQFKTESLMSLDEYFMYLRSWSSYQTAKDKGVELLNDRVLEEFTRAWNQDGTESKIVVFPVHLRIGKVGSLDS >KZM92487 pep chromosome:ASM162521v1:6:33754044:33760890:-1 gene:DCAR_020148 transcript:KZM92487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRSSLSSSTEAALSLTSDHGEQELIRDWTAGAGSLQESPGCKHTRGGLKRSVQDMEREENCTGFINYLSSSSQHSTVGTMSETSLITFVYKRRKLRDGSSYYFPDQSSEKEKPGRSFFAEISCEVPSVSGIEHKTSMLEEETEADRAPVVLSMECSREPLLSKSTAEGQCSQAEELGSIENPKSDKLKNVEACHVNDRCSSSQLKTDLCATMSEPKVADTGECSSSDALVMDGLQDTISEKDVIASMCKVLGIDKAVWPNKSRASSKGLDINSTCICSLSCKVCDQSEMTLKMVICDQCEEASHLSCCNPPIKKIPRNQWFCHSCLKKRYKALKEISRESHKRKTDMSRYRNGTSRSALGPIARMLKDNEPYTTSVRVGPEFQAEVPDCCGPVAKEIDNIGNISQQLENYPSVGGSSQEGNLGKNRHISICNWLQCRQVKDGEDVAGTVCGKWRRAPLFEPQTDNWECFDSFLWDPTHADCAVPQELDTEQVMKDLKYIEMLRPRLAAKKHKVDRTKSSNRRGPMKVLGNVWSNSSNNSFSISQLAIQHPLWPVRPLYGIHHLAISV >KZM91296 pep chromosome:ASM162521v1:6:23904279:23907702:-1 gene:DCAR_021339 transcript:KZM91296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDKHVNARVRAGLEEEWCSGFERCEDTAVWESNSTSTAARKAELFNTGVSEPCKNQCFAIGKMICRCWLLLVFNGRHFEKVDVALSDGPWIMDDSLFIHPTQPLPVDRSFQLRPHSNLFKIVPDDKVIALSRTKMNPHFFDIAVGHFSMKHPSINCNELFCVKGIDGNKYNGGPVINFSGEVIGIMSHGSSFLPSNIVSRWWKHYRTYRSDSVHD >KZM89424 pep chromosome:ASM162521v1:6:649741:650502:1 gene:DCAR_023213 transcript:KZM89424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSGAIEQKFIKFFTNAETNSDELALYEDFALKGGEMLVSEFNRSTDFNVYVIGTNLTEIRYPNIVHCMQKKRPRVVSMRNGGLKFVTFVKEDSPLAEDYKAPASFKRACPLVRG >KZM92145 pep chromosome:ASM162521v1:6:30906277:30921009:-1 gene:DCAR_020490 transcript:KZM92145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKEGAVEILKPRTDKREYRNILLDNSLQVLLISDPETDKCAASMNVCVGSFSDPKGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSTNAFTSSEQTNFYFDVNADCFEEALDRFAQFFIKPLMSADATTREIKAVDSENQKNLLSDAWRINQLQKHLSAEDHPYHKFSTGNWDTLEVRPKSESVDTRQELLKFYEQNYSSNLMCLVVYGKESLDKIESQVQKKFQDISNRDRSSLHFPGQPCMSEHLQILVKAVPIKQGHKLRIVWPVTPGILHYREGPSRYLGHLIGHEGEGSLFYVLKKLGWATSLSAGESEWSREFSFFTVNIELTDAGHEHFEDTVALLFKYIRVLQQSGVCKWIFDELSAICETAFHYQDKIRPMDYVVNVASNMQLYPTRDWLVGSSLPSMFNPDIIQSMLNELSPDSARIFWSSIKFEGQTDKTEPWYGTAYSVEKITRSVVEQWIKRAPDEHLHLPTQNVFIPTDLALKSGPEEAKLPVLLRKSPYSRLWYKSDSTFFTPKAYVKIDFNCPFAGNSPEAEVLTDIFTRLVTDYLNEYAYYAQVAGLYYGVNHTDNGFQVTVVGYNHKLKILLETVIEKIANFEVKPDRFLVIKESITKDYQNLKFQQPYQQAMYYCSLILQDQTWPWSDQLEALPHLDADHLAKFYPLMISRTFIECYVAGNLEPNEAESMIQYVENVLYKGPNPKSKALFPSQHLTNRVVKLDRGKNYFYTTEGLNPSDENSSLVHYIQVHQDDFMLNVKLQLFALVAKQPAFHQLRSVEQLGYITVLMQRNDFGVRGVQFIIQSTAMGPRNIDLRVESFLKMFETKLHEMSNEEFKSNVNALIEMKLEKHKNLREESGFYWREVSDGTLKFDRRECEVAALKQVTQKDLIDFFNEHIKVGAPQKKSLSVRVYGSSHSSEYKEDKKETLELNYVQIEDIFSFRRSQPLYPSFKGGIGHVKL >KZM92173 pep chromosome:ASM162521v1:6:31178334:31179398:1 gene:DCAR_020462 transcript:KZM92173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHAAEELALEMISEGHLLDYSTFSALFLCYAKNDLLPQAQATWDEILYSSYVPNIQMISALVDAYGRAGLFNKVTELLHQVSYKNPKLLSETYALAISCFGKAGQLNLMESAMTDLISKGFKVDSKTGNAFIVYYSTYGTLTEMEDAYGRLKRSRILIEKEGIQAISYAYIKNNKFYNLGEFIRDVGLGRRNVGNLLWNLLLLSYAANFKMKSLQREFSRMIESGFCPDLTTFNIRALAFSKMSLFWDLHVTLKHMKHYAVVPDLVTYGSVVDAYMDRRLGRNLEFALSNMRTEDAPVVSTDKIVFEVLGKGDFHSSSEVLLEFNMDKYWTYKGLIKRYLKRQHRSNQVFWNY >KZM89468 pep chromosome:ASM162521v1:6:985099:987451:1 gene:DCAR_023169 transcript:KZM89468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLLDPNELSEDGTLALSVYAVSKDAKYLAYALNSSGSDWDEKPCAYYMRTGAYKFGIACIFHHPQPDPAVSVTGPFHYRSTDSSGYLMEVDFLHGRFPKQHTCMLLIQEVRRPTCQLFILPLKGCYLHQNGTLTWIMSLLK >KZM90567 pep chromosome:ASM162521v1:6:16919700:16941954:1 gene:DCAR_022068 transcript:KZM90567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDYKLTRRNSGKHPNVDDIENIPVNHNRVEEQEVGNKSSKVEKTPVKPPRSRYSDAGTPLKTPEKRGVTTGNRFGWAQKNELSSATNETGVDSNQYRNTPRSTRAAVRGNSSNSESNSTQCTPTKSVTKPSNQGLCLTGGQRPPPANGGARMANFAALSRGIPSYGSSTTYVNTVEVPHFEMKEDPSFWMDHNVQVLIRVRPLNNMEKSTQGYNRCLKQESSQCITWIGHPETRFTFDHVACESVEQETLFRMVGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGDIDELGVKPSPHRGMTPRIFEFLFARIRAEEESRRDERLAYSCKCSFLEIYNEQITDLLDPSSTNLLLREDTKTGVYVENLSEFEVETVADILKLLSQGSANRKVAATNMNRESSRSHSVFTCVIESRWEKDSTSNLRFARLNLVDLAGSERQKSSGAEGERLKEAANINKSLSTLGHVIMVLVDVAHAKTRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSAAETLNTLKFAQRAKLIQNNAVVNEDSSGDVTALQNQIRILKEELLALKRQNVSRSLSFGSTIVGDARQNNGSAEGMHSTDDMLGLESKSSLSISSKQFKCLETTLAGALRREQMAEGTIKQLEAEIEQLNRLVRQREEDTRCTKMMLKFREERIQRMEILIGGLMPTDTYLVEENKALSEEIQQLQAKLDKNPEVTRFALENIRLLEQLRRYQDFYEEGERDMLLTEVSESRDQEAECIRAENDSLHLEESNLERPWVEEQESESVQHKDEEMHAALMKHAQDVMDLQLELDILKIILKEERSSCVELEQKELCLIKDLETADRKASLLTKQYEDAKEELREAKSVIDALENQQLLSISEMEDLRNSNTHCMKLVHKLEHEISNLKQQGFRKEIKDVASFKHSKTKDSPLQLKLKKMHDSLDNAKRLNMCYQSDLASHASNEEEMDEVRRQVEAETAEVIVCLQEELGNLQEQFLNSTSKEEEAEGKLMHLHTELKKLVDDNEALSQNNKILCEKLADKDKQLENLSVDSEIVISEIEAALAGGCEALKDASDQLDGISGSILKRKTWISEQVGKMTKSISEKELLIEELNCCLEDANNRKNDLEVMMRSLRGAALVITEEHQKDCAEKENQIILLTSELCDKRLTIAELEKKIKYGEEELRKASKSATVAFVIVSRLSETNSRYLHTLENKNIQLTESDGIHRQKDALLLSQAVKVDEAEKLISSLSVELKSAKDECGILREQLYEEKKRVTDLEQKLDEIEGNYILETRENLAELKHGISALDSCLTIAEVANPEKDNDVADPAFFLPSDNRSEERTGTGANLKKYAVPVTDTPECLPEVGKNLHKYSSEQKRLAGGANREATVVLLREEIESAVKSLKRAHLEIANLRKENKEARDSEEGTRQVMEVIILQVLSLQEIICNFENQADSKVNRLDHKLLKMECAIKDTVTSWSRNNELFEHEVSDSKILAIQKSAEASCILAKFEEAQQTISEADYMLNELMLANKSKKVEIKELRKEKKNLTNERACLINEVQRLESSYRNLEKQAESDIVSMTELVQELEGIVLQAQVTCKENFESISSDFMCLRSQVCDSTKMVHSWIENLWSEIILKDCAMSVLHLCHMGILLETVTGLNVENGLLHHGLHESNTLLYEMREHNYKSKRDLQKCRILEGKLLADIKNNFDRISRKEDETGQLSFKIASFEEKILDLQQQEEIMVERSNNMGSELTLLMKELDNSNRNVLTTLLDQEKLFKDEVNSLEIQEEQFFVDLSAKDFHSLVLASELKQLAFQKAKLEDEQICYSTGIDRLKKDVVLLLLDMELKELILFDNEVELTHLGSELELVRMEQNGMLSEISQKEFEISELKKANDASKQEIKLLKEVSCSYDILKNELVEVTAAKKELSLQFQIQVAEVDDLKEEIISLNNECISHEEINYEALWKLSSRLEKFVSSMDSVHMKCNRIFKVLNEQSSLLEKEFVEIQKYAEGASEFLKDIDSVEILSEEVISKNSYLQAELNRKDSVLEGLLFDLKMLQESASSNKDHKDEFENLLASYEDLEDEHDEAVEKVQFLETQLQEKIDIISTLEMDVSRAHENVDSLSSANLTQTTRISNALHEKEFIEKELVQKCKDNQYLESELLEMRTALEQMNEFAESLKMNLQSVVCERDAMHAELLTLKEEFQMAQNLADENGAIAIEAQQVAETRKIYLDEKEEEVRLLERSVEELERTVNVLESKISKCKSHISELNLHAEAQACEYKQKFKALEVMAEQVKLEGSSSTNSISSAPHSTNSSSNKLEKTGSRPRGSGSPFKCIGLGFVQQMKSERDDDELTAGRLRIEELEALAASRQKEIFMLNTRLATAESMTHDVLRDLLGLKSDMTGYASLLDDQQVQKITEKAQLHNTEVHNKDVEVCNLKKQLTEFVVERKGWLEEIDRKQAELVAAQITLEELRQHDRVLTTKNDMLMMENVNQKKKVLELELEEENNLLKAQNEELSLKLRRSEGILSRVKEELARYRAANGKNPCIHIEEEQRLNNKLKEVEEERLQLAQKLLGLCTSILKAAGITKPASDISLPAAEEALDQLTDRSSILTKELEELKLKMGSIAAVGSISYNGICLRKIQDETSYHRDRNFKVRSSVASKQQSLQFSKVESHKLEKTVRIGVLGASGYTGSEIVRLLANHPYFDITLMTADRKAGQSIGSVFPHLATQDLPDMVAVKDANFSDVDAVFCCLPHGTTQEIIKSLPTRLKIVDLSADFRLRDVSEYEEWYGQPHKAADLQKEAVYGLTEILRSEIQSARLVANPGCFPTSILLPLIPLINVRAFLSLISLHHKAASKLIEVKNIIIDSKSGVSGAGRGAKEANLYTEIAEGIHSYGVTRHRHAPEIEQGLADAARSKVTISFTPHLMPMSRGMQSTIYVEMAPGVSSEDLNQHLRSFYENEEFVKLMKDDKPPHTRHVRGSNYCFVNVFPDRIPGRAIIISVIDNLVKGASGQAIQNLNLMMGIPETTGLLYMPLFP >KZM90531 pep chromosome:ASM162521v1:6:16596334:16599262:-1 gene:DCAR_022104 transcript:KZM90531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMADPKILERTAFDPVKPPKKNKYALGCAVLASMTSILLGYDIGVMSGASIYIKDDLKVSDVQIEILIGILNLYSLVGSAAAGKTSDWIGRRYTIVFAAAIFFVGALLMGFATNYSFLMVGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINAGILLGYVSNYGFSKMSAHLSWRFMLGIGAVPSVFLALGVLAMPESPRWLVMQGRLGDAKRVLDKTSDSPEEAQLRLIDIKEAAGIPQECNDDVVAIPKRSKDEAVWKELLLHPTPAVRHVLIAAVGIHFFQQASGIDAVVLYSPRIFEKAGITNDNEKLLATVAVGFTKTCFILVATFLLDKIGRRPLLLSSVAGMIVSLFLLGTALTVIDHTDHKVTWAVAIAISGVMAYVAFFSIGMGPITWVYSSEVFPLRLRAQGCSLAVAMNRVTSGVISMTFISLYEAISIGGAFFLFTGVACVAWVFFYTLLPETQGRNLEEVGLLFGTYFNWRSTLKKLKKKEANDKMNKEEAEFKTTTAPQN >KZM91335 pep chromosome:ASM162521v1:6:24261050:24261969:1 gene:DCAR_021300 transcript:KZM91335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYKAEDEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKSLNVDGKVIKAQIWDTAGQER >KZM91426 pep chromosome:ASM162521v1:6:25109043:25112211:-1 gene:DCAR_021209 transcript:KZM91426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGMVSVDRWSDGSQVYFLTHLHSDHTKGLSSSWKFAPLYCSRISAKLFPSKFPDFNLSLLRIVEAGVCVCLVTGVLVLGAFMYLFQGEFGCMLYTGDFRWERTSKKAQIGKTMLLNALRDVKIDNLYLDNTYCNPTFKFPPREVAAQQVVDIIISNPDHDIIIGIDSLGKEELLLHISKVLKIKIWVWPERLQTMHLLGLHDIFTTKTSVTRVRAVPRYSFSIETLEGLNMLRPTIGIMPSGLPWALNWVGGSRGPRLSSSCSVSHRDKIDRSSKIGGYNSIDMSNMDMKSIERYHEFMYAVPYTDHACFAEIKEFIELLQPNTIKGIVSSSPSYVDPHYYFSHLCRGNQPSCKYQKLESHAERKKDEIRTKYNFGSTKFVGAASEHRRFRRSALNSRERWISKLRRVRRGVKILETNCND >KZM92268 pep chromosome:ASM162521v1:6:31956014:31959160:-1 gene:DCAR_020367 transcript:KZM92268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFSRTIYVGNLPLDIRESEIDDLFYKYGRIVDIELKIPSRPPCFCFVEFEDPRDADDAIRGRDGYNFDGCRLRVELAHGGRRSSSSDRRGGYGGGSFGSGRGRPTISRHSEFRVIVRGLPSSASWQDLKDQMRKAGDVSFAEVFRDRDGAYGLVDYTNYDDMKYAIKKLDDTEFKNPWTKTYIRVSKFERSLSRSPRRSRSRSRSLRRDRSKSRERSVSRSSSKSRSASPVKSSRL >KZM90238 pep chromosome:ASM162521v1:6:12957547:12964410:-1 gene:DCAR_022397 transcript:KZM90238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGESKGKSLKSSSSQTCQICSDNVGLTVDGETFVACNVCAFPVCRPCYEYERKEGTQSCPQCKTRYKRHKGSPAIKGDGENDGNADDPASDLHYPPEDGIGKQKIAERMLSWHTTYGRGEDGRAPIYDKEISHNHIPLLTNGTEVSGEFSVASTEQLSMASPGPSGGKRVHHHRYASDVNQSPNIRIVDPVREFGSPGLGNVAWKERVDGWKMKQDKHVAPMTTSRAASERGVDIDASTDVLVDESLLNDEARQPLSRKVSIPSSRINPYRMVIVIRLLVLCKFLHYRLTNPVKNAYPLWLLSVICEIWFAVSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFSKKYNIEPRAPEWYFSQKIDYLKDKIQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVRVSAVLTNGPFLLNLDCDHYINNSKAIREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPFKPKNKKSGFLSSFCGGSRERKSKSSKKGSDKKKSNKHADPTVPIFSLEDIEEGMEGTGFDDEKSLLMSQVSLEKRFGQSAVFVASTLMENGGIPESATPDTLLKEAIHVISCGYEDKSDWGQEIGWIYGSVTEDILTGFKMHARGWRSIYCMPHRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYINTTIYPVTSLPLVIYCTLPAVCLFTGRFIIPKISNLASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLVVPTTLLIINLVGSVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGGQNRTPTIVVVWSLLLASIFSLLWVRIDPFTTRVTGPDTQLCGINC >KZM89880 pep chromosome:ASM162521v1:6:6772219:6774081:-1 gene:DCAR_022757 transcript:KZM89880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTLWFLILSHTYFCYAQQFYDPTLCDSHTNNPGTRYACNSFQKSCQTFLVYRANQGFQTIFNISSLFNVISHDELLSLNNLTSSTQILEPGTDVLVPIKCSCTGQFFQANLSYYVAYNTTFANVACGVYEGLVKSSILVEENEFQGNVLNIGSVLNVPLKCACPDNVSSVAGYKYFVTYPLVEGDYTNKVAQKFDIAGEDIWEANHIDSLVTVYPNTTILVPLRSEPRIRFISPDSQPPTPGFLPISPIERTKSIKLKKLYIAGSVIGFSLVLLTLLGCGIYVKALRRWKGEKFHHSSARRSSMTSFSTPLSSPLSVMTPRSSTNSCLSPDLLIGLKYTLCSYSTEEIREATNDFTEETKINGYLYKGFIDDAEVMIKQMRFEDIRQVIDLHSKMNHVNIMKLLGVCYGESDFSWSYLVFELPKNGSLRDCLSKPLKSLKWIKRTHIAYDLAKALHYLHYCMVPPHTHMSINSTNIFLTPSWRAKLAVSGSTPSVGCSKENEIMSSAGGWIAPEHLLNGLVNEKVDIFAFGVVLLELMSARESVEGRSFRESIGFLGGGASEGGCFEQLRSFMDPSLKEDYPLAEALCLAVLAKACVEDDPMHRPSMDDIIKVLARMV >KZM90230 pep chromosome:ASM162521v1:6:12859974:12868580:1 gene:DCAR_022405 transcript:KZM90230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKVLCKFFSHGACLKGENCEFSHDWKDPANNACTFYQKGACSYGSRCRYEHVKVSRSQACAQSSSAVILQSSGSFSAAHSSRNAASGVNQVVGVNGDLSASSRSFLAATDPEMNELSALPNSLYDSYTQEPGTADPTELSMCSFAAAGNCPRGEKCPHIHGDLCPTCGKHCLHPYRLEEREDHIRMCEKRQTQIEALKRSQEIECCVCLERVLSKPTPAERKFGLLSECDHAFCVSCIRNWRSSSPTSGLDVNSALRACPICRKLSYFVIPSVIWYSSKEEKNEIVDSYKSKLRSIDCRHFDFGDGSCPFGTSCFYKHAYRDGRLEQVDLRHLGAEDGNIVIAKDIRLSDFLHNLRLR >KZM92007 pep chromosome:ASM162521v1:6:29761550:29763741:1 gene:DCAR_020628 transcript:KZM92007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGTGYDLSVTTFSPDGRVFQIEYASKAVDNSGTVVAIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEANNYEK >KZM92539 pep chromosome:ASM162521v1:6:34185831:34190373:-1 gene:DCAR_020096 transcript:KZM92539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFVRSVRHFRLACQHGRTNSSNGSSNGSKNIPDYLVSTTTNPKSLYYRGDGHVQRNPSCIITRALCTEVSNISTAGSHSGGPLVEYKRRIATGELVDGDICQVGTVKEIQRLYDELIESEIACRLDRYDASEKELRCRWLWSRLKPQYTYSPVKGLYLYGGVGTGKTMLMDLFFEQLPSGWRKKRIHFHDFMLDVHSRLQKHKGVADPLEVVAAEISDEAILLCLDEFMVTDVADASLLNRLFRHLFSTGAILIATSNRAPDNLYERGLQRDLFLPFISALKERCAVHEICSSTDYRKMTSAEQGFYFVEKYPSGLLKQKFEQLISHHKAVPQKVEVIMGRRLQVPLGANGCAYFTFEDLCDKPLGAADYLGLSKNFHTLALDGVPKFGLHNRAAAYRFITLVDVMYENKARLICAAEGTPLQIFEKVVTISDAQLMSPRTSRSRKNDEIDLCVDNELGFAKDRTISRLTEMNSSEYHEQHAEFLAEVWHQQGGDKGLQG >KZM91441 pep chromosome:ASM162521v1:6:25219491:25225508:1 gene:DCAR_021194 transcript:KZM91441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSCKMPEITGEDVSLLENINKRRQPLPNMLAIYFVQPTEENVVLFLSDMAGKNPLYKKAFVFFSSPISRELINYIKRDSTVLPRIGGLREMNLEYFPIDSQAFITNTGKAMDELFVDESSSIKGDEYLTVMATRIATVFASLREFPFVRYRAAKSLELDTMITYRDLLPTKLAANIWNSLTKYKSNIANFPQKETCELLILDRSIDQIAPIIHEWTYDAMCHDLLKMEGNKYVHEVPSKTGGNPEKKDIILEDHDPIWLELRHAHIADASERLHDKMTKFATKNKAAQIRQGSRDGGDMSTRDLRNIVEALPQYNEQYDKLSLHVDIAAKVNKIIRESELKELGQLEQDLVFGDAGTKEVINFLRSKPDVTSDNKLRLLLIYAAIHGNKLENDKLKKLMELAKLPDEDMNAVYTMRKLDGSSDTKKSSMRPFTLKFNVNRRKSSFRKDRPGEEVTWQLSRFYPLVEELIEKLSQGELSKTDFSCMNDPTPTFHGTSPAVTAPLIQPQDAHSVRSRRTGTWARPRAASQSDDGYSSDSTLRHAVTDSMLRRTSSEFRKMGQRIFVFIIGGATRSELRACHKLTAKLNREIVLGSTSLDDPLQFIKRLKKLNEDDISIDDIEI >KZM92579 pep chromosome:ASM162521v1:6:34543276:34547966:-1 gene:DCAR_020056 transcript:KZM92579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNTKAQALLFPGPVPSSPANFKIKNSNSFLSLSSTSASTAMSWLARSIATSLRLDDDDANPPDSDNFAEKVAAGGTDSDRSDDDEEYADEQRGGGMKEDLSELKQTLTRQIWGVASFLAPPPPPPLPNRHQRPDLFAVDRDSAVSDEEIEVDSAKCRSGGYRDLGQMLPFRLEDQIGGAVGITEESLAFASNIAHHPETWLDFPLSEEDDIDDFDMSDAQCKHAEAVERLVPRLAALRIELCPVHMSWGYFWKVYFVLLYSRLNRHDAEMLSTQQLAEARSLWMQELQKRLKPESFQIRSSTLYEKESSCSYADDFIPYSPRFRKYTDNLTSSFQPATCAATELEIEKYPVVSSDSPMVDKSVIREESVTQTREKGAVAGPSSKGSFRQFDDDDDGDAWLEQQSVDGYCGPTIFFGTDDDVSFSDLEDDCTTPMKSKSPVKYSDVSPKAS >KZM92383 pep chromosome:ASM162521v1:6:33008647:33010574:-1 gene:DCAR_020252 transcript:KZM92383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAVPGKNWGKSNLFRNDRKPMFGSGLVMAEGEDWVRHRNVLTPAFLPANLKALASLMVASTNNMIDRCTNIINSGQQEIDFEKEMITTTGEIIAKTSFGMSYENGRKVLERLRAMQQALFNSNRYVGVPFSKFLCLEKYREAKRLGDEIDALLLALIEDKTKSKKDGDQSCFSADREKNLLDIMLADYESAKSLTTKEMVDECKTFFFGGHETTALALTWTLFLLAVHPEWQNQLREEIKQVVGDQVVDASMVNNLKKMGWVMNEALRLYPPAPNLQRQARDNIQVNEVIIPKDTNILIDVMAIMHDRGFWGDTVHQFRPERFEPDNLYGGCEHKMGYVPFGFGGRMCIGRNLAIMEYKIVLTLILSRFSFSLSPFYTHSPAIMLSLRPAKGMPLVVQPLY >KZM91770 pep chromosome:ASM162521v1:6:27725120:27726616:-1 gene:DCAR_020865 transcript:KZM91770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKILSAIIIFFNLVQVLVNVNASVEKIKTQVQQREHLHEGIQTHTQHLREGIQRHVQIKEQLQGGVKRHLLQEPLLPPRPRGHHRRHRGRRHRRKQMLVAGIGGPQPVPVPNSIAMPTEHQPLPEPQMVVAGIGGPQPVPNPVPLPTEPQPQPNPGPQPTEPQPMPAPLPTEPQPLPAPLPTEPQPLPAPLPTEPQPLPAPLPTEPQPLPAPLPTEPQPLPAPLPTEPQPLPAPLPTEPQPQPQPQPQPQPQPQPAEPQPQPQPQPAEPQPQPQPQPAEPQPQPQPQPQPQPQPQPAEPQPQPKPSEPAKPFNLIDSLSNINIDDPEESLKNLYHDAVAAAKPLAYLAAHNAFRATMGVPLLHWDTKLEEYARQYGQKRAGDCLLQHSYGPYGENLFWELFEASSPEKVVKSFTDEQQNYDLKEGRCKCVEVIGQCMCGHFTAIIWKTTERVGCAETVCANNKGKLVVCSYDPPGNVIGQNPLTATDPDADADDSE >KZM92355 pep chromosome:ASM162521v1:6:32765373:32767413:1 gene:DCAR_020280 transcript:KZM92355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSIVLLGFLILGLLGARVVECDIVYQAINCRKHSAVLTDFGAKGDGVTSNTAAFRNATAQLSKFGSDGGAILIVPPGKWLTGSFNLTSNFTLFLQKGAVILGSQDISEYPLIEPLKSYGRGRDAPGGRYISLVFGTNLTDVVITGENGTIDGQGAFWWDKFRAKELNFTRPYLIEIMYSNQVQISNLTLLNSPSWVVHPVYSSDVIIQGLTIKSPVDSPNTDGIDPDSCTNMKIEDCFIVSGDDCIAVKSGWDEYGITVGMPTKHLIIRRLSCISPDSAVIALGSEMSGGIQDLRAEEITAYNSESGVRIKSAPGRGGYVKDIYMRRMTFITMKYVFWMTGAYGSHPDEGYNPKALPEVKNINFSDIIAENVTMTADLSGIKGDPYTGICVSDAIINLSPNPKKVQWNCTDVSGITSNVGRPPCDLLHDKSIESGCDFPNDVLPIDEVQLQTCKLASQQISH >KZM90004 pep chromosome:ASM162521v1:6:9461260:9466645:1 gene:DCAR_022631 transcript:KZM90004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEATDGIFQKIRAPRLEDAGLEDCALPPDSIKEAFLKAASAVRSSLLSQSDEEDESACVHDPWPSAGDSADALVGISPENSPPGSCAGRKGGEVPDRLGDKVVGGVSDADVASDEVVGVVDVAEGECCVDALKGMRIGEKKKKDSAKESDKPGLTEEQNGNEMLEGPDDYKETGLDDQKQINQKAAEPLADPEDYPNLFEDWQLALGIESKVAEIRNTIPPAAKYVNHMHKSNVNRLEAFRNMYVDEEEPHQNGDLDHECLHRATRFSDDTSSSIPLAAEYIDHIHKSNANLVKAFRNIHVDEEEPHQDGGLDNEV >KZM90584 pep chromosome:ASM162521v1:6:17075599:17075769:1 gene:DCAR_022051 transcript:KZM90584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFDSKAIKIFVVTNGAGQLEMACPYLAHEHGQGQQGRGGVQEGQGQQGHGGS >KZM91394 pep chromosome:ASM162521v1:6:24760166:24765313:-1 gene:DCAR_021241 transcript:KZM91394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETSSVAALSSSPSRQFHGDSVISVQEWQSWGTASPVPAMVSEIVEDLKALERESNATITFGGQGGKIQGELKITEDKKHRAKYQSLEDSEQKIQFFSARQVACRLLGSRDYLCQKCWLPKEDCMCSRIRCRTAWEGMRFWVYMHPKDFLRQNNTGKLLWQIFGVQAASLSIFGIIEHEEIMWNEFMRAGKKNVWCLYPNKDAAVNSVQDIFSQNTSTDPEIPTMMATHNEILHFILIDGTWSNSAAMFRRLKERANLVWKEDLSCISLTTGASAMHKLRPQPSWDRTCTAAAAASLLFELQSVPQLSSFGLAEQVEAVEDGLESLLEALTARRLRRGRSITRKVRHNRDIC >KZM91517 pep chromosome:ASM162521v1:6:25778393:25783407:-1 gene:DCAR_021118 transcript:KZM91517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYEAVTISDPSLESQFAQVELRRLKSKFLAARKESGQVRLGDLPPVMLQLKNFSEIFRERDIKAILSESNSDMDQEVDFESFLRMYLTVSARARTKAGASRYKTATSFLKAPTTTNRHTISQTEKASYVDHINRHLGEDPFLKKHFPIDPNTDALFDLVKDGVLLCKLINVAVPGTIDERAINKKNKLNPWERTENHTLCLNSAQAIGCTVVNIGTQDLDEARVQLLANCNIKNTPELLELVEEEKDVEELKSMAPEKVLLKWMNYHLKKSGYKKEVTNFSSDLKDGMAYAHLLHALAPELDTGNTLETEDPEERANLILQQADKMECNRYATPTDIVEGSTNLNIAFVAEIFQHRNGLHEVTENTQNSFAEMMTDDEETSKEERCFRLWINSLGTETYVNNLFEDMRPGWVMLEVLDKIFPGSVNWKKATKPPIKMPFRKVENCNQAIEIGRELNFSLVNVAGNDFVQGNKKLIIAFLWQLMRFSMLQLLKNLRSSSQGKEITDADILNWANETVKKSGKQTQMDSFKDKSLSSGVFFLELLSAVEPRVVNWSLVTNGENDENKKSNATYIISVARKLGCSIFLLPEDIMEVNPKMTLILTASIMHWSLNQKGGQRLPPVPTSETPEDSPTAGADGDMEHENEPADAESSEPPADAEEPTDA >KZM90358 pep chromosome:ASM162521v1:6:14580818:14582010:-1 gene:DCAR_022277 transcript:KZM90358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQVEANRGSNQSIKATTKAYRSRKPEALKLAFTKKILCLVTLAWLIQVVVWTVYKWDDVRVGVGYNYVDEVRAVAREIVREEIEKHAADGLGRADYALAAGGGYVTRHSQAHAVGIGSVWFSRMGRNGVHVDSVKMLRPSFGEPGDCFALNGSSGFVEIKLRSAIVPEAVTLEHVPKSVAYDNERSSAPKDCSVSGWFGEKETDSVENTDKTIPLGGFTYDLEKSNAQTFDVSLPEDGGIGVVDTIRLDFTSNHGSASHTSIYRFRVHGHEPDSLVPLAYAN >KZM90416 pep chromosome:ASM162521v1:6:15737150:15737510:1 gene:DCAR_022219 transcript:KZM90416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM90640 pep chromosome:ASM162521v1:6:17725227:17726369:1 gene:DCAR_021995 transcript:KZM90640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFFIFLLSLSAIISTTAGDPLSDEISALRAFKSSIKPSTIPSYSCLASWNFTSHPCSFPHTTHFICGLTCYNSRVTQLTLDPAGYSGTLSPLISKLTELTHLDISENNFFGPIPPSLSSLANLQILSLRFNSFSGWVPPSVFALKKLESLDLSHNSLSGSLPNTLNSATSLTRLDLSYNKLTGSIPKLPPNLYQIAIKANSLSGFLYQTSFTESTRLDTVELSENSLVGVLQPWFFNLPSLQQVNLSKNKFTGVVISKPQNPESNLVAVDLGFNKIQGNLPVNFLLYPMLSSLTLSYNRLRGPIPLEYSKKQRLRRLFLDGNYLNGSPPSDLISGKTEVLAGNLGDNCLKYCPASSSLCSKSQKATWICRQAYGKPRL >KZM91449 pep chromosome:ASM162521v1:6:25271259:25272810:-1 gene:DCAR_021186 transcript:KZM91449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCFISAVPHAPATAKSIQLTRSVFIYLEMSSFCYFLFAIAGLLGLAGSANADLQMGFYAKSCPKAEKIVQDYVYKHIPNTPSLAAALIRLQFHDCFVRGCDASILLNFTSSTGNQTEKFAIPNLTIRGFGFIDGVKSLVEKECPGIVSCADIVTLVARDSIVATGGPSWKVPTGRRDGLISNGSETIGPIPAPFSNLSTLQTNFANQGLDLKDLVVLSGAHTIGFGHCSSFSNRLYNFTGVGDQDPSFDSEYVTNLKVNKCKFINDSTTRVEMDPGSVRTFDLSYYSLLLKRRGLFESDAALTTSLTTRALVTQLLQGSLENFYTEFAKSMEKMGQIGVLTGSSGEIRKNCAFVNK >KZM90693 pep chromosome:ASM162521v1:6:18211583:18216607:1 gene:DCAR_021942 transcript:KZM90693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVNLSLTPTTATQFLSSSSSSPRLLKLSHSCNPHFFNLNRTLSLLPLQASRRPTSNHPQKGDNYAGDPRDWKQNLDFYGDDDDEEDEEGEEEEDEDRSLDLLIMFVQNVFKKISRKARKAIRSVLPVNISTKLVGFSVNGIIILAFLWILKAFLEVGFSVNGIIILAFLWILKAFLEVICTLGTVVFVSILLVRCLWTGISYLQDTRGQRTDEFDDVWTNTQPVN >KZM89684 pep chromosome:ASM162521v1:6:3318310:3319522:1 gene:DCAR_022953 transcript:KZM89684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILSVSRCVVIGALVSLLVSVSFSYGDDRTVEVVGLGECSDCKESNIETSQAFSGLRVTVDCKANEGHFQTRGVGELDKEGQFKVSLPKEILEDGKLKEECYAQLHSASSTPCPAHNGLESSKIVFQSKTNEKHTFSTAGKLKFSTPVCASAFLWKYKYSPKPPHPWKKYLTDHPWKKKYLPPLSPHPWKKYFTPKPVYTYPPKPVYSYPPKPVYTPKPVYTHPPKPVYTPKPPSPVYTHPPKPVYTPKPVYSHPPTPVYTYPPKPVYKHPIYKKPCPPIVPLPKPIYKKPCPPIVLPPKFFHHPKMKYFPPMPPHFSHHP >KZM91692 pep chromosome:ASM162521v1:6:27147984:27150398:1 gene:DCAR_020943 transcript:KZM91692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVGYLLLTPVGTALVVGGLFLVILRRFLYRKGKSDSNLPPLPEVPGLPVVGNLLQLKEKKPHKTFTKWAETYGPVYSIRTGSTTLVVLNSNDVVKEAMVTRFPSISTRKLSKALQILTSDKTMVAISDYDEFHKTVKRHLLTHILGPNAQKRHRIHRDALIENTSKQLHALLKNRPLEPINFRELFESGLFGLAMKQTLGEDVDSIYVADLGTTMSRHEIFKCLVHDQMEGAIDVDWRDFFPYLNWIPNKSFEKKIKQMDIRRTAVMKSLVQKIREKSASKEGMQCYLDYLNSEGKTLSEKQIQMLLWEVIIETSDTTMVATEWAMYELGKDSKRQDRLYEEIRRVCGSSKITEENLHQLPYLYAIFQETLRVHSPVPIIPLRYVHEDTQLGGYFVPSGSEIAINIYGCNMDKNVWKNSEEWNPERFMGDKSDTMDLYKSMAFGGGKRACAGAIEAMTISRMAIGRLVQEFEWRVTDDQVDDVDTVGLTSRKLHPMLALITPRS >KZM92380 pep chromosome:ASM162521v1:6:32982925:32989909:-1 gene:DCAR_020255 transcript:KZM92380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMATTWCPSSFQLRLALTRQRPTAQFVRFPRINRLDFRYRVYCVDGSGNGNVTESVRVENDAWAGSNSKSPGDEFAGWSGVNGSESDDSKGNKWNGGIVGAGVAGVILFAGLSFAALSISKRNSKPEEYILPSTTKQELAYDTTDINDNAEEQKIEGKSPTPDTNSSEFRPEMDQDSTSYKEADGAVSETRLSPEKDMANSTDLGDVDNDNSILEDLQNEVAHDDIPVAPDGTTLPFVVSNAVDSEERLAEASNINSELEKASNDFEVDNSLVSNTSNTSLSKDLHEGVPTSNETEDSKLSADVSSSGVVADTPIAPLDSNMSVNLEIDIGLEDQVSGQEDTETIGLQVESEGIDVVKMVEVSTEQVSLENNVPEGGPSASTVVSPLAYPVVNELNENGFDDTKWNKSFSDSNPEDLSFSAGMPAPSAVYPALQAFPGKVLVPAVVDQVQGQALAALQVLKVIEADAQPGDLCTRREYARWLVSASSALSRNTVSKVYPAMCIENFTELAFDDVTPEDPDFPSIQGLAEAGLIASKLSRRDMRSSSEVEETPLCFCPESPLSRQDLVSWKICLEKRQLPVADKKILQQVSGFIDIDKIDPDACPALVADLTAGEQGIVALAFGYTRLFQPDKPVTKAQAAIALATGESSDIVSEELARIEAESMAENAVAAHSALVDQVERDVNASYEKELSLEREKVDAVEKLAEQARAELEKLKSEREERNIALLKERAAVDSEMEIMSRLRREVEEQLQSLMSDQVEVSYEKERITKLRKDTEMENQEITRLQHELEVERKALAMARAWAEDEAKRAREQAKVLEEARDRWERRGMKVIVDDDLNDEANAGVAWLNAGTETSVDGTVSRAESLVDKLKAMAYDLRGRSKETVDQVIQKILVLISVLKEWIAKTRDNSVEVKNVVVTKVAGSLQEFQNSSSEFVSYAKEGAKRVAGDCRDGVEKLTQKFKT >KZM90145 pep chromosome:ASM162521v1:6:11687209:11687481:1 gene:DCAR_022490 transcript:KZM90145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNTKAWIVIAVSGAVVAKEHLFVTKISTVRKSSYFRAVGASVSRVGVVISPRALDKQPMKVSETRYRKHKVRDEPLRMISFLSSWGPY >KZM89835 pep chromosome:ASM162521v1:6:5696290:5701078:1 gene:DCAR_022802 transcript:KZM89835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVVLGEDININPPVIYDARSLIINGSRQLLFSGSIHYPRTQPEMWPSLIKKSKAGGLNVIQTYVFWNVHEPVEGQFNFAGNADLVRFLKCVHEHGLWVNLRLGPYIAAEWNHGGFPYWLREVANITFRSYNEPFMHHMRRFSEMIINMMKNEKLFYPQGGPIIMSQIENEYGAVQATYREDGAKYIQWAAEMAVGLYGKIPWLMCKQPNAPSLVIETCNGRHCADTFQGPNGPDKPILWTENWTAQYRAFGDPPSQRSAEDLAFSVANFFASNGTFVNYYMYYGGTNYGRTASSFVTTRYYDEAPLDEYGLLREPKWGHLRDVHRALKLSKKALFWGQRTSMRINRNVEIVTYEKPGDASMCAAFFINKHTKLPATITFRGSVYYVPSKSISILPDCKTVVFNSQTIIAQHSTRNFVPSKKANNFKWESYREIIPTYNDLPIKDIIPRELYQLTKDASDYAWYSTSVNIEHRDLPMRPDIMPVLEVQNNGHAMVAFVNGEHIGFAHGNLDQKKFSLVKAVNLRPGLNHITLLCMTMGFQNSGAHMEKRWTGPDSVRIKGLNTGTLDLTETHWGHEVGITGEKLPLYLEEGERKVQWSPDTGLGTSITWYKTHFKTPEGKNPVAITMDSMNKGMIWINGNNIGRYWASFLTPHGRPSQTEYHIPREFLKRKKNSLVVFEEVGGKPENITIMTVNRDIICSLISEVTPPSVKTWERKENELRKVLLVEDMKSGARLTCPDDKVIVDVEFASFGDPIGACGIFSVGTCHSPNSMSVVLKHCLGKHSCAIPLDRKAFYLTGNIDNCPDTFKSLAVQVRCGKSSMQ >KZM90712 pep chromosome:ASM162521v1:6:18441934:18444392:1 gene:DCAR_021923 transcript:KZM90712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENSMLEQAKCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAPGVTADISHMDTGAVVRGFLGQPQLENALAGMDLVVIPAGVPRKPGMTRDDLFKINAGIVRTLCQGIAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTMLDVVRANTFVAEVLGLDPREVSVPVVGGHAGVTILPLLSQVKPPCSFTSEETEYLTKRIQDGGTEVVQAKAGAGSATLSMAYAAVKFADACLRGLRGDANIVECAFVASQVTELPFFATRVRLGRTGAEEIFQLGPMNEYERAGLVEAKKELIGSIEKGVSFISK >KZM89444 pep chromosome:ASM162521v1:6:773623:773928:-1 gene:DCAR_023193 transcript:KZM89444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNGVYAKDVLHNVLMESFANLLPNLGFFMSSASGNRAPDGLMDVGRVLLMGFGKVDKVGGVPMLGPSVVYRFSCYFPLGLRFSFKVVCNVASFVSSLFY >KZM89384 pep chromosome:ASM162521v1:6:307148:309913:-1 gene:DCAR_023253 transcript:KZM89384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRDEEAYSKMWNKKGLGWIAWLRGCFHLMHDMLFQRIMTRHLHNPMPLPPLHPDFTCLVTGCTNGIGREIARQLAEAGAHVVMAVRNTTRANVLIEKWQCDWSKMSLPLNIETLKTFSSKLNQNLTFKVMELNLLSLDSVARFAEAWNARSVPLHVLINNAGIFSIGEPQKISKDGFEEHMQVNHLAPALLSILLLPSLIRGSPSRIVNVSSTIHHVGFVDPEDMNVSTGKRKYTNLAGYSGSKLAQVMFSSILNKKLPSKAGISVVCVSPGVVQTNFARDLSKIVQIVTRARSALFAATDTQISEFCKKLESDDWPVCAFISHECRPTNPSEEAHNLETSYNVWEKTMEMIGLPSDTVQKLIEGQQVKCRYGSHQD >KZM90880 pep chromosome:ASM162521v1:6:20107292:20108156:1 gene:DCAR_021755 transcript:KZM90880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKRGPWSSEEDRKLINFILNNGIICWRTMPKLAGLLRCGKSCRLRWINYLRPDLKRGMFTETEENQIIQLHALLGNRWSKIASHFPGRTDNEIKNHWNTRIKKRVKTIQDEEKTQVNTESTVLKDQEQASETTLTDKNVQSFNAANEYLNLLDVELWLNQETIDTSGSYSTSFSLEDSVNPSMGESLHIQEDSVQQWVDSADSMLSWDAFCSSIFPTHILPIV >KZM92668 pep chromosome:ASM162521v1:6:35274652:35275586:-1 gene:DCAR_019967 transcript:KZM92668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVLTLSAVLALLLIANTSHARPGVGGYWHVANDEAMSILIRARKDVSNAADSALSNDRKSDPVPDVTIYHGDSRLKGKKSHEDESDPMADIKIYHGDFHLKGKNSCENEYDPIPDVTIYHGDSHHLKEQKTYIDESDPVPDVTIYHDNSHLKGKNSYMEKSDPIPDVTIYHDDSHNLKEKNIYIDDESDLVPDVTIYHGDSHLKEKNAYMDESDPVPDVTIYHDNSHLNGKNSYMEKSDPVPDVTIYHDDSHNLKEKNIDESDLVPDVTIYHGDSRLNEN >KZM90841 pep chromosome:ASM162521v1:6:19744709:19744969:-1 gene:DCAR_021794 transcript:KZM90841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEMLSREQLLELFQRFSLVISQPDVKKRIADAVLDNQASPQLFYISLLCEPYVIR >KZM92164 pep chromosome:ASM162521v1:6:31099807:31117039:1 gene:DCAR_020471 transcript:KZM92164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNEVTSESVAAVQFSFMSAEDVRKHSVKQITNPILLDPIAEPIPGGLYDPALGPYDLNKICKTCGQRCNDCPGHCGHIELVSTVFNPLLFDKLYNIIARTCFTCFRFRMDEEEVKLCISKLEMIAKGDIIGARRLDEGGVLDTSTSPEDGETSDFQDPNTWSSLQYTESISVLKKFFKPRPTACKHCKAKNPKITKPTFGWFYMSGLSAADARANIIRASGKTISSVEANSNEDTYVAEIETVESESCMTTSVETEDTRNQRHVQTGKGFSPHSYKQKNTLSRPFLPSEVHATLEQLWANESAILSYICGVQHESPKLTNGQAPSHSMFFIDALLVPPTKFRPPAVTADSVMEHPQTGHIIKVLNANIALCNARANNSEPSIIVRRWLDLQQSINLMFDSKTSMSRSATPGICQLLEKKEGIFRQKMMGKRVNHSCRSVISPDPYLAVNEIGIPPYFALRLTYPVKVTPWNAQKLREAIINGPEIHPGATNFVDKVSNSKLPLKKKGRISVSRKLSSSRGEASTKSHEMEGKIVFCHMKDGDVVLVNRQPTLHKPSIMAHIVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHLPQDEVSRAEAYNIVNANNQYIVPTRGDTVRGLIQDHIGAAVLLTMKDTFLTREQYDQLLYSSGVFSAGPSLSSKSLSKKISKVESYGLQPVLPAVWKPKPLWTGKQVITSLLNHLTRGCKPCTLENEGKIPYQYFSRNSSNNQLSKDEEASAENKVLIRRNELVRGVIDKAQFGKYGLVHTVQELYGSDTAGILLSALSRLFTCFLQMHGFTCGVDDLILLPQYDVLRKEELEGEDVGEEVHCDFVNFKRGKIGPKELQYEIEKIMRNNGESAVARLDGKMKNELREKGSKINKELLLNGLYKPFPKNCISLMTISGAKGSSVNFQQISSSLGQQELEGKRVPRMTSGKTLPSFSPWDFSSRAGGYITDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLVKNLECLKVCYDYTVRDADGSIVQFCYGEDGVDVHQTSFLNKFEALAKNQEIIGQKFHHKLEYNAYIDKLPNKLKERVDELIRTSLKGQFLEQLKQQDLLMLMKQKYISSLAQPGEPVGVIAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTATSEIKTPIVTCRLLEEKSKDDAKALVAKLKKVTVADIIESVEICVVPLYVYKDEICRLYKLKIKIKKHELVSEEDCRETLEITYLRELEDAIENHVQLLARISGIKDFTENIESMSASQTRDAVSGNKSQGNDDDDENGDNEGDDFGFDAQKRKQQASDEIDYEDGIDGKTTEVELSTEVESEIDQDDDEIESSIGHVEDVDVEDEPSNVSYDKGLITEPTSTDRKAQSKSKKKKRGNLARKETDRSCFVKTEGQRYEVHFRFKDEPHILLSQAQIKCYDMILEWNMLQIVQKAAKKVPLIGSGKIEHCQQLAYDVLEKQVLWQKEENGAAEVQKDLSNLCALKVAGVNLTALWEMQDVLDVNHIYSNNIHLMLKTYGVEVARKTIIKEVQDVFKIYGVEIDYRHLSLIADYMTHSGEYRPMSRHGMISESLSPLMKMSFETCSKFIVEAASHGQTDNLETPSSRICLGLPVKLGTGSFDLMQNLQL >KZM91882 pep chromosome:ASM162521v1:6:28630250:28634223:-1 gene:DCAR_020753 transcript:KZM91882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIALACNLTPSDGLLHPRVSVIKIFANHMVGERVRLVVLTDHHRTNVARNVNLERLQRNYLFPEVGFHASTYGPLCFVDRMDAESDNKGSANPAETPDIVLPSSTNPKQSVSKRPAQEESETENAAKKSDPNEIADGASGSLSTKCFWFSHKRVSSFYKLLPSPTATCAHCPLNM >KZM90583 pep chromosome:ASM162521v1:6:17063591:17064193:-1 gene:DCAR_022052 transcript:KZM90583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLTQENIKTKDGEHNNRDFDFEFSSAFGYKKLHETPLFVYADELFDHGMIKPLKLYKHSDSLHKPSRTSSQPKDSKSTVDKSSLSSRSWSPSSNKKWKLTNLLLFRSVSEGHASSRDDQGIKRNETARLVKNDGERQRRKQGRNGHKMAMHYRSNRRRGEEIKRKTFLPYKKTLLGCSDIDPGVYEFCKGQAAVEIKC >KZM90656 pep chromosome:ASM162521v1:6:17846764:17847450:1 gene:DCAR_021979 transcript:KZM90656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTPIFSIISLLLIATLVPIEAVYYQVKNNGTGTPGGTRFDNEIGIPWSAHTLKHASKFIWRIFHQQNLDDRAKVDNITMIVEDSEYIAYEENNHIHVDTKYIANFVGDIKVEIKGVLYHETTHIWQWNGNGTAPSGLIEGVADYVRLKSGLAPPHWRKRGMGVNWDDGYDITAHFLEYCNGLRDGFVAELNGKMKSSYSEDYFVQLLGKNVNDLWMDYKAKYNTNS >KZM92766 pep chromosome:ASM162521v1:6:36126850:36129208:-1 gene:DCAR_019869 transcript:KZM92766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKKSSANMFFRVDQSSEKNCSALHLCAYNGKNSGVLEKDMVSLNGELNSKVQEGIGIIDFFCGKKLFITGVTGFLAKVFVEKILRTIPEVDKIFLLIKARDEEAAMERLMNEIVGTELFKCLREKHGISYERFVLAKLVPVVGNMCETNLGIKEDVADLIEKEVDVIIKSAATTTFDERFDVALDINTRGAAHIVNFAKKCENLKLYVHVSTAYASRQREGKIMEESFGKGHYVANGAGVNETPFKHVHRLNIGAEIKLASEMIESSEKNEIDRNLKDLGLSRAQKHGWPNTYAFTKAMGEMIVEDMKGQVPVIIIRPSIVESTLSQPFPGWIEGNRMMDPIVLLYGKGKVPGFFSNPDMALDVVPVDIVVNSTLAAIAKHGGKESKQEDSNSNDHVYQITSSVANPLITRDLADLAYQHFSVSPCFDREGNPIQISAFKFFSSIEDLLSDMKSTNSNDEISPKHELIRRKSIEHFKYLANLYKPYTFFDGRFDNNKVENLLECLSEEERKEFGFNVRSIDWEDYIVRVHFHGVRKHVMKETTLK >KZM92079 pep chromosome:ASM162521v1:6:30379849:30380001:1 gene:DCAR_020556 transcript:KZM92079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDRNDHGMSGNLRKQQNRVAPHYLQPWCPDLQICTALIPPPFLSFLFH >KZM92559 pep chromosome:ASM162521v1:6:34351379:34352071:-1 gene:DCAR_020076 transcript:KZM92559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAISIPSSANLDQSNYVCNLESWTKGKRSKRSRKSESQDDHDHDHDQYQNVNTDEYLALCLVMLAHDGDKSIFPAKSVTHPPQSNTDVVYTCTVCDKAFASYQALGGHKASHRKGTTSDTNSAATTSSATATTTSGRTHECSICHKCFPSGQALGGHKRRHYDGGNNGTSSSGVTTSEGVGSTNSHHRGFDLNVPAVPDMWSVFREEEVESPHPTKKSRFSFTLKLQ >KZM92598 pep chromosome:ASM162521v1:6:34714033:34716978:-1 gene:DCAR_020037 transcript:KZM92598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFLFSSIVLLFSLSALRLEARARDDQAQFLSLMKKSLSGNLLAGWDLNGSKPVCNFTGVECNSLGSVVKIDVSGWSLSGRFPEDVCTYFPELRVLRLGHNHLHGKFPDSITRCSFLEELNMTSMNLTGPLPDLSPLTSLKLLDLSYNYFTGEFPLSVTNLTNLELLNFNENGGFSFWQLPENFSKLTKLKYMILSTCNIQGRIPESIGNMTALVDLELSGNFFTGKIPAQIGLLKNLRMLELYYNQLVGEIPEELGNLTELVDFDLSVNFLTGEVPRSICSLPKLEVLQLYNNSLTGSIPEVIANSTTLRILSLYDNSLTGQVPKDLGKWSPMNAIDLSENRLSGELPADICNGGKLLYFCALDNMFSGGLPETYGSCLSLLRLRISRNYLQGSIPEGILGLPRVSIIDLGYNNLTGSLAKTIGNAKNLSELLLQRNMIFGILPPEISHAINLVKIDLSNNLLSGPVPSEIGNLRRLNSLVLQKNKLSSSIPDTLSSLKSLNLLDLSSNLLKEYAYSSKATTKCDVYSFGVVLMELITGKKPVEAEFGDSKNIINWVSSKVETKEGVMEVLDKQISASFKDEIIKVLRIAVRCTSKSPALRPTMVEVVRLLLEADPCRLKSCKSLNKPKDTENVTKPPKIT >KZM90617 pep chromosome:ASM162521v1:6:17506814:17509317:1 gene:DCAR_022018 transcript:KZM90617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPPCAFLHSNSSFSKLYSPSTQPISCISRQLINYSSDGLVYSRPKTPIWAPAPSASRFKLFAVGGPKAVLHKETCILLQLHHIFFAATVVTGNSWEKSVLNSKVPVLVEFYASWCGPCAMVHRVIDEIATEYAGRIDCFVLHADNELQIANEYDIKAVPVVMLFKNGEKCETVVGTMPKEFYVAAVERVLS >KZM90628 pep chromosome:ASM162521v1:6:17618528:17625683:1 gene:DCAR_022007 transcript:KZM90628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSCKILQPKDGNYTVGDFMTKKADLHVVKPSTSVEDALETLVEKRITGFPVVDDDWKLVGVVSDYDLLALDSISGAGHADTNLFPDVDSTWKSFNKIQQLLSKTNGKVVGDLMTTVPLAVREATNLEDAVRLLLETKYRRLPVVDGDGKLVGIITRGNIVRAAIQIKFAGEAKSGLLGSKTETQSS >KZM90974 pep chromosome:ASM162521v1:6:20922312:20926196:-1 gene:DCAR_021661 transcript:KZM90974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGLVTQTSNRPSPSSKITTMKPTQLASNSSPLLYTTKTNTSAELKNATTLMIFRSGKLQKLYSNQVRNRFYTHPFSHYFFTTLAAAAASSPNLNNSHLDKSSDKSDKFSGIAKSVTLKCSHLWDDNKVSSLSLQDYLLNLSCVSPNTARRFLRFSRLKPQDVLEILLGFESDDGNFEHKVRKIESLWGIFKWASGQSGDFLHVSQSCKIMASMLVEARMFGDAEMLLEKVESPGILLDSREMFSNLIIGYVDTNEVKRAISVYDRMRGLGLVPSVRCYHGLLNKLIQLGETQLAFQLFVDMVQNESGFNIEGKRIYQKLIQMLCIEGKVQEARDLVKKIATDGLKPNALVLNAISSVYCDKKDYDDLLSFFAEIDCAPNVYCGNMVITSLCKSFGAEEAFLFMQELEFLGFFPDEITFGILIAWACHQSKLKDAFFYLSEILSRGLKPHIYSYNALIGGLFKEGMWNHAKEILSEMKDKDIGPELSTFRVLLAGFCRARQYDEVGLVVRDMESHGLVQLSPSEDLLSKAHLLLGFNPSSVKVRRDNDMGFSRTEFFDKIGNGLYLDTDIDKFENTTTKILDDTMIPDINNLVLRDFRPTNPKAMMLLVDDMLHWGQNLSLSVFSVIIESLCMSRCGIRPIANLLERFPKLLSQIDQEILNVLVQTLIKRGHTLNAKIIFDKMVQRRQKITNNTYTAILKGLCKVEGLGCIQQYWELARSDKWVPEDGDFKVLIGFLFQRKMLREALELFQTMMVACPNMQSDLCHDFLEYSCCAGFTLVGHALTEELSKQNFILDGVAYSHLFLGFCKEKRNAQACKLYDIILAKNFALKFDVLGVLIPELCRTRDFKKICNLREIILREQPLPSLAIYNLMNGYCNAGMVGEAANLLQYFLLKDLRPHDEVYNILLQGYCQNKNYQKVTELLGFVMRQSVTISTQSYRRLVSIMCREGRYTSAFNIKELMLKENESSHELIYNILIFYLFLSGNMKIVSLVLEEIQERGFQLEEATYNLVVYGLSSVKDVSCALDYLGIMMSKGIKPSNRCFRALIKCLCRCGELEKALKLSQEMESLGRFHASTIQYYIVEGLLSRGNLQEAVNFLDRMENKDLIPKNIVYDNIIKLLTCSGRPDKAIHLLDIMLRKGNVPSSTSYDCLIQCFIMCHKLNEALDLHSEMLHRKLYPSSNTWNSLIQKVCEYGKPEESEALLHSMVQMGEIPVRETYCTVIDRYCLEKNYKKASELLELMKQHGYKPEFETQWSLIRHLSSFTDKDKSKPRFLSRLLSDSGFVRKGIPEEK >KZM91220 pep chromosome:ASM162521v1:6:23276000:23280036:1 gene:DCAR_021415 transcript:KZM91220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSLLSVSFVISFVRSSIGGAGYAPIVLGLEDFYTRRLYLRIQDCFGRPISSPPDAWVNVVERVSKDNNKTLKRTTNVSRCLNLGSYNYLGFAAADEYCTPRVIDSMKKFSPSTCSTRTDGGTTTLHTELEECVADFVGKPAALVTGMGYATNSAILPSLIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNTPSHLESVLRENIAEGQPRTHRPWKKIMVIVEGIYSMEGELCKLPEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKEVIQYLKHTCPAHLYATSISPPAAQQIISAIKVILGQDGSNRGAQKLARIRENSNFFRSELQKMGFELAVVTVAFPATPLLLARARICLSAAHTREDMIKALKVISSVGDMIGIKYFPAEPKKPQLEDGPVKLD >KZM91644 pep chromosome:ASM162521v1:6:26766963:26771907:-1 gene:DCAR_020991 transcript:KZM91644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTETELAHVSVEATTEGNISLHKENGILNPDSGITFGSHGTEETGKKAVNNLPENGIPKNAVDEWPEPKQIHTFHLVKYRLYDDQKTKFLLDQADKELKKINQARYLITEKLRAKRADRAQVISQLRALVDESKQFRQIIDEKRKEIEPLHQALGKLRSSNTGDRERSFICSSEEELNNVIKSLQYRIQHESIPLSEEKQLIREIKQLEGTRDKVIAHAAVRAKIQDSLGEKESIQDQVKLIGSGLDGVRKEKKVVQDKLDQLDKEKEAINKVIKDLDAEMDLNTENREKAYEKIRELRKQLDEGNAPYYQNRTTLNKARELAARKDVEGLQNLAATEVETFMSLWSTTKAFRDDYERRILPSLDMRQMSRDGRMRNPDEKPLVVRENFPIAETERVVKPQQKPLKEDSNTSINQKEKSAKQQKETSKVPDSEITVAATVVKDEFEKIEKDPLPVINKVEKDPLPVKNKVDEAKLKELKREEEIAKAKQAQERKKKLAEKAAAKAAIKAQKEAEKKQKEITFLPSCFKNREKKEKKKAGASASVETEEPTEAPEDVVGETETADEVKAVPKSKERKEKMAVRQRGGGRAKGPDSLPKIILKRKKATNYWVWAAALAAILVVLLSVMGYYYLM >KZM92665 pep chromosome:ASM162521v1:6:35239043:35252049:1 gene:DCAR_019970 transcript:KZM92665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKEACRNFQRGSCQYGDRCKFLHTTQQQPKPNNAFGFGSQNASQFPRNNAQPQQPNPFGFGVQNSSQARGANDFGSKQNQFKPFENKWSRSSSTTAAASNAPQKSANQPPAPEHKCTDPESCKRQMKEDFEKEKPLWNLTCYGHVKNGPCDILGDISYEELRALAYEDAKSGLSLQSIVDKERNLLKAKLLEFDGLLRNSNTFPPRSTLDTNNQFPGPSPNVFPPAAQNSNPPAFSSFSQLRMTPSAPVNAMAQTNPFQLNAPPSSVPGTVNITSESKGIFGSQFPTQPLGGSFPTVAANISATGTVPERNTFPTSGIMPPVTNLANSQSSIFSNGMNAASFAMTGSNASQSLMVEMPKGNGGGDNSIWLKEEWFPGEVYTGPAILQSEYAASVRLFATAPPATKATEGGARPPSKGDELLKNIFLDVKNKFEKAMGVLRREKITIDPEDQSAVAQYANVMKTVREEAKLFSESQRIEYTIASRTAGIEDARSHMLALKEIRIKRGLTDELGAEAMMMDAVDKIEKQLKKPLMKADKEGMAMLLAEFEKINKKLGISHEQLPKYEEELELKIAKAQLEELKKDALEAMETQAKREEFKDEEMPEVKSLDIRNFL >KZM89476 pep chromosome:ASM162521v1:6:1086703:1094290:-1 gene:DCAR_023161 transcript:KZM89476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWEKLEIQTKNGEGLVGPPCSGPGKRWGHTCNSIGGGKFLYVFGGYGEDNCQTNKIHIFDTANRTWSEPVTNGEPPKPRDSHSCTTVEDYLFIFGGTDGSQPLKDLHIFDTSLNTWSSPCIRGEGPEAREGHSAALVGKRLFIFGGCGKSSSGSHEEYYDDLYILNTETFVWKRAVTSGTPPAKRNSHTCSSWKNKIIVIGGEDLDNFYLSDVHFLDTDTLIWGKVNTLGQLMPPRAGHTTVALGKNLFVFGGFSDEQNLYDDIYNLDFETGYWTKVMTTGDGPSARFSMAGDSLDPTNGGVLVFIGGCNKNLEALDDMYYLRTGILTEPERDERRVEKLSLRKQLKLKCQESTLTPVYDKALVKPETQNYAYQSVPATNFVQQRRQNIHLHEYQAPPGKSTFQAQVTTCLSDGFTIETVIDGKTLRGVLFSTKTGANDLLRKQNQVEVGGSNAQEDHNLNNSPISSMQEVLNDRQADGAPENSVLPDNQIQANTSSNVVVSDAAHLYEAPSDIKLSVAPDVAMEKNNTVLLPYSNSEDTASKTPAAAALVTSPPEQGMNKLELGSIVTIVW >KZM90507 pep chromosome:ASM162521v1:6:16365846:16368212:1 gene:DCAR_022128 transcript:KZM90507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLGISLSLGLLIYAHAMDVTALWPGAGPTRYYEFRVQTMKLKKLCQTNKIVTINQMFPGPVVYAQEDDRVIVRVINETPYNATVHWHGVRQMLSCWSDGPSYITQCPIQSGQTFTYEFSLVKQKGTLMWHAHFSWLRATVYGAIVIYPKLGVPYPFKSPHEEHIIILGEYWMRDVVKLEQAVIADGGVPPPADAFTINGHPGPNYNCSDNDVYKIDVVPGKTYLLRLISATLNTESFFTIANHKITIVEADGEYAKPFTTDRVMLGPGQTLNVLVTANQPIGRYSMAMGPYISGKNVSFQNISSLAYFNYLGALPNSAAVAASLPRFNDNLAVATVMDGLRSLNSGDVPKEIDENLFFTIGLNVEKCQSSNPKKNCQGNNGGVMAASMNNITFTRPNVSVLQAYYSKINGLFTEDFPGVPLKFYDFVNGAPNTAPNNTQSLTGTRTKVLEFGTRVQLILQDTGTVTTENHPVHLHGYSFYVVGYGTGNYNPQSSKFNLVDPPYMNTIGVPVGGWAAIRFVADNPGVWFMHCHLEIHLTWGLSTVFIVKNGKGPLQTLPRPPADLPRC >KZM91934 pep chromosome:ASM162521v1:6:29121274:29122838:-1 gene:DCAR_020701 transcript:KZM91934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPDELWRRILEIGALENTPNLLNYRDFCSLSISCRTLNRLSSEDSYWSSFLASDFPQYPVPHSSAKSLYKLCFKRDKEKKVLAHKRAVLRMESRIAEHSRRISELESLLGKEVMRLKAAASELSNLRNVKQASVALNVWQPEIVRGRQKQIIEQCTVNVKSRISALDMEVKLCKQQIATFDKAHRDETSRLHAAKELLASLTYHPLRDCNLPSSSSCSRADECNSRKKKMKTK >KZM92599 pep chromosome:ASM162521v1:6:34718742:34719024:1 gene:DCAR_020036 transcript:KZM92599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPLGCKFAPSDTEIFIYLDNKVNGHKHPNVKLDLVKELDIYESCPQDHQVQLG >KZM89855 pep chromosome:ASM162521v1:6:6140354:6141789:-1 gene:DCAR_022782 transcript:KZM89855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYPLLFSPLLPPPLPSIPYSSLMPSYFIINGNDSQCCFAWALEYDQVAPCAVLALLIHPSTSHHVINRIFWGFCVYLESVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGHLLTALGYGLWPSMVLISEVVQTFILADFCYYYVLSVFGGQLVLRLPSGVV >KZM90890 pep chromosome:ASM162521v1:6:20184445:20188650:1 gene:DCAR_021745 transcript:KZM90890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSDVKAGGGSSFYNKQGMGVKECENMIPPMVKFLREQMGKTGCNIGDNFIKAVDCENSVAGGYISGEGIVVCSNRLTMQDEVNQVVIHELIHAYDDCRSANLDWANCAHHACSEIRAGHLSGDCHYKREFLRGFMKIRGHEQNPGVRIDSSDCLWKFVSITGAVVSAAPMAECIKRRVMKSLVNNPNCPEPAAKDAMEAVWDTCYNDTQPFDRAP >KZM92634 pep chromosome:ASM162521v1:6:35012561:35013647:1 gene:DCAR_020001 transcript:KZM92634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVAGIVCLISIFITAVPSLGKDYTVGDSSGWALSVDYSTWATGKTFNVGDNLGQDRGSAAGLSSYFIWFYFIVVFVYL >KZM89363 pep chromosome:ASM162521v1:6:63492:65887:-1 gene:DCAR_023274 transcript:KZM89363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMMGYNEAADFNLQDSFNGRKLRPLIPRPNPTSTSSQISRNAATGSSYLHRTHDLLAFNHHMAAMSEQNKRDFNTQQVIVSSRWNPTAEQLQMLEDLYRRGTRTPSTEEIQEITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQLESSQPFEQISIMEEPEKKESGLNRTGFEAEQGQTKNWPPPTNCSTLAEKTVSIERAAKTVTASSEFRADHGWIHFEEGELHQRRTLAERNATWQMMQLSCSSPINYTNSTISPSTVRALDPKLIKSPHQDPVNFFQIPNMTTSDHIRLNYFFGGADHDDRGDGKMAGYDDEKSHTLQLFPLRSEDMLSDENGDEDKDLETSIASMTNSTPSQFFEFLPLKN >KZM91608 pep chromosome:ASM162521v1:6:26459277:26459765:1 gene:DCAR_021027 transcript:KZM91608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEYCRGMEKQGRSMTAAYDRRQEKSYRSLSDNDHPSQGLQLRTILYYLNGQLTKLAVNHALVMQSCFINQTWSPASTTLSREWSLCLFTAPGRWSHFQLGLVAQACNPSGSHKMVGGWIGPEGWASMGLYTDLPIPSREQGHGLWTKARSSFPLKRRALR >KZM92541 pep chromosome:ASM162521v1:6:34197604:34201519:-1 gene:DCAR_020094 transcript:KZM92541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSHDRFVSTNHQNETIATEACKSFNMASSLACRCVNSFPQFRNRLFTTTSLPKPNAVVFLKHIACSSIAAAETLTSDAVVVSGDGKYGRKEIISITPRLYDYLLDNVREPQILRELREETSTMRGSQMQVSPDQAQLLAMLVQILGAEKCIEVGVYTGYSSLAVALVLPESGRLVACERDGKALEVAKRYYEQAGVLHKVDVRHGLAADALKLMIQNGEGCSYDFAFVDADKRNYQEYFELLLQLVRVGGIIVIDNVLWHGKVANPLVNDAKTVSIRNFNQGIMEDERVSISMVPIGDGITICRKN >KZM89903 pep chromosome:ASM162521v1:6:7264047:7264292:-1 gene:DCAR_022734 transcript:KZM89903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREKVTVSTRTLQWKCVESKADNKRLYYGRFILSPLMKGQADTIGEAQWKSYLYQAFFLRLDPISWSMRKGKRISRFSIR >KZM91715 pep chromosome:ASM162521v1:6:27306699:27312649:-1 gene:DCAR_020920 transcript:KZM91715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLILSATRFIPAQNREMSGVVRSFQSSFYGKEIGNKLFVSKDVDTQRRSRATITSLFGRKVKKATVVPTVVPDPDYRIPVVLLGLAGGLGYTDNLLAAAPVGLLGLLLLFQTTRVRFVFDNEALEVKVGKELDESGENVFVGGKNRWKYSTFVNWELWWPNFPVLVYFKETQTKPEGQVHFFPVIFTKVCKYTFYNLSCSIVKIMELVAWFLITGLISFHITYSDAQPADVNVIENGANGDGKTDSTRAFNKAWDVACGITGASAKLVVPQGRFLVKQTTFKGPCKAAKIIVELGGTIVAPDTPKAWDGLDAGVWLVFEAVNGMTLDGLGNKGGFNGNGKGWWDQSCRYHPDLKGCTKLAPTSLKFFKSNNLRIKGVNIVQSPQTHVLLFKTDRVTIDSVNIDSPKDSPNTDGIHIQSANGVSISNCKIKNGDDNISIGDHCLNIKINNIECGPGGHGISIGSLGKDGGQVEVRNIHVKNVSFTDTTNGARIKTWQVGKGVVQNVIYEDIKLEACEAPIVIDQNYCAVRGACKEKAGSGVQISDVVFKNFHGTAALAETIQMGCSKSFPCKGITLDAIDLSPAKGVPCKAICTDAHGTEKGTVKPGPCLLAQANEL >KZM92000 pep chromosome:ASM162521v1:6:29685105:29690934:-1 gene:DCAR_020635 transcript:KZM92000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVQVKKGVIFSVRTCYRSVCKHPFFVGMVIVLFFMHRSFPLLFSFLVSASPVLVSTAVLLGTLLSFGQPNIPEIEKEEVNHEIASLRTGGGWDHSVVERDGSYRVERYSESRSDMDKLVEEVRSTGNDFSDVGNHDGLAESAPLIEESSREFQFEKWENHGESDSHDVVFGHKNERREGDGEVLTNLYTPVQQTVDEFENANLFEAYVGAETLDRTSPLGSPRKHEDEEHEEDDDDYDDDDESDSESDGAESSSPDASMADIIPLLDELHPLLDENAPQLTRMSLEGSDGTSESSSESSSSEDNELDGNIENHEDDLENVDDEEEQEGHADNIDEDKSAIRWTEVDQKNLMDLGTSELERNKRLESLIARRRLRKSMKMMTERNLIDFDSADLPFSIAHISTARRNPFDLPYDDDMGLPPIPGSAPSIMSQRRNPFDLPYDSSEEKPDLMGDDFQQDVMTLQSKEPIFRRNETFNVGPSLFGTSRHESKFKPYFIPERMTSEGTSYGSFHRQSSDLSESKASSVPETESITSDEDLEDKKTIEEDLEHEKPIERDLEHEKPIEEDLVNETELNSIAEPELGSIPEHPSEHVGHGSDSSEDEDSNDIDQEERVDVNDEYKVHLLDAEDYREVSSFFATTTAATSVEPNTNEVHLNTEAVESTQSLSSVSEVNEEIYAGNEDELLSISEPLGGNSNENFTILHQSSMEKSDTEITRKLVDDAQKKEPVYDSTPRALDEKVSSSSVESNLLTEKAVTSSPPSFVPRMISFTQKEYEVNNQDVVETPVDEVDEKLVLAQHQPPSEEHMSQFERGLPLTDQSENEQFPRNTKGLQESTNLIDLDFQELDKIHQDIDPPKTFESSSILPEAIELEAPGADLDEDILDRVGCDETIHVLEHDTFRIEADSTTGNMEDNVEDLDYIEEIDEELLHELDTVGDFGIEDYKSDFHESRGESFLMMHNIMNSSEEQKNRNDCTGVALGDEQKFRASGVEEPATISEEMEEASAFQISETSFGERLDSYNREIFKVEAADLLDSETIIDRPLPEEVATEQNQNAREINSGVPAVEVQSIHDNEFSYKEAEPMLEEVYVGFGEREILHQDSLHVKTEVGMPVLEAHSVDDVPAALGQVDTNVSEKQYAVDLLDSEKTNGKPLPEETATELADANIMQNPNAGETNSGIWGVGMQEIHDNECSYEAAEPVSEKMYVGLGESEVGMPVLEARSIDDIQSVLGQLDANVCEEHAVDLSNLEKTKDKPLPAETASELANSNILQNPNEGEIDTGIQSFEVQEIHDSEYSYKTAEAMSEKLYVGSGESDMLPQDPLHVKSEVGMPVYETLSLDGIRSASAQVDAKGSEEQYALDLVHTELSPCKSLDGYSQHEMINEGSVLPESKFNPTLPESNLINDVKSSLELQQDSLNAETELVMPVLEPRSFNNIESDIDQVIGTDNEKQYALSLLNAKLSLEETVGEYSRLEMLHEGLVPHESESDITVTKSKLVQDSQSAVAQQLGESVDRLIPRGSDGDDLDSAESHDPVETVFGVHVDEARSNDDFDAATVHVSPANAEKLPIADSEIETADLMVDELHSSNSLESRREVSGVVETSSETAEKLFQEAETTKDLKESTVEHKKTKSHKSSSSSSSSSGSSSSSSDEE >KZM91587 pep chromosome:ASM162521v1:6:26261108:26262271:1 gene:DCAR_021048 transcript:KZM91587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQVLLLYSNLASKSSSTSLSSSGSIQEFDNFNILKHKDWLSPTELVKIFQNLKDPNLSLNLLNQVSKRKDYKPNQLFYTTVINNLAAAKNFDGVEEVMKRIKVEKRCRLDDDFFYNVIKIYGHFAGRINRAVETLFAMPQYSCWPTVKTFNFVLNLLVTSKQFDIVHEVYMGASNLGVEVDACCLNIIIKGLCECGDVEAALKVLEEFPKQNCVPNVRTFSTLMHGLCRHGKVEEALRLFERMENEGVEADAITFNILIVGLRKHGRAEEGIKLFDRMMLKGCAPNPGTYQEVLYGLLDMEKFVEAKEFMSSRMFQKGVKPSFESYKLLLQGICDQNLVEDAHWVLKKLVHQGFLPKMKMWKQLIQCVVSGSKNIECISYENITEK >KZM92358 pep chromosome:ASM162521v1:6:32781949:32787526:-1 gene:DCAR_020277 transcript:KZM92358 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MEEKNNSCIILYPSPGIGHLIAMVELAKLVLCRYPDSFSEFIILMTTAPHLNTATTAPYTSHVSATTPSITFYHLPTTPLPSNYVASVEGLNFDLQSFNNPNVRQALETISSKAHVKAFVMDMFCSSASDVASAINIPTYYFFTSAAASLSILLYLPTLHQKNTTSFKDLNAFIQFPGIPPIFSSDMSNPLLDRNSMEYKYFMELAAQMAKSDGIIINTFHSLEPRAITAISDGLCTPNSPIPPIYCIGPLIAEKQTNSKENECLVWLNSQPSKSVIFLCFGSMGVFCEEQLEEIAVGLEKSGHRFLWVVKTPPPKECNESNTSILGLQGPDLSRLLPQGFLERTKGRGGCDASILIDSTQANSAEKEAVPNQTVRGYELIDEAKKNLEVACPSTVSCADIITMATRDSVALAGGPNYTVPTGRRDGLVSNEGDVNLPSPRFTVPQALRSFSDKGLTLNDMVTLLGAHTVGFAHCSFFRSRLSSSGRPDPTMDPALDATLSKLCGPADSNPRAFLDQNTSLVFDNQYYHQVMLNRGVLHIDQQLSLDKSSAPMVSNFAKNGAVFQQSFANAMVKMGSIQVVVGTDGEIRKNCRAFN >KZM90096 pep chromosome:ASM162521v1:6:11118866:11121469:1 gene:DCAR_022539 transcript:KZM90096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEKERRLITKKKLEFNHEDANHLTGEPSPKLAVNDVDEPGTNAGQSGLVESGGNKEMVNPVEGQGCNEYEMQRNKNIAKNQEKFAALGIPILTKSVSEKGKRELKQPNEAAGESDYLPSNEDGGESENDEVDIATSKKIKRTKRQRVVQSTTDGPRTRGQAAKLVDKSQNKEAASEPLPVEKNDAHVPTAKERLQALKSGPGSMLAYNKLREREKLQIETEVAEGESGTQQMMAESGDTPSEQLERLDNLPIGIEDDEAEELLKISDADVYLKTRKRDKTREYKLTEKEAEELDKRFNPDLKINLDESDKSTEAEVEAESVETDHE >KZM90646 pep chromosome:ASM162521v1:6:17763460:17766043:1 gene:DCAR_021989 transcript:KZM90646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTILLPEFRPTCIPSIFTAKSSSHSRRTSSCSHSISFSRNPSHSFSSFSTPLKIQSLCEIRSNQRKITPGVAPISSVYAPPSNDETEDAKLAQVAKRLQQTARYFKRLGNLGFWGQLACTIVSAVILSFSIVVTGKITSPVTFYATAGGIAAAFIAVFWSYGYIRLSDKLQKTAYSPSKAPPRSDVVQSLKNGIIVNLLGMGAAMLGMQATVGWLMAKSLTTSSNPFYQGVSPMSSPVLALDVFLVQVPWEVKIDS >KZM91121 pep chromosome:ASM162521v1:6:22377570:22378445:1 gene:DCAR_021514 transcript:KZM91121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTEIEVLEDSVLIESLQMELKQANGLQNSATKSSPDVVDDISQLIFDMELSQVRLELKIAKKEGERLRCEAMKITDTFEKLKREVNEISVKEIEAQFEIAKLKSEVHREQSKAAAAESSEEKAKRENSALELANQHLALKAEEAKCELQILKAFTIRIDDTITEEERNACISVSVEDYESLVKKAVEGEMANRRIDALERELEIMRARAGEFRTRAEQAIWRAEVAEKAKALLEEERSKWIELEERRKVGFEGPRQESVSVKNSSFLRLKYHEPPKIYTPLGKLLKMKF >KZM92571 pep chromosome:ASM162521v1:6:34473658:34474083:1 gene:DCAR_020064 transcript:KZM92571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETEGFSKGRVPFAWENQPGIRKKDTCKFHESSGAVAMKLPPPPVKQPEGGKAGLIDLQDIPLPPCAFQPPISRNGSKRDMQDDPFLAAYNKCTKSSDSTRRRSSFKKRDGISIFSCKRSCSVRDDSIVRISQLPIQRPE >KZM91064 pep chromosome:ASM162521v1:6:21771352:21775196:1 gene:DCAR_021571 transcript:KZM91064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSAFSLSHTLPTPNPHKLSHPSLHRFNPLISKSVSFNPLTTSSSSSRRFPSFSCSSSPRLNGWISTPEPAKERQSDSLQQVKAADSISESADDEASKSKFKDTLVLGSLFGLWYLFNIYFNIYNKQVLKVFPYPVTVTTVQFAVGSVLVLFMWTTNLYKRPKITGAQLLAILPLAAVHTLGNLFTNMSLGKVSVSFTHTIKAMEPFFSVVLAGFWSAMASNLTNQSRNVLSKKFMVKKEESLDNITLFSIITIMSFFLLAPVSIFMEGVKFTPSYLQSAGLNVNQVYTRSFIAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGVALAGVFLYSRVKRIKPKTA >KZM91670 pep chromosome:ASM162521v1:6:26970850:26973879:1 gene:DCAR_020965 transcript:KZM91670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTYLSTLRGAIRQEVKKLFVGREVGIQDSVEFRIALGNFFGNSRLLHSVPNPCLPNLNVVLEPSLVAAQSSVHLVNRRKNISLVGAISRTFSIPSISGPSLQVCGYHADCLLIEPSQLSLDSHLQKTSMAISSSIALLADCSVNSITSRQGHLLRKTSNADTTYRIICFDSCRKACMKLKNKEPSNSSLYGLLSYHVIKRSGNSYPALGFGMRSFHISAPTLFSAGTAPDVSVENSDRLEQTTSSADSSEKKATAGRSLKLNSGSCYLPHPDKEETGGEDAHFICSDEQAIGVADGVGGWADLGVNAGLYARELMSHSVAAIHDEPKGSIDPSRVLEKAYSSTKARGSSTACIIALTEQGVHAINLGDSGFMVTREGCTIFRSTAQQHDFNFTYQLENGSDGDLPSSGEVSTFPVAAGDVIVAGTDGLFDNLYNNDITSVVVHAVRAGLAPQVTAQKIAALARQRAEDKNRQTPFSTAAQEAGFRYHGGKLDDITVVVSYITNADAA >KZM91184 pep chromosome:ASM162521v1:6:22838663:22845081:-1 gene:DCAR_021451 transcript:KZM91184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMIRLPPPEDTDVFPARPSDLISDDDRSIAADSWSIKSDYGSTLDDDQRHADASEALSAVIYPPPPSDYSSDKEEPDAEQVSSMLGFQSYWDAAYAVELASFREHGHTGEIWFGSDVMEMVASWTKGLCNDIARGQMLNQVDDGTSVSSEQGEKDSKDWSVLDVGTGNGLLLQEFAKQGFTDLMGTDYSEGAIDLARSLADRDGFSRIKFLVDDVLESKIEKKFQLVMDKGTLDAIGLHPDGPIKRIMYWDSISKLVAPGGLLVITSCNHTKDELVQEMDNYNQRMIAASQEPDTPLVNQEAGRDSPLFGYLDHIRSYPTFMFGGSVGSRTATVAFLRK >KZM91170 pep chromosome:ASM162521v1:6:22756464:22758120:-1 gene:DCAR_021465 transcript:KZM91170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGIAKHGLGKWSTIIKDPEFYTALHLRSNVDLKDKWRNMNAVSSGSSRQKCKPIASNTEVSPMVCDESRILSTVVSGDETIRDIKPLATISEDVFRSSSKRLISRLEDHILEAITNLKEPHGSNRGAIAAYIEDTHKRMKTELRFEENTYSHLFHVDGFKMARL >KZM91438 pep chromosome:ASM162521v1:6:25206355:25208232:1 gene:DCAR_021197 transcript:KZM91438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAHVIFDQVRFKNSFLWNSMIRGYACNGDSLKALSLYRDMMIFGQKFDNFTYPFVLKACGDLGVVEIGRKVHCELVVNGFEEDVYVANSLLAMYGKFGDIDKVRIVFDRMTKRDLTSWNSLISGLVKNGASREALVTFWRMRSCGVVADCTTILGLLSACVNLGALEPGRAIHGYVICTDMVCYNVFLMNSLIEMYCRCNSMADAEKLFDEFTQKDVVSWNSMISGYVRNADAFKSLRLLCRMFSEHGLPDQVTLVSVLGACAEITALQFGLSLHAYISKLGFGTNVMVGTALIDMYSKCGNLLCSEYVFEEMPNKNMVSWSAMITAFGLCGRGREALSTFYEMKGSIIPDEGVFASVLSACSHAGLVREGKEIFYSMGKEYNCEPLESHYSCMIDLLGRAGYLDEAYELIMKIKFQATSDIWVALLSACRLHKNIELAEISAQKIIEINPNDISSYIALSNIYAIKKRWDDVERVRAIVRGKRLQKEPGCSFVEVDKVFYRFMVGDKSNRQTVEIFAKLRELSMQLKLAGYKPDLSSVFYNVEDKVKEKMLWEHSERLAIAFAIINTVPGTPIRIRKNLRTCDDCHTVAKLISKLTGREIVMRDIRRYHHFKYGSCSCGDYW >KZM89973 pep chromosome:ASM162521v1:6:9056043:9064734:-1 gene:DCAR_022662 transcript:KZM89973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLVEGLDDGRDVQNADIYKQQVKALFKNLSRGHNEASRMSVETGPFMFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLANEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNISKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKAKDLNRQSKQSRFTGNGELVCDRGRSGSILTLFRKFLLPSVSKFDRSLDSHEAGRKLMNLQQDVTEQYSLKPTYLVGRKQSYADSVIDHVSPHQIQSSSLALIITNALMFYTPLEALAETCESARSVLEMPVLLFVALVGATVGGLLARQRKGELNRLNEQLRQINAALRRQAKIESYAPALSYAPVGARIAESEVIIDPRKQELVSHLKTGKNYLRNQDPEKAFVEFKTALELAQNLKDSIEEKKAARGLGASLQRQGKYREAIKYHSLVLTISEREKEDSGNTEAFGAIADCYTELGDLERAGFYYDQYIARLETD >KZM91593 pep chromosome:ASM162521v1:6:26312412:26314156:-1 gene:DCAR_021042 transcript:KZM91593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVSWVRGGDVTAIGDPGMKRDGLRVAIEAWNQCNEVGEEAPDMGSPRQADCFDLLPHHSTPTSSLPFELIHRVNQTDNMYGIMNAQKQGLKDIDLDKYAAWKEVYLGTKCQVSDKPTPWQFWMIMLKSGNMDTLAAVCPENGKKAQPFPQESRFPCFGQGCMNMPRIYHDYTSVQGNSSMKGRFYGTWDLSANITTAKTANETSYYSVTWEKEIGRKGSWVFHHFLKTSTNYPWLMLYLRSDATNGFSGGYHYQTRGMTKIVPKSPHFKVRFTLDIKQGGGPKSQFYLMDIGSCWKNNGQPCDGDVTTDVTRYSEMIINPGTEAWCKPDDLGKCPPYHTLLNGTKIHRSDTRDFPYDAYHIYCSPGNAEHIESPFNYCDAYSNPQPQEILQILPHPVWGEYGYPIRKGQGWVNDSRTWELDVGRLSQSLYFYQDPGAAPFERHWPSIDLGTEIYISDNQVAEWTVSDFDIIVPDTQSAEA >KZM90124 pep chromosome:ASM162521v1:6:11396056:11396388:-1 gene:DCAR_022511 transcript:KZM90124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFEYLICCTSSSSISEASSSLRPDDSKCVVPVLQPDRPRYRDRRKCSISPRKSGSAPADWRPSLNAISEDNIVAVKRHNSSTSETTAKRKDSLMHKSKVRTSRSEDYR >KZM91244 pep chromosome:ASM162521v1:6:23504115:23507441:1 gene:DCAR_021391 transcript:KZM91244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPTSDDRKPLPENPNSVKLEHVLIALRETKEERESRIKSLFNYFDGANKGFLDYSQIEVGLDALQIPAEYKYAKDLLRVCDANKDGRVDYVEFRRYMDDKELELYRIFQDIDNIYHYWERVYLVDIGEQAVIPQGISKHVHASMYFIAGGVAGAASRTATAPLDRLKVMLQVQTTRASVLPAVKAIWKQGGVFSFFRGNGLNVVKVSPESAIKFYAYEMIKKFIGNVNGESKEDIGTSCRLVAGGLAGAVAQTAIYPMDLVKTRLQTHVCESGKVPSLGKLSKDIFVQEGPRAFYRGLVPSLLGIIPYAGIDLAIYETLKDMSKTYIVTDGEPGAFVQLGCGTISGALGATCVYPLQVIRTRMQAQHSNADAAYSGMSDVFKRTLQHEGLRGFYKGLFPNLLKVVPSASITYMVYEAMKKNLGL >KZM91990 pep chromosome:ASM162521v1:6:29611246:29612645:-1 gene:DCAR_020645 transcript:KZM91990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLNTSGGVNMCQDLNFDPSSLDPQAALSMEYLGLRDAGMGRFQMSNRVTHAVLNEAQNENLLLNQQQHINADEDEDTEHRRNIGGHTKLCSRGHWRPHEDDRLKDLVAHYGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPKINRRAFSKEEEERLLGAHRMYGNKWALIARLFPGRTDNAVKNHWHVIMARVHREQNSIYRRRKPQLSFTHDNNTHVIPTLNQHDPHQILHNKTPSEYSTDVSSNTNINNVGIHYYNKEDQYSAASTCTNLSLKLPTASLSRFSPAHYHQHQPLFGSFMGACTSSGDEVSKGMAMATLAAGGKGAVYEQCGGANSEDSNSEVVSVYATDSVANNNAVNLYNYMMYHGEVDQYDRKQKKIHFIDFLGVGGG >KZM89388 pep chromosome:ASM162521v1:6:342271:347422:1 gene:DCAR_023249 transcript:KZM89388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAVSWIPKGVSKPVPEAAEPPSKEEIEKLIKSGVLEESGDGESEQDDEGMDVEAVEEPDEVARALAAADAMGKSSKSIGFEDIADGLRELDMDNYDEEDDGIELFSKGLGDTYYKDNEEDPYLKDDGNDSEELEDMVIKAEDSVIICARNEDDLSHLEAYIVDDDQNMFIHHDIILPAFPLCTAWLDCPIKGGEKGNFVAVGSMDPAIEIWDLDIMDEVQPSLILGGIAEEEKKKKKGTKKSIKYKKGSHTDSVLGLAWNKEYRNILASASADKTVKIWDVATGECNITMEHHTDKVQAVAWNNHAPQVLLSGSFDHSVVMKDGRIPEHTGFKWSVNADVESLTWDPHTEHSFVVSLENGTVSGFDIRAAKADASGPKPSFTLHAHDKAVSSISYNPRIPNLLATGSTDKMVKLWDISNNQPSCLASKNPKAGAVFSIAFSEDSPFLLAIGGSKGKLELWDTITDAGISRKYGNYSSQKKNSTSND >KZM90945 pep chromosome:ASM162521v1:6:20724233:20732602:1 gene:DCAR_021690 transcript:KZM90945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSARMRGIHFSKLYSFSCFRTLFKDEHSRLGEKGYSRIIYCNDPDNPEAAQLRNRRNYVYTTKYTVVNFIPKSLFEQFRRVANIYFLVIACVSFSELAPYTAYSVLGPLILVIGATMAKEAVEDWRRRKQDIEANNRKIEVYGKSGTFVERWKNLRAGDLVKVYKDDYFPADLLLLSSNYDDGICYVETMNLDGETNLKLKHALNLTASLRDDDSFKHFKAVIKCEDPNEDLYSFVGTLSYHGQQSPLSLQQMLLRDSKLRNTEYVYGVVVFTGHDTKVMQNATDPPSKRSKIERRMDKIIYILFGVLILISSVGSVFFWLSTRNDIRNGELDRWYLRPDDTTVLFDPKRALLAAFLHFLTALMLYGYLIPISLYVSIELVKVLQSIFINKDQEMYYEETDKPAHARTSNLNEELGQIDTILSDKTGTLTCNSMEFVKCSIAGVSYGRGMTEVERARAMRKIYEHPEVGDSLTNLLKTSDAEVGSSKSIKGFNLSDERIMDGKWVREPNRDIIENFLRVLALCHTAIPDVNKESGKISYEAESPDEAAFVITARELGFEFYERTQTRLFLHELDPLNRRMVNRSYELLHVLEFSSARKRMSVIVKTEDNKLMLLSKGADSIIFGRLSDEGRGFEAETKEHISQYSEAGLRTLVVAYRELGEKEYKLWAHEFFNAKTSLAADRDEVLDATADKIERNLILLGATAVEDKLQKGVPECIDKLAKAGIKIWILTGDKMETAINIGYACGLLRQGMERIVISLDNPHIDAVEKQGDKEMLAKASGESIARQIQDGRSQLRSFKESPIDFGLIIDGKSLNFALSDGLEHSFLGLALSCVSVICCRSSPKQKALVTRLVKVGTGSTTLAIGDGANDVGMLQEADIGVGITGVEGMQAAMSSDFAIAQFCYLERLLLVHGHWCYRRIAMMICYFFYKNIAFGLTFLWFEAYASFSGQAAYNDWYMAFYNVFFTSLPVIALGVFDQDVSARLSLKYPLLYQEGVQNTLFSWSRILGWMFNGFISSVIIFYFTTNSITLQAFRSDGHVLDYEILGVTMYTCVVWVVNCQMAISINYFTWIQHFFIWGSIAFWYLFIIIYGYLPPTISTTAYKVLVEACAPSPFFWLVTLLVVVSALVPYFTYRAFQTRFHPMYHDIIQISRSEGREKMSPAELTEQGKDGSISITHIED >KZM91991 pep chromosome:ASM162521v1:6:29626111:29627613:1 gene:DCAR_020644 transcript:KZM91991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGSLEMMIDKSGKVLYFFYGPTSQTSAYNTELEALIFLLRTYFNSEYKVSSLAVFMDSLNLAQHFNSRKLHSNGPIWSWAHSVTAVYIESCINTLADSMAKEDWDQGMGIQHPDFMDIMVPFEAPDPVDFNVSIPLAEQVSDLGLGQINAPRVARMELDVDEMNDVIDGAVEDSFIASFGPKGPLWAHEPPLGEMD >KZM91405 pep chromosome:ASM162521v1:6:24862817:24865576:1 gene:DCAR_021230 transcript:KZM91405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRREDSNPFADEDLNPYSKPGNFPSQNSRLKPLSHEPVDYNRDATVEIPLDSSKDLRKKEMELQAKENELKRREEDLKRREDALARAGIVIEEKNWPPIYPIIHHDIENEIPVHLQKLQYVAFTTYLGLVLCLVWNLFSVTAAWIKGEGVMIWLLAIIYIISGVPGAYVCWYRPLYRAMRTDSALKFGWFFLTYVFHISFCCLATIAPPMFFKGNSLTGILPAVDLFRSSTLVGALYFVGCVFFAIESMLSIWVIQQVYMYFRGTGKAAEMKREAARSMAAAL >KZM91117 pep chromosome:ASM162521v1:6:22336198:22337486:1 gene:DCAR_021518 transcript:KZM91117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPSTLIFSATSSTTAASVDNLWPCSLSKSSLSRNPPAKQSSDVVSTSSTAKWRTRVSFFPAFLNNPKKNAKLIKEELLEAIAPLDRGAEATPEDQQIIDQITRRLEAVNPTKAPLKSELLNGKWELLYTTSVSILQIKRPKFLRSKVNYQAINADILRAQNMESGPFFNQVTADLTPVSDKKVGVKFDYFKIGGLIPVKAPERAVGSLEITYLDDELRVSRGDKGNLFILKMIDPSYRVPASG >KZM91551 pep chromosome:ASM162521v1:6:25981031:25982785:1 gene:DCAR_021084 transcript:KZM91551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGRSTLSRTGSFRPENLGQNALAMIGNLCFTLFVLVVLVFTIIAATYEPEDPLFHPSSKITNFLTSDLNATFKSDSTVVKTGEDFMAPNQTVFETTFINVTDVNLGVPLREDINADEVTPFECESQMGKPIDCTDPAVFNLLMTSAIEEFKDIHFYRFGKPVRGYNDSSCHMAWRFRPKEGKTAAFYKDYRSFVIHRSDNCTCSFVIHRSDNCTLSVVRIGDYHSGGNARKRKRNQRPGFEKPQMNQEQQGAALPVVGEFVNDTLPAIETEGSFSSGKYLLYDGGGERCKSMDHYLWSFMCALGEAQYLNRTLVMDMSICLSSIYTSSGQDEEQKDFRYYFDFEHLKESASVLDRTQFWVDWNKWHQKDGLALFLVDDVKITPMKLADVQDTLVMRKFGLNEPDNYWYRVCEGEAESVIQRPWHMIWKSRRLMDIVSAIATKLNWDFDSVHVVRGEKARNLELWPNLAADTAPEALISTLQEKVDEGRNLYIATDEPDTSFFDPLKDKFSTHFLDEYKELWDENSEWYSETMKLNSGVPVEFDGYMRASVDTEVFLRGKKQIETFNDLTRDCKDGVNTCSTAS >KZM91215 pep chromosome:ASM162521v1:6:23242446:23247830:1 gene:DCAR_021420 transcript:KZM91215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVPPPKTTSELNDDGSAPLRAYQAWKGSNVFLLQGRLIFGPDIRSIFLTIFLIAVPAGVFCGFIGRKLMDEYSDSFGIWIVVVTVLFTIYVLVLLLLTSGRDPGIVPRNAHPPEPENYDGTNVVGGGQTPGLRLPRIKDVVVNGITVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVSSATLLCVYVFGICWVFILRVKDRENTSIWKAMTNYPASIVLIIYTFIAVWFVGGLTVFHLYLISTNQSTYENFRYRYDRRDNPYNRGIVENFKEVFFTSIPVSKNKFRAKVQKEPGIPPRSLSVGFVSPNLEKTMGDVEAGRKPVWDDTATGPSEIERELSNNDGVNRGGAEASQDLSRIIDVEGTEGRSILHPRRSSWGRRSGTLNIPPDVVAMASGESNRVTDSKDKNLTGGDWQ >KZM91073 pep chromosome:ASM162521v1:6:21821129:21823518:-1 gene:DCAR_021562 transcript:KZM91073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRVFGRALCTAAKSESSAAAAASMARMGHNPLEEFFETDRSPDEDKPIVYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLNSQNLRFPNPERIPKVRKSMCRIKHVLTERAIEDPDPRRSSEMKRLINAL >KZM89651 pep chromosome:ASM162521v1:6:2883610:2884308:-1 gene:DCAR_022986 transcript:KZM89651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFDIKVEKANAILRYNRHQKITTLFRFIEVCMFLFIVSRISTHLPLAFKVCRDCIQALSITISSPGFLFVLGNAIVVVLFMKSGHLSAQETKNLNPAIEFCNEYVEGCENKMNIKVKKQRRKQGKKQGNCEENEVVLDLCSFEDRTMRRSQSENFMKYEPQDSCRKLRRTVTENGSTPSVHKTGEQSSKPKYYAEDEMSGEEFRHAVEAFIARQQRSLREECSSIVTYGT >KZM90292 pep chromosome:ASM162521v1:6:13888850:13892537:-1 gene:DCAR_022343 transcript:KZM90292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERFAYYGVSGNLMSYLNNVLGMPISTAAKNVNVWHGVSAIFPLLGAFLADSYIGRFNTILFSSIIYLVGLVLLTISVSAISLAHRKPIFFLALYILSVGEGGHKPCVQTFAADQFDENVPEEKLAKSSFFNWWFLGIVVGSTSAILVVIYVQEHIGWAIGFGMPVVAVAGALCIFLIGRKTYRRAVPVGSPFNRLMQVVVAAVRKRHVSEMQDGQGLCYHDEDRTAPALARTNQFKFLDKAMIIDETDVSNQKRNKWRLCSVNQVEEVKLIFRLFPIWISCFMFAVVISQLGTYFTKQGSTMVRSFKIPAATLQVITGFTILIAVPIYDRLLVPMARKITKHPSGITILQRMGVGIFISVLTMVVAALVEAKRISIAKKHGLIDAPKSVVPMAIWWLVPQYVLSGLSDVFTVIGMQELFYNQVPEEMRSMGAAMYISTVGVGSFMSSGVISIVQIISSRNGKEEGWLAGNNLNRAHLDYFYWVLAALSGLSLCFYVWVARGFVYKKIECDDNTSAEA >KZM89854 pep chromosome:ASM162521v1:6:6138640:6139880:1 gene:DCAR_022783 transcript:KZM89854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAEIMQESKRANPGKATILALGKAFPHQLVMQEFLVDGYFRNTNCDDPELKRKLTRLCKTTTVKTRYVVMSDEILENYPELALEGVPTITQRLDICNKAVTQMAIEASQDCIKKWGRPVSDITHLVYVSSSEARLPGGDIYLAKGIGLKPETNRVMLYFAGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPNVNRPYDLVGVALFGDGAGAMMIGADAIPWVERPLFELHTAIQHFLPDTEKIIDGRLTEEGISFTLARELPQIIEDNIGDFCNKLMKVAGNGERDYNNMFWAVHPGGPAILNRLEKKLDLSPDKLNASRRALEDYGNASSNTIVYVMEYMMEENLKVKKEGEADTEWGLILAFGPGVTFEGILTRNLSV >KZM92167 pep chromosome:ASM162521v1:6:31131475:31133184:1 gene:DCAR_020468 transcript:KZM92167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEARDRLLRPGNDAEQLFNRLRRSSGSSEIRSDDSPVVSTGTAGPSAVAAARATASARRRRNLNRYSGGENRQVGRRVSRRVLPYWYPRRPLQDITAIVRAFERKRARLREVDQRFESPLPYGQFVHDLSESSSGAPLEHLSLITPKPTPLTRRNPPFLGKVSDILRDVTNQEAGESEFLTPEKKLLDSIDKVEKAVEEEISRLKETPAAKRAVRKAKVRTLMSMR >KZM91554 pep chromosome:ASM162521v1:6:26020595:26022636:1 gene:DCAR_021081 transcript:KZM91554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIFKSNPSCLAFTLFGFLFLGLITVLFSAGHSNFILSFASLPSSTASSSSNSTSSRPNPDTALYPFFTDKKKQKAVEEMIKQLEAESLLRRGKTRDEKLEKIESGLARARALIKQAAASRLNRSRSSPLKDPDYVPHGDIYKNAYLFHRSYLLMEKRFKIYVYEEGEPPLFHYGPCKNIYAMEGLFLNFMEVDTRFRTYDPDQAHVFFLPFSVVMILEHLFHPVIRDKAVLGRVIGDYVRMISSKYPYWNRSLGADHFMLSCHDWHWKGKDEDILVYEEVPQDISYQEMMKKSKYCLCPSGYEVASPRIVEAIYAECVPVLISQSYIPPFSDVLNWDAFSIKVSVSDIPNLKKILMNISEEEYIEKYKRVKQVQQHFLVNDPPKRYDVFNMIIHSVWLRRLNLQILY >KZM92334 pep chromosome:ASM162521v1:6:32594023:32596454:1 gene:DCAR_020301 transcript:KZM92334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIPPKSFSIAASAPTPGKGCLDGTFYFPEQLIPEILLHLPVKHLLRIRCVCKPWCSLIDSPSFVKRHLQRNIETNPDSHIILRSCSSGSNFFMADVHSLCNSPAVEIDDPVKTYLSGAEFLGSCNGIVCLLKNNTDILLWNPATRKLRELPKPTSFTLPSSFMGFSIFGFGYDHLNDDYKVVKIFDYQIWGMLVTVYSLKNDSWRQAEAIPKDISITTKRGMYANGSLYWVATKDSPVIFAFDLGVERHRELPYPTYNNENDQTAGMGMIIFDRCLRIIDHYSGYRTDLWLMNDNGVGNSWSMVLSLEQRDTQGPYTIVWHNEFSKTRNDLFITVDEDRLVWYDHKKNEVKNVTIRGVPPPSQMLVYTESLVQLGSDPNFNRMKLLKQSDKKKYKGKQLKNDQISCIKEVGVFGIAGTMY >KZM89641 pep chromosome:ASM162521v1:6:2789257:2796714:1 gene:DCAR_022996 transcript:KZM89641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKHGWQLPAHTFQVVAITVYCLLVVAFYAFFAPFLGGRIWEYSLIGTYSAVALLVFVLYVRSTAINPADPGIMFKFDSKIVNQYDQKHGLLAKDQAKNYDEHSAGGHSSVSSASISSLPVPNSSRKGSVGGSGRTGTQVEIRKTFCSFGWIFCALFVYEDCRKEEGTAEQDGTAEEALFCTLCNSEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYLTFISLMATSVLWLLIEAGVGIAVLVRCFVSKHSMEAEIVDRLGNGFSRAPFAAVVAVCTAVSLLACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEDLPNVLYSPSGSATTGYSGGSSIGLQYKGAWCTPPRVFVDYQEEVAPQLEPGVLPSTVDPDAVGFAERGNKMPKRPVRISAWKLAKLDSNEAARVAAKARASSSILRPVDNRRIPDVEMSSSGNMSVRSSLSADTGANRDLKNEMGISSMRNSFAPSQGSRDEYETGTQSASSFSSPGHVHESVTLSPLPHAHGLTQIKASNPVSGLFQNQSISIVPKATFPTNKNPVMHTSSGFDDMVQKGASADHVIQSAPGQAQSSSLFRDVKKTSVVWDQEAGRYVSVPVSASETRPKSSMQIGLANSNAGNNDRRSAAFPSREPSQVEQGEKLMYTGESIFFGGPLFSQPSKDGLRNERGSGSRDGQDRLPWNLPRDSRFKRDATSNQLPVFIPGGFEQSTPSKSGPS >KZM92410 pep chromosome:ASM162521v1:6:33195136:33210965:-1 gene:DCAR_020225 transcript:KZM92410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTVSTVEDPEKKKKKEEKAKEKELKKLKAAQKAEAAKMKAQQGTKGSKKKNTKREAAEENATDYIDPHTPSGEKKQLSSQMAKQYNPVAVENSWYQWWEKSNFFVADSSSSKPPFVIVLPPPNVTGALHIGHALTAAIQDTIIRWRRMSGYNTLWVPGMDHAGIATQVVLRTVVVEKKIMREKQLTRHDLGRENFVSEVWNWKNQHGGTILKQLRRLGASLDWSRECFTMDEKRSRAVTEAFVRLHEEGLIYRDLRLVNWDCVLRTAISDIEVEYLDIKERTLLKVPGYKKLVEFGVLTSFAYPLEGGLGEIVVATTRVETMLGDTAIAVHPEDPRYKHLHGKFAIHPFNDRKLRIVCDAILVDPKFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGMINSNGGSAFIGMPRFEAREALTKALEEKGLYRGAKNNEMRLGICSRTNDIIEPLIKPQWYVNCKDMAKEALDAVMCEERKIEIIPCQYAAEWRRWLENIRDWCISRQLWWGHRIPAWYASLEDDQLTEVGAYSDHWVVARNEEEAHIRAKQLFAGKKFQLSQDPDVLDTWFSSGLFPLSVLGWPDETEDFRAFYPTAVLETGHDILFFWVARMVMLGSKLGGDVPFRKVYLHPMIRDAHGRKMSKSLGNVIDPLEVITGISLDGLHKRLEEGNLDLSELQVAKEGQRKDFPDGIPECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKLGDDYIPPSNFVYEGLPFSCQWILSVLNKAIAKTVKSLDSYEFSDAATAVFSWWQFQLCDIFIEVIKPYFYSNDPTLISARTSAQDTLWLCLDNGLRLLHPFMPFVTEELWQRLPSPRDSARKESIMICEYPTVIEHWTNEKVENEMNLVESVVKSYRSLRAELPANERNERRSAFAHCQTDEVAETIKVHESEISTLAALSSLQVLRQNDDPPAGCSVSIVNENLSVYLKLQGNINVEAELEKLKKKMEEIQKQCDNLNKMMGASGYQQNAPRHVREEDQARLEMLMQQLSLCEDATQRLGKATDASENGGNGN >KZM89411 pep chromosome:ASM162521v1:6:537596:541177:1 gene:DCAR_023226 transcript:KZM89411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERELDYILVPSGVVVLCVYHVWLLFTIKRRPTRTVIGINAQSRHQWVFCMMADPVKNGVLAVQTIRNNIMASTLLATAAITLSSLISVYVSSSSDTASRSSELIFGNKTRIMSSVKYFTILLCFLVAFLCNVQCIRYYAHVSFLVTLPSSKDSTESIEYVARNLNRGSLFWSLGLRAFYFSFPLFLWIFGPIPMFLCCWIMSFVLYFLDTTTSFTRKLHKHSIREHKEAEDVESGYQTS >KZM89611 pep chromosome:ASM162521v1:6:2494285:2504145:-1 gene:DCAR_023026 transcript:KZM89611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKNKTKAFKGGESEFSPATVFVANLPFTFTNSQLEETFSDVGPIRRCFMVTKKGEAEHRGVGFVQFATTEDVNRAIELKNGSSIGGRKIGVKHAMHRPPLQQRRSKGDQVVHEDGDLKSNNIPSEVINHKQDQATVPKELENSVGGLDNLEEKKVEKVTEKNFCKRDKPEEKKADIPVVKKAVKVAKKNVCEPDKLEVKDVTFTEENVHKPRKPKVKKIAEGGSDEKSYSEKQRLARTVIFGGLLGADMAESVHHKAKELGTVSSIIYPLSRDELNHHGLREDGCKLDASSVLFTSVRSARGCVASLHQKEICGGIVWARQLGGEGANTQKWKLIVRNLPYEKIETKEDSHKTKMKGNKEVWRYPKQRIEIKEVFSRAGFVWEVIPQKEFQRFAFVKYTCKQDAEKAIKMFNGTKFRGRPIAVDWAIPKKLYAAKVTQPSEEGQSGSGEDDDDMDTETEEDDNDMEEDDNNSDEILEASDVGDIPVESDIAEKDRAEVDFDEEADVARKVLNTIMTSSSVEAHISAKDLSVAPKSDKNTGTTTVPRKSVAGTTELAHDSKFGNDGKSESEAQELQKTLFICNLPFDVNTEEVKQRFSGFGEVQYFSPVLHHVTKRPKGTGFLKFKTVDAADAAISAASAVAGLGIILKGRQLKVMKALDKNSAHSKELEKTKKEDHDHRNLYLAKEGLIVEGTPAAHGVSVADMSKRQMLERTKAMKLQSPNFHISRTRLIIYNLPKSMTEKELKRHCIDAVTSRASKQKPVIRQIKFLKDSKKGKVVTKNHSRGVAFVEFTEHEHALVALRVLNNNPETFGPEHRPIVEFALDNIQTLKQRQEKIQYQQQQFQLQGSGQDAKSTQRSFDSHLRDNRSSMSRSGGDFTSFKSVEPRRENKKEHEALEGAAAEGKFNRRQKLSPIKWEKKNDLKYNDSEEVRRPNGRKPNDGVTPHAVSEVRANNSRPGDDGRKKRKLQDGTVLKKDFSSNERKKSKKSDPLGRDMEDELDMLIKQYTSKFSGKAEGEKQGPPRQLKRWFQS >KZM91287 pep chromosome:ASM162521v1:6:23836786:23841016:-1 gene:DCAR_021348 transcript:KZM91287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEFEKRLQQIEESNIYVIISSAKVNEYEGLPSLNNYPATRFFLNIDHYSVKQLKARMLEIPNSDKLEEVVAEPISPMLSIADIKQLSHEYAKRKIRCQITVKKVDVNTNWYDNVCTTCGDEVQLQEGRFRCEKCVRNIPFPDKRFRLATICNDETGVLAILLPDDEIQRILGKNAFDIEYDENEVSAEITFPPSLKSFEKKQFVVTLLITDQNVKKTCSVYNAIELNDPVEVLGNHSPLKKQDITSDPISETMVVDRTPDTSSSPPTGKSTTKVRSRTTDGEASDSMYENVPLAKFKIVKTEKKARMHAFVPTKLEEQVDNLVDLGKIYLLENFTMKDYKIDEKFRCLRKDIQIVFGEETRITELQEQDISIEKTWFDFYDLAEIKPLSIQTTYLTDVMGVVEKHDPIGKLQNRHGKIQSQIKFGITDGRTSVKVTLWDDFAELFAKYMELDKEEYKILILGCARITKWGEEVVITHVHSTTIYLNYDIPCVHQLQRRISHPTFPKERIFKGGMRSTQIYTVKNIKELGPQFDECCRFELHTMATDETGEINIILADREVRRMIGKNALHLFEEGNKEEIYPIIFNNLTNKFYSIKLLIKEANTKHKVDAYLATDIMEGSYSEIKQETEHSYPQPIQSAETHHSQSSYHLDSAN >KZM89852 pep chromosome:ASM162521v1:6:6129305:6129526:-1 gene:DCAR_022785 transcript:KZM89852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLLLPLGEGMMSGCIGMKKSETEKKSQEKKSRMDSTQALDNLVIWIPQYMYGSCKACGGVCTRKDLFGGLD >KZM91454 pep chromosome:ASM162521v1:6:25288554:25289239:-1 gene:DCAR_021181 transcript:KZM91454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSFASQDPEESGWTSYFDDFFSNQKQEHDSSYSNNKTILVPDAASFVNDDEKFYKTGQLLPSASNMPKFSKKLDVKKPSTKKELLYDDSLDDTASSPVNSIVQEADTIPQLPRDQGSEKRALESLLKERKMMV >KZM92351 pep chromosome:ASM162521v1:6:32752033:32752683:-1 gene:DCAR_020284 transcript:KZM92351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEEEHQPTHPLACSSCHARIEQEAASSEKQTQLKSRLKYYFFIVALTAVETGIILLFALTILKFKTPSFRVRVVAVQALRVGNTSDPYFSMALKAEFNVKNRNFGHFSYENSTVYFYFEDVKVGKAFIHKSRVDARSTRRFFISVKLTSSYVSRNSILCIQDLNAGILPISMQAKLRGRVTVLKLLKNDRATILNCKMDVVVKKRQLKNLKCK >KZM90682 pep chromosome:ASM162521v1:6:18034654:18043714:-1 gene:DCAR_021953 transcript:KZM90682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNSDETAPSSSRPWQTYHTVFTNAKAGMEGVDKEKVQRIVYEMSKGSKYYENEARKEADMKVKIENMRSQYAKLTASDISHYQKVADKRILELETTRDLSRIWLHVDMDAFYAAVETLSDQSLKGKPMAVGGMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPVDFKKYTHYSDLTRKVFQRYDQNFMAASLDEAYLDITDVCSKRAITGAEVAEELRELVYVETGLTCSAGVAPNRLLAKVCSDINKPNGQFILPNERIAVVTFISSLPIRKIGGIGKVTENVLKEVFGISTCEEMLQKSGPLCALFSHSSAAFFLSVGLGLGGTDTPQAKFRKSISNERTFSATKDEALLYKKIVELAEMLSADMKKEGLSGRTLTLKLKTASFEVRTRAVTLQNYIYSSEDILKHASKLLKAELPISIRLMGLRMSHFREDHDGFLGDPTQKTLSSFFGPGDAKKVKADDNVTIGNDVDASCLAANKETGLALDNHELCCDLRDHSDTNQVLDHSSHTSSKYCMEMEESDKLPSNASEVKLNSLNTSRHQPSSYKSDDAQEIKTLSRLVGESSIGQRLQQDQFSNNAGSSSNYNKEFSLWVDDYKCSLCGAEIPPNFIEERQDHSDFHFAERLQEEESNNYNGNLTLKQRIVEKERIRSHGRGKKKQKIAPTPGKHLPIDVFFSKRT >KZM91708 pep chromosome:ASM162521v1:6:27258146:27261408:-1 gene:DCAR_020927 transcript:KZM91708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLVTLGGIGGVLDLSSRVGKEQKIAMELAVQDFYSSTCYNLPIHLKDLHGNFVHTASDVIKLVEEKQVQVILGVLTLEEASLVSGFNNGTTVISLPPTAISPRLSPAEPPHLIQMSHDIRIHVRCIAALVGHFKWRKLTTIYEQRNAFSTETDLMITELSESLQNVESTIEHHFTFPPVSSLKNSAAFISNELLKLKSLNNRVFVILKSSLEFAILLFERANQMGMMGKGYVWIISDDLSSQLDSIGQPVISNMQGVIGFKSNFVDTSDSFEEFKHRFRKKYISEYPEEEHFNPSINALRAYDATWMIANAMATAEGMKGSKILFENILSSNFNGLSGKISFNNGKLEEPPTFRVMNVIGKSYREIATWSPEFGFSVNFNEEKGRDMRIGNGLVGELGSVYWPGGEQTVPEGWFLGNKEKPMKIGVPARGAFNQFVNVRYDQNQNETNVAGFSIEVFEAVVKQLSYNFSYVFVPYYGSYDDMVADVYNKRLDAAVGDTEIMADRYKIAGFSQPYMESGLVMVVTVKPDSTKESFMFLRAFTMKMWLLMAVMSLYTGFVVWLTENVESNPDFESSSVSHHVGKMLWFSVTVLSFAQRESIRSNLSRFVLATWLFVIVVVTVCFTASLTSIITVQRIQPSLVSIEYLQRTNAAVGCNGNSFIVQYLINVLHFKPENIKKINSIRDYPEAFEKGEIRAAYFVAPHAKVFLAIYCQGYTTAGPSYKLGGFGFVFRKGSPLVNDISEAILKVTESGKILELEKHMLSASNCNSSSIDISSGDTSLGPEAFSGLLIISGCISAVALLISVARLLTTSPLILSFTQGILLRRRIGRWTSSFLSRSDTTEESASSERAQDSMGIELAAWNQT >KZM91130 pep chromosome:ASM162521v1:6:22447495:22449968:-1 gene:DCAR_021505 transcript:KZM91130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVSAAVSLPSSSSTSLSTRTSFISTDRLSFAKVPLTFRNVSSGGKLVSIRAQVTTEAPAKVEKVSKKVDKDIVTNKYRPKEPYVGKCLLNTKITGDDAPGETWHMVFSTEGEIPYKEGQSIGIIADGEDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDQGEEVKGVCSNYLCDLKPGADVSITGPVGKEMLMPKDPNATVIMLGTGTGIAPFRSFLWKMFFEKHEDYEFNGLAWLFLGVPTSSSLLYKEEFELMKEKKPENFRLDFAVSREQTNAKGEKMYIQTRMAEYAEELWELLKKDNTFIYMCGLKGMEKGIDDIMVSLAAKEGIEWIEYKRSLKKAGQWNVEVY >KZM92417 pep chromosome:ASM162521v1:6:33246149:33247996:1 gene:DCAR_020218 transcript:KZM92417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLELSTKKKKSKPKTEPDSDPDYLIKPQSFTPPINTSDWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLIEYLRYGVMNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCIARLHSDVGDKAKVARALESLSGAVFQRPPLISAVKRQLRIRTIYGSKMLEYDAERHLVVFWISCEAGTYVRTMCVHLGLILGVGAHMQELRRVRSGIMGENDNMVTMHDVMDAQWVYDNFRDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDVEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPKASMKKKLVSEGKLDKHGKPNESTPAEWMRNVVYPTGGDSVVASLAAGTESVNVKAEIPVEEVEKTKKKKNKDRENEEDGRKRKLEEADASHAPEHTKKPKVEEAEETLDSEKKDKKKKKKKNQDDEINASDGETAKKEKKKKSKVKEEVDVSGEEKSEKKKKKKSKVKEEVDVSGEEKFEKKSKVKEEVDVSGEEKSEKKKSKDAENRHSPAAAVGSDDEVDKSKKKDRKEKKKKKNKDGE >KZM90171 pep chromosome:ASM162521v1:6:11979641:11984231:-1 gene:DCAR_022464 transcript:KZM90171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNSGQIVVNYFFIVIDGASSWDDKKEGRLDYEGPTDERRRKSGSFKKRAANASSKIKNSFKRKGSRRSNSQLSFPIEDLRSAEELKAVDSFRQALAKANLLPSRFDDYHTMLRFLKARKFDIEQTKSMWANMLQWRKDFGADTILEDFKFSELDEVLQHYPQGYHGVDKGGRPVYIERLGKANLSKLMQVTTLERYVKYHVQEFEKTLCIKFPACSIAAKKHINSSLTIIDVQGLGFKNFNSTAREVMENLKKIDSNYYPETLHRMFVVNAGPSFRSLWNIVKKILDPKTASKIQVLGNKYQNKLLEVIDASELPEFLGGSCTCADRGGCLRSDKGPWKDPNILKSIAQSSILISNISSDEIKSVKGIETPSVVSGYKADEISSPITNGYSELSLSPASKEVVADRQFLCDDDVPVIDKAVDAEWKKQETLQVNTSRGGMVIGPLIAQFWANFVAFFMVLFSFMCSVRCLVAKGTSTSLSGASLNPLISESRHRSTEELSSLSIGSMREGDGLTTLVQKFCELEGKVNMLLTKSCVMPNEKEELLNAAVCRVDALEAELISTKKALHEALIRQEELLAYVDSQEVARSKAS >KZM92794 pep chromosome:ASM162521v1:6:36343672:36343992:-1 gene:DCAR_019841 transcript:KZM92794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYNWCSSHVLPVASPQENELPMSHSYYDATWNSIISPGCDEMEESQLSGYLHWLEKKVNEESKKSDDMNEIDKLADMFIANCHEKFKLEKQESYRMFQEMMARSV >KZM89436 pep chromosome:ASM162521v1:6:725287:725668:-1 gene:DCAR_023201 transcript:KZM89436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVMASVAMMVFADDSDEGFDIPCFLECAGGCSANQNIKCITSCFERCIIAPPPPAPSPLPELPPCKLACSYSECANLNNDIDKGKCMDKCYKVSCEDNGQ >KZM90101 pep chromosome:ASM162521v1:6:11182934:11189218:1 gene:DCAR_022534 transcript:KZM90101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRCEVQLCILHHTEPAAVVRCKTKKIPRDLTEASVSGAGMSIAAAFFMIFLFGMELNNYLMTTTSTSVIVDNSRDGDHLRINFNVSFPALSCEFASVNVNDVLGTNRLNITKEVHKTPIDTDLKASGSGYSTGPLLKVIRHDAEIDVEYTEGAVSLNAHNFERASHLYSILVVNFFAPWCSWSKRLLRFSNLNRYVMRPIPYDPDVDGRILVAKVDCTEEAELCKRHNIQGYPSIRIFRKGSNMREPESYYGDRDTDSLITTMEDLVAPISADAQKIIFDLSKHPAPSTGGCRLEGFVHVKKVPGNLVVSARSPAHSFDATRMNMSHTISRFSFGKKITAEGFNDFKRVLPYLGRSHERLNKQSYITDRGNATAVNVTIEHYLQVVKTEVLTRAHKVVEDYEYTAHSSLVHSPALPVAKFHYEISPMQVRIEEVKKSFPHFLTNLCAIIGGVFTVAGILDSILHNTIRLIKKVELGKNF >KZM90176 pep chromosome:ASM162521v1:6:12030343:12030594:1 gene:DCAR_022459 transcript:KZM90176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDNAKYESAINAPSNSVNIAAPDQAFTLAVAAPELGKSHIPSTSALQSMCSTEVLDEENEEKVQNLRGLVRDLEKMFGGVD >KZM90837 pep chromosome:ASM162521v1:6:19725958:19726170:-1 gene:DCAR_021798 transcript:KZM90837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVLIGVVLFILLQPGLLFQFPGNSKQLEFGSMKTNGKSIAVHTLIFFVLYAIFVLALHIHIYTGN >KZM89520 pep chromosome:ASM162521v1:6:1559090:1564170:1 gene:DCAR_023117 transcript:KZM89520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIACYFILLIVISLVSPSRCGQVIVDNGSLNSPPVVRHERAGAIRIGAIFDESSRRGREAKVAIEMVVHDFNLAGDQNLQIHYGNSQGKPVRAALAAKELMSTYSVEAILGGHTWDEASAIAEAARAEAHNLPVLSFADSTPTISTSSPVFRATPSQEVQMNAIAAILQSWGLNQVTLIYENSPTLSSSELIISQLSKVLDQSGAELSHIFALTTFSLSSLERELIKLKKQKHRVFVVHATLESGCLLYQNAKTLNMTGDGYAWIATNSITDLFHSVSPKKLSSMQGIVGTKTYFPENSEEFQDFRKRFRSKFRNIYPDEEFDEPGVFASQAYDAIRDITNVFQRISAADFAKWKIAPAQVVEIVNVIGRSYQNGYWTQGLGFSETVDDNALHHTSMEILKEVLWPAQPWHAESQRRILAGRSEPLRVGVPAEALFRQFVLQINETSYDGFSIKVFEETMRVAYADKDLTYSYTPFYGEDYGHMVAKIKSGEYDLVAGDVTILEERHDDADFSQPYSESGMVLIVPLRSTLPNGMWLFLKPFTTEMWGVLVAITVYNGFAVWLIERNYNEEFRSGTVWNQTGILIWLAFSTLFTLRGDKLHSNLSRMAMVVWLFVALIITQSYTASLASMLTAQRLEPSIKNVETLVEMNATVGHCQGTFLGSFINKVLRIEEGKIRKYNTTHEYAEALNSGEIAGIFLEVPYAKVFLAQYCKSFIKTEKTFKMGGLGFAFQKNFSMLPEINKAIMSITENGNLSRLEDEYINNQECVDEDSVQNDDGSIGLNSFSVLFGISGGISTIALAIYVLSSSLSSKPDHGNLVKENIIKRWLHHGRQLSARISNIELPRSPPNANYIEARQSFSTVSSVETLEDHPYAPDPHNRLKIGICRFIMDTVMFILHYTESGMVEMTMTNSEQALSGMISIGLNYDTLRVERTPKKSPDASYIEARQSLSAVTDIESFEDHPYARDPHNQHFKFLICI >KZM90435 pep chromosome:ASM162521v1:6:15796389:15796799:-1 gene:DCAR_022200 transcript:KZM90435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPQVQPPVENGHASNDRVANGAHD >KZM91575 pep chromosome:ASM162521v1:6:26151674:26155562:1 gene:DCAR_021060 transcript:KZM91575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASSAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRSLSWKDVKWLQTITKMPILVKGVITAEDTRLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVKKVLQMLREEFELTMALSGCRSVSEITRNHISTDWDTPRAQFTPRL >KZM90950 pep chromosome:ASM162521v1:6:20766555:20766749:1 gene:DCAR_021685 transcript:KZM90950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMAIPFYLGAYFAIDMFVGSFILFIWKLKDKKEARAYAPAVASGMICGDLLWGVPAAILVWLV >KZM90483 pep chromosome:ASM162521v1:6:16161873:16162871:1 gene:DCAR_022152 transcript:KZM90483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRPLDITVISATDLKNVNIFMKMAVFVEVYISGEHEESPKTKRKTHMDRSGGCDPEWNHRFKFTVEEASIFKSFINFYLKAERGLGDKVVGVVRIPLMDLLQDSKDNKTSQDTEAEYQVSTTAGKAKGSLKISFRFGEKFVQQVEANPPVTNTAALPEVYSPPKSNGTDVAGNGGYYLPPPGNGTDAGHGGFFIPPYALHAQPGAVPGKFPVPPGYSYRGYGGGGYIYPYAPAFYPPPPVYSYPGQLVAYAYNPVQQPDPVPEKNEKSGFGMNEKAGMVAEVLVGDVVSEAAEMALEDAAEARNEDIDVGNTDAIDVRHTDDTDVRETDE >KZM91498 pep chromosome:ASM162521v1:6:25615388:25621490:1 gene:DCAR_021137 transcript:KZM91498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTGIQDVGGSPPLPPPSSHIPTGVDPERVDPPKYVMISRPDFGKSGKCIQLESNHFKVSVNFPDKIFYQYSVSISYEDKKAVESKGIGRKIIYKLYQTYSSELAGKRFAYDGEKTLYTVGPLPQNKLEFTVVLEESIARREGSSGEPSKRSRQSFHSKSYIVEINYATKIPLRSVLLALNGDETDKVQDVLRVLDIILRQQAANRGCLLVRQSFFHDDARAFADVGGGISGCRGLHSSFRPTHGGLSLNIDVSTTMILTPGPVLEFLKDNQGTGDARALDWVKAKRMLKNMRIKARHTNKEFKITGLSDKPCNELYFVMKGKYGDGTHGQEQPEEITVYEYFRRHRNLQLETSAYLPCLDVGKPRRPNYLPLELCSLVSLQRYTKALSSMQRASLVEKSRQKPQERKKVLTDSMGKYLYDEDPLLSACGISIEKQLMKFDGRVLKAPKLKVGGDEYCNPENGRWNFNGKRLISPIKIDYWAVVNFFARCDINQLSRGLFDCGKNKGIVIERPYTLIEEEPQHRRASALVRVEKMFDQIMAKFPRPPPTSFHLVCPTREKEFCYLWKCLIEWGIPTQCVSPGKVNDHYLTNVLLKINSKLGGTNSFLALEVGSGVPIIKDSPTMILGMDVSHGPPGRSDVPAIAAVVGSHSWPLISRYRAAVRTQSPKAEMIESLFKPLPNGKDDDGIMRELLLEFYKTTGGRNHPRSSYSGTVVDTKIVHPRNYDFYMCAQAGKIGTSRPAHYHALIDEIGFSADNLQNSVHVLSYVYQRSTTAISTVAPVYYAHLAAKLIMSEGSSDASSGGNVPVLDLPRLHNNVAGSMFFC >KZM91465 pep chromosome:ASM162521v1:6:25383376:25386164:1 gene:DCAR_021170 transcript:KZM91465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVTSWGILINGQFPGPQIEAVTNDNVIVSVTNSLDEPFLISWNGIQQRRNSWQDGVYGTNCPIPPGQNFTYILQFKDQIGSFFYFPSLAFHKAAGGFGQSYSVLVTADQPAQDYYIVVSTRFTSPVLTATSILHYSNSAGSVSGPPPGGPTIQIDWSLNQARSIRQNLTASGPRPNPQGSYHYGLVNTTRTIRLANSAPIINGKQRYAVNSVSFIPADTPLKLADYFNIQGVFSPGTISPNPTGGGGYLQTSVMAADFRSFAEVIFENSEDTVQSWHIDGHFFFVVGMDGGQWSDSSRLSYNLRDTISRCTVQVYPKSWTALYMPMDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDEYPIPKNALVCGRASGRKTRPL >KZM91946 pep chromosome:ASM162521v1:6:29250280:29252130:1 gene:DCAR_020689 transcript:KZM91946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGQIALSRINDDAKRKASLRKRQGGILKKVSELSILCGAEAAVVMYSADNNQFKVWPSESEARATIKKFMSLPEEVRKKNSHTARSFLEEKCRKKKNKALRLIKMNEEKYVGALVVSIYNGKRRFEDIDVEEKKKVISAVDKKKEELIAHIQKLKRKEQQALNSPQVLLPAVNMPLGKRGRNGININGLMAGRDFNSPAAAHEELMNISAKRREMTRRLHEPTYLGGMNQRAPRQPEALSRDVQMPPSIQMANGLDMNYLARGRNFTSPASLTYGQLMNFRANSLSMTQNLHGLTNFSGQHPFTSNLSMEHPSLSTVPANSLNMTQSLHGPTVFSAGSVPNTSNYSLISPCTRVHIGEENFGMMKQHAHLPSLPLDYTLMNQSSNRFHMTDMLSEQMNFGEMMQQCLDKNKNELAIASLRTFIEDCEAEGLIQRGHPPQTLELPRRLGVPPTPHIQHEASSSNMIGDECGWNIVSNIVSTPATTTLDQITYGSDFRWNGLPASAELGQFNFDDGMRDVGWVDEDTAFEFGNAPPSHMMEPAQESLNSLNFQMPSSSYLN >KZM89809 pep chromosome:ASM162521v1:6:5217714:5218796:1 gene:DCAR_022828 transcript:KZM89809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSFSQLFISVMEDSELILYNMKIDKELYHPTHPQHKGLKLEYTVTPFHCDGCKEAGIGLKYTCHWCDFDLHKACAVAAPSNIFTHPFYPRCEFKLYYRPPGPGRRRCDACQKEVLGFTYHCKRCDFDLHPCCATLPRVLNDGETNLYLSHKFSCPCHHCGGKGLGWSYRSENKLYNLHVSCVKELLVESWQATYFNVDKNKVRDLQTDIPKLKGSGARGSGGNLSKKAGVAIRTIVSALLGDPTAILAAAIGGFFSL >KZM90919 pep chromosome:ASM162521v1:6:20408037:20411398:-1 gene:DCAR_021716 transcript:KZM90919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQIMDLTNSQTLNTDFINLNPQRHLDEDDDGDYKKEEIVPNYDFQPIRSTTATDSTPPRNYASLDSNELLRGSLEKDRNVYNAALLSEIDKTMKKHNDNLLHALEGVSARLSQLESRNRNLENSLDDLKLSVGNNYGSTDGKLRQLENILRDVETAVHDVKDKQEILEAQLQLAKLQVSKEQQSEKPGPVNMDAVHQAASAPHQQLPPFTQPPPTHSLPNAPLPQHQNLSPPQPPHQFPQSQISHAPQAEMYFPSSVQTPEAPTQQYLLPQQQPLPPPISAPPQQQYQPSSLPMYSQPPAPQQHPSLSAINAPQSQPQLPLGHQPEEAPYLQQSQNYQQMTHQPPSNMPSGVPPSQQFFGNPSHMYEPQSGRAGPGYSAPYGPSSGPSDPYPYGGPSSPYGRGAPAKSQQLHSPTIGHSGGNAYPQLPTARILPKALPTASNVGSGSSSSGSGNKVPIDDVVDKVTTMGFPRDQVRATVRRLTENGQSVDLNVVLDKLMNG >KZM91126 pep chromosome:ASM162521v1:6:22422235:22426431:1 gene:DCAR_021509 transcript:KZM91126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKESSNVELPQAFIDFLKKNGLDPSIYTAADSTPRYIRLKPGCEAYIEDIEAEINCKLQKVEWLPSFYSLPPHVQIANSKAYQEGKLYGIDAASGAAVTALDITPGDHILDLCAAPGAKLCMISELLGSSGTVTGVDVARHRLAACRTMLQKYALGDRCRLFVADGTTFSLLPVQALSNSKIYSESASEENLDTYKEWTSRRPWKERKKANKAQKNGNSQLVLQSQDPELIFYGLHSGVVGLSKSKLYGSGLSHHGYDKVLVDAECTHDGSIKHIQKFENWGWTTLQRRVLNAERIDNLNVLQKLYIVKLVVFCVHCLIDIKSSCISICKGPSPMELLLLTNGFKLLKVGGYLVYSTCSLTVAQNEDVVEQFLLQNSSAELLDIEAAKHWPCKSGKIQKTLRFDPLTSQTSGLFVAKFTKLCT >KZM90314 pep chromosome:ASM162521v1:6:14082355:14083951:-1 gene:DCAR_022321 transcript:KZM90314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLCLPALKILNLSHSEKLNKSPDFSKLPKLEKLFLKGCTELVEICESIISAEGLVLLDVKDCRKLTKLPRSIGNLKSLEILDISGCSGLREFPGGLINLQLLKEFCADDLDMNALLPLAKELRSWNSFPQCIRKLSLARCNLSNDHFPQDLSNLPSLTYLELSQNLFTSLPDSFQTLRKLEKLCLNHCPLLQSVRGLPRNLKNLLRVGHAKFAEQADAYYLECLQCRSLVEVEGCFKRVSIRYVDRRIKKNLGLLELEKKLIELDLYKDIKVTHEYGIFSTWVPGDELPGCFTFKKEDITGLTFIVPNNLEIQGLTVGFVYDGEPRETYFLVLVTVYNRTKDLRWNYDVISRSHISVLWLIYFKLGTLLEAGDEITISVRKSPSIKEFGFKLVPYGGNDADEEVYDIDIDHDILTSEQNNATRLSLADETFFQLSDFNIPGKFYLFGRENLVYSNIGKECSTWNSENWGQR >KZM92783 pep chromosome:ASM162521v1:6:36277735:36279644:1 gene:DCAR_019852 transcript:KZM92783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSLTWFNLSSPAYNHDGVGDFVDSTPTARKLNFVVLCILLSSISDSRKKRSSKTFTLPASRIDPSKKEVSDRDQQLLRSFNIVTTLGLWVSNIGTGCGRSVLEMGAAFEKASGKLLNPGYALGKALIVGTSDKVQKVDQNYQVSQQVNSAVAKSAVFSNEYVSIGALWVTVTCNSIAKAAAEVSQHAKEKVALAEEEQRR >KZM90472 pep chromosome:ASM162521v1:6:16067383:16069144:1 gene:DCAR_022163 transcript:KZM90472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMGSLLESLSPSISWLSILILTALLVVLLLPKESDHNLAPSPPMLPFLGNIHQIGKLPHYSMYKLAQKYGPIMHLKLGAVRALVISSPEMAKEVLKVHDIECCTRAESYGTRKFSYNQKDISFCGYGDYWREMRKLAVIELFTVKRVRSFEDARGKGLTKMVDIIAKEAADPGRKAIQINERIFSLTKKFICDVAFGTSYEVEKLKDSEIERTFIEANAMFSSFWASDFFPSFGWIIDTLTGVQRKLDKSFDEFDQFYEAVINEHLDPNRPKSEHEDITDGLIAMSKDPTCPVRLTKDHIKAVFMDLFLAPIDTGSATLVWAMTELIKNKRVMKKVQAEVRQVMGNKQKVEESDLEKLKYFKLVVKETLRLHPPVPLLLPREAMTHFKVGGYNVLPKTKIFVNAWAINRDPTAWDSPLEFYPERFEKNDVDYKGQHFHYIPFGAGRRMCPGMTMGIATVDYTLATLLNFFDWDLPAGMKPDDIKMDEKVGLTIHKVKPLYLVPTKYQP >KZM90287 pep chromosome:ASM162521v1:6:13837700:13840573:1 gene:DCAR_022348 transcript:KZM90287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGNSLINYLKIYKAAIEDDWETAESIFKEENYDLYTYFSYDLETPLHIAVGTNTSHRFVQELVDWIMRLDEPQMLRICNSNGDIALHYAATVGNTQAAKLLINKDPEMAQITNDDGYTPLTLAAKYSQKEMLCYLLGVTKDVVGERGTSPYQGQFGADLLGFTILADFYDVALYLVKKYPDLVMEENNIYTDLVTALQVLAAKPYAFQSGCKLGFWERIICSWIPATEERAVESPDSRSSMLITAQNQINKVSTGVKYGIHELVEECCRQYPLVIKYDVGGLNLFVAAIKERQEKVYNLVYQLSGHKVFTANKFDYMGTALHFAGELAPVHRLNTVTGAALQMQRELQWFKEVEKFIIPSIKGNLNHEWKTPKMVFTIEHRELHKEAQQWMKDTSSSATVVAALIVTIAFAAIFTVPGGNNEKGKPLFSNDAVFILFAISDAIALFSSSTSVMMLLAVLNSRFAEEDFLYSLPKRLALGLISLFISIAATMVTFSATLSLVLHDKVQWVAAPIILLASIPVTLFLLLQYPLLEELVRSTYGRGIFYRQNKLLLH >KZM89649 pep chromosome:ASM162521v1:6:2866172:2869726:-1 gene:DCAR_022988 transcript:KZM89649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVCVSPLLVLVLFGVVSNGLNSCNVSSRPAVVNIGAVFTFDSTIGAASYFAIKQAVEDVNSNSSVLHGTKLQVEMRNSNCSGFHGIIGAVQFMEADIVAVIGPQSSEVANVMTIVANELQVPILSFGATDPSLSALQFPFLVRTTQSDLYQMTAVADIIDHYEWKQVIAIFIDDDYGRNGVAALNDALEARRCKISHKAGIPPGHGVSRGDIMDILVKVAVMESRIIVLHVYPALGFTVFSVAQYLGMMNDGYVWIATDWLSSVLDSSLHLPTEKMDSMQGVLVLRQHTPDSDRKKTFISRWTNLTDGSVGLNTYALYAYDSVWLVAHAIDSFLNQGGIISFSNHSELHPGGGNLHLEAMSVFDGGKLLLRDILQSDLVGLTGQIKFNADKSLVNPAYDVINVIGTGFRQIGYWSNYSGLSIFPPETFYSKPANLSNTKQNLYSVVWPGNTVKKPRGWVFPNYGKLLRIGVPDRVSYREFVSKVRNTNTFKGFCIDVFTAAVNLLPYAVPYEFISYGDGKENPSYTGLVNEVAAGVFDGAVGDIAIVTNRTKVVDFTQPFAASGLVVVAPFKKLNSGAWTFLRPFSPSLWGVTAAFFLFIGVVVWTLEHRINDEFRGTPKTQLITVLWFSFSTLFFAHRENTMSTLGRLVLITWLFVVLIVSSSYTASLTSILTVQQMYSPIKGIESLKKINQPIGYQVGSYARRYLVEEIGLNESMLKSLGTPEEYSAQLQLGPKNGGVAAVVDERPYVDLFMSSQCKFRVIGQEFTKAGWGFAFPRDSPLAVDLSTAILTLSENGDLQRIYDKWLSRSTCRLDTAEIESNRLHLRSFWGVFLICGISCFIALVIYFFQIIRQFRVSSHADSVSDGQSTSRSTRLQKLLSIMDEKEDPARRQSKRRKVEIVISS >KZM90598 pep chromosome:ASM162521v1:6:17218641:17218853:-1 gene:DCAR_022037 transcript:KZM90598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATSQKSRNGVVIALVLLTRNIGGYRVAEMADASRAVKQWGNQFHFLHVLVPEINGIERSNPIQFLHKA >KZM92534 pep chromosome:ASM162521v1:6:34164756:34165253:1 gene:DCAR_020101 transcript:KZM92534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGERHSSQAPISTVNWTLVGELAAIEAEIERLKTDNHRLFSCNLSLEHDLAVSEEDIKRLRVHLRTIKNESDIQIQGMLEKIAKCEAEISASESITEGLQQASFEAQGLLKSNQQLSGQIQQALQELEIANADIMRLPEKQRELDNMKKAYQGSDVIVWQQK >KZM92447 pep chromosome:ASM162521v1:6:33453236:33456182:1 gene:DCAR_020188 transcript:KZM92447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNGNPSRYVKLTKEQSAPTEDIRPGELNQPIDVPQLNVRKCNECGQPLPESFEFPAVEPWSTGIFGCTEDTDSCWTGLFCPCVLFGRNLETLKEDVPWNGPCLCHAIFIEGGIALAAATAIFHGIDPRTSFLICEGLMFSWWMCGIYTGLVRQQLQKKYHLKNSPCDPCMVHCCLHWCALCQEHREMKGRLSDNAVMPMTMVNPPPVQEMNSATENREAAPSATNGGEHTNLEMEPL >KZM90764 pep chromosome:ASM162521v1:6:18996031:18996675:1 gene:DCAR_021871 transcript:KZM90764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKQATRPHALCIPLPAQGHVNPMLKLAKLLHQRGFHISFVNNEFNHRRLLKARGPAALDGLPDFRYYAIPDGVPPTDPDATQHPALLFTYTPVHCLEPLEKLVSEFNDEASDVPPVTCIIADGLMSFVLKAAQHPEIPKVLFWTTSACGLLGYMQYGQLIERGYTPLKGKQIKFVEMVRSI >KZM90348 pep chromosome:ASM162521v1:6:14455076:14455439:-1 gene:DCAR_022287 transcript:KZM90348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMINAQPSTYKPFHPSYEEMIFHAICSLKRRSGSSSTAIAKFILRHYGGLPNNFRKILLRRLKELVACEKLVRVKNSFKLPSR >KZM92033 pep chromosome:ASM162521v1:6:29928119:29934034:-1 gene:DCAR_020602 transcript:KZM92033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWEEDNTCFRGCCRSQTIPLHLPSSSYILSAPIARGAESIVYEATLNGQRVAVKKPILSTSQDIDRFHKELQLLCKLDHPGIARLVAANGKPPNYMFFFQFYESGSLADKIHVDEWCPSIDQALLITLQLANALKYLHDHGIVHRDVKPANILLDGNLNPHLADFGLAEYIRDIKQVSTENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKELHSEKSDVYSFGISINELFTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSEGLRPVLAGNEPGAPQRIVSLIERCWEANPHNRPSFDDIIIVLDSILEKSIIRATEEEKVPPETSLASPSPETTILRSYQQSINWFSQGERFMRSASADSCEGIWRLDSSNDSMLYHPILSWGSFATTGRRETMEDTHFLMPYLCNNKDIYMFGIFDGHRGAAAAEFSARALPGLLQTLGSTNSPDVALVKAFMEADLAFRNELDFRRKSKELKQKDWHPGCTAVVALIVRDKLYVANAGDCRTILCRAGHSYALSRDHVASIPEERERVISSGGEVKWQVDTWRVGPAALQVTRSIGDDDLKPAVTAEPEITETLLSAEDEYLVMASDGLWDVMSNAEVVSLIKDTVKEPGMCSKRLATEAAARGSKDNITVIVIFLQPVSTAERIY >KZM90484 pep chromosome:ASM162521v1:6:16170739:16173891:-1 gene:DCAR_022151 transcript:KZM90484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAGTSGGGGGKGRGSKGCRGRGTSSGGRGAGGRGNDSAEGRDRGAGNEGSDNDEENSGDNGSEGQESRIVVRNTYGRAKRSCSVGDYGKVPATEAEKQTVHFLNGANIRDKRKKKTLSYIMKVKWNEDTHEKKGKEREGFFDSCLKEFKELEEKNNGKISELDFISQVYCLDDPKTQKLKEDIEKALASQPTEPEDEEMTDPPPSPNTLKMNQRRKALSLIIQVRPPKKGKAILFPRHPVTEVLGAYEAAKFASTQPKQNSTPSQLSTDSVDLVVRVSAEVHRMVRSLEMREVPRSFLNEQMHRLADEAFPDRDDLMQQESWSLYMRLATAFVVDALKMNDKVILEAGPILCMLIDL >KZM90392 pep chromosome:ASM162521v1:6:14984298:14984606:-1 gene:DCAR_022243 transcript:KZM90392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRHRLNDHQHQHVAAQAPTPPPPPVNNGMPGDESTDDELINILDPPPALGEPVAAVPPQGLPQNGPVVDGHAVNEPPQVQPPVENGHAANDRVANGAHD >KZM91658 pep chromosome:ASM162521v1:6:26870284:26871720:-1 gene:DCAR_020977 transcript:KZM91658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQRPMFRFRLPWMIASAPPTAGATPSPPHNEAPSTTEPNVKPMEPAQRPFRLQSVAPAQPSPQAPPKISAAPETKTQSQYSYQPTWPSKMHTQIDSQLPSPALSSPQYSATSQLPSPSFTTPLFSSASQLPSPQSSTATSQEQDNSQLPSPKSAPRPSSPISSPQHSPPMSSPQPSSPISSPEPSSTQVREPSQTSLTSTNVQPTASSETRLPNVHISKPQLPEDLSNKEITPSVTSKSSMEATAQQTSNAAKPPESSGPLAANAGPPGIVKEMPPHKIRSDETLMAHEEPKQKTMNEATTSKHGNLVGKQTKSMKPTNNKGASMQLSSGDRASFQEEIRSDISKLVDKMATANPENFKDEKGSLVTLVGKNKGASMKLGFDLVRRGKELKIDDGNSKEDQMTEAVINNNVQDINNSILMNSFIAERSPGVHLALHYDATELITSYDKEGSPEARKGTSTLPLPKSPMSLPPEGDP >KZM91668 pep chromosome:ASM162521v1:6:26956731:26957484:1 gene:DCAR_020967 transcript:KZM91668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGSLKVKNTNDKNSAGATVAVNAGNVKLRASMTEAIIVNGPSFNGLALSLEKPGSFIIDYNVPKKDLRFQFMNSVKVAEKSINLNYIHFRNDNRTILDGTVVFDSANKVSVNHVLGSGNAKLKYVYLHRGLTTFEPSYDVAKDSWDFAVSRKVHGDGMVRASYQTSKNLLGLDWTRTTKQNGSFKVWFCCLLLYSGVFDVNSIDN >KZM92103 pep chromosome:ASM162521v1:6:30569524:30570491:-1 gene:DCAR_020532 transcript:KZM92103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVSKGKSALNPNAPLFIPAALRQVEDFSPEWWQSVTTSTWFHTYWLSQQGENDDYHGCDEDDSEDIVNLLPDTIDLGTVEEFLEMETHFEQFIQSSEAEAEAERKSSLSASKETPGSGQEVDPVELMKSLGLSNSFKEKSPRSTLQSAKYWEKPAKSCQATSSTRACSSQALNSVNL >KZM92112 pep chromosome:ASM162521v1:6:30620680:30621804:-1 gene:DCAR_020523 transcript:KZM92112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRIKDNADHISTGDWQQTVPSWEKKFCTSICKVPWNRIVDAKKYMHCHDNVVNWDDTAAKEAFDNAKMRYYAETHGLPCEIEMPDPDEYIDEIDWDCRVDQDLLHDLVKKPVDVDTARKRENIVIFGDSFLQDQGFSSTGWGDVVEESKENPNISSNIDGDKPWEQKVSQNDGPVEGNAWTDSGYNAWGWYDNNNTPMGGYGWGDGWGCSGPSGWGDGWNDSQGWYHYGNSNAQEYVEEDPNAIYGGSSYGEHPCKGSSYAEHPRKGGDTGNIDQGFCVPEHSGYLPPDSRYYNNNYMPRHEYSQFQGTNYQKNQSRRKADGARNRVNFVSEQQATNKWSASREKFTTSCAPVVSNVSGKRQNRWSMDKPVS >KZM89506 pep chromosome:ASM162521v1:6:1378882:1379850:1 gene:DCAR_023131 transcript:KZM89506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKLLALTFTIHYLFALSTARISIPLNIQPKNVMSRDNYVTASDHVSKPSFKRAVFLPRPDHQPSDQVLNVELEPQVFVQHKHNEQNTNPTKFNSDDDLNDHKASDAITIELEMERPNHMTQFKNKEPDDVPMKEAHDSDQDFPMKEAHDSDQDLAHHESDRDIPAVSENTISIETLHLNPSNYFRIRPINRHFRVKPSLFRIHRCRHHHMNHVYQKNHQVPYGNDMNLNKDDIILAGQTKERFDPSTLHITTHSDPEKRPMIHQHDEDKNMMMRRPFRQYIARQKLKKKVEEEIQKRKEMDARKQGGFMKSFRKFLNQF >KZM89497 pep chromosome:ASM162521v1:6:1304557:1312349:1 gene:DCAR_023140 transcript:KZM89497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETNKTPSITTESSSAAPNKPMRSLLRRVFKIYRGFPRSWEANNTTQSPRNRTTFHRDVEQEDFHCAGGHCLSSYYSVFVARLAMMVMLAILIGLLTLLTWHFTRVYTKKSLDTLAYGLRYELLQRPILRMWNIFNTTIEITTAQVKMSEFVMKKYSNPVNQEQEVEAFDSQLYQVMRDMTWALFTSHKALNSITINYRNGFVQAFHRDHKSNNTFYIYSDLVNYSISGSYNMNMLSSRQGWNDQSVHNNISAIWYREPLDPITGEKIGKSRAILPDDLIYIAGISQVPDGAASWHVAVSKYSDSPLLSAALPVLDSSKECIVAVVGVTTALYSVGQLMKELVEFHSGHIYLTSQEGWLLATSTNTPLLMNSTTRPTLMMASDSEERVIRSGAKLLQETYGNLTPSNHSVHIENARLGNQLYYIDTFFLNLKRLPMVGVIIIPRKYIMGKVDERAIKTLVILISASLCILVTGCVCIFILTNGVSKEMKLRAELISHLDARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILMCDDCLTNEQFSTITQIRRCSTALLRLLNNILDLSKVESGKLVLEVAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDIPRLVQGDSGRVVQIFANLISNSIKFTTSGYIVLRGWCENPNKLISTRKFSLDHEKDPRSTPTTKFKIQKRHDKRFCRKDNKIILYFEVEDTGCGIDPRKWDSVFESFEQADASTTRLHGGTGLGLCIVRTLVNQMGGEIRVIKKNGPGTLMRLNLLLSAPVDETRECKSLNFEQHNLKILLALGGRKGRSVMSRWLQTHGMHTYEASEWNELTQMLQELFQGGNNVKNSPKQCSGAEELNADKRTSIFIVVVDIRLLDLSTDIWKEQVEAKKQAAADVLFNYSTFAMTCIGNQVRPCDLRMHLMKEISGMPTSLKESSQKSGSPEPGTASSSGMQLDKNESFRALLA >KZM92652 pep chromosome:ASM162521v1:6:35140714:35145641:-1 gene:DCAR_019983 transcript:KZM92652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDSSIENRSVKKEKKKSKKSDSYSEEFVFDDSEEKSKKEEEKEKKRKVIEEEEDRSDVSSGEEKSRKKVKKMKVEEEAMTFDTILDGTDLVGRARTGQGKTLAFVLPILESLTNGALKTSRKTGDGRSPSVLVLLPTRELSTQVAADFEVYGGSLELNSVCLYGGAPYNNQQIQLKRGVDIVVGTPGRIKDHIERGNVDFSSSKFRVLDEADEMLRMGFVEDVEYILGDLPESFTAPSSMANMYLQNNQFTISIDVLTNLPLHNLREGNSWSSGPAPPPPPGTPPSSNSNRNRKQNDKKNSSDGGGYKKSGIGGGGVAGIVISILVVGAVVAFFVVKKRSKRSSADVEKADSQPFTSYASQEVQVEDDKKNGVIFILERASLGVAKVGKEAEL >KZM91327 pep chromosome:ASM162521v1:6:24176560:24180837:1 gene:DCAR_021308 transcript:KZM91327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKASVVGRRRSRISIVKLMLAILLPFLFLRLALFLIESASLCSSSFGCMGPKLSGGSESSRLIEQLTKALLEANNGDEVVEKTFGVSPESFGDLIKDVMSSKQDIKAFAFKTKAMVITALMERKVQSARRHELIYWHLASHGVPKSLHCLHLKLAEEYAVNAIARSSLPSPEYVSRLTDPSFHHVLLLTDNVLAASVAITSAIKNSISPEKMVFHVVTDKKTYTPMHAWFAKFPVDSAVLEVKGLHQYDWSHEVNYGLFPNLNKIIFLDDDVVVQHDLSALWQVNLNGKVVGAVFESGCGPDCCPGRKYKNYFNFSNSYISSNLNEDQCGWLYGMNVFNLEAWRNTNITATYHQWLKFNLDSGLDLWNPGALPPALLAFSGFVHPIHPSWHMAGLGYRFPQVGLEALKAATVLHFSGPAKPWLEIGDSKVRSLWTRHLNYSNEILRECRIRE >KZM90042 pep chromosome:ASM162521v1:6:9928327:9928476:-1 gene:DCAR_022593 transcript:KZM90042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLNSCQSEVGRAIDLFQEKSYRKSAHTIESYNTVTISKTRPFSLAAL >KZM90202 pep chromosome:ASM162521v1:6:12537279:12537955:1 gene:DCAR_022433 transcript:KZM90202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHILRSLEGVIGQLTPFDFLQCLAHDLLQSNILFAPFTPFTIALSAILCTISYDDHKSAAIEELQAHGVGGLTKSLKIELAYFMGHYHAYATG >KZM91307 pep chromosome:ASM162521v1:6:23983590:23987834:1 gene:DCAR_021328 transcript:KZM91307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLSGLLFKGISAFPAARSISLGSRSSYLHGMQFSTTVPNDPDTHDDFKPKNKLENADISVKDIVEQDVKDNPVMLYMKGDPEIPRCGFSSLAVRVLKEYRIPIASRNILEDPELKLAVRDFSHWPTFPQIFIKGEFIGGSDIILNMHQNGELKEKLKDIVAQSE >KZM92042 pep chromosome:ASM162521v1:6:30018255:30026516:-1 gene:DCAR_020593 transcript:KZM92042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKNKKGSKSASKFSNSSDCSSVTSPWNPNSAPPQQTSEADVQSILEEASRKFPSLIGKNALVAQITEDADTESFRGTCKIWLSEPSMLAHSLSPGATVSVSLASSTNKFVINSPVRSLADVCEKQYEFASELQMVGEVGNYFALAAVFPSRKILKNGVRLSQSLSRTMGSPPSGSILFVHPVCLQPTTRFANGNGKLQSTNYLSVSTCKELYLELVFLNSESTTNSNKFNKDAFSAETTNVPAENGAVSSPKTPFSQSKFSSPSISHVSTPRASTTREDESVSSKSNNNGTTIPSFDIQEVLENESAKNLLQTCATSWLSSRRLLCGNIVVIPILSKICTFQVLGADKLSANHHILNVTDGSHSDISFEDFDLVDHVDEAFLVDHITKVYLFPPAKNKGLLRQELNYKEVRSHATSEIPKLGGISKQYAELKDIIISSTSNGKFSSLGLRPIKGVLLYGPPGTGKSSLAKLCVNDTGVNLFHVKGPEIVSQYYGESEQALSEVFDSASRAAPAVVFIDELDAIAPARKEGSEELSQRMVATLLNLMDGIISVEGLLVIAATNRPDSIEPALRRPGRFDIEIDIGVPSPDQRYDILLALLKEKEHSLSDTEVQYLAKATHGFVGADLAVLCNEAKLICLRRYTDLQISYDEPHCNISPFSSPDIIEGFNGPDDINDSTSQDHLDYTCSSALESPVRQENLDNEILNVSGTSAVERDTLRVSFDDFERARKKIGPSAMREVILEIPKISWEDVGGQKEVKMQLKEAVEWPQKYQDSFRSLGILPPKGVLMFGPPGCSKTLLARAVASEAGLNFLAVKGPELFSMWVGESEKAVRSLFAKATANAPSIIFFDEIDSLASIRGKESDGVSVGDRVISQLLVEMDGLQKRVDVTVIAATNRPDNIDPALLRPGRFDRLLYVGPPNVVDREDIFRVHLCKMPCSIDVSPKELALCTEGCSGADIFQICREAALAALEETFDASCISMKHLEHAISRAQPSLVQFDQTLLTKFQRMVHSTATEDELGCQSSSSTSHWNSFWTPIKSIMQWPFQFPSTLLYAKSSP >KZM91579 pep chromosome:ASM162521v1:6:26187796:26192846:1 gene:DCAR_021056 transcript:KZM91579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGARRRQVVDDKYTRPQGLYQHKDVDHKKLRKLILDSKLAPCYPGHDDATVTSNFDLEECPICFLFYPSLNRSRCCMKGICTECFLQMKTPNSARPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAKIRMRQQELQDEEERMQKRQDIISSSSSIMRSGEVEYSAVAEPSSTPVEGEEIVSSQHASPNPSSPDPSYPNPSIRQPLRLRQNREDEFDLDLEDVMVMEAIWLSIQEDGRHRNPTYSNAAPPEQYISEDQCVPAAAGPLTETSVSSSSPSGGLACAIAALAERQQIGGDSSSSYSGNVSAYSMLPGSSTFSSREEQVNVCYPISGGSIEGLPDMRDEREWVVDGSRSEVAEVGTSYDGSNNAEDVNRISTSPQDESETGGFRSVAGGSIVPESFEEQMMLAMAVSLAEARARSNETGTAWQ >KZM89755 pep chromosome:ASM162521v1:6:4307696:4308739:-1 gene:DCAR_022882 transcript:KZM89755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEQTHTIKEFNLSPLAENISQKKLLDMVAHKLNSVTNNPQHNSAHVISRLATGIDVNIKFKGITEFELTTERVLFDLLNIPLYHGWIVDPQDSKTYNALGSKSYNTILGDLVGLETGFVGIEDEKRPEEDSVTTGFSFQLNFILDLQL >KZM89837 pep chromosome:ASM162521v1:6:5714977:5719428:1 gene:DCAR_022800 transcript:KZM89837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVGSKAPKDVNLVDGKRLYLGMDFGTSGARYTLIDKDGIIRAEQKREYPKYMSKDVIDWVHSWKLTLFQLLEDIPNEFRALIASISIDGTSSTTMIIDSTTGEPLCRPFLYNESCPDAVSIVTSIAPVNHTVCSSTSTLCKLVSWWNSYGSSKDSAVLLHQADWLLWLLHGKLGVSDYNNALKVGYDPELESYPPWLLSQAYSYVLPSVQAPGTFIGLVKEDVRTRFGLPKDCAICTGTTDSIAAFLAARATQTGKSVTSLGSTLAIKLLSTNRIDDARYGVYSHRLDDKWLVGGASNTGGAVLKQIFTDEQLKNLSEQIDPMKVSPLDYYPLQTVGERFPVADPKLAPRLTPRPKSDVDYLHGILESIARIEGEGYKLLTDLGATPVEEVLTAGGGAQNETWTRIRERILGLPVSRAQQTEAAYGAALLALKGVE >KZM89567 pep chromosome:ASM162521v1:6:2168986:2171209:-1 gene:DCAR_023070 transcript:KZM89567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLEHDYIGLSETPKTEISPENMSETKKSHVLNLKETELRLGLPGSVSPERKAGNVVPLFGKVLEDAKVGSFKNFGSGAKRGFSDAIHGSGKWALGASEAGLAKACAKEASVYTKTLRPVEENKTSPQNENAAAPASKAQVVGWPPIKSFRKNTLATSISKNADVEGISTSECIYVKVSMDGAPYLRKVDLKTCCNYSELSSALENMFSCFTIGQCTSKGLPEREGLSASRLMDLVHGSEYVLTYEDKDGDWMLVGDVPWNMFTDSCKRLRIMKGSEAIGLGML >KZM92568 pep chromosome:ASM162521v1:6:34436666:34439481:1 gene:DCAR_020067 transcript:KZM92568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSNSNSGAPNWLGFSLSPHLKTEDHYQPAAAAHNVSASLYISASSNGMVSSSSPRLENFLGGGHHQYESGIEKYHSLDIIQQPFSTQEEMQYLSGLTSQNIMYQTLPQGEHREEGQVGDWILGQHMISSGLIVDVGSGSVGSMGCGDLQSLSLSMSPGSQSSCVTASRQISPTGTVCLAMETKKRGSEKMGTKQPSHRKSLDAFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDCAALKYWGVATHINFQLENYQQQLEEMKNMTRQEYVAHLRRRSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVEKIMASNTLLAGELARRNKDREATNDAITTFVSPMKTNNEEGIQQGQNPSVLDWKVMQQKQNAAGQHYKDSAFSMALQNLIGSETNSSQLTVNQATKINNHHLSNPSSLVTSLSSSREGSPEKSGAAVIPFATPSIYSSWITSSQSRAAQISAAHLPVFAAWNSS >KZM90621 pep chromosome:ASM162521v1:6:17560119:17561764:-1 gene:DCAR_022014 transcript:KZM90621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDLGIDLIFENDETWDFLNIEINSSGELGQDGEKHLDSSTPSSEMAPLPPPGKRVSSDKEGTQMQMMKKNEKEGEDEAVSYIKSLEHTLKKLEKQKQERLHGVVPIKNYDVSKMLCPTKGVSETKEAFIANQVSTSANVFAFNPTNTLAIPQFSPSLPSWCSKSLILNVSGLDAQICVCSNKKPGLLTGICLILENYKLEVISAQVSSDKAKNMYMFHTHACCSDLLQTIPVEEIYKQAVREITIYFNLE >KZM91345 pep chromosome:ASM162521v1:6:24355982:24356685:1 gene:DCAR_021290 transcript:KZM91345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTEMHVHIDCPGCEDKVRKALTNLKGVDSVDIDMEMQKVTVTGWTEQKKILKTVRKTGRRAEIWQLPYNPELRNSNYNLSGGQHMNHGGVAPYVTQQPVASSSYNYYKHGYSISGHGTHSQYNHYSTSHSSAGSIFGHQTGAAFSDENPNACSVM >KZM91757 pep chromosome:ASM162521v1:6:27627721:27630741:-1 gene:DCAR_020878 transcript:KZM91757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILISSQKVIESVFKPGRFDRRWQGYSLNQEFQNQFNKTGQNARDAFYACLEKESDKKPTEIASVGLLYPVECKNPRTRYVNLCRPTWVKHFDRQYCAKKKVKRLLDDNDSRRGPVPLPYKSTN >KZM90165 pep chromosome:ASM162521v1:6:11892416:11896028:-1 gene:DCAR_022470 transcript:KZM90165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILSHPPSSFSAVRSADSSSLSISSRRSLGNALCFPAISVASPARNIRRINCQSSATFAPPASSAVDVKEKAGLKDFLHITDFDKDTIVKILDRAKEVKALIKSGEKTYLPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHALYLGPNDIQMGKREETRDVARVLSRYNDIIMARLFAHQDLLDLAKYATVPIINGLTDYNHPCQIMADALTIIEHIGQLEGTKVVYVGDGNNIVHSWLLLAAVIPFHFVCACPKGFEPDEKTVEKARQAGISKIEITSDPKEAVKGADVVYSDVWASMGQKEEAAHRRQVFQGFQVDEELMKLAGPNAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHSLGL >KZM90733 pep chromosome:ASM162521v1:6:18701401:18703847:-1 gene:DCAR_021902 transcript:KZM90733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKRFGVLLCAEDSEYVKTKYGGYFGVFVEMLAEQGETWDMYRVVAGELPGDEEIGLSDGFVITGSCNDAHGNDAWICNLLNLIKKLDSMKKRLLGICFGHQILGRALGGRIGRAHSGWDIGITTIHLSSPMRVSSSSSKVFPSTFDIPTALSVIECHQDEMLELPPKAEVMAWSNKTGIEMFRHGDNIMGIQGHPEYTKDILLHLVDRLLHRNLISVYYNFECIHV >KZM89739 pep chromosome:ASM162521v1:6:4044116:4044337:1 gene:DCAR_022898 transcript:KZM89739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIAGSRHVDCRGMLGTVAIACAHLSQIIVVHYLRTFVPHCLVFTTESPEHRNSHETIVKVDCEDTIFGIDVG >KZM92612 pep chromosome:ASM162521v1:6:34852878:34854897:-1 gene:DCAR_020023 transcript:KZM92612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLGGLILEGHGCLSELYSGGKVRELLAQGISQCRYHDKTPEQERIERRRQMPYHMHINLELTCDITRDAQTHIIISKMIITEELHASLYQHSQCTVFHEVEHTRLQALAFHLSEKLSVLAESNERALETKIGGVGLDLFSNRRRDGQYYAGGRWQDNMPFSQGRQGSIGTRSGYSGGQTRGGYSSGYNNTRYQDSSYAGTGRSYHNNFARGGQMVSLNRGVQAF >KZM91700 pep chromosome:ASM162521v1:6:27189055:27190053:-1 gene:DCAR_020935 transcript:KZM91700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETEVIMPSYSAAAFPLFTAPSSPARIEDYYFSTPASPGQISQFYQELDEFLINNSMVSDVRRNSVSLAVPFDWEEKPGIAKSVVKMNKNHCEDDFAFDVSQELDTGSLSADELFDGGKIRPRPHFSTERSARAEKSGDSEIRGRERSVSSLSSSRSGHRSTRSLSPMRVSEYPWEEEHRLQQKNHQKNSKEPSSASKPSLISSVSALSSSSLKGLKKWKLKDFFLFRSASEGRASNKADPIKKYASLFKKHDDIKSSSFRSIDNNSGTSTSRSKRKGPISAHELHYTMNRAVAEDMKKKTFLPYKQGILGRLAFNPAVHAIANGFGFSRR >KZM90847 pep chromosome:ASM162521v1:6:19786640:19789064:-1 gene:DCAR_021788 transcript:KZM90847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLCDRLIWLTRFEGITSTMSGKGEMKEAEGLQKDGYHFSKLGGGIVDSGNGYWINFSSCSQKKARICSTDNRADIEGPKSSCSEITVNGSLSAESQDADYSYKPSLSYDLEDTVIARFPLLEYWKLCFVNKRLLALLLSGELFNIRRKIQFDEPSVFMFASGESSWLAFDREFKSCRRLPVLPADMCFFSGDKESFCAGTHLLISGREIEGLVIWRYELSLNKWYKGPSMLNPRCLFASATCGIFAYVAGGIGIMENSEVYNTAEKYNPDTQSWEPLPKMIRRRKLCAGCYMDNRFYVIGGRNDEGELTCGEYFDVSKNKWELIPGMFKDDPVLTYHSPPLVAVVNNELYSLEASSNQLKVYLKRTNTWKHLGPVPVKADSYRGWGVAFKSLGNELLVIGASAVSSTSNSMSIYTCCPDPNAKELQWNPLDSGRNQLSHFILNCSVMVA >KZM91319 pep chromosome:ASM162521v1:6:24112670:24118928:-1 gene:DCAR_021316 transcript:KZM91319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAEDELLDLESDPEDAKLSLKMRRREASDDEDDDEQEDDKESLRRRLDSRVSDRDSVSEGAAAEYDGEESDQLDDDEEVEVIECDVKVGGAELDVDGEELEFSRANEAGEEEKEVIDGGDYVEDGEGGENGGDGRNEKEKKENEPFAVPTAGAFYMHDDRFRESGGPGGGGGGGRHRRNPGARNLWESKDDRKWGHDKFEELTTQETHYGEGRKASRGRNRGRGKIQGQEHGYPRGNRPKTFSNDSLNNTPNNQKIAPKNPNLVPKGVRGRGPRRYQSNWSEVPPQNKQHGKSGERGSYATHAKVSERTLTAESDTIPAQKHVFSSLNSASPPFYPSASSSNETISAQKKDQAVPLHRDQQTSVIDESFPMSESNTMRGKDVTDFIGINKLYIDDQAPTHNGKPLTNSAQSPRSRVQGKVHSQMGKMNHQSTPQNQVHRISNQSQHRNGQQAPSQTRQVSLRISGRQLGQRTQASSSPDSALTANSVEYRETRSPPETSKPNTVLVGKGKNIQGNGRGSFPYGGAQVIGASGNLGNTHGDVNFPGMPTFLPVMQFGGQHPGGIGVPAVGMAFPGYVNNPGSGSSEMTWLPVLAGPAGALGASYNSPYLSVDGGYHARPSGQVPSLAAASSKDNDMNNPVNDMMPSRKQEFANDEFGQRQNKARRQFSIAFDVFCGDGHIAPPTPCDEGLHASVCVSIKVSREQFMLTVM >KZM89733 pep chromosome:ASM162521v1:6:3927348:3934245:-1 gene:DCAR_022904 transcript:KZM89733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSNQQYSDHNVDGSYVMSPSAPGRSQPPTWLNTAISRNHYRDNANFLNLQTTPNSGDATQPTWLARPEMNDDVEAIMAPESPDLSGEWQSGKWKAEVLAHPLYEQLLAAHVACLRIATPVDQLPRINAQLTQSQQVVAKYSALANAAAVDDKDLDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEEDQIDSDANLFDASMEGGDSMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKSKRKRSNAGEKSGDRLM >KZM91667 pep chromosome:ASM162521v1:6:26948627:26949691:1 gene:DCAR_020968 transcript:KZM91667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGSLKVKNTNDKNSAGATVAVNAGNVKLRASMTEAIIVNGPSFNGLALSLEKPGSFIIDYNVPKKDLRFQFMNSVKVAEKSINLNYIHFRNDNRTILDGTVVFDSANKVSVNHVLGSGNAKLKYVYLHRGLTTFEPSYDVAKDSWDFAVSRKVHGDGMVRASYQTSKNLLGLDWTRTTKQNGSFKLDKNHWF >KZM89562 pep chromosome:ASM162521v1:6:2114463:2115008:1 gene:DCAR_023075 transcript:KZM89562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGESLVSSYARSTNALKRSVSDVSSEVCKKDVVINTDTLAPISEVEDARCECCGMIEECTPEYIERIREMFVGKWICGLCAEAVKEEMGKNGGKREEAVSTHMGACVRFNKFGRAYPVLYQAQAMREILKKARAEGRGVRAKSMNYKDNGGLKKGGIARSTSCIPAITREMSDLKVTK >KZM89991 pep chromosome:ASM162521v1:6:9289122:9291627:-1 gene:DCAR_022644 transcript:KZM89991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKYDSLDSLDDNKYEWKIRHQRMHVFIWPNYLDDFKNKFVEGNVYFIRNFSVKNYRKESMRCTRYDKHIWLSNYTKVFLVEDEQKMERAIQPVEFDFFDIADIGELVKQEDNNFLIYVIGILIRRFKNQREIEQCSFRFTITNGSTSIKCCFWDDMAEKVLAALENENETPLIVIIASCKHNEYKEEPYVSNVAATRFYINHNHRSVLQMKQRQDITSMPDFTYMPVIRAEPPKTQIMTVAQIRKLDSKFNMVCLGHVYFVMDILVCSNKLCLTINYLISHELVICQVTVRSVEETKLWYFEACSSCSKEIEVVNGKYRCEECKRNIPFPEKRFRICLIAEDLSGAAAFVVVDPEVENMIGKSVFDVLIDQSQEKQVSGFSSVLKLFEGNQYTFTIRLNGDNLDMASNTYLVADISKGYEIMDNSGADEDAFSTGPMNVQYSDSASISIADFKTPDTEKSTNTNRLKRLSGDDIVLIANLSDDNDLAAEGVKEKESVTVKHVKKEKV >KZM90798 pep chromosome:ASM162521v1:6:19356610:19357161:1 gene:DCAR_021837 transcript:KZM90798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLPLISATHLHRNNSISNTGSYFFNHQKLKHAHKNKLSCRAVKESTKGDEENVERGKFDRRDILLGLGGLYGTAAVSQSAVLAEPVDAVNCGNADSLPKCTNIKDGNCCPPVPPEIKIVDFTFPTSPSSPGSLRIRPAAHLADDVYVAKYNKAMEIMRSLPEDHPHSFCTASCHSLCLL >KZM90046 pep chromosome:ASM162521v1:6:9967834:9973799:-1 gene:DCAR_022589 transcript:KZM90046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDSQNTLLGETTCGSLLQQLQQIWDEVGESDAERDKMLLQLEQECLDVYKRKVDHAVKSRAHLLQSLADGQVELHSLMSALGEKTYVGIPDNTSGTIKEQLSAIAPTLEQLWKQKDERIKEFSDVQSQIQMICGQITGTIEKVGSPVVDESDLSLKKLDEFQAKLQELQKEKSDRLHKVLEFVSTVHDLCAVLRMDFFSTVTEVHPSLDDSIGVQSKSISNDTLSQLAKTVLALEEDKEQRLHKLQELAKQLIDLWNLMDTSEEERSLFDHVTCNVSASVDEVTIPGALALDLIEQAEVEVERLDQLKASRMKEIAFKRQAELEEIYVHAHVEIDSEAARERIMALIDSGDVEPAELLDDMDNQIVKAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPALVESLVAKTRAWEEERGVSFTYDGVPLLAMLDEYAMLRNDREEEKRRMKDQKKFHEQTNAAEQETAFTARPSPARPVGTKKAVGSRANGANGTPNRRLSLNAHQNGSRSINKDGRRESMRAAAPLKEDAGSCISGTCSTSEKMSRTLEECDAILDLACDCDLMSVVRTRWYGPNAGWRFRECPDEECGFHKWVDEPPTERTLKIIKELKERDSKHLDQAGRRRERLVAWYEARLAAEKEKHENTLAGLLLLCDVVKEITLQTEGPENLAPMYNGDSMDSD >KZM92643 pep chromosome:ASM162521v1:6:35091360:35093146:1 gene:DCAR_019992 transcript:KZM92643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVAAGTTTFVKEMAIRKRISNIFNKREDDFASLREYNDYLEDVEDMIVNMVEGIDVPAIEARIAQYQKENAEQIMISQARKAEEYAAALAASRGQPVHNELDAAAGQSFQATSGAGGHYVPAVAGGTIAQPIPVGSGHEMLGYHFDDEEMMKLKAKNGGKAGGWSIELSKKREFEEAFGSIWI >KZM91211 pep chromosome:ASM162521v1:6:23208628:23210158:-1 gene:DCAR_021424 transcript:KZM91211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGPALKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPDGFQYDLYNRNDINKLLGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKNPSGQDINALEQHIKNLLCPSAPYFFNTLYDPYAEGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPAERNKRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGEGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQTAILPKECTTVQACYIELSKQVKEKLGKIDPYFTKLGDAMVTWIEAWDELNPGKDAGKGKGK >KZM90117 pep chromosome:ASM162521v1:6:11329085:11330440:1 gene:DCAR_022518 transcript:KZM90117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRYYNNTLSSPIRNQPLKTYHKKQKQNHSNLQSALYASNSNLPVVRNATSTPTLHAPTPRNTVYPPQMARPSVSPPKSTLVDLSNLPKLDNMLKNVQPGMMVCLPQMGVVHSIFMAAKWDNMIFYRGQNYYTHLLGEFYANMVVHKGLDGTLKISTVVNNKNLLIDAHTITRALKLGAKTPYQPCINIYEEFKFNKREFELFVGFFCDCDVPAGLCEKNQGIEYTHFTPIYQQLAIILRSNVLPKPKNAHYFDFTDLKVMFQLVTNQVEFNVNYVLLLNMIMAYQVEYLPYGLLLTSIFELYHIAMPRMVAQRIDYCDVASLEKPQVPLSICKPCEVNPILIAPAVVLTGTSQLVKNDIENLKNEVKMLKEINTSILARLDQLENKDKDDSTVGKMEGIDDRVARMFDEEMVDEMVSVGVTVPEKDVLPVLVDLSEDLGFVAVDEPEKV >KZM90376 pep chromosome:ASM162521v1:6:14770480:14773933:1 gene:DCAR_022259 transcript:KZM90376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLIKKSMTKNDTKSNGKEDIMGSSVTPLLLVSTVLSGLVALSNGCAAGYTSAAEAGIMENLSLSIAQFSLFGSILNFGSMLGAIASGKLADLMGRKLTMLLMDILLIIGWSAIIFAQGALWLQFGRLSLGFGFGILTFLLMLNVGVSVMFFVGNLIAWRSLAVIDKTDPLQANTGREIQFEDTLQRLRGLNADITGEATEIRDSIATLHQLSEPRFMEMFEKKYARSLIVVIGTLVLLPLGGSLGLVFYASSIFRAAGASVTLGTTAYAIIQIPVGALGVLSLDRTGRRPLLLDLNPWKELSGTLVLIGVLVNLLSYAAAVSVPWVIMAEMLPINIKGSAGSLGAFSKMFVACIVNYIFNFLLEWSPSGMFFIFASFSGLTILFVAKMVPETKGRTLEEIEASMLI >KZM92749 pep chromosome:ASM162521v1:6:36007805:36009520:1 gene:DCAR_019886 transcript:KZM92749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHRQQQHQTNNLQPCSRMFMAPERRLFLQGGNVTRDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNIHGQANTGSSKVGLVAAEDLTPEANESHMLNSSIGTHNKNPHISEAIQMQIEVQRQLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQDTITKQNLGELGHEATKARLSEPVSTAYNRCLNSTNHVQSSCEQQKQSNLEGSLDSCITSSGGSLRNQDLNSNVMHSTNLVFAPMKSSKIDNDPRNQAFDAELQAIELSMNIQRGKWYDDKSYNHEGLKETNDGIGYTGKITKRTDSGKPENDDTMQESQLACFMPKLDLNIHDENDATLRCKQFDLNSFNW >KZM89408 pep chromosome:ASM162521v1:6:522975:526092:1 gene:DCAR_023229 transcript:KZM89408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPELLLVLNLLVLAHGQFSQESMELDTGGLSRDSFPKDFIYGTATSAYQVEGAAHQDGRGESIWDVFVKQPGIRILSVFEFWLAEAQHSAVCSSLVIFHGIEPNNASGEVAVDQYHRYKEDIDLMAKLNFDAYRFSISWSRIFPRITPYANLNHYDLPQALQERYNGWLSRKVVEDYADFADFCFKMYGDRVKNWFTFNEPKVVASLGYDNGYFAPSRCSKPYGNCTAGNSGTEPYIVAHNLILCHASTVQRYREKYQETQKGRIGILLDFTWYEPLTGEKSDEYAAQRARDFHLGWFLHPIVYGEYPKNMQKIVKDRLPKFTKEEIKIVQGSADMVGINQYTAYYMQHVAVNESVPPSYQNDWHVGYVYAKNGVPIGPKAHSDWLYDVPWGLYKAIMYVKERYGNPTMILAENGMDQSGDVTIPDALKDTQRINYYRAYIQQLKKTIDEGANVIGYFAWSLLDNFEWRLGYTSRFGITFVDFKTLERTPKMSAYWFQKMLEKKN >KZM91105 pep chromosome:ASM162521v1:6:22171815:22179555:1 gene:DCAR_021530 transcript:KZM91105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTEKISNPKSEDVDMKKKRKRKRSRKESEKSVTLAEEVEKNENGDSDIKEIMLLETNKKEEEHDFELKRQEKEKEADETEEEKKMMKKKKVKSGSGIMSTVSFESMSLSEPTMKAIKDMGFHYTTEIQARSMPLLIEGKDVLGAARTGSGKTLAFLVPAVELLYQLHFAPWNGTGVIIICPTRELAIQCLTIDEADRILEANFEEEMKQIIKILPKERQAALFSATQTEKVADLAHLSLKDCVYIGVDDERKSVTNEGLEQGYCVVPCAKRFIVLYSFLKRHQSKKVMVFFSSVNSVKFHSELLKYIDVDCFDIHGQQKQQKRTTTFFDFCKAEKGILLCTDVTARGLDIPAVDWIIQYDPPDDPTDYVHRVGRTARGEGSKGNALLFLTPEELQFLSYLKADKVPVKEYEFPEKKLANVQSHLEKLVSNNYYLNKSAKEAYRSYVLAYNSHSSKDIFNVHRLDLQGVAASFCFDNPPKVSINIDSSASKFRKTKRNDGRSRNGFSSSNPYGNRSSGH >KZM90580 pep chromosome:ASM162521v1:6:17041419:17043540:-1 gene:DCAR_022055 transcript:KZM90580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIANLLSLSLLCLVASVQCYGRNGFNPLEKLIKAQKSKRISTSGDELLNVEYSPVYVGPQDGLKEADKVELLPGQPDGAMFDQYSGYVTVDPVAGRALFYYFAQSQNSSTQPLVLWLNGGPGCSSFGNGAMMELGPFRVNSDGKTLSQNKYAWNNEANMLFLESPAGVGFSYSNTTSDYVTGDTKTAADAYTFIINWLERFPEYQTRDFFITGESYAGHYIPQLAQLILHNNKITNQTVINLKGIAIGNAYIDEETQYAGSVDYFWSHAMVSDEIHEGIILNCNFSAYANISDACESYLDQVNIGDIFGYDIYAPLCGSTSGSPSTSGFDPCTDDYINTYLNTQNVQTSLHVNAPLNSWESCSDKLEWTDSPATVLPVIKELMSSGISVWLYSGDTDGVVPVTTTRYAIDHLQTSVKTQWYPWYTQAEVGGYAVGYENLTFVTVRGSGHFVPSYQPSRALALFSSFLAGELPPSNDEN >KZM89834 pep chromosome:ASM162521v1:6:5693296:5694399:-1 gene:DCAR_022803 transcript:KZM89834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIITAPSNPPPRATITRGVATTFAWHEIMNASKPVVLSWATVLFEKNNSIFTKILGEAWLRQASHSHEIKGLGAAKAKLDKDNRDLKLKHLAHTNELTQLRKYHKEAMRRPQPRILASWRIRRRSQRTARRLPLSWTRHKRIPREPSENVEVKIAQAGESVVKVHVVFEPVVKPEPLLVVGEIIEPGKKSAASDGEDSLQDNSLDFESERKVEMQLRAEQPQDWEIKYDDLSMTTMCQAHLLLMKI >KZM91656 pep chromosome:ASM162521v1:6:26855236:26857259:-1 gene:DCAR_020979 transcript:KZM91656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLVMIVVVVFDIIAFGLAVAAEQRRSTATTTKDSEQNYNYCVYDSDISTGYGVGAFLFLMASQAIIMVASRCFCCGKALSPGGSRACALLLFVFCWVTFLIAEACLLAGSVRNAYHTKYTTIFSENPPSCQTVRKGVFAAGAAFTFFTGILTPFYYISYSKSRGSFAPYGAGEAGVGLGSYK >KZM91173 pep chromosome:ASM162521v1:6:22779507:22784297:1 gene:DCAR_021462 transcript:KZM91173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFAKSLATTLNLNDDDDNSESSHPNPQTESPTHPNSDPTDSSNRGVKEDLSELKKTLTRNFWGVASFLAPPPPSSSDSDPNGSDPDDSDSPVIPNIRNDFAEIGGKFRSGISKISNNMAVVSEITSKMASNFLQLGVENEEGRDYYEFGAVGVTDRVVDFVRDVVMHPETWLDFPLPDSDDEEDFDMSDAQQEHALAVERLVPRLAALRMELCPGYMSETCFWKIYFVLLHPRLDQHDAEVLSTPQLSAVTTLTETIDHVKIVKARSLLTQNNLRNNSSAKSELDTSRRETLSIEEDMKSPAEEFLSAPSATRIKSVPVETSALESAPPQADIETEKHPVLVNEVRIVDKSVIQEENVIQKKKQNLPSTSSSNVLGETDEDDADDWLKEETSETVSSSDKIIPIDNDEDVSFSDLEDEGDVPTSYKKKDSRDWVQLDGSKQDRHDPENKYSNDWLDLDEIEVE >KZM89875 pep chromosome:ASM162521v1:6:6629412:6629606:-1 gene:DCAR_022762 transcript:KZM89875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEIAEKTQTRTSQANSVEPHFNPRHPLQTLKSQARALLKKQTKGNKIGLIEVRNKETNKKMD >KZM90806 pep chromosome:ASM162521v1:6:19391533:19393468:-1 gene:DCAR_021829 transcript:KZM90806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSCYKVMRRSPELITPAKPTPCGYKLLSDIDDQQSLRFQLPMIQFYSKNEGVMGKDVDPVSVIRVALAKTLVFYYPFAGRLREGAGGKLMVECSGEGVLFVEADADVALEHFGDPVMPPFPCYQQLLFDVPGSSGILDCPIILMQVTRFKCGGFTLALRLNHTMCDVAGIVQFMTALSEIARGADAPSVPPVWQRELLNARDSLRVTYTHHEYDEPIGTEGPIIPFEILEHRYFFFGPAEIRALRRYLPYNLTKCSTFEVLTASLWRCRTIALHVDPEEDVRVICLVNARGSFDPPLPNGYYGNTFAFPVAIAKAKNITSNHSLEYAVKLIKKAKADVTEEYMRSVADLMVMKNRPHFTLHNTFLVSNVTRTGFGDVDLGWGKAVYTGLLPVMASFYVPFKNKKGENGILVPICLPNFMMDTFARELDSMLQNNEELATEVTLPYIKSSL >KZM91545 pep chromosome:ASM162521v1:6:25928404:25930068:-1 gene:DCAR_021090 transcript:KZM91545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTPMKSSSSSVEEISLQQVDILNAADDAFGGVVVEMKEPMNSDLFDTLLRASISQWREQGKKGIWLKLPIELANLVEAAVKQGFWYHHAEPTYIMLAYWIPETVHTLPANASHTVGIGAFIMNSKREILVVQENNGRFKGSGLWKLPTGTVDVGEDICEAAIREVKEETGINAEFMELLAVRQSHKVSFGKSDLFFACMLRPLSFDIQKQDSEIAAAKWMPFEEYAAQPYMQKNEHFNYIAKICAAKIENDYTGFCALPATAASSRRRKSCLYFNNQEGLVVPSNISSE >KZM90548 pep chromosome:ASM162521v1:6:16802541:16803916:1 gene:DCAR_022087 transcript:KZM90548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNAKTFVSDATLLFCNGSNTRALLNPFDNVPVGKSGVSYNSASFSWVTRDGSGCRLVCTTPEMITSIRKRASLEVKAAFTEEQEALVVKSWNVMKKDAAELGLKFFLKIFEIAPTAKKMFSFLKNSDVPLEQNAKLKPHAMTVFVMTCETAVQLRKAGKVTVKESTLKDMGATHSRVGVVDEHFEVTKFALLETIKEAVPDMWSPEMKNAWGEAYDQLVVTMKERAPSSSI >KZM91361 pep chromosome:ASM162521v1:6:24496135:24498492:1 gene:DCAR_021274 transcript:KZM91361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTNLPFAVCFQGCLFLSSSVRFAIGSSFDQHFESSFLQHFEFEKHSGFIFNSAEEEDFIHLCTANYNHLKLENFPSLNSDASGSAAKRRRQLFYSNAENAQPDEGGFMSANNPASFVTPRRPSSLPSFQSSVFNINTNLSPNYPQPMSSFNNHSSSFTGTIFNMNAPRTPTERETLSDLTNQSYTQNGYSIKRNAQTSITHRPSPSNLTHHSLRSSAESRETCNDKRQVRMQSNTSKSRVNRIDTSGEGMPITRLFPDENGQSHETDEDVEMDPADDDALPEEDDTVYDGGVLYGSDSDVDETTFDEIGACPTEGIFLTLREK >KZM91416 pep chromosome:ASM162521v1:6:24962019:24963455:-1 gene:DCAR_021219 transcript:KZM91416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLSTVKVLEHCRISPPADTVPVATLPLNFFDYLWLTFHPLGRVLFYDFPHSTSHFIHHTVPNLKTSLSLALKHFTPLAGNLIVSSNTNSNTNYVIRYLDGDSVSVTFAECTDDIDYGNHVRDANMLNTFVPQLPSATSVDISGEKCSVAPVFAIQVTVFPGRAICIGIRNAHAVADGSSLFNFARAWASITKKVNLLDTKNNISDLITSEGFQIPSYDRSSIQDPCDLGTMYLKAQGARVKKQVEKALPQMESVDDSSKRKVKATFTVTEAKIKALKSMISTERPTLAYLSSLTSVCAYLWTCFAKTRATVWGVEHNLDECQHFIFAMDCRARLDPPLPASYFGNCLVPCCGALTGRILVGDEGLAAAAEVLGNSVSVKLEKGPLHGSDMFLDEYAGTMRGEWTIGIAGSPKLDYYNNIDFGWGKPLKFEFVQEPLSISRSKDSNADLEIGIVLPQNEMDVFPIIFARGLQNLHC >KZM91380 pep chromosome:ASM162521v1:6:24660736:24665701:1 gene:DCAR_021255 transcript:KZM91380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSESYNNLVIDEVYEFSAPRFFDFIQGETKEEMHRAEIWFETSLSHAPSPFMPKIKTSRTAVLLESLCDFSEADQPHKVPESLKPPPISGSETVAVPTLDVEQTIPQPDGNPVQVIEEVDSNGSKETQTGSLLKPASSAIEITSKDKETLCTQGNESAIAKAPSPSVKVAEEAHTLSSKGKILWISVLCSSVSLLVESYHTKSNGFTIVGPEACTPKPQISQKCKQPQTDSKKQMTARKIASMVRNPSLLKAKTQPKISSQAKSNKPESARRNNNFMNKLCTPNFAQENQPIKRQKLDAERSRQILNIKPQTLMHKTRSGLVSSSSSLCTSTNKTRKEDRKMLLNGQIYAREPAAPFVSMAEMMNKFQSNTRDMSLSRPSSSLACDAAGPRKPKLTLTRPKEPEFETSQRVRSVKIKSSAELEEELMAKIPKFKARPINKKILETPTLPALPRSNPQLPKFQEFHLETMTRANNKAETSTVASTESAPQNAQSKPHHLTEPKPPTLQTSLRARPPRIKSSEELEKEELEKIPRFKARPLNKKIFESKGELGVFCNTKRQVTIPQEFHFAIDERIPPPTAVADLFDKLSLNTETRHDKQLPRNTAPNPFHLHTEERGAKKENKLVMELLQKQLEEEKSRVPKANPYPYTTDYPVLPPKPEPKPCTKPEPFQLESLVRHEEELQREMEERQRMEREEARMRLFKAQPVMKEDPIPVPEKVRKPLTEVQEFDLHVEHRAVERAEFDKKVKEKEVIYKRYREEAESEKLMEEEKALKQLRRTLVPHARPVPNFANPFLPQKSCKVTKAKSPKLKIRERKERQHNKMLATATSSAASNMR >KZM91480 pep chromosome:ASM162521v1:6:25473531:25479030:-1 gene:DCAR_021155 transcript:KZM91480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALSPNPIPKQRPQILSPGSPFFLASNDDQLERAQARAIARAAAIRRKATTVVAPSAPSDSSPCLNKENILELFQNCIKLASENKINQKNTWELTLIDHLCDIIKVDVENDVETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGAIKRVGQGNEDGTVEDSDISTGQEENKLKNEKDRKLSPISTLESSYEALNVKKFDAAFAIDPLYHQTSAQFDEGGAKGLLLNNLGVYGGCRVLFDSLEVPAKCSSCFNSHDKETIDISFAQECSEEMVLNMSKKFEISPSLRDIVNLFNDDDKRASDTFSPGKKYAEQVDEPYNSSTDFSANTFEIGGTWNLDHDDQNNVDNEGTYGGDPNLTSHYEDKETTDAYGHDTDDRIAEIDAYLFLNLGIPSKLNAWAGPDHWKFRKVRGSDNVMRETGSPVIIKKPKNKKQTITDIEFLKPSDKEMSDVFAPPKNPRALLLPTNREPCNTTLPEDCRYQPESLVKLFLLPNVLCLGRSRRKFSAEVSHQTDGYNEMPSWDDETGFADYFGDENNGGDVDDSNALVSQPRQVNKIEVRYDRRSKQVDVQALKETLWESLQQSQAQGNTISFKHTLATFPDDCRAVASLEDISPHLCFISLLHLANEHGLSIQGNESLDDLSIHLPQER >KZM91226 pep chromosome:ASM162521v1:6:23358146:23372245:1 gene:DCAR_021409 transcript:KZM91226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRNESPVYARQWSGGSSSTGSSSPVRSPAHPQSRPPPAAGMSAIKRTQNVAAKFAAQRLAQVMASRTVDDDEEDDDHGFQFGASSFTSSKLRSSGGSGGFTGVSISRPNRSPSPAFGGNLMDHTPSVRSTSAGRPASVRSAQVVPPSRNSLRTPVAGPPIEPPSNRLRDNNNNRFTASKGQVDTKDTGNQREASALRDELDMLQEENDIVLNKLRQAEEKCEEAEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREVSSYRAHVENLFCAATQSKDGKDEEIGALRAEIENVKDEAASTVEQLREAESEAKALHKVTQRMILTQEEMEEVVLKRCWLARYWGLALNHGICADIAVSKHEHWSSLAPLPFEVIISAGQKAKEESWDGGNDSERRSNLARDLSDLSGEGNIESMLSVEMGLRELASLKVYPSAFHVIKLSVEDAVVLALAQHRRPNLVRQSSSDRKSSSDPKFTESHELTLEETEDVLFKEAWLTYYWRRAKVYGVEEDIAEERLQFWISRSGHSPTSHNAVDVEKGLTELRKLSIEKQLWEASRREIDQQVLLKGNSKDPLQPEVNSS >KZM92560 pep chromosome:ASM162521v1:6:34355267:34365046:1 gene:DCAR_020075 transcript:KZM92560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAISPVPKDDLERIAKISATIRVIPDFPKPGIMFQDITTLLLDPVAFKDTIDLFVERYKHMNISVVAGVEARGFIFGPPIALAIGAKFVPIRKPKKLPGEVISEEYSLEYGTDKMEMHVGAVQPGDRALVVDDLIATGGTLCAAMKLLERVEAHVVECACVIELAELKGRDRLGEKPLFVLGHSRHIKFIILHSPNSVSDSLHSVRHLTDNPANSNTAALARAQNDVADRIAKISSTIRIIPDFPKPGIMFHDITTLLLDHAAFKDTIDLFVERYKDMNISVVAGVEARGFIFGPPIALAIGAKFVPLRKPNRLPGEVISEEYSLEYGTDIMEMHLGAVQAGDQALVVDDLIATGGTLCAAMKLLERVGAHVVECACVVELVELKGRDRLGEKPLFVLVSST >KZM91103 pep chromosome:ASM162521v1:6:22157899:22160392:1 gene:DCAR_021532 transcript:KZM91103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECLSIHIGQAGIQVGNACWELYCLEHGIEVSFSLLYLTLPRPCLKRDIPCTFGSGKHVPRAVFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGREIVDVCLDRVRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLGIERPTYTNLNRLVSQVISSLTASLRFDGALNVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEAVEGEEEEGEEY >KZM91532 pep chromosome:ASM162521v1:6:25851549:25851872:1 gene:DCAR_021103 transcript:KZM91532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSFCFLKKIPHIAFTFTNSCYTFQLFFLNLAPKQPIPHPPESTTRREIQQLNANTKTISSSYIYAHYGRLALHTPKQTWQGNGVTKVQSVRRLLSSKKDSHSYKR >KZM90424 pep chromosome:ASM162521v1:6:15772663:15773076:-1 gene:DCAR_022211 transcript:KZM90424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQGRTVMLLMIVLQTMHMID >KZM91845 pep chromosome:ASM162521v1:6:28308440:28310445:1 gene:DCAR_020790 transcript:KZM91845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKDERAEKEERAQAAAELAAKELRDVNQDRERGIKVVEHKEEVSGGPGVIGSILKSVQGTLGQAKEVVVGKAHDTAEVSRENTDYAYDKGREGGDVAAQKAEEAKEKAKMAKDTTTGKAGEYKDYTAQKAEEAKEKAAQKAEEAKEKAAQKAEEAKEKAAQKAEETKEKAAQKTEEAKQKAGEYKNYTAQKAGEAKDTTLGKAGEYKDYAAQKAAEAKDTAAQKAAEAKEKTGEYKDYAAQKAAEAKDTTAQKAAEAKDTTMGKAGEYKDYAAQKAAETKDATMQKTGEYKDYAAQKAAETKDATMEKAKEYKDYAAQKAAEAKDTTMQKTGEYKDYAAQKAAETKDATMEKAKEYKDYTAQKAAETKDATMEKAKEAKDTTVQKTGEYKDYAAEKAKEGKDVTVEKAKEGKDTTVGKMTELKDSAADAARKAMDMFLGKKEEVKGKAGETAEAANEKYEDTEFAARKKMEELKLQEEGVKDEAKQRAEADRETAGDRGSAAKGTIFGAMGSVKDAIVGKLTMPSDVVKDKQQQEAVIKVDETRPGAVAEALKAADQMHGQAFNDVGKMGDEEVIVERKETRQGKM >KZM91452 pep chromosome:ASM162521v1:6:25283238:25285162:1 gene:DCAR_021183 transcript:KZM91452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMIGEEERRFEAEVSEVESWWASERFKLTRRHYSAKDIVSLRGNLKQAYASNELAKKLWRTLKTHQANGTSSRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMNMSREERARTPFIDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGTETVLVARTDAVAATLIQSNIDTRDHQFILGVTNPNLRGKGLATILEEAMTAGKSGSELQAIEDNWLSTAQLKTFSDCVTDAIKNLNVEEAEQNRMLNEWMNYSSFEKCISNEQGREIAKRLGLTDLFWDWDLPRTREGFYRFQGSVMAAIVRGWAFSPHADLIWMETSSPNLAECTKFAEGMKTMRPETMLAYNLSPSFNWDASGMTDEQMKDFIPKIAKLGYCWQFITLAGFHADALIVDTFAKDFARRGMLAYVEKIQREERNNDVDTLAHQKWSGANYYDKVLKTVQGGITSTAAMGKGVTEEQFKETWARAGGTSMRDDNVVIAKSRM >KZM89546 pep chromosome:ASM162521v1:6:1874965:1875276:1 gene:DCAR_023091 transcript:KZM89546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLKVLISKTLEERENLLQAFSGLQVDPSSENGHQGALQPCHEVNAEGDEVKEKLFIVENPHSEIVSDINQVSYISDEDYFSYTAASEDSVGGGYGDTLSCN >KZM89898 pep chromosome:ASM162521v1:6:7135509:7136009:-1 gene:DCAR_022739 transcript:KZM89898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVIDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHTGNISLDDVIEIAKIMRPRSMAKELQGTVKEILGTCVSVGCTVDGKDPKDLQEEIDGGDVEIPLD >KZM91147 pep chromosome:ASM162521v1:6:22574733:22575995:-1 gene:DCAR_021488 transcript:KZM91147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSSLTLLSIIFTLLLRQAHSVTHWEDIRVLEDFKNAVTPNSVSPGSCLSSWDFTLDPCDNLYSDKFTCGFRCDLVVSNASRVTELALDQAGYSGTLSSNLNLPYLQTLDLSNNFFTGPIPNSLSNLTRLQKLSLSGNSFTSSVPDSIGSLISLEDLSLDYNDLRGTVPFALNGLKNLKRLQLGSNQLTGEFPELTQLSQLVFLDASNNAISGNIPSRFPPSVMEIIMRNNQIQGKIPENILSNLSYLQVLDLSHNQLTESIPPSLFTHPTLQQLTLSYNNLQAIQSPATSNYQNTLIEIDLSNNNIHGFLPGFMGRMPSLSALSLENNKFTGMIPTQYAVKAVFPETGTVPFQRLLLGGNYLLGGIPGPLTGLKPGSVNVNLGDNCLYRCPAMFFFCQGGSQKSFTECKNFGPVIP >KZM91989 pep chromosome:ASM162521v1:6:29608265:29609457:1 gene:DCAR_020646 transcript:KZM91989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRNDQIHQGREGNGEAVSVRPRWTPKQEQLVMLESIYSSGVVNPPKDETTRIRKLLEEYGPVVDSNVFYWFQNRRSRSRRRTRQIQAKINREQAASHESSGSTNAYEHQNSTSFTVPNSGEQDFFSPVSSSCLGGGHFLSSSDPSLYGDNSSFYPTQVCYPGNERNSSDSTFHNTFSAETSNVHYQSGGLITVFINGVATDVSMGPLDMKGMFGEQDLLLFHSSGVQVSNTCFSSSLQHGESYFLVPGANQ >KZM90343 pep chromosome:ASM162521v1:6:14443884:14445305:-1 gene:DCAR_022292 transcript:KZM90343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSTYKPFHPSYEEMIFHAICSLKRRSGSRSTAIAKFILRHYGGLPNNFRKILLRRLKELVACEKLVRVKSSFKLPSG >KZM90862 pep chromosome:ASM162521v1:6:19910024:19915211:-1 gene:DCAR_021773 transcript:KZM90862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSNSRGPSAQLEYQIHVQEIKPWSSSKVLKSLRSVVLQWKYSDKYSGSTSPVVPSFGSNAGDGKIQFNHAFKLPVNLLKVEDTLRKNCLKVNLFETSRDKTVKGQLLATAIIDLADYGIVKEAIHITAPMNCKRVTGNKAQPVLFLKVQPVQRNRATSLSRNGQLGNASMDKNGDKSVSALMSKEYAEEAEIVSCTGFDVTSHSSTSAPFSAAQFHGASSHKEKKTILKTVEDITKDVEVHQIQSSKQRLEPTDVKPANARDDSLKDSISCPSSTELSSDSESQENMHSIIYNSRESSFMSRTCSVQSSSSSITYDGGDENLIISSSRNDKDHSDNHFQASTKKDADTVTVLYGHAVNYNSKSYQVSGAERKILDENLHDIVYEQLNRSPSDNTKKDTMLENDIHSNSRENVIPDIDRSYHLKSVRSATDSRRSNGIVKSSHFVVKDKDIGVLRYAQTGPQNPICSERKDDKLYPEKIKLQQLQQRIDMLEGELTEAAAIEVSLYSVVAEHGSSVNKVHAPARRLWRLYLHACKEKSRSRIASTARSVISGLVLVAKACGNDVPRLTFWMSNAVVLRAILSQARENHILSPFSGNLSESTSRKGSNKKYLALKWKDSHSSLCKESVDDLHLNVDKWEDLKTFTSALESVETWIFSRIVELIWFEILTPPMQHSASNDMNNISTKLDAKTSYVGNIDQDNYSLEIWKKALSDAYEKICPIRADRHKCGCLHMISKLILEQCLARLDVAMFNAILRETADDISTDPVSDPITDVKVLPIPSGRSSFGAGAQLRTAIGIWSRWLTDQYDIKVDSPTKYWNEQEDGDDLTRRQDTDTFLKSFRLLHALSDLMMIPKDMLLSKSVRKEVCPRFTTTLIRQILKSFVPDEFCPELIPAAVFEALESKDPTEVGEDCIQNIPCGADAITYLPLSVSSVSYVLGDCGRQSELRRTGSLVLQKSYISDDELDELESVSGIKSFRPSPTLAKQDRTTLNEDGSNSSNVRYQLLREVWKSMP >KZM92530 pep chromosome:ASM162521v1:6:34129335:34130738:-1 gene:DCAR_020105 transcript:KZM92530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTYVFPPPLPLPPLSNLENRDNGFSLNNKNREFDDLDDTTVLQGQLQQLFHLHDSGVDQSFIDTLPIFNYKAIVGVKNPFDCAVCLCEFEGDDNLRLLPKCSHAFHMECIDTWLLSHSTCPLCRDCLLSDFSTNKNFSPVVLVLDSGSESSREIVNDREGVVVTTSNSQELGSFRVEKSCEIQVKEEENQEKKVELEEKVFRVKLGKFKNVDGGENSSGESNAGPRRCYSMGSFEYVMDEDTSLKVPIRTPLKKLSYKKPGLPLVPGHKTAMSECDCYSRRDFTSLATFKSVDNHIGTSTSPSISYVDDRIRKNKEESFSISKIWLRGKKERPNLNNGESSRRTSSLRFLMHKNVVAGDETKLKNVMQTGSRRSSCDMMECGGSELGCNEENQSSNSLDSQANTQSFTKRTLIWLMGGQNKVVHSSFSTNV >KZM90719 pep chromosome:ASM162521v1:6:18506667:18509473:-1 gene:DCAR_021916 transcript:KZM90719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRVTQELADTKQRSTSGTQNGNDLFNEMKHRFLSFKRHKYQENLEHFQNLAKSQAPKVLVIACADSRVCPSNILGFQPGDAFVVRNVANLVPPFESGPTETKAALEFSVNTLEVQNILVVGHSCCGGIRALMGMPDEDNSKSFIRSWVVTGKNAKINTKAAASNLSFDLQCRHCEKESVNLSLLNLLSYPWIEERVSKGLLSIHGGYYDFVNCTFEKWSLDYKANSSKKDSEGYSLVSREFWG >KZM90992 pep chromosome:ASM162521v1:6:21155620:21157108:-1 gene:DCAR_021643 transcript:KZM90992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFGVDEFELVVKQGEPTLVPPADDIEKGLYFLSNLDQNIAVIVRTIYCFKSEEKGNENAVEVIKDALAKVLVHYYPLAGRLTISPEGKLIVNCSGEGAVFVEAEANCGLEEIGDNTKPDPVTLGKLVYDVPGAKNILEIPPLVAQVTKFKCGGFVLGLCMNHCMFDGLGAMDFVNSWGETARGLSLKVPPFLDRSILKARDEPKIEFPHHEFAQIDDISNTAELYKEEMLYKSFCFSPEMLDYLKRKALEDGTLKKCTTFEALSAFVWRARSQALKLNPDQQTKLLFAVDGRSRFNPPLPQGYFGNGIVLTNSICTSGELVEKPLSFAVKLVQEAVNLVTDSYMKSAIDYFEVTRERPSLAATLLITTWSRLSFHTTDFGWGEPILSVPVALPEKEVTLFLSHGKERKSINVLLGLPASAMKSFEESMNI >KZM90111 pep chromosome:ASM162521v1:6:11287698:11292190:-1 gene:DCAR_022524 transcript:KZM90111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKQGMFSYADGKDKLLMLAGTLGSIGDGLQVPLMMFVLSDVINSYANPDARVSLATVNKFSLRLLYVAIGVGLSAFVEGLCWARTADRQTSRMRVEYLKSVLRQDVGFFDTEAADSSITFQVVSTISSDSNTIQIAIGEKIPDCLAYMGSFFFCLIFAFTLSWRLTLAAIPFSLMFIIPGLGFGKMMMDVAMKSIESYGVAGGIAEQAISSIRTVYSYVGENQTLERFSQALQTTLELGIKQGFARGLMMGSMGMIYISWAFQAWIGSILVTSRNEKGGNIFVSGFLVLMGGLNILTALPNLTAISEGKSAAIRIGEMINRTPSIDNEDKKGKALSYVRGEIEFKGIYFSYPSRSDSPILQGLNLKVPAGKTVGLVGGSGSGKSTTIALLQRFYDPIEGEILLDGYKTKTLHLKWLRSQMGLVNQEPILFATSIKENILFGKEGASEENVVEAAKGANAHDFISKLPDGYETQVGQFGFQLSGGQKQRIAIARALIRDPKIMLLDEATSALDAESEQIVQEAIDKASAGRTTIVIAHRLSTIRRANLIFVLQSGKVAESGTHEELLRNNNGEYFQMVQLQQSATQNEFTGNSINSLSGRSQYRTSVPPSPLSIRSSAPSTPALNPFSPALSMSAPYSVQYDDGYDSDMDYFEKAYPTPSQLRLLKMNAPEYGRALLGCIGAIGSGAVQPVNAYCVGALLSVYFNPDKSSIKSDARTYSFAFLGVGLLNFVTSVVQHYNFAVMGEYLTKRVREKLLEKLMTFEIGWFDQDENTSAAICARISTEANMVRSLVGDRMSLLTQAIFGSAFAYILGLVLTWRLALVMMAAQPFLIGSFYARSVLLKSLSEKTQRAQKEGSQLASEAVINHRTITAFSSQKRILGLFKASLEAPRKESIKQSYYSGIGLFSTQFLAAASTALAYWYGGRLLEQNLIKAKELFQAFLVLLFTAYTIAEAGSMTKDISRGNNAVRSLFSVLDRYTEIDPLKPGVDAGKKSMKGRVELKNVFFAYPARPDQMIFNGLNLKIRAGNTVALVGQSGSGKSTIIGLIERFYDPLKGSVHIDERDIKDYSLRTLRAQIALVSQEPTLFAGSIYDNIAYGTPDATDSEIRDAAKLANAHEFISGMKDGYNTYCGDRGAQLSGGQKQRVALARAILKNPSILLLDEATSALDSVSESLVQEALEKMMNGRTCIVVAHRLSTIQKSNSIAVIKEGKVVEEGSHSDLLSIRGGAYHALVQLQGNNASNR >KZM92745 pep chromosome:ASM162521v1:6:35976860:35979283:-1 gene:DCAR_019890 transcript:KZM92745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGDFLSFSSKSNNDNNGEEMKLKGMEKRPRTKIVCTLGPSSRSVEMIERLLLAGMNVARFNFSHGSHEYHQETLDNLRTAGPEIRTGFLKDGKKINLKQGQEITISTDYTIQGDDDVISMSYKKLTEDVKPDSVILCADGSISLRVLACDKERGLVRCRCENSAALGEKKNVNLPGVIVDLPTLTEKDKDDILNWGVPNKIDIIALSFVRKGSDLKEVRKLLGDHAKTIVLMSKVENQEGVANFDDILANTDAFMVARGDLGMEIPIEKIFLAQKMMISKCNVAGKPVVTATQMLESMTKSPRPTRAEATDVANAVIDGTDCVMLSGETAAGDYPELAVQTMANICLEAEKSLDYNDIFKRIMENAPKPMTPLESLASSAVHTATSSQAVLVVVLTKGGTTAKLVAKYRPKMPILSVILPDATSESMDWSSTHQAPARHGLIYRGIVPLLTPGWTITPPTSSTENVLKYSIEYAKSRNLCKLGDAIVVLHYDGAFGMLQILFVK >KZM92817 pep chromosome:ASM162521v1:6:36522087:36529904:-1 gene:DCAR_019818 transcript:KZM92817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIQICFVLLMLFAYLITAKAEIYIVTVEGEPVISYRGGIDGFAATAVESDEELDVTSDLVTSYRHHLEQKHDMLLGTLFDHGTYKKLYSYRHLINGFSVHISPEQAEALRQAPGVKSVHRDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIFPRHPSFGSHNTFGPLPKYRGKCEVDPDTKMEFCNGKIIGAQHFAEAAIAAGVFNPAIDFASPLDGDGHGSHTAAIAAGNNGIPVNMHGYEVGKASGMAPRARIAVYKALYRLFGGFVSDVVAAIEQAVHDGVDILNLSVGPNGPPATTKTTFLNPFDAVLLSAVKAGVFVAQAAGNGGPFPKTVLSYSPWIASVAAAVDDRTYKNHLTLGNKKILPGIGLSPATHADRTYTLVAANDVLLDSSAFKYSPSDCQKPEVLNKNLVEGNILLCGYSFNFVVGTASIKKVSETAKSLGAIGFVIAVENSSPGTKYDPVPVGLPGILIADVSKSMELINYYNISTSRDWTGRVRSFKAVGSIGDGMRPILHKSAPQVALFSGRGPNIKDYSFQEADLLKPDILAPGSLIWAAWSPNGTDEANYIGAGFAMISGTSMAAPHIAGIAALVKQKHFHWSPAAIKSALMTTSTTLDRAGRPLQAQQYSGSQTVSLVPATPFDYGSGHVNPRAALDPGLIFDAGYQDYLGFLCTTPGIDSHEIQNYTSSPCNYTLGHPSNLNSPSITISHLVGTRTVTRTVTNVAEEETYVITARMEPAVAIATNPSAMTLRPGASRKFSVTLTARSLTGTYSFGEVLLKGSRGHKVRIPVVAMGYER >KZM90138 pep chromosome:ASM162521v1:6:11607947:11610061:1 gene:DCAR_022497 transcript:KZM90138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLFAVMLVSTYLTALCCALDTILPGKIVKDGDTIVSHAERFELGFFSPGDSKNRYVGIWYKTVSVKTVVWVANRKVSVNDTTGVLELSSSGILSITNNTNGTTIWSTNSSRSVLNPIAQLLDNGNLVIREKNDDNPDNYLWQSFDYPTDTHLPEMKLGINLVTGFERYLSSWKSSDDPAPGGFTYHLDPTGYPHLVLRNGPIETYQTGPWNGARFSGRPKLSQNRIYTHRLVYTKKEVYYTFELLNSSVFSRFILSQSGEGQRWTWVYRAQSWELFLKLPTDNCDSYGRCGAYGSCNIGNGPICGCLDKFMPKYENEWKKADWGHGCVRKKPLNCAKLDGFHKYSHVKVPDTKSSWFDDRMSLRECERLCLKNCSCMAYSNVKITGAGRGCLQWHGDLIDIRELSGGGQDLYVRGILQDGQEIAVKCLSETSKQGTNEFVNEVKCIAKLQHRNLVRLLGYCVQGEDKMLVYEYMPNKSLDLHIFGLAS >KZM91472 pep chromosome:ASM162521v1:6:25419846:25421744:-1 gene:DCAR_021163 transcript:KZM91472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPPAETFHFAVNINRATVDHQNRPDEMDFFANNKIRDENEQSKVTDNTSDADNLRGFSPPADLDSNVNTGLHLLTTNTCSDHSVVDDGISPNAEDKRSKNEVAALQADLERTNIENRRLKDLLNQVTNNYNTLQMHLVTITQQQGQKGADQELEQFSDHQPNSKAEVARQNSSAGMVPRQFINLGLGGQISGETDRASSPERGDPSNTDNLSKEDDADPGSHNMWMGGNKVSRLNPPKSVDEATEATIRKARVSVRARSEAPMMTDGCQWRKYGQKIAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRSILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMPSADGIMNPNVLARTLLPCSSSMATISASAPFPTVTLDLTQNPNPLQLQKPQNQFQLPFNNTQQNPLSGVSPAALLPQIFGQALYNQSKFSGLQMSQDMEAGTSQLGQQAPPLDHSLTDTVNALTTDPNFTAALAAAISSIFGGGSHPNGSPSSNVNVSQSTTSNNNGG >KZM91114 pep chromosome:ASM162521v1:6:22314534:22316735:-1 gene:DCAR_021521 transcript:KZM91114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIEISPYLFPVTKDDQFLERFIIDELQQLHLLMQLKELSCFGNQKTKVFVADGSLDIRDIGLWSPVTCRGDHGWFIRLEQEPEVEMLWLGLSLPSEVTTYKSIPTSLTPGIH >KZM90848 pep chromosome:ASM162521v1:6:19790981:19791487:-1 gene:DCAR_021787 transcript:KZM90848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSSAAEDRVLNLEFCVRKTTSSEYYHEDGRVLVINTKYSEVYQTTRLHYAVFFRVEDHRENRVLCTVDLPYEHLVEDRQEESTEDEDEGMVPAALPAIRSLGATRIQVEEGNKNVQQCVICQEEYRDGEKVTCMPCLHMFHKDCITKWLLRSHLCPICKFQMPTSS >KZM90647 pep chromosome:ASM162521v1:6:17775741:17776418:1 gene:DCAR_021988 transcript:KZM90647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTKGPWSAEEDELLTKLVDEHGARNWTVIGNSIPGRSGKSCRLRWCNQLSPEVKHRAFTAEEDDIIFKAHAQYGNKWATIARLLNGRTDNAVKNHWNSTLKRKFVSSPGSEERSCRVMKRSESVSDKNGSEISDSCNSEDWNAGKEKAFVMEPPTALTLSLPGTGTDYYDIKEKRGEKNGEGVVRVSEDVVNVMKEMIKVEVRNYFEKEGGVRNAGVKRIGIN >KZM90819 pep chromosome:ASM162521v1:6:19552372:19553345:-1 gene:DCAR_021816 transcript:KZM90819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGDIKKVELNVSVHCCDGCKKKVKKILRKTEGVLNVEIDTVEPKVTVLGNVEPQKLIKKLLKVGKQAEIWSYNDQNATKENKESRMPPSKKSDTEFSAPGGEQMNHAETQRATSPDKHTESAVSKEGAGNKGLDHKDINHGAAGMLRTVNPLLHQPEASGVLIHPSIATHDGARRVISPDTLTQQYYYMVEPPRGPVIMPYYAVYPYNAAPVYTRGPELQNYGQIMMEPPVQAPASTTVLGDYFNDENTVGCQIM >KZM89996 pep chromosome:ASM162521v1:6:9354563:9355069:-1 gene:DCAR_022639 transcript:KZM89996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPVSAAALVAVPVLLSTMIFYYIAKSSLKKMHLHDQSSESAVKTVQEALLIKHEDEDVDEEDLECAVCLNKVRRGERYEILEKCSHGFHGKCIEAWLQHHSTCPLCRSRVPHTPLASNEHVYDHYYHVFLSYSLSVSISILNWLASPLSPDNNLMPSQASQDLFYF >KZM90148 pep chromosome:ASM162521v1:6:11716404:11717243:1 gene:DCAR_022487 transcript:KZM90148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSETVVDDETHNDSIRKVKKRVQMKRKQKVRKMKAIARAVAKTERKATKILNKESKKLRTQSAKKLYD >KZM91825 pep chromosome:ASM162521v1:6:28111662:28116286:-1 gene:DCAR_020810 transcript:KZM91825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKIIKRGQRKGSKSDNNIDYGATGNRSSGSVSTSNVVVNHASRGGLTAGQGGGGGSAGVVAAEPGSIEVLPLFKDVPVGERQGLFLRKVQVCCFLFEFTDTMKMAREKEIKRQSLSELVDFVQSGSAKISEGNQEEMVRMISVNIFRCFPPAAHENTGSENVEPEEDEPFFEASWPHLQLVYELLLRYVVSSDTDTKIAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKGINNIFYRFIYETQRHNGIGELLEILGSIINGFALPMKEEHKLFLLRALIPLHKPKPVGLYHQQLAYCITQFVEKDHKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAGEFQRCMVLLFKRIAHCLNSPHFQVSERALFLWNNEHIVGLITQNRTVILPIIFEALEKNIRSHWNQTVNGLTVNVRKMLSEMDPELFEECQRQFAEKEAKAEELEVQWETKWQRLVAAAEQRV >KZM90636 pep chromosome:ASM162521v1:6:17680109:17681455:1 gene:DCAR_021999 transcript:KZM90636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMVLDGYNESGSFCLDSLYCEEEEVGIESLVEEDGLVGAEDLERDLCWDVEELESLLCKEKETHLFCNENGKEIERACVARGEVVDWMMKVKAHYGFSAVTAVLSINFFDRFMLSSVCFQQDKPWMIHLAAVTCLSLAAKVDEVQVPLLLDLQVEDSKYVFEAKTIQRMELLVMSTLEWRMNPVTPLSFLDHITRRLGFKTHLRWEFFRKYWRSARYLPSALATSTMLHIIHELEPLNAIEYQDEVLSLLKTTKEQINECYGVIVNISNTCWTKNYHKRKYNAASPSSPSGVIDASFSYSDSSTDSWDSGSSSVSSSPHHQPFFKKLRTGEDNKMQMPIS >KZM89370 pep chromosome:ASM162521v1:6:133783:139688:-1 gene:DCAR_023267 transcript:KZM89370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAMRKLIQKKFKMRGYTLKVEALNEILPFLSKFKDAEDEALDLLLDELQHQSLKSSILDKESVSRVVSLLLEAEAAAEDTPASTSATGALRIIDAFIVPKYRYDPIKKIFLEHTGRLPIHGDASAKATLYRDRFLLLFQRLSRDPHFSRPAFDTDLSQFGNCQISPIQSLVGRTGKCWVMGVISQLEDGHFYLEDLTAAVEINLSNAISLPANDFLFPITTGFFSENTIVLAEGEMLLDGMFQDFITMLLNSCLQQTIYSSVSFRRKKFRYNLQVKTCGFPPLEDREKSSGLFSGIDFFGSGKLAKEETLRLAELETRAVNDMFVILSDIWLDNEDTMKNLQTVLDGYENVEVVPSLFVFMGNFCSHPCNLSFSSYSTVRMQFEKLGQIIAAHNRLLEHSRFLFIPGPDDAGPSTVLPRCPLPNYLTEELQKHVPNAVFGSNPCRIKFYTQEIVFFRQDLLYRMRRSCLMPPSSEETSDPFEHLVATITHQSHLCPLPLSKQPVIWNYDHCLHLYPTPHTIVLGDKSEQKAFNYTGITCFNPGSFSNDSTFVAYRPCTREVELSSL >KZM92391 pep chromosome:ASM162521v1:6:33069408:33075159:1 gene:DCAR_020244 transcript:KZM92391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQRQRIARKKFKEANPDKFPKQEPTPAKNPDKKKKNKPQFKKKKADSSDPNKGTKRISSHKKHPLRVPGMRPGESCYICKEVDHIAKHCPEKAQWEKNKICLSCRQRGHSLKNCPNKNEHTVDKKLCYNCGESSHSLAECPQPREEGGTKFANCFICSERGHLSKNCPKNTHGIYPKGGCCKICSGVTHLARDCPNKGTRNTGFGKISARFEDRPTGQVTKLTSGDDLDDDFTVEDAHIVDAEEPANEKVPPVGRSPTPKDTMSNAASGMSVHDECKLKFLELKAKRNFRYIIYKIDDQQVVVDKLGGPDETYDDFTNSLPADECRYAIYDFDFTTSENCQKSKIFFVAWSPDTSRVRMKMVYASSKDRFKRELDGIGAELQATDPSEMSLDVVKSRAI >KZM92217 pep chromosome:ASM162521v1:6:31609940:31610695:1 gene:DCAR_020418 transcript:KZM92217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSISCELVISAPASSVWDLVKGLKLPAALGESFSDLIEKIDVVGDGSVGTELYVKFKPGTAPYSYLRERFTKVDNESMVKEYEIFEGGHRDLGFSKYLIRFEIVEKDENSCIAKATTEYELNADADPKLASLVSVDQMMGILNVAANKVVNESK >KZM91888 pep chromosome:ASM162521v1:6:28671105:28678982:-1 gene:DCAR_020747 transcript:KZM91888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKYELQEIQKLQGHTDRVWSVAWNPVAGTDGTPPILASCSADKTVRIWKQNPQTSAFNCVGRMRVHTESVRSCSWSQNGHLLAVASFDGKVSIWNKTETGFEFWHFLKGDKNIEVESVSWDASGAHLATCGRDKSVCIWRVEDKYTFEVMAQLRKHKQDVKMVQWHPSDEILFSCSYDNTIKVWPRYSDHDEWNCTETLDHAKKGHSSTVWSISFNATGDKMVTSSDDLSIKVWGAERRQSGGSYGPWKHLQTLSGNHDQTISSVHWSSEGIIASGAADDAICLFEENQDGLESGANYKLLLKKVKAHERDVNSVQWSPKEVGLLASSGDDGTIKIWKLISPQ >KZM92242 pep chromosome:ASM162521v1:6:31776989:31777165:-1 gene:DCAR_020393 transcript:KZM92242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRKNIVNNNCNRRAYQLNHEETSCDKPIFLIAPLSISLHAEEEVRAEGIYAAICQF >KZM89782 pep chromosome:ASM162521v1:6:4755413:4756898:1 gene:DCAR_022855 transcript:KZM89782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTVNLSLGINLNSNPPHYPDDDPTDHLVEELNRMNTENERLTKLLTVVCENYKTTQTQLADLVMQKNDNNRKRVAEDDIFPTNYYVEGDSYKRFKEIKTDVSRVLVRADPSNKSLVVKDGYQWRKYGQKVTRDNPSPRAYYKCSFAPNCPVKKKVQRSVEDASIIVATYEGEHNHTMSSSSPREPHQNLVSTTSSSSPRAKSVSAGHNKDVEIDRMHQPKLCTDVVAEGTAPLVQQFLVEKMASSLTRNPSFTEALAAAISTKILEYDLMDGW >KZM91202 pep chromosome:ASM162521v1:6:22968646:22972714:1 gene:DCAR_021433 transcript:KZM91202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIADAALGTGISELLKVAIAVAKQTLAFKTNLKSLVKTLQSVKPIFHEIEKLHKVLDRPQDETDRFIEQLRSGVELVDKCSTIPCWNKYKQYIYSNKLVKLDKDVVKFFQIEAQGLAAVNSLRSVVGIQDSNDKLDLILKYLNISDSGFSFWGSVPGVPDGVVGFERPLKELKEMLLRDEEKVKVVSAPGGCGKTTLVKMLCHDPDIENIFGKNILVVTISKSANITVIVEKIFKCRMPDNKLPDFQNDDDAINHLEYLLKQIGPSPILLVLDDVWPNWVSLIDDLTFSLPNYKILVTSRFSFQRFEPVYNLKILNDKDAMTLFRRCAFPKDGNSKIPEDLVEKTVKGCKGFPLALTVVGRSLYGHPEVTWRLKQRKWSDGKSILDTERELLDCLQTSLDALDEMNESSIKDCCLDLGSFPEDQRIPATTLLDMWAELYNLDDKDMDSFSNLVELAFRNLVNLFCTSHKGNEVDGYCNENYVMQHDLIRDLTIHQSNREPIEQRKRLIIEITGNDFPKWWTEGAGLPIHARLLSISTGLIKISPQAGFP >KZM91850 pep chromosome:ASM162521v1:6:28366268:28368004:-1 gene:DCAR_020785 transcript:KZM91850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDTVLESWFGNFWRNSRKSSGTEPEKAVVGILTFEVSTLMSKVVNLWQCLGEKQIIRLHEEILSSPGIQKLVSEDGDYLMGLVLAEILESLGCVAESAARFGKRCVDPSYHNLDNIFDDFVNTGLNWSGWEYSLKKMEKKVKKMERFAAVSSQLFQELEVLAELEQTFRRMHTSVGLNQLKLLEFQQKVLWQRQEVRNLREMSPWVRTYDYILRLLLRALFTIVGRIKQVFGENQVRTVLGSNGYVFGTNDCLVRSHSVSAQVHSSVHPSENKPSRLYSGPLGRSISNLGLSSVKKGPNNKLHTPYQSSILCGKPPHGKTRRLAHAGPFKGCMTSGSNSPVMQNSMSVTNFSSKPSSIFQNEMDKRRYSSTVSSCGNTLNIKASPLKHRLLAAPLSTLGGAALALHYANIIILIEKLASSPHLISLDARDDLYNMLPSNVRTSLSAKLKLFAKTLASSVYDAYLAAEWNLAIANILDWLAPLAHNMIRWHSERNFEKQPRYSGTTVLLVQTLYFANQEKTEAAVTELLMGLNYISRFGRELNDKAFQESSCSAFSKDYSAYKNNFIPTVADHTTGN >KZM92082 pep chromosome:ASM162521v1:6:30391622:30393098:-1 gene:DCAR_020553 transcript:KZM92082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVARASEYLVITGAGITDIKIAKKAWIFPGQSCTVFDVSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRLDDDESLFLYAKLISPHDKLSHHVKELVQGIIEGETRVLAASMTMEEVFRGTKEFKQEVFSKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEARMKGEVGSKLREGQTLQNAAKIDAETRIIATQRQGQGRKEEIKVKTEVKTPGNSLRGRMHNTVSL >KZM90729 pep chromosome:ASM162521v1:6:18628817:18632096:1 gene:DCAR_021906 transcript:KZM90729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIEEKDLAKRENGEVEAARPTSSNDAGSICGFDSLHHLLQASLPPHLFQEVSRVLLGLNCGKPLESLPIPESAKELSLKQDFDLQAYCFHADKEILREPRVVRVALIQNSIALPTTASFLDQKRAIFEKLKPIINAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQEFARKYNMVIVSPILERDLKHGETMWNTAVVIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETIYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIASSYFVGSINRVGTETFPNPFTSGDGKPQHSDFGHFYGSSHFSAPDASXXXXXXSCTPSLSRHRDGLMISDMDLNLCRQLKDKWGFRMTGRYELYKEMLARYVEPQFEPQIISDPSVHKTFH >KZM90724 pep chromosome:ASM162521v1:6:18560954:18581113:-1 gene:DCAR_021911 transcript:KZM90724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDVSIETSCMIRIAVLPIGQIAPSLFRDYVSMLDRHQKIDLSTINSFYTEHQKSPFSNQPWESGSLRFKFMIGGSPPSPWEDFQSNRKIHAVIGICHCPSSPDLNSVTEQFQAACKPYAAAIVHRCFAFCPADSQLEQHDNKKEGSLIMFPPADRQTLEFHLQTIVQDIAASLLMGFENWVLQAESGGTILKTPLDSQASLVSEEVIKAKKRRLGRAQKTIGDYCLLSGSPVDANAHYSTALELSRLTGDYFWYAGALEGSVCALLIDRMGQKDPAVEDEVKYRYNSVILHYRKSFIQDNAQRVSPLSFELEATLKLARFLSRRVQAKEVVELLTTAADGGKSLIDASDRLILYIEIARLFGTIGELSVLQRGSKCNTGRVEPREIDVDQADNSKMHHHLVVSLFESQWSTLQMVVLREILLSAVRAGDPLAGWSAAARLLRSYYPLITPAGQNGLASALANSAERLPSGTRCADPALPFIRANWYVIAVVSNLSMAYSVFSKRLNIRNKLHSFPLHNSQIDIIKRNPAREDWWAGSAPSGPFIYTPFSKGESTNNCKQELIWIVGEPVQVLVELANPCGFDLNVDSIYLSVHSSNFDAFPISVNLAPNSSKVIMLSGIPTEVGQVKIPGCIVHCFGVITEHFFKDVDNLLLGAAQGLVLSDPFRCCGSGKLKNVSVPFISVVPPLPLLVSHVVGGDGAVILYEGEIREIWISVANAGTVSVEQAHISLSGKNQDSVISIAYEALKSVLPLKPGAEVTIPVTLKAWQLGSADLENLNKNSHGNLARQAKDGSSPLLMIHYAGLLNPGESSAYGRRLVTPLNICVLQGLSFVKARLLAMEIPAHVGDNPPSVDQMDGGLTEVVDDSESKTDKLVKIDPYRGSWGLRFLELELSNPTDVVFEIGVSVQLENCNDKNSAVVNGDISEYDYPKTRIDRDYTARVLIPLEHFKLPVLDGNFLIKDYSTNAPASSKSSSFSEKNMKAELNASIKNLISRIKVRWQSGRNSSGELNIKDTIQAALQTSVMDVLLPDPLTFGFRIAKSITEVVTGSDVQNNSSAVKGSVVANDMTPMEVLVRNNTMDTIKLSLSITCRDVAGENCVEGNKATVLWAGALSGVKMEVPPLKEIKHAYSLYFMVPGEYTLLAAAVIDDASEVLRARAKCDPDKVTVVEALSVACVDFSAVGVAARGLAFLHSSTEIGAPIVHRDFKSTNILLNDNYEAKISNFRLAKFMPDGHENCVTATVLGTFGYFDPEYKLDLV >KZM92064 pep chromosome:ASM162521v1:6:30224830:30229112:-1 gene:DCAR_020571 transcript:KZM92064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKDREESEYFLENEEDDEVHDIECNTSANGGSSSTGSDDEDGNEGGHGSRKSPTSTFSSQQWPRSYREATDSYTIAASPNFGLIRRSSTFRHSFYDVSARTSLDDVTKTSLLGEYEKNYKKEELDRVSRSSWWSEKASLHEDFAGELPIGHGCSLTQTIFNGVNVLAGVGILSTPYAVKEGGWASLGVLVLFAVICCYTASLMRHCFESKKGILTYPDIGEAAFGKYGRLFISIVLYTELYSYCVEFITLEGDNLTRLFPGAALNWGFLNLDSMHFFGILTAVAVLPTVWLRDLRVISYLSAGGVLATLMIIICVAFLGTMNGIGFHQTGPAVNFSGMPFAIGVYGFCYSGHAVFPNIYQSMADKTKFTKALMISFLLCALLYGSVAIMGYLMFGQDTLSQITLNMPDHLFASKVALWTTYALLMNPLARSIEELLPIGVANSIWCFIFLRTALVASSVCVAFLVPFFGLVMALIGSLLSVLVAIIMPALCFLKILGKKATTMQKVLSTTIVVVGVATAVIGTFSSLLEIVKKY >KZM90195 pep chromosome:ASM162521v1:6:12393039:12394654:1 gene:DCAR_022440 transcript:KZM90195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYFYLLLALPPLIIFIFHKILRKEKLLSVHSNPPGPRGLPFIGNLHQLDISNLSNQLWQLSKIYGPVMYLKLGYLPAIVISSPKLAKAVLKTHDLSFCSRPTLFGLKKLSYNCSDVVLSPYNDYWKEMRKIVTLHLFSSSRVHSFRAVREEEVFQMIRRISEEGSSGVATNLTSKIMPLTSTIVCRVAFGKKFDEGYTRKFEGMLQECQVVLANFYFSDNFPLLGWLDKLIGSSARLDKIFKDMDVFYQELIDEHISPSRPSSMDGDVIDILLQLKNDPQSSSIDISFDNIKAILMNIFIAGTETTAGTTIWTMTNLIRNPRVMKKVQEEVRKLMNGKDRIDEEGLQNTELPYLEAVIKESLRLYPIVPLLIPRESIEDCDLEGYKIKARTIVYVNALAIGRDPEVWENAEEFYPERFLDSEIDFKGQDFEFIPFGAGRRICAGMYMGTTTLKLILSNLLYSFDWELPDGMVKEDVDTQVLPGISMHKKNPLCLIAKKYN >KZM91035 pep chromosome:ASM162521v1:6:21575136:21575930:-1 gene:DCAR_021600 transcript:KZM91035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMAADAFLRMKKAGVEPTSHSCTALINAYSLSGWHEKAYMTFESMLEEGIKPAIETYTAILDAFRRSGDTQTLMKIWKMMIKDKIEGTRVTFNILLDGFAKQGHYTEARDVISEFGKIGYKPTVMTYNMLINAYARGGQDSKIPQLLNEMKGLNLKPDSVTYSTMIYAFVRVRDFKRAFFYHKQMVKSGQLPDAESYEKLRSILDVKAAIKNKKDKSAILGIINSRMGVVKIKKKTKKDEFWKNKTKRSRTHGPGRVMKNKF >KZM90048 pep chromosome:ASM162521v1:6:10008546:10010091:1 gene:DCAR_022587 transcript:KZM90048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPKRLLYGATPLVFLLLITLLYTQLFASTSLPFNRWMQRLTNWKVTDSTLSEAIKCQESFKPSLRKLLKGEDQSKLEANGFACDLDFYSMVCVASEPFLRIDTTRMTVEMLLNQTCPQDKPVRIKPYARQDSSVILKDTTPVEIMQQNISSLAACEYNHTVPAVIFSSSGFRGNMFHEFNEVIIPLYITSRHFESNVQLILSDYNPLFVSRYAQILSHLSGYQVMNPATNGSIHCFPGGAVVGLKFHDFLSINISSSINPGGYSMLDFKKFLQDSYNLKETQVSTKKPKLLLISRVKSRAFLNEEEMVNMMEELGFDIVIARPKQMSNLTEFSQLVSSCSVMVGAHGAGLTNELFLPVGAVVVQVVPLGLEWASAVYFGEPAGAMGVHYLEYRIQPEESSLIDTYGRSDEVIADPESVFAKSFEAGRAVYITGQNLKINVSRFRETLVQARLLVGNDS >KZM92661 pep chromosome:ASM162521v1:6:35200863:35210577:-1 gene:DCAR_019974 transcript:KZM92661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTYKILVRHRNLSEGFKIWSDLRSVSSRAEKKPRRVAALWGNGDYGRLGLGSLESQWRPVCCSSFGDQSVCQIACGGAHTLFLTESGSVYSTGLNDFGQLGSSDDRSYTTDPIEISGLPKDIIKVSAGYSHSSAITVDGDLYMWGKNSSGQLGLGKKASKIISSPTKVECLNGVHIKMVALGCDHSVAVTDKGEALSWGGGGSGRLGHGHQSSIFGFLRSSSEYTPRLIKKLEGVKVKAVAAGMMHSACVDENGSVFIFGEGSVKKLGFEESKVATTPSAINEVPHSDEVACGGYHTCVITNGGELFTWGSNENGCLGIGCRDVAHLPERVEGPLVKYPVWKVSCGWKHTAAISGGNIFTWGWGGSQGTFSVDGHSSGGQLGLGDDIDYIEPMMISFGTNVKALQVSCGFNHTAAILEYLN >KZM90810 pep chromosome:ASM162521v1:6:19473199:19473792:-1 gene:DCAR_021825 transcript:KZM90810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVMASTSPSNPDLPRRQSSSSLHLLTDLHSKTFSSMNMDDLLKNIYSDADHFPADQAAADAKKSVDEVWKEIVNQPVSGSSAQPAMTLEDFLTKAGAVREEDVKGVEESGGVFGGDAAAATAGGVMSGGVIAFPVAANQVFGNGVVGRGKRRAVEEAPVDKATQQKQRRMIKNRESAARSRERKQVQFRVYHH >KZM90162 pep chromosome:ASM162521v1:6:11865753:11866784:-1 gene:DCAR_022473 transcript:KZM90162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIWEAPKDGYAKINVHFVSVDEPLANGNTNSVAAIIRNDTGKDLWKAFGPLTGRTEEQAILTAIQAACIEALKQDLDISHIETENHQVYETIRFQEEIFLDDNQLEFYGMFNTIHVNNFKASSTQRKIACIPPHMNSAAQYLSSYGLNNVKVFTETTKMVGDLHFYLERDMGRTLPLPFLDLIPDLGNGEVEDASPPRPSKRQKLGTSSEMHGVRIFEPCVVPSVAAAPANHAGPSTSLSLARVDKGKAKMLDDSGTHVKVPLSSQAIRLLEDKSLATTSKVCTKDVVDLNASVGNGLLARDVLRHALSDTMHVIAPVVVPAMDPDFMTIEQVLLLMGYGV >KZM90949 pep chromosome:ASM162521v1:6:20761166:20765958:1 gene:DCAR_021686 transcript:KZM90949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVAARTGYLQFRESSCSGQQLCGGQTSRISSISLKRCKPKDLSSSSNVSKILRFHKSGYSHSQIVKKLQYHKTMVTACLRDGSPNYFDFVVIGSGVAGLRYALEVAKHGTVAVITKAEPHESNTNYAQGGVSAVLCPLDSIESHMQDTIIAGAYLCDEETVRVVCTEGPDRIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLNAVVNDPNISVFEHHFAIDLLTSQDGPNTVCHGADILNTATKEVLRFISKVTLLASGGAGHIYPSTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPSGPSTMRENAFLITEAVRGDGGILYNLNMERFMPFYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREEILSHFPNIAAECLQYGLDITSQPIPVVPAAHYMCGGVRAGLQGETNVEGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSHYGGNAADYWERPTVPKSLGNNVLDKIIRRTSEVRKELQSIMWDYVGIVRSTTRLTTAEQRIGELELEWEAYLFQQGWEPTMVGLEACEMRNLFCCAKLVVSSALARHESRGLHYTTDFPDLEESKRIPTIIFPGSPLNNTWSSRQVHKQQIC >KZM91801 pep chromosome:ASM162521v1:6:27921072:27928421:1 gene:DCAR_020834 transcript:KZM91801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETIAANYQQSIFQRLLSAIVPILLVAISYVDPGKWAATVEGGARYECNTVLLMLAFNLAAILCQYLSARIAVVTEKDLAQICSEEYNKVTCIVLGVQSELSMIVLDLTMILGIAHGLNLILGVDLFTCVMLTAADAILFPFFSSFSEQKAKVLCLYTGAFIFFSYTFGALISQSGIPFSMGGTLINLSGESSLAFMSLLGASVMPHNFYLHSSLVKQQQGERRISKEIDCHDHLFSILCIFSGIFLVNYVLVHSAANALYSTDLLLTFYDVLSLSDQGFRGLVLPFALILILIFSNHITALSWKLGRQAVLHKLFKVTFPGWLHHLVIRVLATVPALYCVWNLGAEGAYQLLIFAQIVVALLLPPSVILLYRVSTSSSVMGVYKISMPVEFLVFSTFVGMLGIEIVFVAEMIFGNSDWVMNLQWNMWSDISFIYVLLIITACFSLCLIIHLAINPLSTATSSFDVQNCNLDVKPSMPGFSKERAQHDSLNDIGYQADELLHKQESVFESDGNDLFSSASASTLASDSFRDFESRPQLTTIKENCSDITHTIASICNVKDSATLDDIVPESVLCKEVSVGEQVYATTLTTEQLDSPVCMPSIEVYSQTQKDGGDDTLEPEKSCKPASESSPCLTSDGPGSYRSLGGKADDAGSGAGSFSRLAGLGRAGRRQLAVVLDEFWGQLFDFHGKATAEAKAKRLDTLLGVDSKVDLKQSCVLPKLDNTRNEFTRNIPSPGERISNSFTSSSLYKSPVQQSGQNNISYGVQRDSLSSWSSNTQLLDTYMQNSSYSDVDSGERRYSSMRLPPSTDVYNDQPATVHGYQMAYLSRIAKERNNGFMTSQMDLPSPKSPSVPYRDPFSSAAGQKPRITPSAKTPPGFPSVPISRTSTLQSGRPFQVNSPGLSAENIGTAVNEKKYHSLPDISGLSLPYKKSLHSGMGVSHENPTIYRPSMSHTMQEVSLYVNPLNKVHISPSSTIGGAPVFLDNLPSNVGRDAYSLKFNSSSQTGSLWSRQPFEQFGVSGKVPPMEVDGTRSSQSSIIEETPSMIVFEAKILQAFRVCIKKLLRLEGSDWLFRQNDGADEDLIDRVGARERVLYEAESRMGIGDSRSPVDKKPGLAPKDEEMASIPQCGEGCIWRLDLIISFGVWCIHRVLELSLLESRPELWGKYTYVLNRLQGIIDVAFSKPRLPMTPCLCLQIPSTHEQSPPRVSYGSLPPPAKQARGKSTTAAMLLEMVKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLISQPVPSHDIRPG >KZM90770 pep chromosome:ASM162521v1:6:19019573:19021496:-1 gene:DCAR_021865 transcript:KZM90770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSDGIGQSLLEWEPCCPMQEEPGAEAKFKEISNAYEVLSDDEKRSIYDRYGEAGLKGAGMGTGDFSNPFDLFESFFGGMGGMGGRASQNRAMEGEDQIYNLVLNFKEAVFGVEKEIEITRLESCGTCNGSGAKPGTNPSRCSTCGGQGQVVSSARTPLGVFQQVMTCSSCNGTGEISTPCTTCSGDGRVRKSKRISLKVPAGVDGGSRLRVRSEGNAGRRGGPPGDLFVMIDVMPDPVLKREDTNIVYTCKVSYIDAILGTTTKVPTVDGPVDLKIPAGTQPGTTLVMAKKGVPFLNKTNMRGDQLVRVQVEIPKRLSGEERKLIEELSNLNKGEAVNSRR >KZM89589 pep chromosome:ASM162521v1:6:2336861:2339689:-1 gene:DCAR_023048 transcript:KZM89589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTAAEMAAVKQVNEITSPAVAVNNPSSDHPFAFHVSGPRKVSSPSWRDLLNSSWKDGNYKRTVIACFIQAVYLLELDRQENKSEQTALAAKWWIPFKYKLSQTLIDDRDGSIYGAILEWDRAAALADFVLVRPSGAPRAVLVLRGTLLKSLTFRRDIEDDLRFLAWESLKGSVRFNGALVALKSIADKYGSNNVCIAGHSLGAGFALQVGKALAKQGIYVETHLFNPPSVSLAMSLKNISERAGFAWKRIRAMLPYATETQGSGDDGKSSCTGQNKWMPHLYVNTSDYICCYYTDPAGAEVKQIDKENVGQIAGQAAAAKLFVMSKGKQRFLEAHGLDQWWADDLELQQAVNDSKLISKQLKSLYGLQASQQTQNKPQVSETWRGLRSMYAK >KZM89910 pep chromosome:ASM162521v1:6:7351254:7351505:-1 gene:DCAR_022727 transcript:KZM89910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAANRLSAIAAEMGQLQNEIQEHRRVLNFLLRSVRTMDPARKEARIRATRERIEGLEERLQALRAEQEALIVRAATHGHRGD >KZM89552 pep chromosome:ASM162521v1:6:1971841:1973625:-1 gene:DCAR_023085 transcript:KZM89552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFQTSAVEISSLQRLHFNGNHMDYSSATSHNSLNDIVSVFLSPYVKVSRSVQLYQQSAVGNVSWRNVVSLRCRGQVRSVSVDGVNSSAHDRWTSDKYVANVVRKSRQMVQTEKPPNFSKASSHGLYVDNSEETNNKILQTLCNRRKLINAYKLVVVMTSRNQIPFAPYCIKLIRALIRSEQTDKAAKILRLMVMSGGIPDIITYNMLVRGLCMKGHLKYAIDVLEDMSLTGCPPDVITYNTILRSMFDHGKSSHAIDFWKDQLRKGCPPYIITYTVLIELVYKHSGIVRAMDVLEDLAVEGCYPDLVTYNSMISFACRQGHIGDAVLVIRNLLSHGMEPNAVTYNTLLHAFCSHGSLDEVDEILFFMHETSHPPTTVTYNILINGFCKYGLVGRAIDFFSQMISQNCSPDIITYNTLIRALCNEGLVDETLQILESLSDSSCSPTLVTYNIVIDGLAKHGFMEKAMELYDHMIKHKIVPDDITHRCLILGFCRTDQVEDAVEILKSMGKKNPGIINGAYRMMIHGLCKKNKVDVAIQLLEIMISSRVWPDETMYSTIIKSVAAAGMAEEAMELHRKMIEWNIFKEESVLAVN >KZM89582 pep chromosome:ASM162521v1:6:2281510:2285921:1 gene:DCAR_023055 transcript:KZM89582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISTEEGEVVMSEVHLGCPPHFSGPYFSTFTISLPPPPEVELVGSKFDGVRGGELTSTQQVISLDEDGDLILRRRNEDSKYKFVMIIQHNITSSIPSVGLQVWRAELVLADYVMHKMFTTSDFDGIIAAELGAGTGLAGMLLARVAKKVFLTDHGDEVLDNCSQNVHLNSERFSASVYVRELDWKASWPPLEKCAASQGRYDWTSQELEDFQGASLLVAADVIYSDDLTDAFFSTLERLMSRSSDKVLYMALEKRYNFTLDDLSVVANGYSHFQKYLKNEQGDYAGCEGYHNDSYPCFVGTCIDLTQVPQYVREYERGEDVEIWEIKYEKK >KZM92785 pep chromosome:ASM162521v1:6:36288952:36291500:1 gene:DCAR_019850 transcript:KZM92785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTAKLYSPRNELKSLFYFSRTKKSVFLTYVFTFSFILFTLFLAFNPNPANSYSSSTIWFKNIFNGLTSSYQNVSTFSANTSQILVHNSTVLEQEPLIVKTQNLNFELDGKVGNFTQNVTIEPSEVKNGTQNEGLLHKAGIFKPNITTIEVPQSEEEVKNQTLKKGLVDRAAISEQSSGELNKNVSSAEELTGHGDERTEEKGVVTNLSTSLLEKERKEVLSGDSEKSKTDKMMEELMNCDLFDGEWVKDDSYPLYKPGSCSLIDEQFNCFRNSRPDQEYQQYKWKPKGCTLPRLDGRNMLELLRGKRLVFVGDSLNRNMWESLICILKNFVKDPSKVYEASGKRQFRSDPHFSFVFKDYDFTVEFFVSPFLVQEWQLREKNGSAHETLRIDRMWIPAVRYKTADIIIFNTGHWWTHPKTSQGKDYYQEGTRVHRELNVMEAFRKALTTWSRWVDANVNPDKSLVFFRGYSASHFSGGQWNSGGQCDNESEPIKNDQYLTEYPPMMKVLEQVLQKMKTPVSYLNITRLTDYRKDGHPSVYRKQQMSSKNNRQNLAIQDCSHWCLPGVPDLWNELLYAELLVKQYQKQQRKTP >KZM89372 pep chromosome:ASM162521v1:6:155399:160552:-1 gene:DCAR_023265 transcript:KZM89372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNLVSQQLSMSNADMSQMEPTYVKVDSSVNMQMGVMGSIPDVYASHQFAMSDQQMQLVDPLSYISGPQSYTMSNTHLGNMESMVDNVGLHKSKPDQKDVQMDAMFTNPGPQKLFQPNKRKAAVEPMFNSTVPRQLSMPNKRATHMDSLSTSHELPNHSGTNRKGGTLQSVSAVSGSQTPASNRRTVKNDLNSNKPASQRVQTPKGRTAQIGTPRQTESFEAVRSKMRESLASALALVNQNQSSSPKQEKSPLHKDDNNPEVISQNVKLSESTSVPVDAAVAHENIKDDIQTKDISLVNNPSHRLSTFAENLTHEGIENLPDTWKNDVSDSMYNAVLPEEDVAFTDSFFAKDELLQGHGLSWEWEIGMEVEAKQQLQSSRQVNVPGDDDEQKVKHSDNLTLKTEEKVAQAANQAGAGRDESFYTLRTPEKLAFEIEAELFKLFGGVNKKYKEKGRSLMFNLKDRNNPELREKVMSGDITPEKLCSMTAEELASKELSEWRTAKAEELAQMIVLPEDGDRRRLVKKTHKGEYQVEVEQDDEISVEVSVGATTLGQFQRNDKEKLPPSSSDKDELKDSKKVESEKGSMETMDPSYSLTIPADGTDLMQGLIEDEFKDAEFLPPIVSLDEFMESLNSEPPFDNLAADTQTKTPLDKLSAETGENSESSKLASKDVDNRTGKATDLDSKMTKQDAEEKSGDYAKEQKQLNPDMTSMAERVWEGALQLHISALITVIGLYRSGEKTSTKEWPSSLEIKGRVRLDAFEKFLQELPMSRSRAVMVIHFVLKADSSADDRASLREAIDSYVLDERLGFAEPASGMELYLCPPHPKILELLGKHLSKDQTELINSTDNGLIGVVVWRKAHLSSTISPNSTSHHKHSSKKQQNFRRQEKNNNVNANMTPRTPVPSTQAPIRSGTLPSGNDDDDIPPGFGPGNARDDDDLPEFSFSGSSNPTQSSRLSSNPSRPVDQIRELIQKYGKPAESQSTKNWQGKRSAGLAVQAWDDDDDDDIPEWRPDAPLTHVQTRPPARGFHQAVQPPLVNQTATTQHVLSPQVAMPPMTMIQNNPSSWQQGNGRWVAQPPGFQQSSLASQPNIGQFQGGPVAQAGQPPPGNWRRDAPRSRGF >KZM92388 pep chromosome:ASM162521v1:6:33055774:33056339:-1 gene:DCAR_020247 transcript:KZM92388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVFAGKELEDYHVVADYEIQNESTVDVLVRLRGGKGGHGRIDPNLIVLAQKYNQKKMICRKCYARLDIRAKNCRKKKCGHSNQLRPKSVLDSKGSG >KZM92444 pep chromosome:ASM162521v1:6:33432668:33432847:1 gene:DCAR_020191 transcript:KZM92444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIISRNFCFMAGTIFGVYVAQNYNVPNIYKLGNTAIFMAKITEEQYRKPKKNIDDDVV >KZM90303 pep chromosome:ASM162521v1:6:14005077:14005376:1 gene:DCAR_022332 transcript:KZM90303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCIVPCKSKKSLQYVEPRMEIEEILKEKLATIIEEPETCEENGKVLAKYRSFAKKGKKICLKAKMGHMFRTQFSVKDSYVLLMTSFASRHGLAGLSQC >KZM92791 pep chromosome:ASM162521v1:6:36328571:36334161:1 gene:DCAR_019844 transcript:KZM92791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAKNVAASAKETAANVAASAVSGKEKAKATVQEKTRRPVTHLFIYSSSVEMASITAPTMQLAKGQLCFTASGKVSQPSIGNFSTEVKGASWTSLKSSCYISSARSLSYNLTHTPVKSERLVTKAMSEASDLKPLPGLPVDLRGKRAFIAGIADDNGYGWAIAKSLAAAGAEILIGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDSPEDVPEDVKANKRYAGSSKWTVKEVAESVKEDFGTIDILVHSLANGPEVTKPLLETSRYGYLAAISASSYSFVSLLKHFVPIMNPGGASISLTYIASERIIPGYGGGMSSAKSALESDTRVLAFEAGRKHKIRVNTISAGPLRSRAAKAIGFIDMMIDYSTENAPLQKELSAEEVGNAAAFLASPLASAITGAVVYVDNGLNAMGVGVDSPVFKDLDIPTYKEH >KZM89647 pep chromosome:ASM162521v1:6:2854236:2862757:-1 gene:DCAR_022990 transcript:KZM89647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSEDRSLQETPTWAVAIVCGVFVIISIIIEHGIHSLGKLFIKRKKKALNEALEKIKAELMLLGFISLLLTVGTTYVVKICIPIKLGDTFLPCEDYTKKSSNKGGSGDGGGDRRKLLSFAEEIMWRRALASEDEGEDYCAARGKVSLISYKGVHQLHIFIFILALLHVLYSVILVVLGQAKMKKWKSWEAETASLEYQFTTDPERFRFSHQTSFVKRHAIFFLMPGAKWIANVAPNGQFNFHKYVKRSMEDDFKKVLGISLPLWGFALVFLLLNVYKWHTLVWLSMLPLLILLVVGTKLELVIMEMAQQIQDRTVIVRGAPVVQQSNQFFWFNRPKWILFLIHFTLFQNAFQIAFFLFTLIEFRHKTCFHQKLPSVVTRVVLGVGLQILCSYITFPLYALVTQMGSQMKKSIFEEQTSKALKKWHQSAKDNQKKLRKAREAASSGYACSENNTPSRGSSPVHLLHNHKCSSTDQSESIIASHYIFLNLQLYFNTLFYPLAMASILTLRLSPSSYQRLIQPNLTGLRRAELGFDSCRLNLRNDQRKAKKFVIRLALSGDSDKQDKSIQDIVFVNTRNTLVKFRESVCSRPHIFVTKRTSTSYVAVGICVVTMFLVIVMRVYKSRISRPSRPGSVADLVRRGQLRSDRRGISSTLKYEDPFNNPMVKISMTNSTVEMCGKVYRLAPVTLTREQQNVHIKRRSRAYQWKRPTIFLKEGDAVPSDVDPDTIRWIPANHPFATTVSDIDEDMAQTNVYQKHGVPFRIKAEHEALQKKLESLQNDQKFSKLTIDAGNAKNFERPFRTQLKPDDQVEEKLSNGPSRHTQSDCTRNSLGSNTNTDDIQHT >KZM92349 pep chromosome:ASM162521v1:6:32725650:32727082:-1 gene:DCAR_020286 transcript:KZM92349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSDEMMIPRSFCSKYAHDLHEDMELKLRNGYVLPVKFDHSRGVFKGLLCFFKHFKLNGGELLVFEYFGRYNINVYILGSNLSEIKYPDFKFNMPESPPRLVTLGDGGWRFVWFNSGRQTTINEIQPPEAFLDRCEFSFREPMKYVISNGKKFGCSYCPSSRKFIGLDCVCEMLKNTGTKEIHMLLFKYDVDSVITISAFDKELCEIVYPGTPLSIVEAGGDHPSIGFQFEIHVEEIHMSPECYVVYISPLFKKLCSMWDTIQSIYVYSGNGSWKLDICRRDDYYRSTIEDGWQQLRDGLALEVGDICIFECPVDSLDRFNVRVVKKQ >KZM90591 pep chromosome:ASM162521v1:6:17131205:17133328:-1 gene:DCAR_022044 transcript:KZM90591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIATLLSLSVLCLVASVQCYGGKGDNPLAKFIEAQKSKRPSTFRNEVLDVEYSPVFVESQDGLKEADRVESLPGQPDGTAFDQYSGYVTVDPVAGRALFYYFAQSENSSSQPLVLWLNGGPGCSSFGNGAMMELGPFRVNSDGQTLSQNKYAWNNEANMLFLESPAGVGFSYSNTTSDYVTGDTKTAADAYTFLINWLERFPEYQTRDFYITGESYAGHYIPQLAQLILHNNKITNQTVINLKGIAIGNAYIDIETQHRGSYDYFWSHALLSDEIHEGITLNCNFSANANVSEACITYLQQVDLGDIFSYDIYAPLCGSSTGSPSTSGFDPCTDSYINTYLNTQLVQSSLHVTLPPKSWASCNFDINAHWNESPATVLPVIKELMSSGISVWLYSGDTDGAVPVTTTRYAIDYLQTSVKTPWYPWYTQAEVGGYAVGYENLTFVTVRGSGHFVPSYQPSRALALFSSFLAGELPPSNES >KZM91136 pep chromosome:ASM162521v1:6:22492339:22495204:1 gene:DCAR_021499 transcript:KZM91136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVVLGIRIPDRIQKDPKDEVVVKTAVFGLGSFWRSESVFGCLDGVVRTAVGYAGGSKTNPEYRSLGDHAECVKFQHLELAQPKALLSHFINDKLIPFTNCLQIEYDPRVINFRQLLEVFWSNHDSRQVFGQGPDVGNQYRSIIFTNGTEESRLATLSKEREQNRLKSSIVTTQIQPLGPFYPAESDHQKFELKRNHFLLQLIGNLPEEELQSSSLAAKLNSYAAELCPWRTQKRLDAKINDIVRKGWPILQDL >KZM90102 pep chromosome:ASM162521v1:6:11190485:11196799:1 gene:DCAR_022533 transcript:KZM90102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRDSGVSTESFYQVRPECTDVPKTKFKIRAGKTLSVRKWHAAFSPEGYLDIGKTLSRIHHGGVHPTIRGEVWEFLLGCYDTTSTFDERQQIRQRRRVRYAQLKDECRQLFPIVGSGRYITAPVITEDGKPIKDPIVLQQTYDRVQENDASNSHEHLLEAVPDGPQDEKVIQWKLTLHQIGLDVNRTDRTLVFYEKQENLSKLWDILAVYSWFDKDVGYAQGMSDLCSPMIILLEDEADAFWCFEHLMRRLRGNFTCTANSVGVETQLSHLAAVTQVIDPKLHQHLENLGGGDYLFAFRMLMVLFRREFSFGDSLYLWEMMWALEYDPDLCSLYAADPEAPTEKLEDAKGKSKSKKQFGKYERENMKSGGKGAETPLPISVFLVASVLKDKSSTLLTEARGLDDVVKILNDLNGNIDAKKACIGALKLHKKYLKKVKKP >KZM92577 pep chromosome:ASM162521v1:6:34531827:34536710:-1 gene:DCAR_020058 transcript:KZM92577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKHSKLIKQIAAEDQEATDVEKDLGFWKDVMDLYFVRGKDSRKRQDDDLLFFVRKKSLHGYGFNDNMEGSSPYFVRRWAPKLNNLVDENTVGVDWRCSYYLNLIAHTSFSVTVAICSRQALQRHQGDEQSPLSPIYKEAETIPAYPDICFAVDDFDSTFDEVVLTDTDHCYCVLLNANGGAAFPSEKAQEDCSSSDSSSLKSKTDTRKSNKTKV >KZM90463 pep chromosome:ASM162521v1:6:16017192:16025075:-1 gene:DCAR_022172 transcript:KZM90463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEMGKTVLDKGWLAARSTEVDLTGVQLTTTHPPAISPAGSPWMEAHVPGTVLATLLKNKLIPDPFYGLENESIIDIADSGREYYTFWFFTSFQCKPMSNQHVNLNFRAINYSGEVYLNGHRRVLPKGMFRRHSLDITDILHPDGQNLLAVIIYPPDHPGRIPPEGGQGGDHEIGKDVATQYVEGWDWIAPIRDRNTGIWDEVSVSVTGPVKIVDPHLVSSFFDGCRRVYLHATTELVNNSASVAECDLNIQVTTELEGNVCLVEHLQKEHVSILPGGHVQYTFPEAFFYKPNLWWPNGMGKQSLYNVEISVEVKGFGESDSWSHPFGFRKIESHIDSATGGRLFKVNDQPIFIRGGNWILSDGLLRLSDERYKTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGDPVSNPDGPLDHDLFMFCARDTVKLLRNHPSLALWVGGNEQVPPDDINTALKNDLKLHPYFQKINETENLTKELSPVLKDPSQYLDGTRIYVQGSMWDGFANGKGDFTDGPYEIQNPENFFKDDFYKYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFTKLSDGYVKEVPNPIWDYHKYIPYSKPSLVHDQILQYGTTKDLDDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRSAAEPIHVQLNLATYFIEVVNTTADRLSNVAIEVSVWDLDGACPYYKVTEVLSVPSKKTVPIIEMKYPKSKKPKPVYFLLLKFYNVSDDSILSRNFYWLHPPGGDYKSLEPYRKKTVPLKITSLTMIRGSSYEMRMHVENTSKKPDSKNLLYKNNFAHINSNNDFDSSSSRSVHNHEEQQVNNNIVQRIYRKFSGEPNGLKTVEINGSETGVAFFLHFSVNGSKKDQKEGEDTRILPVHYSDNYFSLVPGEVMTITLSFEVPPGVTPRVTLQGWNYHGAHSVYH >KZM91125 pep chromosome:ASM162521v1:6:22415855:22421875:-1 gene:DCAR_021510 transcript:KZM91125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLVITIIYCFICYFVKPICGIVHLKSLSTSFADTPAKFSARIHGSRICGALLVADPLDACSSLVNSGVKFDDIKFALIVRGNCSFLDKVRNAQRAGFDGAIVFDDQVEKNLISMIGSPEGIWIPAVFVSNEAGESLMKHARGEDGECCIISSLKETSWNVLIISIISLLVIGAVLAAFVSLRLYWRNQNRPSNHVGGKLVELLPCSIFSATHISGYMEKTCAICLQDFREGDSLKVLPCQHKFHANCVGSWLTKWNTFCPVCKYDLKPEVTHQLKKKKKKMMESAGRTVKDVSPHEFVKAYAAHLKRSGKMELPHWTDIVKTGTFKELAPYDNDWYYIRAASMARKIYLRGGLGVGAFRRIYGGSKRNGSAPPHFCKASGGVARHILQQLQTMNIVDFDSKGGRKITSSGQRDLDQVAGRIVIAA >KZM91251 pep chromosome:ASM162521v1:6:23565614:23566879:-1 gene:DCAR_021384 transcript:KZM91251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPHQHSRINLAELKAQIARKLGPDRSMQYFNCLKKLLGLKINKAEFHKVCRGIVGRENIPLHNQFISAILKNAYTAKVPPLLNEIEVLKANKAVGNKESPSDGYQQNGTHSAINQPPIHAGLSNGDILPLSPKKARTSAQNRRVGDRRSALGPTGKTNLLSQKSPTTDRSDCNVILENGESIQPDIRKAVHHHHGLNQETEFSRNHTTKISTVSAVDRISGQSKGKKDLFIKDDGTELSARRFLHPPLGIPICAASTAGSHRALRLGSSSKVVSSSDVGGLLDTTTLRKRMEHIAATQGLEGVSLDSANILNNGLDTYLKGLIRSSIALAGSRSGHGQVNQKIHKHQALVKLINGVSPSPHYRMQSNSSSPEVMHENTHRCPISMQDFKVAMELNPQQLGEDWPLLLEKICTCADDEEL >KZM90622 pep chromosome:ASM162521v1:6:17567897:17572186:-1 gene:DCAR_022013 transcript:KZM90622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPSRNNLPATAERRLPQNAMSCSSCDFGESSSNASLRDQISGDVLKMDPDRPLSYRASLAGTRPEDFQQAPSNSPVHEGVGADLIGGQVNWGPLSIQNSGSDASPVNGNSNARFSEINESQLQCVGADACPSLYKSSTVDTRITSASISSDDVGNSSERSSYHVGNDGTGSSPRSWGPSCKRKAPEVTSQPCSNENSGLFPRAKNTVLGTAHHNASSSLNISVQAVRSQTRNSLIVGSSEQVNTVHEVGMGGTPDAIPPSVAGSVENFNNDFGSRFDVEHQELIESDSPSGGNSIRCPNVQSSHPSSQHKPNDSSDFRRATLLPTDSSSSSNHLRPGPSLNRNAPFTWNGNFNSRTNSSPNSPGHPRDRGAGLRREANLRGNTRNNLEQLMFVPATETRNLVQVPTPASMSSGNSSSSVSVASSGQTSSSSRLSGGTQYPLSLPDFARTPFPSTESIEASRFSPHQLDGSSPDEREMPSLDSVHPQPSSRHALSREVEGVDVNVRSALAAEIRERHRLVSEDYMLFDTFINGAGILHDRHRDLRLDVHDMSYEDLLELEDQMGNVNTGLKEETIMKLLIQRHHSSVTIEDPSKIEPCCICQEDYIAGDSIGTLNCGHDFHTNCIKQWLMQKNVCPICKTMALRT >KZM90821 pep chromosome:ASM162521v1:6:19572406:19575993:-1 gene:DCAR_021814 transcript:KZM90821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNEAYGNNTGVPSSFGGFNYSSMNNLSATPYNTNNYTNLLVGNPNHINPYHNFPPYNTTPTLTPTPPTTLSPNNNFPLCPNITDTNAIAPFPGALNNHTVQNYENTYNTMQLVNSAPQPFAIGVNQQTHDAVQINMGVNAADHLGSLVFSDGENTINGHLSKKKRNVISRHKRSSFRPFDQFDFTQHVQPPPQPQVQAQARLRRVRMILYQSSYSVQYSSPESRKADDSKLRFLFQKQLQNSDVNNLKRMVIPKVIEASKPKCNNVVYKPKPRKIRNHSKKDKAETDKDTPPTVAHNVAPSLEAGKGLPTAAKTEPSVDHTTVDNYMPVPNNGFEAGVIIDNVVNFPNPVDNSSSLIYSDINYPNDYSFMWERRTSSQPVPTFQNLSYDEILKGM >KZM91879 pep chromosome:ASM162521v1:6:28621584:28623333:1 gene:DCAR_020756 transcript:KZM91879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQEQNPGGETPTKNPGMEVMAGQSENEVESALRMEDGEQPRSNAFPSFSRPSSVYLLTLDEFHNTLRGSGRNYGSINMDEFLQSIWTAEENQVQAQNAAITVPAVPHMTIVNNINPQNPSFESSNGRGSTARNLSLTGQGSLSVPAPLSQRTVEEVLSEINRTEQNTNNITHAGSKQSGPTYGEMTLEDFLVRAGVVPEESARPSQPPSAFPPSPPPPPQLPQLQPQPQSQLLYGMFVNCDTALASSFMTVGCGGAGSENVPPPFNTVTQIGGLNVSDNGCGTESFEQMSHVSSNGIGMSTPRSKLNGLVERVIEKRQKRMMKNRESAARSRAKKHAYTIELEAEIKYMKNENFNLRQELAESFTRSTQQNTDAAKPKDQAKGKDKLGLLRRCPSSPF >KZM90543 pep chromosome:ASM162521v1:6:16715999:16721266:-1 gene:DCAR_022092 transcript:KZM90543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HDR-2 description:4-Hydroxy-3-methylbut-2-enyl diphosphate reductase MSISLHLSRFSPADVFSPELKRLPCRKLVSSVRCGATSEFDTKEFRKNLTRKANYNRKGFGRKDETLEDIKKEYNSNILQTLKENGYEYTWGNVTVKLAESYGFCWGVERAIQITYEAKKQFPEEKIWITNEIIHNPTVSKQFKNMNIEDIPIEGGKKQFEAIDKGDVVLLPAFGASVEEMRVLDNKNVQIVDTTCPWVSKVWNSVEKHKKGEYTSIIHGKYSHEETVATASFAGKYIIIKSMAEATYVFDYILGGELDGSSSTKEAFLEKFKKAVSKGFDPDSDLIKVGIANQTTMLKGETEEIGKLAERTMMRKYGVENINNHFMSFNTICDATQERQDAMYKLVGDKLDLMLVVGGWNSSNTSHLQEIAEEYKIPSYWVDNETRIGPGNKIAYKLMPLYQHGELVEKENWLPEGPITIGVTSGASTPDKAVEDVLVKIFALKGEESLQMA >KZM92633 pep chromosome:ASM162521v1:6:35005090:35007879:1 gene:DCAR_020002 transcript:KZM92633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANADTEKPATLSEQYHLEKEEKLNAAEEVAVADSATEAVKEVVAAGTEESPAAPKSSEPDNAASGGDNESPDATSGESNEAGDSVTVATPEDSSESPDATSGESNEAGESVTDATTEESSESTEQDNAGDQEVEETPGIKLETAPADFRFPTTNQSRHCFTRYIEYHRCVAAKGEGAPECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >KZM92048 pep chromosome:ASM162521v1:6:30055989:30058440:1 gene:DCAR_020587 transcript:KZM92048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQACVLTAALLLSTLHFLAVVDSQPGADRIDTLPGQPKVGFQQFAGYISVGEKQERALFYYFVEAETKADSKPLVLWLNGGPGCSSVGAGAFVEHGPFRPKGNVLLRNEYSWNKEANMLYLESPAGVGFSYSSNKSFYDYVNDEMTAQDSLVFLQHWLEKFPQYKDRDFLISGESYGGHYVPQLAQLIVKTKAKINLKGIAIGNPLLEFNTDFNSRGEYFWSHGLISDSTYDLFNTVCNYSQIRRQAEKGSLSPDCSRVIRQASNEIGRLIDSYDVTLDVCLSSVLSQSGVLDKTHDSENVDVCVEEETVVYLNRKDVQKALHAKLVGVTRWITCSSVLHYDMENLEVPTINILGSLVKSGIRVLVYSGDQDSVLPLTGTRLLVDRLAKELKLTTTEPYSSWIEGGQVGGWTQVYGDHLSYATIRGAAHEAPYTQPERSLVLFKAFVEGKPLPKPIGTSTTQTNKLLNIRL >KZM92823 pep chromosome:ASM162521v1:6:36579476:36579793:1 gene:DCAR_019812 transcript:KZM92823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIDEVTLEALKKQAHKGDLYDSLFMGAEQQQDDIPGVSHVPNDDKGKGKEIMWKDILADEELPTWGFPLINEVHDLIPSVFETGESSLHGGADAIGKMYWDFN >KZM90297 pep chromosome:ASM162521v1:6:13961315:13961961:-1 gene:DCAR_022338 transcript:KZM90297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALSNDIDLLNPPADVEKRKHKLKRLVKTPNSFFMDVKCMGCFNISPVFSHSQTAVVCHKCNAVLCQPTGGRARLTGGRAHA >KZM90666 pep chromosome:ASM162521v1:6:17918838:17920581:1 gene:DCAR_021969 transcript:KZM90666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMSAAALSASFAGKAADTLLVNYRFPVSSVRLFPRRVNMCTFVAATSKKTETETESETAKKREPRGIMKPRPVTPEMQAIVGVPEIPRTQALKRIWAYIKENNLQDPENKKIIICDEKLKKIFKGNERVGFLEVAGLISPHFL >KZM92505 pep chromosome:ASM162521v1:6:33914542:33917295:-1 gene:DCAR_020130 transcript:KZM92505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSWVKSYEEKLGKLAMVTLAAGILTLGTFDPALAAKSGGRVGGQAFRSSRPAAPPRASSPRTNNSRTNIYINPPVAPPLSGYGYGYGYGGYGWSPFSFFAPGPSVAVGIGGGFDTLAFFLFLGAGAAVVRRLFRSRDDDDY >KZM90093 pep chromosome:ASM162521v1:6:10756021:10756659:-1 gene:DCAR_022542 transcript:KZM90093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPSLGRQKIKIAKIERKNNLQVTFSKRRSGLFKKASELCTLCGVEIAIIVFSPAGKVFSFGHPNVESIIDRFFTRNPPLNSNSTLHLVEVHRNASVRELNFQLTQILHELESERQGGETLDQMRKASQGQFWWESPVEKLALHELEQLRDSMEELKRNVTDQANKILVENVNALPLLGDNALRSFDQFEAKPAELNVSNNFGYGTVGFF >KZM90747 pep chromosome:ASM162521v1:6:18830832:18839801:-1 gene:DCAR_021888 transcript:KZM90747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIMLLDPIACYSLPKGYEAITLKVSSHASRFSRHFFTVSCTYQRLVCGPAFSTLHRINHCSSSSSNVLRDASSLASSTFGGKEYYFNHKQARNKKIHICAALDVASAIDVINDLGLDTLTFLIVTVMIVPAFKIIKASPILGFFFAGIVLNQFGLIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVVLCTLAFTAFELPPNGAIGTRILEFLFHSRSDVVNIRSIDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNPLEESIWPMLVKESLKALGGLGLLSFGGKYFLRRIFEFVAEARSSEAFVALCLLTVAGTSLITQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDTELLLREWPNVIALLAGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVFSMALTPLLNDVGRKASEFIAKNVEKDYKIADAVNFGATEPVVILGFGQMGQVLANFLSTPLASGGDGNAVGWPCVAFDLDLSIVKGATNLGFPVLYGDGSRPAVLQSAGISNPKAVMVMYSKRERALEAVQRIRLAFPATPIYARAVDLDHLLDLKEAGATDAILENAETSLQLGSKLLKGLGVMSDDVSFLSQLVRDSMEQQAQSADRTAAKETDLMKSMQVRVGDLVNTRTLVQSLAIKDESQSVNQRDGSRVNQRDASRVQTLAKEDDRLEYKDDSDQSEIGRGVLYCELDTDDNSPLP >KZM89761 pep chromosome:ASM162521v1:6:4402725:4405000:1 gene:DCAR_022876 transcript:KZM89761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLWCYQLIPSVFMMNLKGKIANAVTLKDLSGRCWQADIKQTKEGFFLYNGWERFVSDKSVELGSFFVLRYVESSSSFVVKIFGPNGIKTEDLARVKIEDEGAEMQTDSMQNHRETIPARHEQSPEGIRQSMSPSRGSRIGKKQKFVQSAEHACTKNPCFPANYRPSTPYTLYVPKPLLDQHGITLNKSVEMQDHNGKVWQLSITHLRDGRTVITQGWRDFLEQYNLNTQKDELELEIIRGRGSDCKDLKVRIIKRVTPRGRGRPREPKDIRPRGPGRPRKMK >KZM92147 pep chromosome:ASM162521v1:6:30930885:30932180:-1 gene:DCAR_020488 transcript:KZM92147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEYLLFRRALAGMKRKRTVQNAEPEVYVQQQGITTKQNPIGDHDIDSRLKSFLSRPIKPLTNSYCNVPIIYGPVPSLEMWTDLQPGARRCYNLLKIGEVEELLALGLQVFSSIQGNITAQAICQLMNLAYNLKDSTGEYCFGDVSPELILEDTDFDEVSNAALLIVRPYNTLTVMISHEEPEYKERVANGFCYLATSYMRLYTKSAENYLRIEGPLRNRFKDFYDYALPFENFHPVPEAVNCIKFQIDANQTLRNTFYNLVYAGESVENGKQLKEFLYGYHILYTGMHCFPLFLKCVEAMKVTNNQLINVLRSNYFKVELNALESIFNNLYGSSEQPGMERQMWKYARVFNSRFFNQLQTKNCATFTAVLAHLYHSIIPASGNEDARNIVRVKELSGGQLKIAKEYARRALTICRDGTKDTKSSGERKI >KZM90867 pep chromosome:ASM162521v1:6:19950628:19959243:-1 gene:DCAR_021768 transcript:KZM90867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTLKSSGGGLVGVILLNVRSYKLSQRGLNVTIFEAEERAGGKLKSVSHDGLIWDEGANTMTESEGNVSYLLDNLGLRDKQQFPISQHKRYIAKNGTPVLLPSNPIALIKSNILSTQSKIQLLLEPFLWKKNSNSKMSDKHESVGGFFRRHFGQEVVDHLIDPFVAGLNAGDPESLSMYHAFPDLWNLEKKFGSIIVGAVRSKISFKKGEGQGMNASSKSKRRKRGSFSFLGGMQTLTDALCEEVGKDKVNLQTRVLELSYPCSQESQLSNWSISYALDFDKHLKEQCFDAVIMTAPLCDVKQMKITKRGKPFVLDFIPEVSYMPLSVVITSFKKENVRRPLEGFGVLVPSKEQQNGLKSLGTLFSSMMFPDRTPSDLYLYTTFIGGSRNQELARASRDELKKIVAADLRQLLGAEGEPTFVNHLYWSKAFPLYGHDYNSVIGAIDKMEKDLPGFFYAGNHRGGLSVGKAISSGCKAADLVISYLDSSLENNLSRENCT >KZM92299 pep chromosome:ASM162521v1:6:32255137:32259000:1 gene:DCAR_020336 transcript:KZM92299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSKNLLSAPCWLLHVLLDYRASGLYFEGRGLQENAHVCIRWNAPSGHHYMQAAPYYI >KZM91282 pep chromosome:ASM162521v1:6:23795277:23796254:1 gene:DCAR_021353 transcript:KZM91282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKAFLTDNGISLLEKRFLPALDKMGKICHLYLTRDHAFFLHNLLNGDGIQSIAQFRKEALFDDYRISSQNEDRIAFAVDLSLLHRALRSVVTIYAEFGADGGAAAVPPSNRLQIKLVKKLPPHSQQAMPFLTFETKGYKSAVIQDVPISKPLSRTDVLELQAALDSAQDLPQTLVQVPDMNQLQTFVDRMKHVGDPISMSISKCGDLHLQISTTLITLGAEFRKLLVIGEKAQVPAEDRNLTAQSRSERAILRGDAMSVQVSVKHFSKSLQCHLAKPDCAFYGIAPQGACLTVIFQFFIPGTHQTDKSISLHCRLPVLDPGSG >KZM91908 pep chromosome:ASM162521v1:6:28833800:28835906:1 gene:DCAR_020727 transcript:KZM91908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPIILVFLLLLIVFTSQIDWNQQIVSEVEANPNLSRKQLDHVSSQDSVKEKIVSEVEANPNLSRKQLDHVSNQDSIKEKIILSQEKSIQKLNKLVQSLQEQLVQCRVINGDIDDPAGPLAELLHELEQQQLLDA >KZM91306 pep chromosome:ASM162521v1:6:23979377:23982006:1 gene:DCAR_021329 transcript:KZM91306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYQDQSYKAGEAKGHTQEKTGQMADTMKDKAQAAKDKASEMAGSARDRTVESKDQTGSYVSDKAGAVKDKTCETAQAAKEKTGGAMQATKEKASEMGESAKETAEKASEMGESAKETAVAEKEKTGGLMSSAAEQVKGMAQGATEAVKNTFGMAGADEEEKTTTTRVTRSSARTE >KZM91906 pep chromosome:ASM162521v1:6:28822894:28825563:-1 gene:DCAR_020729 transcript:KZM91906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFIILLVSALLLQLSTSSLDSDIVGLLGRELLESAKEPHFFEYVRRIRRQIHEYPELGFEEHRTSQLIRTELDSLGIHYVWPVAKTGIVASIGSGDSPVFALRADMDALPIQELVDVEYRSKVDGVMHACGHDSHVAMLLGAAKLLQSRKHNLKGTVKLVFQPGEEGYAGAYHMLKHSAFDDISAIFALHVIPSLPTGTIASRPGPMLAGSGLFTATIYGKGGHASSPHNARDPLVAASLAVVALQQIVSREADPLEARVVTVGFIEGGKGANVIPETVRFGGSYRSLSAEGLSYTQERIKEVIEMQAAVHQCKAVVDFKEETPLPYPVTSNDESLYEHGKEVAEILLGKQKVEVFPVTMGGEDFSFYAQKMPAAMFVIGTKNETLKSDWSLHSPHFTIDEEALPIGSALHSAVALSYLNRMPDTMTCSIRSPSDTTRSDH >KZM89788 pep chromosome:ASM162521v1:6:4851783:4854417:-1 gene:DCAR_022849 transcript:KZM89788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRISSVYTASRGLSRLLHHNSTWVFSCQQNPLKLFKFTSPFSINAPHQNVDRTSKDAGKDVSWNLWVPNQFSGGTYEALENYGIDLTELASRGMIDPVKGREDDIERCIHILSQKTKNNPVIVGAAGAGKTAIAEGLAQRISRGDVPECLKNRQVVLALSDTPIFNEMNT >KZM90088 pep chromosome:ASM162521v1:6:10679629:10680368:-1 gene:DCAR_022547 transcript:KZM90088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKIQAKLRRTAKGTYKTEFKLKATGYKHEFSDSSEVAVQVLDSGLLKELSSLFEAEVIDLEAVVWEGICAKNILEQAVHSWSENLAKEQDADANPPLEDSLAFTREREHDLDMIWRKFWLSGINAFRRVFELLGPLSSLVI >KZM91802 pep chromosome:ASM162521v1:6:27932328:27934978:1 gene:DCAR_020833 transcript:KZM91802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAL-1 description:Phenylalanine ammonia-lyase MDCENKNVVLGNGLCMQKDPLNWGMAAEALTGSHLDEVKRMVAEFRKPMVQLGGETLTVSQVAAIAAGSVKVELAESARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGSGNDSSNILPHSATRAAMLVRINTLLQGYSGIRFEILEAITKFLNQNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPTGENLTAAEAFKLAGVDGGFFELQPKEGLALVNGTAVGSGMASMVLFETNILAVLAEVMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAEKQHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKMIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMSTTFLVGLCQAVDLRHLEENLKSTVKNTVSQVAKKVLTMGVNGELHPSRFCELDLLRVVDREYIFAYIDDPCSATYPLMQKLRQVLVEHALKNGETEKNLSTSIFQKIAAFEDELKALLPKEVESARAVVESGNPAIPNRIKECRSYPLYKFIREELGTVYLTGEKVTSPGEEFDKVFTAMSKGEIIDPLLACLESWNGAPLPIA >KZM90091 pep chromosome:ASM162521v1:6:10723650:10738422:1 gene:DCAR_022544 transcript:KZM90091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKQKQTQPPRRILSPRQCKKPVAGNTPHEEPTVNKEPPVNKEPNVNKEPPLNKEPTINKNSTVKRKLNLQDGNTNGKTDIAVQAKKKELGPKTRSRANDCEKKARTVKEQVKRKFVTAHQLKQQQQKKMKMKQKQTQPPRRILSPRQCKKPVAGNTPHEEPTVNKEPPVNKEPNVNKEPPLNKEPTINKNSTVKRKLNLQDGNTNGKTDIAVQESMNELLKEGDDEDVVPPAAKKSSDWLAGRCGQTAGSETTPQEKEDDLEVATEEEEENEEAGEKDKHENGTGEEDKHEEETGEDKHEEQNREEDIQAEEKDVEGRGDRDNEVNEHEKEKSNFEVEREEEFLHQEKEKEDPIEKTGFRRSTDSSTKSGLDNIKNVDKSDVSAESKDSSDSSVLEETSNDLKSEDQDNIKETDEVQYESTEASDPTLEDKENHIDLDTLPEIRTEGRNSEEVAED >KZM91450 pep chromosome:ASM162521v1:6:25274628:25275398:1 gene:DCAR_021185 transcript:KZM91450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFIVTQTCELAIEVAQQEKILEIKQKIENFLGYPVPSQILTVLGWELIDALDLEDYPIVTEGTKIYLIIKTLCMAPPTVQQNHRHKIQITVKFPARKINIEIEATETVRSLKEKVHIIDGTPIKRMILSFGGREMNEEFRNLSEYGIGEFSEIVVFLKTMSRLVAEPPSRMLSLVVQTSSSLLNAARIPVEMKDSSTVNDMKQSLIIRKILPTDDYIFIHKQRIMRENCSLRWHGVENGESLYVFKGTVSRGGF >KZM89689 pep chromosome:ASM162521v1:6:3400546:3401007:1 gene:DCAR_022948 transcript:KZM89689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAETKMIVLKSSDNETFEVDEAVALESQTMKHMIEDDCANTTIPLPNVSSKILAKVIEYCKKHVESRSAADDELKSFDAEFIKVDQGTLFDLILVMGQ >KZM92589 pep chromosome:ASM162521v1:6:34645463:34647326:1 gene:DCAR_020046 transcript:KZM92589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMLSRKASFNSHGEDSSYFLGWKEYEKNPYHEHHNPSGIIQMGLAENQLSFDLLESWLAKNANVAHFKEDRGGSSSSLSSSLFRELALFQDYRGLPAFKKELAALMGEIRGNKVRYDPNKLVLTAGATAANETLMFCLAEPGEAFLIPTPYYPGFDRDVKWRTEVQLVPVHCSSSNQFRLTSLALEEAHKRALKQKLKVKGVLITNPTNPLGTTMSKDELNHLLDFAISKKIHIVSDEIYSGTVFDSPGFIGITQALLNHKKVRVGNDIWNRIHIVYSLSKDLGLPGFRVGMIYSENEAIISAATKMSSFGLVSSQTQFLLSNILGEKNFRKLYMKENQKRLKERHDMMVSGLKSAGISCLKSNAGLFCWVDMRHLLCSNTFEAEKELWEKIISEAKLNLSPGSSCHCSEPGWFRVCFANMSTETLSVAMQRIKAFADATCKADQISYQQALYSSQKSSLNKW >KZM91749 pep chromosome:ASM162521v1:6:27533052:27536633:1 gene:DCAR_020886 transcript:KZM91749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITSEVRIIDNKLLVKDRTILTNVPEDVVLTSGSESVPVGGIFLGAKFDEENSRHVVSLGKLDGVKFMACFRFKMWWMAQKMGDKGGDVPLETQFLLLETKSGSQVEDETMYVVFLPLVEGPFRACLQGNVQDELELCLESGDLETKGSDFYRSVYVGAGTDPFRTITGAIEAVKLQLKTFRQLKEKKLPSIIDYFGWCTWDAFYQEVSQEGVENGLASLTAGATPPKFVIIDDGWQEVGGDTDQPQSEEQQLMRLIGMKENSKFKTKDDPPIGIQNIVSIAKEKYGLKYVYVWHAIMGYWGGVRPGMEGMEQYGSVLKYPVISKGVMENEPGWKVDPLALQGIGLMDPKNVHKFYNDYHQYLASAGVDGVKVDVQSMLETLGTGSSGRVEVTKKYHQALDASIAKNFPDNGCIDCMSHNTDSLYCSRQTAIVRASDDFFPRDPVSHTIHIAAVAYNSIFLGEFMQPDWDMFQSLHPAAEYHASARAISGGPIYVSDAPGNHNFDLLKKLVLPDGSILRARLPGRPTKDCLFADPARDGVSLLKIWNMNKYNGVLGIYNCQGAAWNSTERKNIFHQTQSDAITGFIKGHDVHLIADVSMDDNWNGTCALYCHQSSNIHILPYDMATPISLKILEHDIITVTPVKMLAPGFQFAPFGLVDMYNAGGAIEGLKYEVKNGAQLSGETAENLAEAVISLEVKGCGRFGAYSTTKPRSCTVGSSKVDFTYDAASGLVILSLDYMPDENQKVHTVSIEL >KZM92293 pep chromosome:ASM162521v1:6:32198833:32200598:1 gene:DCAR_020342 transcript:KZM92293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTKIVDFFRIQRCSQPAEKLNHDCKKKRKRTTSLGVSKKRRKLLPFSPSEDTSMRYKQMQSLAVALTATGADYSNELTYIPGMAPRSANCSTLEREGMQGGLLMKFALKNCSITNKFYMTENLLQFQTDVQPSQEGKKKQNVKCVRFDVNGEARVLLIASRDIHKGERLYYDYNGYEHEYPTQHFV >KZM90183 pep chromosome:ASM162521v1:6:12208878:12213602:1 gene:DCAR_022452 transcript:KZM90183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNSVTRLVHFLSLVLILGPQLMQATVTYDKKAIIINGHRRILLSGSIHYPRSTPEMWEDLIWKAKNGGLDVIDTYVFWNVHEPSPGNYDFRGRYDIVRFIKTVQKLGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQGFTQKIVQMMKSERLFESQGGPIILSQIENEYGAERYALGAAGASYINWAAKMALGLNTGVPWVMCKEDDAPDPIINACNGFYCDAFSPNKPYKPKMWTEAWSGWFTEFGGPIYQRPVQDLAFGVTRFMLKGGSYVNYYMFHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIREPKYSHLKELHKAIKLCEHALVSSDPNVKSIGRYQQAHVFSAGHGNCAAFLTNIDSNSAARVIFNNMHYDLPAWSVSILPDCRNEVFNTAKTYGEDIMSLSQSSTFTVTGLLEQLNVTRDNSDYLWYMTSVDIRSSESFLRGGQKPTLIVQSRGHAVHVFVNGQYSGSAYGTRENMRFTFTGPVNLHAGPNKIALLSVAAGLPVGLRGEARNLVSPSQSSAVEWVGGSLYNRGQQPLTWYHVPRSWLKPQNNLLVLLEELGGDASKISLVRRVTASVCADTFEHHPSVENWQIDNTGEPKMRQEVNLNLSCEPGESISSIKFASFGTPLGTCGSFKKGACHSPDSHAIIEKMCVGRKSCKVTASNSFFQADPCPNVLKRLSVEAICSSNTVI >KZM91987 pep chromosome:ASM162521v1:6:29601268:29601585:-1 gene:DCAR_020648 transcript:KZM91987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKAFATIILTVLLFHSCFLLSATASDRLLFKEESGGAANEMSNIELTEMKDYPPPGANCKHDPENCPPSSSSTDNFRN >KZM90717 pep chromosome:ASM162521v1:6:18496812:18500722:-1 gene:DCAR_021918 transcript:KZM90717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRVIVSANTSASSLLLKPHLLKPHQSLNLCFNLNKNANFTSLSNTKRLFSCKSLYKPEIVTKEDGLPETLDYRVFFLEKSGRKLSPWHDIPLHVGDGVFNFIVEIPKESSAKMEVATDEPFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPTLANSEVEGAFGDNDPVDVVEIGETRGKIGEILKVKPLGALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAANKDYALKVITETNESWAKLVKRSVPAGELSLS >KZM91294 pep chromosome:ASM162521v1:6:23890360:23890554:-1 gene:DCAR_021341 transcript:KZM91294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFNMRGCRRCSKWLMLRLWVLPNFPSKGARDLKVKLIVLNETAFACSSIFVVEGAICQNQPEA >KZM92271 pep chromosome:ASM162521v1:6:32002574:32005485:1 gene:DCAR_020364 transcript:KZM92271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGNDDVTKSMNQMNSGNNSAMINHDDGGDGFNQEMRPLEKSKSKLNPMAPEFVPTYVAAGAESATKNENGSRRRRRRARPRPRRRFNPCEAEIEQVRRTVFVSSIDNRISEEHLAALFLSCGPLVDCRICGDPTSMLRFGFVEFAEEESARISLSLERTMLGSHAIRVVPSRSAIAPVDRRLLPRSAAERERCTRTVYCTNIQREVPAEEIIFFFESFCGKVLCLRVFDNRHYPTCAAFVEFATSESAVAALNCSGFVLGALPIRVNPSKTPIILGDFRSRI >KZM90605 pep chromosome:ASM162521v1:6:17354088:17368062:1 gene:DCAR_022030 transcript:KZM90605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWNIAKSAEAMFSRWAIKKVFKFLLKKKLGQFILGDIDLNQLDVQLRNGTVQLSDLALNVDYINLKLGALAGLTVKEGSIGSLSVTMPWKSKGCQIELDELEIVLGPGGNNLFENGSGTSLPSRNVQDGVGDFRTSGPDIVDNTVAIASVDVHEGVKTIAKMVKWLLTSFHVRIKKLIVAFDPYLEEKEKNGFCRTLVLRIAEVECGTGTSEVNNSDNQVKADTFLGFNRLTNFVKFHGAILEFFRIDDCVKQSAVPCSSGTTSTELFNACCSPNATTSIITGEKGGFSGTMKLSIPWKNGSLDIRKVDADVSIDPLELRIQPSSIKCLLHLFEVYGAFGENGKSPMHNMDNESVYHNAISNSLTSTLGSYTTEKVLSNHGYPSNINPSFEKDPIIDTLLPGSHVISDWVTSSSRKQRDNADVEPDFGASVDQFFECFDELRTSQSALGNSGMWNWTCSVFSAITAASNLESGSLHIPTEQKHVETNLKANIAGVTLLFSFVDEDLGHLHNQTNARPFVHYLGAKFQNTLFILQVCPREMNIKATVEHFELSDHFSSGNDINDSDVKGYHDDIKTQVTSIAKMQSAVEVSFPTSSLANYYTGAKATNSVVTDSPYTVDTRNDRSIYRSDVVKVILLRTSGVIDCLCTVTFGSSSLVMGATSFSLKLPPFVFWVNFRLISLILDVLKQPGDSVGMPSTGSEFLDETCDIESSSSSQEKLRKKSCSQVTSSSPAERLKGNVLLSNARIILCFPYETSGDLRSYTCWNQFIAVDISSAPNLREEKVQVSSRPTGVKSKKWQSMASSCSLHLNFGNLGIYLITAASTESIGSDSGTQNPKYLHQKIVSVADQASRFSVLSMFWQDDYLTGPWIAKKARLLATSGDSSRREKSVGCSHDVASVTAVIDVEGIETINREEIILSSSFILHAHVAPVMVMFGSSQYKTLNSLISEVIDWLSCLASNSVDGKVESFAPQTSMLVECDFLEFQVKLEADESIKGSPENELPGCWHSFKLQIQKLELLSVSNVGGISDSKLFWLGHGMGNLWGSITSVPAKEFLLISCNNATRGRGDGDGSNVLSSKMSGSDIVHFWDSVDSHSHTSVTIRSGTMTAVGGRLDWLDSVTSFFSLLSPETEQLGDDNPQKVNSDRNGPCVTSFVVNLIDIGLGYEPYLGHLAGAPANEKYFGCLLAASSFSISNSVFSNSPEREYRIRVQELGLLICPVSGLKSIGSSSSVQHLRRHGYIKVAQEAHIEALLRMNCINGHLWEFECTESHIILNTCHDTFSALICLSAQLQQLFAPDVEESIVHLQNRWNNIQHRHMNNELGSGSCESSISTSQVNLSHGHTKSMPGVVNLMDEICENAFQLNQYGNVDPEYSGLRTFTSFEADCPESTDNIISKDCPEFIEEYFFSDVLSPSGLSSEKQPVNEVHKNKPSKLKGKEVQRRKSGWYNDVSLEILENHVSEICKQAGLKQTDEHESSDHTKVGGKNKVEGNVILKNINVTWRMCAGSSWQNFQNSNQNLINHSGSGTIPYLELSLFGLELKYDRFPHGGVCVSKLSISVLDFRLDDNSKDAPWKLILGYYHSKDHPRESSSKALKLNLDAVRPHPSTPLEEYRLRIGLLPILLHLHQRQLDFLISFFGEKDPLVDPLPSSAQYLSEPILQREQSKFEILPVTIRVDYSPCHVDLAALSGGKYVELVNLFPWKGVELQLKRVQGKGVYGWSCICETIIGEWLEDISQNQVRKLLKGLPPIRSLVAVGSGAAKLVSLPVKNYKKDHRILKGMQRGTIAFLRSISVEAIGLGVHLAAGTHEIFMQAEYILANIPPSVPWPSESKVNSNVRSNQPSDARQGIRQAYESISDGLGKSAAALVQSPLKRYQRGAGVGSALATAVQAAPAAAIAPVSAVARAAHCALLGVRNSLDLERKKESMDKYSGTPQPM >KZM91365 pep chromosome:ASM162521v1:6:24518543:24519867:1 gene:DCAR_021270 transcript:KZM91365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDAKAFAEKERTFFMETSALESMNVEDAFTEVLTQIHQVVSRKALEIGEDPTALPKGQTINVGGKDDVSAVKKSGCCSS >KZM91418 pep chromosome:ASM162521v1:6:24996054:24997439:-1 gene:DCAR_021217 transcript:KZM91418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVLESSRVSPPPNTNVEKSLPLTFFDLIWVPFHPLSRVIFFDFPCSTNHFIENIIPNLKISLSSALQHFSPLAGNLIKPSNPDSETDFHIRYADGDSVSVIFAECTEDFNQFCGYQVRAADLLRPLVPQLPGESRVEVCGEECLASPLLAIQVTVFPNHGVCFGFTNSHVVADGSSMFSFVQAWASLAKQVMLKEDGSNFQVPFYDRSLIEDPLGLGAIIQRNFRGLATAEQMEQVAPDQSIGGTKARVTFVLKQADIEALKSLVIQKRPALPYVSSLTVVSAYVWTCMAKTRAAVVKGSEQEPLNFAIAYDCRARLDPPLPASYFGNCILASVTVEKKEVLAGEEGLFTAAELLGNSLSAKINNKDGVWKGASGLHDEFAGVTRGEWFLGIAGSPKLDYYNAIDFGWGKPRKFEFVSEPFSISRCKDSKVDLELGFIMPKNEVDVFSTIFAQGLEVST >KZM89756 pep chromosome:ASM162521v1:6:4331158:4332552:-1 gene:DCAR_022881 transcript:KZM89756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGEGELGFGGTVEEEGIKIWLMVEHLCGEISHSIYRIEPPTDAADYEEKQIDSDGLMIDLVSPLITIGNEFELLEHCAMVKVGSLMYFFGGVSIGRVLSNDQVKLCVRILDIEHPELGLYSAPSLNAPKERPCGFSARGMVYALGSRLDVCNSKFFLDDGSVATGIFERYDPKAHKWQVLPDPPLPFGKTPRFDEITWCDSATVIADRYVFVGNPVPELYVIFDLDVGKWGTPFPESFISSHFPYGSLCVDNSLYCLTGFGTYKYGTQEEAKSNWLDEDEQEYEEDYRLQIIKRGPLYVEDPNRLLESHCLRPENKQLMTRLEPPDLLDECNSYEWRELLHLGGPFFCNILTSELGETFCRGVWIDVFEEVKIPASKSTHFRTLASFSYKIRTPFHNSGFYVRCCAFGSVPDCMSSIFLLFSVIILFFCSLILAIDA >KZM90083 pep chromosome:ASM162521v1:6:10429155:10433817:-1 gene:DCAR_022552 transcript:KZM90083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELKQRILTSLSKLSDRDTHQIAVEDLEKIIQTLSSDGISMLLNCLFDATNDPKPAVKKESLRLLAVLCATHGDSSSTHLTKIIGHIVKKLKDSDSGVRDSCRDAIGALSGQYLKGETGENGGHGSIVSLFAKPLFESMNDQSKVVQAASAMCLAKMVDCATDPPVAALQKLCPRICKYLNSPNFLAKAAILPVVASLSQVGAIAPPSLETMMQSIHDSLSSTDWATRKAAADTLIALALHSSSLIRETAPSTLAVLESCRFDKIKPVRDSLTEALQLWKMVSGKGEDGPTDNPESSVNDTDTSGVADVSKHHTDSAERRTSASVNNSAGVSPTTGSVSKAKGSNILDKTAVLLKKKAPALSDKELNPEFFQKLETRGSGAVEVMVARRRPKSPNSQSEDEVETIDMDATKSKGNCEQDVGSINSNLDAERGNGGATSCRQPDFDDQGDTNLRKSSANRVGISKTDGQSEGFMNNKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHDGMLTLENRVRGLERIIEDMAHDLTISGRRGGNYMMGFEESNRHLGKYSGFSDYNTKFTRPGDGRSSFGERFAPSDGVAFTARGRGPPSWRNDLPESRDYYSYGRNGQIGSRRALGSGPMDGRSPKSDHGSDQSGSRRAWDKGVGAVRFDEGPSARSVWQASKDEATLEAIRVAGEDNGKTRTARVAERELTAEALGDDNAVPDRDPVWTSWSNAMDALHVGDMDSAFAEVLSTGDDLLLVKLMDRSGPVIDQISSEVANEVLHAVAQFLIEQNLFDICLSWIQQLVDLIVESGPDVLGTPMEVKREVLVNLNEASSTIDPPEDWEGVPPDQLLLQLASAWEIDLQQLEK >KZM89703 pep chromosome:ASM162521v1:6:3563763:3567069:1 gene:DCAR_022934 transcript:KZM89703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKHRASSAFNSPYWTTNSGAPVWNNNASLTLGTRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRAPGVQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLLDDIGIPQDYRHMDGSGVNTYTLINKAGKAHYVKFHWRPTCGVKSLLEDEAIKIGGANHSHATQDLYDSIAAGNYPEWKLFIQIIDPDREDRFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIIVPGVYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPANAPKTTHHNNHHDGLMNFMHRDEEVNYFPSRFDPVRHAERHPIPPPILTGKRDRCMIEKENNFKQPGERYRSFPPERQERFISRLVDALSDPRVTHEIRSIWISYWGLADKSLGQKLASRLNVKPSI >KZM92605 pep chromosome:ASM162521v1:6:34774854:34778878:1 gene:DCAR_020030 transcript:KZM92605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASLPLWFTFVLPGLWILAESYSLHGEEWLVCIESIETCCAKVSKFMAPLGVRLSVEESHVVIDNGLLQVTLSNPDGIVTGLRYNNIDNLLEVLNAESNRGYWDIVWSAEGTARGGVFEVIKATTFKVIVETDEQVEVSFSRPWDPSLQGKLAPLNIDKRFILLRGCPGFYSYAIYEHLGSMDWPAFSLSETRIAFKLRKDLFHYMAVTDNRQRYMPLPDDRAPGRGQPLAYPEAVLLVNPVEPELKGQVDDKYQYSCENKDLKVHGWISTNPAVGFWQITASDEFRSGGPVKQNLSSHVGPTCLAMFLSGHYAGEELCPKFEQGEAWKKVFGPVFIYLNSVMDGNDPLTLWDDAKNQMSVEVERWPYSFPASEDFPSSDQRGNVSGRLLVKDSYIDKDLLPAKGGYVGLAPPGDAGSWQRECKDYQFWTNADESGYFSIKNIRVGNYNLYAWVPGFVGDYKYDVLFTITEGCDIDVKDLVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPNYINPILGNPADRFRQYGLWERYAELYPDSDLVYTIGESDYKKDWFFAQVTRKKDGKTYQGTTWQIKFKLDNVDQTGTYKLRVAIAEATLSELQIRMNDQTTSRPLFTTGLIGRANSIARHGIHGLYWLYNVDVPGKQVIQGDNTIYLTQSRSASPFQGIMYDYIRLEGPATH >KZM90224 pep chromosome:ASM162521v1:6:12829758:12832672:1 gene:DCAR_022411 transcript:KZM90224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKSDSDLTSLEATSPKHPLYYVQSPSAHEAEKMSFGSSPFGSPGHHYHSSPIHHSRESSTSRFSASLKNTARNNGYWKRLQRVGDSVEEEVDEEDVRQKKAIKRFYVVCFVLSFVVLFSVFSLVLWAASTSYPPEIYVKRLVFERFNVQSGMDISGVPTDMLSINSTVKIFYRNTGTFFGVDVKIAPIQLWYYQRNLAHGLKVKFHQRRKTQRTIITSVSAYQLPLYGAVPGLSSRNGGHDNISLPLNLTIGVRSRAYVLGKLVKPKFYRTIRCQVTLHGSKLGKPVNLANACVHLN >KZM89710 pep chromosome:ASM162521v1:6:3626362:3628442:1 gene:DCAR_022927 transcript:KZM89710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLRIQHQTPAVITHPIPLCRAAAPSLRAIRMRKNQGSQGNMNMNVSRNGSLIKCMANERRVKMVAKQIRRELSAMLISDNVLQFAVLPEAALGADRYLSCLTTISDVEVSSDLQVAKVYVSVFGDERGKDVAISGLKSKAKYVRTELGRRMKLRLTPEIRFIQDESIERGSRVIAILDRLKDEKTVVDRADDRDRSSDLTKDDSSWDGDDPDEEGIIYVK >KZM91671 pep chromosome:ASM162521v1:6:26978364:26980377:1 gene:DCAR_020964 transcript:KZM91671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGVKKGSWKAIVPLRLKGKSVARFGLFPKPKSADHCSGSTPVYLNVYDLTPMNGYAYWAGFGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIYIGTTCMDPDQVREFMEHHAASYNGDTYHLIAKNCNHFCKDICYKLTGKHIPKWVNRLAKIGSIFNFVLPEALKISAVEHDPNYQEYDSDKRRLRSSFSNCLSSISTRQKQLSSSSLFIQSPLKGCLPTWELSRSKKIC >KZM89746 pep chromosome:ASM162521v1:6:4184670:4186930:1 gene:DCAR_022891 transcript:KZM89746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYILLLSLLSLLFLPILSSPTTSSIIIPLKHNSPSSPSQSPYQKLNYLASLSQHRAHHLKTPKIHPVSDTPLFPRSYGGYSISLNIGTPSQTIPFVMDTGSDFVWLPCTRKYTCRNCTFPATQPPPTFIPKQSSTSRVLGCLNKKCGWVHNNPDVHSRCADCSTGVKNCTQICPPYLIVYGSGSTGGISIVDNLNLPGKRVPDFLLGCSLFSSRQPAGIAGFGRGPTSLPNQLGLKKFSYCLLSHKFDDSPESSSLVLYTGKDSDTKTSKLSYTPMLKLPEIQDKQTFSTFSVYYYIGLRRITVGGKKIKIPFKYLTPGPTGDGGTIVDSGSTFTFLTKNVHDLVVSALIEQVKYKRAKFVESVAGLRPCFNISGYKTVNFPEMKFYFKGGAEMLLPTANYFSFVSDDMEAVCLTMVTDEAGDSGGPAIILGNYQLQNFYTEYDLGNERFGFRQQLCL >KZM89772 pep chromosome:ASM162521v1:6:4620682:4627941:1 gene:DCAR_022865 transcript:KZM89772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTDKFSEDGENDTLRYGLSSMQGWRASMEDAHAALTDLDECTSFFGVYDGHGGKVVAKFCAKYLHQQVLKHEAYAAGDVGTSVQKAFFRMDEMMRGQRGWRELSVLGDKMNKFTGMLEGLIWSPKGGNSNDKDDDWAFEEGPHSDFTGPTSGSTACVAIVRNNEVCVANAGDSRCVISRKGQAYNLSRDHKPELEVERERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAERQIVTANPDINIIELCDDDDFIVLACDGIWDCMTSQQLVDFIHEQLQSESKLSTICERVLNRCLAPSTAGGEGCDNMTMILVQFKKPIKSAVPADEEASASYESDVSPIKSATPADEGASASNKTDVSPIKSASAAPADDEASASNQSDIVSSSSPKGDSSN >KZM91948 pep chromosome:ASM162521v1:6:29261393:29262271:1 gene:DCAR_020687 transcript:KZM91948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMRERLARKLKSISTITNLKQGLVFQVNAADQCKELGHAYDSLHDVVPYKISLSELINNVEDEDFDDCNVEDEDFDQGFHVTERQSQACNLDKSEGILSNKSLAISEPMVIENSTTVKEVMDDIELHSSLSDFEEKCPPGGSDSVILYTTSLRGIRKTFEDCSTIRFLLESFRILYLERDVSMHMKFREELWKIMEGKIVPPRLFIRGRLIGGADEVVRLHEQGQLRKLLEGIPKTAYNCPCHGCAGVRFIVCVSCNGSRKMSVDDQSYENPVRCPDCNENGLVQCPICS >KZM90105 pep chromosome:ASM162521v1:6:11216353:11216850:-1 gene:DCAR_022530 transcript:KZM90105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLKLFGALLIALFAVATATTYTTTVTTITTTTEDEGSSSQQGSRQQCREEIQGKDFNMCERFLIPDQQQGGGSLRMIVQSDEGKQRREEQQELQTQCCEELKQIKPMCRCAAIGDVVKQQMEGGQSMQSKKMRQMLQKAQNLPSRCKFDQPQECSFGQTYWIA >KZM92288 pep chromosome:ASM162521v1:6:32131351:32133459:-1 gene:DCAR_020347 transcript:KZM92288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLPADICLKIFCFLDHQNLAAAHQVCRRWKLMASENILWANLFEERWGIDSATFYAPTDSKSWKDVYIVQDRCDRIGLGLKIISEGDECYLVHQGEIQRHLGSRKQEMLSNQSSNSEVAAEPSLADSEPSVGILDKILFFLGDLEAASVQAKRARVI >KZM91905 pep chromosome:ASM162521v1:6:28819541:28821790:-1 gene:DCAR_020730 transcript:KZM91905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYNGQRKNKKVLLDKLSQATERNIKREVVVMVLWSYPPTPKQLAVSAVFFVTGAALFAVGVHLSFTNIAPQQARTKARGTSDEGTPGSSDQLSPTVNLSNAYKSAVQTTSYGEIRSKLYPDNSDLQEVESQHLDGQEEGQILEDVLNPNRECVQEALESAKNSTLTRLISSYFEQSEQTSRFCLLLHHGLQRARSHYTPLHKLIAVLPLDSDSEFLNQSQCDQAFKVFLEFDLLDNPFPNPDLHNFDEMRNSFSQLKRQLDSCLLKSRSRIRLVRRATVGCAICLIGTVVGVTLSAVAIATHALVALVACPLCSTFLPSNVTKKELAHMALLDAAAKNTYVLLNDLDTIDRLVARLHTEIEGDKLLIRLGLERGSDRHAIQEVAKQLYKNHLNFLRQLSDLEEHLCLCFAAINRARALLVQEIHLHQIHLS >KZM91159 pep chromosome:ASM162521v1:6:22658069:22659615:1 gene:DCAR_021476 transcript:KZM91159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLDEHQTHLQNPIFSLDSLFCEEGNLDQDLGFQDFQEQDVDESSGKTPVGNGEDECFTGLLECDLVWEEDELVCLLAKEKMVSLDFDDECLMEARKGGIEWVLRVCSHYGFGGLTVVLAVNYFDRFISSFCLQNDKPWMVQLVAVACLSLAAKVEETRVPLLVDFQVEESKFVFDSKSIQRMEILVLSSLNWKMNPVTQFSFIDHIIRRLGLKMYPHCDILRRCERIVLSAITDSRLLSYLPSVIATAIISVVIKEVDPCNAIDYQAQLMDILKSSKERVDECYNLIVEVSGVDQFRNHKRKHESVPSSPNGVIDGYFSCDSSNDSWTVASSLASSPKPLNKKRAVDQQMRLVPLSRAAIGVLNSPT >KZM91119 pep chromosome:ASM162521v1:6:22347939:22348928:1 gene:DCAR_021516 transcript:KZM91119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTGKNIRSSVYLFLSNYHYFTISALFALPFSATILITQALVPFSNLLPPIQLRLQALLFAAGLPPSSQFFSILSIKLSQTITSSILVLPFAFSFLLFAKASVIKALSQPKPSLPPKISSFTPILKPIFSTQICNSVLILSVNATSLSIIAIAFNCLDAFNLISSPRSISLFSAAAALLYSIFLSNAFIISNLALVLSGSESKGGYLSILKACVLIRGRNSKAILLALPLNMALAAIEALFQYRLVEAFSSTKFPNFSMTLEWIIIAYLYSIFIVLDTIISCMFYKSCEAVFFVEDQETRFCHYIDISQEDQEHISSYTRLKTLDAFS >KZM92303 pep chromosome:ASM162521v1:6:32292821:32294227:1 gene:DCAR_020332 transcript:KZM92303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTVKVELNHNDDYLPGFSYLNDQESGGFIYNGGSIIGEFDPFLEPWPLSVQYDDDIPELSMKDIEEITRSLDADYSSIYQAGNSTLDGATQPTMMATQPQIELSTNLVDANQKIETTQSDQYLHAQNMCLDAVPVYSTITHHEAQYFNNYNVGSLGNSENVVYDSMHFKDDYRDIFPLQIDESVMLDAEPIRMIPASSNHVPQCHNVSVAHDSGNVKNAMNLKSEQSSNMTAMVKYEEGVSFRPNIRCKTSALELDEIRKYFNLPITKAAKELNVGLTVLKKRCRELNIRRWPHRKIKSLQSLINNVREMGLTNKREIEMLEENQRMLERVPELELSESTKRLRQSCFKANYKKRRMLLSAAAAGRHSGRL >KZM92425 pep chromosome:ASM162521v1:6:33302914:33304796:1 gene:DCAR_020210 transcript:KZM92425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRYCCCIPDDEEQENINALSGKSRDYPWEIYTLKELVHATNNFHNDNKIGEGGFGSVYWGRTSKGVEIAIKRLKAMSAKAEMEFAVEVEILGRVRHKNLLGLRGFYAGGDERLIVYDYMPNHSLITHLHGQLSSECLLDWPRRISVAIGSAEGISAKKPLEKLPGGVKRDIVQWATPLVQKGAYELLVDPRLKGKYDRAQLKSLIIIAMRCTDSNPEDRPTMADVVELLKGGNVGSRRKEMTIVRDGADGNEDVEFGDEDIDYEGQFGPGKAVPRLVKGGSRRRRAKMN >KZM89763 pep chromosome:ASM162521v1:6:4443230:4445131:1 gene:DCAR_022874 transcript:KZM89763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKATDPFAKKDWYDIKAPNVFEHKNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQKDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDSYTLRMFCIGFTKKRANQQKRTCYAQSSQIRQIRRKMVEIMRNQASSCDLKELVAKFIPESIGREIEKATSSIFPLQNVFIRKVKILKAPKFDIGKLMEVHGDYSEDVGVKLERPAEETMVEAETEVVGA >KZM92026 pep chromosome:ASM162521v1:6:29893986:29895005:-1 gene:DCAR_020609 transcript:KZM92026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKALHLPKSTPLLNPPPKPLINPNPNHLHFPKSPISLPPLKSASISQFDPILATSPSTRVRILSEALPFIQKFRNKTIVVKYGGAAMKSGNLQASVIADLVLLSCVGMRIVFVHGGGPEINLWLAKLGIKPNFLNGLRVTDASTMEIVSMVLIGKLNKMLVSLINKAGGTAVGLSGIDGRLLTASPAVNAEELGFVGDIAEVDSSVIRPLIDNGCIPVIASVAADGNGQMYNINADTVAGELAAALGAEKLILLTDVVGILEDRNDLGSLVKEIDIKGVKKMMEEGKIAGGMIPKVNCCIRSLAQGVRTTSIIDGGLEHSLLLEILTDEGAGTMITG >KZM90044 pep chromosome:ASM162521v1:6:9950639:9956500:-1 gene:DCAR_022591 transcript:KZM90044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGNENEPAKLLLPFLQRADELQTHDPLVAYYCRLYAMERGLKIPQTERTKTDKKSLELTPDDGLHIEGFALNVFAKADKQDRAGRADKGTAKTFYAASIFFEILNQFGQLQPDLEQKQKYAAWKAADISKALKEGRKPVPGPPGVDNDLSSPSSIGFNPETSNMDPAPKSAPEFDPSSHNYDKIDRQLSSNTAPSHPSSFLSSTSPNIAPSSYTSTTNKIQSPSFPQPPSTDDYPSHNFHQQPLNDDYPSLQPPNLTSRSENNSNDHLYQQQPFPEEPQPHLPQYQTQDIPSHSFPNFQTYPSFTESSLPAAPSHYPSFYQGPDSSYNITSASIPLNFPSTAQQSSNGRNGNIPDPAPISVQEYQYDSNYQPSPEKIAEAHKAAKFAVGALAFDDVSIAIDYLKKSLELLTNPSAGQ >KZM90748 pep chromosome:ASM162521v1:6:18843413:18846132:-1 gene:DCAR_021887 transcript:KZM90748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSESESVGGRDYGNGVLTTSKHGVKTDGFEQRGQSWFVATDVPSDFLVQIGDVSFHLHKYPLLSRSGKMNRLIYESREVELSKIALDELPGGPEAFELAAKFCYGIAVDLTATNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWQYTGKPPKVSSPSWKEMKDSSPSRNQQVPSDWWFEDVSILRIDHFVRVINAIKVKGMRFELLGASLTHYAVKWLPGFMKDGSGPVVDEGSNSSHSNSSGSSWKGGLHMVLAGTKDDPPPIHTKDQRMIVESLISIIPPQKDSVSCSFLLRLLKMANMLKVAPALVTELEKRVGMQFEQAALSDLLIPSYSKTESTYDVDLVQRLLEHFLVQEQTESSSPGRQSMYDGTQRGSNPNAKMRVARLVDSYLTEVSRDRNLSMTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACLHAAQNERLPLRVVVQVLFSEQVKISNAIANNSLKEAGDTQYQPIVSNRNTLLEATPLSFQEGWATAKKDINTLKFELETVKTKYLELQNDMETLQRQFDKLVKPKHTSAWTSGWKKLSKMTKMTNLENHESGSQNIPNAEHTRKTPRRWRNSIS >KZM90325 pep chromosome:ASM162521v1:6:14212960:14213727:1 gene:DCAR_022310 transcript:KZM90325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPHPAPLTSDCTYLNHSGFGFCPRTKQYKVVRFMSSAQTLRAVALVHTLGTRSWRNIGEAPHPKPQGFFDNFLDGKLHLITASRNICDVLFSFDLETEKFEPVPLPAHFSPEYYGKISWISVGVTCGCLCLCYTSSDNHVEVFGMEEYGVRESWIKKFAIDVKFYCGLRIEDLQKPIKYLNNEELLFLSRFNSLVSYSPQKGTFRDIKSLGNGRAEAISHVSSFVSLKTHVFKRGIKYEKIKLESPKSVRNVS >KZM90990 pep chromosome:ASM162521v1:6:21150212:21152918:1 gene:DCAR_021645 transcript:KZM90990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGTDLVRGLRWEHSSYKSADDPSAGSFVHRIDIHGFPQFLLWKGSELHARNGPWVGNRFSGDPEPKTNNIYMNEFVIEAMEIYYAFHLLNKSSTTPITRLTLTPNGKYTASDTRQSWYNLSMSLEECRSRCLKNCTCTAYSNTNFMKGGSGCLLWFEELMDISSYTDEGQDIYVRMPASELDESKRSQVNRVLFISIPTILTAISVLIIMHLLKKRRLNREKYPEDRPDMTSVVLMLNSSIALPRPKKPGFFTERKQHENNWSQYKPGLSSSNDFSITSIAPR >KZM90852 pep chromosome:ASM162521v1:6:19808606:19813486:1 gene:DCAR_021783 transcript:KZM90852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEQSQHAEPARNYMEGQQADEEQGNPENNSLHRPLLKRNLTLSSSPLALVGAKVSHIESLDYDYLAGFIYFTTANFLLTLVASALCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLMVKIVGSIGAVSAGLDLGKEGPLVHIGACLASLLGQGGPDNYRLRWRWLRYFNNDRDRRDLITCGSSSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRLSMEYCKNASCGLFGQGGLILFDVSDVSLRYHVVDIIPVTVLGVIGGVLGSLYNYLLHKILRVYNLINEKGKLHKLLLSLTVSLFTSACLYGLPFLASCTPCDPSLQEACPTTGRVGNFKQFNCPSGHYNDLATLLHTTNDDAVRHIFSTNTPTEFQYFSLTIFFALYCILGLFTFGIAVPSGLFLPIILMGSAYGRMLGIAMGDYTKIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMLVLLISKTVGDCFNPSIYEIILDLKGLPFLDAHPEPWMRNITVGELADVKPPVVTLRGIEKVGRIVDVLRNTTHNGFPVVDDREVPVGSQALGERELHGLVLRAHLVLLLKKKWFLQERRRTEEWEVREKITSVDLAERSVKLEEVAVTKNEMEMYVDLHPLTNTTAYTVVETMSVAKALVLFREVGLRHMLILPKFQGSGVSPVVGILTRQDLRAHNILSAFPHLEKAKGSKKGQ >KZM91409 pep chromosome:ASM162521v1:6:24888240:24893669:-1 gene:DCAR_021226 transcript:KZM91409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIIGYYIDLLTSSYSPPTWASTIAGAFVLLTLTLSFYLILEHLSTYKNPEEQKFLICVILMVPCYAVESFISLVKPSISVDIGILRDCYESFAMYCFGRYLVACLGGEERTIEFMEREGRASLKAPLLEHGSEKGILKHVFPMNLFLKPWKLGKWVYQVIKFGIVQYMIIKALTAISAVVLEAFDLYCEGDFKLNCGAFYINVATSKYWQIRVMNDDNDLGLFMIKTRLTVLSLRPEQMGIASVVHLYVFPAKPYELMGDLFQGSVSVLGDYASVDCPLDPDEVRDSERPTKLRLPQPDMGVKSGMTIRESVRDVFLGGGEYIVNDLKFTVNQAVEPMEKGINKFNKKLHNISENIKRHDKNKKTRDDSCINLSPPARKVIRGIDDPLLNGSFSDSGGFKKKKHRRKAGYTSAESGGESSSDQTFGGYQVRGQRWITKE >KZM92218 pep chromosome:ASM162521v1:6:31613678:31615737:1 gene:DCAR_020417 transcript:KZM92218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTVSDEIEVNAPASALWEVYGTLEIAAVVEKGLAALVQKIEVLEGDGSAGTLLNIVFQPGGFAFPSYKEKYTKVDNEKRVKEAEVVEGGYLEIGFNKYLVRFEVIEKDEENSITRATIEYDIKEELVDNASFVSIDPLVGIMNLVANHVLVKKGKMFGTISGEVEVNAPASVVWEVYSSLQLAAIVEKGLTDVVEKIEVVEGDGSVGTVLKLLFRPGVAAFPYYKEKFMMIDHEKRVKDVMVVEGGYLDVGFERYLIRLEIIDKDEKSCITKSTIEYDIKEDYAANASLVSIDPLMVVMNLAAIHILAKTN >KZM92001 pep chromosome:ASM162521v1:6:29693350:29695261:-1 gene:DCAR_020634 transcript:KZM92001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDAYKWRLVFKPEKIQWEDIAHEAETGEIYRADYFDKHGRSIVVMRPGYENSTSNEGKIKYLVYCIEKAIKKMGSGQDQMVWLIDFQGYTKSKLSLKITKDVAHILQNCYPERLGLAILYNPPKVFEAFYPMVRPIIDQKMYEKVKFVYSNDPQCRKLIESIFDMDKLESAFGGNNKVGFDYNTYAQRMKGEDMNMSDLNSGCPHSSDLPESLHEHSLTDGESESSEEYLHECHLNDEITLGQHQ >KZM90047 pep chromosome:ASM162521v1:6:9998472:10006301:1 gene:DCAR_022588 transcript:KZM90047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSNNHSNPSTPRARHRRRSSEAIPDITNGTPLLINDTEKYKSMRIRVYSALWMLVGFILIVYLGHLYICAMVIVIQILMVAELFNLLRRAHENRCLPGFRLLNWHFFFTAMLYVYGRILSQQLVNTVTSDKFLYKLVSGLIKYQMVICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILIVVFSQSSLTVGNIFEGIFWFVFPALLIAINDIAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVATMISAFVFANILGGFQWLTCRRKDLSTGWLQCDPGPLFKPEYYPLPRWFLWKEISVLPVQWHALCFGLFASIIAPFGGFFASGFKRAFNIKDFGYSIPGHGGFTDRMDCQMVMAVFAYIYIQSFIIPQTYPVEIILDQILRSLTFEEQKELLKKLELNTVLLKPSYIPGHLSGMQHLANWKVSDNTLTEAIKCQESFKPSLRKLLKGDDQGKLEANGFACDVDFYSKVCVASEPLLRIDTTRMDVQMLLNQTRPQDVMAIIKPYAWQHSKKTLAHTTRVQIMQQNRTSLPACQYNHTVPAVIFSSSGFRGNLFHEFNEVIIPLYITSRHFQSNVQFILLDYNPSFVRRFGKILSHLSGYQVMNPAINGSVHCFPAGAVVGLRFHNFLSINTSSSINPGGYSMLDFKQFLRETYNLKEFHVDTQKPKLLLISRVKSRRFLNQEEMVNTMEELGFEVVIAGPNQTSNLTTFSKLVSSCSVMVGAHGAGLTNELFLPFGAVVVQVVPLGLDWASAAYFGKPTPVMGLHYLEYKIQPEESSLLDKYSRDDPVIADPVSLFAKNFQAGRALYVIGQNIKINISRFRETLVKARQLLGHDSLAP >KZM90184 pep chromosome:ASM162521v1:6:12214412:12215482:-1 gene:DCAR_022451 transcript:KZM90184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGQVTGCHNLQEWKDQFDKAKDSGKLVVVDFTASWCGPCRFIAPVLAEFAKKMPHVIFLKVDVDELEAVSKEYEVEAMPTFVFIKEGIIVDRVVGAKKDELLSTIVKHADASVATASA >KZM91338 pep chromosome:ASM162521v1:6:24267050:24272008:-1 gene:DCAR_021297 transcript:KZM91338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLSPACTDALKVQNQLQGLSSRGRWSTLSKNSSGATWNPMIGQRRKFGRIKVATQDSGSSSAFADDYYAVLGLLPDATPEQIKKAYYNCMKSCHPDLSGNDAENTNFCMFINEVYTVLSDPVQRMVYDEIHGYALTATNPFLDDSYPKDHVFVDEFSCIGCKNCANVCPDVFSIEEDFGRARACNQSGTPDSVEQAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLAGMGSSADVFRMASSRWEKRQNKVLVRTPPALTSPQYSVITWGLLSVLYRRKLEQGWQSKKDLIKVNHTGTTFGEMGKSMKVQQSISLTAPSLNLYMVFAEEEIKERAKRAAAAARRWREYSRRGVDKTSTFKLPEAISNKEK >KZM91598 pep chromosome:ASM162521v1:6:26359348:26360132:-1 gene:DCAR_021037 transcript:KZM91598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNLVGNSGEHDNHQGGIVKNTLRPPIPVRCDSEWKNLMEQCWSADPNVRPSFTEITYSLRLMSAKLQGKGPSLAREA >KZM89678 pep chromosome:ASM162521v1:6:3257502:3261330:-1 gene:DCAR_022959 transcript:KZM89678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASKLEEEEEQVVSICRERKRQLKLAVDTRYGLADAHFRYCQALFGVSAAINLFVATHSSPSSSFLITFPPPSQQDEDESENVVVSNPLFIQHSEPSPQPAQTPLHCESSATSSSEERVEQAQQQACMYQKVEREEQPFVYQKQQDTSSSCGYFYMDMPDFGWDYFDPFAGMRPGVISGYGRNSEDDLRVVREEEGIPDLEEEEEEEVEQETVRMEGKSNVVVTDNEKKVQVEETVEPVDLDSNITHPGNEQKDLTVVHSPVRGRELLEALKDIEDHFLRAYESGKEVSKMLEANRVHLQSNFEEIKEKSAKLIQDITLRSSSSRSSSCKSLAVSNSKNSSPWTEFKNDLFDDYGGMDSGSHSLTLGRLYAWEKKLYEEVKEAENCTKFEHCSLCTPISTDGDSIRKIYEKKCNQLRDQDARGEEGQTVDKTRAAVKDLYSRILVAIRSAESISSRIEKLRDEELQPQIIELLQGMMNTWKTMMECHEIQTKIMFEVKTFTCPSYGKFSNNSHLLATLQLRAELQNWHTCFKEYMLAQKAYIEALHGWLSKFVVPEVEFYSKRRGSAPPNGSNGPQLLLICQDWLNLLQKLPDKMVGYAIKSFAKDVKALLNQQEEEQQQKRKVDSLSKVLDKKIITLQKTENKIYRPNHFEHNSELDTDSQFEYLRDRKDLLDDFRAKVEIEKEKHQNSMQETQRTTLNGFQTGFCRVFESLTDFSRASLKMYQDLISYKEGAHKVENPTCIETSHVGDGIR >KZM91199 pep chromosome:ASM162521v1:6:22944960:22947780:1 gene:DCAR_021436 transcript:KZM91199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLAGAALGAVMSELFQVVKTGAKHTLSFKSNLKTLKKTLKSVKPIFDTIEKLQEELKELEHQEEEILQFTKKLQSGVDLVKYCSTIHYLNVFKRPFYSKKLGDLDKAIVKFFNIQVQGLTAVNSLRAVIGVKQNGDKLDSIHKSLSIGEYSGFGAIPGVPIGVVGFDQPLKELKEMLLDDKVKIKVVSAPGGCGKTTLAKMLCNDPDIQNAMTLFCQYALPDDGSSTIPYDLVEKVEGVA >KZM90570 pep chromosome:ASM162521v1:6:16970804:16973096:1 gene:DCAR_022065 transcript:KZM90570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNTQELNLNIKPTFVPKTIADFIGEVSTIRNVSEKLVKLDGFIKRLEDEMRKIDAFKRELPISMLLISDAIAALKEELIQCRRRNVEPVFEEFIPLKKTCDEAEKDEKTRMEKECKEKKNWMSSVQLWNNTDDEKKQDTDSDSNRKPNSVVEIAKKSNPENDAKMTDVFQKDVYQSYKGRAEASAFMPFKGYLAIPEAAVRKEAKVEDKNEFSVAGLSLVTPGTSKPRLELGSSVLSSKSSSSIAVSYSSPNIQSKLQTSSSQTSRKQRRCWSPELHRRFVGALQELGGAHVATPKQIRELMQVDGLTNDEVKSHLQKYRLHDRRSPTSKSADSALTLGSALMFSGNGESSTKPRSKSTSPEGPLQLTDTAVRTSTTVSDSMEDEEAERSKSYSWKK >KZM91949 pep chromosome:ASM162521v1:6:29263692:29267828:-1 gene:DCAR_020686 transcript:KZM91949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMKWRPWPPLQSKKLEVKLVVKRLDGLSPDEKDGQKMGVEIRWKGPKIGLGSFRRTVKKNVTKEVGFDQNGVVLWDEEFLSLCALSGYKDDVFHPWEISFTVLNGLNQRLKNKVPNIGTASLNLADFASKAEGKEFELSIPLTVSGTAAEPHPSLTISLGILELRAAQEPAESVQRPIVRSPSPQRPRGNSLSEKDELSALKAGLRKVKIFTEYVSARKAKKACREEDGSEGRCSARSEEGDYTYPFDSDSLDEFEEGESDEIKEDSSVRKSFSYGTLAYANCAGQTINSSTRSNLEDEGWVYYSNRKSDLACSNIEDSTASISETVTHNSKRSLLPWRKRKLSFRSPKVKGEPLLKKDYGEEGGDDIDFDRRQLSSDESLSHGSKTDEDSSAHRSSVSEFGEDNFAIGRWEQKEVTSRDGHMKLQTQAFFASIDQRSERAAGESACTALVAVIADWFQNNQKLMPIKSQFDALIREGSLEWRNLCEDESHRDRFPDKHFDLETVLQAKIRSLFVVPEKSFIGFFHPDEMEEGRFDFLHGAMSFDNMWDEISRAGSECSTRGESLIYIVSWNDHFFVLKVDPEAYYIIDTLGERLYEGCDQAYILKFDRNTTICKLPTDATKPVEEKPTDKQIVVAEAELRNQQANQVDCKEHSVDGAVYEPDVLVKSDNEEVVVCQGKDSCKEYIKSFLAAIPIRELQADIKKGLMASTPLHQRLQIEIHYTQLQVPAPKSSDELAMVTTTTNGSSSPVSELTSSSSPVSELAMTTTRTTADSSPVSEFTSSSPVPEVAIEEAAAY >KZM90245 pep chromosome:ASM162521v1:6:13082680:13086264:-1 gene:DCAR_022390 transcript:KZM90245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCD1-3 description:carotenoid cleavage dioxygenase MERIGDVVVVNPKPSKGLTSKAIDWIEGLIVKYMYDSSVPHYWLSGNFAPVDETPPASDLPVIGLIPECLNGEFVRVGSNAKFSPVAGYHWFDGDGMIHGLRIKDGKATYVCRYVRTARLKQEETLGGAKFMKIGDLKGLFGLLMLNMQMLRRKLNVLDMSYGYGTANTAMIYHHGKLLALSEVDKPYAIKVLEDGDLQTLGLVDYDKRLTHPFTAHPKIDPYTGEMFTFGYAHTPPFVTYRVISKDGFMNDPVPITLADPVMMHDFAITENYAIIMDLPLYFRPKEMVKENKLIFTFDGSKKARFGVLPRYAKNELLIKWFELPNCFIFHNANAWEEGDEVVLITCRSENPDLNMANGKEKLEKIKNELFEMRFNMKSGLASQKKLSASAVDFPKINESYTGRKSAANIIDAKTMSPDPVAVVELPHRVPYGFHALFVTEEQLQEQAKL >KZM92616 pep chromosome:ASM162521v1:6:34877735:34878931:1 gene:DCAR_020019 transcript:KZM92616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTERRSESQRLLSIAAKLLETRDLTGAKDFATSAQDSDPLLDGSDQILAISDVLIAADKKVNGNHDWYAILQLNSRCDDLVLLKKQYRKLAILLHPDKNRFAHCHDAFRLVSDAWDVVSDSSKKAGYDKAVFGDVAIKSNNKRKRFGNGNGNVNVDVEKSGVNFWTACPYCYNLHMYPRVYVDCCLKCSTCGRAIQAVEVSSMPSIVKGKDGFNCVWGCFPMGYAGGNGGKGGGGMEFPNWMPKMFPNVSGGGGFGDLNIPVVGKGGNVNMSGGGVGFGNLEVPKVGRGRKVNVSGRVGAESGKLNVSEVGKDKSMTRAPVNDVTAVQKLGTRKRGRPRKEDKSVNVAPVNDSAEGVVRTSYVPEDEYVTRAPVYDVTAVQNLGTRKRGRPRKNPK >KZM92205 pep chromosome:ASM162521v1:6:31485390:31486831:-1 gene:DCAR_020430 transcript:KZM92205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQPTSGISQETIIREQSPDQISRLAGFIERIGVSHTIPQDYDVKNYFLNMIRGVLVEVINVQSGRVSFLLSVKPVVTNKFGGLHGGALASVAEMVAVDCARTVVGKEKELFLGELSNSYLSSAPRDSEVLVDASIVRSGRNLTVVAVEFRMKESDKLVYTSRATFYNMPVASL >KZM92040 pep chromosome:ASM162521v1:6:30007503:30010921:1 gene:DCAR_020595 transcript:KZM92040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSTNVSSQPQPSADAAVDPFLVEALQNPRHRLTILRMELDIQNFLKNSDQQQFEFQHFPTSYLRLAAHRVAQHYGLLTVVQDNSLDGQGTKIVVKRLADSNYPDVCLSEIPVKQSDSEKLEQKKIVIRPRPKASSSGSSELGKRSSVRTVEERKEEYDRARARIFSGPNSPESESTVPRVPLEVKKENYSVDESEAIGCGVNDFDRNFSGRDGGAPSRVAIFRDREKDRSDPDYDRSYNRYVRNIPNTQNLNLAPINMQTFQPPYLHYDSLMPHMGQLPSAHASIGYRSPVMNPYCAIGPNQTSRDTVYMQWPSHAMMYPQPYDQFRHAVFQVCFCAYPDLLMVCYVLGKLLHVGKK >KZM92763 pep chromosome:ASM162521v1:6:36112975:36114299:-1 gene:DCAR_019872 transcript:KZM92763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAEIKLASEMIESSKENERDRNLKDLGMSRAQNYGWPNTYAFTKAMGEMVVEDTKGQLPVIILRPSIIESTLNEPFPGWIEGNRMMDPVMLLYGKGKLPGFFLSPEIALDVVPADIVVNSTLAAIAKHGGKESKLEDNNGSDDHVYQITSSVANPLITRHLLDLVYQHFNLNPCFDREGNPVQISAFKFFDFVEDLLADMKSTHDNEEISPKQELIRRKSIEYFKYMANLYQPYTFFHGRFDNNKMAKLWECLSEEERKEFGFDVSSIDWKDYIVRKHFHGVRKHVMKETALK >KZM90997 pep chromosome:ASM162521v1:6:21190810:21191535:-1 gene:DCAR_021638 transcript:KZM90997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSIHKIHAINKNRKQELMSSLVLYTLTTMSCSLLVFSPFWYPPLCATVNVFLYVSLPKVVSVFFSAKFVFIVGNLIIFFLVSEFQKSKVPHSSEVHGATGQILQSSNKERKTPKFTLEEKGENIKMKLKCQQLAKGTTRRIVEKFEPQNEAAQHLGVQNCQMISIALKPKDINKVTHTYEESSMVREGCWEQKESNGKNPDGEELKSHEKDLNKMADDFIARVNKQRRLEAELYSIVE >KZM91685 pep chromosome:ASM162521v1:6:27080203:27081284:-1 gene:DCAR_020950 transcript:KZM91685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKSSANSSSSSDPPQQRLIPNLPDHISLQCIARIQRPHHPSLSLISKSFHATLHSPEFFATRSLLHSTQQSLHLNIRLNSSFNWYTLNQRPHVAKQPYLLTPLAGIKNQPVGACCAVLGFKLYVIGGSVNEIALNNVWVFDCRFGKWEIGAKMRVCREFAAAGVVNGKIYVMGGCVGDNFVKSSHWNEVFDPGVGVWKGVESPVEIRDKWMHASCVLDGRIYAMADRGGVVFDAGTGEWGRSVPKRLDLCVKIRGYDVEKDVWKELRGVDKSLPKFLCGATMASLNGNLCVVWERKGGKKEVDIMCAEIEVWKDDDGGLSGLVLWSDVVLRVPFGSSVVHCLSVDL >KZM92419 pep chromosome:ASM162521v1:6:33267652:33268823:-1 gene:DCAR_020216 transcript:KZM92419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPRSDNYELSGISRETMKQDASNVNALQLYNVKTSGDYQLHRTKTTATVKSHAPNIKAKKETTIAARKADFRYWNHLPADLLRLVIDRLNYQERVRLRATCKNWNFIRYSATDLIHDIPLRTVALILMKTSTERFKPFFNFLIFWIKYQNDATVRALLEQIPIHELYHWGHVINRPDESMFTYFMTMAQRLGNHDAEFYWVCKSVVLRNHVCYDILDISYKIIQDLSTRGHMLSSLFKNMMDIYFFPKKRRHAVTAIAQMITTPTTRPQISGMILALKKIGGHIYPDTIFDNLSGSPICNAQFSNEDNHYTPDGYPVHPDQMDDFTCLQCKVALLMGCLSAYLTPSIDFYLDLF >KZM92780 pep chromosome:ASM162521v1:6:36253443:36255486:-1 gene:DCAR_019855 transcript:KZM92780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCCGDAEEESGGPPSNQYTAPPRGGPPRGGTGGSNRGEPRGSGMVRGGGANKVLPIETPALSLDELNKITGNFGTKSLIGEGSYGRVFYAKLNNGTSAAIKKLDTGSSQETDSDFTAQLSMVSRLKNDHFTELIGYCLENNNKIVAYQYATMGSLHDVLHGRKGVQGAEPGPVLSWSQRVKIAYGAARGLEFLHEKVQPPIVHRDVRSSNVLLFDDFVAKIADFSLTSQSSDTAARLHSTRVLGTFGYHAPDHATPRLSEDKVRQCVDPKLENDFPPKAIAKMGAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGQESNA >KZM92638 pep chromosome:ASM162521v1:6:35033471:35039143:-1 gene:DCAR_019997 transcript:KZM92638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYKRKPYPLVENPTDLSSDELVFQVRYTGEVFRDYTEYASRIHLYRNRVWTCKVTGKSNLTYEEALVSEQKAIEKVQQFPSELIAPVLRDVQFSMLKLNDLVSKISAKLQECMPVGSELHGRKNNRIYPCKIMKVLADEADKTQYQVAWLDKEKKVTGNEVVDGDDLIKKRLPYSRDVLKSFIRESTYRSVPWVLHEELAQKHGISIDPPEEIAFKMSVTDKKRKRKDENELDNLEHTVTEVDSKHDEPKFPIDDLLVHPAPDDPDLAQRPSPSRSFKIPMDSVGDFLMVWDFCCSFGKLLQLSPFSLDDFENALCCKESYVVLIVETHAALFNLLINDDEEFLSAIQRNKRKPKVTLIKWAEYLCDFLEMVGTAEFSSHRSTIRRGYYGLLDVGPKLEILRELVARVLETGIFKENLDEFIKERQTPVTTNKEETLSDSRKKESKDCNMLSNGMQATEGHGVGFQASNSTELATQHNGAIEYVDLTLSKSRKENSMTEKVDSEVAAGGMNLSSKKGLQKVETNDNKDISEERRREMEKRIIRTSPLGKDRYHHRYYFFRGDSRIYVESSDSTQWGYYSRKDELDSFMGTLNCKGIRERALKKELEHYYGKICKRIQKKLKEDKAVVEEADVRRSARIRAPPKDNPALAFLKYVNKLK >KZM90045 pep chromosome:ASM162521v1:6:9958655:9966566:1 gene:DCAR_022590 transcript:KZM90045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRLSAWLVKHGLIHRSLGFDYQGIETLQIKPEDWHSIAVILYIYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYGVKRPSEVGATHVNVVIKGKHTLKGGKGNNNKRLPPTNYNGPSLHGYSLSEPVQQFKTNVGGTEVVSASFTKKGKYMVTHGALSQALAAAKRKLGEANSDAAVQSDESMHKDAHGDEAGGEDAT >KZM90975 pep chromosome:ASM162521v1:6:20943488:20945326:-1 gene:DCAR_021660 transcript:KZM90975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSEDTYYLTIGLLNNNNKNINDGVSVAVAEETNGSYKSFISQATGGTTSFFKTAFNGLNALSGVGILSTPYALASGGWLSLILLFIIAIVTFYTALLIQSCMDADSSIRTYPDIGERAFGKKGRVLVSTFMNLELYLVATGFLILEGDNLNNLLPDMGFEFNGFRVGGRQSFIIIVALVILPTILLNNMGLLSYISATGVVASFVILGSIFWTGAFEDIGFHEKGELLNLKGIPAAISLYAFCYCAHPVFPTLYTSMENKKNFSKVMFLTFLLCTITYASIAVFGYLMFGSMLKSQITLNLPINKISSKIAIYTTLVNPIAKYALILTPIVHTIENRFRSFCKKKPSVFIRTTLLTSTVIVALAFPFFGLLMSLVGAFLSVTASILLPCLCYLKISGAYQRLGYEVGIIWCILVFGVAVLVIGTYTALVEIIAQL >KZM91728 pep chromosome:ASM162521v1:6:27413054:27416255:1 gene:DCAR_020907 transcript:KZM91728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHQDHISQAMALHHYSDQQLTDNASLFHLSGQSSPPSEVNGKPPTWLNNAILRQQSHNYAAAEGNFLHLQTTNSDSSASNQWLSRSLNDDVAPSGETMIPMSNQEKKYESNDNCNSSEEKNWQNAKSKADILAHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLEQSHQVVAKYSILQHNNTHPLDAKDLDQFMTHYVLLLSSFKDQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDDDDHDDSETNLFDGGLDGPDSMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVSKSKRKR >KZM89953 pep chromosome:ASM162521v1:6:7978799:7983276:1 gene:DCAR_022684 transcript:KZM89953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLSSSVALGLKLSRRVYYGKETVLSSAPKASMSMDRSVEACLPKAPMVYAEILKPAMVDNPDIPSYQPHVYGVCEPPALIPLHMHGIDMEVDCYLDTAFVTLSGTWRLHCVIANKTCDCRVAIPMGEEGSVLGVEVSTSRRSFYTQLITTREMYDTENMDIAKDSCLLKHQIYTLKVPEVDGGSSLHVKVRWSQKLVYEDGQFCLCIPFSFPTYVTPVESNTTKSEKILVNLKAGIPAPVQCRSTSHSLKASECINYLSSQELQHGDQELVFSYDAEVMSFSNCDFLFSYSVCSDDISGSLLLQAPSPHDFDQREMFCFTLFPGSDGLKQVFRKVMIIVVDTSASMHGGPIESVKTAVLEAISDLEPMDTFNIIAFNESSVLFSPSMEQATHEIIEKAAEWISTNLIPNGGTNIMIPLNQAVEMATKTGDTVPSIILITDGAVVNERDICNDVKKRLLDEGLTCPHMSTFGIGSYCNHYFLNMLSQIGRGYHDAAYDIDSIIFKLKRLIHKASSLILTNIKIDALEKIDKLEVHHLNTDAIGLTMAVIFENLSTSSPVIVSGRYSGYFPESVQVSGILADSSTFVIDVKAHKAIDIPLDRVLARRQINTLTCLAWLSESTELEEKVTKISIQTGMPSEYASMILVQTDQEKQSSSPVLVKEIMGLNGRKVICLQTTGFGFGNLTATYKSLPPGSEEIKLHESKLMKVASNIQERLVDRCCCKCFIQACSQVNERCTIIFTQLCTALACFQCLSCCCEICDTCEQYCG >KZM91434 pep chromosome:ASM162521v1:6:25162830:25164764:-1 gene:DCAR_021201 transcript:KZM91434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPASSLFFMGLVMVVGSVSSSRFDELFQAQWALDHFVYQGDTVQMKLDNFSGAGFSSKNKYMFGKVNVQIKLVEGDSAGTVTAFYMSSDGPKHHEFDFEFLGNTTGEPYIVQTNVYVNGVGNREQRLNLWFDPTKDFHSYSILWNQRQVVFLVDDTPIRVHSNLEHKGLPFPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFIASYRGFEIDGCECPATVAAAEVSRKCSSSAEKRYWWDEPRLSELSLHQSHQLLWVRANHMIYDYCTDTARFPVTPVECQHHHH >KZM91364 pep chromosome:ASM162521v1:6:24514510:24515547:-1 gene:DCAR_021271 transcript:KZM91364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQIQMSWNGYEIPVVLDEERGTLSGLSTLYDDFELIGGQMLLFDFNGCSGFNVYVIGTDLREIDYPSVVHCMQNKRPRNVSLQKGGLKFVNFVKDEDPLFDEFVKIHIIQKSVDTLR >KZM92561 pep chromosome:ASM162521v1:6:34368667:34369978:1 gene:DCAR_020074 transcript:KZM92561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVRVFQSEDFDFLNKMLVFDEEADPALQFLPGYGTPADLWPCTEANANANFAFFDESFNTNFCFSQENTNIGTYSNNYGACFPHLSQETPQFCDSNLIMSDIPESMRFCEMDRPNNTPQFFPGDHVMHDAVNLKVEMTNAELTDLGLKRKFEVPQQPEAVLNAENPKKKCRVPRDISTCKKVVQAKRNRTVAQVVSIDEDNTCNGPVAQSSSSYSSGDDSNTSQELNGGATSDSKGSVALNSGKKPRAGRGAATDPQSLYARKRREKINERLRILQNLVPNGTKVDISTMLEDAVHYVQFLQLQIKLLSSDEMWMYAPIAHKGMDMGLYQNISPTL >KZM90967 pep chromosome:ASM162521v1:6:20890681:20897755:-1 gene:DCAR_021668 transcript:KZM90967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMASGTKITDMGMDSLIHCASFLNLQDISNLSLTCKYLNSLAYSDSIWRSFFRQRWPQQEPSSISERSSVREAYITRNFDVQQFKFVDPFAADILTDAKPFDNLFINKNDIVFSQGPAINIMKIDSFLKRREPLLTLRDHSARVSCMRFYSLDETSLFRSETQRNEKVLVTSSYDHSIRLWWKGNCQRCFRGHSGPVTTLSDKLLGEGSGKVFASGGDDGTVRLWSLNLNGKRGQHALRATLYGHGKPLVLMSVAGHKSSLLVSMSKDSKLRLWDTATSSSSSSCCVGMTSIPGAPVGLKCHESLLFVAAGSSVVAIDLRTMKKVFSTPYYPPSLFSFEILPSKSLICTGGMNSAMLWDMRTFSETVKTKPLGLLDGHIGPVKFLHMDRYKVVSGSPEDPFVNVWESDTGRQTNILACNPTGGLHSTTGGSAIAVNGCEIVTGSCTEGQGIIHYRNFNYATSQVLAEENEGGSKFWGPQHCSDSYESDS >KZM92162 pep chromosome:ASM162521v1:6:31084362:31087061:-1 gene:DCAR_020473 transcript:KZM92162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMKHENPFNNFVCSSVISGFVKVNKPDLAIGFFENAVKSYGLSPNIATYTALFGAYYRLGRLNELVSCVEKDGLEVDVVFYTCWMYECFREGFMREGLVKYKELVERKLIMDTIGYTVLIDGFSKQGIVEKGVGLLRKMEKEGLRPNLVTYTAIIMGFCKKGKLVEGFELFKLVRDLGVEVDEIMYSTLIDGFCKRGHLDYAFHFLADMEKRGIRLGIVTYNTVINGLCKVGRTCEADDVSKRIHGDVVTYCTILQGYIREQNVAGMLEMRKRLGAKRVRLDVVMCNSLIKALSMVGLFGDALAIYKRMPEMEVTPDSVTYYNLIDGYCEVGRLDEALEVFDELRKTSIFSAECYSCIICGLCKMCMTEMATEVFIEFGKRAVAFDKGMLMILLKAIFHEESADGILDFTCKIESLELEIFDIACNHVVAFLYKRGFPESAYDVYSMLRSKGSIVTDWSHYCILRALNTESKIVPTWPTVTALLKIYGIVRPEVSRILVHLLCLENVSEALKFLRKMKGGITFPVYVISKLINSGKSLDAYKLVMESKNNLPLIDVVDYTILVDGLCKEGYISKALDICSFVRKKGIMLNIITYNSVINGLCRQGSLVQAFRLFDSLENINMVPSLITYSTLISALSKEGCLLDARKLFERMVIKGSKPNTRVYNSLINGHCRFGQMQEALNLLLNLEDRGLIPDEFTVSAVINCCYHIGDMEMALKLYFEFKSKGVLPDFLGFLFLVRGLCSKGRMEESRSIIREMLQAPSVITLLKEVETKFETESMEHLLSFLCDQGRIQEANTVLNEVGSMFFPLEKFESQRISKSFVSQGLISTNETDSGLKTYNEVEPDKVIRHHDYLNKESQQDNFDSYCDQITLFCSRGELQKANHLAKVLICSVGGCE >KZM91410 pep chromosome:ASM162521v1:6:24910805:24912268:-1 gene:DCAR_021225 transcript:KZM91410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNTELVFFIAPYIGHITQAVELAKLMIHCDDRISITFLLVKLPAIDFEVNSFIDSLPLTSTKRLHFLHLPPVKPKPEWSAVSRGAFMTHLISHHKPNVRNFVTQRFVTDASTSPRLGGFVVDTQCTSSIVDLADEFGAPTYAFFTSGAAFLGLTLLFQTLQDEVLKEDITSNYLKKSELSAPCIAKPVPTSVLPVTLMDTQTWNTRFLHYARGYKKAKGIIVNTFNDLESFAMSSLSDQGSTCYGSKPNVYPVGPIINKIMQYGSEDITKWLDKQPPTSVVFLCFGSMGSTQGEQVHEIADGLERSGSRFLWVLRRPPAPGVTVDFPADYTNYEDVLPKGFLDRTKETGKVVGWVPQLAVLSHRAVGGFVSHCGWNSILESLWCGVPIGTWPLYAEQKLNAFQLVHDLGLSVEITPIINNPKLEDKANDKVVRAEQIEKGIKNLMSDGEVRKKVAEMKEACHKALKNGGSAKVSLGNLIQDVIKG >KZM89585 pep chromosome:ASM162521v1:6:2294328:2294555:-1 gene:DCAR_023052 transcript:KZM89585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYSLGAYNSVNRSSRVQPVDRQQVTAEARLGGQRGPVARKQRCLCSPTSHPGSFRCRYHQAKYQWVGRFVSKAT >KZM91010 pep chromosome:ASM162521v1:6:21362127:21365999:1 gene:DCAR_021625 transcript:KZM91010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSKEKPKSAAAAPPPSISIEDLFTSIKRHVDKDEFEQIVKLSDQVLSVAPGDEDALRCKVAALIKCDKIDDALSVIRKVPNDFSYFKAYCLYRQKKLKEAMESLKGLEENFATMLLGSQILFRMGKMDASVDIYRKLQNSNIDALEINVVAGLVSAGKSSEVKGMMDALRVKATSSFRLAYNAACSLIEEHKYTDAEQLLLLSRRMAQETLMDEGLADDKIETKLARISVQLAYVQQLTGNTKEAVQSYTRIIKRNLASEATQAVATNNLIALKGPKDISDSLKKLDKLVKKTGGPGSFQLARGLDLKLSPKQKEALYINRMLLLLHSNKMEQARELVAALPDLCPGSVVPVLLQAAVLVKENKAGKAEEILGQSADKFPDNSRVLHLARAQIAAAGGHPQIAVASLEKIPDIQHMPATVATLVALKERAGDIDGADAVFDSAIRWWSNAMTEENKLSVIMQEAAAFKLKHGRKEEAARLYEEIVKSHGSVEALVGLIQTAAHVDVEKAEAYEKKLKQMPDLKDIDVDSLERTSGAKHAEGGSHMNTATSYEDNNKEKTKKKKRKRKPKYPKGFDPANPGPPPDPERWLPKRERSSFRPKRKDKRIAQIRGSQGAVAKEAGNANSKSSRASSKGVSTGPEPSKPSSKSSKKKSRK >KZM92163 pep chromosome:ASM162521v1:6:31090141:31095722:1 gene:DCAR_020472 transcript:KZM92163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSESSYDVYASGKEHSLIVCNHKSDVDWLIGWILAQRSGCLGSSVPVTKKSAKFLPVVGWSIWFSGAIFLERSWLKDEKTLKSGFQRLRDFPSPFWMALFVEGTRFTQAKLLAAQEYASSMGLPSPRNVLIPRTKGFVTAVSQMREFVPAVYDLTFAFPKSSPSPTMLRLLKGQPSVVHVHLKRHLMKDLPETEDAIAQWCKDVFLAKDKLLDKHEASGKFGDGELQSLGRPLKSLVHISWSKSECCASNATNTCLRKTGHDILDLSSHLCKLEILAMDITFIFLDGSCIFRIRLGYSDCSHAVFDTIYSVGALEPCQSLNTSQAKPVRTNIFSN >KZM92722 pep chromosome:ASM162521v1:6:35823485:35831278:1 gene:DCAR_019913 transcript:KZM92722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSILRSTAILPGATTAQLASSKVSSVSFYSSSLKAQSSIFGASVLGDSSSLQKSSSRSIQPIQATATELPPTIQKSKSDGKTRIGINGFGRIGRLVLRVATFRDDIDVVAVNDPFVDAKYMAYMFKYDSTHGVYTGSIKVLDATTLEINGKKIKVTSNRWWRRLYMFSYKIIMQVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPDLNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKYASEGPLHGILGYTDDDVVSSDFVGDSRSSIFDAQAGMGLSSSFMKLVSWYDNEWGYSNRVLDLIEHMALVAATS >KZM89899 pep chromosome:ASM162521v1:6:7140265:7140765:-1 gene:DCAR_022738 transcript:KZM89899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVIDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHTGNISLDDVIEIAKIMRPRSMAKELQGTVKEILGTCVSVGCTVDGKDPKDLQEEIDGGDVEIPLD >KZM90192 pep chromosome:ASM162521v1:6:12318111:12321503:1 gene:DCAR_022443 transcript:KZM90192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTASFLSVATLLLCTTTVLLAVVENATEIDSLLIFKMNLNDPLGALAGWNSSTPLAPCDWRGIQCAQGRVRELDLPRLRLAGPLPDQLANLRQLRKLNLHDNLFNSLLPLSLSTLSLLRVVYLHNNALTGELTAINRLTSLQVLNVANNQLTGTVPGDLPAQLLYLDLSRNQFSGAIPSNFTSSSKLGFINLSFNQFSGAIPASIGYLTELKYLYLDSNRLRGAIPSAISNCSSLVHFSAGDNLLRGLIPASLGLLSTLQVISVTSNQLSGIVPASLLCNVLVNSSSIRILQLGFNEFTGVSQPSNEPCFSNLQVLDLHGNNIGGVFPYWFANLSTLKVLDVSGNFLFGGLFDEIGKLSSLEEFRVANNSFSGEIPNGIGECRLLRVLDLEGNSFSGLIPDFLGDMKRLTTLSLGRNMFTGLVPRSLASLEELEILNLSDNKLSGKFPEEVFSFRNLTTLSLSNNDFSGEVPAGFGDLEGLMVLNMSGCGFSGQVPASIGSLVNLKTLDLSKQKLSGKLPFELFGLPSLQVVSLEENMLSGDVPEGFSSLSSLQFLNLSSNEFTGRIPAEYGFLRSLTVLSLSGNHISDLIPIELGKCSGLEALELRGNSLTGHIPDEISRLSHLRGLDLGQNRLTGEIPERISDCVYLSSLLLDDNNISGQIPDSLSRLSNLTELNLSSNNLTGDIPEDLSLIPGLKYLNLSNNNLEGEIPRGLSSKFNDSSVYGKNKRLCGKPLHKKCKKASRKKRKKLIILIIVIAVGALILALCCCGYIIGLWRWRKKLRAALTGEKKKSPARGSSGTEGGRGSGDNGVPKLTMFNTRITYAETVEATRQFDEENVLSRGKYGLVYKATFIDGTVLAIRRLPDTSLDEGTFRKEAENLGKVKHRNLTVLRGYYIDAPDSRLLVYDYMPNGNLSTLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHSSAMVHGDIKPQNVLFDADFEAHLSDYGLDKLTLPPPTTGASSSSTPVGTLGYVSPEATLTRQASKESDVYSFGIVLLEILTGRKPVMFTEDENIVKWVKNQLQRGQISELLEPGLLELDPESSEWEEFMLGLKVGLLCTTADPHERPLMTDVVFMLEGCRIGPDVPSPADPTSNSPL >KZM91963 pep chromosome:ASM162521v1:6:29420510:29422685:1 gene:DCAR_020672 transcript:KZM91963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPYRKQHLVQGLKKTLEDSNLTRHVNFVDIPDNSEVDPQKNNNNSTSLIIEVACVADEQEENFLNAAENTEEINTDDGLRSAIVIDPGLSFPERREESQKTACLENTRGNLEIEQMEAPVREMEKVFDGDIAAEIVEPGDSTKATEIAPSLKLGSLKKKRKPRYYDFPDSNLQMCHKDDHIAEECTMVRRKKPCYLCGVFGHTGKRCSQHIQCYVCKDFGHLCCDSFEYKGQTVASCYYCGQSGHLGSVSRFQYLKFTTYMCCLCIVDLKS >KZM89986 pep chromosome:ASM162521v1:6:9195890:9197877:-1 gene:DCAR_022649 transcript:KZM89986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSINSFKGYGKVDPVEEAAFRKKTRKRLVILIVSVVLLVAIIAGAVVGTVVHKKNNKSSSSSAPSSSVAALNAVCKETLYPESCYSSISALNLGDTADPEELFRISLRVVIDSVRSLSQTIGTKTGDANVTRALGVCQEVLDDALDRLNDTLSAMDGNEAKGEKFLSVSKLDDLKTWLSATITDQETCLDALGEFNATALIGDGMDKPAQFASNSLSIVAKILGVLAKFNVPVHRRLLGIGQSGGHGFPEWVSSADRRLLQEVKPKPDVTVAADGTGDCKTIGEAVGRIPKKSKTRFVIYVKAGTYLGNNILDKSKWNVMIYGDGMDKTIVSGSLNFIDGVPTFSTATFAAVGKGFIAKDMTFKNTAGAEKHQAVAFRSGSDFSVFYRCNFDAFQDTLYAHSNRQFYRDCDITGTIDFIFGNAAVVFQNCNIKPRQPMSNQFVTITAQGKKDPNQNTGISIQKCTISALDKVTAPVYLGRPWKDFSTTVIMQSTIGDFLHPLGWIEWVRGVEPPSSILYAEYENTGPGSALTGRVKWSGYKVPFTAEQASKFTVDSFIQGSSWLPSTEVAFDSKL >KZM89389 pep chromosome:ASM162521v1:6:348912:349741:1 gene:DCAR_023248 transcript:KZM89389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKRVSGRIDIFVKHLNDNEDDDRDLQDKDYENIYLDDYERRDINEVVNLDEEDEERHNLGLSDGDDSGQEEYVEGVDASDYDSLDSLFEDIYGENISGMSKIKWPTYEDVVP >KZM91764 pep chromosome:ASM162521v1:6:27669392:27679620:-1 gene:DCAR_020871 transcript:KZM91764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRLLMLILPFGFFLFLDICIAQPGFVSYDCGGKDNFTDELGLLWTPDSLVKHGEVANISVPDEIRKQYMSVRYFPADNKKYCYTFNVTSRTRYLVRATFLYGNFDNNNVYPKFDISLGPTHWATIVVSDANTIEVQELIFLALDPSISVCLSNATTGQPFISTLELRQFNGSIYLTEFENQFFLSVSARINFGAESEAPVRYPDDPFDRIWESDSIKKANYLVDVAVGTEKISTKMPVFVSKDESPPQKVMQTAVIGRNGSLTYRMNLEGFPGFGWASTYFAEIEDLAPDQVRKFRLVLPGNPEISKLIVDIEENALGKYRMYEPGFTNISLPFVLSFKFAKAPDSTEGPLLNAMEINKYLKKSEGSFDELAISGVVSSYSSADWAQEGGDPCLPVPWSWVQCNSDSQPRITSIKLSGKNLTGSIPTDLTKLSSLTELWLDGNSLTGSIPDFTGNTNLKIIHLENNQLSGELPSSLADLPNLRELYLQDNRLSGAIPPSLLKKNLVINYAGNLDLHKGGSSENNKTITGLSIGAAVLVIATIASCFLMNKRKKKSPKQDPSRQNVNRPINSFGDAATESAQCFTLTDLQVATKNFERKVGSGGFGIVFYGKLKDGREIAVKLLTNNSFQGKREFSNEASLQNIATLAWQQSFPMASFAHLASVLPPRKQTLLFSPAQSSISYGGGRELLFMLLERPHLVTLLSRIHHRNLVQFLGFCQEDAKSILVYEFMHNGTLKEHLYGPLTRERSMSWIKRLEIAEDAARGIEYLHTGCVPSIIHRDLKTSNILLDMNMRAKVSDFGLSKLAIEGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGQEAISNESFGVNCRNIVQWAKLHIENGDIQGIIDPSLQGDYDIQSMWKIAEKALMCVQPHGNTRPSISEVIKEIQDAILIERGTEGVRHGSSEEMSRQSVHSSLHAGSLDFGASEHYLSIDDSIARPTAR >KZM91612 pep chromosome:ASM162521v1:6:26516828:26517388:-1 gene:DCAR_021023 transcript:KZM91612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHKRREPGGEAAGSSSSTQQQQQHPAPQLSRYESQKRRDWNTFGQYLKNQRPPVAMSQCNYSHVLEFLRYLDQFGKTKVHAQGCGYFGHPDPAGPCTCPLRQAWGSLDALIGRLRAAYEENGGAPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRITNPLKASTEEAASTTFHLPSS >KZM92682 pep chromosome:ASM162521v1:6:35369959:35374129:1 gene:DCAR_019953 transcript:KZM92682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTPNPSGDVKNPMPAKANFWVLPYRTQMLQKLYTIGKKLGQGQFGTTHLCTEKATGTLYACKTIPKKKLICKEDYEDVWREIQIMHHLSEHPNVVLIKGTYEDPLFVHIVMELCAGGELFDRIVMKGQYSEREAAKLLKTIVGVVEACHSLGVMHRDLKPENFLFLSTDEDAALKATDFGLSVFYKPGETFCDVVGSPYYVAPEVLQKHYGPESDVWSAGVILYILLSGVPPFWAETEMGIFRQILQAKLDFESEPWPSISDSAKDLIRKMLDRNPKKRLSAHEVLCHPWIVDDRIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAEKLSEEEIGGLKELFKMIDTDNSGTITFDELKEGLKRVGSELMESEIQHLMDAADIDNSGTIDYGEFLAATVHLNKLEREENLVSAFSFFDKDGSGYITIDELQQACNEFGLGEAHLDEMIREIDQDNDGQIDYGEFAAMMRKGNGGVGRRTMRGNFNLGEALGVAAQNDKCV >KZM91496 pep chromosome:ASM162521v1:6:25594716:25596425:-1 gene:DCAR_021139 transcript:KZM91496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTVAPPPAETLDQITSLLSRLLPSSLSIKSFTGRWQILRSKLAALKSSVSEISDSPHWSENQLLLTLLPNLLSTLRRIENLADQCSDPNFVTGKLLMQSDLDMATNWISKQIHDVDLLLRSGVLKQSNAIVLSRPGPDSEEEDLGFFIRDLFTRLQIGGIEFKKKAMESLLELLENDEALANLVAEEGNVGYLIHLLDLNAHPSLREQAVLVISILASVSEKSRKCVFEEGALGPLLRIIESSSLAFKEKAVMAVESITADPDNAWAISAYGGVSVLVEVCKSGSVVAQSHAIGAISNMASIEEIRVCLGEDGAVPVIVQLLSSGHEKTQEKAAQCVSILASSSDYFREMVLKERGLQKLLCLLHESSNSSTLEHVLRAIYSLSALESTAQLLSSCSSFVIQLSELIRHGTLVLQQLSVSLLANLSSMSEGNKRAIGGCMGSLVKLMEVIKPVGMQEKATQALVLLLTVKSNRKDFVRDEKNVMRLVKMLDPKNETVSKKFPVAVVAAIMAGWSQDCRKRLVDAGAYVNLQRLTEMEVAGAKKALQRFSSNRLKTIFTRTWRELTEK >KZM90038 pep chromosome:ASM162521v1:6:9891356:9898145:1 gene:DCAR_022597 transcript:KZM90038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFMGVHVSDQWLQTQFTQLQLLNLKDKFVAVEKQNGMVTIGDLPSLLVQLKASGEVFTEEDIRLILRESESDMSSEVDFEGFLRAYLNIQARAVAKMGNSKNSSSFLSSTTTTLLHTISESEKASYVAHINSYLRDDPFLNQFLPIDPTTNYLFELAKDGVLLCKLINVAVPNTIDERAINTKRSLNPWERNENHTLCLNSAKAIGCTVVNIGTEDLVEGRPHLVLGLISQIIKIQLLADLNLRKTPQLVELVEDNNDVEEIMALAPEKVLLKWMNYHLKKAGYRKEVTNFSSDLKDGEAYAYLLNALAPEHSSPSTLDVKDPAKRANLVLEHAEKMDCKKYVTPEDIIDGSPNLNLAFVAQIFHQRNGLSTDRKKISFAEMMIDDEQISREERWYRLWINSLGISSYVNHMFEDVRSGWILLEVLDKVSPGSVNWKQATKPPIKMPFRKVENCNQVIKIGKQLKFSLVNVAGNDFVQGNKKLILAFLWQLMRFNMLQLLKNLRSRSQGKEITDSDILTWANEKVRSAGRTSQMESFKDKNLSTGLFFLELLSAVEPRVVNWNLVTKGESDEKKKLNATYIISVARKLGCSIFLLPEDIMEVNHKMILMLTASIMYWSLQHSSEELKPAQLHAATSNGNTSAAASTDSKLSTFPAAATSVDSKSSASPAAATSIDSESSASPAAATSVDSKSSASPAAATSIDSESSASPAAATSVDSKSSASSAAATSVDSKSSASSAAATSIDSKSAGTSADSDESFAFPVVATSPISEPSAFPVAATSSDSESSASPPRDSVDVKSSSCSPASSPTAKDSSGACCMDCHSPPTPAIATSSDAATIANLSDEDEYSSLIKREKERKDNTYGSWRGFELDY >KZM91255 pep chromosome:ASM162521v1:6:23581725:23582183:-1 gene:DCAR_021380 transcript:KZM91255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGILVLAAWNPKKPLILLPSIAHNIQLSSDFNAISGTSMSCPHASGLAALLIGAHPEWSPAAIRSAVMTTVNPLDNSQHKIKDTETHLEIATPLTMGSGQVVTNRALNPRLYTMQQHMTMPISSSRQFYKKIKFLPSQDPVSAAQTHLVI >KZM89895 pep chromosome:ASM162521v1:6:7047497:7049352:1 gene:DCAR_022742 transcript:KZM89895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREANWDHLKLDNFTSLQDVDCPGRRRRKIDGSSSTPKSTSNSGLLNGSPTPVVANSNGFITPPLATLKSRQPLSNITNIGGVLNGTPSAGGAECDGFETPPLPTYSSRQPLSNITNLYGNRTRNSVTNAATRSTTTSKNTPQTVATNSTVPCSNIFKNLFAATNSPQSCTTQNVVSRTVDTTQVPRSRLFHETNDDTNYEGLENSQSTDIHSEDEEQLSDDDGFDVFEDAEEAEPFEEAPAVHEGSLIFLHRNVP >KZM91851 pep chromosome:ASM162521v1:6:28370452:28372842:1 gene:DCAR_020784 transcript:KZM91851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRNKSLVRYYRLCRFICTDSRSAKLERERYEQVKKLTILIGQNQTEPAKRQLRSLVISNSLFSSSSDLYTLFSTVPPSLKFTLSNLLLTVCAEAKLPIEATDVYMLIRRDDMFPSVAAFNLFLECLVSSRQYSKTLEIFNQLVDLGVNVDEFTYAKAVQSAVKSGDLRRGFELMKCMRSSGVSISGFVYNVMIGGLCKERRVEEARKVFDEMVERGVVGNCVCYNSLIDGYCKVGDLEAAFGIFERMKFDNVRPSIITFNSLVGGLCRGKRMVEAKNMVAKMRCCGFVPDGYTYSILFDGHLRCGDANAALDLYDETVREGVRLNSYTCSVLLNVLCKGGKVDKAEEILHGLLDNGVVPTEVIFNTILDGYCRVGDIVKSISVFEKMEYYGLNLSCITFNTLINKFCDLGDMDKAEIWLKKMVQKGPPPDVQTYNILIEGYGRIGDFNKCFQILEEMEGRGLNPNVVSYGSLVKSLCDGGRLLEAELMRGDMVTRGVMPNAHIYNMLIDGYCEVGTMKHAFRCFDEMFEVNIVPTVVTYNALINGLCKVGKVVEAEEMIRQITDAGLRPDVITYNTLISGFAKTGNTLNCLKLYNDMKTCGITPTLNTYHPLISVCKDCMVTVEKLIQELSENKLTPDRVTYNELIRCYSEQGNVEKSLALHHEMVCRRISPDLMTYNSLIIGQLKDGKTQEAKELVNDMQTRGLFPKAITYTTLIEGHCKLEDYLGAYAWYTEMFGKGFLPSVGTCKELIAGLIKEGRREEASFIYSDMSSVKGLDNWSPDDYGGLSAVTKMQ >KZM91194 pep chromosome:ASM162521v1:6:22927170:22929050:1 gene:DCAR_021441 transcript:KZM91194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHHPRSKLMEFPYVSAPHRNLMVDIVSKVEAHLSSSLLPCTLPQDVEYFENESGTAQSALLVRSAVPSSQIDFILGSWLHCGLPTGGALNITSFSGYLNSSTDAPNFLVELIQSSPTSMILILDLPPRKDLVLHDEYLKTFYEDTLLDDKRKHLEKLVEVKPYFTSSLYIRSVVSPTAIMVRIETGTDEAEQLEEIVRDHVSPIAKEVLQIWLELCACGKREVEQEEMIALAKRDKITKSKTIEIDLGSNLPRLFGEVAAARVLESLKEVY >KZM92778 pep chromosome:ASM162521v1:6:36233397:36242125:1 gene:DCAR_019857 transcript:KZM92778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVLRVHLPSDIPIVGCELTPYVLLRRPDKSATTDDINESSPLDGHFLRYKWYRIQSDKKVAICSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHERAASALNENGNEEEEIFGRGLASSQSNSNLTSGAAPLYPAAVAQRSGGETWFEVGRAKTYTPTADDIGNVLKFECVVVDAETKAPVGHVNTILTSRVIPAPSPSPRRLISVSGVDVTGHLDLDGRTASVGNFTVLSYNILSDIYATNEVYNYCPTWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHFEEFFSPELDKHGYQALFKKKTAEVFTGNVNAIDGCATFFRRDRFAHVKKYEVEFNKAAQSLTDAVVPTAQRKTALNRLVKDNVALIVVLEAKFGNQGIDNPGKRQLVCVVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLALGKVDPMHPELAVDPLNILRPATKLTHQLPLVSAYSSFARIGAGLGFEQQRRRMDPNTNEPLFTNCTRDFIGTLDYIFYSADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRTRR >KZM91435 pep chromosome:ASM162521v1:6:25172141:25174537:-1 gene:DCAR_021200 transcript:KZM91435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSSYLQVDVNGEELFLVDKNIISSYSGRIRKLLGKTRCGTRNLKVIFHDFPGGSDGFELISRFCYSNGKIDINYSNVSLLHCLAIFMEMNESSSKVHNLFEQIEKSLEEIKYWTWSDLLVVLNHCQNLLPTVNSSGLLHKCVDSIVKRLALASEASPCQSTSSPDSSGLRLSTDTRSTDSFKNIFRATWWFEDLVSLKPYLVKMLTKSMVFQKFDHGVIARFLLYYQKSRFVTATQDEKREIMETVIEMLDSLDQRTVACKSLFGILRVALNLNIKKCCRNKLEKMIGLQLDQATLDNLLIPSPLGMDHMYDVNLVLRFIRSFLGTGFCRVPLIRMQKVANLMDLYIAEVSPDPCLKLSKFCSLVRAIPDSARESYDGIYHAMDMFLEAHTGLSEEDKRRLCYALNYEKLSSETCRHLAHNSKFPSRSAIEAVVSQQNKLKSLLEDTNQSKPFFDSPCSSVDTEIKDKREESCEQIVLYAGRLDFTNENEKLRANLQGMQCRVVELEKICKKMQNQMSKMLKSKLSRQNNARSLPRLC >KZM92623 pep chromosome:ASM162521v1:6:34925714:34927034:-1 gene:DCAR_020012 transcript:KZM92623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLRESVTFLPLKDLRFSETAMIGNTWFMSSLNDSYEMNEAEYLYFPSEVSKGRLLCIRGRDMSDGTKNFYALAWRESLPNNATLLSGLTYVSNTYYNYLNLWHGLCAMTPFVRWTMKNKCIKPDRWVLFHWGELEIRTGSWIQQLMLANYGEFKFEGFDKGDGPYCFEKAVVMRHDLGSMGLDNKLKVYDMMRCKAREFCNLFVPERSMEVDERGLPVIRLTLLMRRGSRSFKNATLVTDIFSRECDRAEGCVLKVFQSEDLSFCDQVKVMTYTDVVASPHGAQLTNMFFMDRGSSVMEFFPKGWLEHAGVGQYAHHWMANQSGMKHQGAWCDSTGEDCPTPKDDRKCFLFHKDGKVGVNETYFAEWIRKVLHEVRVSKLEATKTPIAKEQLSNNVCAC >KZM89856 pep chromosome:ASM162521v1:6:6145799:6146125:-1 gene:DCAR_022781 transcript:KZM89856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPKRPIHVISTWVRRQPPKVKAFLAVVAGMSALVVLRAIVHDHDNLFVAAEAVHSIGISLLIYKIMKEKTCAGVDY >KZM92276 pep chromosome:ASM162521v1:6:32020862:32025924:-1 gene:DCAR_020359 transcript:KZM92276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSGVAGARGVSLPMQSSRKEWRAVPEQDSGNEVEALTDFQRSKLGQSDERLIFEVQQPGDVDFCSISIDASMENELQQRLRSVVKQKEELEHVETEIRAEIIARSQIIALQSSYDSQIKEHVNANVKLQEQLREMEQGIHELEREIEVKERELHAIRLDTQAVWAKDDLLREQNKELANFRRERDNSEAERAQHLKQIHDFQEHIQEKEQLLMELQEQQRVAQETVIFKDEQLREAQTWITRAQEMDALQTSTNQTLQAELRERIEQYNQLWMNCQRQFTEFVHTIQALQIELAELRERSGTYSDDSRASQSNLKDASQSGNSNGSQLNVSGNAHARDSNTLPNGNLENDSSMSQGNASGQSGQTNHVAAVPIVPQSLVRMPTYLPGQVAALHPYIMHQQAVAQSVSSHVPQSHTAHFHSVPAVSSLNHWQNQQAASEGSQIPAQEQHPPSQSEQNLLRAENNYDYKVPVNGQPSQTEYVDAQINPEVQPQAVVPTQNEGAQVLESIDKRYIVDPRSQNNLQHLSSQFHEGLTLDSLKHNSESEKNINTLGKLEARVSMAEQPNAKLSEEQLKNKNLMENDGANTALASEALVSAEDKSKLVGKSSEITLLDEGSLLRCIVRTIPPNGGIRISSTLPNRLGKMLSPLHWHDYKKSYGKLDDFVGSHPELFVIEGDFIHLREGAQEIIAATAAFAKVKAAASATTSHSSLLPSVAVTPMAQSHRLKREQATSRPGNLTDGQTQTQLINGVPYAVGAVSTVKILSKSKDIAEHSSTEIRPQVPTQFASGNGSTIDRSDVVGSQIRGSVRGRANSSFVVKQQDRY >KZM89983 pep chromosome:ASM162521v1:6:9171578:9174188:-1 gene:DCAR_022652 transcript:KZM89983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLKSLLTSRHFLTRFSIVLFFISSPAFSAHLEDYSIKTYIVHVQHDAKPSIFPTHTHWYLSALSSVEDTAFSPSIIHNYDTVFHGFSAQLSSSQARKLEESPGVNAVIPEQVRQLYTTRSPQFLGLKTSDTAGQLLKESDFGSDLVIGVIDTGIWPERRSFNDHDLGPVPVKWKGQCVATEGFPITSCNRKLIGARYFSSGYEATNGQMNETMEHRSPRDSDGHGTHTASIAAGRYVFPAATLGYARGVAAGMAPKARLAAYKVCWNAGCYDSDILAAFDAAVADGVDVVSLSVGGVVVPYHLDAIAIAAFGASDAGVFVSASAGNGGPGGLSVTNIAPWVTTVGAGTIDRDFPAEVKLGNGRIIPGVSIYSGPTLAPHRLYPLIYGGGEGGGDGYSSSLCLEGSLDPKDVKGKIVLCDRGINSRAAKGEVVKKAGGVAMILANGVFDGEGLVADCHVLPATAVGAISGDIIRRYITSAGRSPKASIVFKGTRLGVRPAPVVASFSARGPNPESPDILKPDIIAPGVNILAAWPDGVGPSGIASDKRHTEFNILSGTSMACPHVSGLAALLKAAHPGWSPAAIRSALTTTAYTIDNRGETMLDESTGNSSTIMDYGAGHVHPQKAMDPGLIYDIDSYGYVDFLCNSNYTTKNIQVITRKNADCSGARKAGHLGNLNYPSLSVVFQQYGKQKMSTHFIRTVTNVGDPNSVYKVTIRPPTGTLVTVEPEKLAFRRSGQKLNFLVRVEATEVKLSSGSSTMRSGAIEWSDGKHIVTSPLVVTMQQPL >KZM91259 pep chromosome:ASM162521v1:6:23632026:23632737:1 gene:DCAR_021376 transcript:KZM91259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSCVGGTDCRVFGIAVELLAQDMVVESKTISPRWRQNSINSPQNKKQQTRLGELGSFSTIVHRHRFLLTACALLAFLCTIYLYLAVTFVANDSCSALSADCNLLKEVTGTLPTSVHHPSSVSLIYFTGLILTII >KZM89975 pep chromosome:ASM162521v1:6:9073691:9079249:-1 gene:DCAR_022660 transcript:KZM89975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSYEMDYSSHRRPRHTTPVRSHIPASGMSSFSSSYNFSHLSNARRSRPVVPPTPFASDTDTSWQGELSWQFESTGWRDGRNLGAALSPWTATPMSQTSRIFKQSANDYYLSRTTNAFQNLSHSNYEHSGYGTVPSGRFELQSYIARDPGISSSFVGGMASTSGPSNERKKSRGASGVPIVEGRPRKPVSQAEKDSLSLISFDAIQDVERQIVLDPNSNLDEHQRWLSVSHAYTPDHEAVHGHRDHHQESLHNHGGQRHGSHGISHGHGRHNHMSSGPYDISKEQDYGNHGHNVHDSKQYSTSHHYGRGGDHGYDDNDRKSAYEVEDDDEDEDDVGPPRSVGLFSLFRFSTNFDILLVIFGCVGAFINGGSLPWYSLLFGEFVNKIAKDSKNNNLHLMDDVQRICLLMMGLAAIVVVGAYMEITCWRLAGERSAHRIRTEYLRAVLRQDIAFFDTGINTGDIMHGISSDVAQIQEVMGEKMAHFIHHVFTFFCGYAVGFIKSWKVSLAVLAVTPLTMFCGIAYKAVYVGLTSKEVATYRGAGTVAEQAISSIRTVFSFVAEDKLSAKYAELLENSVPFGKKIGFAKGIGMGVIYLVTYATWALAFWYGSILVAKHEITGGAAIACFFGVNVGGRGLALSLSYFAQFAQGTVAASRVFEVIDRVPEIDPYSPEGRRLSSTRGKIEFRGVSFVYPSRPNILILRNLNLVIPPSRTLALVGASGGGKSTVFALIERFYDPTQGLITLDGHDMKTLQNVMMGRENATKKEATKACVAANAHNFISALPDGYDTQVGDRGTQMSGGQKQRIALARAMIKDPRILLLDEATSALDAESESLVQQAIDKISVGRTTIVIAHRLATVRNAQTIVVMDQGSIVEIGDHHQLMEKEGAYFNLTKLASDALSNSASKSTDAHAGTDFSMHEKSVQDSSRSKQVHEISRSQYMKSTQDASRVEADEQKEGKSNDYRLSEIWSLQRPEFSLLMVGLIFGMFAGAILSVFPFILGQALQVYFDDDEEKLKRDVKYLCLTLVGLGIACIISMTGQQGFCGWAGTKLTQRVRNLLFQSILKQEPGWFDFDENSTGVLVSRLSIDCISFRSVLGDRFSVFLMAVSSAAVGLSVSFAIEWRLAIMAALVTPFTLGASYFSLIINVGPKMDNGSYARASNIASGAVSNIRTVATFSTQQQIVESFDRALSEPQKKSVKRSQILGVALGFSQGAMYAAYTLTLWFGAYLVDKNHANFGEVYKIFLILVLSSFSVGQLAGLAPDTSMASTAIPGVTSVINRMPLIRNDRRKVKRIETSKPFDVEFKMVTFAYPSRPEVIVLRNFNLKVKGGSMVALVGSSGSGKSTVIWMVQRFYDPLQGKVLMGGSDIRELNLKWLRKQTALVGQEPALFAGTIRDNIAFGNPNASWAEIEEAAKEAYIHKFICGLPQSYETEVGESGVQLSGGQKQRLAIARAILKKSKVLLLDEASSALDLESEKHVQEALKKVSERATTIVVAHRLTTIRKADAIAVVREGSVTEFGSHDKLMASHLNGIYASLVRAETEANVFA >KZM90112 pep chromosome:ASM162521v1:6:11298825:11299193:-1 gene:DCAR_022523 transcript:KZM90112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANSSNANSGDSREIPDLDLCFCDLRVVESYCWFEENAGRKQVVCPKGWKGCAYSRWIEPPHEERSVAVIQKVLKELNDNKIRHSLQVSRIHGKHAKKIKHIKSMIQAVHTDDDDSDGEE >KZM92221 pep chromosome:ASM162521v1:6:31622102:31625281:-1 gene:DCAR_020414 transcript:KZM92221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHRRSHRPSIFYRPIRPTDLEAIEKIHAELFPIRYESEFFLNVVHGRDIVSWGAVDRNRRNGKSDELIGFVTARIVMARESEVEDLLRFESPRADETLVYILTLGVVDSYRNHGIATSLIREVIRYASNIPTCQAVYLHVISYNNSAIHLYNKMSFQCVRRLHAFYFINGQHYDSYLFIYYVNGGRSPCSLLEFATLLVAYIRSGFRSAASRIWWKKEDEVSRWMKCKESSSRLIPMTQNKRILTTNATGCQFA >KZM92471 pep chromosome:ASM162521v1:6:33637274:33640664:-1 gene:DCAR_020164 transcript:KZM92471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRYFRHAARILGLDSKLEQSLLIPFREIKVECTIPKDDGSLVSYIGFRVQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVANIPYGGAKGGIGCKPSELSRSELERLTRVFTQKIHDLIGDQTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPLDLGGSLGREAATGRGVVYATEALLAEHGKSIKDQKFAIQGFGNVGTWAAKLVYEIGGKVVAVSDITGAVKNPNGIDIPALLKHKEETGSLINFNGADKMNADELLVCECDVLIPCALGGVVNRENAEDVRAKFLIEAANHPTDPEADEILSRKGVIVLPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNMELKRYMTSAFDEIKKMCQTHNCNLRMGAFTLGVNRVARATLWRGWEA >KZM90905 pep chromosome:ASM162521v1:6:20307867:20309850:1 gene:DCAR_021730 transcript:KZM90905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHEYYYTSKKTDDFCQEICGKSPPKPFIDVPHYYNENVTMETLCSLHGWGIREYPRRVYDAVLFSNEVDMLTIRWKELYPYITQFVLLESNSTFTGLPKPYYFSNHRGQFKFVEPRLTYATIGGRFRRGENPFVEEAYQRVALDQLLRIAGIEDDDLLLMSDVDEIPSSHTINLLRWCDEIPPVIHLELKNYLYSFEFQLQHKSWRASVHKYEKGKTRYAHYRQSDLLLADSGWHCSFCFRLISDFIFKMKAYSHTDRVRFSHYLNPERIQSVICNGSDLYDMLPEEYTFKDIIARMGNIPHSYSAVHLPSYILENADMYRYLLPGNCIRESG >KZM89732 pep chromosome:ASM162521v1:6:3915453:3921742:-1 gene:DCAR_022905 transcript:KZM89732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGQVRIGSDDEDDVVVFAVNGKRYEVSVHPSTTLLDFLRSSTPFKGVKLSCGEGGCGACVVLLSNYDPLLKKVKSYTVSSCLTLVHSVNYCSITTTEGLGNSRDGFHPIHERFSGFHASQCGFCTPGMCISLFSALVNAEEKQRPDPPTGFSKLTVSEAEKAISGNLCRCTGYRPIADACKSFAADVDLEDLGMNSFWRKGESNEDKLSKLPSYNPKDDVCTYPEFLKRELESTMYLSPHKKSWYSPRTLEELRTFTDPKLSTTRTKLVAGNTGIGYYKDLDQYERYINLRNVSELSSISRNETGMVIGATVTISKAISALKEKVGGVVCSDWELIFTKIAEHMEKIASEPVRNLASVGGNVVMAQRHCFPSDIVTVLLAVSSTVDLIINTKRENLTLEDYLSKPPLDNRGVLLSIQIPFSTSFTSNFSTKTSKLVFETYRAAPRPLGNALPYLNAAFLAIISPSKMGFVINKIKLAFGAFGAKHAMRAREAEEYLAGKPISLNVLYEAINIVRATVISKDGTSDATYKSSLVVSYLFKFLYPLSDGVVSVSNGLSTHNSANNFKDGDNLSNDAKKPSLLSSGKQLVETSREYYPVGQPIVKSGASIQASGEAVYVDDIPSPSNCLHGAFIYSTKPLARVKNVRFKTKSLPDGVTAVITYNDIPNGGENIGSKTIFGTEPLFADEITRCAGQCLAFVAADTQKLADRGASLSLIDYDTDDLEPPILTLEEAVEKSSFFEVPSFLYPAPIGDFSKGMAEADHRINSEIKLGSQYYFYMETQTALAIPDEDNCMVVYSSIQCPEYVHTVIARCLGIPEHNVRVITRRVGGGFGGKAIRAMPVATACALAAYKLRRPVRMYLNRKTDMIMVGGRHPMKITYDVGFKSDGKITALHLCILINAGMSADISPIMPNNMLGVLKKYNWGALSFDIKVCKTNHSNKSAMRAPGEVQPSFIAEAVMEHVASMLFMEVDSVRHRNLHTFDSLNLFYKHSAGELVEYTLPSIWDKLQVTSNFSQRTEMIQQFNQKNLWKKRGISRVPIVHEVTVRPTPGKVSILSDGSVAVEVGGIELGQGLWTKVKQMTAYALGTIQCDGTANLVDKVRIVQADTLSLIQGGFTAGSTTSESSCEAVRICCNILIERLSPLKEKLQAQMASLTWDTLVLQVYLIS >KZM89451 pep chromosome:ASM162521v1:6:847129:848734:1 gene:DCAR_023186 transcript:KZM89451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQLGSVTNVVVSSSEVAREIFQTHDAEFSGRSVPDAVAGGLQNHDVAMPWISAGDQWRTLRKALSIYLTNPKKLDKLQELRLRVVKKMVDHVREISRRGEVVDIGKLAFTTALNQMSNTCFSVDVADFGSNQDVNGFHYAVNTVMEVDGKMNFSDYFPWLKKFDPQGIRKDAKAAYGWLDKLCEKFIMQRMSHRESNLPPHGDLLDSFLDFRQDNPVGFDVKQIKVLLMDLFIAGTDTNSSTIEWAMTELMIHSNIMQKLREEISERKREKAHLEEADILELPFLQSVLKETMRLHLVVPLLLPHKSETNVKLNGYTIPKDTRVVINAWAIARDPNSWENPNQFTPERFLNSEVDYKGRYFSFVPFGSGRRICPGVRLAERVMSLMLVSLVGQFDWELPNNMSPEELDLDDTFGVTSQKAIPLVLIPKTLNH >KZM91456 pep chromosome:ASM162521v1:6:25315845:25316598:-1 gene:DCAR_021179 transcript:KZM91456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILMKLADAITVLFLCLVAFVGLMPLGYVFLPSDHSLHHHIEDFMRSEQHYLVLEMPPFFKPLLYYHLLVNWPLAVASLYGFIFRKSWVSTTSLILGVAFASIVVPVLGELVGSGKGSFELIRVYAVLFCLAVVVVFRSLVASAATKTKTA >KZM91653 pep chromosome:ASM162521v1:6:26819923:26823526:-1 gene:DCAR_020982 transcript:KZM91653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKHVETVTEIPKQEDKEVVVEEEAKHNNETHSGPIFRNKEKVLVTCSRRINFRYRHLMLNLVSLLPHCKKENKVESKAALNELVELRSCSSCLFFESRKETDLYLWMAKCPTGPSVKFIVNAVHTMEELKLTGNHLTNSRPLLTFSTSFGKDAHWRLLKEMITQIFGTPKDYRRTKPYYDHVFAFSIVDDHIWFRNYQISCSHTEADKIDREGLDKMTLVEVGPRFCLNPIKVFGGSFGGQTLYENPFYVSPNQIRSLDKKKKAGKYAKKVKAKIRRKMQELSKPMEEDEFADTWQDQ >KZM89956 pep chromosome:ASM162521v1:6:8266230:8266540:1 gene:DCAR_022681 transcript:KZM89956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTRNIVVASSLLVFAGGGLAFPFYMATRSSKTPVIDSSKPLPPQATFRGPYINTGSRDVGPDHQTYSKK >KZM89720 pep chromosome:ASM162521v1:6:3714323:3716637:1 gene:DCAR_022917 transcript:KZM89720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KZM92714 pep chromosome:ASM162521v1:6:35693535:35705511:1 gene:DCAR_019921 transcript:KZM92714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQIEIEESSQSSFSELKHHCLQLLELLQNPRNDSHTLSQLHSLLSRSPPHSLQPFFDYTLFPLLLLLDRAVECRSQVDSDNSSGPLIVSDSIAEGVLKCLEELLKKCQIGSLDQMVIILKKLTNGAILSPSEAAEEFRGGAADIEAGRGQLGSAQLRAEAFFTLRILVAKVGTADALSFFAPGVVSQFGKVLHVAKAMISGAAGSAESLDQAIRGLAEFLMIVLEDNGDLLSPDETVNGIAGMPLNKDISLQSVLEDLRHLPVNAIGQSQIVVKDVKNVVVDGSVPAVRHMNKGTKSREIPLGRLHVDRTKEWILKTSAHVTKLLSATFPNLCVHPAQKECSFVLVCDDFEEVSEAAQVFLGNQFSSRGKHDIKHDVAQIFSRLTKKLPNMVLGSEEALAISHAQKLLVLIYFSGPSLVKDHLLQSPATAAQFLDSFALCLGQNSAFAGSLQNLMLARPSSTGYLHSIAEMRSVNCFSIDDKSINQSFSSKEPKFLGTQKNGPLNTWENVHNKDKLPRMPPWFVHVGSDKLYLTLARILRLVGLSLVADSQSEASLSVVADIPLGYLRKLVSEIRIKSYSKESWKSWYRRTGSGRLVRQASVAACILNEMIYGLSDQAIDAFSKMFHIPCIRWEVDETAMVDEYSMQQDKVARTGQDESVWSVAFLKQVVIDGIGIFNMCLGGDFTSCGFLHSSLYVLLESLICSNFHIRSASDAVLHVIAATSGYPTVGHLVVDNSDYIIDFICQQLRHLDLNPHVPGVLAAMLSYIGAAHNILPLLEEPMRSVSLELEILGRHQHPELTIPFLKAVAEIVKASKREAVTLCSQAESYLEVVKSKMSAIENNIKCDIGAAAVDCEKETGDFTNNVDVYMEEVESTLLTISDFRRYRRTVGSIIGSCVTAVTPLLISAMQVASLVAFDIIEDCVMALAKVEAAYKDEKQTMVLIEEIVELCSRYDFKDALDADENATDENRLLPAMNKIWPFLVSCFRSGNQLAVRKCSAVISSSVQVCGGDFFSRRFYTDGGHFWKLLNTSPFKKKPISKEERTPLQLPYRSTHTVSENSMSEVSDLKVQVAVLNMIGDISRNKRSASALDAVLKKVSGIVVGIACSGVTGLRDASINALAGLASIDPDLVWLLLADVNYSTKKNTLPQPTADFPKVNQILPLPQSTKDYLYVQYGGQSYGFDIDFASVEIVFKKLHSQVFTSQFYI >KZM92116 pep chromosome:ASM162521v1:6:30672576:30674678:-1 gene:DCAR_020519 transcript:KZM92116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKIPLVLLLLSLLVTGSLHITTGSK >KZM89749 pep chromosome:ASM162521v1:6:4247224:4248759:1 gene:DCAR_022888 transcript:KZM89749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAKVNQPLIPPSYLRKLPDFKQSIKLKYVKLGYHYLITHGMYLFLSPLVVVLAAQLSTFSLRDIYILWDHLRFNLISVVVCSTLLVFLSTLYFLTRPRPVYLVNFSCYKPEEDRKCTRQTFMEKSKLTGNFTDANLDFQRKILERSGLGEDTYLPEAVLRVPPNPCMAEARKEAELVMFGAIDELLAKTSLKPKDIGVLIVNCSLFNPTPSLASMVINHYKLRGNILSYNLGGMGCSAGLISIDLAKDLLQVHPNSYALVISMENITLNWYFGNERSMLVSNCLFRMGGAAILLSNKRSDRRRSKYQLVHTVRTHKGSDDKCFSCVTQLEDPAGKVGVALSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFRMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRIKKGDRAWQIAFGSGFKCNSAVWKALRTLNPAKEKSPWMDEIHKFPVDVPKVSAV >KZM91067 pep chromosome:ASM162521v1:6:21781450:21784224:-1 gene:DCAR_021568 transcript:KZM91067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIYEEGLVVEPTENGNSTPLKEDSIVDHSLISEKSPQIAGNGHAVNEVVDTSIEHLYENVCEMQSSDQSPSRRSFGSDNEESVIDSELRHLVGGEMGEVEIMEEEVGMQKEEVDDDIRSDSGSKKESSLAGSKSRKSRTKSVSSANSKKVSRLHLESDSTSSPKSISPQEKPPRDKLNNKVLVKPSGVDNSIKKQKNISLRGVSSQNGGDDSSDSGRENPDLGPFLLKQATDLISSGDNPRKALQFALRAAKSFEKCADGKPSLDMVMCLHVTAAIYCSLGQYSEAIPVLEHSIDIPAIEKGQDHALAKFSGHMQLGDTYAMVGQFENSIMCYTMGLELQRQVMGDADPRVGETCRYLAEAHVQALEFDEAEKLCQMAIDIHKDNGSPASIEEAADRRLMGLICETKGDYEAALEHLVLASMAMVANGQEKEVASIDLSIGDAYLSLSRYDEAIFAYQKAVTTFKSTKGENHPTVAAVFVRLADLYNKTGKFKDSKNYCENALRIYEKPLPGCPPEEIASGLTNVSAIYESMNELDQALKLLKKALKIYDDAPGQQNTIAGIEAQMGVIYYMLGNYPASYTSFKNTILKLRTGGEKKSAFYGIVLNQMGLTCVQRYAINEAAELFEEARHVLEQEYGPYHPDTLGVYSNLAGTYDAIGRLDDAIEILEYVVGMREEKLGTANPDVDDEKKRLAELLKEAGRVRNRKARSLENLLDANPHATKGDGIKLR >KZM90513 pep chromosome:ASM162521v1:6:16407996:16409543:1 gene:DCAR_022122 transcript:KZM90513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGGEVVGNMKENTNNGVNIEKIDYVYKVVVIGDSAVGKTQILSRFTKNDFCLDSKSTIGVEFQTRTLTINSKLIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTKRQTFDHVARWVEELRAHADNTIVIMLIGNKADLVDLRVVPTEDAIEFAEDQGLYFFETSALNGDNVEAAFFKLLEEIYKVVSKKALDCGDGKANGTNLRGSTIDVISGSKDFEVSELKKSSTCSC >KZM91941 pep chromosome:ASM162521v1:6:29194569:29210408:1 gene:DCAR_020694 transcript:KZM91941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVHSPLGRMLLDEITPVLMIISTPLAEETAQKNGLTFLEMLSPFCSFNNIDVPVRTASDQPYRLRKFKLRIVYASDVRQPDIEVARKRLKQVITSAGEMEQSDLFLDPPPIESVLNTSQPECMPTWFQYFNEELVRTVSFSDHEAFDHPVACLLVVSSNDEEPLSRFADMYNTNQLPPMFNDGSMDPKILKHFLLLHDKQNGSAEKATKVLTGMRSTFGLHDCRLLCINSSRDGLVDHQENLWAPYKSGASANQHLGGFLSSDDLDELRNAMQDLSSNFIIPQMEQRIRVLNQQVSATRKGFRNQIKNLWWRKGKDDAADSSSGSMYTFTSIESQIRVLADFAFMLQDYELALSNYRLLSTDYKLDKAWKQYAGVQEMMGLTYFMLDQSRKEAEYCMENAFHTYLVRVRIGSAGMRNATRCGLWWAEMLKTRDQCKDAAAVYFRISGEEPLHSAVMLEQASYCYLFANPPMLRKYGFHLILSGDLYKKCDQIKHAIRTYRGALSVFKGTEWSHIRDHVHFHIGKWYAFLDTFDVAIKNMLEILACGHQSKATQELFLKDFFQIVQKTGKTFEVLKLQLPVIDIPSLKVFFEDRRTYASLADASAKESLWQSLEEDMVPSASAALLSGNKSSWLQVQTMVLPTKTKDTNVCVAGEAIAVDIGFRNPLQISLSVSCISLICEHSSSSEEGEKADAKSSTSEIHDDKAHKISVANEELSSATTLFTATEVDVSLGGGETILVQLKVTPRVEGALKIVGVRWKLSGSVVGFYNFQSEMPNKKTTKGRRKAKQSPFDNLSFLVIKSLPKLESFIHHLPKTVSAGDLQRLTLELRNPSEIPVKNMKMKISHPRFLRVGSPEVLGMEFPACLEKKASNAKCDEDVKSKASDKLFFFPKDTEIHCKTPLLWPLWLWAATPGKFSFYMSIYYETGDISSIIKYRTLRIHHILEVLPSLDVSFQISPCPSKLQEYLVRLNIVNQTRLESFKLHQLSAVGKEWELSLFQPIDTIFPTGVLMAGQALSCFFKLKNLRTTEDEVSSLEASEGASMRLNHGSNEPVFDMCSSPLFEFHRHERVCQRAYEQEQQTVDFILISRPQRSNNSLEQTQTNSFDIAAHYACHCRQESHNNSSTSIAPNLFMIKSPVSPCMLKFKVFSRARKTILCILTVDPSLYIYTYYVYRTASTSPVCWLMDGPRIIHHDFSSSLCEIKLKITIYNSSDVSVSVRISTSDSIQPGSSSTSSSVLSANEAGWHDLSLITEMKVTSSISGSGSGIRRPTSPECVPPFIWSGVSSSCVELGPKSTTEVPLLICVFSPGIHDLSNYALHWNYKDVKAGESVLSGTCDGHPYYLTVLQHE >KZM90782 pep chromosome:ASM162521v1:6:19167321:19168883:-1 gene:DCAR_021853 transcript:KZM90782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGPLPGAPFIPCPGGVLLSQRSILVSCSDLFPRLHVRHGSNSSVELKTDNDVVKFSFGTQPANGRIRRKEKKTPRQIKMSKRAKLNELRFYRLKAKKKMNSPNPEVRIRYKLDKAKRKEEWLIEKLRKFDVPKLPDEPHDPEILTEEERFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKNNETVKVVCKPCKPGQIHTYAEELARLSKGIVIDIKPNNTIIFFRGKNYVQPKVMSPPDTLSKAKALEKYKFEQSLEHTSQFIEKLEHELEEYHQHLARYKKGKKEALQDNPSVLNT >KZM91912 pep chromosome:ASM162521v1:6:28883481:28886485:1 gene:DCAR_020723 transcript:KZM91912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKQPTVRFHSRINMTCVNHETGVVESKKFGILSNWQREYTMEDILTQLKKDIAAPHNRKLVQPPEGTYF >KZM91428 pep chromosome:ASM162521v1:6:25121721:25123947:1 gene:DCAR_021207 transcript:KZM91428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQPELTTPTACSQRRIAIAVDLSDESAFAVKWAVNNYLRPGDTVILLHVRPTSVLYGADWGATPPEPANLQDKQQLEDSFDNLTSLKAAELAQPLVEADVPYKVHIVKDHDLKERLCLEVERLGLSAVIMGSRGFGGARKSGRGRLGSVSDYCVQHCVCPVVVVRYSEGGEGSGDGAAFSGGKAAKVMAEEVLLNPVPEEEVEYCGDDDEGHSDPYDKQSSVVYAIQILERSHSGWETEYPHLNVALPLGGIDGEKFMWTDNLATLLQKFMTMATKVVYRHETANTEEGVVVSFPEK >KZM89754 pep chromosome:ASM162521v1:6:4294173:4300852:-1 gene:DCAR_022883 transcript:KZM89754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFGGQLYLLVTEEGYKDHPNVVWEMLNEVNGNTPFMTGNFKEFRAECDYVSNTCVEENFWTAVLSSSLQINLKFSSDMQLSRDLQEKEFKQPNVYFGSGLVRGPQALVAMGPKKKKEQTRIQDLIATVKESDEEFRAFAVTIPTREEMIQENFPLTDWKPPTLSWCCKNINRIRHAEEKLDAGEKGMEWVHAWSNLYWKGEVKPGSEAFVDHPEEDQKKLLRQMLDDINDSKTRISYKKWKFALMKKSEKDPSRFRLERREEEGANSAEVKVKAVEVEADIPAEKKVEAAEKEVEKKVEAAENEVNIPAEKEVDIPGLNVVTVDEVGDHPVELLDLRKPALQ >KZM92459 pep chromosome:ASM162521v1:6:33580416:33587338:1 gene:DCAR_020176 transcript:KZM92459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESETVEGLLPLPSPVVAIAINGNSNSKYIVQWALDKFVPEGNVFKLLYVRPRITAVPTPMGNFIPISEVRDDVVATYIKEVEWQTDEKLIPYKKMCAQRKVQVEVLQIEADDVVNAIVGEVARCKIKRLVIGASSRGIFSRARRLSSRISEGTPSYCTVYAISKGKLSSVRPSDSETSGNMKDDTSDTSCSTSSSSRYSFSSQAEWTEADSVSPYSHFRSPSLPMQRLQALSTVNQTFYHTKANSVNFDHSRNVSFDTERTSKFASSRPSYEDLDYAELSSSRHLVTDSNQLTSEQASTSSAPPIKYSSESEVNVSFELEKLKIELRHIQGMYALAQSETMDASRKEHTACACCSLHFVNKEAKLNDLNKQRLEEAVKLREIKDKEEEARELAKQETEKYRAAKTEANYVNECAEREILERKEAEGRAFRETREKEKLEKALDGSVQQYQTFTWEEIVSATLSFSEDLRIGMGSYGTVYKGSLHHTTAAIKVLHSEEVHRTKEFLQEVEILSKIRHPHLLILLGACIDHGCLVYEYMENGSLEERLFRKNNTPAIPWFERYRIAWEVASALVFLHHAKPKQVIHRDLKPANILLDHNLVSKIGDVGLSTMRPLDSTTISTTYRNTGPVGTLSYIDPEYQRTGLVSPKSDVYAFGMVLLQLLTAKPAIALTHLVEKAIDDDKLTEMLDPEAGNWPIEETKELALLGLSCAELRRRDRPDLKDHVLPALERLRDIADKARDLVSNGQTPPSHFICPILREVMDDPHVAADGYTYDLKAIKKWFEENDKSPMTNIPMPSKSLIPNHTLSSAIMEWKEGKQ >KZM90141 pep chromosome:ASM162521v1:6:11637825:11637989:1 gene:DCAR_022494 transcript:KZM90141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVVLMLNSKTALPNPKKPGFFTERKRHEKDYSSSKHILSSSNDYSITAISPR >KZM90089 pep chromosome:ASM162521v1:6:10702138:10702757:-1 gene:DCAR_022546 transcript:KZM90089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSISRRGRTQFVYSWDGESKDALDNEAGPDEEDSAKVQDEEEARTFYHARALLLPNHLRTLMLTPKFLSMSLTSSEMKTRRFVKLLKLIDARPHLKMRPHIFDYKLRLCIPRTVLTPKLVKLGIGPFLHHYFKAIIEKFDIAPPQFLPNGWKLTIAMYMPYRDHNRCEQTI >KZM89936 pep chromosome:ASM162521v1:6:7690741:7692051:1 gene:DCAR_022701 transcript:KZM89936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHDLNSNSLPENQAPNADGNSASENESDVSIDSLAKQVQESLSLAKRHKFWETQPVGQFKDLGDSSLPEGPIEPASPLSEVKQEPYNLPSPYEWITCDMESTEMCTEVYNLLSNNYVEDDENMFRFNYSKEFLHWALCPPGHYKSWHIGVRVKSSKKLVAFITGVPARLRVRDSIVQMAEINFLCVHKKLRTKRLAPVMIKEVTRRVHLEDIWQAAYTAGVVLPTPISTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPESTVTPGFRKMELRDVPAVTRLLRNYLMQFLVAPDLDETDVEHWLLPKEDVVDSFLVECPETHKITDFCSFYTLPSSILGNANHSILKAAYSYYNVSTKTPLLQLINDALIVAKQKDYDVFNALDVMQNESFLKELKFGPGDGKLHYYLYNYRLKHVLRPSELGLVLL >KZM92069 pep chromosome:ASM162521v1:6:30265533:30268459:1 gene:DCAR_020566 transcript:KZM92069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAWEATVRKTQAAAKKRANNIFGTAYVPHASDDEGERHEENVIENQSIGEVYDAERFLSNGDCYKGQWADNFPHGHGKYWWTDGCMYVGDWHRGKTNGRGLFSWPTGAVYEGEFKNGYMDGAGTYSGPHGDMYKGNWVMNLKHGYGVKGYSNGDSYDGEWCRGMQDGQGTYKWKNGNYYVGQWKNGMFSGKGKMHWRDGDRYDGYWEDGLPKGNGTYKWGDGSFYVGNWSMDPKDQSGSYYPCGSMLVDGNFDWDPQEVYNVRLKACRVCPGEKVPVLPSQKKLAVWRSSKGADPNVRPRRMSVDGRIEAGANKDLSPFDRSRRGSREDVSLALDDLGTRGSPIRIPKVVKKQGETISKGHKNYELMLNLQLGIRHSVGRPGPPPSLDLKPSAFDPKEKVWTRFPPEGSKHTPPHQSCEFRWKDYCPLVFRTLRKLFKIDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEAKVLIRMLSAYYNHVRAYQNTLVTKYFGLHCVRLNGSAQKKVRFIIMGNLFCSEYTIHRRFDLKGSSLGRSTDKPEAELDANTILKDLDLNFLFRLQKTWFQEFRRQVDRDCDFLEQERIMDYSLLVGLHFREPNVDADLIPAGANGDSDAESAPRLSRADMDKLLLDPAGWSSIRLGVNMPARVERTERKSDYFEGQLVGEPTGEYYDVVMFFGIIDILQDYDITKKLEHAYKSMQYDPTSISAVDPRQYAKRFRDFVLKIFVEDS >KZM92035 pep chromosome:ASM162521v1:6:29941292:29944302:-1 gene:DCAR_020600 transcript:KZM92035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPLGLLSENNSLPDVESHVTIGNQGLQKIILQKGTSWKTPSLGDQIQVHYSVSLEDGEKFDSSWKNGKPFTFKLGQCEVIKGWDEGIATMKKGERAILTIPPELAYGESGCPPMIPPNSTLVFDIELLSWNTIRDITEDGGILKKVVEEGEGWATPKEFDEVLVKYNARLENGTIVSKSEEGTEFCVSEGYLCPAMSKAVKTMRKGEKAELSVKFSYGCGETEKFSSQIDSGIPSYSNLIIDLELISWKSVTDVMGDKKVLKKIVRDGSGFDRPNDGSQAKVIYIGKLEDGTIFERKGSDEEPFEYTCFEEQINEGLDRAVMTMRRGEKATVTVSSDFLDGYQDAGFVSAETTLIYEVELVDFSKEAPFWKMGTDEKLKACEIKKDEGNASKYVEYDHSFSADEKSQANALQLSCHLNNAACKLKLGEFLEASRLCTKVLELDQLNVKALFRRSQAYLKMSELEKANDDIKRALVIDPDNRDVKLVYKELQDKKKRYVQYEASLFSTMVAKMT >KZM90166 pep chromosome:ASM162521v1:6:11920667:11922418:1 gene:DCAR_022469 transcript:KZM90166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTWALLFLLLLFLFDDHGINLPQVSASNEFIKSRGGHFMLNGDFYYANGFNAYWLMDVASDLSQRNKVSSVFREAVSNGLTLARTWAFSDGGYQALQVSPGVYDERVFQGLDFVIHEAKRHGIKLILSLVNNYEDYGGKNQYVKWARDQGQNITSDDDFFSNPLVKGFYKKHVNTILTRRNSFTGVPYKDDNTIMAWELINEPRCTSDPSGRTLQAWIKEMASYVKSVDKKHLLEVGLEGFYGQSAPEDKQFNLNFKTGTDFVANNQIPGIDFATIHMYPDQWLPGSDEKTQQTFSEKWIGKHIQDAETILQKPLLVTEFGWERSGFDRKTRERLFDTVFSDIYLSARRGGAAAGGMFWQLLTEGLDSYRDGYEIVFSESPSIASLISDQSKQLVQIREKYSRQRHMKKGGQTRDKVEIRQVYSRQRHIRKIMKL >KZM92793 pep chromosome:ASM162521v1:6:36340520:36341482:1 gene:DCAR_019842 transcript:KZM92793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASIKFRDEQKPLIRAKVPLSILGFPFQSGVVAGDSKELSLNLSTFFDSGPSLKLAYRPNDSFNPFSLVFKSGIGHFGSPVSSSVTMSAEFDFIGKRNPRFFVNFKPRIGDFMLKKSQSSDFVARMKVNGAVPDEEKVAEAPAVSNGDFLKNDLFSGEKFSVNAGVVKGYLSGTEVTARTSVPVRSYAEVNFRWGVRLPQVEDVEAKTLLMKSERTAEISLKRFPVLVMNKISIEHVAVVKDKGECDQLKEGTGSDNVAEAYLDVRRQLEAIQVENGKMRRAMEDLSSEIIKDRKEVKFSSRADRKSPEFTDFEISNKS >KZM89695 pep chromosome:ASM162521v1:6:3457302:3458376:-1 gene:DCAR_022942 transcript:KZM89695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPETTPEFLKMRPMEKVPVLETPNGTVFGSNAIARYGFGEKPQGISGNSIFVPIDLRVQEGVVRRVKGGRWPETEGEGQGHSRGGRWLQMTRSGWGCSVAAAEGSKGTTAIGQGRQRRLDTGEM >KZM92492 pep chromosome:ASM162521v1:6:33811570:33821531:-1 gene:DCAR_020143 transcript:KZM92492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKLPTHHLVALKEGGRYCRILAALVPVCADTLFKILCILRDLWEKFYEEDSYFLDENLEEYRAKGLILYPGITMASEVPGTSGEKSKEDVCFYRDNRGLLNISVQTGEEFSPEFLRDRVAAQKCGGCDMDHYQQKGGMNLDNDPHLVYIRKGGSIEALIQRSLSNGSMYDRATESPRSPGNKVNSPFSYQYSSPPHKSGSPKSHQLTFGYNSGVKEGYPLSAKMKFLCSYGGRILPRPNDGKLRYAGGDTRIISIRKSVTYRELMRKTLAICNQPHTIKYQLPGEDLDALISVSSDEDLHLMIDEYHDLQKSSQRLRIFLVSTNDSENSCLLGTRKVQQSDADYQYVIALNGMQDQNLNKSSSTESLSSCWGGNSPKYERDSPATFHPREIKDSGSPVKGNMMLSNLNPQVCSSPRVGTKRLVHSPSSPACLQQMKVLINNSPMKIHEVGTLKDGCEGNTLCASKSRANENIYWHHQDRNMGGSSNKDVTPLIELESFSPLYDQGRDMEAEKLKFKISAPGNVPYQNATDLLSDYSLPQSYHRTISQLELQQQNRPNYYCKEELVLDKDFINLEASDTRKTCNDCDQDLINFMTDDDSLLGQNQNTAERNASKGEQVFGIEPKLSNRHCSTNLRIDLLKSSRDGSCIRKILDDNDQIVTNLSELSLVKDPVFAEVAYSYDDVVNDRQHDAEIKDSTTLVDSVHSKDHDESKMSGLSVIVEDVTDNMPPDMSLTPRVVQFVQDEPSESDTESDPLNLDTKCKDPTGVDVGNSISDTVIAEMEAGIYNLQNCNQADSDMSSFIKSCDVQIIRNSDLEELQELGSGTYGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQERLIKDFWREAHILSKLHHPNVVAFYGVVPDGPEGTLATVTEFMVNGSLRHVLLKKERALDRRKRLMIARDAAFGMEYLHMKNIVHFDLKCDNLLVNLGDPKRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLDGSSSRVSEKVDVYSFGIAMWEMLAGDEPYANMHCGAIIGGIVNNTLRPPIPAHCDAEWRKLMEECWSPDPAVRPSFTEITNRLQVMSMAVQPKQRIKTPTRLLVDEFNPKIPIEKALTPPSSWYTHPSFLSLELDRVFYKGWQAVGYSEQVKEVGDFFTGRLGNIEYVVCRDDNGELHAFHNVCRHHASLIAFESGKKACFTCPYHGWTYGLDGTLLKATRITGIQNFNVKEFGLVPLGVASWGPFILLNLESEHFPHQQSLDNVGTEWLGSASEILSVNGVDSSLSYLCRQEYIIECNWKVFCDNYLDGGYHVPYAHKGLASGLNLESYSTSVFEKVSIQRCYSGQVDSHEDTDRLGSKALYAFIYPNFMINRYGPWMDTNLVLPLGPRKCQVIFDYFLDPNLKDDKEFIESSLKDSENVQDEDTFLCEAVQKGLESPAYSSGRYAPAIEKAMHHFHCLLHKNLVNE >KZM90860 pep chromosome:ASM162521v1:6:19892154:19895487:-1 gene:DCAR_021775 transcript:KZM90860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSSSSSEKEPKQPQDSAVMKFSLPFASKTQNHLIIPSPVKHKSSSVPPTGSKDETFFDTNVWLDSDCDDDFMSVNGEFTPSRGNTPVHHNFSAGAPRVNKTGGADLTPNDRPEPSPTDKKHRLSDLFKESLRNDHNEDEQTSGSATPNASSLQSSERTPNGNFKVKSLRSIQCCIPSLRSSSSFNERKKKMSPVGTIA >KZM91369 pep chromosome:ASM162521v1:6:24532604:24533774:-1 gene:DCAR_021266 transcript:KZM91369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCTDCSDDAMAIVRKSSPPPPATVLTVASNESPTHNRVKACPSCGHQIKWQEKGGIHNLPGLPAGVKFDPSDQELLEHLEAKVRSDARKLHPLIDEFIPTLEGENGICYAHPEKLPGVSKDGFIRHFFHRPSKAYTTGTRKRRKVHADIDGNETRWHKTGKTRAVFIDQGKVIKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGNNDDEKDGELVVSKIFFQTQPRQCSSSSTTSAVNLRRSAPILPKDTVAVNTNTPGNAGGSSLKNNSAVFVDYYNQSLISFKQTTS >KZM92613 pep chromosome:ASM162521v1:6:34855325:34855822:-1 gene:DCAR_020022 transcript:KZM92613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVKLRWMMIIRKWKIFKPQWVVVSIFREMQLTMMILRMISVLSLQSAQFDVNPSLSGHMPINVWKKCVQNMLVVLDILAQYPNILVDDTVEPDENETQKGPDFKGTIRIWGNLAAFLEKIGVEFFKSLQIIDPHTRDYVERKGRACLSCSCSECTGIFGKGRR >KZM89558 pep chromosome:ASM162521v1:6:2052141:2060303:-1 gene:DCAR_023079 transcript:KZM89558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLTGSTFLYNPVIGASRSYTQRRNSCRLVAVSANSSSSNGRPGERNATVLMETTLKEMRDAAPLSGVDSKTTVGGGVEDVYGEDSATEEQSMTPWTVSVASGYSLLRDPHHNKGLAFSEKERDSHYLRGLLPPVVVNQDLQVKKLMNCIRNYQVPLQKYMAMMDYQEHNERLYYKLLIENVEELLPIVYTPTVGEACQKYGSILGRPQGLFISLKEKGKVLEVLKNWPEKKIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPVTIDVGTNNEDLLNDEFYIGLRQRRATGQEYAELLHEFMSAVKQNYGEKVLIQFEDFANHNAFDLLANATEEQSMTPWTVSVASGYSLLRDPHHNKGLAFSEKERDSHYLRGLLPPVVVNQDLQVKKLMNCIRNYQVPLQKYMAMMDYQEHNERLYYKLLIENVEELLPIVYTPTVGEACQKYGSILGRPQGLFISLKEKGKVLEVLKNWPEKKIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPVTIDVGTNNEDLLNDEFYIGLRQRRATGQEYAELLHEFMSAVKQNYGEKVLIQFEDFANHNAFDLLAKYGATHLVFNDDIQGTASVVLAGVMAALKLVGGTLADQKFLFLGAGEAGTGIAELIALEISKQTETPVEETRKNIFLVDSKGLIVKSRMESLQNFKKPWAHEHEPIRELVDXELVDAVKFWKMAIKPTVLIGSSGVGRTFTKEVVETMASLNEKPVIFALSNPTSQSECTAKEAYTWSKGRAIYASGSPFDPIVYNGKTYAPGQANNAYIFPGFGLGLIISGAIRVHDDMLLAASEALAAEVTQENFDKGLIFPPFTNIRKISAHIAARVAAKAYELGLATRLPQPQDLVAYAESCMYSPNYRNYRSGTVWVGLPTFEQQVKT >KZM89480 pep chromosome:ASM162521v1:6:1134050:1135333:1 gene:DCAR_023157 transcript:KZM89480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIRPRMARFCMSPGSVQVRARSSPSTQQQKHRKSYSFIQVDEQTSSECSSKNSTEMNQDAEAEAEKSCGNRVMVVVDSSIEAKAALHWALSHTIQSQDTVILLHVVTRPSLQDRNTDHQVNQRAYKLLSTMKNLCQVHKPGVKVEILVKEGKEKGSTIVQEAKHEKVSLLVLGKRKRSIMWLVQNMWAGKRRRIRSSTCSATDYCVQNAKCMTVAVRKKGRNHGGYLITTKRHKDFWLLA >KZM89913 pep chromosome:ASM162521v1:6:7359622:7360119:1 gene:DCAR_022724 transcript:KZM89913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPWNKPPAGILKINVSGHSDEQIRLSSIGCLMRSTSGHFFSGYYGTRDFADPLYTDLLAIYYGFKLADDEEQRYIEVESDSATAVHLVNNPNQNANYSDILLNIRRLKDMAAPSCILRYVERSSNLMAIRLSSYSIQKRVPITHLNSCPAELFEELAADWYYSA >KZM92627 pep chromosome:ASM162521v1:6:34953469:34954098:-1 gene:DCAR_020008 transcript:KZM92627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQSSDLVEADTIYRQHKNILDLIPVVQNHEFSISIQPAKSLHSFSLFRRGCHERFISFVIMGVLKALEYIHARENRVHGNINLNTVFLDEDCEVKLELPVRRNCEDEEQKADIRMVGGLALCLFNDEYRDKFVRDRLVLGCFYGKTRRKELLTGSAVRDFAKFCLYQSHTPTLREVINHEFFKKFGEFDLYQVELKNLLHKKLGKK >KZM91169 pep chromosome:ASM162521v1:6:22733655:22738893:-1 gene:DCAR_021466 transcript:KZM91169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVRASSEWVSSHASHVTVNLSGIEKVAESMKNSIPKVEWDYEGIHYFDNGPLSVQYLFVLDALNFCFWPVKRRREYHTGPELRKLLNWTKPLPLEDERVRLIHEVGFELERSFEGKASKLVESCGKSAAKLVALVTQFFPGFRDHTVYKGHQVFLYKRAQIFAADLWGAFKGESYGEFHDIGSITMFADYIVPAVLQQLGVLRYSSSLANMIEDGTEICSGSEEEIELRACSIYAVEKMREVLHKKIGKQVLSVELDLWLWSVGVQCPSLQHHRTLSIYY >KZM91585 pep chromosome:ASM162521v1:6:26235711:26237283:-1 gene:DCAR_021050 transcript:KZM91585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMSKQSPKIEQFCVVNSKQSANTLIPLIDLSQPDSKSCLVKACEEFGIFKVINHGIPTQFISKLESKAIEFFSSPMSEKEKAGPPDPVGYGNKSIGPNGDVGWVEYLLLSTNSENDCQRIASVVGETPENFSLCVNNYVKEVKKMACDILEMLADGLMIQQKNVFSELLMDKQSDSVFRLNHYPPCPMHNGKNLIGFGEHTDPQIISILRSNNTSGLQISLEEGSWISVPADQDSFFINVGDSLQVMTNGRFKSVRHRVLANSHKSRVSMIYFGGPPLSEKIAPLPSLLKQGNSNSLYKEFTWFEYKKSAYKSKLADNRLCLFEKIIA >KZM92782 pep chromosome:ASM162521v1:6:36273408:36276165:-1 gene:DCAR_019853 transcript:KZM92782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNSKSHAVNKPGFTLFCHPLSHINKPHCEIGNKIIMPASALDVLLSRKVKYPLTFMITNPFLEKVSHCGVLEFSAEEGSVFLPDWMMRNLSLEQGQLVEIEYTGLSKGRFLKVQPHSSEFVKNLENPKEVMERLLRDFACLTIGDTIMVNHENQEYYIDIVEAMPKDAVSLFETDCELEFEKPLDYHEEPQVIETRDEQADQDVMEAEKDVVFRPFSGVSRRLDGKVTAAAPDSKKHVGTSVVCGLDGECSAAKKKPETKEDKEEKKFQAFTGKNYFSRPPRNFGNSHLVTTVRKCRCVSRDTKCELVRDVKLWTTHFEMLKVCH >KZM90092 pep chromosome:ASM162521v1:6:10750242:10751463:-1 gene:DCAR_022543 transcript:KZM90092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHASNASYPFHYIDITHYGLPTELKKRNELKKICASTLASEFPKDCKFFPADTKDDLHKVLHLGNVGDVLLSMTIPGCLSEYIVEDGVFSVDPLRTANRVIPAWALRLAKAKEDNEASTPCNTSGLYPASGGNLHSFRAIKPCAFLDVLAPPYSHSEGRRCTFYRKYDFSILPGTVRAIMPLFVSIIL >KZM91091 pep chromosome:ASM162521v1:6:22040354:22040617:1 gene:DCAR_021544 transcript:KZM91091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTTTENADVCLSNLIRALNEAKDLKKNELEKLEAMSSDKQKEPVEVEAMLLDQPREPVEVEEMLPNKQAAEESEAVQVEGMLLDQ >KZM91550 pep chromosome:ASM162521v1:6:25976540:25979270:1 gene:DCAR_021085 transcript:KZM91550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNTWNRKKQIVIEQVANKVIYGEDIYAKIQGARDIRRLVRNSSAVRARSSFAAAGVIPALIPMLSEVNFEAREAALVALLNLAARNELNKVSIAASGAIPPLVELLNIQSGNLRELATAAILTLSSALPNKPIIIVSGAAPLLVQILSSASLQGRVDAVTALHNLSTCNEDPALVLDARAVPPLINLLKECKKYSKFAEKTTALLERLSMSAEGKTAIRNVNGGILTLVETVEDGSLVSTEHAVGALLTLCQSCRDTYRELILREGAIPGLLRLTVEGTPEAQDRARTLLDLLRDAPPEKRLASSVMEKIVYDIATRVDGSDKAVETAKKLLHDMVQRSMELSMSNIQLKAASSTPSDVPPS >KZM91288 pep chromosome:ASM162521v1:6:23853839:23862355:1 gene:DCAR_021347 transcript:KZM91288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVHRPDYGKAVEVLRKDLKVFSTFNDDLFKEITLLLTLPNFSLNWQHQLCKNPKSNPDIKTLFVDHSCGQANGARVPSPVTNQLMGSMPKVGGFPPLGAHGPFQASPASITASLGGWMANPSTMPHQGIPGGPISLSAPNNAASMLKRPRTPTTNPAMDYHTADSDHALKRARPFGLMDEGNNLPINILPVTYPSQTHPNTSYSSDDLPKTVLANLNQGSAVRSMDFHPVEQTLLLVGTNIGDIAVWEVGSRDRLAFKNFKVWDLGSCSVILQASLANEYTASVNRVMWSPDGTLLGVAYSKHIVHIYSFHGGDELRNRLEIDAHVGNVSDLAFSHPNKQLCIITCGEDKAIKVWDVATGAKQFTFEGHEAPVYSVCPHHKENIQFIFSTSVDGKIKAWLYDNLGSRVDYDAPGQSCTRMAYSADGTRLFSCGTSKDGESHIVEWNESEGAVKRSYVGLGKRSMGVVQFDTTKNKFLVAGDEFVIKFWDVDNVNLLMTTDADGGLPASPCIRFSKEGILLAVSTSENGVKILGNAEGLRLVRTVDNRALDASRISGNVAKSPVIGAYGASSLSAVPNIGAMERSAPVTAIVPLNGDSRSLPDVNPRITDEMEKSKIWKLAEINEQAQLQSLRLPDILLSVRIARLIYTNSGGAILALACNAVHKLWKWQRNERNMSGKDGSINVFTATALSLRCRISPTAYLPANPRMQRSDSGVVLNIESVASRVLGEVSPC >KZM91036 pep chromosome:ASM162521v1:6:21587521:21590702:1 gene:DCAR_021599 transcript:KZM91036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIYLKDTPPFPAVDSDRSSGDTPDYDVHIITSGGLRIPAHASVLASASPVLESIIDSPRKHRSSENTIRILGVPNDAVSVFIGFLYASRCSEEEMEKFGIHLLALSHVYLVPQLKQRCTKALAQRLTIENVVDVLQLARLCDAPDLYLKSMKLISEKFKAVEHTEGWQFLQNNDPYLELEILHFIDEAELRKKRTKKHREEQGLYLQLSEAMECLEHICTEGCTSVGPHDIEPSKNKGPCSKFSTCEGLQHSIKHFAACKRKVNGGCLRCKRFWQLLKLHSSICEQSDTCKVPLCRQFKLKSQSDKKGEDVTRWKLLAKKVVSAKVISSLSVSKRKREQEPRGMDMHNGDHFSTRSFTI >KZM90969 pep chromosome:ASM162521v1:6:20904211:20905599:1 gene:DCAR_021666 transcript:KZM90969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSNQPLQPHIALFPSAGMGHLTPFLRVAAMLDAHNVNVTLITPQPTLSAAESDTIDSFFAIHPQIKRLDFHVIPYNPPTPDDPFFIQWEATNRSLHLLPPLISSLSPPLTAFFSDFSMATNMIPITDRLGIPNFILLTTSARFLSVMAYSPFLSSPEISNTNMNHITIPGLTSNIPKSSIPPPLLRPGKSLFASTLASNILSFSKVKGILLNSFEFFESETITAFNNDQVQQGFPPVLPIGPISPYGLVQDHHPLPWLNEQPAKSVLYVSFGSRTALSKDQIKALAEGLDRSGHRFLWVLKGGKVDKEDKEELDELLGHSFLERTRNKGIVVKGWVSQEDILQHPAVGGFVSHCGWNSVMEAALHGVPVLAWPLHGDQRINAELVEKSGLGLWEREWGWGGEKLIDGEEIAEKIKVVMEDEVLRGKACNVGKEARRAVQKDGGSEKVLAQVINSLKPKE >KZM92038 pep chromosome:ASM162521v1:6:29996024:30000439:-1 gene:DCAR_020597 transcript:KZM92038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPAFDDPIPNLDTLPIPDDPFLSDDLAFSDHYYDDVLNFNLDDLDLDNLLNSINPSSNSIQPDSFHDDANHLDFSSSDVVVDISGGKSSDNSKCLNNPSSENSNSRDFCQEFGNNDFGGSGPVSSQGSDDCVRSFDNSPASGNRVVDHKRKKEEEEGNSVIRTIKSRRSNEVTDRLKSNEGDDEDEKKKARLIRNRESAHLSRQKKKQYIEELEDKVRAMHSTIQDLNARISYFVAENNTLKQQMNCGSIGPQPMGMYPTPAMAPMGFPWAPYPPYYMKPQGSQVPLVPIPRLKPKVQHDKISKNGESKRKEGRSKTKKVASVSFLGFLFFILLFGGLVPMVSVKHGGLTGMWLGRSDYEENRFGQEHHVGKVLVVNGTDHDSGTRFSGKNLDNGNMKNYDSNFDCHRGHFGAARANMKQTSSEDFAPSGNVSDRLVASLYVPRNDKLVKIDGNLIIHSVLASEKAKLSNEDGQTKNGKNSLAVPSSLAPAISYPGGQNIENLPHLYRSTAGHKVLPSGKDDPNSAPRNGELQQWFLEGLGGPVLRSGMCTEVFQFDVSPTPGSITPANSVRNVSVEEKRNSTHLNKGQNRKILRGLPIPLPGSANNISEESGRSNSKKDKSNRNSTYSPMIVSVLVDPREAGDFGADGMLGTKPLSRIFVVVLLDSVKYVTYSCMLPFKGSNMLGTA >KZM92188 pep chromosome:ASM162521v1:6:31324336:31326641:-1 gene:DCAR_020447 transcript:KZM92188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVLVVCVLVVSLLSLGNFVDGIGANWGTQASHQLPPDKVVRMLRDNGIQKVKLFDADYGALRALRNTGIEVMVGIPNEMLATLASSPKAAEKWVSKNVSAHMGSGGASIRYIAVGNEPFLETYNGSFLQTTFPALRNIQNALTKAGLNRQVRVTCPLNADVYESSTSNPSGGNFRAAIQNYMLQIVKFLNDNGAPFTVNIYPFISLYTDANFPIEYAFFDGNSSPINDNGASYNNMFDANYDTLVWALRKNGFPDMQIIVGEVGWPTDGDRNANSLLAQRFNQGFMSHIAGGKGTPMRNGPIDVYLFSLIDEDEKSVQPGNFERHWGIFTYDGLPKYALNLGTTNSGVLVPARNVQYLERKWCVMKPQASLDDPQVAQSVSYACGLADCTSLGYGTSCGNLDEHGNVSYAFNSYYQKNNQLDTACKFPGLSMITKTDPSVGTCRFGLMIQPYYAGAGRTFGCIQKRWSFLSAAIVLLSTVL >KZM90300 pep chromosome:ASM162521v1:6:13978560:13979818:-1 gene:DCAR_022335 transcript:KZM90300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDYGFVRNLDSLKLVVGKLCEHGFASYAEKLVKSLANEFFPDEHVCDLLVKGWCVDKKLDEAKRLVGEMNRGGFEIGAVAYNAMLDCVCVPRNVETFNVLISNLCKIRKTEDAVSLFHRMGEWGCSPNETTFLVLIKSLYQAARVGEGDEMIDRMKSAGFGDALTKKAYYEFLTILCGIERIDHSMSVFAKMKKDGCKPGTKTYDLLIKKLRAHGKSDKANDLFKEAEGNGILAIPPSNYKVDPRFAKKPTVTKKEKKRETLPEKMARKRRTLKKIRLSFVKKPKKTSTRAY >KZM89535 pep chromosome:ASM162521v1:6:1738940:1740967:1 gene:DCAR_023102 transcript:KZM89535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATDHDEEFLNLTLAIDSNSSFDKKRKGMKTEEVLIEPKEECEGKILNLLQLREDMLKLDHKRKGVAEDGKGLHLIHLLLISATSVDENKLDSSVESLSELFQNVCLSGDSIQRVAAYFADGLVARLLTRKSPFYDMIMKEPTAEEEFLAFTELYKVSPYYQFAHFTANQAIIEAFEKEEGYNNNALHVIDFDVSYGFQWPSLIQSLSDKTTNSHRISLRITAFGRSTEELHETETRLVCFAKGFRNLVFEFQGLLRGSSFSNIKRRNNETLAVNLVFHLNTLTSFSKISDTLKSVHFLNPATVILVEQDGSRNPRSFLARFMESLHYFAAMFDSLDDCLPLDSAERLGIEKYHLGREIKRFINFEKDVTNCMKYERMETWKGRMEGHGFEGVKLSSKSVMQAKLLLKIRSQYCPVQCDGENGGFRVFERDENTAISLGWQDSHPPGQSVAYFTEVFKDYEPADDDYEDYEPEHDEPEDYGSEYDDLEDEKPED >KZM90313 pep chromosome:ASM162521v1:6:14071762:14073090:1 gene:DCAR_022322 transcript:KZM90313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKDEDHPKKKLYQLSIQTKLKLKIILLILLTNLVTIYIFSGPLQPISKYTQNLAIPLWDSTGLLHQLNTTQHELAGSHSQIQDLRRKLATTTSLIESLLVELASLRESGKSTQGGDAEFLDLSDEVKLAVGPHKLPLGFSPRSGSDSVHPPVGGGCLKFPKELAQYMAYDIGGECPADDVFAQRLMLKGCEPLPRRRCHPKSPLGYVEPTRVPESLWTTPHDKSIIWDPYTCKNYKCLVDRQKSPGFYDCKDCFNLKGRERSRWLVDNGGLDYGMNEVLRTKPAGSIRIGLDIGGGTGTFAARMKERNITIITSTMNIDGPFNSFIASRGLIPMHVSVFQRLPFFENTLDIVHSMHILSNWIPDTMLEFTLYDIYRVMRPGGLFWLDHFFCIGSQLNGTYVPMLDRVGFKKLRWNAGQKLDRGAEMNEWYFSALLEKPMT >KZM90761 pep chromosome:ASM162521v1:6:18948888:18949118:1 gene:DCAR_021874 transcript:KZM90761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKGENNTSLKIWEPFELRNIGLVKLLKGRFTGNPIVFLDWVKFTHINNVCFHYLIPKTSSTTYWLLDHKLVFRA >KZM89974 pep chromosome:ASM162521v1:6:9071978:9073041:1 gene:DCAR_022661 transcript:KZM89974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAGSAENVACALALLLESCPRGNDYSNQHRINEVPEQPSFKLQFKQEFQPQFVETEELTKLQFIVLKKLISSLVELNKWNEKNPGLQ >KZM92236 pep chromosome:ASM162521v1:6:31728583:31730767:-1 gene:DCAR_020399 transcript:KZM92236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKVTTICIITGFLGCLAAALSFVAEVKRVKGTEVNDVATSQCIFPSSPAMALGLTAAAALIIAQTVINIATRCLCCRNEQFRFDSTLMTALFCSSISWFTFAAAVLLLLIGAALNYKHGEESAYGDYSCYVVKSGVFPIAAVLSLVTVVLGIVSYIKVESDKNRVDDWTPPSAPGQPGIPLAQLQLPQQPGTAMEQAQLPQ >KZM91451 pep chromosome:ASM162521v1:6:25277298:25278203:-1 gene:DCAR_021184 transcript:KZM91451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPISPEPCPSEASSISATSHQPATSPDKMVMKMKEIDENPPQELNLLEPSESSYLQLNLEAQNNINDVNDMLQNNTSSTLELNLFSPPNQLPLSSLAPSESSNEKKPDHSTTSSSSRSFSCRYCSRKFSTSQALGGHQNAHKQVRKDEKRGQSGSYHDIEPYNLHPSFHHLNYYHPYSTYSHHLPLYGSSVNSFLHRPNLRWSSPSSPYRFGNHNPTWSGPGPYEHHRLRLESLQPQNYASSSSAPPVSLFDMQSTGVRDLLGGVSSSPMKNLESDIGKVASGDDGQGENASGLDLNLKL >KZM89728 pep chromosome:ASM162521v1:6:3863206:3866356:-1 gene:DCAR_022909 transcript:KZM89728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATGMAAVASSSSFYPNQTPTFLSPHPTVSHLFGISYSSSHKSSPGRLQLKVAATAKLVMDQKTQPSSLPTTVDVHLGDRSYPIYIGSGLLDQPHLLQRHVHGKRVLVVTNTKVAPLYLDKVIHALTVGNPNVSVESVILPDGEQYKNMETLMKVYDKAIESRLDRRCTFVALGGGVIGDMCGYAAASFLRGVNFIQIPTTVMAQVDSSVGGKTGVNHRLGKNLIGAFYQPQSVLIDTDTLNTLPERELASGFAEVIKYGLIRDAEFFAWQERNMDALMARDANALAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGCGYGEWLHGEAVAAGTVMAVDMSYRLGWIDKSVVERANKILKQAKLPTCPPENVTVDMFKSVMAVDKKVADGLLRLILLKGPLGSCVFTGDYDRKALDETLHAFCKS >KZM90728 pep chromosome:ASM162521v1:6:18620618:18627399:1 gene:DCAR_021907 transcript:KZM90728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYGGGGTSFARALPPHQNVPAGHVSFRILCHASRIGGVIGKSGSIIKQLQHETSSRIRVDESPAPPNSGDRVIIITASSSITRKVFFSDEEIEVSAAQEAVVRVFEKILDVAAESNGGEMEALGLVSCRLLAEQSHAGSVIGKGGKVVEKIRKDTGSKIRVLTSEKMPACACANDEMIEIEGDFIAVKKALIAVSRRLQDSPPVGRAHEVDPQEILADGRMNVPPVRSSVPQVFGSSFNHTPGRPFSSDADQHLNTNLTMPQQEVTFRILCSNERVGVIIGRQGTNVRALQNESGASINVASPVPDCKERLITITATENAESRCSPAQNAVVLVFNKSTEAGGYAKGIDFGGKRSHFAAQVVVSPNQVGCLLGKGGAIIAEMRRATGAYIRIIGGDEVPRCASENDQVVQITGDLVSVQEALYHVTGRLRDNQFSNKVQNGAGMRKSGHELTALTRRMGNLGVSYNLDGAPQTGTELNSRNELDNGKELNSVNGVELGSDNRAVVVTNTTIEIAVPESVMDSVYGENGSNLTRLKQASISGAKVIVHEPRPGTSDRIVVISGNPNETQAAQSLLQAFILSGSS >KZM90596 pep chromosome:ASM162521v1:6:17191480:17207158:-1 gene:DCAR_022039 transcript:KZM90596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEANYNHLKLDNFTSFKSEFGNLTSTPNSAYHTPTRTPAYSQTFQPTLPNTQCIPVGNIFSQLNRDVTPLSNISNLGENTITGMHRTAVFSSNGHSPNMNSPNMHSKERSSCLTSLRATGSAGSAGSTPTETDKIGAKDRSTLLYSNTTPLARNLNSPVVPSKERSSCITSLPGSIPKRNPLSDISNTPEQRRGVRKGAQIMKPTEHSSIASVMEDADNLFADNDKRDANIFPKHAFDLFDLADASKFLTDQRFLIVYTDVVGVITNKYVERVFSKDDNSKSHIRFVITDGSCDMRVTFFNDLAEELEKQLKKTTEEQVVIVIAFAKVQQHEGSICLKNYPATRFYLNPEHYSVNILKKRFRLATVCNDSSGCIGIVFPDEEIQRITGKNVFDIENDESQVGDAISFPPVLKEFEKKEFIVTLIIGESNVESKSNVYVAHSIDEPPEMLGDHVPGEVTSLTSKQDSMAVDLNETLNRATDSPATEKSTNKQRPRKRTEHVAFNTDEIVTMTKRKNIKKDSNMYLTDVIGVMEKPKPLAKIRNRHGILQDQIKFRITDGSKYVKVTFWDEFAVRFSKALKPNLEWPIIIVIGSVRVQEWKDEIGIANASATNFYLNCNHRKVAEIRKRFQICIFASDETGAIDIQLEDREVRTLIGKTVFSIIDEGHTDQTIPLLLKNLENKDCTIKLLISKENITEGYPIYNAEDIMEGFSVHDDSEDESIPHPIEQMQTEPSSSSYHLDTLSGISNTPNETN >KZM91241 pep chromosome:ASM162521v1:6:23495149:23497021:1 gene:DCAR_021394 transcript:KZM91241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFITPHDFGACFHYQEEKAIERGILTLLQRATLVQTFGQKNHVCLKEGSITVPPYAPPQKMQSHLIPPKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVYVPEKDVPNLDTLLTSIPSEVILKKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHDRSIFLKPGEKILNWTAGPVGDLKPW >KZM92732 pep chromosome:ASM162521v1:6:35882441:35885152:1 gene:DCAR_019903 transcript:KZM92732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHQSLTSPGSPTFPRFSRRRNSLISRRKIRKPLKSSSSLYPCVCLHINTEKVAEIAQNKVLVGAFVSAVIGQVSKPFTSVLLYGNKFEFDFSWITRSGGFPSAHSSAVVATATSLGLERGFSDSIFGLAVVYAGIVMYDAQGVRREVGNQAKVINNILSKAQGNPCPSNDAHNSTETSSSSSRLERPDSLYLQEPSSKKPLNSTSLLNRIDDRTDQTMSTIVEVKEGSGDFVYGRAPLKESVGHTEIEVLAGAILGFLVSLIIHTT >KZM89577 pep chromosome:ASM162521v1:6:2248508:2251096:-1 gene:DCAR_023060 transcript:KZM89577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAFMGEQENLEAINDFTEMHDDGSRQPGSLGETHTRSVSLDDRIIRNKAFKPSNYQFVEEEETGSAPNQQDTTMSPLGRDNEATDSASQAGKFSKLLLGEDMSGGGKGVSSALALSNAITNLAASIFGEIARLEPMQPERKARWRKEMDWYLSVTDHIVEFVPTQQNNNGVNMEVMTTRQRSDLLVNVPALRKLDAMLIGCLDNFKDQNEFTYVSKNADKKNKRNEDKWWVPTPQVPPDGLSDITKKWLHFQKESVNQVLKASMAINAQIITEMEIPDNYIESLPKKGKTSLGDSVYKNITTDHFDPDIFLTTMDLSTEHKILDLKNRIEASKVIWRRKLNAKDGKSSWSSGVSSEKRGLFEERLETILLILKYRFPGIPQSQLDISKIQYNGDVGHAVLESYSRILESLAFKVISRIEDVLHADALVQNPSNGKQSSFRESAIKSSRKFLNAREEVERLGLDTAHTSMSLSDFMGYNYDQSTPDQKQDLQDDLCKDGLGSKKRYSYIERLEKSGLRSPTPRD >KZM92467 pep chromosome:ASM162521v1:6:33620169:33621070:1 gene:DCAR_020168 transcript:KZM92467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDSTYMASKQLVLVVVVAILGFSSTCTATTYMVGDNSGWDISTDLGSWTAGKQFHVGDVLAFQYSSTHSVSKVKKENYDSCNTSNVLESSSNGNTSFTLTQPGDTYFICGNRLHCLGGMKLHVLTQSDNSPAPSPALAPESSASAGGGGTALPQPSSKSNKPSKVGPSSAAAMFHHVTLLDSLFIGLVVSTLIF >KZM92296 pep chromosome:ASM162521v1:6:32233854:32238373:1 gene:DCAR_020339 transcript:KZM92296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVKITITFSVLTSSDCSSSKDISSQFKELRNVACGVLAVWAITTASPVDAAANPRLPPLSTDPERCERAFVGNTIGQANGVYDKAIDLRFCDYTNEKNNLKGKTLSAALMSGAKFDGADMTEVVMSKAYAVGASFKGVDFSNAVLDRVNFGKANLQGVSFRNTVLSGSTFDDAQLEDAIFEDTIIGYIDIQKLCLNKTISNDGRDALGCAKGIVGVNYGMMADNLPTPELVSKFLQESTIISHIRIFNTDPDSLKAFANTGIAVSVTIPNDQISSFTDLEFVQKWLVTSIMPYSLDTNIVRILVGNEVISSANRLMITNLVPAMQTIYTALVNESLDKSIKVSTPHSLGILETSSPPSAAKFRPGYDNHVIKPLLSFLRATDSPFLINPYPFLGCSNQTLDYALFRPNSQDRSMKETLDCSIPILLQCTILGF >KZM90076 pep chromosome:ASM162521v1:6:10342387:10346016:1 gene:DCAR_022559 transcript:KZM90076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDFAPSFSLGLDFDLDSEPQFADPVPDPVAAPPPLNPVNISPKFDDDDDYFQSPGPDPETRPSLKRLRRGATLPKRQVNWCSVDDEIEDFSSDDFSDGLPSTQHKSVCRSSKLSLPKQGVLSTQSSKKRKDVTNTPSLSNVDRNNKNLMFPKLIVSPLRKFQLIDSDSDFDDLVGDGCANVTDNKHGSSSKSRPHENVSREEEIRSGVQASMSKKDDLWSDFCLDKKIPIPTPAFDEVCEEYFKSSKDTNNYSTHKASNQSNINRNHEQQVNLGAPLPPAHSYFFHDDIRIQNLVRERLPNFFPLMTANIKGSDQCNASVIDYMGQFSHGESSKQASGKKNAVPNSTKSRKQSKKTTVEDVSQGSGSWVNPKSCTKIPKNAGKRRVHACGQSTQSAGQSTQSVGHWYTDSSGKRVYVNKSGKELSGRMAYMNYKKENKGFTKKGGSRKKSGAKKK >KZM90857 pep chromosome:ASM162521v1:6:19865503:19865694:-1 gene:DCAR_021778 transcript:KZM90857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSYKNNQFSSSQIALSKIKPPNKGLFLTYEDARGDGHRSLHREKQKLQSALFFLILDLDKI >KZM91388 pep chromosome:ASM162521v1:6:24717369:24717866:1 gene:DCAR_021247 transcript:KZM91388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSTSEEIYSSPTPAILIKIFSKRRTWVILFITVYSLLLFSSWNILKSVLSWYESTVSSSSNSYGWPAIYASVALGVIFGLLSMVAALAVAVPATLVTWITVLVMLTFFGKPRKVLVGEGKKLTAEIGGFVGKVLIKEGNVVAAVCAVLGYFVLVRKKNRDDDN >KZM91738 pep chromosome:ASM162521v1:6:27458759:27459243:-1 gene:DCAR_020897 transcript:KZM91738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAIDEGCQRGSGHKVIAKKGIKLSMSGDGNFAISRYPFKRTEGSKICYLLKIPKYKSPSRLTQCKMPLQNNQNAVLNKLRIVCTHKILTWDYNRKKKMVTAEQHTWPRGRKLLDSQS >KZM89655 pep chromosome:ASM162521v1:6:2915202:2916574:-1 gene:DCAR_022982 transcript:KZM89655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEGEEKKSRVLIIGATGNLGFELAKASLQSSHPTFALVRNLSSSSHSSKSNKLHYLSNAGATLLEGSLQDQDSLVEAVKLVDVVICAVPSKQALHQKLLLQVISNSGSVKRFIPSEFGLDPYKTQVSDLDHNFYSSKAEIRQLVEASSIPYTVISCNFFMSYLLPSLVQPDLKAPPRDKYTVFGSGDVKGVFMKEADVASFTISAMDDPRTLNKVLYLRPPGNMYSLNELVQLWESKLQKTLEKIYIPEEELLKKIKGRDLYSGPTSTLEEKICSGI >KZM91070 pep chromosome:ASM162521v1:6:21798056:21798572:-1 gene:DCAR_021565 transcript:KZM91070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTKAVEKAEAVAKIDLFEDDDEFEEFEIGQEFDEKKEVGSDVTQQWEDDWDDDDVSDDFSLQLRRELVNNSTEKK >KZM91289 pep chromosome:ASM162521v1:6:23863308:23866239:1 gene:DCAR_021346 transcript:KZM91289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMDMQSGGVKFRVLKVSDVMPADKRRLVEVPYTATLAHTMNALVANRVVAVPVAAPPGKWIGAGGSMILEADKQTGVVRKHYIGMVTMLDILAHIAGGGTDNGGGDGIDELEQKMAVPVSSLIGQCLESLSLWTLNPSTRVIEILMELGRSFKLCILDCMEVFSKGIHRALVPMDSQMENIAGVELVESASCYQMITQMDLLKFLKENNSSELQAIMSRSINELGAMSGHVFGVTERTRVIDAIKSMRAASLLAVPVVEASKTSDEDHKLLVDGKGRKLVGTFSATDLRGCPISQLRSWLPVSVMEFTEKLSSNQPHPAAHAPEDLSRSGARELVTCYMESPLWEAVDKALNKHVHRVWVVDAMGSLAGLVTLTDIIRVIRSSLLQES >KZM91688 pep chromosome:ASM162521v1:6:27112577:27112828:-1 gene:DCAR_020947 transcript:KZM91688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIISTNPPSNEEDKNDDDEDELNIIVSSQLHLKRDPNDAPGTLTKEAVLRRIRHRKRVNKFRALLGSFFPSAKNTTAASRD >KZM90736 pep chromosome:ASM162521v1:6:18728288:18728605:-1 gene:DCAR_021899 transcript:KZM90736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIAPNCFTTGKVSKETDVYSFGIVTLEIASGRKPINPKAKQGEIILVEWIWDLYGKGELLAAADPKLSADFDEKQLRCLMVVGLWCAHPDHKFRPSIAMHFKC >KZM91909 pep chromosome:ASM162521v1:6:28855909:28856247:1 gene:DCAR_020726 transcript:KZM91909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNGPRLDLKLHLSPPRRNPHVATPSRSATVSPTSPPSSCVSSDEDGKYLSSPEATSMVLVGCPRCLMYVMLSEEDPKCPKCKSTVLLDVLQDHNPAAATTAAAAAAAKK >KZM91786 pep chromosome:ASM162521v1:6:27828760:27829263:-1 gene:DCAR_020849 transcript:KZM91786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSVVCPIRGDTKEKETNIPVVPSESGTEETGIQGHTNTSPEDGIIIHKHEDKSSDNIATICASNQKALVLSSSKQQCTSSNNDDLDLNDDNDGFRTPTSLDHRIPVMKQCPPPPRKRSVSSRKRKIPSPLLMRTTLQLLDVSQELIESMFPPREDKSHKIKKARN >KZM92520 pep chromosome:ASM162521v1:6:34055200:34060729:-1 gene:DCAR_020115 transcript:KZM92520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPKDLCKMLSQLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMEVNKKDLRNMEACGWDDEFWEEIGLGDLMEAHHAKIGRSVAFPGHALGSGLTVDAANELDLLAGTPVGTSLIDAHAGGVGVMESVPEADSKSEDIDADTICQRMVLVCGTSTCHMAVSRSKLFIAGVWGPYWSAMVPEYWLSEGGQSATGALLDHIIENHVASPHLANRAASQSISPFQLLNKILESLMHDRGSPFLAALTSDLHVLPDFHGNRSPVADPKAKGMICGLTLDSSEKHLALLYLATVQGIAYGTRHIVEHCNAQGHKINVLLACGGLSKNTLYIQEHADIIGYPIILPRESESVLLGAAILGSVAAKKYSSLSEAMKALNAAGQVIHPSTDPRVKKYHDGKYRIYRELYQQQLSHRAIMAEALS >KZM91847 pep chromosome:ASM162521v1:6:28335021:28336800:1 gene:DCAR_020788 transcript:KZM91847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLGRTELCRFSGAKIYPGRGIRFVRADSQVFLFVNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDAAQEAVKKRRRATKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVMAKTQKTSGKGNVPKGAAPRGSKLGGGGKR >KZM90956 pep chromosome:ASM162521v1:6:20813056:20826871:-1 gene:DCAR_021679 transcript:KZM90956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTDSTHLISLLTRPFRNINLNESSDSPSRDSLSIVQSYLSNSSSFPGAPATLPGGAIDCAACRSLIIESESGSGKGGLLVCDGCELGFHVDCAGMRGREVMFEEWLCGQCSRRGVVRCDGLRTRANKRCRRNKLVEGNVSDLPRGDGEGEDSRFSRKNNPCDNTFGGNPFGVPVPSSRLLHCRSRFRFQASSHLVHRSVKMGNEELSQPKDPQIHSLAEFDLRPSSTSLKGSSNKRPLPRIKKKMCLESLREFICKSCGGVLEEGWSVEFKNSRSKDESDVVYCAPDGKKFESMLEVARYIGLTPNADTTECEIRSHELDVSLTGSSERKKRKTARLAPTNGDTRVKDVINDFDKDEVSGIENVDIAGKSVNCTKVTDANFEKTSGWTSKEYNDGYPVQYEDFFIISAGKIDFRPSYHNANEIWPIGYKSCWHDKVTGSLFICEVLDGGDSGPVFSVKRCSCSQVPIPGGSTILYKSNLAHLADQHEENNDSVFSLEEESDFNFLMMMLSDPPPQEQDFLFHLGSTIDLNDQTLKVPSVETNAGDGESENIVSDKTVLHDVIGEISVEERSSSLAWLRVCGKLIDACRNAFTQTGTFEYFCRHAAGATCSSKWEIKNQNFDWNADRLIKFIGLFESVDVPSVIRDHKAFDVSSALLSKWLGEDRFGLNVQFVKELLEQNLGAIACHEYVSLKERESYSSSLTVGSGFLLAKTKDGVDLTSEKVDDLTERCQTSNIPAANDRVIDDIIIPEGTPVSSRLPPSLLSDALQVQEFLIRFHGVLELRDLFSFEELEKELISLGLDCFDHEIIQGVSEKSKGIPSHRTVDTESDPAVSDKDPHAKITLKMKEHQDQLPSIAFNRCNGFALTKIHCSLIRVLIGELQSKVAAVIDPEFDISELKSKRGRKKDADSWISEMRNKMNIPPLNELTWPELLRRYILSVSSLGEKLNSADITTLESRNVFRCLQGDGGVFCGSPTGPVGIRADAAFLAEAIQNIYGSYIREHDVIAIDDVKSQETILERVDVEDAELPGWAKALEPVRKLPTNVGARIRRCINEALDKSPPEWAKKVLEHSISKSVYRGNASGPTKKAVISVLEDLQSGGLQQKSGKEQKKKKRYISISDILTKQCRKVLRSLAAHDDSKNLRDMLEKEFTNGEDEGLLGSLAIVSRPFDLRTINLKLAAGAYGGSHEAFLEDVKEFWANVLIVSKDKPDLIRLVEILSQTFDSLYQKEVLTQFQKLEKWRQSGRVSLEALSELDDKAPWEGGGACKVCGIDDDDANVLLCDKCNAEYHQYCLDPPLAKIPEENWYCPSCVVGTSTTQSASGTRSQFLHYREYQGEIGSHFEFIAHLASDMEEREYWELELYQRSHLLTYLCDELLNSALVRQHIDQCTETLTKLMQNLRSTSAELKTLKHKEASFEAKVAECDRSVKVSTPDLASFEDLQGLSSSLATGSANVSSDDPIVLLNNSQSENSELASVRTRILQLNNLTAGLYSQFMKLSTRRKFLGRDSSGRSYWVLADSGTQPSPISSSNGEMQWSGQIISASFSETVSPPFPCELGDNKGGPEWVSYKSDAEIEKILHHLQDNNSRERDLKESILQWKSFMTQGNQVSESENLKGPQMTSSLGDCIYVASSNSLCTKATSFLEEKYAPCVALESSELCSRRMKKANGIAQHQMGRCDCLEPIWPSRLHCFTCHRTFLTDVDLDGHNDGKCSKALPASDKLDCHESSKGKSMISGLGQVGYRHEMRNVSALRSGLSQPSQNYISHLNDKSVCPFDYEDICSKFVMNESNKELVESIGLIGSNGTPTLLPSTSHLSDSVAMLISQEAVVGEAPKLPDDVKLTCLQDERTFNANTSNNFPNNSLQHTVKHQDKKVSLHCGAPLCKVLDCCIVPEPSLRPLVGRAGYILQQLKINLLDMDAALPEEAIKPSRSKLERRWAWRSFVKSAERIYEMAQATIALEDMIKSEYLKKTWRYWSSLTMAAKISTLSSLALRIYSLDAAINYNKSSSSDDFQKSLKQEKKGTGDLTCISHV >KZM90264 pep chromosome:ASM162521v1:6:13228501:13249846:1 gene:DCAR_022371 transcript:KZM90264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNEIQRDAEIDKQFLAAPVKSTVDKFQLLPEFLKVRGLVKQHLDSFNYFVRTGIKNIVRANKEIRSTVDKNVYLRYKSVRIGEPSVVVNSVMDQLNPHRCRLSDITYAAPIYVDIEYVTEANGKKSIAMKNDVIIGKMPIMLRSCCCLLYGKDEEELARLGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDKKGCVQASVMSSTEARKSKTVIVMEKEKIYLSLNQFEKKVPIMAVMKAMGMESDQEVVQMLGRDPRYGALLLPSIEECALQHVYTQQEALEFLEGKLAKSRFYSASVEKEGRAFVILRDVFVANIPVRENNFRLKCMYVAVMMRRMMEAIINKDAMDDKDYVGNKRLELSGQLLSLLFEDLFKTLNEDAVKEVDAVLNKPSRSRFDFSTYITKGRITVGLERALSTGNWDVKRFRMHRKGMTQVVARLSFIGTLGMMTRIQPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDQEEGPLISLCYCLGVVDLELLSAEELHAPNSYLIILNGLILGKHRRPKQFAQAMRKLRRAGKIGEFISIFVNEKQHCLYIASDGGRVCRPLVIADKGVSRIKEHHMKELKDGMRNFDSFLREGLIEYLDVNEENNALIALYEGEATIDTTHIEIEPFTILGVCAGLIPFPHHNQSPRNTYQLCRMDTLIYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKKLSAVHQKYANNMSDRIIRPQRDGRNAERMQILDDDGLAAPGEIIRPHDVYIYKQSPSDTKNTVNEPVPDSFYKDSWQTYKGPEGETAVVDRVALSTDRNANLSITFMIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADKVETISETLVKHGFSYTGKDFIYSGITGMPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPKVMMTRQPTEGRSRNGGLRVGEMERDCLIAYGASMLIYERLMISSDPFEVQVCRKCGLLGYYNYKLKAAICSTCKDGEKISTLKLPYACKLLFQELQSMNIVPRLQLTEA >KZM90394 pep chromosome:ASM162521v1:6:15028675:15029035:1 gene:DCAR_022241 transcript:KZM90394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM90037 pep chromosome:ASM162521v1:6:9827300:9829791:-1 gene:DCAR_022598 transcript:KZM90037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAQGGVAAAEMVTEQDIAHAVETLLREANPNFTAYTSLHDVVQQLESKLGFDLSHKTDFIRSHIQYLLRPPPQLLHPQPQKDHFALQQHPIFHPATAPPRGSFGVRPDSSEVSFRAPQSQQLQFETYAAAAGTPTPTTTTTSTSSVVPRSPAPQPLKKSAQKVKRKRGGPGGLNKLCGVSPLLQPIVGHPTLPRTEIVKQLWIYIRKNNLQDPSNKRKIVCNDELRLVFETDSTDMFKMNKLLAKHIIPLEPTKPTNRVSKKSKACAELESKDDSKCTDVVPIVIISEELASFFGTDEREMSQAEALRQIWEYIKVNQLEDPSNAMVILCDEKLQELLKCESISALGIPEMLTRYHLCKKA >KZM92723 pep chromosome:ASM162521v1:6:35832740:35833711:1 gene:DCAR_019912 transcript:KZM92723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCISSKFITKSLSFREDHSNRKRGINGLTVLDELFTSDDGNSGSNDHQFFALVSKLRTGDFVPKKSLESNDKETINTWELMAGLEEQAEQKEDEKLSSVAQEIMNSKGVELDKLKRSKSCEIINLATAFGLNDDQENKGVGRSRSFHTVEEYDAMMERIMLSVHNDENDQYMNNDVAKFQETDQSISSEPDIKEVIPSVSSQSINTDGGQETDTYDAGLKRKALSKGLSLQIPSAAEFRKTGSLKDWLQNGGNAGSPGEYVTPKFGNYNKPKSKLSEEYRDDSIFNPELVAAFEEFLERLEVEENSVLEQIEGNRNEITATE >KZM91205 pep chromosome:ASM162521v1:6:23089815:23091203:-1 gene:DCAR_021430 transcript:KZM91205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSVNKKGYDRMVKIDSTDEELEYSFRISSVTIDNANDLHHSHEDIVSPISVDNHTSSSSPPPAVPSWDKSLATSPIAKSPWSSHVEEPQVQEDSYKYTGLMGSLVREEGHIYSLAATGDLLYTGSDSKNIRVWKNQKEFSGFKSNSGLVKAIIIAGEKIFTGHQDGKIRLWRVSSKNPSIHKRVGSLPTFKAVFKSSMNPSSYRRSRNVIWLKHFDAISCLSLNEDKTLLYSASWDRTIKVWRVSNSKCLESVNAHEDAVNSVVAGFDGLVFTGSADGTVKVWRRELQGKGTKHFFSQTLLKQECAVTALAVDPLASYLYCGSSDGVVNFWERASFLSHGGVLRGHKLAVLCLASSSNLIFSGSADTNICVWIRDGEGIHTCLSVLSGHTGPVKCLAVEDDREQQFGGDRRWVVYSGSLDKSVKIWRVSANMAPIQNPQQKDQPPFVPSVQHFSRPCQKN >KZM92436 pep chromosome:ASM162521v1:6:33375280:33375870:1 gene:DCAR_020199 transcript:KZM92436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSYYLVPFFLLLAFYSEAASSPIPANIGFIKSSCNATAYPDLCISSLSLYATTIQNSPHQMAVMALEVSLNRTKLAQTFMHLLTKFKGLTAKQQEAIADCKDEVEDSLDRVNRSSIEMKSLGQAGGQEFIWHMSNVETWISAALTDDTTCMDGFSDLATEGKIKESVRAQITSVAHYTSNALALINSFAAMQKH >KZM92715 pep chromosome:ASM162521v1:6:35708522:35710569:1 gene:DCAR_019920 transcript:KZM92715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSARKVFDEMSERSVVSWTALISGYCQNGDSDEALGVFGEMHGKGVRANQFTYGSVLRACTSTVGLDVGKQIQGCIEKSRFVGNLFVQSALVDFHSKCGKMEDARHVFDEMVDRDVVSWNAMIGGYVVQGFRNNAFLMFQSMLREGMTPDSFSLGSVLKAFGGDNDLLMVMKIHGIVLKLGFQLFNILTGSLIDAYVKCGSVLSANNIYKSMPRVDTVACTALITGYARDGHNCIGAVDLFNNLRRMSLAIDNIILCSMLNISANLASLVLGRQIHAIAVKKYEYNHDMVMDNALIDMYSKSGEINDANQIFYEMEKKNVISWTSLIAGYGKHGDADTSLKLYKKMEHNGLMPNGITFLSLLFACSHNGLTNEGLECFSNMVGKYNILPKAEHYSCMVDLFARGGRLEEAYALICKMKIKPNASLWGSILGACSIHGDTTLGKVAAKHLFDLEPTNSANYVVLASTYAAAGLWGNAWKTRKVMEDTGLKKNAGCSYLQSTRKSAALLPAG >KZM91567 pep chromosome:ASM162521v1:6:26100670:26103722:-1 gene:DCAR_021068 transcript:KZM91567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPPNTNLFAAIDMGTNSFKLLVIRADPTTGRFLTVDRLKHPLIHPLSAATTAASLRQFKQIIDSKHIPPSNYRIVATSAVRESPDQALLLNHLHQTLGLQVQVLTGQEEALLIYRGIRQFYPVNSKSVLVIDIGGGSTEFVIAESDCVHFSTSLKLGHVTLSQRFGSVDDVASLRDYVRGVVRESGLVEVVKRYGFDVVIGSSGTIKAIEKAVFCRYGSEVSDVFAEFDEFRREWRFSKEELRGVVGSLLGGEVEGVRDVFFKRRSGFIVAGAVLLEEIFGLLGIEEMEVSGYALGEGVVAEMLAEVFEGYDLNANVRWRSVMQLATRFNNKERMKCAALCAAIAKEIFEGLKKLTEVGDASLNDKDLEYLEAACLLHTIGQFTGKKGYHKRSYQIIMNGGQLHGYNTEEIKLIALLSRHHRKKFPKRGHNSLEGFTNEAAEKFRVLCIILRLSALITQSMPINVEDIELSHCHDGLKLEIKNQSLQSADMIDAKVQTKKELEHFKVVLKQKLTVEVCTNTSEPFG >KZM89894 pep chromosome:ASM162521v1:6:7041514:7041768:1 gene:DCAR_022743 transcript:KZM89894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSFLKSPWKDHELTVHSDCRELVLKVLEIGIGESKWSFLGLHRIMPVNLKIKFIPNDLNFEADCLAKKGSNSKNFNSFWVNG >KZM90221 pep chromosome:ASM162521v1:6:12748137:12756670:-1 gene:DCAR_022414 transcript:KZM90221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKDRNGKKTSNAPPMVGGIVEKGFSENTPLSPSSFPRATVLPFPVARHRSHGPHWAPKLSDSIGNLNDEDENEDDDDNGEMMAQFAEPVQRKEKKSVDFSRWRELINDNAGMISKPVQRKEQELMTNAVMSSNKESNKLNAKPKELNGVNEANKIDENVSRHVDFENAVQVEGGVFGNDYKVQDVEMEIADFSKKNVVVEQEEDVSDMNIDDVSTDAHNELFLSEPTNHRNPYKSALDRNDILESVLKPGRVDKYLGFEQEPKTLGSQIDAENRARLQEMSADEIADAQDELKKRLSPSVLENLRRRGQDKSKKQKNSTSGVRIGGQVGNLRGENLNDKSTFPQSETSLNATETDLVMTQADKAMPVNDNSGSPWDAWSKRVESVRELRFSLEGNVIDMSGIKSGYSAGNVSERDLLRTEGDPAAAGYTIKEAIALIRSVVPGQRVLALHLLASVLNRASSCILQNQVGDTWKCSNNRLADWGAIWAFILGPEPELAFSLRRMSLDDNHDSVVLAGAKVIQSVLCCDINESFFDISEKTVTYQNGVCTAPVFRSRPRIEDSFLKGGLWKYNTKPSDLLPFDDNTMHHEAEGEKTIQDDIVVAGQDLAAGLVRMGIISRIRYLLETDPSSVLEECVISILIAIARHSPACSDAIINCERLVHTVVKRFTMNDQMEINSFKIKAVLAQYEKNCLEFTKNGTFQKMTWHLYRYTSSIDHWVKSGRENCKKSSDLMVEQLRFWKVCIQYGYCVSYLTDLLPSLCIWLDVSTLEKMIASNILDEFTSIANEAYLVLEVLTRRLPNFYSQVESEEIDTDDKETWCWSHVGPIVDLALKWIAFKSDSNLSKCFEWKNASRSDSVVKSQTVKSLLWVISSVMHMLSSLLLRVIPEDTSRLQGGQLPWLPEFVPKIGLHVIKHEFLSFTGMTNKDYGKYSSRCGSFLEYLCYLRHESEPEMLFASVVCLRGSVQVVHSIDKLIRLANMHTTSSQGFTFSSEDKILAAGILNSSSAELEMMMITFMDLIASEWQFMQSIEMFGRGGPAPGVGIGWGASGGGFWSKTVLLAQMDANVVIHLLELLPVVHAKDPPNSEEMRFIMQKINCALNICLIAGPNDRFLLDKLLGYLFQIPVLKCLDLCVREFKEIRWQYEEQDYQLFSDCLTSHFKNRWLSLKKKSGAESKKSRLGHESPKNKKFNLDTIHEDCHTSNINGHGHNSNFLVVEWAHQRLPLPSHWFLSSLSNIIDRSAKLSSVPDSLDCKNNAALLEVAKGGLFFLLGIESVSNFLSSNYHTSVQHVPLSWKLHSLSACLYDGMGVLEDNSRDLFEILQELYGQHLDKSRLLHKGGMDNNLELLRFHSDVHESYSTFIETLTEQFAAVSYGDMIYGRQVTMYLHRSVESPVRLAAWNSLSNARVLELLPPIEKCIAEAEGYLEPAEDNDKILEAYVKSWTSGALDRAVGRGSVAFTLVLHHLASFIFVNPIGDRVTVRNKLVKSLLRDYSGKKQHQSMMMDLIRYSKPSTDQQLGKELPVPQMYEFDKRFDLLKQACEGSISLLSEVDKLRSSFGK >KZM91127 pep chromosome:ASM162521v1:6:22432708:22434430:1 gene:DCAR_021508 transcript:KZM91127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLESLATVSPAKKWLGFVTAIWIQAIAGNNYTFSNYSGALKSLMVLTQLQLNNLSVAKDVGKAFGILSGLASDRFPTSVILMIGAVEGLIGYGVQWLVVSQHISPLPYWQMSIFLCLGGNSTTWMNTAVLVTCMRNFKNNQGPVSGILKGYLGLSTAIFTDLSTALFSSSPSSFLLSLAIIPVIICVAAALFLQEVPPSSIEKKQQPLIFKILNILAFTVAFYLLAFDVTGKHGPNISSLFAIGLLVLLVAPLIVPAYFLLAQPSFTSDVEGTISEPLIIQNEAKNVSIMEDMAKVKEKPLIGEDHTIFEALKTFDFWVLFLSFLCGVGTGMCVINNLGQMGGALGYADVSIFVSLTSIWGFFGRIISGMASEHCIRKYSTPRPFWNAASQILMVTGYICMALAFPGSLYMGSIVVGICYGVRLAVTVPVASELFGLKHFGLMYNVLILNLPLGSFLFSGLLAGYVYDSEATESSQGGNTCSGSHCYRLVFVVMAFACIVGFVLDPLRYLL >KZM91645 pep chromosome:ASM162521v1:6:26783584:26785936:1 gene:DCAR_020990 transcript:KZM91645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAEEKIKGPPPPPAGPAIPRFAYLISGSQGDLEKLWRTLHTLYHPLNHYVLHLDLESPAEERLELASRVEKEPVFAEVGNVMMITKANMVTYRGPTMVANTLHACAILLKRTKDWDWFINLSASDYPLVTQDDLLSTFIDLRRDLNFIEHTSRLGWKDGAAFARKFKEDDPVLDKIDKELLGRKKGSFTPGGWCSGSPPCSKVGNPKKLKPGPGAQRLRGLVDKLMYSAMSDQKQCH >KZM92053 pep chromosome:ASM162521v1:6:30115369:30119687:1 gene:DCAR_020582 transcript:KZM92053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLHRMFAGAGGALGHPPADAPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLQRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELSPEKLAIANVGRQDAKKHLEEHVSNLMSSNIIQTLGTMLDTVVF >KZM92774 pep chromosome:ASM162521v1:6:36188155:36202441:-1 gene:DCAR_019861 transcript:KZM92774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRQGVKSKKLNSRAANSPSSSTTSSSKQFLETSVEGLSSPASSTSRSKPHFYYSETTPIDVQRSKENVTVTVRFRPLSQREIKLGEEIAWYADGETIVRSENNSSIAYAYDKVFGPTTTTRQVYDVAAQHVINGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDTFSIIQETPSREYLLRVSYLEIYNEVVNDLLNPAGQNLKIREDAQGTFVEGVKEEVVLSPAHALSLIAAGEEGNLEMSCAGILHLETIESSPCGEHREGESVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLGEGKASHVPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQHEIRTLKEELEQLKRGIVTIPQLKRTEGDDIVILKQKLEDDQVKLQSRLEQEEDAKAALLSRIQRLTKLILVSTKTSQASRFSHRPGPRRRHSFGEEELAYLPLRRRDLNLDDQNIDTYTSVDGNFETADETPKEEKKTRKNGLLNWLKPRANDNLLQKRDNGSRTFMSTSEKSSGTKSMSTPSTPKAESGNLLMESRLSQKAENTPSSDLLSDARHGRETPEESLQHETPLTSIHTLDQIDLLREQQKILSGEVAFHSSVLKRLSDEALRYPKKEYIQEEMAKLQGEIRKKNEQIALLEQQIAGSVISSMDNLDQLELSESVADHVADLVTRLNEKSFELEVKVADNRVIQEQLSQKIDECEKLQQTVLSLQGQLSEARELKNLSQMIDNSIRFPETEKVNMENSLMNKTAVSKDVYEGSFLKAQMEIGELTVKVTELTESREKLEIQNQQLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELAAQKNSQTQRKTFAANRNGRRDVNIKRHDQGIVNPEVKRELALLREREHSYEAALAEKDQREAELQRRVEESKQKEAYLENELANMWVLVAKLKKSQGPVNASELTRESSIVEDDYKIWNGTK >KZM91188 pep chromosome:ASM162521v1:6:22870757:22877773:1 gene:DCAR_021447 transcript:KZM91188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWELNGCCKDDQKAFLATVGIYTLVILALWRTFLLTPFKLITVFLHEASHAIACKLTCGEVVGMQVHANEGGVTQTRGGAYWLILPAGYLGSSFWGMVFILASTNLMTARIAAGCLAAALLVVLFVAKNWTLRGLCIGFIIFLAIIWVLQEKTTIHILRYIILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEVCPCPCNGVGWGIIWIRDVGSELRECSDIRSASTYSGYRL >KZM91839 pep chromosome:ASM162521v1:6:28256015:28261984:-1 gene:DCAR_020796 transcript:KZM91839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAICFLTPPHQSHLQLPKHLHFQTKHNSNATATFTNPKKHNSLKTIAKISAEPALAVAPPPQNLPPPPLLKASPSSLQYEAGMVGAVPDHMVTPGPLNAMEYLTNIFSSKVYDVAYETPLELASKLSSRLGVNFWLKREDLQPVFSFKLRGAYNMMAKLPEEQLEKGVICSSAGNHAQGVALAAQKLGCNAVIAMPVTTPEIKWKSVERLGATVVLVGDSYDEAQAYAKKRAKEEGRTFVAPFDDPDVITGQGTTGMEIVRQMKGPIHAIFVPVGGGGLIAGIAAFVKRVSPEVKIIGVEPSDANAMALSLHHGKRVILDEVGGFADGVAVKVVGEETFRLCRELLDGVVLVSRDAICASIKDMFEENRSILEPAGALSLAGEAYCKYYNLKDANVVAIASGANMNFDSVGVHTKSDLDAMVERMESSQLRTINLTNNDLVKDHLRHLMGARSDVQNELLCRFVFPERPGALMKFLDAFSPSWNITLFHYRGQGEAGANVLVGFQIPSSEMEEFKNHANRLGFSYMEETSNYAFQLLMR >KZM92528 pep chromosome:ASM162521v1:6:34117242:34119049:1 gene:DCAR_020107 transcript:KZM92528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKNVTIRTRKFMTNRLLSRKQFAELKQKLADLYSVKDTQAISVFKFRTHFGGGKSTGFGLIYDSVESAKKYEPKYRLIRNGLDTKIEKSRKQLKERKNRAKKIRGVKKTKAGDAAKGGKKK >KZM89449 pep chromosome:ASM162521v1:6:813556:820282:1 gene:DCAR_023188 transcript:KZM89449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVDNVLAVTKESVKTITYESLNNIVRFINGVSALLLALIPGKTSILEGMQGWELRPTFRGPRLPRWMENGVSSFNQFIHELSVDSDTGSSVDYSSGEEYTDDDDDDTPSTPLSQSSRASRTSSFGRHERHWGNWVLWFRYILALMLLPAKFVMGILLYFSSASPFRGSTVSTTLEELQQLDSDSNKKALKDHIMQRATDRRRGVIEDIHLGTEIFIEAVFDIVHKATHCLLSPLETSRSILSWFSSNSSNDIPDDASNVSIPTATLAETDPTLRERTANLRDSLNTDGRTCRDVITELGYPYEAIRVVTADGYVLLLERIPRRDARKVVYLQHGVFDSSMGWVSNGVVGSPAFAAFDQGYDVFLGNFRGLVSREHVNKNISSRQYWRYSINEHGMEDIPALVEKIHEVKMGELKSTQVKPEEEQTSSEQPYKMCAICHSLGGAAILMYVVTRKIEEKPHRLSRLILLSPAGFHDDSTFIFKILEYLFRWMAPILEPIVPGFYIPTRFFRMLLNKLARDLHNYPAVGGLVQTLMSYVAGGDSSNWVGVLGLPHYNMNDMPGVSFHVALHLAQILHSKKFQMFDYGSAAANMEVYGSTEPLDVGEYYGFIDIPVDLVAGRKDQVIRPTMVKKHYKRMKNAGVDVTFNEFEYAHLDFTFSHREELLAYVMSRLLLVAPGPRQKAMRLKKKRLEIDGE >KZM92310 pep chromosome:ASM162521v1:6:32319538:32325279:1 gene:DCAR_020325 transcript:KZM92310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESKMEEMSLPSLFEQARRIHLLASESSADKETVKKGCQALIQCEEMVSKLGLFSSNESKEDISTTNLKYLLVPYYLAELTEKTEGDRLQVLQASIAKLKEFISFCEAMELVPEEELEESARGSNSHVDRRAKKIARFKRQRAAESKLLEIKESKERRMRSTRASALSAPVEAEEEDVQDYDGEEEREAWLITISLDICKALDLMEMLKKEEEMLAAIKEKQLQDGNEGISQAILDERAKTAEAWHRGAAARAQYTKPAAPITCATFAQDVLEGRAQVSQAHEHKHQQPLIFGPASLVGGRVTSERERMAAQVFQPGHRLPTMSIEEAGLTEMNMMNDWQERTAKMIAEANSSWHNDGPKPRPGEEEDEDDDAAQDKARAWDDWKDENPRGAGNSKLTPCG >KZM89435 pep chromosome:ASM162521v1:6:723854:724705:1 gene:DCAR_023202 transcript:KZM89435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKQHIPEHLWCNIFILLPVKCLLLLRCVCKRWNQIISDHSFIESHLVHNKTASNTNKYLLLWNRRDPWPPLAYDLPYLTTLVDSKTYSQVLELHAHDMYSMFGEDSAEHGLEIYGICDGLVCLSLEVLKADSPIVLWNPVVRKGKKLPPIETGKHPYFDTCYLCFGYDDGDYKVISVVPYLQTLCHVHVYSLSTDQWKKSRIGNNNIGSPFYRRYRDMPFPARLVNGCAYFLEYPKTFGNDQVVAVIDLSHEIIRQIDVPHINDDHLFVFVKLGEHENRS >KZM91042 pep chromosome:ASM162521v1:6:21628244:21630133:1 gene:DCAR_021593 transcript:KZM91042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTRRILSSLKLANSLYSTRFFTTHSLSYQVAHLSPSISSHFAIFYPPICLFTHQNVFFSSKPEPILELVVSEDWSKKLEQELFDTKPELSHGTVIYVLKRLDKDPFKAFKFFIWVVDKNGFEPSSAMYSLLLQILASNKLMKEFWIVVTKMKEHGFFIDGDTYKTILLGFKSLKMSNEVAAFTHFYNGMIQDNAVDSVVKEVVDVVLGLEWGDEVEKRLLDMKVSVSDNFVVRVLKELRQSPLKAVRVFKWFTEGCGWKHNEVTYNAIVRVLGRYDSVNEFWDMVQEMKSEGYDIDIDTYVKISRRFQKIKMLEDAVKLYEHMMDGLYKPSMNDCNLLLRSISAISNPDMELVFRVAKKYEEAGHALSKSFYDGIHRSLTSVGRFEEAEEIMEAMRKGGHEPDNITYSQLIYGLGKAGRLEEACKVIDVMEANGCIPDLKTWTILIQGHCAAGAVDKALFYFAKMMENDFDADADLLDILVKGFLNQNKTAGAYKLLVELVKNIHLRPWQATFKTLIDKLLGERKIEEALDLLRLMKKHNYPPYPEPFNNYISKYGTVENALDFFKALSSKDYPAVSAYQLMFQSLFQEGRYSEAKDLLYKCPLHIRKHKAICSFFGSLETSTTAST >KZM91095 pep chromosome:ASM162521v1:6:22074776:22079565:1 gene:DCAR_021540 transcript:KZM91095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVTCLTPTTKTTHKHKHKRERRPNPYASSPSPQVVKQVLPPGPNKNAKIGDKYMLSRELGRGEFGTTYLCTDKETRENLACKSILKKKLRTSVDVEDVRREVAIMSSLPEHPNIVKLKATYEDNEAVHLVMELCEGGELFDRIVARGHYSERAAAGIAKTVAEVVRMCHECGVIHRDLKPENFLFANKKENSPLKAIDFGLSVFFKPGDTFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISENAKNLVRQMLDPDPKKRLTAKQVLEHPWIQNAKKASNVPLGDIVRTRLKQFSLMNRFKKKALRVIAEHLTIEEVEVIRDMFALMDTDSDGKVTYEELKAGLRKVGSQLAEPEIKLLMDVADVDGNGVLNYGEFVAVTIHLQRMENDEHFRKAFTFFDKNGNGYIELDELRDALADESGETDDDVLHEIMQDVDTDKDGKISYDEFVAMMKAGTDWRKASRQYSRERFQSLSVNLMKDGSLHLQDTVTGQTYVV >KZM90854 pep chromosome:ASM162521v1:6:19833586:19834634:1 gene:DCAR_021781 transcript:KZM90854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFDPSVSSHYKIVRLPLFEEENLFLEFDIFCSETGVWVRRAVQVNESNSLCGLSLVKNSAYLDGVLYRLLSFNSRKLVSIDLNNIDSVIVRVIDLPVVHKLAVPGCIGVSRRNIYYAYREPQSFNIWHLNEAADWVLNYELSTRKFEAYIVRKFNVQSDETVWLSPYAMHPTDDIVFIGTGDMIFALYLETSTLRLAYSTDSLTCVPGLFHPIFVYISCPVPLNNFRPVTTQKVLWSCKGEVLVTDGDVCSDNPEQCGILPEMDKFVNGVSPEFWVMPTIRSIGLKHVDVTGVGHLLHPSYKGPKF >KZM90056 pep chromosome:ASM162521v1:6:10133993:10134160:-1 gene:DCAR_022579 transcript:KZM90056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTYAEYYATVSEFPNDELNIPIYRSRLALLFYSYGMAKQIHGYESDSDNTAKVP >KZM91936 pep chromosome:ASM162521v1:6:29134451:29135692:1 gene:DCAR_020699 transcript:KZM91936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEVPSFFLCPISLEIMKDPVILSTGITYDRMSIENWIFLRKHNNCPVTKQELTDVELTPNHTLRRLLQAWCTLNASYGIQRIPTPRPPVSTSQISKLIKDSKSPKLQMRCLKRLKSIASENETNKRLIEAAGAANFLASIIRNAKRSSDSEVLEEEFELTKPEDEALSILYQLQLSETSLKSLVGENSEFIESMIHFMKSENYESRTYAVLLLKSIFSVVEQAEMMSFRGELLKEIVHVLKDEISPKASKAALQVLIYLCPLGRNRIKASEAGAVQVIVNFLISHPSDKRFSEMALTVLELLCQCAEGRSDLLKHGAGLAVVSKRILRISRFASDRGVRIIHSIAKYSGSNSSVLQEMLQLGVVSKLCLVLQVDCGSKTKEKATEILKMHSRAWRNSPCLSTAVPSFYPA >KZM91214 pep chromosome:ASM162521v1:6:23235889:23238773:1 gene:DCAR_021421 transcript:KZM91214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRIDGRVFGENPSWLEVPVEFWSKRLPAGSDAPVIPEPILAPGDETPKYGAEDQRIERIEEMREEKTDPNQAGSTANKRKDSKAVVQPPAEASKQPTAANKVQRTAEVVNTTSHGGIFRKFKPPAQSSSRQCLGVEPIPGQKYTSLKNLQDAGKKKQKELLKKN >KZM91344 pep chromosome:ASM162521v1:6:24347360:24352429:1 gene:DCAR_021291 transcript:KZM91344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNLEKMASIDAQLRLLAPRKVSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQDCYELSAEYEGSTDPQKLEELGRMLTSLDAGDSIVVAKCFSHMLNLANLAEEVQIAYRRRIKLKKGDLADEASATTESDIEETFKRLVGELKKTPEEVFESLKNQTVDLVLTAHPTQSVRRSLLQKHARIRDCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTAPTPQDEMRAGMSYFQETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSQIEDLMFELSMWRCSDELCARADELHRSSKRDAKHYIEFWKQIPPNEPYRVILSDVRDKLYHTRERSRQILSNGVSDIPEEATFTNVEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEEGRQEWLLSELSGKRPLFGHDLPKTEEIADVLDTFHVISELPSDSFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAAMTRLFSIDWYKDRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIQVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTVHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALLDEMAVIATKEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPAKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIIEKDIRNLQMLKEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPFGERLRAIFEESKSFLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVLQAYTLKRIRDPSYDVKVKPHLSREITESSKKPAAELLKLNPMSEYAPGLEDTLILTMKGIAAGMQNTG >KZM91014 pep chromosome:ASM162521v1:6:21387754:21389700:1 gene:DCAR_021621 transcript:KZM91014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAAKARMTTTAPPSLSSLKSLNPSSPFSLPFPPSPVSLRRHSLHITNVLSHPTSPSPIPTHTSRFPPSEPRKGSDILVEALEREGVNHVFAYPGGASMEIHQALTRSNAIKNILPRHEQGGVFAAEGYARATGKPGVCIATSGPGATNLVSGLADALLDSIPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLNVEDIPRIVKEAFFLASSGRPGPVLIDIPKDVQQQLVVPDWDQPMRLNGYVSRLPKTPDFGLLEQIVRLIGESKKPVLYVGGGCLNSSEELKRFVELTGIPVASTLMGLGAFPGSDDLSLRMLGMHGTVYANYAVDQSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKIPHVSICADVKLALNGLNKIFESKEGSVKGEFSQWREELAAQKMNYPLSFKTFGEAIPPQYAIQVLDELTNGSAIISTGVGQHQMWAAQFYKYNRPRQWLTSSGLGAMGFGLPAAIGAAVGRPDCVVVDIDGDGSFIMNVQELATIRVEQLPVKIMLLNNQHLGMVVQWEDRFYKSNRAHTFLGDPENESEIFPDMLKFAEACNIEAARVTKKGELRAAIQKMLDYPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRSSH >KZM92256 pep chromosome:ASM162521v1:6:31862608:31864205:-1 gene:DCAR_020379 transcript:KZM92256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGQVIEENEIIEKLVEDVPLVEDVKEDDHHDSDSDSESDDKEDATQGGSESSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNDK >KZM90616 pep chromosome:ASM162521v1:6:17485337:17489326:-1 gene:DCAR_022019 transcript:KZM90616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISAATCSPSLILFFPSAPLHKTPISKLSTKTLIIKSAAAPTSISTATASIPSKKVLVPIGFGTEEMEAVILVDVMRRAGAEVTVASVEKELEIEASGGTRLVADTSISTCADQIFDLVALPGGMPGSARLRDCKILQEITSKQAEEKRLYGAICAAPAVTLLPWGLLRRKHSTCHPAFMDKLPTFWTVKSDIHISKELTTSRGPGTSFEFSIALVDQLFGESVAKDIGKLLLMKTADKSSRKQEFNDVSWSVDHIPRVLIPVANGTQEIELVIIVDILRRANVDVVIASVGRSKKILASRGTKIVADKLIKDAAESIYDLIILPGGIGGAERLQKSKLLKKLLKEQDSAGRIYGAMCSSTAILHGQGLLKEKQATTHLSPTSNPNEKAIDASEVIIDGKLITSNGLATAIDFALAIVDKLFGHERARSVAEGLVFGYPKR >KZM91305 pep chromosome:ASM162521v1:6:23964771:23972519:1 gene:DCAR_021330 transcript:KZM91305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEQVKIKPQSRSKMGFTGDTAASFKSIPTRQSFTQAITLGLIVTSALIIWKALMCITGSESPVVVVLSESMEPAFQRGDILFLSMSEEPIRAGEIVVFKVKGRPIPIVHRVIKVHERQDGGEECVVTKGDNNREDDRVLYPPGQLWLRRNDIMGRAAGFLPYVGWVTIIMTEKPVIKYILIGALGFLVITSKGFRENTI >KZM91779 pep chromosome:ASM162521v1:6:27797701:27800356:-1 gene:DCAR_020856 transcript:KZM91779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGPGAPVIFNAVIEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFVPRTLCEDNDPIDVLVIMQEPVLPGCFLRAKAIGVMPMIDQGETDDKIIAVCADDPEYKDYNDIKDLPPHRLAEIRRFFEDYKKNENKKVAVDDFLPATKAYEAIQHSMNLYADYVVESLRR >KZM91796 pep chromosome:ASM162521v1:6:27870706:27875143:-1 gene:DCAR_020839 transcript:KZM91796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTFFGDLAVEFANSVKAIKDDTITVIIASAKVNEHEGIICLNNYPATRFYLNAKHPSVKKLKLRMSDPAYSANHLEPLPEQTPPIFSVEDIKKLPKEFIEKKVRCQITVKKVDEKSNWYDNVCTTCQTEVTIVEGRYRCILCSRNVPFPDKRFRIATLCNDTTGIIAIIFPDDEIQRIIGKNAFEVEDELGDENKFPLLLKNFEKKDYVITLSINERNVNKTSNIYRATDISDPVEVLGNHSPPNLAAVSLTEEPLVTPAKAPVLNPAKAPIVENTNNGTAPDIQCSITTDTSPPTANSTNKVRNRVKKNDVRCEMEDDVPLGKFKILKTDKMATKKYDSFSALTKTKTHWKVPTRVMNLWRGYRKTGEPFKGFNLLLLDHKRARIHAFVPYNLAEEFEPIIKIGDLYLLENFNIQHYKVDEKFRCLRMDFQIVFNEETELNRLEENVVNVEDCCFDFFDIAELPSLSKQNTYLTDVVGIMEEHDPIRRIKNCNGVIQSQMIFEITDGRSSVRVTLWDEFARNFAESLKEAQDFPVILILGCARVTTWSEQVILTNVGATNFYINCNHRSVNELRKLLAQKKISTKSVCSANKREMKYYKLDNIPTLGVEHAESQIFCKVKMTAFQQVKSWFQPTCTSCYSQTVKVEGQDTCTVCQRVVLYADDMFELYAIASDETGSMMIILQEREVKKLIGKTVSDITDEGNKDDSFPTILNTIIGKEYTLKVRVQMDNILKKSEFYLVTDIMPGILTEGYQQPQLSIPHPIESTDPQASKYTIILISTVFFLKHLICESMYLYSIFTFLQLQPSSSACTNATISTINLNS >KZM92298 pep chromosome:ASM162521v1:6:32245770:32249372:-1 gene:DCAR_020337 transcript:KZM92298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRSGASLIVFASVFLGALFALSVAKEEAAKLGTVIGIDLGTTYSCVGVYKNGKVEIIANDQGNRITPSWVAFTEGERLIGEAAKNQAAINAERTIFDVKRLIGRKYDDKEVQRDKKLVPYNIVNKDGKPYIQVKLKGGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHKKDISKDNKALGKLRREAERAKRALSSQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKHILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQTFTTYQDQQTVVSIQVFEGERSLTKDCRLLGKFDLTGIAPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNDKGRLSQEEIERMVREAEEFADEDKKVKEKIDSRNSLETYIYNMKNQINDKDKLADKLETEEKEKIDTAVKEALEWLDDNQSAEKEDYDEKLKEVEAVCNPIITAVYQRSGGSPGAGADSAEDDDEHDEL >KZM91943 pep chromosome:ASM162521v1:6:29214881:29215777:-1 gene:DCAR_020692 transcript:KZM91943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLFTSSFSADDENELNPSHVIEMTPTSGDNLESFFKDVEAIKLQLQSLDAHYTDLKSCHEQSKTLHNAQAVKNLRHKMDSHVSSSLKIAKQVKSNLQALEKSNAASRKLPNSGPGTSSDRTRTSVISGLSKKLQTSMSSFSSLRETIASEYRDTVKRRYFTVTGENADETVLEDLISTGESETFLQKAIQVQGRGQVMDTISEIQERHDAVRTIEKNLMELHQVFLDMSVLVESQGEQLDNIESHVERASSYVRGGTRQLEVAKKTQRNTRKWTCYAIILLLIIIAAIVLSIRPWK >KZM89781 pep chromosome:ASM162521v1:6:4721771:4742151:1 gene:DCAR_022856 transcript:KZM89781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFKRFFYRKPPDGLLEISDRVFVFDCCFTADIMDDDEYKKYLGGIVTQLQEYYTDASFMAFNFREGNKQSHLASILSKYDMTVMDYPRQYETCPLLTMEMVHHFLRSCESWILLGQRNVLLMHCERGGWPILAFMLAALLIYRKQYTGEQKTLEMIYKQAPRELLQLMSPVDPSPSQLRYLQYISRRNLGSEWPPLDRALTMDCIILRFIPNMDGEGGCRPIFRIYGPDPLMVADPTPKVLFSTPKRSKVVRQYKQADCQLVKIDINCHVQGDVVLECISLDSDLERERLMFRIMFNTAFIRSNILMLNLDEVDLSWNDKDCFPKDFRAEVIFSEMDSRSSPVMLHVPDIDGDGGLPVEAFAKVKEIFSSVDWVDTSKDVALNVLQKITSANLLQEKLDSSLLKGTADNQFNIFSRINSCDSLWDDQNLAEDAEKPSPKVLEDDITSSSPDLNLAFKKSESRGLHIITQRILQTSLSSPTVHSSSQQASPMSLSRYHSAPFLGITALLHDHDEPKAKEVYLSAKTSPVASGSSSPEMSTPVHFSVISNLESSRSPFSLLPSEATTIRNDKTELNSPPAVSELDLCPSSIKSSSDMDTSSSLSISSLTPLVETATLSKFSATAPQPPHRPDITPDPEPLHTSVIQHSGTSVLGRVRSSSVPPHPPPSSVSEKSSITSSKSLSSLATIAQPSADSSATRRNLMRPPAPIPTSKVVLSQSKDDLSPSPPSPPLPPVSLHVDSQSTDNLSPIPPSPPLPPVSHHVNSSDSSPLPVKRLEDIACPRPPPLPPLSSKPAGPIMSTAPLPPPAPSFASKGPPNKISLDVPLAPVPPPPSTTANGLLKAGTTPVPPAPVPPPPSPTANGLLKASTAPVPPAPVPPPPSPTGNGPGGTASSNGNIPPIPGPLLNAKGRLNLRPGSKNQVQSSKKSNLKPYHWLKLTRAMQGSLWAETQKSDEASKAAEFDMSELESLFSVVPNSDTGSRGKSNRRASAAKPEKVSLIDFRRAYNCEIMLSKVKIPLPDMMNAVLALDDSALDIDQVENLIKFCPTKEEMEVLKNYRGDKENLGKCEQFFLELMKVPRVEAKLRVFAFKIQFCSQVRNSMKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTDTRARNNKLTLMHYLCKVLAEKLPELLDFHKDLVTLEISTKIQLKYLAEEMQAISKGLEKIVQELTASEGDGPVSETFCQILKEFLGFAEAEVRSLASLYSVVGKNADALALYFGEDPARCPFEQVVSTLRNFVRMFVRAHEENCKQIELEKKKSQKEEEKEKEKSSTLRKRPEMETMKTKECAEHLIKT >KZM91219 pep chromosome:ASM162521v1:6:23272773:23273078:1 gene:DCAR_021416 transcript:KZM91219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSWLVLALMLFIGTASSGLLVDAQSICNVSLDSLMACKPAVTQPHPTAPSKPCCAAISHADMKCLCSYKNNTMLPAALGIDPELAVQLPAKCRIRNAPKC >KZM92691 pep chromosome:ASM162521v1:6:35455211:35461004:-1 gene:DCAR_019944 transcript:KZM92691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSSQRLVAFAILYQAYSSQKTSSNPFISLLVDAAGDHDAEKFERAFIILLLESSSSPSNKEVLKQSAVDYIRSFDSALHPGAKPKIGAGDRDETVTELVEKLSLQGLGPQWIRPHPPRLPVQYDELVWLNPENHHELLWDNGMCADTSKGAAVRDLIAKALKGPLAPAQQERVLFELGNDPKLVYHSGLTPKKLPELVENNPLIAVEVLIKLIHSAEIAEYLVVLVSMDMSLHSMEVVNRLTTAVELPTEFVHMYINNCIKSCENIKLLAGHLESA >KZM89447 pep chromosome:ASM162521v1:6:793508:793918:1 gene:DCAR_023190 transcript:KZM89447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQHEDVHDVLRLLNTLHSNNFQIGTTHRELTRIPVVQNGMAAYLARYGLENMKLFAETPGSFGDKQFMLDRDMGLLFDSHPPANFGLGEVIDGDVLQVPSGNVSIEQIQKEVVPFYRRLLLLPGRLCTAVAKWLF >KZM91325 pep chromosome:ASM162521v1:6:24156945:24161703:1 gene:DCAR_021310 transcript:KZM91325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDQKNKLTNFAAANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLXXXXXTLSWKECCSPAGFNDRHAGDTGNGHYFRTCFLIKVLLLTSQVRNEKARRYLSTMRKKQPVPLSHKFPNADPLALRLLQRLLAFDPKDRPTAEEALADPYFKRLAKADREPSCQPISKMEFEFERRRVTKEDIRELIFREILEYHPQLLQDYVNGTERTNYLYPSAVDQFKKQFAHLEEGSGKTGPVIPLERKHASLPRSTILHSNIMPPKEQQSNAYITKDRQLKEYSCNNHSKFGDDIPGSLSKTLQAAAPQRIPQAKPGRVVGTLPPYENGNLINEAYDPRSLIKSTAVPSQVIPPAYCYRRNSTVKQERSVETERSLSSQTNQVPQSSMATKGRSEIAINIDTNPFYMTRAGINKIDHADERTSSSPNLLQPKSQYGRISKDSSAHRNVGAVQVGMTRTY >KZM90268 pep chromosome:ASM162521v1:6:13687953:13689461:1 gene:DCAR_022367 transcript:KZM90268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCKSDSSISISNPQPRYPRIKTQKNQENPIKIQENPIKIQEFSYTDLELATNNFSPQKLLGRGSHGLVYKAILKNGLQVAVKRPSRGTINSRSKLSNSFSQSRNIVNEVENEIEILSQIHSPRLVNLLGFTNDDKDRLLVVEFMSNGTLYDYLHSNCRPPNWGKRIRLALQTAKALETLHCSNPPVIHRDIKSANVLIDNNVNARLGDFGLALRCHVDDIYRLRSTPPAGTMGYLDPGYVTPDNLSTKSDVFSFGILLLEIISGRKAIDVGYSPPSIVDWAIPLIRKEKLLCVFDPRIEPPRDPLVRRQLTVIAAKCVRSCRDRRPTMSEVVECLSRLSKLVPLHSWNGFSNPCLMVENVGLPVRSSPSNARLKNGEEERLDGLDAVFARSLRYPRRVYSDMGFRNNLMDLMAGTDGASGSQRGNDGFEPKVKPKTKVSSYRSESGRVIGKRSNKSHVNEDEKESVFDLIKFQSFRETATDMHHRVHDRIAGSSRFQG >KZM91342 pep chromosome:ASM162521v1:6:24323494:24324216:-1 gene:DCAR_021293 transcript:KZM91342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRRPVSVPIPDVEKADKLELYRALESSLGTPFSSQPFLPNPSPLIIVISGPSGVGKDAVIKRLRQVRQNLHFVVTATSRDMRPGEIDGKDYFFVSKDEFLRMVERNELLEYALVYGDYKGIPKQQIRDYMAQGCDIVLRVDIQGAETLRRILGNSAVFVFLVAESESALVQRLIGRKTETKETLLVRIATAREEVKHVKRFDYVVVNAEGELENSVKLVESIIDAEKAKVQQRRVNI >KZM90921 pep chromosome:ASM162521v1:6:20427628:20429388:1 gene:DCAR_021714 transcript:KZM90921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSTSSFKLYFQRILQIPNSIRISGFQSLLDNHPSFSGNSKFKEDKLSFLAFVKKFMLALFAIASVLTNMDHPVKLAAKLTVVLLSSKPSPSSVYFIIDQCRQQYMQQNPLRYKIKPLYAKQVKVQDYKLLFVAKIELHDQKFTLIGILGNWWVIQMAPCLEALSVLRNKA >KZM89366 pep chromosome:ASM162521v1:6:105883:106756:-1 gene:DCAR_023271 transcript:KZM89366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPASDALSASIGPGKLNIKGKLRTLHQFEDRVTDDPCPYLLAIWTTGNSMELGMRKNGKGETVKRTILIPWQTATRGSFPVQGSYFQANEVFADHESSEHPIDVPKAWICNLPKRVCIVE >KZM92576 pep chromosome:ASM162521v1:6:34510006:34511548:-1 gene:DCAR_020059 transcript:KZM92576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPGGRGQVEVAVSGVLDQSLQILSPHSPGQSSNRRFQFGEIVRRAANVASVAAKHAYAAAGASQTSDYEMLPLKCCLMSISLPWDHIAYDLLFKVRYKS >KZM89876 pep chromosome:ASM162521v1:6:6643364:6643537:-1 gene:DCAR_022761 transcript:KZM89876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHEVDRAAVWTVENNPGARSTSSRPGSSGSRRGPATPARSEFSQNYFSGYMGRPTT >KZM91149 pep chromosome:ASM162521v1:6:22593032:22595163:1 gene:DCAR_021486 transcript:KZM91149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAFLLPGGFIFLSFFFVSADAATKFYQFNIQVNNVSRLCHAKPIVTVNGRFPGPTVYAREGDSVQINVTNHAQYNISIHWHGLKQFRNGWADGPAYITQCPIQSGSSYMYSFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKPGTPYPFPRPASEETLVFGEWWHADVEEVVKQGNSLGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEQGKTYLLRIINAALNDELFFAIAGHNLTVVEIDAVYTKPFMTSAILIAPGQTTNVLVHANQMPGRYFMAVRPFIDAPIPIDNKTATSIFQYKGIPNSVLPTLPHLPSPNDTTFALSYNRKLKSLNTPTFPAIVPLKVDRNLFFTVGLGMNPCPSCVNGTRLTASLNNITFVMPEIGLLEAHYFNTKGVFRTDFPDKPPTPFNYTGAPLTANLGTTKGTRLVKLAFNSTVELVIQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPNKDPAKYNLIDPPERNTVGVPTGGWTAIRFTANNPGVWFFHCHLELHTGWGLKTAFVVENGKQADQTVLPPPKDLPQC >KZM92214 pep chromosome:ASM162521v1:6:31596158:31597273:1 gene:DCAR_020421 transcript:KZM92214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGWMKGAFNGGKKEIQEQLQQKLNFILSTAPMWDRLELKGKYFLGEFLDFKGKQEDIQALRNIKRSKVNRLIVQKTSMFGLDVEIGSKIKQEDWLLELRGDYCYKAISAIPYN >KZM92317 pep chromosome:ASM162521v1:6:32406270:32408927:-1 gene:DCAR_020318 transcript:KZM92317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIGSLKPLNIIRSAAENEITDKDEPLSPPALLFQSPQLNCCVMATMGSKTKWDADRCKMGLENTLAKHPRFSSLLVMDEGNNYTKMRWRKTKVNVDNHIFVPKIDLPKTDDPTEAADRYVEDYISDLHKTKMDYSKPLWEFHILNIQTSGASGVVVLKIHHSLGDGISLMSSLLACTRQSSDPKRLPTLPTSTRARSHSSSKTKGVFCTFWTMLLMVFNTFVDVLLLTATALFLKDSDTPIKGSPESGSAKKCMVHKLINLNDVKLVKTAMNTTINDVVFGVTEAGLSRYLNGRYASKQVEKAGYQMASKIRDFLPKGLRVRAALYVNLRASAGIEAFAKIMENETKLESGNKFGYVLLPFEIAIRDDPLDYIRRAKATLDRKKLSFEAVCSFAIGLFIHKLFGTKAVAPLMHNIISKTTVSFSNVVGPQEEISLYGHPLAYVAPTVYGAPQALTMHWQSCGDKMVLILTVQSDLIPDPHKLCTDMEVSLNLIKDTLIKRGLITAN >KZM91372 pep chromosome:ASM162521v1:6:24591465:24592058:1 gene:DCAR_021263 transcript:KZM91372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYAIALSLLLATVLLATLKVEAREMQYFSHFNIPQDEQSALKPVPEINNYNRRNFENHEIDIPTEEFIANIQKDSAESNSFPHGFDKNSYITAPQGVHASENKEFELTAEEQKFFNEKDRMNGYTNMKVRENVNAKEAQGMSDTRTLENGKYYYNPMSDIRASGKYNSAYKSKYDEFRHLEDFEFNPQNPEGYFP >KZM89587 pep chromosome:ASM162521v1:6:2324146:2326896:1 gene:DCAR_023050 transcript:KZM89587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLRKIHAQLIKTGLVKDTIAASRVLSFCATSPAGDLNYAHLVFDFMPRPNIFSWNTIIRAFSQASTPRTAISLFLDMLLTSSIEPDRLTYPSLFKAYAQLGLAHDALDLLSKMQEEKIRPTEFTLVSLLNACSSLGSLRQGEWIHDYIKKNNIEMNVIVVTAIIDMYCKCGSIVMALEVFHTSPVKGLSSWNSIIFGLAINGHEEEAIEFFSRLESSKFMPDDVSFIGVLTACNHSGMINKARYYFSLMTEYYAIEPSIKHYGCMVDLLARAEYIEEAGELIESMPMSPDSIIWGSFLSSCRRCGNIKMAQWAANNLKKIDLDEASGYILMSNVYAAAGHFRKAMSERVTMKTKNIEKQPGCSLIEVNGEVHEFVAGGRLHPQSAEEIHEILATMLPLLDILRSGIISEMAGSADLTIVCKGAMNHNNPEPDKGTDHKPGSEGDSMRRPRGRPTGSKNKPKPPIILTRDSANALRAHAMEVSPGCDVTESLTTFARRKQRGISVLSATGYVTDVSLRQPGSTGAILTLHGRFEILSLQGSILPPPAPPGLTIYLAGAQGQVVGGGVVGALIASGPVVIMAATFMNATYERLPLEEHEAAENHHQHFQNVRQAQQQHHHFDISEIYGKPLNLPSNGTSVPSEIYTWTSGRQTLSKT >KZM91348 pep chromosome:ASM162521v1:6:24367416:24371881:1 gene:DCAR_021287 transcript:KZM91348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAAAESHVSAVILDMDGTLLNTEVLTKDVLKDLLARYGKAVDYKKEGMRLGMTHNESRIAIIKDYNLPITPEQFTEEIMPMYREKWLLAQALPGANRLIKHLRKKKVPFALASNSMTKNVEAKVSHQPGWSEYFSIVLGSDQVKSGKPSPDIFLEAAKRMGVNAACCLVVEDSLIGVKAGKAAGMKVVAVPSLPSESDQFSVADMVLHSLLELQPEVWGLPPFEDWVNGALPIEPIYFRGLYKNGHLYEIAGDGASGLPDQVFGIYFGWAKDESSKIFKILMSVNWDRDCCSIKKIIVINQVGASFQHVCLIDGSNEATGNQKLQIMLVGYIGGQSNKENSSSEKEILEEDKIIARTALDSSAFTSHSSTRSFF >KZM90379 pep chromosome:ASM162521v1:6:14784560:14794779:1 gene:DCAR_022256 transcript:KZM90379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVVVSSAISLLGEFIVEEVNIRLGVKDNVRWLRDELGFLQSSLRQAELRQEEDSVRNWVKNVRDVANDAVIILGKFNNLQHEHEAPKRGVLDCFRGMCKKEVKLYDIGNDIESLKERIVVIKNRRIDYHIDNILATPSREQKERSLLRTTAIDMEVEVVGFEEDTKTLTAEIDSTDPSLKLIYIHGMGGLGKTSLATKLHNSEELRHFDTHAKVCISSEYDIKDVLKRIIKSFMGPEHEQYLSNMAEHDLLHYLAKLLQDRGRYFALIDDIWDIKVWEQIKIAFPNNKNGSRIIITTRNKDVTGMADDKCFVHPLRFLREEESWQLFCKKAEPTTQSMDKLGREMVGKCEGLPLAIVVLSGLLSDKPNYEYWSNVKEHIWRHLKDGGSFRIEDILSLSYNGLSSDKRRDCFLYLARFAEHQIINVDKLKLLWIAEEFISEDDELDGVAMEDLAEDYVNDLINRNLIQIATLLWNGKVSHCRLHDLVHELAINKAKEQKVLGTFDSSQHLKPIALLEGQPRHAIYNGIGEYFNLLEGRSDIALNLCSLALLNNSSLRVEVKLNTKFKNLKVLDLTCLDLDRIPEEIGDLVLLKFLGLARCSKRVLVIPPSIVRLKKLQTICGGSSDSFYIMPRIIWELKELRHLIQGHYKTLPKFDSRDMKIVCQHMNLRSLDTVFYGEWMQIHTIMNITNLHTLSVFILRPPTGNHYTLQSVGDLKNLQTFTLASVLAGIPTLEPLLSCNHLKLVDLRGTIKDPSELRFLPDSVTDLRLRNSGFTEDPMPSLGSLPNLTALELKEVYNGRQIFSGHNAFPSLQILKLEDFPNLEEWKIEDGALPCLRSYDQLGCVGLKTPLQLKHLWKEQLQQMLTRISRGLFIYQDSTTHHQLSEPRFMEMFEKKYARSLIVVIGTLILLPLGGGQALVFYASLIFKKAGASVIAGTTAYAIIQIPVCAMGALLLDRTGRRAVLLVSLISACLGSFLAALTFVLQDLNQWKELTGTLVLIFVLATLFSLAVGGSVPWVLMGENGYASAAEAGIMEDLSLSSAQFALYGLIFIFWKYVWYNCKSKACTLVRVRAELESMEEGLIKKPVTNNEIKINGKDDTVGSSVTPLLLFSTVLSGFVALSNGCAAGYTSAAEAGIMEDLSLSIAQLSDLLKAQGVSTWRNSTASMFGAIASGKLADLMGRKPTMLLMDILVIIGWSAIIFAQFMINVGVSVMFFAGNIIAWRSLAVIATLRQLSEPRFMEMFEKKYARSLIVVIGTLILLPLGGSLGLLFYASSIFRTAGASVTLGTTAYAIIQIPVGALGVLSLDRTGRRPLLLDLNLWEELSGTLVLIGVLVNLLSFSLAVSVPWVIMAEMLPINIKGPAGSLGTFSKMFVAWIVNYIFNFLLEWSPSGMFFIFASFSGLTILFVAKMVPETKGRTLEEIEASMLDKSV >KZM90925 pep chromosome:ASM162521v1:6:20448759:20456743:-1 gene:DCAR_021710 transcript:KZM90925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVALRKNHHLFSLPYILKWRFRNIHASTLATSQSPSPAELEFPVAGTKFLETFTEELEIGSRKIVLETGKIARFANGAVVIGMDETKVLSAVTSAKGDSVKDFLPLTVDYQEKQFAQGVIPNTFMRREGAPKERELLCGRLIDRPIRPLFPAGFFHEVQVSANVLSSDGKQDPDVMAANATSAALMLSDIPWNGPIGMIRMGRISGNLVVNPSMDELTISDLNLVYACTKDKALMIDVQAREITERDLEAALKLAHVEAVKYIDPQVRLAGKAGKGKKEYKLSMVSKETYEKIRNLAEEPIKTIFTDPAYGKFERGEALENITQDIRKALEEDCDEESLKVLPKTVDKVRKEVIRKRIIDEGFRLDGRRLDEVRPLYCEAGNLPVLHGSSLFSRGDTQVLCTVTLGAPGDAQRLESLVGPSTKRFMLHYSFPPFCINEVGKRGGLNRREVGHGTLAEKALLAVLPPEDDFPYAVRINSEVMASDGSTSMASVCGGSMALMDAGIPLREHVAGISVGLVTDVDPSTGSIIDYRILTDILGLEDHLGDMDFKVSGTRHGITSIQLDIKPAGIPLDIICESLEPARKGRLQILDHMEREINAPRSQDDRNSPRLVILKYNNDAIRRLIGPLGVLKRKIEEETGTRMSVSDGTITMVAKNQSAMEKVQEKIDFIVGREIEIGGTYKGIVTSIKEYGAFVEFNGGQQGLLHISELSHDPVSKISDVLSIGQQVTLRCIGQDVRGNIKLSLKATQPQPRRTSNMTVDFVSSTMETPKIWPPVNDVANEQDKQESAMEDMSLDKNESSDVTSSSSSEILIRSAAECDEVEKSAVLVKNSNSRPKTSSNKRSDKKSKVVAPQKNDRDSPISAFDLFSSKNSSELKTDFKSSFRGNGMDDGNTSANHKSSAEAPINAKNLKLGNEVLAKVYQIRAHGLVLDLGGGLRGMYKFEVGGRKDFEVGEEVQVKCCSFSSKGIPVMSMLETD >KZM91861 pep chromosome:ASM162521v1:6:28467368:28472283:-1 gene:DCAR_020774 transcript:KZM91861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKHLRELLRQDQEPFHLHNYKPKNKPVKPVTKSASSKRGSLYKQACFTSFQDSPDYFNSPLKKKPSATTVLHVPAKTAAGLLEAAAKIQNRSSSKPKNARFAGLFFGSILRKIKDKNATTSKTRELGSSSINGPAFEAQRDQFVVDNSKDKYEMMGYSFSECNYSNISRRISSAGWSESNTPEDDNKSIDMDTISSCTTSRSDYYNHDFVLPDQPHFCLSPLSPFRFALHRSPSVGRRTPDFLSPATSPGRHRAQEDDDQATENSEKINAQEEDEKDQFSPVSVLDLPFEDDEREDEREEEEEEDEDDYDLECSYALMQKAKFQLLEKLRRFERLAELEPIELEKRMLEGYEDEDDNDDYLDENEEHEEFGMNVDDLICEIFSTSGPCNVEKVPGHMKRLVFDLITEEKKNGMDNRDDAMVKLVCKRLGSWKEVESNTIDMMVELDLKREVDGWKNHEEEVGDRAKEIELAIFGLLMEEVSEELVRH >KZM91780 pep chromosome:ASM162521v1:6:27805143:27808414:1 gene:DCAR_020855 transcript:KZM91780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSPLVLLQPLGSIDFKIRTIEMDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFEASAKTNMNVEEVFFSIAKDIKQRLAETDSKTEPQTIKINQQEQGAGTSAASQKSACCGS >KZM91947 pep chromosome:ASM162521v1:6:29253266:29255786:1 gene:DCAR_020688 transcript:KZM91947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAQIATIVFIYIISILSESRSDTNHVYSPCSDSKIQRSDGFTFGIAFSTKNSFYPDNNSSRVQLSPCDKRLSLSNSQIAVFRPKVDQISLLTINTSSFFPDTYGGYMVAFAGRKYAARSLPAFVANGTFTVTSFTLALEFKKGRLQNLFWKRDGCSKCSGDSSFVCLNNQDCAIKTNSCLKRGQGGSVDCSLGIQMAFSGTDKHMSVLNSWYEVKNLRQYSLYGLYSNLKDSLTSQYNKFF >KZM89463 pep chromosome:ASM162521v1:6:956718:957263:1 gene:DCAR_023174 transcript:KZM89463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAKMKDGDDKKVTGLGVDLEKKMVLDPEKKMVLDPEKKMVLDPNKKMVLDPKKKMVVNRSYDEDLRLFERMCRNFCLEEIEAAGYTLLEDDKTTTTRPAASASAKRCQPKEEEEQQQEEEIEVVYDFKRPKLPLPPGRPFVRDGDVYIL >KZM90627 pep chromosome:ASM162521v1:6:17611556:17611861:-1 gene:DCAR_022008 transcript:KZM90627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKDEVQVNSAGGSRARVGPISLYVADLEHNVSDKQLYEVFNQAGQVNSVRVCRDSNTRRSLGYGYVNFSNPQDGINTFQSLISCFFNRFVMLLLGDVL >KZM90291 pep chromosome:ASM162521v1:6:13859135:13865898:1 gene:DCAR_022344 transcript:KZM90291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYDYVIDCLPLYKAAIEGDWETAKRIFEEENRDINGSISYFSETALHVAVGTNSSHRFVEKLVEMIMEVGTRQMLRTCNCYGTSIIHYAAKVGNTRALRVLVDKDPEIVQFKEAASYTALKLAALRGHRETLCYLLEVTEDVYTDPQISPFYGYNGADLLCHTITAGLYDVALYLVKMYPDLVTEVNISSKTGFQTLAAKPNAFQSGSKLGFWQQLIYSLIPVDREKALKAPIVRPTQVGTMQNQTSKASDCLNVSLWSVLQFFAIAATHGIYELIEECIHHYPGLIYYNEGGFFLFLVAITERQEKVYSFLYQISGHKVFLGIDRINGDNALHLAGKLAPSHRLNKVTGAALQMQRELQWFKEVEKFVEPSYKEALNNDYKTPRMVFTSEHTKLLKEAQLWVKDTSSSATVVAALLVTMAFAAIFTAPGGNNDAVIANDWETAKRIFVEGTNNLNAYFADYSKSPLHIAVGTGSSHRFVQELVDCIMHVGDMRMLRIHNENGNNALHYAATVGNTRAARLLINKYPEMVQIANRFGHTALAMAAQCGDVALFAGEHAPDGNALHYAGKLAPAHRLNKLSNTLLPSRWIVDPTAGAKGKIFSVPRFFSADGVFTTGDPRIFSAERREFFKRSAVNIRGTIRRVLKKQNRSNTRISDRHTHLFQTHSNTAALINDPCDYLLPQIISFNRITTYRYEFMGV >KZM90527 pep chromosome:ASM162521v1:6:16577464:16578028:-1 gene:DCAR_022108 transcript:KZM90527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYDPIYAGNILHAVAEAANYLYKKNYIGGEQKEFIYSDSSIDEALKAIGCAHADPDLLLVYGPARCHLGFPAWRLRYTEIIHMGPLKSMKFGSLIKAIYNFTKVHQNYGM >KZM90470 pep chromosome:ASM162521v1:6:16055943:16056311:1 gene:DCAR_022165 transcript:KZM90470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCSTQSIERKDDARIDTMNQPGVNKKRRTDRCVVGKKKNVDDDPKSVQQNNETHLEKNQTALIENKKISDYESDLKLRGRGYTRHTSERKRPNGKDLLKSSIFKNKKHKGPRAKQNKIQV >KZM90923 pep chromosome:ASM162521v1:6:20437903:20446154:1 gene:DCAR_021712 transcript:KZM90923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYGLKSVSDLPAPLRSTFNFRYFNSLQSECFSACFLSDVNMVISAPTGSGKTVLFELCILRLLSKFISTEGVFNHVKGTLKTIYIAPSKALVQEKLRDWDRKLGSWGINCLELTGDNEFYNIKTIQEADIILTTPEKFDAVTRYRIKDGGLSFFSDIALVLIDEVHLLNDPRGAALEAIVSRLKMISCNPEMKSSVLAHVRFLSVSATIPNIEDVAEWLMVPVQGIKRFGEEMRPVKLTTKVFGYTPAKNDFLFEKRLQNYIFDLLMQYSRGKSALVFCSTRKGAQEAAQRLAQMAMTFGHSNPFIKNREQLERLREVSLSCTDKQMQSYILYGVGYHNGGLAAKDRNLIESLFLSGDIQVLCTTNTLAHGINLPAHTFELIHLFPSNKEKGLYLEYDRSMVLQMCGRAGRPPFDDTGLVIIMTRRETCPENYAIQKGMPTTRIEKHLQEICVQKVNELSQYHMIWTDEDGFLLKPLEPGKLMTKYYLKFDTMKHIMLAPSSCSIEDALHIVCRAEEIAWIQLRRNEKKILNDINTDKDGRLRFHILGDKGKKKKRIQTREEKIFVLANDCLTGDPLVHELSMSQDMNSVCSNGCRIAKCMKEYFFYKKNYKGAFNSTLLAKCLHQKLWDDSPYLLKQLPGIGMVTAKALLSMGVRSFETLSEADPRKIEIVTGRKYPFGNHIKESLSSLPAKVEMKMEETDCPRQGKSKLVITLTRLLQSLQFTKRHYADMVVGVEEDNLIIFHEKIRLDDFPSPYSATILLSSPPQQGKLTVKADLIFEDFLGIDLHQKVILMKESGSNVVHKHGMKHISSIAHTKDMCVIKDDNKGRLPPPAEQKKASIADIDYVSVSIPVGLYPSFNLLDEEFEESKMPSFKLVDEDIDEDKICGSTAMLVEDDDCKIITERTVFDHIRKKAKSLPILAASNVDPQCSPSLETLTLIRKRNRERQLELDSAIEVLDDMDESNFHWNSVSPAEPRKAEQSRQVDKDLISKCNINTADATSSADLLDPAPEESTSKALAGERIFEHIRKKATNFPVLETPFRIPSPESCLAKKELQCQGSDMKQYYSTVLPKRQRCFSQTMGEIREAESCLGFKSVFSFL >KZM91846 pep chromosome:ASM162521v1:6:28325108:28328877:1 gene:DCAR_020789 transcript:KZM91846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFMLLYLLPTFSVAVLSAFFIPQPSNNFYTDELFLSYSNVTYLSNGSDTRHWPELEFNWRIVVATVMGFLGSGFGTVGGVGGGGIFVPMLTLIVGFDTKSAAALSKCMIMGASTASVWYNLRVPHPCREVPILDYDLALLFQPMLMLGITIGVSLSVVFPYWLITVLIIILFLGTSSRSFFKGIEMWKEETLLKKEMSKQLKTANSRGELLIDTMYDPLIPRPEKTPMEIFLFNLRWKNIAALLLVWVSFLLLQIIKNDLVVCSSWYWVLNLLQLPVALGVFGYECVKLYTESKKRRNTGNPESVCEAAIEWTMPNLVFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPFALYLMFISVLAGFWGQLFIRKLIAVLKRASLIVFILSAVIFASALTMGVVGTEKSIKMINNHEFMGFLGFCSSQ >KZM90592 pep chromosome:ASM162521v1:6:17135188:17137501:-1 gene:DCAR_022043 transcript:KZM90592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNIAALVSFSLLCLLATAHCYGVKGNNPLARLIEAQNLKRPSTFRKAVRNVEYSPVFVGSQDGLKEADKVESLPGQPDGTTFEQYSGYVTVDPVAGRALFYYLAQSENSSSQPLVLWLNGGPGCSSFGNGAMMELGPFRVNSDGKTLSQNKYAWNNEANMLFLESPAGVGFSYSNTTSDYVTGDTKTAEDAYTFLINWLERFPEYQTRDFYIAGESYAGHYIPQLAQLILQNNKITNQTVINLKGIAIGNAYVDQLTQYSGSYDYYWSHALLSDEIHEGITINCNFSAIPNVTEACITYLQEVDLGDIFPYDIYAPWCDTSSGSPSTSGFDPCTDNYIDTYLNTQLVQTSLHVTVPPKSWASCNDDIYDNWTDSPATVLPVIKELMNSGISVWLYSGDTDGVVPVTTTRYAIDYLQTSVKTPWYPWYTQAEVGGYAVGYENLTFVTVRGSGHFVPSYQPSRGLALFSSFLAGELPPSGEN >KZM90106 pep chromosome:ASM162521v1:6:11221938:11222426:1 gene:DCAR_022529 transcript:KZM90106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLFGALFIALFAVAAATTYTTTVTTITTTTEDEGSSSQQGSRQQCREEIQSKDFNMCEKFLMQGQQQGGGSLRMIVQSDQVKEGQQDMQTQCCEQLRQVKPMCRCAAIQDVVKQQMEGGQSMQSQKMKQMLQKAQNLPSQCNFDQPQECRFGQSYWIA >KZM91729 pep chromosome:ASM162521v1:6:27417662:27418078:-1 gene:DCAR_020906 transcript:KZM91729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSSSGATNATNGHDHQEDMNMYGHEDETVSGSRFSCLGCFCFDNQRQWSMLHGGEPREESWLITKSKEVKEFTEVVAGPKWKNFIRKLSKYSKKTRMAECRQYDPQSYALNFDDGLDDIQQDSDNALLGGGFSTKL >KZM90372 pep chromosome:ASM162521v1:6:14734577:14737324:1 gene:DCAR_022263 transcript:KZM90372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKLSQREQPYHLRSKNYTLRGSCALQGEIPKFSDSSVTKLKNQQPTQQDLSNSKQTFEICPFCTVKFQYTKDTSDGGRRCPSCSKRIVVNNSSSRSAVPQASKDKSIAPAGNLRSTRGQPSLCQQKRVPKYEAINLGARKAKGIDVDDAILKTRGKEYQRVSTEDNVPTSTTVNPREIGNLRSTKRRREVPSGSSSVGRFDTRSGSSGPDPEKIADNVFVRMMSDLYNVHISEEDGYMSSNRESKKSRLCSNPLEDQNQHTVDNAEVELCNSAVNQESLDNLVAKLCNSAGIPPSADLCKGASKEKSNTNLDCPPEVDYYVSAEPQCGNFAKEREEKNFSVDQIWACYDCVDAMPRFYAKIRKVQSPEEIQITWLEPQPEGHSEIDWVNTGLPVACGKFSCSSSEDTLSHLCFSHQIRAKNLNASNYVIYPRKGETWALFKDWDITWKSDPKNHDQFHFEIVEVVSDFNISTGVKIAHLEKVEGFVSLFRTKALVGSPYLRLLSCELLKFSHQIPSFRIKESETDGVPKGCIVLDPASLPDSLTVLYTEIGSRDAIKRSGKRQVNSTTKSAILKPATKVVDNKMQKSWRTGLVTKCSPGSTQKESSKQLSGFQDPGSADFVTPRRHGSLDATPSNMPTSKPRLVADFGTCSAQKKNSEFLDGFKNQKFNDFHPSKKHDSSENITSNISGSLVISPHLSTDALASEVFHDFNEDKAITKFKPGQLWALYAQKDDNSKNYVRIMNVESALTLVYAALLESTHPPPSRCGMFRVCKGEPEIFFPISFSHKVKAKQFGDDKYAICPWKGEIWAMHKSWNDKDLGFVMQSSEYDVVEVLKCNKDNIEVSSLIPQVGFKSVFMAPSKLPCNTETRKILWTEISLFSHRVPAFQLNGEHSGLLTGCFQLDPVAFPVNTFN >KZM90132 pep chromosome:ASM162521v1:6:11452819:11456952:1 gene:DCAR_022503 transcript:KZM90132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVLKKRLVEWLTIIVQEEITLWKKEKPIKSVLIQLYARKGDAVLDLACGKGGDLIKWDKAEVGYYVGIDIAEGSIEDCRTRYNGDGHLSWKKFTSRLLCADCFEVQLDKALADDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGIFIGTMPDANVIIKKLREGMAICYNFLAVLLVSGIVLEFTEGLAFGNSVYWIRFGEEYSEKKFKSTSPFGIKYKFHLEDAVDCPEWIVPFHVFKSLAEEYDLELVFVKNNHVFVEEYLKVPDYLALMRKLKALGAGNEDQGTLSPDEWEVAYLYLAFVLRKRGQPEQTQTSSKKDRGKLHIKKEDITYLKARANGTGQEEGIDTTEANCT >KZM91713 pep chromosome:ASM162521v1:6:27297454:27302069:-1 gene:DCAR_020922 transcript:KZM91713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQPQQQQQQIPVSGSNGVSAGAAANAGGSNQFTTTSLYVGDLDQSVTDSQLYDLFNQVGQVVSVRVCRDLSTGRSLGYGYVNYSNQQDATRAIDVLNFTPLNNKTIRVSVSRRDPTDRKSGAGNIFIKNLDKSIDIKALHETFSSFGTIISCKIATDASGQSKGYGFVQYDSEEAAQTAIDKLNGMLMNDKQVYVGVFLRKQDRDSEMSKTKFNNIYVKNLSDSTSEDDLRKTFGEYGTITSVVVMRDADGKSKCFGFVNFENPEDAAKAVDALNGKKFDDKEWYVGKAQKKSEREVELKSRFEQTVKEQVDKYQGVNLYVKNLDDTIDDEKLKELFSEYGTITSCKVMRDPSGISRGSGFVAFSTPEEASRALGEMNGKMIVSKPLYVALAQRKEERRARLQAQFSQMRPVAMPPSMGPRMPMYSPGAPGMGQQLFYGQAPPAMIAPQAGFGYQQQLVPGMRPGGGPMPNFFMPMVQQGQQGQRPGGRRGAGPAQQTQQPVPMMPQQLMPRGRMYRFPPGRNVGDVTMPGVGGGMLPVPYNMGGMLPREAAMGQPMPITALASALANAPADQQRTMLGENLYPLVDQLEHDHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMDVLRNVSQPNVADQLGGLSLNENLVS >KZM92021 pep chromosome:ASM162521v1:6:29852450:29858062:-1 gene:DCAR_020614 transcript:KZM92021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPDSELLPPPPAEIPPDVIPEQISLFYEDDRPVEGKGIGRKVLDKVYETYESELGGRDFAYDGEKSLFTVGSLPRNKMVFTVVLDAVVSKRTVENGGSGSPNGGDIKRMRRSSQSKTIKVDISFAAKIPVQAIGNALRGQETENSQEALRVLDIILRQIAAKQGCLLVRQSFFHDSPRNFTDLGGGVLGCRGFHSSFRATQGGLSLNMDGSTTTIVQPGPLVDFIIANQNCRDPFQVDWAKAKRTIKNLRIKITTTNQEYKITGLSENKCQDQMFSMRSRSSKDENGEAENIELSVYDYFVKTQGRKLQYSAELPCINVGKPKRPTYFPIEFCTLVSLQRYTKALTVIQRSSLVEKSRQKPQEKINILTETMKNNNYAADPMLRSCGVTIQSQFTKIDGRILVAPKKYAEPAKIGDWAVVNFSARCDVRNICLDLARIGETKGISFSPPKAVVEELAQFRRAPPPIRVDKMFDQLMAEFPTEPPRFILCLLPDRKNCDLYGPWKKKNLSEFGIFNQCMCPFRVNDQYLNNLLLKINAKLGGLNSLLAGEVARNLPVVSNKPTMIFGMDVSHGSPGHADVPSIAAVVSSRHWPLISRYRASVRSQSPKVEMIDGLFKPGSGNNDSGIVRELLLDFYTSSGKQKPSQIIIFRDGVSESQFNQVLNKELDQIIEACKFLDENWSPQFTLIVAQKNHHTKFFLEGSQDNVPPDMYDCQGPDMILSSRVIWNIETVVASGLLLYNKNKTPNIFLIWLCHDGTTRPTHYHVLYDEIGFSADDLQELVHALSYVYQRSNNAISIVAPVRYAHLAASLVSLFKKFDEMSETSSSHGGVTGVGAPPVPELPKLHKNVCCSMFFV >KZM90903 pep chromosome:ASM162521v1:6:20267564:20270244:-1 gene:DCAR_021732 transcript:KZM90903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSAIIEHKAYARVGLLGNPSDVYYGRTISLGVSNFWASVRLQPSNQLVIVPHPVHDLVHFNSLSHLVDRLKNEGYYGGVRLLMAICKIFNNYCRDNKIDLHDRNFTLSYDTNIPRQTGLSGSSAIVCAALSCLLDFYKVKHLIKVDIRPNLILNAEKELGIIAGLQDRVAQVYGGVVFMDFNKKSMDELGHGVYTPLDIGLLPPLYLIYAENPSDSGKVHSTVRQRWLDGDEFIKASMEEVANLALEGHKALTQKDHTKLASLMNRNFDLRRRMFGDDALGALNIEMVEVARRVGAASKFTGSGGAVVAFCPDGPSQAKLLEDACHKADPLGPVSIVAYPKLEST >KZM90942 pep chromosome:ASM162521v1:6:20702734:20703165:-1 gene:DCAR_021693 transcript:KZM90942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVEGSSGNGEEGFKCSGKACRSTAGGVIADCVALCCCPCAAVNLLTLAFIKVPYKVGKKCVRKMWRKRKLHVDYREGEREREMSVGWKLEIERLERRESWEIEEEAERVWQELYEQLGHVGFGRVSFTGDFFSSDNNLKLL >KZM92014 pep chromosome:ASM162521v1:6:29817071:29819416:1 gene:DCAR_020621 transcript:KZM92014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFNSRGSGVVLVVVLTVFLTVVNGNIEGDSLYAFRHSLTDPDNVLDSWDPTLVNPCTWFHITCNQDNHVTRVDLGNTNLSGLLVPELGKLEHLQYLELYKNNIQGTIPNELGNLKSLISLDLYNNNLTGIIPPTLGKLKSLVFLRLNDNHLVGRIPRELVNVSTLKVVDVSSNNLCGTIPTKGPFEHIPLSNFENNPRLEGPELQGLASYDTNCT >KZM89659 pep chromosome:ASM162521v1:6:2956179:2956454:1 gene:DCAR_022978 transcript:KZM89659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVRDSLSTWTAEENKAFEKALAQFDRDTPERWQNIAELVGGGKTAEQVKEHYEVLLEDLRRIESGHVPIPSYQNTEISTANEDEEKR >KZM92257 pep chromosome:ASM162521v1:6:31867937:31868666:-1 gene:DCAR_020378 transcript:KZM92257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKVISSGQTYTTLPPSFIRPASDRPDLSQVSDCENVPLIDLAAPDKHLIIRQIGDACQQYGFFQVINHGVAAETMERMQRVAKEFFELPVEEKMKLYSDDPTKTMRLSTSFNVKKETINNWRDYLRLHCYPLDRYSEEWPSNPPSFK >KZM90449 pep chromosome:ASM162521v1:6:15900760:15901230:1 gene:DCAR_022186 transcript:KZM90449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNFTLGTDPKILLNKTAIPRNSFKGKSSFTKQPLSLEALNTLWEMWLKQPVRATLIQHTPFGGRMNDVAESAVLPFPHRPRVLYMINIGVSMSENQEANANWMNEVFKYYDPFVTKNPRTSYVNYRDLDLGTGSRTCEEASQWRKRYYKNNFDR >KZM92718 pep chromosome:ASM162521v1:6:35779162:35780718:1 gene:DCAR_019917 transcript:KZM92718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMNTWLSFPSQRSLDQDLAIRQFCPARIEQEQEEWQEETYVINEAELWLPSDDVSSGIQAYEEELFVDSFFNNEYYNECLNGEQEVFEQFDDDHEGMTMAIDYEYLPVMVEDELVMTNFCEDGGLVAEDACNDGVDQGLHLVHLLLACAEAVGCRDTLHARAMLSQIWTCVSPWGDSLQRVAYCFAMGLDARLSLLNHVKANGILENGSGDQSLITKEERLEAFYLLHQTTPYISFGFMAANDAICEAAEGKDFLHIVDLGMEHTLQWPHLVRKLAARLGGPPKLIRITGINDLCVKEYQMQDTIVEAESLGISVKFCRIDEAVTESLLTKENLDIREGEALFVNSLMQLHKYVKESRGSLKIILQAIKKLEPTLLTVVEQDANLNGPFFLGRFLESLHYYSAVFDSLESSLPRNSIERMKIEKLYFAEEIRNIVAYEGADRIQRYDRADQWRRQLSRAGFQSVGFRNPNLTNLSVSLCQSDGYTLATDKNCLLLGWRGRPIMLASAWRIHSVSSS >KZM89515 pep chromosome:ASM162521v1:6:1465628:1466968:1 gene:DCAR_023122 transcript:KZM89515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGAGNKDDAFYRYKMPRMITKIEGRGNGIKTNVVNMVDIAKALGRPAAYTTKYFGCELGAQSKFDEKTATSHVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMVTLKCAACGHLSDVDMRDKLTTFIIKNPPEKKGGKDKKAMRRAEKERIKAGEALDEEQKKLKKEGVKQKGSTKDVSSKAVSKKKANVSDEDNSPAGSQVDEVDTVVSNDDDDIQWQTDTSAEAAKQRIQEQLSAVTAGMVMLNTTDDKPKSGKNSPVREEKPKGNGQSNGASANSSTPHEKLVLEIKDYLKKGSSASKLKPFLDTLSGTSQQIVDAFFEAMFDGAGKGFSKEVTKKKNYLAAVTQNEGSQLVLLNALEAFCAKASSEAVKEVALALKVLYDSDVLEEEFIVEWYEKGLKGGNKSSLVWKNVKPFVEWLQSAESETEDE >KZM91539 pep chromosome:ASM162521v1:6:25886193:25889024:-1 gene:DCAR_021096 transcript:KZM91539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVMLCHDHERSALLHFKQSLSLNESASGDSSAYSKTESWKARGENGTDCCSWHGVECDEKTGYIIGLHLNSAFLYGILGSNSTIFTLVHLQTLNLADNDFQSSTIPHEISHLSSLSRLDLSFSGFSGPIPTKLSKLFKLAYLDLSGNYLSGIFPVDIFHLPHMLVLDVGDNENLTGVLPEFNRTSSFKELRLSFTKFSGKLPISIGNLQSLTGLRLKACNFSGPIPTSITNLTQLTLLSLSYNKFSGHLPISVGNLQSLAILNLNDCYFSGLFPTSIANLTQLTYLSLSSNNFSKSRKISWLDNLTKLTYLDLNSSNIHGDIPSSLANLTQLAYLYLDSNYFTGEIPVCLTNMTHLIELSLSNNELTGPILSSFSQLENLEVLDLSGNNLIGTVEADIFFTHKKLEFLSLSDNNITFITHHHINFTIPTLRGLQLNGCNLREIPYFLKLKNNLEFLFLHENNIHGKIPYWIWNVSDNLEAVDLSSNFLTSIEHNLTVFSNKSLEVIDIGNNMLQGNLPVPPPNTIRLQSNKFSGTIPQLYSEDCDLEEIDLSQNQLKGEVPRSLISCKMLQILDLSDNQIEQTFPVWLGTLPQLQVLFLHSNKFHGALGSPRSPLEFPMLRIIDVSHNSLTGVLPVEYIKIWNAMKMNSPDTELYITTNIKFKTRSSIWGNPDLQSSITLVNKGVETEYKQILNILTAIDLSSNNFTGEIPESLGTLKELELLNLSNNELTGPIPRSLANLTKLESLDLSQNKLTGVIPQQLASQLTSLEFFNVSYNLLSGHIPQGSQFGTFDNNSYVGNSGLFGFPISKNCGTVHSPPADGDEGDSDDTYEFPSGFDWMFILAGVGSGLVVGYVMGNILTDGYPWLISSIAQSFACREKKQRRAKRSIIRR >KZM92224 pep chromosome:ASM162521v1:6:31649484:31654350:1 gene:DCAR_020411 transcript:KZM92224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNIMEKVNALGERLKIGGSEVGQKISAGVSSVSFKMKEFFQGPSQVDKIVEEATADTLDEPDWSTNLELCDMINHDRINSIELIRAVKKRIVLKIPRVQYLSLVLLETIAKNCEKATADTLDEPDWSTNLELCDMINHDRINSIELIRAVKKRIVLKIPRVQYLSLVLLETIAKNCEKAFSEIAAERVLDEMVKMIDDPQTVINNRNKALMMIEAWGESSDELRYLPVYEETYKSLRSRGVRFPGRDSESLAPIFTPPRSTTVPEPNPSLAQQLHREVPVISFSAEQTKEAFDVARNSIELLTTVLSSSPQQDALQDDLTTTLVQQCHQSQHTVQRIIESAGDDEALLFEALSINDEIQKVLSKFEDMKANTVVPTVPPHAMIPVAAEPDETPREGKEDALVRKAPGSSGVAQVNHDDEMDDLDEMIFGKKTDGTSETGHATKKESTKDDLINF >KZM92503 pep chromosome:ASM162521v1:6:33905888:33906937:-1 gene:DCAR_020132 transcript:KZM92503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSINTNSVTAEAGTSRRYFPGIQRNLSNPSWMLDTLRVFVTPLGIDVDKVLENREAAKKAAMEAEDEEDEAARLNCPLCEGPYILPMAIKACGHVFCSSCIEKAMNEKGIKCPTCGARTKKNHLLKLYL >KZM89690 pep chromosome:ASM162521v1:6:3405431:3407894:1 gene:DCAR_022947 transcript:KZM89690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSIEVWNPNGKYRVISTKPMPGTRWINLLTQQDCRVEICTLTKTILSVEDIIALIGDKCDGVIGQLTEDWGETLFSALSKAGGTAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSVSAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQATRLEKFVTAYGQFLKANGEQPVTWKRASSMDEVLQQADVISLHPILDKTTYHLINKERLSKMKKEAILVNCSRGPVVDETALVEHLKQNPMFRVGLDVFEEEPYMKPGLADMKNAIVVPHIASASKWTREGMATLAALNVLGKIKGYPVWSDPNRVEPFLNENAPPPAASPSIVNAKSLSLPVSKM >KZM90564 pep chromosome:ASM162521v1:6:16897777:16898832:-1 gene:DCAR_022071 transcript:KZM90564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTYMQEVEEELEAMEAIDVEDKEEDFISTARSTNEWTEFRDQLAKTILFPHAKVFMHIPEYYYLSNLDQNIASIIQTVYCFKPDGDKKNTEGVGLVIRESEMVYKAFNFDQEKLMRLKKLIMEDGTIKNCSTFVALTALVWRARTEALQMKPDQQLKLLFVVDGRSRLVNPPLPKDYFGNGIVLACSVSNAGDLVNKPLC >KZM90043 pep chromosome:ASM162521v1:6:9941728:9947311:1 gene:DCAR_022592 transcript:KZM90043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQLMDSIGVAEASGQSVRSEGSKRLSENEELDVRLVKKAKNGGELGDRVRKVAEIVLVLATMGKIRGGEVPSGVEMEMMEDARARLVEVSEQFAPKDVFPTQVFGSIIEDLGLDCSRERKLGFQPPKVSIGQRVNITKQKMENSEKYALQSANYISQAAESNIRSSVQIHSGVQTFSLEKTSPGPSSLGLQPVSASGPVSAANSTSLPYQLPTSEVRPSVSSGLPSRNIGKHNSALALARADRPFYTLTGKSNGVSYTSQVQVSRVCDGMVEDRHASPIWMLYLFVIYSFQFSNSFGDNTKPPTWTVQSQASPSAKTVLDNNASFHASIKGGATGMSTMSRPFMNQTTSVNPLGAHQHIQQGARFVQSPSQSNSHDDIGKIVQKLLQQQILKHPIWTPPSRDYMNKSVPCQICKLVISEVDNVLVCDACEKGYHLNCLQMLNPKSLPKAEWQCGKCFSLSGGKPLPPKYGRVTRNSTVLKVSSPATEVHLSADQNVGVIDVKDKLKRTAINVNSGIQSAPPGALDKSNHSLATAQMGDGKIMRGNGATSTEGECVEEHPSRCSPNNLMRIPGVPIGTSNHFAIDAELADLKVMQRNGSLSSNGKKAGEHPSEPVANNLVVTLGASNVTHDDLKVERLTEEKLDPKCNSQPSAECVVVGSTFDDSQTLGHNKDNVQTELTNRSAIPSKQCPDTNTKMLELGKSCGKDFVEHKTHDNADKDNHGVSVLNHVETTGTGIGPEERGESLSDCLHDVDWIGDKIQVMDGKTYYQSYSTSGVLYKVQDHALFRINNNVSAPFKLQGMWEDSKTRSKWVIASRCYFPADLPEGVGRPCSPEMNEVYESNHDTILSAGLSEGLCKVLPSRTFTEETERRTRFEMEGSDNLCPLYICKWFYDEKKGLFRAVTG >KZM90518 pep chromosome:ASM162521v1:6:16472579:16474591:1 gene:DCAR_022117 transcript:KZM90518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAFSPSGQSVACGGLDSICSIFNLNSSMDKDGNVPVSRMLSGHKGYVSSCQYVPDEDTHLITSSGDHTCILWDITTGLRTSVFGGEFQSGHTADVLSVSINGSNSRMFVSGSCDATARLWDTRVASRAVRTFHGHQGDVNSVKYFPDGNRFGTGSEDGTCRLFDIRTGHQLQVYNQPNSDTDFAPVKSIAFSISGRLLFAGYANGDCYVWDTLLAQVVLNLGSLQNSHEGRISCLGLSADGSALCTGSWDSNLKVSQMSCLA >KZM90900 pep chromosome:ASM162521v1:6:20250118:20254511:1 gene:DCAR_021735 transcript:KZM90900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLRILFAFILVVRVKGVVKNSQDCNIPAIYNFGDSNSDTGGSSAAFSPAGPPTGETYFGIPTGRASDGRLIIDFIAESLGLPFLSAYLNSIKANFEYGANFATGGATIRRLNESWFQHGVSPFSLDVQVEQFDQFKTRTTYFYTQAKNESDKSNLPNPDDFSKALYIIDIGQNDLGACFRSLTNPQCIATFPDIIQDFATAVQKLYDKGARAFWIHNTGPFGCLPISTTNHPNPEPGYFDEIGCRKDQNDVAIEFNKQLKDKIIQLRTELSDAALTHVDMYAAKHQLISNAKNQGFEDALSYCCGYHKNGVDIWCGNTGTVNGTVVSADSCPDPSKVISWDAVHYTDAANYWIANHIIDGSFSDPPGAATDSQDCKIPAIYNFGDSNSDTGGISAAFYPAGPPTGETYFGIPTGRGSDGRLIIDFIAETLGLPYLSAYLNSIKANFEHGANFATGGATIRRVNESWFLNGVSPFSLDVQVEQFHQFKRRTTYFYNQAKNKSDRSNLPSPDDFSKALYTIDIGQNDLGVCFRSLTNQQCVATFPDIMKDFATAVQQLYDYGARAFWIHNTGPFGCMPIATTNHPNPKPGFFDELGCRKDQSDVAIEFNKQLKDRIIQLRTQLPHAALTYVDMYAAKHRLISDAKNQGFVDALSYCCGYHKNGVDIWCGNKAIINGTEIKADSCKNPSKVISWDAVHYTEAANHWIANHIINGSFSDPPVAISQACTKSITM >KZM89450 pep chromosome:ASM162521v1:6:823263:827184:1 gene:DCAR_023187 transcript:KZM89450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPLPEIQILGKILNTRERLRHRESKLPPYGDLLDSFLDFQQQNPDDFNIMHIKALLTVWLHLSLDDVMHKVRGEISERIKGKERLEEAETLELHYLQSVLKETMRLHLTVPLLLPHKSETDVKLNGYMIPKNTRVIINAWAIAHDTNSWENPDHFKPERFIGSEIDYKGQHFSFLPFGSGRRMCSGIRLAERAMSLILVSLVAHFDWKLPNNMLPEELDMDDTFGVTAQRATPLLLIPTPASN >KZM90135 pep chromosome:ASM162521v1:6:11504549:11506665:-1 gene:DCAR_022500 transcript:KZM90135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPKKSPNIAPSDFNLSERNTKALQFIEDVTSEPDQIQKQVLSEILSRNAHVEYLERHGLDGKTDRLTFKKIIPVIKYEDLQPDINRIANGDKSPILSSHPISEFLTSSGTSGGERKLMPTIEEDLERRSLLYSLLMPVMNQFVPGLDKGKGMYFLFVKSEAKTPGGLFARPVLTSYYKSSHFKNRPDDPYTNYTSPNETILCSDSYQSMYSQMLCGLCLHDEVLRVGAVFASGFIRAIRFLEKHWSVLCNDIRTGTLNPEITDPSVREAVLKILKPDPELANFIETECSKKSWQGILTRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSESYFGVNLNPLCKPSEVSYTLIPTMAYFEFLPVHRNNVVTSSISVPDSLNEKEQQELVDLADVKLGQEYELVLTTYAGLYRYRVGDVLKVAGFKNKAPQFNFICRKNVVLSIESDKTDEVELQNAVKNAVTHLLPFDATLIEYTSYADTTTIPGHYVLFWELNVNGTTPVPPSVFEDCCFAMEEALNSVYRQGRVSDKSIGPLEIKIVESGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLNSRVVSTYFSPKCPKWVPGHKQWMDINSS >KZM91098 pep chromosome:ASM162521v1:6:22115546:22116337:1 gene:DCAR_021537 transcript:KZM91098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPASLPLNKLQDKVAIITGGASGIGEATAHLFAEHGARAIVIADIQDELGQTVANSIGPHKCKYFHCDVSDEAQVQALVDFTAHTFGPLDIMFSNAGIVSHSDQDVLSLDMPQLDRVFAVNVRGMAACVKHAARAMVASRVKGKIVCTASIAGKTGGKKRTDYFMSKHAVVALVKSASKQLGEFGIRVNCVSPYAIATPLMTKLYNKGKEEVEKIYQPFTSLKEEALKVADIANAVLFLVSDDSAFVTGHDLIVDGGFST >KZM91469 pep chromosome:ASM162521v1:6:25410820:25413204:1 gene:DCAR_021166 transcript:KZM91469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLLFSLVLSEMASIMLLLFRNPLRKLLIMGIDRAKRGRAPLVVKSVAATVFVIMMYYVYAVREMQSRPVESLNPTDQILLANYMLQASLMGFSLFLSFMIDKLHHYIRELRLLRKTMETAKKQYRSFDDSKSGGGEGVQALGEEISNLRAKIKELEFKCETKEKEVKSAETSLGALKSQSEGFLEEHARLQEENQNFRNQLQSIDQNSSHSDGKKNM >KZM92130 pep chromosome:ASM162521v1:6:30770443:30771453:1 gene:DCAR_020505 transcript:KZM92130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTTAVHFYAKSRDVGSARKVFDEMSVRSRETWNAMIAGYCSQRERVGGDACGVALSLFCEMLGGGVKPDGTTMVSALSAVSQLGVLESGICVHGYVEKMLCEPQNDVYVGTGLVDMYSKCGCVDSAMWIFKRMKERNVLTWTAMTTGLAIHGRGKEALEVLDMMEGHGLVPNAVTFTSLLSACCHGGLVQEGLSLFYSMDRFGVLPTRQHYGCIVDLLGRAGHLEEAYNFIDNMQVERDAILWRTLLSACNVHGDLLTGEKIRQILLNMQTEQKFKSTGAAADMSEDYIALSNMYASADRWDDVKIVREEMKFKGYGSKPGLSSLQSPGNFITG >KZM91366 pep chromosome:ASM162521v1:6:24520887:24522269:1 gene:DCAR_021269 transcript:KZM91366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSPSLHIAMYPWFALGHLTPFLHLSNKLAKQGHRVSFMVPTRTQEKLQHFNLHPDLITFIPITVPHIEGLPPGSETTSDVPFPLQTLLVTAMDQTKDLVEGLLRELKVDVVFFDFAYWIPSLARQLGIKSLHYCIISPATIGYTLSPERHCSGSNISEAELKQPPASYPGSDITLSAYEARAFSARRVMKFGTNMQFNDRQFISLNECDALGFRTCREIEGPYCDYLENQFQKPVLLTGPAIPEPSTSPLEEKWAKWLSKFDSGSVIYCAFGSECILKKDQFQELLDGLVLTGMPFLAALKPPAGAGSIEEALPDKFEERVKGRGVVHGGWVQQQLILEHPSVGCFITHCGSGSLAEALVNECQLVLLPQVGDQIINARMMSRNLKVGVEVEKGEEDGALTKESVCKAVASVMEEGSDVGQQVRNNHAKLRHFLLDKGLESSYIHNFIIKLQELLMG >KZM91621 pep chromosome:ASM162521v1:6:26599611:26602090:-1 gene:DCAR_021014 transcript:KZM91621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIEFQEKKRWGDLSKVYTRKKHNKRFKNCDTGAVEPATSSATPVNEAVSTETITDITNNQEKVGVEKGGDSVQERPLELDLAEKDGNSTSQVEEVPKNLSPLNGVSDGLSNENQPQEGDNSTNKLQEVPNGNAVINPVVVRVDDRVRINFAATNSRDEIWELRRKLLNELDQVRSMSKQLQAKEVELSGVCSNTAAAPVGAAAYSLSQHSENNERREVVKVNSEVVSVRNLKLKPFRAVSNSVMENNGFASVRENDNLVDGSQLMRVNSELDSVVTVQEYRPFRQLNVSVPENINNSHAFRHLNVSVPQNNNHSHGFSEYIEKEKRTPKANQYYRNSDFLLAKDRLPPETNKKVKSNGRRKHGQLDYASSIDRFWNQAFKSCRELLQRLMKHKHGWVFNEPVNARALGLHDYHDIIKHPMDLGTIKSKLAHYLYKSPREFADDVRLTFRNAKTYNPMGHDVHIMADQLSTIFEDRWAVIEAKYNPELIFDMNHYGGLPTPMSRKVVPTPPSSQPIFPERDLDRSEYMPEPVEFRPKPFVVPSVKTPVPKKPKAKDLNKRDMTFDEKRKLSVNLESLPVEKLESVVQIIKKRNSVLKQQDDEIELDIDSFDAETLWELDRFVTNYKKSLSKYRRRAELAQARAEAQKSIHAPNLDPAFTVAAEESKAGDTRVATKSLVQAEKQGGDVSSSSSSSTSDSDSSSSDSDSDSSSAQGSDGD >KZM89662 pep chromosome:ASM162521v1:6:2981130:2984274:-1 gene:DCAR_022975 transcript:KZM89662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAVIVMNDQGKPRLLKFYHYQPVEKQHEIIRTIYGVLCSRADNVSNFVNAESIFGPDARLVYKTFATLYFVFVFDASENELAILDLMQVFVETLDKCFSNVCELDIVFNFNKVHTILDEIIVGGQVLETSSSEVVKAVDEISRLEKASNSIMRVPKSISAWQGR >KZM92023 pep chromosome:ASM162521v1:6:29868712:29873063:-1 gene:DCAR_020612 transcript:KZM92023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAKTLIRRVVTGRNMKASHHTSTTKGEKESVTSRNKDLSISKEKVDCVVIGAGVVGLAIAQQLSVNHGRDVLVVEAASTFGTGTSSRNSEVVHAGIYYPTNSLKARFCVSGRKMLYDYCKEREIPYKKIGKLIVATRSSEIPKLNVLMDQGLQNGVDGLKMMEGNEAKRMEPELQCVKAILSSASGIVDTHSLMLSLLGEAESHGTTFSFNTTVTGGHLEGNHIHLHISGTKDLENCDGRSSLHPDLMLIPRLVVNAAGLSAPLLAKRFSGINCGAIPGSYYARGSYFTLTNIKAPPFKRLIYPIPEDGGLGVHVTLDLNGQVKFGPDVEWIDGIDDISSFLNKFNYSVCADRANQFYSEIWKYYPDLKDGSLEPSYAGIRPKLSGPGHGSVDFVIQGEEIHGIPGLVNLFGIESPGITSSMAIAEHVAARFL >KZM90360 pep chromosome:ASM162521v1:6:14588801:14599522:1 gene:DCAR_022275 transcript:KZM90360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNNTARNVAQAIVAVLEWNCPPDTRKAAVSYLESVKAGDVRVLANTSVLLVKKDWSTEIRIHALKLMQHVVRLRWDELSSNERRDFTNVAIGLLPEVAGPCEVWALKSQTAALIAEIVRREGLSLWQELLPSLVSLSASGPLQAELVSIILRWLPEDITVHNEDLEGDRRRLLLRGLTDSLPEILPLLYSLLERHFGAALSEAGMQQMDSAKQHAAAVTSILNAVNAYADWAPLPDLSKYGIIHGCSFLLSAPDFRLHACDFFKLVSSRKRPVDADTAYDSAMSNVFQVLMNVSREFLSKTGPHAATIDENEFEYAEYICESMVSLGTFNLKCISGDSTIIPFYLQQMLGYFQHFKLDLHYQTLFFWLALLRDLLLKPKVIQHAAVDSSLNNLSSGSKQADDGKMNILSFLNEDIFSIMLEITFQRMVKKERVQSGTAYGIGALELWSDDIEGKGDFSQYRSRLTELIRLITCSKPLLAATKVSEKILIIINAFSLDPTAQNFAAMESMQFALDNIVSAIYGGSTDYNNNDSEIRVSLGRILEGLLQQLLSLKWTEPTFVELLGHYLDAMGPFLKYHADAVGSVINKLFELLNSLPFVVKDPATSTARHARLQICTSFIRIAKTAGKSLLPHMKAIADTMSYLQKEGGLLRGEHNLLGEAFLIMASAAGVQQQQEVLVWLLEPLSKQWIQTEWQNEFLSDPNGLIRLCSETKFMWSLFHTVTFFEKALKRSGVRKGSSSVLNTSSENYVPCHPMASHLSWMLPPLLKLLRGIHSLWSPPVTQALPGEMKAAMVMSDVERTSLLGEGNLKSSRTALNFIDGTQFDMNKEGSTESNENDIRNWLKGIRDSGYNVLGLSATIGDSFFKTMDAHSVALALLENVQSMEFRHMRQLVHSIYIPLIKCCPSDMWELWLEKLLHPIFLYSQQALSCSWSSLKQEGRAKVPDRLGIPADSDLKVEVMEEKLLRGLTREICTLLSILGSQGLNTGLPLVEQSGHVNRVDVSALKELDAFSSSSMIGFLLKHKGLALLALQIVLDTFKWGDGESVTKVSSFCGSIVLLAVSSSNAELREFVAKDLFYAIISSLEFDSNVNVSAELVGLCREIFVYLSDRDPAPRQVLLSLPCIAPQDLLAFEEALAKTSSPKEQKQHMRSLLLLATGNKLKALANPKSVGVITNVSARPRSLVPAPEPRNEEGPIGLAAIM >KZM90772 pep chromosome:ASM162521v1:6:19036509:19038339:1 gene:DCAR_021863 transcript:KZM90772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNASAICKHCNINISPTPHAQTLWCHGCGRIITVCRYQETWKQGKVVFETKFYKHSLRQRFSEDNCQKSLITSTVKHMHSETISSWEAPRGKRALLCGVVYSKQKYRLKGTFNDVNNMRHMLVEHFKFPSSSIHILTEGGPYFHPTKRNIQAGLKWLVKDCKAGDSLVFYFSGHGLRQPDFDNDELDGFDETICPLDFKTAGVILDNEINDTIVRPLKPGVRLHAIVDSCHSGTILDLSYVYNLKDKTWMNNHPPSNAYKGTSGGQAICFSACEDDQLAEDTSAFSGKQMSGAMTYTFIKAILENPDITYEGIMISMRTAIRQARATGCLLKRLFRRNILQATGVHVNYEALECL >KZM90420 pep chromosome:ASM162521v1:6:15764775:15765188:-1 gene:DCAR_022215 transcript:KZM90420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQGRTVMLLMIVLQTMHMID >KZM89692 pep chromosome:ASM162521v1:6:3418445:3427074:-1 gene:DCAR_022945 transcript:KZM89692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAQDRDTKVVSETTKSCMDTATSEQGNSRVNISAVDPDTGTESKLLLYRQDVVRCKNSDKIGMVTEVAGDSDSDSDSSITDDEDEDDNENDKTSNEEEGVADGDTNNSDDENKDDSNYKISQLAADQVRVIWMDESETVQNVKDVELVDRGFLHGDYVASAADPTGQVGSVVDINISVDLMTGDGSVIADVSSRDLKRVRDFAVGDYVVHGPWLGRIDDILDNVTVMFDDGSVCKVMKADPLCLKPVGKNNLDDVHFPYYPGQRVKANSSSVFKNSRWLSGLWRANRLEGTVTKVTVGSVFIYWIASAGYGPDSSTTPAEEQNPKNLKLLSCFMHASWQLGDWCLLPTTSRSSSTVLSEGLSNLGLHDKRRHQTRQESDSDTPTPENLDDNGESMECDVDTSLNRNDGNIDSNLSGESGSCSSPLSASKDNVRETWPLHRKKIRKVVRREKKARKKEENFERALLIVKTTTRVDVIWQDGTTECGLVSKSLISIDSPGDHDFVAEQYVVEKAGGESDIAGAIARVGIVKSVNAKDKTTCVRWLKSVSRAEDPKEFAGDEIVSVYELEGHPDYDYCYGDVVVRLSPVSVLDEPISLQGCSEKSKQFNSSSDVNQVMEKSSCDETVAGFSELSWVGNITGLRNGDIEVTWADGMVTTVGPQAIYVVGRDDDDSIAAGSDVSDDAASWETVQDDEREVLENAEEELETPDGSGSSSEVDESRTSAENSGMNGALSFPQAAFGFMSRLASGIFSRGHKHVDPFNANARDAQVQEITNLVNIDDGDGSGSQRPNGTINDCNVVISHGEVEEQVDAKGTDLLEVTEDLCSSNPRKLKAVSSDAADSSFKRFDIAKDPCDHYFVGANGQGNTGRKWLKKVQQDWNILQNNLPDTIYVRVYEDRMDLLRAVIVGAYGTPYQDGIYFFDFHLPPDYPNVPPDFESLVTEHFKKRGYYILKACDAYMKGYLIASLTEDASLSDKSIPDANSVGFKLMLAKIVPKLFLALTEVGACCDEFKHLLQS >KZM91371 pep chromosome:ASM162521v1:6:24582353:24586996:1 gene:DCAR_021264 transcript:KZM91371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVARLRNTKDERAGEKIDNNLFIGGGSGDINDFPDFSTDNLLDSIDFDDLFVGMENEVDVLPDLEMDSDILGDFSVSGGEESEFVNYNNSAASGSAENTDAKNSNSASSTKEDNKVSDSGSGSSSLINQGEEILSKRENKSSSAKGAAEKGKKSSKNHQGKRKVKVDWTPELHRRFVQVVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAASWSQRRQIYSGGALEGCKREMISTNPNWFTPTVGFPPVAPMPHFRPLHVWGHPSMDQRHIWPKHNFPPNSRPPVIWPAPAVAPSSHLQQVPNTMPHGTPCFQPPPPTATNFAAPPAVPGLPPRAMFKADSIAAQACPHPPIDFHPSKESIDAALEDVLTKPWLPLPLGLKPPSTDSVVVELHRQGIEKIPPTSTSG >KZM90752 pep chromosome:ASM162521v1:6:18866468:18867580:-1 gene:DCAR_021883 transcript:KZM90752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHRKLFKDPFFVPTTQQLCQPYCDAIINPRGICPPDCFSVCNLSCKISLPEPDALFSSPPPPPPPQGSFSHHQLLVSTRSLVFIVGLVTLFAIVLCFVMYKCYRVYGNRVRSRRVRREMRVQTEDAHQEFLDEDHGFVLDHPIWYIRTVGLQPSVISAITICKYKKGEGLVEGTECSVCLSEFQENETLRLLPKCNHAFHIPCIDTWLRSHTNCPLCRAGIVSSVAVLPAQEQRIEEENVLEDARVGLVDNGSESERGELELRGCLRVEGEPRVESERKGDLGNLDQLEVNEVIQPTRRSVSMDFSSVNSGGLGFAVKNVVDANEGKLVGSSSTEKAEGKEPSLMQRSFSYSGKVLLSRHNNSQSRS >KZM90346 pep chromosome:ASM162521v1:6:14451346:14451709:-1 gene:DCAR_022289 transcript:KZM90346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMINAQPSTYKPFHPSYEEMIFHAICSLKRRSGSSSTAIAKFILRHYGGLPNNFRKILLRRLKELVACEKLVRVKNSFKLPSR >KZM90811 pep chromosome:ASM162521v1:6:19478469:19478930:1 gene:DCAR_021824 transcript:KZM90811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNKSRRLATEQDVDAALQLIQLKDYSKDIFATKSDQHLACGIRQPFTKIVLKPKETPQAREKNHDDEFMKIVLKSKEFLEARDINHYNDNDDDDGIKKRKSGGVEPCSSSITFDLDDGSDEDVNQGVGSVKKTKTKKFRSIVDVYNVTEPL >KZM89598 pep chromosome:ASM162521v1:6:2403928:2405444:-1 gene:DCAR_023039 transcript:KZM89598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSCFLNHPTLVRSSTLQQRHVQSIKPNQVICRAQKQVVTEEDNALVSRRLALTVLIGAAAVATKVSPADAAYGEAANVFGKPKTNTEFKPYTGKDFSLLIPSKWNPSKEVEYPGQVIRFEDNFDTTSNLSVMVTPTDKKSITDYGSPEQFLLTVDYLLGKQAYFGKTASEGGFEQDAVATANILETSTPVVGGKQYYSLSVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKRWFKGLKKFVESATSSFSVA >KZM89823 pep chromosome:ASM162521v1:6:5459015:5459779:1 gene:DCAR_022814 transcript:KZM89823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAFASFPTAGPAAATVGTRLFRNEVLTSAWTGLFAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQVIFGLVFLLLKDRLQIEVIRTWGTRVVGLTLLVIGAMGIREASEVPTPCVALENGECDVSAYEAFEDPKTGKKKKIGFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLGMFLVGTVISMGSYTVFIGTCSQALKDRIPRITEKLTWASSLIAIALGLGIIISQYFGFSLY >KZM91108 pep chromosome:ASM162521v1:6:22210559:22213817:-1 gene:DCAR_021527 transcript:KZM91108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGSGSGAGTFLKVIAMNIDVLAGPLVSLAYPLYASIRAIETKSVVDDQQWLTYWILYSMITLFELTFAKVIEWIPIWSYAKLIVTCWLVIPYFSGAAYVYQHYVRPFYQNPKTVNIWYVPRKKDVFSKPDDILTAAEKYIEENGPEAFEQIIHRSAGDRAASSSSGSFFFSGEDYRY >KZM92222 pep chromosome:ASM162521v1:6:31633435:31636636:1 gene:DCAR_020413 transcript:KZM92222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNTKNNTPSFWRTPLDHHTSKISALSCKPESTDIAFELSLLPHHQYHNTEKPSLSQPHHHNNNNHNPTNFHHAPPHLFQYKQNGNFGPHHQIQELPQGFHQQYQIQETQQGFRQEIDYLRPIRGIPVYQTQKPTPSSFLSAPQQQQHYSSLDSSNISRSKFLSRFPTKRSTRAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTIRTTDRPANSSDGFESDLVVDNSEDQAGDVQILGEASGASSVKRTRPVAIEQDHDSYGLWSNSSSRDAGIRGKPIRSTTGHPSPPFEELLSYENTFEKPLNSAPAVEMRSKKPNLDFSLGRSYNDHHISC >KZM92622 pep chromosome:ASM162521v1:6:34918266:34919504:-1 gene:DCAR_020013 transcript:KZM92622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEGEMKEKRKPRFLCLHGFRTSGHILKTQLRKWPDSVLDQLDLVFPDAPFPCSGKSDVEGIFDPPYYEWFQFNKEFTEYTNFDECLAFIEDLMIKNGPFDGLLGFSQGSILSAALPGLQAKGIALSKVPKIKFLIIVGGAKFMNKAVAENAYSSPIQCPSLHFIGETDFLKQYGVELIEHFVDPVVIHHPKGHTIPRLDEKGLEMMLSFLERIQK >KZM92789 pep chromosome:ASM162521v1:6:36312920:36315058:-1 gene:DCAR_019846 transcript:KZM92789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSLGKVVKTGWRSVKKRLSRKNRDSSRRTRGNEGSSQCTSSSRSSHKRDGDDDEDDDPNAPPDPLMWSKDLEKHFYGDFSFAMVQGNGEMEDFGQVETGANATFVGVYDGHGGTMVSHYVRDQLFQNLINSVQENRTMSEANLYNAFAATEEGFLSIVNASFENEPMIAAVGSCCLVGLIWNERLYVANLGDSRAVKGYLTRSNRISAEQLTSDHNARRKAIRRELRSSHPGDRSIVIKKQGMWRVKGLIQVSRAFGDAYLKKPEYALDARFVRFHLREPIKQPVLRADPSVYTRNLRPSDRFLIFASDGLWEHVSNQRAVEIVHKNPRAGIARRLLRAALNEAAKKGKTRYDDLKKLAKGVRRRYHDDITVVVIFIDNEWLEQKADVPPMSVLGGIDHMGESMFNILGGAILPNA >KZM90260 pep chromosome:ASM162521v1:6:13213139:13219255:1 gene:DCAR_022375 transcript:KZM90260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLEASSSGVRPPAKKRILESPASAEALTHDILLIIFSFLDFLDLLHCSAVCKSWNWVISTSKLYQSLYYKKKCQSRGVLEESGNLEKLSKMFLKELALDSHRASLEEGSVDVYQWKGHSTRVNQCRMKMGLVLTGGDDKVMRLWSVNSYKCLEEYSVPDRARVIDFDFDESKIVSLVGTSVGIWRRHGKKSIFLSRKGEIPKASCMCYVDPEAVVGCEDGRARFLDMYSRKWSKIVKIQDGPVTCISLSDSQMIVGGSSTGSITVSDLSSAQRVATLKSYGSAGISTLCVNPSSPLIFSGSTAGQAFCWDLRTMRCLWRTRASPNVIYSMHHLRDHTSTLVMGGIDGVLRIVDEISGKVLSGCILDQNTSGSVSTEASNKLSRKKGRRIAEDTKLDLMPKTSRPSINCLAVGFQKVVTTHDDNYIRVWKFKI >KZM91295 pep chromosome:ASM162521v1:6:23895985:23897124:1 gene:DCAR_021340 transcript:KZM91295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKRFDADALGVVTICLVALFVVFGLFCIVYSFYFRTRIRRQGFTRLRYFSGPWIIRIIFILLAIWWCVGEIIRLNLLRSEGRILNVNLEWQRTICKGYIVSNLGFAEPCFLLTLVFLLYGSLQKTEARTLRHRWNCKTAGYVVLYCCPMFVLQLMVIIVGPKLNKRGSYLHKLPHYFTNIVAPSMRKNGVDIALCTYPLLSTTFLGIFDIVLTTYLVWLGRQILYLVINKVLHKRVYILILSISSFVSFRVILLGLSVLSKPEHVWFELLSFLAFLSLLCCAGLGVCILVYLPIADSLALRNVQDMEARSGDDQHDTVSLITNQSSLEEISAGRTSGTSAKRGSISFRTIDKDQISGTFVELSLFSPGQHSSPPGSP >KZM91647 pep chromosome:ASM162521v1:6:26794436:26797306:-1 gene:DCAR_020988 transcript:KZM91647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIHILRRLQHPNIIKLEGVVVSEMSCTVYLVFEYMEHDLARLLSRPGLTFTEPQIKCYMKQMLRGLDYCHRHGILHRDIKSSNLLAGSDGILKIADFGLANFYNQRQVEPMTNRVVTLWYRPPELLLGATRYGTAIDLWSTGCVLAEFYAGEPIMTGRTEVEQLQEIFKLCGSPSEEFWRNCKLPHATRSRPQQPYKKCIAERFKDFPAPAIDLIETLLSIDPDDRPSAAQVLNSEFFWLQPLACEPAEMSKYQSSRVMDARVRAEEAQRQAKARSKGKRCVLEVEDVTESQAIAAPEISGLVNSERKFVNEELSNKYASQLEAMKPVRKPGSYMEGHDSLRKYGENSSRDQLLYFKDNKSNHTEPFLAPSNMSDQMGRDHASRHVRETSRHAHADKARQRRAQGEAIPLPTNALYVGPRDRIKQ >KZM91518 pep chromosome:ASM162521v1:6:25784587:25785384:-1 gene:DCAR_021117 transcript:KZM91518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMMFIVCSTLVLLLCSAPAYAQKGKSPPSPPISITPTPAPAPAPAAEHVNLTELLSVAGPFHTFLDYLVSTKVIETLQNQANDTEEGLTLFVPKDKAFSSLKTPSLSNLTADQLKSLCLFHALPHYYSLSDFKNLSQASPIMTLAGGTYTLNFTDNSGTVLVGSGWTNTKVSSSVRSTDPVAIYQVDKVLLPEAIFGTDIPPMPAPAPSPDIVAPSADAPVADGGHAPSQPTSTPSSSYRIMSFSAWSCLVLILSGGMALFL >KZM91654 pep chromosome:ASM162521v1:6:26825115:26831574:-1 gene:DCAR_020981 transcript:KZM91654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKASIQQQQQQDEECFHDSLDRILSSTNSSCSPSSSEEEEDEHDVAHSPNFNPNYAQKSKFPMGVLHNYDVWISQPSSVQERRSRLLRNLGLARDSKFSRSLALDHGEIQLNNDDIHNDNQNDVRVAASCNAEMLRSKSDGDTGKCSSNGNGFCNDSVCCLPEILCIDSSVVVGNDLGCCHGTVVNKHHNRSCSGSVALPGGNGSTVSPNKPPLGKHSRVDSTRKSLSGNCISFVVRESGGGERARVMEGEGDLDCDLIGSVSDPACTIKNLDTGKEFVVNEVRGDGSWEKVKEVATGRQLTMEEFEMCVGHSPIVQELMRRQNVEDGNDKNNSDYNGNGDGAKLKKKGSWLKSIKNVASSVTGRKERRSSDDRDTASERGGRRSSSATDDSQEVSFHGPERIRVRQYGKSCKEVTGLYKSQEILAHTGSIWTIKFSLNGKYLASAGEDCVIHIWKVGESERKGDLLLDKSEDGNLSLLLLTNDSPEPNSGSPNFGSQLEKKRRGRSSISRKSVSLDHVFVPETVFALSEKPFCSFEGHLDDVLDLSWSKSQHLLSSSMDKTVRLWHLTSKSCLKTFSHSDYVTCIQFNPVDDNFFISGSLDAKVRIWNISDRQVVDWSDLHEMVTAACYTPDGQAALVGSYKGSCRLYNTSVAQFAPGSKSEVLITSADSRIRVVDGVDLVHKFKGFRNTNSQISASLTANGKYVVCASEDSHVYIWKHEADSRPSKSKGVNVTRSYEHFHCQDVSAAIPWPGMGDIWGLQDGCSGELNGLDDHPEEASLANNPPTPVEDANVIESSPLATGCTSSPFHGTISSATNSYFFDRIATWPEEKLLLAAKKRSPHVSVDFSNGVNQRRSAWGMVIVTAGLRGEIRTFQNFGMPVCI >KZM92196 pep chromosome:ASM162521v1:6:31399616:31406671:-1 gene:DCAR_020439 transcript:KZM92196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSNNYNVTARIFQRQIRTPAPGTSFHCARRFYENIVPSCTIYDAECPDHSFRKFTEDGQYLISFSRNHQDLIVYRPSWLTFSCKEEDCDGQDLPLKARKFESFFSQLYSVPLASSSELICKDFFLYIESNQFGLFATSTPQVHDAPAIGGAVHGVPSVEKITFHLLRLGDGVILDERVFRNDYVNLAHSMGVFLYDDLLAIVSLRYQKIHIIQIRDSGTLVDVRAIGEFCQEDDELFLNSSYQASETCTANGDRSRQHHVPVDHVGQSDNHNQPSPENSFLSGIKQRMLSFIFQGIWNEETDETLRMQHVKKKFYFHFQDYVDLIMWKVQLLDRHHLLIKFGSVDGGVSRNADNHPAFLAVYNMETTDIVAFYQNSVDELYLLFEQFCDHFHVTSKRSLYMNFISSHSNNIHVREQVRSNKNKATSLPQFVKKMLSSLPYCSQSQSPSPYFDQSLFRYDEKLISATERHRQCTDHPIKFISRQSNTLKFKIKPGPEVGTADGRVKKISSFLFHPFLPLALSIQQTWSLQPAVVNIHFRR >KZM90765 pep chromosome:ASM162521v1:6:19004383:19006078:-1 gene:DCAR_021870 transcript:KZM90765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSERGVEVVVKRTEVVAPFVPLTVQRLPMLNLDLLVPPSDIGILFCYNAGSMNNEVMISMVKRGLSQVLVPFYSLAGEVVLNNEGEPEILCNNHGVDFVQAIADMDLQCLDLHKLDVSVYPCLFPVRKRGVLSVQVTEMRCGALVICCSFDHRVADPHSVSIFLVAWAYMTRSKHCGDDKIASVSSPDYLRSLLHPRNISHPDATIDNNYVLFEAASSDSPQAPVHHHYALLWKLLAKAATEDEKRCKLGIVVNGRNIIGKSTNNYFGNVLSVPYINASVDELKSMALSETADKVRACVESAANEEHFRGLVDCIETHRPCRAMYAIFSFHPSDTEDVAVVISSGQLFPVSKLNFGWGHPSFVSFLSPWRGNTGFVMPMPSPTNNGDWIVFMHLCEKHLDFLEKEAPHFFKPFRLSPLEKFGEESKL >KZM91029 pep chromosome:ASM162521v1:6:21530232:21531644:-1 gene:DCAR_021606 transcript:KZM91029 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MACVEKLHIVMFPWLAFGHIFPYLKLAKLMAAKGHKISFVSATPKNIDSLPKIPQNLAPFINLVKMPLPLVPNLPENAESTTEVPFHKVKYLKLMYDLLQEPITRFLESSTADWILADYVSYWLRPIASRLRIRWCPYNIFTASFMGFLGPPSNLIKGDGYRVKPEDFTVKPEWVHFDTNVAMSLYQSLALAAGYETDEETGNVSEAYRVGRSLEYFDMVAIRSSVEFEGDWLKLLQDMYEKPVIPVGLLPDVEESKEDDNEDWSEIKDWLDKQAKGSVLFVAFGSEAKLTQAQTTELALGLELTGLPFFWAMKKQRGLSDTEPVELPEGFQDRTRGRGMIYTTWVPQTKILDHESVSALLNSSGYSSVVEAMQFGKALILLPCVYDQGIIAKQLEEKKLGFQIPRDESDGGFTRESVAESVNLVMLDEEGKIYRDNVKDMQAILCDMDKQNGYVDNLLNYLQNHKFSKN >KZM89586 pep chromosome:ASM162521v1:6:2311139:2314882:-1 gene:DCAR_023051 transcript:KZM89586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATTTTTSPSSSGGSWCVASQSASQTALQVALDYACGYGGADCSAIQPGSGCYNPNTLRDHASFAFNNYYQKNPVPTSCTFGGAAITTSTDPSYGKELNKHSGQIPTRFSISIIRRQMDVTTPITTIPTLNPTPTTTSPVLNPTVSNPNSPSNTNPTMAPATTTTTSPSSSGGSWCVASQSASQTALQVALDYACGYGGADCSAIQPGSGCYNPNTLRDHASFAFNNYYQKNPVPTSCTFGGAAITTSTDPSSGTCQYPTTSTISSVLNTTNSNGAPVFGAGPANPTSSPATVPSQSMAWSGSTNGQYNVKTGYQHWCNMNMARTIIVQCTGWSKLWRLNPH >KZM90215 pep chromosome:ASM162521v1:6:12680747:12681098:-1 gene:DCAR_022420 transcript:KZM90215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNLSSLVHELRERIAASSSTPPLNRTGGDDQNDDALEIRFRAVIPNLLHTYVVPSSTGV >KZM91012 pep chromosome:ASM162521v1:6:21373871:21376110:-1 gene:DCAR_021623 transcript:KZM91012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAETEEEQNKSRQQVGMEGDKQLQNTIKAAIQVLSTLTKEFSNPTSWPTQSMKTISDHAGDYTHLFEMCGGAHYKSSFASLLSLVAALKAKSHEMSSPTHCSVSPMDEDDVEKLEERASTLRKELANKNEYLKVLMDQLRELMNDVSSWQSQCSE >KZM91736 pep chromosome:ASM162521v1:6:27452972:27453160:-1 gene:DCAR_020899 transcript:KZM91736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRKPSKIQVKLEDKEEIEEARKRAAAAAATTTTGASSLLHHFDRSSKDPSSKNSRIGLSS >KZM89793 pep chromosome:ASM162521v1:6:4919159:4921952:1 gene:DCAR_022844 transcript:KZM89793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGTRPDAFYTEEAARKITSDIPSNLTVLIDDISYHVHTFVLLPKCGLLQRLCSSHARDSDNVMVLELHEIPGGVDAFELCAKFCYGITTNFSAHNIVSALCAAHFLQMTESVEKGNFALKLEIFFSSCILEGWKDSIVVLQTTGRLSEWSENLGIIRRCIDSIVDKILTPPSKVTWPYTYTRPGYSEKRHQNVPKDWWTEDISEVDIDLFRCIITTVRSANMLPLQLIGEALHVYACCWLPDIKKINPTATSNSQSEESLDRKRRILVSIASMIPEQRGSVSVGFLLRLSSMVKFLGVSPAIKAELIKRSSLQLEDAALDDLLLPSHSPSAEDTHFYDINLVGAVLESYLREWKRQCNAGSTRYQRSITKVGKLIDLYLQLIARDVNTPVQKFLWLAEALPEISRPNHDELYKAINIYLEEHPELSKQEKKKLCRILDCQRLSPEVCAHAVKNERLPLRTVVQLLFFEQERAGMATSSDNIKKQEEDEQELRPPTAARQQTPASASAAHELSKLKLGPSRKLSEVESTRSSTPGIRKASEKLLEHSTSGKVTARSSKTPGTGEKSEHQKMRIRDQRLPVELKEKMIIEQVQERNVEIGQGTHGNGFEPKKTMPRRQR >KZM91857 pep chromosome:ASM162521v1:6:28403196:28405467:-1 gene:DCAR_020778 transcript:KZM91857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNEKEFSFPSFNYEDEPVAVSLQFSDNLEEISPQPWRNLHEPPVPQFLSKTFDLVDDPAVDSIISWGETGQSIVVWDPIEFARLLLPRHFKHNNFSSFIRQLNTYGFRKIDTDKWEFANECFLRGKRHLLKNILRRRTTHSQQTENPSSDLRKAQIEDEIERLRKERGMMMQEVIELQQQHHCTVQHMEAVNEKLQAAEQKQKQMISFLANVFQHPEFLARLQRKDKGSIVSPRTAKKFAKHQETKPPISALAMEEQIFKFEDNLENSATAYENPHSNLAEQIVHSLKQETVENPGFSAEVPSCRVQNIVQSHNMAVQDGLPESLEEVRRGIPDLGPNNSLFVGNKEVSCQQDHFPEYFVCSPYVCGKDNSYPELMSPGFGFEELGGQFPLNLGSEAGPSISNSNTAVLGNLSNNNVAPEFGASGGMSGIWDVSSLQVAVGSEFDMWHHESSLTELEDQVGQPKSDNSETERVNP >KZM91872 pep chromosome:ASM162521v1:6:28562260:28576629:-1 gene:DCAR_020763 transcript:KZM91872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHKIEAADGVVAVDPASSPPQKIIIDTDPGIDDSMAIMMAFQMAGLEILGLTTVFGNVSTKDATNNALLLCEIAGCQHVPVAEGSSEPLKGGIPRVADFVHGSDGLGNTFLPPPKSKKIEKSACEFLVDKVNEYPGEVSILALGPLTNLALAVKRDSSFAKKVKRVVVLGGSFFALGNVNPAAEANIYGDPEAADILFTSGANIEVIGINITTQVKLTDDDLSELRESEGKHAKLLSDMCKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFKYKKGVVRVETQGICIGHTLMDQGLKKYTNTHKSLVLLIFKIFELLSLTVHGPGPGAKDSSAQNGLIHKHGPKPKLFVFGDSYADTGNIRKSLSSSWKQPYGLTFPGKPAGRFSDGRVLTDYIAKSMGIKSPTPYQWKSFAKKRLHYGMNFAYGGTGVFDTNVLLPNMTTQIDFLEKLMLDDPVYVKADLQSSLVLVTLSGNDYGHFYTTGGTAQGLQSFITQVVNQLALNLKRIETMGARRIAVTGLQPLGCLPRSTVVSAFQQCNKTENTAVNFHNLLLQQAVAKLNNESNSRKPVFTILDLYTSFTTALKNKGDHPGATTFETPLKPCCVGISSDNFCGSLDDKGVKLYTVCKNPESAFFWDTAHPTQAGWHAVYYSSLKATLEHSF >KZM90411 pep chromosome:ASM162521v1:6:15721591:15722001:-1 gene:DCAR_022224 transcript:KZM90411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHDAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPVVDGHAVNEPPQVQPPVENGHAANDRVANGAHD >KZM91937 pep chromosome:ASM162521v1:6:29138327:29139571:1 gene:DCAR_020698 transcript:KZM91937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEVPSFFLCPISLEIMKDPVILSTGITYDRMSIENWIFLRKNNNCPVTKQELTDVELTPNHTLRRLLQAWCTLNASYGIQRIPTPRLPVSTSQISKLIKDSKSPKLQMRCLKRLKSIASENETNKRFMESAGAANFLASIIINAKRSSADEVVEEEFELTRAEDEALSILYQLQLSETSLKSLIRSENGEFIESMIRFMKSDNYESRTYSILLLKTIFYVVDQAEMKSFRGELLKEVIHVLKDEISPKASKAALQVLIYLCPLGRNRIKASEAGAVQVIVDFLISHPSDKRCSEMALTVLELLCQCAEGRSELLKHGAGLAVVSKGILRISRFASDRGVRIIHSIAKYSGSSSSVLQEMLQLGVVSKLCLVLQVDCGSKTKEKATEILKMHSRAWKNSLCFPMAITSSYPA >KZM89510 pep chromosome:ASM162521v1:6:1403318:1404034:1 gene:DCAR_023127 transcript:KZM89510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHDGDSSRVTTDNVSPVGTNRRIASNWTKRRLLGRKSCGSVYEGCTDVGFFFTVKELSFLDHEVQGSRLEEAISLLSTFEHENIVQYIGTSKNDSTLHIFLELVSKGSLLNLYQQYQLNNAQASA >KZM90351 pep chromosome:ASM162521v1:6:14459844:14460203:-1 gene:DCAR_022284 transcript:KZM90351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSTYKPFHPSYEEMIFHAICSLKRRNGSSSSAIAKFILKHYGGLPKNFRKILLHRLKELVACQKLIRVKNSFKLPSQ >KZM91566 pep chromosome:ASM162521v1:6:26085450:26089677:-1 gene:DCAR_021069 transcript:KZM91566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAEVGKKVKKNENTGRLKWGFVIGAFLVVLAASAMNSPHSHKISTFLNNHKICSCSQGSHKYSGIIEDCCCDYETVDNINGAVLNPLLQKLVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPDNEFPESFKKPSYRSLSSDHLVCQEGKPQGTVDRTLDSKVFKGWVEIDNPWTSDDETDNGEMTYVNLLLNPERYTGYTGPSARRIWEAIYSENCPKYRSGEICQEKKVLYKLISGLHSSISIHIAADYLLDEKTNMWGENLELMYNRILRYPDRVRNLYFTFLFVLRAVTKASDYLEQAEYDSGNHAEDVKAQSLMHQLLNNPKLQAACPLPFDEAKLWQGQNGPELKQQIQNQFRNISALMDCVGCEKCRLWGKLQVLGLGTALNILFSVNNENDPAQRLQLHRNEVIALVNLLNRLSESIKVVHERGPLVERLMKHLPSESSVQQMSVWKRLWELTLGRLNKLR >KZM92185 pep chromosome:ASM162521v1:6:31300890:31310959:1 gene:DCAR_020450 transcript:KZM92185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRSSLNCNHTRKIRVVHDNTKLKLKKKSCLFLSNSKRISPLRFCVRSAHLDSVKPKGSVDQESEILVWPSPKDKIPFWKRDFPLSHVRAEGLPNIKDTDLLHIVHITAELAPIAKVGGLGDVVTGLGRACLSRGHKVDIMLPFYECIHKHNINELELVTTYASYHDGNWVPTNAYHGVVSGIEVIFIEPSNHFFKGESVYGGSYNELEAYLFFSRACLEWMQITGKEPDIIHVHEWQTSVLPLLYWDMYHYLSLQKPRIVLTIHNMEHYGECSQEQLNKCGLDGSLYGTLDKAVDDRTIGHNPERLSLLKGGIVYSNAVVYIGILNGIDTTIWNPATDAFLPINYDALKVGGKKICKQFVQKGLGLASEDTENNNAAVRIPLIVCITRLVAQKGLHLIRHAIKLVEQLGGQMIILGKASNTQVEREFEDLANLHNKDSNIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLAQMIGMRYGAVPVVRKTGGLADTVFDIDDHSQPEMANGFVFEGIDEESLDGALHRAFSYYQEKPNDWNRTVQNVMKIDNSWNNTAGKYIDLYNSIRVK >KZM90815 pep chromosome:ASM162521v1:6:19504129:19506623:-1 gene:DCAR_021820 transcript:KZM90815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSKESETKFDLSLKLDTHDEHHSHSDHNQQDGENVSQIMKEPRVDEAQDHEGSDVVGSPRVSKIQKDFKTQELSALQTEVRRMKEENKLLMKVVEQTLRDYNNLQQKFSIITQDNCDKEPNCFLSLHGNGGDADHIRKRKSSPPEKNVNYDEESELGLSLRIQSQQEQIREKDDEVVLEAEDVEKDNCEDDIRKKPSPTENKLQRVDLRGLINNAASPANKKARVSVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGSHNHPLPVGATALASTASAAACSYMLVDNNNISNISTFHQQSNFPYHNNISYNSPSPNALDYANITKFPHQFLMASSSNSSPQLHNPWIPSNLNNIVTSTNMLHQFRVPPLQSDDASGKSKGDQGKLMAENMSAITSDPKFKVAVAAAISSLMNKESQVNNSLQLRKDGDRNGGNPPVLNSAFVGKELPE >KZM92500 pep chromosome:ASM162521v1:6:33881584:33884577:-1 gene:DCAR_020135 transcript:KZM92500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTMLKDLNDTHDTWRIRVRICRLWDSTNPQKNGELISIDMIFIDEKENLMHATIKKHLASRFRHLLQEGSTYSIKNLQVVKATGDYRPLSSEFKCIFLATTSPKKLQEDTVQIPRHGFQFVMPDDIESRLNDPAILTDVVGLLSGIGEVDIVGNNWKKRDLHIITNHSVNATITLWGKHIEQFDPKIYKEEDGPHIIIVTSTTIKKFKGAVSFNSTNNSKIYMNLDIPYVMTLRERFARHSTKLKFIESSNSNKYTLEEKMFFHRMSIKELVDSKWSEDLKIRANVKDKLTCNDGMEPPRECGKRKASMIDDAEESGGNK >KZM89799 pep chromosome:ASM162521v1:6:5041422:5044075:-1 gene:DCAR_022838 transcript:KZM89799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWRVMLWAVLVSHTLIEFVGAEDPYRFFDWTITYGDIYPMGVKQRGILINGQFPGPDIENGLQQRRNSFEDGVYGTTCPIPPGKNFTYIMQAKDQIGSFYYFPSLSFHKAAGGFGGIRILSRPRIPVPFPDPAADFTLLIGDWYKSDHARLRRILDYGRMLPYPDGILINGHGTNGSAASFTVEQGKTYRFRISNVGLRNSLNIRFQGHKMKLVEVEGTHTLQTTYSSLDVHVGQSYSVLVTADQPAQDFYIAVSTLFVASKDLLTTTGILHYSNSQKPVFGLPPPGPTNHIRWSLNQARSIRTNLTASGPRPNPQGSYHYGLINISRTVKLVNRPSQVNGKQRYGVNGVSFTPSDTPLKLADFFKIDGVFRVGSIPDNADGHEPLHLDTSVMGADFRSFLEIVFENQEKTIQSWHLDGYAFFVVGMDGGTWTTSSRTQYNLVDAVSRSTIQVYPRSWTAIYVSLDNVGMWNLRSEYWARQYLGQQFYLRVYSPVESPRDEYSIPKNALLCGRASGRTIPPPA >KZM91397 pep chromosome:ASM162521v1:6:24793501:24795163:-1 gene:DCAR_021238 transcript:KZM91397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIEHKYLDVRGLKLHVAEIGTGPAVIFIHGFPEIWYSWRHQMIAVADAGFRALAPDCRGYGLSEKPAEAEKTTFRDLLDDLVVILDLLGLPKVFLVAKDFGVRPAYHLAMIHPDRVSAVITVGVPFILNVVPFGIPKGFHMNRWREPGRAEKDFGRFDVKTVIRKIYILFIESELQVARQDQEILDLVDASAPLPSWFSEEDLTNYATLYEKSGFQTPLQVPYRAWLEDYGLDELKVRTPALLIMGEKDYVLKFGPLAEYISSGMVKEFVPDLEVSYVPEGTHFVQEQFPEQVNPILLNFLRKHT >KZM91750 pep chromosome:ASM162521v1:6:27560789:27566346:-1 gene:DCAR_020885 transcript:KZM91750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVCGKRSYFFEDTTSSSPPASKRTCFSSNSPARISPPRSNTVRLLSAAHLDHLAALFPDMDKQLLEKALESCGDDLDAAIKSLTELHLESAIGKFDPVQDANVQAQIPVAITSNGEDASSQNPSNTQSAPMDGAEWVELFVREMGSASDMNDARNRASRALEFLEKSIRARATAETAKGLQQENNLLKEQLQALIQENVILKRAVSIQHERQKEFEGKSQELHNLKQLASQYQEQLRTLEVNNYALTLHLKQAQQSNSLPGHFHPDVF >KZM91542 pep chromosome:ASM162521v1:6:25912057:25912655:-1 gene:DCAR_021093 transcript:KZM91542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLAISSSITPILPFSTKLSPPLALPHTVASPTTKAKQFRIYAEPGGGEQADLKQKDKKFITKEQEPEQYWQTAGEREGENPMSTPLPYIILFGMSTPFIILAIAFLNGWIKAPIR >KZM90658 pep chromosome:ASM162521v1:6:17860353:17860813:1 gene:DCAR_021977 transcript:KZM90658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGSISRTLMTAARSSPLRSPTPLLRQRLPSLAAPRPHSSRFAFINPRVLGELGTVQPLSPMFVGSRLTSHLAVNPRACIELSHVT >KZM91462 pep chromosome:ASM162521v1:6:25348255:25355589:-1 gene:DCAR_021173 transcript:KZM91462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSYHKYIVILIQVMSILVDVSWSCCCQFNVKYLLHKTKNRVLIPFNLISDQPYIYGAHFNPAITVAFVIFCRFSWKQKVLARLKDKIERYKAAGQDTAVLVGAEFGSKSSRDWAAKEPMLLLRFSSRASLGGQGCDCKKFSEMHRSNLAGMAIVPLCFKGSEEADSAGLCGTVELRYFNIVGHSSVSHSAINSTFLSLSLIYRGYFDSSYVILLFCVGIFTVDEGYESCKPATAFQNSVSSHIFRAMFISTVRASPSIMEISETGTYKLISSIDQTTTILTLRSSEKLDAESSSELERILSVGDAPLFERFVSATWIELRLLFNLAAPAIICYMINYVMSMSTQVFAGHIGNLELAAASLGNNGIQTFAYGLLIGMGSAVETLCGQAYGAHKYEMLGIYLQRSFILLTLTSVLLTVLYVFSKPFLILLGETEEIASAAAVFIFGLIPQIFAYAINFPIQKFLQAQSIVKPSAYISAATLVLHLVLTYITIYKFGFGLLGSSLVLSFSWWVVVVGQIIYILKSPLCEHTWTGFSTKAFRGLWSFFKLSAASAVMLCLEVWYFQILVLLAGLLKRPELALDSLAICTTINGWVFTISCGFNAAASVRVSNELGAGHPKTAAFSVVIVNMVSFLINVIAAIVVLLLRDSISYAFTDGEHVAIAVSELCPLLATGLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYAVGIPLGVLLGFYYDLGAKGIWSGMIGGTTMQTLILIWATYQTDWEKEVDVAKKRLDKWDNRNNKEPLLLKD >KZM92354 pep chromosome:ASM162521v1:6:32762263:32763010:-1 gene:DCAR_020281 transcript:KZM92354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAVQMAISVGIIINTFHNLEPIAITAISEGLCVPDAATPPIYCIGPLIDKQETNSEEHPCEIALGLETADGDIGGRNEGRAVARGVWWNKICEWRIEKRVKELMESENGNRIRHRVMELRDAAKAALSDENRSSRVELAKLIMKRKQ >KZM89961 pep chromosome:ASM162521v1:6:8673534:8674928:-1 gene:DCAR_022676 transcript:KZM89961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTIYSTDAYRVNLPRRHLFAKLSTASHGSLLFKSKTPKQKEWLKLLSYASSGADFHFPVLGDCSLLSHFIGNGSCYKSLKRKKTTRNVKKKERGSTAAAQAFCSDNSDAPRTAESSAAVDDYVDPISEDSSSGESDIGL >KZM90415 pep chromosome:ASM162521v1:6:15735736:15736149:-1 gene:DCAR_022220 transcript:KZM90415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPRDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQGRTVMLLMIVLQTMHMID >KZM91337 pep chromosome:ASM162521v1:6:24266119:24266760:1 gene:DCAR_021298 transcript:KZM91337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMAAEQVVELYDDYWFGFGIFNSKQHITDTIPVDLQYRELGLSPSVQTRSQSLHCLCSDTSFSLSSQSPPESFIVVTKLETVLSGKEGLDVEIPIRRQKVEKKQRRHKKGSRSLTELEVEELKGFVDLGFVFSEEDKDSSLISIIPGLKRYRKEGEEDKVSRPYLSEAWDVLDQTKLTNQLLDWRLRIPNREIDMKELLRVWAQSVASTVK >KZM92406 pep chromosome:ASM162521v1:6:33181635:33182312:1 gene:DCAR_020229 transcript:KZM92406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAHIPKNDQPESQSESEYCSNESSLSILPPTASSEDPLLKPSPVAPSKMSLSPSSGRHPSYRGIRCRSGKWVSEIREPRKTSRIWLGTYPTPEMAAAAYDVAALALKGPDAALNFPDSALSYVIPANPTAADIRAAAANAAASRACGGSGSTAGGDNPELPPPAENINIPSETSEFIDEEDIFDMPNLLADMAEGMLISPPRMKTDDSPEKEGDNLWSYPRN >KZM90242 pep chromosome:ASM162521v1:6:12977326:12977906:1 gene:DCAR_022393 transcript:KZM90242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRAKRKRYLELQDVLVSKVQSLNLSSSDLDNDVITANEDFGLMYKSMEDRQSELYSRMLGIAEKSGELHSILKYGKQADGDSSSTEETFAMPEEYKGNVGRFIEDSRASVNGHAKLLKAQITEMYAAFEAFVEEWTKKLKDLKEAANEVGAEHEKLSALLTDFILNL >KZM89664 pep chromosome:ASM162521v1:6:2997338:3001066:-1 gene:DCAR_022973 transcript:KZM89664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAILARRSLAALRSRQLVAAGQSLQGTNGYGVRHGTCSFSTKQSFSTDKDDEEREKLSREIAKDWSSVFERSINTLFLTELVRGLSLTLKYFFEQKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >KZM92304 pep chromosome:ASM162521v1:6:32295117:32296906:1 gene:DCAR_020331 transcript:KZM92304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFKAATKRALSFCHGCITHVQSQSRIYTDTASAEERKQACIGSFARIPGEVLSPPSASYKPKSVRKRKKFAEKENVKGNSAKRFSFPTSSVTDGRHDTPPPRIPSAVTGTPLTLRQTQYSSAHGGTIPCDFKHSLETAGFLSTPENTLNTKRTPLSNITNIVDDNNVPITRHQNSAVISSTPENTLNTTRTPLSNITNIVDINSKRNRCKYLGMYENNLRDTARNLFAETSSRLDEYSAESSSQTEDTAKCMPDDDNGSSSIDEDYVPGMDTDCDSG >KZM90370 pep chromosome:ASM162521v1:6:14714744:14726386:1 gene:DCAR_022265 transcript:KZM90370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHSSPSVLVIILFVFVFMQTLVFVSASAASSNISCLESERKALLAFKQSLVDESNLLSSWTGEDCCAWHGVQCNRRSRYVFKIDLHNPIDFNNDDDWYSYDVFSLRGQISNSLQNLKNLTYLDLSMNNFLGAQVPEFFASLKNLRYLNLSYSKLGGLIPRDLGKLSSLQYLDLHYNDFNGSLPTEIRNLAQIRHLDLSFNKFQDHFKNLRSLKDLHLSSNADLVVNISFTWIPPFQLNSLKLSSCKVGPRFPQWLRNQRDLEYLVISNGSIKDNIPYWFENVYLSVKLLDLSHNQITGNWFENVLESHVVNLDLSYNQLTGNVLSVPSELQQLDLSNNLLSGLMVANDIEFGNLAQLRHLDLSFNKLEGSIPAQTFSNLTQMETLDLSVNNFSGSIPLEIFSNLTQIVKLQLSNNKFTGNLPATVKGITFLKELYIGNNQLSGILPVWLGELSELQSLDLSFNFIAGTISQDHMRNLTRLKHLQLSSNLDIVVNISFSWTPPFQLTSLSLSSCKVGPLFPQWLRNQIEIEDLLISNGSIEDTIPDWFEEVYSTVKFLDLSHNQIKGKWFEKTLESRVVNLDLSHNQLSGSVMSIPYELEQLDLSNNLLSGLMVANDSKLGNWSLISLILKNNQLIGKFPDTLCNMKTIEYIYLQNNLFSSGLPTCLGSLEYLQVLDLTNNAFSGHIPRSLGYLPHLQSLHLHNNKFRGKLPATFQHLTRLVTLDLAENEISGILPSWIGEGLSSLKFMTLQSNNFHGEIPLELCYLSKLQMLNLAQNNISGSIPSCFGNLTAMTVDHSGERFVAFYSNASFGYGERLLDYMKGPELEFLTSSLTFLVSIDLSNNNISGEIPKEVGLSLHLPGWFIVFDVSVPDANPFCKGICIKLLEARYRPITFQIGRVSHPYRFMEQQARKRGWPRLLVTADVVERRKSSKRLQNAKRGCIQGEEASQSGCSVDSPNINESPAAGPSNASSCQHLNDASVMGHANAKETSIVLIHRALVHRHANLGKAVMGLSSGDLSFSRYGATNAWIANSSLR >KZM92803 pep chromosome:ASM162521v1:6:36421271:36422228:-1 gene:DCAR_019832 transcript:KZM92803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSLPKFTIKIKGERNDSEPLMPSSLSGQAVISGTTASVLHNCKREEGIDINTPASQDQQENNLPRPEKANSLEKERDNSSNIDDDDDDQDCNNAQNQLVLYNPPDAVSDDVEFSPDAVLPFACFSLEIELCHTFRYYVTPSGKRLRSMVEVQRSSFQYYYRSPK >KZM91076 pep chromosome:ASM162521v1:6:21843626:21846045:1 gene:DCAR_021559 transcript:KZM91076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRTAALAASWGYKHLVVRAIQGAATDSAASKKSQTNESQSESSPSNWKVKMLYDGDCPLCMREVNMLQERNKSYQSIKFVDISSDDYSAQDNQGLDYETAMGRIHAILHDGTIVKDVEAFRKLYEAVGLGWVYAITKYEPIATIADSVYGVWAKYRMQITGTLSIA >KZM92022 pep chromosome:ASM162521v1:6:29864794:29867543:1 gene:DCAR_020613 transcript:KZM92022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRNALPRRAHKERAQPSSRKKLGPLEKHKDYVVRAQAFHKKEETIRKLKEKAAFKNPDEFYFKMINTKLVNGVHMPESQANKYSEEELMLMKTQDIGYIMQKIQSEKKKIEKLTSVLHSTDNQPSNKHVRFAEDRDEAKEILEQISRKESMPTIDIPYRIKKKTSVSYKELEERKNRLKGLEKLYEDMALKKELQKKGKKRKLREDEIVSPTSKPVYKWRQERKR >KZM92168 pep chromosome:ASM162521v1:6:31134542:31136275:1 gene:DCAR_020467 transcript:KZM92168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFQGFILVFLIWLISTLILRAIFRSRITSKLPPSPLRLPIIGHLHLLTPIPHQALHKLSIRYGPLIHIFLGSNPCVVASSPEMAKEFLKTHETSWSGRPQTEASNYLTYGSQDFTFAPYGPYWKFMKKVCMSELLGGRTLNLLQPVRHCEVKTLVNALLQKAKTGEVVDVGCELMRLTNNVISRMIMRVRCSEDEDEAVEVKRLIKDVSDALGKFNLSDHIWFCKNLDLQGMKKKLHEIRRRYDIMMERIIEEHRDTRRKKIENGDDGDADKDLLDILLDISEDESLEIRLSMENIKAFILDIFAAGTDTSAITTEWALAELINHPKIMEKAVQEIYSVVGKNRLVKESDIMHLPYLQAIVKETLRLHPTGPLFIREASEDCTIASYRILAKTRLFVNVWALGRDPKYWENALEFKPERFIVSAEDRESGKIQLDIRGQHFQLLPFGSGRRGCPGVSLGLLVVQTTLAAMIQCFEWKIGGERNNGNTILDMEESAGLTLTRAHPLVCVPVARLNPFPST >KZM92148 pep chromosome:ASM162521v1:6:30934243:30937973:-1 gene:DCAR_020487 transcript:KZM92148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFLLFLLCFLFFFSRLSSAAVPAYLDGLLPNGNFEQPPKPSNLRKTVIIGRYSLPKWEISGLVEYVSGGPQPRGFYFAIPRGSHAARLGNEASISQVVRVKAGKLYSLTFAATRTCAQDEVLRVSIPGQSSDLPIQTLYSTDGGDTYAWAFKAPSNRVKVTFHNTGIQEDPTCGPLLDAVAIKEMQPLRYTRGNLVKNGEFDIGPHIFKNYSTGVLLLPKKQDKHSPLPGWIVESIKPVKYIDSKHFTVPSGSAAIELVGGRECAIAQIIRTVPNKIYSLSFMVGDAKNGCHGTMVVEAFAARSTLKVDFTSLGNGGFKKANMRFKAISARTRITFYSPYYHTKLYDYGHICGPVVDNVRVVSVSFIILLSSNYSSAAASPHLQGYLANGNFELGPRPSNMKKTVIKGRSSLPKWDISGVVEYVKGGPQPGGLYLAIPRGIHAVRLGNEASISQVVTHLKPGSTYILTFAATRTCAQDEVLRVSVPGQTDDLPIQTVFSTDGADTYAWAFKATLTSLRITFHNIGTEEDPTCGPLLDAIAIKEMLPIRYTRGNLVKNGDFEVGPHVYKNFSTGVLVLPQQEDQISPLPGWIIESLKPVKYIDSRHFYVPSGHTAVELVSGRESAIAQIIRTVPNKFYYLNFTIGDAKNGCRGSMMVEAFAARGTIKVPYTSQGKGGFRTASLRFQAISARTRITFYSAFYHSRFDNYGHVCGPVLDNVGVFAARK >KZM92239 pep chromosome:ASM162521v1:6:31740384:31745771:1 gene:DCAR_020396 transcript:KZM92239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTGGENPQKNVVEEVSRISELAKELQESSASLISRSSSEEASLRQRALTLDSNIKNLRVSIVSSVKRGNLGPKEAEKLEEELRRARYVLSEGDAASFLPSKSQGSFLRMFIGPINVRATRKDVQLKVKEEYNSFRSWLLYLYTGLALRENILRLNGSDIRPWWIYHHYFAIVMALISLTWEIGRQPDCVQLFLKWAIMQGIAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFTLQGFEAYVGVLLLQTAVVGVVPEWQVVTCGILLIVMATGNFVNTVQTLLVKSRFKAKMKKGKSK >KZM90452 pep chromosome:ASM162521v1:6:15920416:15920865:-1 gene:DCAR_022183 transcript:KZM90452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSAYLIATKLNIIPEPLHLVLREFGGGNGGGLGFNKKDFGWGGFDGRKKGKKIGFLGFLIVLGIWVWFVFGKEVSVDVILGFLVFCLVGVCGVWGKIDVQDWFLGVCSCAVFVGLGMRRERLWNWGKGFRVADVFRRGRRRSRIWSR >KZM89608 pep chromosome:ASM162521v1:6:2477009:2478395:-1 gene:DCAR_023029 transcript:KZM89608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHKDLKASSRIFYHSATCGKVQIHHVPCILEHLERSRDSLECFTIQSIIEALVGPCHELFSLGNGIAVVLKCVGPNLRPLPDADSTFFGHKHIKLKLIPLHLIGKLWRGVKAFSLELFWQSTKSVC >KZM92538 pep chromosome:ASM162521v1:6:34184179:34184604:1 gene:DCAR_020097 transcript:KZM92538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSATLSPMVFFFTLCIFTLAPSLVSSLQNEYIPLHATSPSSDNNLSSEFVRFVANCGENLTNTCGREIKNALLGLVEVSRPCCGELVQMGWKCHKGMVRLATSAMDNRDEASTIISNSARVYFRCGKIVESSAPSPHH >KZM90611 pep chromosome:ASM162521v1:6:17395816:17399128:-1 gene:DCAR_022024 transcript:KZM90611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSHSREGLDLSDSEYDSESDSQNDVAEDYYDAADDGNSKPSSSSKTLENIDAKLQKLKIKPAQNLEKRSENSVKLYLHVGGNTAQAKWIVAEKHTYYTISKTNRNELEELGEDEVDEICGGMDEFWMLRVGSKVRARVSTDLQLKMFGDQRRVDFVERGVWALRFFSDESYRRFVGLFQDCLFENVYGMRASEESKVKVYGKDFMGWVKPEVADDTMWEDADDGVWKSGEKTSLRDDSESQNLLEEFEEAATAGGGGIQSLALGALDNSFLVSGSGVQVVKNFSHGIHGKGVYVKFDNGGKRGIGGSGSNSTPKKALLMRGETNMLLMSPLKDGKPHAMGLNQLDIETGRVVTEWKFEKDGCDISMRDITNDSKGSQLDHSESTFLGLDDNRLCQWDMRDRAGMVQTIANSNSPVLHWNQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSNKSMRQAKTAFPGLGSPITHVDVTYDGKWVLGTTDTYLILICTLFTDKDGKTKTGFGGRMGNKIPAPRLLKLTPVDSHMAGKNNKFHGGQFSWVTEGGKQERHLVATVGKFSVVWNFQQVKNSAHHCYHNQQGLKSCYCYKIVPKDESIIESRFMHDKFALTNSPEAPLVVATPMKVSSFSMSGKR >KZM89736 pep chromosome:ASM162521v1:6:3977157:3978290:1 gene:DCAR_022901 transcript:KZM89736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTTIADSLVHQKHIFDSSVANLANSVATISGENVLGSHSSVESDTSDGSNGETSTQYQEEERDVEMEDELTEEL >KZM92094 pep chromosome:ASM162521v1:6:30494853:30500381:-1 gene:DCAR_020541 transcript:KZM92094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGYMSAAFDSKTGQILLIALFVMIASFYAGTLFSHNSDPFVPQQQLISNSSSSGRNAFTNRVMLSYRKTPLEIPRDGMNVCPLQFNEYIPCHDPSYVKELIPKLDLTRKEELERHCPPLERLLFCLVPPPVEYKLPIRWPTSRDYVWRSNVNHTHLSEVKGGQNWVHEKNELWWFPGGGTHFKHGATEYIERLGNMITNDTGDLRSAGVYQVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFALERGIGAMISALGTKQLPYPSHSFEMVHCSRCRVDFHENDGILIKEVNRLLRPNGYFVYSAPPAYRKDKDYPAIWDKLTNLTSAMCWKLIARKVQTAIWIKQDDQSCLLANAEKRLISICDSSDNSKPSWKTPMQDCVPSSTPDSGSQKLPPMPQRRSEYSESLNSIGIDREIFISNTLYWQDQVRHYWRLMNTDEASVRNVMDLNAHYGGFAVAMGTWPVWVMNVVPVSMNNTLEAIYGRGLIGAFHDWCEPFSTYPRSYDLLHANHLFSHYNKREEGCLLEDIMLEMDRVLRPQ >KZM90739 pep chromosome:ASM162521v1:6:18742336:18744167:1 gene:DCAR_021896 transcript:KZM90739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVFENRSESNWGKFMGKKLNYSSPNSTNPNPNRKQSKSKRKQFHQLDVPLVSQDVSEDAYSYNQRGIEGNCGKGEGFNCCDYVNFNVSGSSKSELIELRRKLELELQKVRSLNDRIDSGGYSGRNKKLFGQKRPLPVINNGDGGDYEDLTKMCKQILTKLMRNRSSRVFNKPVDAVGLGLYDYHQIVKEPMDLGTVKMNLSKNLYASPSEFASDVRLTFNNAMLYNPKTDQVHSMAAQLLSQFEDMFAPVQDKLDSYVEKDEVRIGDDDWHGSSWNEITNTERPKKPKTNIVSSIPTIMPEQIPEPLIQSSASNHSNSDPRSMQSPVLAPSNVQAQPVKPTGSVKPASTLKQPKPKAKDPNKRAMTMEEKQKLGVGLQDLPEEKMPQLVQIIRKRNERLAQEGDEIELDIEALDIETLWELDRFVTNWKKLASKTKRQALMENFSTAPMVAPDTEALASDINDGANSIKRGDGDEDVDIGDEMPESSFPHVEIEKDDGGNVRDNGQTNGIGNASSSSSSSGSSSCDSSSSDSESGSRSGSDSDAEDEVQS >KZM91859 pep chromosome:ASM162521v1:6:28459037:28461200:1 gene:DCAR_020776 transcript:KZM91859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYKGKYADELIANATYIGTPGKGILAADESTGTIGKRLSSINVENVESNRRALRELLFCTPGCLQYLSGVILFEETLYQSTAAGKPFVEVMKEAGVLPGIKVDKGTVELAGTDGETTTQGLDGLAARCAKYYEAGARFAKWRAVLKIGPNEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGDHDINKCADVTERVLAACYKALNDHKVLLEGTLLKPNMVTPGSDSAKVAPEVIAEYTVRALQRTMPPAVPAVVFLSGGQSEEQATVNLNAMNKLKAKKPWSLSFSFGRALQQSTLKAWGGKDENIKKAQEAFLARCKANSEATLGTYQGGAAFEGAAESLHVKDYKY >KZM91141 pep chromosome:ASM162521v1:6:22531531:22533373:1 gene:DCAR_021494 transcript:KZM91141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCDACESAAALVFCAADEASLCRSCDEKVHMCNKLASRHVRVGLADPIAVPRCDICENEPAFFYCETDASSLCLQCDMVIHVGSKRNHARYLFFRQRVEVGVSFTFPGDKASQAEDLTLKLEGESKDKTGQNQSSKPVSAENQDNCSISKARTMNASTQGLANRGTMFDLNVKPQKNNGEGSNHQELFQEA >KZM89422 pep chromosome:ASM162521v1:6:632685:634140:-1 gene:DCAR_023215 transcript:KZM89422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRFSSLPSALVLPQPPIPSLSVPTVNIIPAYKSNYSVQDEKIVAHNMDDDELFRRASMVHRIQEVPYEHTSKVSFMFLTKGPLPLAPLWEKFFKGHEGFYSIYIHSDPSHNESLPEDSVFHGRRIPSKLSMIDAERRLLANALLDFSNKRFILLSETCIPLFNFTTIHNYLINANETFVASYDDPRKVGRGRYNNQMYPTLSVTDWRKGSQWFEVNRNLAIKIISDKKYYPIFGQYCHIPCYSDEHYIPTIVNLVSSPILNSNRSITWVDWSQSGPHPGKFDRRAVSLEFLNQIKYASNCSYNGNMSKICFLFARKFLADTLEPLLRLAPLFLV >KZM90342 pep chromosome:ASM162521v1:6:14440556:14440915:-1 gene:DCAR_022293 transcript:KZM90342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSTYKPFHPSYEEMIFHAICSLKRRNGSSSFAIAKFILKHYGGLPKNFRKILLHRLKELVACQKLIRVKNSFKLPSQ >KZM92437 pep chromosome:ASM162521v1:6:33378026:33378598:-1 gene:DCAR_020198 transcript:KZM92437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHRFAVITLFFTSCILHLASAAKVPQAYKTFIKTSCNTTTYPSLCNKNLLPYASSVKTDYIRLCSAALSVTIKAAKKTSGLVTNLAEQKDLTEAEAAAIRDCIENIEDSLDELQQTVEAVANLEGSDRAFQLSNAKTWASAAITDEDTCMDGFSGREVSSGVKNKVKKSILAVTKLNSNALSLINRIY >KZM92018 pep chromosome:ASM162521v1:6:29836185:29836486:-1 gene:DCAR_020617 transcript:KZM92018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALILQAEEKHCEILGKLLYTAKLVAKQEGLDDGFRVVINDGPSGCQSVYHIHIHLIGGRQMNWPPG >KZM90398 pep chromosome:ASM162521v1:6:15168070:15170893:1 gene:DCAR_022237 transcript:KZM90398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLLNVRYNYDGTFNKTSYSGGKSIIINRQDVDEFSYTVALENVKDCLNCTEIGGLYVLNGKPQQWKLLKCDSDLLQLVDACESGGDINIYVDCVVDKECKPLEPGVPFLVVRPRKNILKEHLQSNQNRRTFVSSHQLQQQRQSKRIPRSPQLQEVEQNKLPKSPRLQELAKKNLRSSTHLQEVQNNNLPKTPPKNLRSSTHLQEVQNTNLPKTPPKNLRNSTHLQESGRVNEYELRKIQNVEENKKKFKELRLGNYVANPIKPIVQQSTKEKKDRDDPEYVVENETGDESDDTSEGIKSVQKRKAIPGPRTRSRANDKDLGDKDPVDPIDKGKKVAAATEMRREDVGDTDLQNGSEGEEVEADFG >KZM91002 pep chromosome:ASM162521v1:6:21271665:21277808:1 gene:DCAR_021633 transcript:KZM91002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSSIDALGDDLLRNVLRRLPSSSFASAACVSRYWNHLCDTVLCTPKLSSAHSSNPSLQEAVEEVFNKVFAELIRPHFAIVTVTSLDLEEARQLITKKLGSTIPIIYTAPTGLIGRDAITNEFKEIRWQVYFDRNYSYSVSFDDDDSAVRQRRVICLTVGFLPGLKVAAVPLFQSYMVPFVDEFLEDISECVTSVSGCMAPIGMILFTDGSMDSDNLLRKMDYSMSPETVIVGDRCGEFLCGNDSMNKRSKRKRQPLFMVAVALLFMQDPNKPPGIGDIKLHVALSAGLVPVGHTYEVTSVNESSFYWTKLTARSENSDQNFDEQTLRNIFGIGNQALFGAYIGIVKKRKGIAGFEEVNWLSSQIFHEVHGDDKEYLVVDSGADIKTGDCFCFFKEDSHMASSSLRQVSDKFRDLKISCDVGNSDRQRIRPANSEKMKIFGGIIFSCISRGKPHGKANLGSSPFLENFPDVPLAGSFCVGEICRGDSSSYGQLSEEGSHRCCLHYNSSVYLLMSYTPSSQGS >KZM91597 pep chromosome:ASM162521v1:6:26353975:26356327:-1 gene:DCAR_021038 transcript:KZM91597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTSQIYSFCAAVLLMSFLFFVASAQTLPNDEVEALQEIATRLGKTDWKFGADSCNTTGSGGNNSITCDCHNTVCHVVAIDLSRNYLNGSLPPEWGLSRLTNITLLGNRLTGTIPKEFGNITTLLELLLDHNQLSGPVPPEIGNLPAIERMRISDLNGTEQTFPPLRGMKSIKRLVLRNCNIIGQLPEYLVDMKNLTTLLVEPFLILLLMI >KZM90393 pep chromosome:ASM162521v1:6:15027264:15027674:-1 gene:DCAR_022242 transcript:KZM90393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHDAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPVVDGHAVNEPPQVQPPVENGHAANDRVANGAHD >KZM92719 pep chromosome:ASM162521v1:6:35781763:35787378:-1 gene:DCAR_019916 transcript:KZM92719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPTNYNHVPYNDIDPENKAFETSSQGFIMDPTGHVNSNLRPPELVHHYSIQTGEEFALEFMRDRVNPRTPFVPLAGGGSGLTTGYSEGIIGSSHVVSETGLRVSALNVVEQGSKHFEHRNSSFYEPSNYGSVSSVPRNHSAYSDNLIPREYASSGTSDDSSKKIKVLCSFGGKILPRPKDGKLRYVGGETRIIRISKDISWEDIWQKTRAVYRQTHTIKYQLPGEDLDALVSVSSDEDLQNMVEECNVLGDGEGLKKLRMFLFSMDDLDDTHFGLANPSGDSEVQYVVAINGMSFGSRRDLSLDGIASSSPYNFDETDGLNVEKNTGRVPHEFDENNTSYFTDFAASPLAAESSHPLSSIPSNIHETHSRTQFSQGLLKLGENAPHNLQYVHEQHSSNYTPSGDSSVLHPSHVSGLPEGQSSSGVGLQDVQVRGRGATVKGDYPSPQDYKQSNDTSDPAKVQQSNDKELGTPSITVAPEEASSDSNLIDLSYLEPPVQSHFRSERYPREQAESLNRLTKSDDSLGSQFLVPNSDLGKDSKTEFVDNSPVENLDSHTDQSLSRAKLTDPRISDNALAKSQSLKAAAQEHASENDALIENGVPKAGYEPNSINENINDSLVSENTKVDCHEDPPSSHPDYPWADRSRSDISAYETYGNSGEPSATISIPERGDISIDINDRFPRDFLSDIFSKAMLSEDSSGTGPLQIDGALSLNMPNHEPEHWSFFQKLAPNVFDQHDVSLMDQDHPDYSSRLPKVKEDSSRTYKNILLANSQIPSSQINSQNNFGEDDHKELPDSVVDSTTDFHSDYNPSPFTHSEAMQFDDMAEDGIMQDSEYEGGSRKAGLPPIDPSLGDFDISSLQIIKNEDLEELRELGAGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQERLTMEFWGEAEILSKLHHPNVVAFYGVVQDGPGGTLATVGEYMIDGSLRHVLLRKDRHLDHRRKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLIDPSRPICKVADFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVLWEILTCEEPYANMHYGAIIGGIVNNTLRPAIPSNCDPEWRRLMEHCWSPNPVVRPSFTEITNRLRVMTKACQTRGQVHKASN >KZM90577 pep chromosome:ASM162521v1:6:17025137:17026566:1 gene:DCAR_022058 transcript:KZM90577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKTYKLDRPGGKDSYGEHRKKPTSLRTMPHLDESLWYKSSANACLTLSPNGGEIRENGGDSEEEERRGGGGGEERGGGGGGRGGGEEGRGGVQVVLEVEEICEHQQERFIHG >KZM89528 pep chromosome:ASM162521v1:6:1672431:1673058:-1 gene:DCAR_023109 transcript:KZM89528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHCCLLAYKHLTKRLFQVYSQLGHQISVYGPRPLYWKGDTRWPDWIFESPNCSGQSTKFDSTMYAHLLPNESNDFMGMLLCFKSHLYNYRPTFGYSVDNTTSGFILSDKLSVPSVRDSLIVIVPKSVFSVRDDDSKVQVTADNALILGIHLLYKVDDVVRGNLRII >KZM91081 pep chromosome:ASM162521v1:6:21985192:21985935:1 gene:DCAR_021554 transcript:KZM91081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERTDKSTCKTTTDGAEKMFNIGSEEAMHKDGGLRCGYKVQTSELIPEKVKTAPKYRINKSTLRMKNPDKLFMNVYGTPADPNPKSVENNAQPDQDPTLTAPKLSSDEASMATQLECAKPVEMNGDTDKAAEKSSLEDKSSLEKGL >KZM90802 pep chromosome:ASM162521v1:6:19373792:19378265:-1 gene:DCAR_021833 transcript:KZM90802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASHNNNSDEHNSPVDTRGSEAGISDEKAMLQKIAKLERQLFDSQNSMELLVMKKEEWSSKYDGMRETVTGLQEMLKREKAKHLDFERRVENLLKALDSEKKYAAQLKRALCESGEGFERAKLQSSEAKRVETDAMLSGLKAKSMDLEKKLHVAEVKLEEANRKRLELGRKLEEVETRDSLFQREVEFFIAERGAHEATLCKKKKDLQQWERRLQEKLSERLRITTEREVKVDETEKALNLKDQELKRMEKEIIVNTSVLKRKEDDINHRLANLTAQEHKVETLRIDLEMKDKEFLALTEKLTAREREFEVEMDGKRKSLNDEERSRLDGLQYREEEITDRAEKLGKLELSLENKSERIEEKEKDLEAKLITLKEKEKLLKSEEKRLDSEKERILVEKACLKSFKDETEKRRNGISQQERKIQEEIEKLKTAEEERAENIRLQLELKEEIQTRGNLFLKERASFIAEREAQEATFSTKENDLQERERKLQEGKDKLCEGQRITTEREVKLNESEMALKLKERDLKKAQKEIDLSTSVLNKKEEDINHRLANLTEQEQKAEALRSDLEMKDKELLALTEKLTARESEFEVGMDRKRQSLNDEKRSWLNFLKWKEDAVTCREEELGKLELHLKNKSERIKGKEKHLDANLRTLEEKGNLLKSDERRLDLEKKQMLVDKDSLQTFKDEIEKMRTDISQRESKIQEKTKNLKISEEERAEYLRMQAQLKEEIKFFEKLKQSEEDQLKNDRLAMEDHVKRELETLEIEKETFATKMRQEQSLISEKAQLGRRQMLNEFEQRRKDLEVDLQKKREELEAHMNERERAFMEEREREYNNINYLKEAAQKDVEESRFKRCRIEKDIPLNKKQLEENQLEKHKGINELQVPNKKVKVEVGEQFIKDREPFLFASSQDIASEKDDPTRPVEVTTCTSPGIQDLSAEGDVKLKTSETHDKSIEPVLTEKIECNEEVNSMIPERSTENGGDEYEVISEDEDMDEESEGRVPIHKKIWNFFVT >KZM90532 pep chromosome:ASM162521v1:6:16602603:16602842:-1 gene:DCAR_022103 transcript:KZM90532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSKKITYASLFVMVLVCMSINNIAADDKPKETCCGGCFSVCSTPLNGQVNLLCLKKCWNDCAKTGQNCGPANLQKQP >KZM92456 pep chromosome:ASM162521v1:6:33532246:33534787:-1 gene:DCAR_020179 transcript:KZM92456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVLVKEILARPDEFQKPRNDHMAHVMVGGLFTTEGNIWSKHKKIINPTFHMDKIKKMVPLIVKSSLDMMDKWNMLLSASKKSVEIDLWEDIQPLTYDIMCKTLVVGETNEEITRIHELRDQINEQAAKVGKLMFFPGWNLPTKDLNTLKSVHKQVEGLVKKVVTKRLEEMKRGASSEGDMLGLMLEAYLDKTGGFSLDDVMDECRSFHFAGTEVTARSLIWVTMILYEVMRLYPPTSMIHRAISKDTKLGDMILPAWVQINKE >KZM91521 pep chromosome:ASM162521v1:6:25798896:25799171:-1 gene:DCAR_021114 transcript:KZM91521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKSPRVADENMFGDNGNGVGLPAQNRRGQGWIGLAIICSIVRAPLSLLSSLLHPHINNADGVVVSGELARVSEMNHLMVSDSMRYAMLM >KZM91270 pep chromosome:ASM162521v1:6:23706662:23707474:1 gene:DCAR_021365 transcript:KZM91270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPKNNDLIEIREVWNDNLEEEFALIRDVVDEFPYIAMDTEFPGIVLRPVGNFKNSNDYHYQTLKDNVDMLKVIQLGLTFSDEEGNLPKCGSDKYYVWQFNFRDFNVNEDVFAHDSIELLRQSGIDFKKNNEKGIDAKRFGELLMSSGIVLNDNVCWVTFHSGYDFGYLLKILTCQNLPDTQAGFFSLINMYFPTIYDIKHLMKFCNSLHGGLNKLAELLEVERVGISHQAGSDSLLTSCTFRKLKENFFSGSLEKYAGVLYGLGVEN >KZM92109 pep chromosome:ASM162521v1:6:30596241:30597869:-1 gene:DCAR_020526 transcript:KZM92109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTPYFAFLSLIFLVISFLSSQAYADSTQFLQCLKSKSLDSSITKVTYTPLNASYTPVYEYSLRNPRFNDSTRLKPQIIVQPTAESQVQTVVFCAKKYGMRLRIRSGGHDFEGLSYSSTYDIPFVLLDMINLRAISVDPVAKTATVQAGATLGELYYWIYRASDTLAFPAGVWSTVGATGLICGGGYGPLRRVYGLAADNVIDARIIDVKGRILDRKAMGEDLFWAIRGGSCSSFGVILSWKLNLVVVPKTVWSFTTFRTLEQNATDIIFPMQTVAPKFPKELDMRMRISTIQSNTSARADGKTVQFAIGGLYLGSGGVEEALRIVQSTLPELGMVKEDFTELTWIQAIMISSFFNLFDDNYKPEDLLDRTFLADIPTKAKSDFVREPISKEGLNGLWNKMLEVGVGETTVIFTFYGGKMDEYSESALPFPNRAGTLYMVYTRVLWVGNTTEKLEWIRSLYSYLTPYVSKNPRRAYSNYNDLDLGVNDPTGGIGYFDARKWGKQYYNHNFKTLVMVKTRVDPDNFFRQEQSIPTLSLWSAM >KZM90454 pep chromosome:ASM162521v1:6:15971329:15971538:-1 gene:DCAR_022181 transcript:KZM90454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLKNCKLIGYFLLLLLSTCAINSGVLAARLLQEVQEKPQQWSRIPDEGFFASINREVPSSPDPLHNR >KZM91684 pep chromosome:ASM162521v1:6:27062448:27078699:1 gene:DCAR_020951 transcript:KZM91684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDAELRRACEAAVEGTKHRVVMSIRVAKIQAAQGVWAKSARLGRGGGGGMAKPRVLAICTKQKPQRTKAFLRVLKYSSGGVLEPAKIYKLKHLSKVEVVSEDPSGCTFQLGFDNLKNHSMAPPHWTMRNVDDRNRLVMCIFNICKDALGHVPKVVGIDIVEMALWAKDNTPAVTNQQKVQDGSQVQKSEEVEDNAMRVTVEKELVSQAEEADMEALLGTYVMGIGEAEAFSERLKRELQALEAANVHAIMESEPLLEEVLEGLEATSNCVDDMDEWLTIFNVKLRHMREDIESIETRNNKLEMQSVNNKALIEELDKLLARLRITPEHATCLTEGSFEETPMAENIEACEWLTSALRSLQAPNLDPCYEKTLAVKEKRAELQILRSTFVQRASEFLRICFANLVDFMLSDKNYFSQAREFSNELRASTKVPKNPTVWLEGFIGSGQSANNVDTSNVSEAYNKMLTIFVPLLVDESTFFAHFMCFEVPGLAPPGGPANGKNESNDDDANDDNLGIKDINDSDNKKGNQISELPALNESLRDLLDGLQEDFHAVVDWAFKIDPLRCISMHGITERFLSAEKADAAGYVRLLLDDLEDRIATQFSRFVDEASHQIEKNEKNVRQSGVLPYIPRFAALATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLDKVAQADPKYADIFLLENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISVIIYYHFERLFQFARKIEDLMYTITPEEIPFQLGLSKGELRKVVKSSLSGVDKSIMAMYKKLQKNLTSEELLPSLWDKCKSEFLTKYDSFAQLVAKIYPTEKIPSVSEIQDILASM >KZM92671 pep chromosome:ASM162521v1:6:35291235:35293875:-1 gene:DCAR_019964 transcript:KZM92671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLEVEAVNFEPEDDDLMDEDNAADIDAAPMPRLKSAITGSNMRTKGRGFRTETDEERSMRMSGRFDSLDSEGEAQEDDLHNAFGGYGQIKNLHLNLDRRTGFVKGYALIEYETFEDAQKAIAEMDGGELLTQTIAVDWAFSKGPYKRRNARRRSPRGRRSRSPRRRF >KZM89806 pep chromosome:ASM162521v1:6:5195471:5196703:-1 gene:DCAR_022831 transcript:KZM89806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWCNLPGELLDLISKHLDSSIDLLRFRSVCTCWRSSLHPHRSSSPSRFPILPNNGISDTTWGFYLFKRSIFFFQLPPPLFNSQSNPSWVVKIDQENPQKCHLFNPLSRDEYKPLPPNFPKLVDFMGLRVSELGMEYTLQYINYRPFATSIGDAGNLYSEKVAFCDVGGGGGYVILTIHVSGRLALLKSGDVKWTVIDDMPAPYDDVVFYKGSFYAVDSTGRTVMVDVGSSPVSVRFVADSVFGGDTKMLVDGCGELFMVDKFLSVGPEDDEGFDGDEEFDYMSERTVRFEVFKLDKSGEKWIKVENLEDRIIFLGDNCAFSALASNFVGCKGNCILFTNSSKVEDGVLKSRGISVFDLESGSIGPLADYPGLSELFWPPPAWISSPPNLVEVSTLFTSLDANCFATSS >KZM90879 pep chromosome:ASM162521v1:6:20099753:20103099:1 gene:DCAR_021756 transcript:KZM90879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLDAESSKAKLLAAKEKFGRDIRVFETSIASQTQNDFANSADEQDDFYEFTPEDYYRILATKKQDKFLKTRKIRESEQAARRSRITKAVIRVRFPDNNTLEATFHPSETVQRLFDLLMKVLARPEQPFYLYTTPPKKQIKDLSEDFYSAGFVPGAIVYFSENMPKGHDGTDASGPFLIDEIMSLKGLDLVVEQTGSVQPAEAMRESAAENPSAAVQDQKPTEKKAVKPKWLKM >KZM90972 pep chromosome:ASM162521v1:6:20909011:20918787:-1 gene:DCAR_021663 transcript:KZM90972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTTVGINIGLCVLFFTLYSVLRKQPGNASVYAPRLVAEGKMLRSDFNLERLLPSASWVKKAWQTSEEELLSLSGLDGVVFMRIFEFSIKVFSFAMIFGIILLPINYVGNQLTMDYMDLPNKSLESFSISNVNDGSNRLWIHFSVAYIFTGFVCYLLYSEFKYISSKRIACFNSSEPKVHHFSVLVRGIPVSSGISFSESVENFFTLYYPSTYISHVIVRRTSKLQKLISDADDLSKRLLQMKSASYTQQRVGRASFLGRKVDLVDHYEKKLEDLVENVRMEQTLITGQEVPAAFVSFKSRFGAAIVLHVKQGINPTEWNTEAAPEPQDVYWPFFSASFTQRWILKYVAIAACIVLVVLFFGPVVLVQSLTHLDQLETWFPFLKGILRVTFVSQVITGYLPSLILQLFQHYVPPAMIMLSSIQGYIARSHIEKSACYKILFFTIWNVFFVNVLSGSVLYQANVFLELKNIPSVLAVAVPGQAEFFIAYVVTTGWTNTSSELFQMFSLIWSFIKRKILGRADDKLVVPSANYFSKIPKILLFGLFGITYLFLAPLILPFLLIHFCLGYIVYRNQLLTVYSPKYDSNGKFWPIVHNSTIFSLVLMHIIAIGIFGLKKMPLASGFMIPLPVMTLLFNNYCQRRFIPLFKSYPAECLIKKDRDDQSSGTMDAFYERLATAYRDPALAPIHTLGISDGRNAPLLQATEV >KZM90456 pep chromosome:ASM162521v1:6:15981918:15983968:1 gene:DCAR_022179 transcript:KZM90456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEYSEDIEIGEYSSSHSTSLASGGSTTPNVPLQLNNLKLMGYVRESKDPKDSIMKAQLKWIKGAINSMRRPNLRTICNEVESSDTFSLQHQVEHVISLVLRNLTNNEPRDIQEADLLLVMKEENVAKFLQLFHGATETKSITQESLKTWMAKVCNERQHVVRLLNSTSKGIEQLGIILWATQFYMVLLFWCRLMRIVTTINPAYLSPILLIFGKIIFDGVELVTVMNSIEIGDQCIIDDEQLVAERLEFYITTFRKDNNQTVNYSNSLLLSKSITNLSRSSGLIDAFEILVSVTTSSDKIASLKLKIDEFIGSKPEIWRAEFCFDFKGVDDGRDIYNLEITNLGRFQNYKVKKQQRFEFILKLKRSLEDLGIINFTVK >KZM90809 pep chromosome:ASM162521v1:6:19424641:19425282:-1 gene:DCAR_021826 transcript:KZM90809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKDSFDIKEVHLKLLDDLVNVNSLLTIAVFVGLSMATPGIKSLDPREECHSGAGEAKMLILYEVIAFSCFLLSSISAKVLKLHLYLDGARYNFITRNFDLKDFMLVLSACASVAGIVSLSLSIVNIIQIRIGLLSCGCKESRIGVLCLGVLVGFALVIYVVSMAIAIFASFKSDVAGSETDEKKNTDSSGGNPTVYAPLQSAGGSGGGLQL >KZM91308 pep chromosome:ASM162521v1:6:23989076:23991217:-1 gene:DCAR_021327 transcript:KZM91308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYANSSMSSGSQTSRRQLEFGRTYVVKPKGKHQATIVWLHGLGDNGSSSSQLLENLPLPNIKWICPTAPTRPVALLGGFPRTAWFDVAELSEDGPDDMEGLDSSAAHIAKLLSTEPSDIHLGIGGFSMGAAAALYSASCYAQGRYGNGNSYPINLRAVVGLSGWLPCSRSLRNKIQSSHEAARRAAYLPILLCHGTSDEIIPFKHGENSSYFLSSAGFRYLTFKTYEGLGHYTVPKEMEEVCNWLHSMLGLKGSR >KZM89427 pep chromosome:ASM162521v1:6:658121:665125:-1 gene:DCAR_023210 transcript:KZM89427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSVRINVAASTKVLDVDNRISLRYYFRIADNVLKQANIFRAEKNYIDLYIMLLRFSSLVTETIPRHRDYRASSQAEKNYLKKKSLEAVIELESLKPTVERKIDELSRKHTHHIRAPQNNSFGSSQEWPSVKKQNSNMYVNIKAQQPAAPEYAYQNQIQQFSHASSGEPQFRRLSLSIPLPKEETLSRHSILGPNGLRGQWQPPTSFKGISYPSNIDLTPVEIPRLDSLPRSDLSRDNSKQHLLENGNLLKKDSSILSDLETTLPSNNDNKMPHTEELGSLKPLNNDIKMPQAEELGSLISFDTVEPPAQVNLIRQPSPPPVLADVQDLIPTTLPPVTEAEYGREDPSSDGLACSESPMELHISTTMMESFMKLAKSNTNKNLETCGILAGSLKNRKFYITALIIPKQESTSDSCQATNEEEIFEVQDTRSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQAFVPTFKCLNIMQIMLPESVAIVMAPKDSSRNHGIFRLTNPGGMGVIRNCPRRGFHSHDPPSDGSPIYKQCTDVYLSPNVKFDVIDLR >KZM90425 pep chromosome:ASM162521v1:6:15774077:15774437:1 gene:DCAR_022210 transcript:KZM90425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM89440 pep chromosome:ASM162521v1:6:736800:743554:-1 gene:DCAR_023197 transcript:KZM89440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEESPQLNKVVIITLPPPDNPSFGKTVSIFSYTDGPSQQQLNQVQENQDEEQPHVPIQPPFGNQNRVFSRRYVFGSRMSALGFVGLFLMAVVLCFSAYPKSLFQENGFGDNRLRDENDDDGKPSTFVFDLFPKSGMPAKLGNDVELKLGRFVEVNTDNVVSALVDDGVRASKIKAALSAVESTTILPVMGGSYPDGLYYTKILLGSPGRPYFLDIDTGSDLAWVQCDAPCTSCAKGPHPLYKPKRANIIPSKDALCAEVQQTHRSGYWHCLTADAAGAGYMFLGDDFVPQRQLEWVSMLNIPTTNAYVAEVSKISYGNTKLSLNGLDNGHVIFDSGSSYTYFTKQAYADLVTTLQSVSNDDLIQDESDSTLPLCWRAKIPIRAVSEVKQYFKPLTFQFGWKWLVSSVKMRIPPEGYLVVNNKGHICLGVLDGSEVHDGATIVLGDVSLRGQLVVYDNVNNLIGWMRSDCVKPQTSTSLPFP >KZM92176 pep chromosome:ASM162521v1:6:31203768:31209310:1 gene:DCAR_020459 transcript:KZM92176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGETSLENLSDNEMYNILKRLRAGSLCKMSTLSSTWKVLLKDPHLINLHRAWSKLNPLLLIVTNEPSAQNHIKSNDSLLLISVDPADGAVTYQVRLEIRKDDIQVLSSGAGCSLFCIRGQEDFIIFNATTREIIQTLPQAHNPPLGIAAEYGSIRSRRNINSPFHRSFLEALEDSVLKASESQLHEG >KZM92771 pep chromosome:ASM162521v1:6:36169344:36174049:-1 gene:DCAR_019864 transcript:KZM92771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELAQKNESVECWLTSDVSDDQLQKFWNGLSQHARQELLRVDRQTFLEQLRKNMFCSRCHGFLVQEFAQIAMYRQYDNVGHQDPYVDPWGCLTTTSDGTLTLLDRCLLSTYLEGIQKVFHFSRRSERERELRSPNSCGVGGRRWKGPARREACAAHTIPLSLDQLVEFWSVQVEETRQSLFRMKEEDFVGHLLVRLDNNRFCRECRQNVHREFKKIKELTSLRKESGIQFEISHDTVQADWSNAFIDTEECYHHFEWGIGTAEGKSDILDFKDVGLTKNVQEKGLDISGLDACYLTIRAWKIDGDFTELSIKSHTLKGHQYCTLEVGDGFVTVALGESLQNFFKHANEVVKEDYNLLDENRNRLDGGCSRPQGHPKSPEAARKFLLDAATIFFTERVQKAFQETIARWNAHSIFVSLALKMLEERAQVACKERTRLENQIKLLEEEEKEKEVERKTRKGNKERGKKLRRKERKENEKNGENCSPTKQQLAPVVNIKESTIVAVEPDAVETGKVMSSRPVSPHIQNEHEKDGYMRRNMRNSSDVSPDENFASSNDKNGSSGSDQLKYSGRKLNDRYQQDASSKCSPNKQFAVVPGSGIMINKLDDRFDTSSRTIIQQNKHSKSTKSVSRAGHISKSSFFRNKFSLGDYMHDSPQTEEVGEPKKLQMKHIGTYSQSADTARSCNLSGDAMKPSEPLDTPGVRGQVAVCIEDEDDVKKESKSSKLGMGNNSHNGFHLNKETCQYSTEVVDVCSYSTRARNPSVSNTSGSNSWSSCLSEGNSGPSSNTQVTEPLSNSESKGSCQQSVRGESSQRQQIWVCKSEPVMDKKQRANEGEPIRSCWLDKKRIHTPGKVSQENDNSNPHFSQAEDKGSSFFHFGGPLTLADAYRSDSLPSEDAIGGRNKGVSFKEYKLFASNKGITFSILGL >KZM90066 pep chromosome:ASM162521v1:6:10231239:10232151:-1 gene:DCAR_022569 transcript:KZM90066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAGENVPGLKLVDSCLRLLVIPLSLASICLSVNNRQENYVYGKLQFSNLKGFKYVICISAFSGGYALLAAVFTWVRVLANKAWLFFLSDQVIAYLLITSVSAVAETSNLMYNGAREVSWSEACISYGKFCNKLILILRVNALAFLFFLILALISAYRVFSLYEPPCMPSKEVEEGRE >KZM91203 pep chromosome:ASM162521v1:6:23082226:23085221:-1 gene:DCAR_021432 transcript:KZM91203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFTPPQQQQQQTSSLFQPQQQQQSPFQQPSPFNFQQPQQQQQQQQQQFQQNQLFLFTNDKAPASYGTKWADLHPDSQKFLLQIEERILEYRDESQRLDQCSRLYDSSVSNDVFEHDASVVVQELGGISTAMERQKAVLQELMVVVKDMLRNTEVAVRSFMMLRPRFIHSSGGATTNATSSSQYTGAITPSASGQPAGTPMMPVYDFYSGLPKKPSPFLQQTIATFEKYIGESRQWIEELEQLLRLDSEKNSLNSSSSLLQSLPKVMSNVHDFFVHVAAKVESIHQYMESMKTAYLADHRRRGHVNDPFLEADRRETAKQEAAARRVHPTQHLPTNPQPSTQVAGLFASSAAPGTSAAPQTSAGLSSAPSGSGTTLFNTPAASIPASSLFSTPVTTTPVSLFGSSASPQTSLFSSFSTSTPSFTNTAPLFNSTPAAGMSSFSTPFASGPATGSGASFNTASVSRSNSVP >KZM90928 pep chromosome:ASM162521v1:6:20470256:20473386:-1 gene:DCAR_021707 transcript:KZM90928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRANSPLRALKCEVSLPVSPAPCGWICKHRSSGGFAVLNYPGSRLSRCRSLASAVTDVAFSPSPLIHVPGSSPKEQLIECLLNREDLSEKEAEDALEFLLSEAGSDALISAFLVLLRVKGETSEEIAGFARAMMKCCQKVEGIYGAVDIVGTGGDGANTVNISTGAAILAAACGAKVAKQGNRSSSSACGSADVLETLGVAIDLDPKGVKACVDEVGIGFMMSPKYHPAMKIVAPVRKKLGVKTVFNILGPMLNPAQISFAVVGVYKQNIASKMAYALQRYGMERALVVHSEGLDEMSPLGPGVVYNVTPEKIHQSHFDPLDFGIPRCSVEDLKGGGPDYNAEILRRVLAGERGPIADSFVLNAAAALLVSGHVRTLAEGVALAHDTHQSGKALRVLESWITISNKMKKSESNLIEEHLVGENVYRFETKGQ >KZM92061 pep chromosome:ASM162521v1:6:30198073:30198564:-1 gene:DCAR_020574 transcript:KZM92061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPLAIQEKKTSKPRHRQNPQKSKKKQQDPQATNAPVKVVYIDNPVNFSTSASEFRSLVQQLTGKHSCSPDNSVGGREQLQESAVDSAHASLEVQHPHQNLGVAEAEEIASSSSLNCPATTSDHNTNSVDLGHRAVHDDDFYNMPDQMLDDYLPPDFWYDRY >KZM91641 pep chromosome:ASM162521v1:6:26734292:26749364:-1 gene:DCAR_020994 transcript:KZM91641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADAAALVEATGSRFSDLVLIGRGSFGDVYKGFDRELNKEVAIKVIDLEESEDEIEDIQKEIAVLSQCRSPYITEYYGSYLQQTKLWIIMEYMAGGSVADLRVLVQIQPNHPLDEMSMACILRDLLHAVEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPIKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKFQIKEDGETTRSGTQILGESSGTLKVTRNPRVEDTVRASSQGKTLKAAGWDFSIGASSSTGTVRSVVKPPQARERKADVSLSQAPPRRTAESGSRWSSSGSTNNISEVSVQTDARDPLMDEKQEHYHEDDDLTASGTGTVVVRSPRGIKSSSVFSDQSTLSSSTFASMEDASTTGTFLYRGQHDDLDSPRTPKSRLGIQERTSSASLEDSSINLAEARAALLGGLKKGNAKERSGLGKGSKDGQEMKRTDQLTDSPDSSRHSRDYVDALKAFPRSRPSDEESSAKMSSLLLSAPLSILLVPSLKEAIGDESEGSLVRGMTNYFVEMERVKPGSFEVLMSRMLQRLASSKESSLKDLQDMAAHIFTKGKTVTEQTNNANAEIDSRKKQQNKDANQNSNLSPLARFLLSRWQGQASRDLNPN >KZM92378 pep chromosome:ASM162521v1:6:32973908:32976172:-1 gene:DCAR_020257 transcript:KZM92378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIHMEKDEMISRIEERISAWTFLPGENSMPLNIMHFGPEDNKQKYDFFGNQSKLLLTENIMATAIFYLSNVSQGGQILLPESDDSQLGNSHVWSDCTRVGTALRPTKGNAVLLFNVHPSSSPDRTSSHARCPVLEGEMWCAAKIFHMQVVARSKAELQVELQVDDSDCTDEDESCPKWAEIGECERNPVFMIGSPDYYGTCRKSCNSC >KZM90561 pep chromosome:ASM162521v1:6:16878537:16880341:1 gene:DCAR_022074 transcript:KZM90561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESMMIMRVIVVMMMILITCNGDDTNPVYEPCSDTKVKINDGFTFGLAFASKETFFFNQTQLSPCDKRLPLNGNAAAAVALFRPKVDEITLLSVNTSSFKPGTSGGHMVAFAGRQFAARSPPVFVADGTHTVNSFTLVLEFKTGTLQNLFWKKYGCGSCSGESVCVNNTDCAVPSSKCKNNGGDVDCTLGIQLAFSGTDKNYNVLNSWYEVANLRQYSLFGLYSNIRNVFT >KZM90437 pep chromosome:ASM162521v1:6:15800334:15800744:-1 gene:DCAR_022198 transcript:KZM90437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHDAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPVVDGHAVNEPPQVQPPVENGHAANDRVANGAHD >KZM91506 pep chromosome:ASM162521v1:6:25682101:25683662:1 gene:DCAR_021129 transcript:KZM91506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLFLTGCGHCKKLAPEWKKASINLKGKVKLGHVDCEADKTLMSRFNVAGFPTILVFGADKDRPSKYEGARSASAIESFALEQLEVNLAPPEVTELTSQDIMEDKCGSAAICFVSFLPDILDSKADGRNKYLELLLSVAENYVWAAAGMQPELEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFERDQIIEFIREAGLGGKGNLALGSAPKIVKTEPWDGKDGQILEEDEFSLDELMGDESKQDL >KZM90574 pep chromosome:ASM162521v1:6:17005890:17006596:1 gene:DCAR_022061 transcript:KZM90574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKYEQLVMLLFVAAALLAVSDANRNFTNGPQSWNPGFNFTSGWPWNSPNTPQNYSTPPGFNFTAGWPWKGPNVRQRSRRIVVGDDQKWQFGFNYTNWAIKNGPFYLNDTLVFKYDPPSNTTFPHSVYLLRNYRSFVNCDLRGAKKLASVTQGGGTGFELVLKNRKPYYLACGERNGFHCKVGLMKFSVIPLIRWT >KZM91250 pep chromosome:ASM162521v1:6:23554928:23558697:1 gene:DCAR_021385 transcript:KZM91250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4CL3-1 description:4-Coumaric acid: CoA ligase MGDCAAPKQEIIFRSKLPDIYIPKHLPLHSYCFENISKVSDKACLINGATGETFSYAQVELISRRVASGLNKAGVHQGDTIMILLPNTPEYFFAFLGASYRGAVSTMANPFFTSPEVIKQLKASQAKLIITQACYVEKVKEYAAENNITVVCIDEAPRDCLHFTTLMEADEAEMPEVVIDSDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYIHSEDVMICILPLFHIYSLNAVLCCGLRAGATILIMQKFDIVPFLELIQKYKVTIGPFVPPIVLAIAKSPVVDNYDLSSVRTVMSGAAPLGKELEDAVRAKFPNAKLGQGYGMTEAGPVLAMCLAFAKEPYEIKSGACGTVVRNAEMKIVDPETHASLPRNQSGEICIRGDQIMKGYLNDPESTKTTIDEEGWLHTGDIGFIDEDDELFIVDRLKEIIKYKGFQVAPAEIEALLLTHPTISDAAVVPMIDEKAGEVPVAFVVRLNGSTTTEEEIKQFVSKQVVFYKRVFRVFFVDAIPKSPSGKILRKELRARIASGDLPK >KZM89735 pep chromosome:ASM162521v1:6:3956673:3974531:-1 gene:DCAR_022902 transcript:KZM89735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVVPVCSNVCFFCPSLRARSRQPVKRYKKLLADIFPRSQITEYLEQRFYKDLRNEHFGSVKVVLHIYRKLLSTCKEQMPLFASSSLGTVRTLLEHTGEDEMQILGCNIIVEFVNNQVDSTYMFNLEGLIPKLCQLAQEVGDDERTLQLRSAGLQALAFMVKFMGNHSHISMDFDKIISVTLENYMDLSMNPETTKQDGHSTQSQEQWVQEVLKVDNNGSSFPNIGMKISSTDNLINTKRGVADMMDTHGTPSYWSRICLHNIAQLAKEATTVRRVLEPLFNSFDNEDLWSSDKGIACSMLTYLQTQLEESGGNSHLFLSLLVKHLEHKNVGKQYSMQIDIVNVATQIAENVKPQSSVAIIGALADLMKHLRKCIQNAIESPNPEDGSYKCNLDLQIALENCISKLSHKVGDVGPILDMMAVVLENIPVTGVLARTAVYVVYRTAQIISSLPNVLYYKKAFPDALFHHLLLAMAHPDHETRIGAHHVFSTVLLPSLTTPWSVHGGYPSQDLLGPLLVGSIERHSFQNASKTNSGRMIAPMEASGVVGMHLDKPKEKPSFGQTYSFKHSSTDISNESTSLRLSSHQVSLLLSSIWVQATTFDNTPTNFEAMAHTYHLVLLFTLSKHSSHKALARCFQLAFSLRSVSLDHEGGMQPSRRRSLFNLALYMLIVTARAGKFSKLISPVKSSLTSETADPFLELVEDNKLKVARSQLGDARNSYGSPEDEIAALKSLSAVKSGDEVLKEMVVEHFISCKELSEEELSSIKKQLLEGFSPDDAYPLGGPLFMETPHPCSPLARMEFQAFDEAMPSALMDEEAFPDLYGSSSGRKTALPVKSIDILSVNQLLESVLETARHVASFPISSMPIPYDQVKNQCEALVTGKQQKMSALQSFKSQHEAKVVLSLNDSEKETPLANGVLKVPELDVTVATLEQPSQLVVFPHEHVQQQSFRLPPSSPYDKFLKAAGC >KZM89981 pep chromosome:ASM162521v1:6:9119952:9121537:-1 gene:DCAR_022654 transcript:KZM89981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDLAAPPRRSSRRLTADVLWNDKTTQKNPGNYYSKPLRSIRLDDDFEADFQGFYDQLSPPALNFSAASETNDEEDKSSKKKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTYNTAEEAARAYDSEARRIRGRKAKVNFPVGVAPPAVNHSTEANIHKSVLAKPALNPDFNLMNYLDSDHYDSFSFVEEKPKLNGCDNSYLAKTDAELNPKVSSDSASHYFSSDQGSDSYEQSDFAWGDNSFKTMEVTSLSTLKCDGAQYLEDGSPCKKQKPDSMAFVLCEETIEKKPEEIPNFETEMKFLQMAFMDGNWDASLDAFLAEDTALNGGNPIDLWAFDELPTTTGGAF >KZM90301 pep chromosome:ASM162521v1:6:13982499:13991721:1 gene:DCAR_022334 transcript:KZM90301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKGGALLLRRPFCSSSDGFSGPSQSKVKIFDRNLKRKQRDRAAWLMSPKDTLVDTVAENILDRLEDCKKTFPTALCFGGSSGAIRRLLRGRGAIEKLIMMDTSYDMLKSCQATKYDDSIETSYIVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAIMGGETLKELRIACTIAQMEREGGISPRVSPLAQVRDAGNLLTRAGFTLPGVDMDEYTVRYKSALDLIEHLRAMGETNALVQRHKILKRETALATAAVYESMFAAEDGTIPATYQRSMDKMSMPSILANHSFTFSGFKKSPKELQECQQRKLRMHASGDECTSTNDEDRERWLLHSLDKPGRRQLLAVGSAAVPWMLLSDQTISFAAESKKGFLGVTDKKDGYSFVYPFGWQEIVIEGQDKVFKDVIEPLENVSVNVFPTSKEDIHDLGSPQDVSEALIKKVLAPPSQKTKLIKAEEHDVDGKTYYTFEFVAKAPNFTRHALSAICIGNGKLYTVTTGANERRWGKMKDKLNTVIDSFKVFTVYET >KZM90629 pep chromosome:ASM162521v1:6:17628766:17630193:1 gene:DCAR_022006 transcript:KZM90629 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MGALEIFFFPVMAHGHMIPVLDMAKLFAFRGVHSTIITTPLNAHAFAKGVEKTNDLGFHMSLEILEFPKVEGLPEDCENADQIADSALLPAFFAATRMLRDQLEQLLQERRPNCLVCDMFFPWAVDLAAGLNIPTLVFHGSGFFASCAGEQMRLQRPYKGLKNDSDEFVLPNLPHKVKFCLSQIPLHERTEENSVFAKTLIEAKESELRSLGVVVNSFYELEPDYADHYRNVLKRKAWHIGPVSLCNRSFEEKAQRGKRAAISEDECLKWLDSKSVNSVVYVCFGSISKFPSKQLHEIAVGLEASEQQFIWVVRRTGDEEIEDWMPEGFEERTKGRGLMIRGWAPQVMILDHEAVGGFVTHCGWNSTLEGIAAGVPMVTWPSFAEQFYNEKLITDVLRIGVAVGAKEWVVGTGGGNVKRDAVEKAVRSIIVGEEAEERRNKCKGLKEMARKAIEEGGSSHSDLSALIQELSSYSS >KZM91442 pep chromosome:ASM162521v1:6:25229468:25231463:1 gene:DCAR_021193 transcript:KZM91442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDPTDACSNWLFDYGLVEDISTVPFPPSPTIAFSWPINSSSIAWQPRQFNNLILVQYFGSTEIDCSPGDFEGIKEAASRKRFMELASILEPGRPAKTDKTAILSDAVRMVTQLQSEARKLKESNEELQEKIKELKAEKNELRDEKQRIKMDKEKLEQLVKSFGTQPGFLPHPSTLGASFSPQGQAADNKLMPFVGYPGLAMWQFMPPAVVDTSQDHVLRPPVA >KZM92509 pep chromosome:ASM162521v1:6:33957331:33959584:-1 gene:DCAR_020126 transcript:KZM92509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYIRTASFKKLFHIRRPRSSDEEECPRVSLSNDQEHTNGEFKKDFTPTETSQAQRCNWKGFCFDEIFIATHGFSSENLVGRGGHAEVYKGVLGNGDAIAVKRLTDSSNDEKKEKEFLSEIGTLGHVNHPNVSSLLGCCTDNGLYLIFHLNPKGSVASLLHDKNLPTIDWKSRYKIAVGTASGLHYLHKLCPRRIIHRDIKTSNILVTADYEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYFMHGIVDEKTDVFAFGVFLLEIISGKKSVDSSYQSLHGWAKPILNQGVYEKLVDSRLEDAYDIAEMNRLAFAASLCIRASPKWRPTMTEVLKVMVMQGEIEKEQWKMPEEEEEDEFRGFEDLECEFDS >KZM92801 pep chromosome:ASM162521v1:6:36407983:36409561:1 gene:DCAR_019834 transcript:KZM92801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGVFPRHDVQPKSEPPAVKPPKFYPADDVKKPLFNKRKPRPTKLRASITPRTVLIILTGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIATSTKVNIDGVNVEKFDDKYFAKEVEKKKKKGEGEFFEAEKDDKKSLPQGKKDDQQAVDAILLKSIECVPDLKTYLSARFSLMSGMKPNELVF >KZM90645 pep chromosome:ASM162521v1:6:17758612:17760021:-1 gene:DCAR_021990 transcript:KZM90645 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MAKLLAQHHVIVTIVITPRNTVRFGAAINRAIESGLPIRLLQLRFPSAEAGLPEGCESVDELPSYNLNVNFMSATRMLQEPVEKFLGETSPAPSCILSDKHVFWTAKTAERFQIPWIIFDGMSCFTQLCTEMLSASKVHETVSESELFVVPGLPDRIEFTRAQLPGLFNPGSIPSSVNIIREQIRATEVGAYGVVINSFEELEKSYVDEYKKLRRDKVWCVGPLSLCNKDSLDKAQRGNSATNDQDRCLSWLDIQKPGSVIYACLGSLSSLSRGQLIELALGLEASGNPFVWAVRSRSKQEEIERWIVEDGYEERIRGRGLLIRGWAPQVLILSHFAIGGFLTHCGWNSTIEGICAGVPLITWPLFAEQFFNEKLVVQVAGTGVSVGSKIAVQLGEEEKAGVNVKRDDVKSAIKCIMSVEEEGENRRRNARELAEKAAKAVEDGGSSFLNMTLLVEDIMKQTNDQRLRS >KZM92584 pep chromosome:ASM162521v1:6:34614535:34615831:1 gene:DCAR_020051 transcript:KZM92584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLVCGSGSFQDEDDIELSELLRSCSSSAKKTSKILGTRHSFSSKSKDSKNPYADRGLDKFSALLAELDSKRQKIYTQKGSEDISLLGFAYSNSSDYKPIVVRVRDKKPIKTLIKAPVKLADRSDSQVSKNVVEKSDYDLKQTRAESNRVDQKKASPEKFRHK >KZM92692 pep chromosome:ASM162521v1:6:35468997:35472647:1 gene:DCAR_019943 transcript:KZM92692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARKFKNAGWAAYNSKQQQATGLRSKACEEPFPPISSTTIPKNHTGLVKNNHNVAQSFSSVLLPSANFPTLGANKDIEKSLPRGSCTNEVILKKCQDNGNGVYEKLKKLHPWAEKSLIEDIMEAVNNDIDRASDLLKEMVSSTSLHEKKETEVTDFRYNAENFYSENNTFLTDIDLTLRETQDLVGISYGHQDDIVSRNKELTADTSAFGKGHPDHSTSELQSLRSLTNIPVEPEWEEDDVYLVNRKDAMRMMRLASRHSKAASDAYLRGDHLSAQQYSMRAREEWKEAEKYNAVAAKEILRKRNCENDLWKLDLHGLHASEAVQALEEHLHKIESQFAPFTNPVNTTNMVRSASLDSLGKEKFDKQKASFRPRSTVLEVITGIGLHSRGQAALPSAIETFLSENKYHYDKARPGMIAVRPKYRRMVLHVNKQAAWIIHKITGTDPVQIATASSFNYQRTRN >KZM91630 pep chromosome:ASM162521v1:6:26684647:26685486:1 gene:DCAR_021005 transcript:KZM91630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLSLSPSLPLWPLLPIILGRDVSAEVADVGSSVKSLHVGQEIFGALHPTAVWGTYADNVILSEGKLAPKPSSISHVVSILYDITLLDIDGAKNPKDG >KZM92292 pep chromosome:ASM162521v1:6:32190491:32191993:-1 gene:DCAR_020343 transcript:KZM92292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQWPQGTGLVQLPMEGNSNSGAAVVDHQRNNDSTNISNKAISASARPQKPQAINCPRCNSTHTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRSVPVGGGSRKNKRSSSSSSSLVSKKLPAGHETISSHQNPNIKIYHEGSSQDLNLAYPPITNPSYTLGMPHEFAEYPNSTLASQNNFSQFLKSGISGGRGFSSFMSMIPVSDSNGTGLLYSASTSGSSPGLPFQEFSKTSPGLNFSLNQHQGFEDSGNRGGYGSTLQGVHQENSSNTSHPKLFNFPFEDLKQTDVVPTTSTAHDELNRHDEGGDDHLQSSGLIWSNGGLGGSGASW >KZM89613 pep chromosome:ASM162521v1:6:2528119:2531444:1 gene:DCAR_023024 transcript:KZM89613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSENEGIEGKSFVVTGGVGFVGSALCIELIRRGAARVTAFDLVSDSSSSRRLIQNGVKCIQGDITQKKDVDRALRGADCVFHLASFGMSGKEMLQYGRVDEVNINGTCHVLDACIQHGINRLLYVSTYNVVFGGKEIVNGNETLPYFPMEDHVDPYGRSKAIAEQLILKSNGRPFKEKKGKCLYTCAIRPAAIYGPGEQRHLPRIVNLAKLGLLPFKIGEANVKSDWVYVDNLVLALIMASMGLLDDIPGRGSQPVAAGQPYFISDGAPVNTFVFLRPLLQSLEYDMPTASLAVPHALLLGKFFWALYTIMYPWLNKWWLPEPLMLPTEVHKVGVTHYFSLLKARQELGYIPLVNPQEGMAETISYWQDKKLKSLDGPTLITWILVLGGMLAVFAAAYLPDIGPVPLIRAIALFVFRSLWGIRVVFFLASAAHIGEGVYAYQLAKRVDPANSRGWFLQTTALGMFSLRFLLKRAKK >KZM91382 pep chromosome:ASM162521v1:6:24671211:24678752:1 gene:DCAR_021253 transcript:KZM91382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVSDAPVDSTADPLFPWLRSIEKTLINLKSNADADDSQLYQLASDCVHTFKNDIRYQNDVRFLKIWFLYMDGSSDFKSVFREMQQCNICVHNSVLYESYALFLEVKGMLREAHSVYQLGISRNAEPIERLKKRLALFYERMTAIVNACSDKKGYHSISKAYPGKVALSTLAKSIRNKVIIDIGGKKYQIIGCAGQGGFAQVFKAYVNSNPEDVVALKIQKPAFPWEFYMYRQLDMRILGRERSSFGFVHKLHLYSDYSILVSNYLSHGTLQDAINSNVVIGGSMAEVLCIYYTIELLSMLEALHASRIVHGDFKPDNLLIRYARDELTENDFHGRTGPWEDQGLCLVDWGRGIDLSLFPEKTKFTGDCRTSGFRCIEMQENKPWTFQAKGPRTRGGEGGASRLIQTASCSLKSDPLRPCLDQIRKQADDHKSLVLAYASYARKLKLENSNLVDTYGLCVIVHMMLHNSYMETEKKMSSSGSYINLPKAPFKRYWQIDLWKNLFSELLNISPDHDHIESLRSIRKSFQDYMCSKPQRIKDLKQSLVKQRQSMCSA >KZM90883 pep chromosome:ASM162521v1:6:20132493:20136838:1 gene:DCAR_021752 transcript:KZM90883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSARVMRELYADATLKSTKSFHALCTTEKKVGLSGIPLHHYGSSFQRGIPGFKCHQVIPGVMSQGEVFARGYASGGEAMQKVKDESLKHAGRGVRSVANAGRSSSASEFQEIMADYVHQEMTRTWILVLMRLFLIIAVKDVVVSLVFSDSKDEAESPRIHNYSPLMALNVIRKTLISLNPLQIKPPFPQSRHLSSAAAAVASSDDPSSSFSFSEAPESKPDKDESIYVKGVPKSTPSSSVTMPMSFMTGSIVGKKFYKKVSTREAEDGNGWHVMLDYRTLKTPSKRPLKCPNHFLAMAIAAEWDYQQADGIRPFTMPIMKLACTALERVPLTRSKIIDHLMQRFPQDLVFCRAPGDNDLTSGVLERQEEKIDPLLKWVESEFGFKPVLYSSFFGGKQDDGLVNAIKSLLKATNDCELAAIDALASAAHSLIIAIGIFRGKLQIDEAIELIRLEEDLQVDRWGLVEGGHDLDVADLKVQIASAVVFLGLSRRF >KZM91065 pep chromosome:ASM162521v1:6:21776343:21778064:-1 gene:DCAR_021570 transcript:KZM91065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDTHHKEDHHVYQSILLPGNYIKPEIDNDFSVNIGSSKGFYQDNYQTLDQFSFTGSSSSYNHPNKISSPNVFSDPYDPFIYPSGKSYDIFDQFKPFEENGGSSFVQNSSDLQVGGFVNNPTAGKVDCNASDQRRRLGKMSVMVPDEGSCVTADHKNIPGKYFGKRSDAFTSSSTKPSKNTKKLKSSKGQWTAEEDRLLVDMVKKYGVRKWSHIASMLKGRIGKQCRERWHNHLRPDIKKDLWSEDEDRILIQAHSKVGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSRRKCRTKWPRPSSLLQHYIKSLHLDNTKPTNYRRKSSSINKTPAEVIPKLNIPTTKPPNWSEKAEFCPNFDDDLKELAELNFMENPFEGVSIDDLLEDLPPIKTPPVEDSGIDMNLDSNDQRPTLMQCQVKKDLDLMEMISQINM >KZM91185 pep chromosome:ASM162521v1:6:22846384:22846560:-1 gene:DCAR_021450 transcript:KZM91185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESLVNGRVPDAATTCNAQLASTQTVPQQHDRNFSGLASKGTSTQQTVEDLEAGRS >KZM92365 pep chromosome:ASM162521v1:6:32849590:32851461:-1 gene:DCAR_020270 transcript:KZM92365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSPVSKTSPIAVLGFLILGLLGAPVVECDIVYQAINCRKHSAALTDFGAKGKWLTGSFNLTSNFTLFLQKGAVILGSQDISEYPLIEPLKSYGRGRDAPGGRYISLVFGTNLTDVVITGENGTIDGQGAFWWDKFRAKELNFTRPYLIEIMYSNQVQISNLTLLNSPSWVVHPVYSSDVIIQGLTINSPVGSPNTDGIDPDSCTNMKIEDCFIVSGDDCIAVKSGWDEYGIAVGMPTNHLIIRRLTCISPDSAVIALGSEMSGGIQDLRAEDITAINSESGVRIKSAPGRGGYVKDIYVRRMTLYTMKYVFWMTGAYGDHPDEGYDPKALPEVKNINFRDINAENVTMAADLSGIKDDPYTGICISDAVINLSPKPKKVQWNCTDVSGITSNVGPPPCDLLPEKVLESGCDFPTDVLPIDKVQLQTCKLAF >KZM92280 pep chromosome:ASM162521v1:6:32057868:32058640:1 gene:DCAR_020355 transcript:KZM92280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDLSSSFSVQRKKKNKNTKRFSDEQIRSLESIFKLDTKLEPKKKIQLARELELQPRQVAIWFQNRRARWKSKQLEQEYRALKTNYDNLNSQFEFLKEEKESLLAQLQKLNEMLKEIHSTNEASKGLEERSTNQGLDDENNNSEIKADTKTLTDTVDNCSIMHSDPDERENTGGLLDQYQGAELLSFCQQLDGPLPPAERWCNFGSGDISDQACGNSNWWEF >KZM92150 pep chromosome:ASM162521v1:6:30956421:30956753:1 gene:DCAR_020485 transcript:KZM92150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSMLTLVKKVENQVQPLVETPPVAAVVEPSQSSRSSTHKSIETLVVVLAVIIIVGVIAGIIARLCGGRHYGGNGEHDIEGWVERKCRNCIDAGVADTAKPAAAEETKK >KZM89398 pep chromosome:ASM162521v1:6:438441:439901:-1 gene:DCAR_023239 transcript:KZM89398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLWISNVKDGQHLSEDDLQLLCEYVKDILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPDTNYIFMGDYVDRGYNSLEVFTILLLLKARDPGDPAGSHPRSNSKLLISN >KZM90214 pep chromosome:ASM162521v1:6:12664234:12679506:-1 gene:DCAR_022421 transcript:KZM90214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNLSSLVHELRERIAASSSTPPLNRTGGDDQNDDALEIRFRAVIPNLLHTYVVPSSTANDREVTAILKLLTYTANNIPGVYFHGNSAAVLPIICRILPFFAEPSFCSRHGVIFETVGSLLSLLRTGDRDMFRQFFMDTMLVIEDLQYVASQSDLASHSNSSKVSLKCFCESLGGISSTALLSDIPACSKPTTGYGILINLTGRSRWQPFAIWTIKLLSKFLTEGTLYVEGLINTSCVVAACTLLCYGNGDLQMACFDFTRVIGAVLNSEMVPSEKIIRSISTILSEHEELPWVFRNTSYDSSLGECLNALHSICPDDVVKLTATDFVNVFAVSMQKTRSPELKAALCSAYVRIAKSCPPHIWRPETLLDMICSSKPSFVFIECFQVALSTLVPDLLTEVTKNNGSVDHSHLANNKFEVLRVGEKRSCQAAEVLKAKRRKKTEVFIECTSDFQDMGKLNCITSEREKEYANYLRSSLVSFLERLQPPDDKTTFLAPDIALMALSTICIVFCGYVPTEFSRCMLVHMRGWISWVCEQARQGLSITLELSIFLEAIHCVLLTERFFSMENKLFQNENSAAEFMQYVLKLPWTNSFGITDQHLQWKAKCLSLQVVSKMVSLSQSGSNLEVLDLGLRDEAEVVRMEAIIAMPVIVFWSGSGMLTHILKRMGYLEREHNEQIRKIIPHCMGYLACLCGSCTGVGLCESECKLFLKINNEKLNWSLDHLMRGFWCSKCDQSVANSIGLHSLDMHHHKILGLQLDLNTDYVQLLSIFFDLLYDNSSEEVQISCVEMIRRVLAHGTTEVLLETRSVWIKCIDFLLFHRNKAVRDAFNPQIGFFLEDRILNCLFLDEETGEKTKEQKFLDKIKHALAAADDPHLYDTLLEATSEIMNTVDTNNQLFLFSLILLVDQLDNPHVTVRMTASRLIHRSCSFHFKGGLEVILNKVAYIRDELYDYLCIRLTTRPEIVNEFAVSVLSVEMNELVKRLIPVVLPKLITIQHSNDLQVVTLNNLAKCLDTDIDMVKLIVDWIPKVLAFALHQADRRVLESALLFYHEHAKSDKQEIFAAALPALLDELVCFVDQGDSDETSKRLSRVPQVIKEVARILTGTDDDLPGFLRNHFAGLLNRISRKMLHSEDISLQIQAMKRIEMLIGMMGAHLSTYVPKLMVLLMHAVDKESLQNEGLAVLHFFIKQLGKVSPSSTQHVISQVFAALTPFLEKDKENSSSHMNKIVEILEELVFENRSILKQHIREFTLLPRISALARVNKVIEEVRGVITLKDQLLDVVEGLNHENLNVRYMVACELSKLLNLRREEVTAVVTGEGDSDMDVLSSLIASLLRGCAEESRTSVGQRLKLVCADCLGALGAVDPAKVKGFSSQRFKIACSDDDLIFELIHKHLARAFRAAPDTNIQDSAALAIQELLKMAGCEASLDENVAASTLQTVKDKQSSKIPVFRVGTNSASSKMNGRGQILWDRFSNYVKEIIAPCLTSKYHLPNVADSAVVGPIYRASMSFRTWIYLWIKKLTVHATGSRSCIFTACRGIIRHDVQTATYLLPYLVLNAVCHGNEEARHGITEEILCVLDSAASGNNAAAVPRISSGKSEVCIQAVFTLLDSLGQWMDDVEQELALSQSLQVSTSKQQVSKLKDQSKDPSSNPDQLLVQCRYVSELLAAIPKVTLAKASFKCQAYARSLLYFESHVREKSGSFNPAAERGGVFEDEDVSYLMEIYSGLDEPDGLSGLACLRKSKSLQDQLAINKKAGNWAEVLTSCEQALQMEPKSVQRHSDVLNCLLNMCHLQAVVTHVDGLISRIPQYKKTWCMQGVQAAWRLGMWDLMDEFLDGANEEGLVCSSSESNASFDMDVAKILQALMRKDQFSVVEKIAMSKQALIAPLAAAGMDSYTRAYPFIVKLHMLHELEDFHSLLEGDSFRNKLFHVSEPEFAKRMANWDSRLRYTQPSIWAREPLLAFRRLVFGASRLGSQVGNCWLQYAKLCRSAGHYETANRAILEAKALGSPNVHMEKAKLMWSTRQSDSAIAELQQSLLNMPMEVVGSVTISSITSHSLIPLNISRMPPCSTQVTNENLDIAKTLLLYSRWTHYTGQKQKEDVINLYARVRELQPKWEKGYFYLAKYYDEVLVDARKRQEENSELGTKAILSAGSSNTEKLWWSYLPDTLICYAKGLHRGHRNLFQALPRLLTLWFDFGSIYQRSGSSSSKDMKNVNGKLLGIMRGCLNDLPTYQWLTVLPQLVSRICHQNDEIVRLVKRIITSVLKQFPQQALWIMAAVSKSTVSSRREAAAAIMQEARKDFPLVDGKTLFVQFASLIDHLIRLCFHAGQSKSRVINISTEFSSLKRMMPLEIIMPLQQSLTVSLPTYEPKMTSSLTSCIFSSDLPTILGIADEAEILSSLQRPKKIVLLGSDGIERPFLCKPKDDLRKDARMMEFNAMINRLLSKSPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYISCGKFDRQKTNPQIKRIYDQCQGKISEDEMLKKKILPMFPPVFHKWFLNTFSEPAAWFRARVAYAQTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLKVCEITLSILRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIEARLQGVVVGVRAAPSLPLAVEGQARRLIAEAVAHENLGKMYIWWMPWF >KZM89636 pep chromosome:ASM162521v1:6:2748571:2749074:1 gene:DCAR_023001 transcript:KZM89636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSSQIYTRGDRESSLRNADSASRDILTKRRNSTGMSDLELTEVGIQNFALSGT >KZM89401 pep chromosome:ASM162521v1:6:449743:453599:-1 gene:DCAR_023236 transcript:KZM89401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTLVFFIFLVKLLKHRKNFENFGYSKLPPGGRGLPIVGDSINWYNAVSSSHPPSFVKEQVKRYGKIFSCNLLGKRAVVSADPSFNRFIMQNEGKLFQSSYPKSFRNLVGINGVINAQGEQQKKLHSIASNMMRLDKFSFHFMKDIQLVLHQTVTNFENNEVVILQDVCRKVAINLMVNQLLGVSSDAEVDEMAHLFSDFVDGCLSVPINLPGFAFRTAMKAREKIIDKFDKIVKKHREERKSGAELVGNGLLGRLVDDESLADDTMADFVINLLFAGNETTAKTMLFAIYFLTQCPEAMKQLLEEQQALKSQKNGSDAEDEMLTWQDYKAMPFTQCVIDETLRLGGIAIWLMREAKQDIEYQDYVIPKGCFVVPFLSAVHLDENIYEDSLLFNPWRWMEPQNMEKRNWRSSPFYTPFGGGSRFCPGAELARLQIALFLHHFVTTYRWTQMKEDRMSFFPSARLVNGFQIQLTR >KZM91260 pep chromosome:ASM162521v1:6:23635989:23639501:1 gene:DCAR_021375 transcript:KZM91260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHHTIGVYRQQQHESETTAFPVPPSQYNPLDEPSPLGLRLRKSPSLLDLIQMKLSHGNKTKLGAPVKKEQKGVATSNSNDKLKASNFPATLLKIGTWEYKSRHEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDILALKATYPDDEPGTLDVVLSRQPLFFRETNPQPRKHTLWQATSDFTGEQASMNRRHYLQCPPGLLGKHFEKLIQCDPRLNYLSQQAEITLKSPYFEPRTSVFDESDDGNSKYDMNRDGRPPIFNLQDVPSSSGPQSSSSKNGQYDFHGTHQEQFSRETPSPSPSSVMENGMNEASSGTGELKNLNGWDNMNVPGLHPSMSMSDLVSHIEHRFSEHRTSNNPLSKDERESLDILDEISKCLFTDSQHVPTSDEKSIMTRVNSLYSLLQKDPTPLQDFNFRGGHSNDMTLMDNKTKYQLNCFAPQVKSEVTEDSSTPHSESADVSGEGLGMSRKDSVGELLLNLPRIASLPQFFFNISEDFNSQAR >KZM90702 pep chromosome:ASM162521v1:6:18329174:18329881:1 gene:DCAR_021933 transcript:KZM90702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFDIKVEKANAILRYNRLQKITTLFRFMEVCMFLFIVSRISTHLPLAFKVCGDCIQGLSITISSPGFLFILGNTIVVVLFFKSGQISAQETKNHSPAIEFCIEYVEGCENKISINQEEQVEEQGRKQGRKQGKCEEKPAMLDFCSFEDRTVQRSQSEKFMTYQPQDSCRKLRRTVTENGRKGTKTSEHKTFNPKCYAEDEMSGEEFRHAVEAFIARQQRSLREEFLSIVTYET >KZM92821 pep chromosome:ASM162521v1:6:36560273:36560518:1 gene:DCAR_019814 transcript:KZM92821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAKGGQGRDVVGKQRKEGQQARNTERLKEVSLIRRKQRTAKRKKRRRWGESEPATKKELTSFNRIQNDADNKNLETLG >KZM92426 pep chromosome:ASM162521v1:6:33306303:33309907:1 gene:DCAR_020209 transcript:KZM92426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESRALGVDQIKDLTLNSNGGEQKDSPLVIAFGELLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFVGKVGDDEFGYMLADILKQNKVNTSGMRFDPSARTALAFVTLRSDGEREFLFFRHPSADMLLRESELERNLIKQAKVFHYGSISLIEEPCRSAHLAAMAIAKESGSILSYDPNLRLALWPSADAAREGIMSIWDKADIIKASYRPSCEFWQTYNYIYGVNLLISEDEISFLTGGEDPYDDNVVLTKLYHSNLKLLLVSEGAAGCRYYTKQLKGRVSGVKVKPVDTTGAGDAFVAGFLYCFASDMNLYQDEKKLRDALLFANACGAVTVTERGAIPAMPTKEAVLQILAKHAG >KZM91957 pep chromosome:ASM162521v1:6:29354191:29357403:-1 gene:DCAR_020678 transcript:KZM91957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWSTNLLFRSRKRRRRGTSTKQRCNYIQQENAQEQKEQEEEDDDGGFKLKTSACGVQPLGNLYFSSSAHNSRNTGLGNLQTLTDELVLDILGLLDATHLGNLAAVSKSFYVFCTHEPLWRNLVLEKYGGDFLFDGSWRYTYAKAYKPLAGSLNGGGSLGLKVKDFYSDYLFQSWLCANLEMRPDWLERDNILRRKGISVDEFVRDFEEPNKPVLLEGCLDGWAALGKWDREYLVETCGDVRFAVGPVEMKIDDFFRYSDQAREERPLYLFDPKFGEKVPILDSDYEVPVYFNEDLFNVLGKERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIKGSKKWVLFPPDVVPPGVHPSPDGAEVACPVSIIEWFMNFYSATKDWKKKPIECICKAGEVIFVPNGWWHLVINLEDSVAITQNFVSRRNLLNVLDFLKKPNASSLVSGTKDRVKLYDKFKNAIEAMFPGTIDQLMQKAEERMAQHEKPSFWDSVTDTKAGAFKFSF >KZM91078 pep chromosome:ASM162521v1:6:21941679:21944443:1 gene:DCAR_021557 transcript:KZM91078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGWFLPLVTILLTLNTQNLEARENGVKTSVFLSPKLVLGPGSVANKVFYDIDFPRGHVALKDFNAEVVDEAGNSIPLHETYLHHWVAVRYYERKGISVSKHSHSSGFHQADRIIARNAGICDHGLTQYFGLGSETRKTATHIPDPYGIEIGNPAEIPDGYEEKWFLNVHAIDTRGAEERLGCTECRCDLYNVTVDEYNRPLNPDYIGGLYCCYDETHCLVKQGFESVRRNLYMKYTVKWVDWEDSILPLKIYIFDITDSWSKSDELSKHNCQIEYTVEACANDANNKACVESRQISVVMPTGGDVIYGVAHQHTGGVGSALYGEDGRVICSSTPTYGQGTEPGNEAGYIVGMSTCYPEPGSVRIADGETLYLDSNYSSSQRHTGVMGLFYILVAEPLPNSKSYLQAAVEIRGETAKNSFIWIGVLFGVGIAILVAFLGYRKLRSPREDEYEPINI >KZM90699 pep chromosome:ASM162521v1:6:18298114:18302047:-1 gene:DCAR_021936 transcript:KZM90699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPRSSSPVLTRPTTNPNLRASETNSSIRRSFTGNPFARPSVHTSPRSFVPNTPANSPAEFGRKLPVGKEGSFRGFEEKENEKLHSLKAIKCKSPLKASKNFMSPTISAASKFTPSPRKKILGERNEPIRTSISFSDGQESSVSDIDTKSESGFNQNTTDVSSEFTGFNKKEVLKASKRVTFSDEVIPIAHHESSPYNNSYSTSMSPVVAPYEVSETPNKADNQSDYDSADNQSDYDSVILDSSLDPKPFSSPAIAPLDADPSFPPYDPKTNYLSPRPQFLRYKPHRRMEVYLSKEGLHFEESKEFEDTDVTEETDSGDSQKESEDGSTTETLPSEEEEASLLSEPTPAGTPISDQMLKHIVEAKPDSFTISKSEEEELLLSEPIFAGSPISTQMLKPGPVAKLKSEEEEALLLSEPTPSGTPVSTQMLKQISEAQRAPKQGFFTRSKCISLLLVLLIGFVSISVTDVSITSSPVIKDLSFYKLYESSEVAALARNKIDKLADNLQHWYDTSEIAVHARENFDGLLKQYQQWYESFDVAIYASKYSHAFARDFKQYSSQTISYLSKLIPRLGEVDKPISGQFCNLTDSQEHSGGVGYFDVNHQKIAEIHDDYELTPMVTEEAGGDYLEEKAQSANVFNEQNEESEIQEMIQAEKNHFDIAEEVLDPEQIDAEVQSNTVSKDPLADVNEHNEEESKIPKMVQAESYTEEVLGQEQFDTELQSNIVSEDQSILDSAASEAKSEVITPVKFIYETKSSNDASTQSKPEVVSQMGYQFDNDAGTESKPAVVSEMEYQTDTDARSTSETAYEVDQVISEVIENKPSAHAILGISFLVISVVVASAFTFLKWKNTTAVNGVVHGEPKQTKDMNLSKNPSHEDRDLQNSPTNYDMSGESCPSEMSSFQNTSSYSKGPSKRMHKAQSQERKSRANTKRESLASSSEFSTGSPSYGSFTTYERIPLKHAYGEEEIVTPVRRSSRIRSQITSP >KZM89989 pep chromosome:ASM162521v1:6:9281295:9284945:-1 gene:DCAR_022646 transcript:KZM89989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFLRDLDHTRSDWKVHVRVTRMWTTKGTTNNPFSKNLILLDREDCHVKAFVVPEAWDVLQDMMFEGKIYDIYSFVCCDCSGKLGHVSSNISIVLTSQTVVLPAPNEVSTIPRHKFEITKLSQLYSLTRSYPLDVIPSHAIGSTCIYVNPDYEEMRRVRLREDLNIRLHKFEIVPIDNLAGLIDSEDLYYKPLYATDVVGVLDSLQPIQNTICHGANKQVVRFSITDGRILHLVRFFGPMNQNTAALYDNAHETEVIVILACVRISKRMGKVWITSLPGTKVYINLAYPDVFELRQRLLEDENHLP >KZM91201 pep chromosome:ASM162521v1:6:22958682:22967507:1 gene:DCAR_021434 transcript:KZM91201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTKHSRKRARGARSLRGCIETKRWHFCNKLEVWGERIRQGVTTVRSCKGFPIALKVVGRSLYGQEEVKWRHEQMSWSDSTSKELLDRLQPSLDALDEMNESSLKDCCLDLGSFPEDQKVSATTLMDIWAELYNLNDEKTYCNLVELAFRNLVNLFCTRQTGNEADRLCNERSVMQHDLLRDLTIHQSNTEPIKHRKRLFIEMQGNNFPQWWTEEMNQPIQARLLSISTDKNFSGSWFPLTLPAVEVVILNCSSAMCTIPECLNIGEYSSFGAVPGVPSGVVGFDHPLKELKEMLLKDEVRIKVVSAPGGCGKTTLAKMLSNDPDIQIYFYLVPFLPTQGSARIFKKNILFLTISKSGDICDIVKKMLQPRLPSSHLPDIQTDDAAIDLLEWWLKSISPSPVLLVLDDVWPNWATVVDNLSSLNLPHYKILVTSRYSFPRFEPVYNLKILNDQDAMTLFCQFALPNDGSSTIPDDLVEKTVKGCKGFPLALKVVGRSLYGQKEVKWRREQKKWSEGKSIIDTSTELFDCLQPSLDALEEMKESSLKDCCLDLGSFPEDQRVPATTLMDIWAELYNLDDMDTYYNLLELAFRNLVNLFCTRQTENEVEDFCNERSVMQHDLLRDLTIHQSNIKPIEHTERLFIEMTGNKFPKWWSEEMNQPIHARLLSISTDKNFSGSWFPLTLPAVKVVILNCSSAMYTIPEFIERTNQLKVLIITNYGSSFSKLSNFHLLGNLPILRRMRMERVSLSFLNTSLLKPVNLQKISFTMCEIGTAFENTNIDLSHVFPNLEEIEIDCCDDLQNLHVGLCDIVSLKKVSITTCNELSALPEEIGKLRNLEYLMLNSCTKLSELPESIGDLHKLKSLDISDCLNISDLPGRVGELRALETINMRGCQGLSDLRKLPSSVKDLKLLEKVICDADISHLWKAYQSNLKKLKVEVVKEDAFKSLMRVISPIQQF >KZM92812 pep chromosome:ASM162521v1:6:36469840:36483839:1 gene:DCAR_019823 transcript:KZM92812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPELQAPPEIFYDDTEARKYTSSSRIMEIQAQLSERAIELLALPDDDVPRLLLDIGCGSGLSGETLSEYGHQWIGLDISESMLNIAREREVEGDLILGDMGQGLGLRPGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGCLYRCLARGARAVLQVYPENIAQRELILSFAMRAGFAGGVVVDYPHSSKSRKEYLVLTCGPPSLSSATPKAKGEDGESCSEDDSSADEENPTVCISDRHRPRKKQKVNKKGKGRNWVLKKKEQMRKKGNVVPPDTKHGNGMDEQEGKMLTLVARKACFGLPTACPSFQIPYVETGECYVAYNNENGGVIDTLKQDGIADLDSGLDAIPEWISMKAMITSWLADAVMYELWMGSDGTSARKIYYSDLPWPISKFLYYKQIYRKATKAYEALSARLGEDLYFFESRPTSLDALLLGHVLFTLHALPETSDLRSKLLSHTNLVKSADCFMSNYVNTSNSTSGLQSGDPLSSGSEFQSYQSTSKFGGGSSNWRSNPRSKPKREKTEEEKTFRRRAKYFLVTQLAAVLIFLSLIGGSENVDMELDNDDDGSIYG >KZM89753 pep chromosome:ASM162521v1:6:4289428:4290690:1 gene:DCAR_022884 transcript:KZM89753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSRDLQEKEFKQPNVYFGSGLVRGPQALVAMGPKKKKEQTRIQDLIATVKESDEEFRAFAVTIPTREEMIQENFPLTDWKPPTLSWCCKNINRIRHAEEKLDAGEKGMEWVHAWSNLYWKGEVKPGSEAFVDHPEEDQKKLLRQMLDDINDSKTRISYKKWKFALMKKSEKDPSRFRLERREEEGANSAEVKVKAVEVEADIPAEKKVEAAEKEVEKKVEAAENEVDIPGLNVVTVDEVGDHPVELLDLRKAWVARAKGDPCWWVSLRTRSPVAGATHT >KZM92583 pep chromosome:ASM162521v1:6:34606683:34608106:-1 gene:DCAR_020052 transcript:KZM92583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACEKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKPDKPIDNEKAKVSSMFVGTKDKCLACTKTVYPTEKVSVNGTAYHRSCFKCTHGGCTISPSNYIAHEGRLYCRHHHTQLIKEKGNLSQLEGDRVVAES >KZM91697 pep chromosome:ASM162521v1:6:27177268:27180051:-1 gene:DCAR_020938 transcript:KZM91697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSFRSSSSSSLSYNTAKLVNQISDDGTELTAYERALKYKRPSTIKYQEELSNSVSLIGVVTRPICPVKSKSGGGDLGAYTVLKVNNTLSSCQTTRRHTWILLSMWGKMAQICITHLKLDDLVYVSGHLGSYSKADKNGIPNTSYKVNVMNINYVQSHRQAPVCERAKQSRVEDLAVTSLDRYNDRLHLWQVYFANPLEWFDNRNSKKSPHHPDFRHKDTGEVLWLKHNDPPWIRKQLELQDSRLAGRQISVSSDLTSLVYDDFA >KZM91279 pep chromosome:ASM162521v1:6:23759914:23765683:1 gene:DCAR_021356 transcript:KZM91279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATISMEIAAATFRTFDILHTSAARRQPNLRFLQLSRRTNRAVHSLASQITWKSNPYSCRRFRLFSTAATAAGPTTDQDSSDVLTKIPPDNRIPATIITGFLGSGKTTLLDHILTADHGKRIAVIENEYGEVDIDGSLVAAKTNIAEDIVMLNNGCLCCTVRGDLVRMIAELIFLIYGRIVALNKHMYLKSAGLANPAPIIQTFYAEEEIFNNVKLDGVVTLVDAKFATIHLDEIKPKGVVNEAIEQIAYADRIIVNKTDLVGESDIASLTQRIRIINTMANLKRTEFGKVDLDYVLGIGGFDLERIESAVETEGSKEDHGSHKHDHDHHDHEHDHHDHGHDHGHEHHDHHSHDHTHDPGVSSMSIVCEGTLDLEKANLWLGTLLMEQSEDIYRMKGLLAIDGMDERFVFQGVHDIFQGSPDRPWEKDEPRVNKVVFIGKNLDKQEIEKGFRACLI >KZM91555 pep chromosome:ASM162521v1:6:26027188:26027643:1 gene:DCAR_021080 transcript:KZM91555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKVVIKVAMCSPQKSRVKAMKIAATSYGVEAVALKGDDKDQIEVIGEGIDTIELAKSLRKYVGRADVVSVGPFKEENKKETEEEKKDVVVPIGYYGYTCPPYNYAPLPCYYDEPSCSIM >KZM89634 pep chromosome:ASM162521v1:6:2743107:2744300:1 gene:DCAR_023003 transcript:KZM89634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDWSELPPELLYTIATNLNHLHDRIRFRSVCLTWRSSTPETPFNLPCQLPWLMLPADHFSSKPSHLRGFYSLSTNKIHAFSLPRITRRSRRCGSSHGWLAFLEESPSVLLLNPLTRVVVNLPPLSEFPNVMSFDYSKVGREYTLRTGDDDVYSCNLKEMRDSYIKKVILSASPASCSDYIVVAIINQTGDLAYCKNDVPTWKFIDEAHGYCEDVVYHNGMFMAVNKNGEIAVCDLNSDKPNVSFINTPHQVGGDMQYLVACEGELLLVTRYLELEFNGDSNQLDIIYKTTEFQVYKLDMKGVKWEAMNSLGDKALFLGENSSLALSASEFPECKGNRIYFTDDYSEWNYDGVNGDHDLGVYDLEDGSIEALPCYPFNSHSTRSWPPPIWVTPNPC >KZM90760 pep chromosome:ASM162521v1:6:18939482:18940417:-1 gene:DCAR_021875 transcript:KZM90760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAFSPLLLIILFITFSPDPTSASSRKLTALVQEQPLKMKYHNGALLKGNITINLIWYGTFTPAQRSIIVDYLSSLNSGHSTPSPSAASWWRTTENYHGGASTVVVGIQTLDEKYSLGKSLKTSHLILLASKANKLNSINVVLTAKDVAVDGFCMNRCGTHGSTRVKTGSGLKSTRFAYAWVGNSESLCPGQCAWPFHQPMYGPQTPPLVSPNGDVGVDGMIINLGTVLAGTVTNPFNNGYFQGPVTAPLEAVSACTGVFGSGAYPGNPGNVLVDKSSGASYNAHGEKGRKFLLPAMWDPKASKCATLV >KZM92405 pep chromosome:ASM162521v1:6:33168668:33171680:-1 gene:DCAR_020230 transcript:KZM92405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVFCGNFDYEARQSDLERLFKRYGNVTRVDMKSGFAFVYMEDERDAEDAIRRLDNIEFGRKGRRLRVEWTKQERSVRKPENSRRSSSNLRPSKTLFVINFDPYHTRTRDLERHFDPYGKILNIRIRRNFAFIQFETQEDAIKALEATNMSKLMDRVISVEYAIKDDDERKSGYSPDLVRDRSPRRRNDDRGRSPSPYRRERGSPDYGHGHGRSPSPYRRERASPDYGRGGSGTPNGRIRKSHGRGGSRSPNGRDMKADYGRGSRSPIRRERKADYGRGGSLDGRERKADYRRGGSPDGMERKVDYERGGSPDERERKLDYGHGGSPDGRERKVDSHRGGSRDGRERKADYGRGSSPNGWERKVDYGRVGSPNVRERKSDYSFEKSPSPPNGKDRISYGRRSSISPERELVSPDLVHSPSPRERLNPEYDNGHSPSPQTEKVSFDDYNREASPDYKPEMGDSPGYSGGESPMRDH >KZM90362 pep chromosome:ASM162521v1:6:14611445:14615242:-1 gene:DCAR_022273 transcript:KZM90362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLEAIAQSPFSVISNSNSLDVDKNGGNMYGWLINCHGFWHNVALVLPCVMFLLFLAFQAKKSFVKLLNGRSVVMIAYYGSVWFVVFFNLAWCLLQAWECTSAKETPWNALSLFTDAGMLFLEVSLVAFLLQGNHSSGKEGLTRTFVVSGLIVAFDILLKAIYLYGFSVPLFITDGEHRNRVKWGLWAVHKLLLTAVYGVILFMYNSDWRERLPARPAFYKYAIIMFCLNVTASLACLLLTGPGFVFGSWLYSFTTICYHALYLPLLYVTFLADFFEEDDFHLESVYYSEMKDAGFFDADWEI >KZM90443 pep chromosome:ASM162521v1:6:15840670:15841041:-1 gene:DCAR_022192 transcript:KZM90443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVPEMKDMLEKHADEVESEEGTSSEGSECGGYDDEEETGDDDNDSEEDCKKPSSSKDWEQSDDDKFDTDLEIEGSRTGNKENSVDDDDEDAEDDEHHVFEAHKKLTGIKQKQMILSDPNLV >KZM90667 pep chromosome:ASM162521v1:6:17921124:17922411:1 gene:DCAR_021968 transcript:KZM90667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCWSGAVGAARVPSSYESVALVVGITGIVGNSLAEILLLPDTPGGPWKVYGVARRPRPPWNANHPVEYIQCDISSLEETQSKLSRLDDVTHLFYVTWASRLTEAENCEINSKMFRNLLNCIIPNAPKLQHICLQTGNKHYFGSFDAFGIAAHDPPYSEDLPRLDAPNLYYDLEDILFEEVAKNNGLTWSVHRPGVIFGFSPHGMMNIICNLCVYAAICKHEGAVLRFPGTKEAWSLYSEASDADLIAEQQIWAALDPYAKNEAFNCSNGDVFKWKHLWTVLAEQFEVECGEFEGDERLTLGELMKDKGSAWDEIVKENNLMPTKLEDIVSWWFVDHILGIEYPLDTMNKSKEHGFLGFRNSKSSFISWIDKLKFSKIVP >KZM92663 pep chromosome:ASM162521v1:6:35218664:35218888:-1 gene:DCAR_019972 transcript:KZM92663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKKGWIAVEVGQEQENGRLARFAIPISYLYHPLFKQLLDSAHEVYGYQATGPLRLPCSTDEFLFLQRRIEKK >KZM90007 pep chromosome:ASM162521v1:6:9526606:9529179:1 gene:DCAR_022628 transcript:KZM90007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSSSSSTLSPAFSINNNKLLASCPSQISFFRSSKNFVPPKIKLFVSTRTRIHASIGTTTRITSNAEVEQTSRSTKPRILVSEKLGEAGLKLLRSFGEVDCSYDLSPDQLCTKISQCDALIVRSGTKVSRAVFEASKGRLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTIAAAEHGIALLASMARNVAQADASIKSGKWLRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMNVIAHDPYAPADRARAAGVELVSFDKAISTAHFISLHMPLTPTTSKIFNDATFSKMKPGVRIINVARGGVIDEDALVRALDKGVVAQAALDVFAKEPPPSDSKLVQHENVTVTPHLGASTKEAQEGVAVEIAEAVVGALKGELSATAVNAPMVPAEVLSELAPYVLLAEKLGRLGVQLVAGGRGIKNVKVVYRSARDPDDLDTRLLRAMITKGIIEPISSSFVNLVNADFTAKQRGLRISEERVVVDSSQVQPIDSIQVQISNVDSRFASGLSEDGNITIEGRVKYGIPHLTQVGSFSVDVSLEGNVILCRQVDQPGMIGKVGNILAERNVNVSFMSVGRTVKRNEAIMAIGVDEKPDKDALKQIGEVPAIQEFVFLEL >KZM90933 pep chromosome:ASM162521v1:6:20510187:20511463:-1 gene:DCAR_021702 transcript:KZM90933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTPPKWPCPYSELRRTAKGTYKTEFKLKATGYKHEFSDSSEVAAQVLDSGFLKELSSLFEAEVIDLEAVVWKGICAKNILEQAVHSWSENLAKEQDADANSPLEDSLAFTREKEQDLNMIEVMETHGCGASFG >KZM92491 pep chromosome:ASM162521v1:6:33808384:33809806:-1 gene:DCAR_020144 transcript:KZM92491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVEMKGNAVVPESFLKKQKRADEWALAKKQEVEATKKKNLEKRKLFFNRAKGYAVEYAKQDRELIDLKREARLKGGFYVSPEPKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGHGKLKGQRIALTDNAIVEQALGKFGILCVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREAFVNELIRRMN >KZM90953 pep chromosome:ASM162521v1:6:20795653:20798464:1 gene:DCAR_021682 transcript:KZM90953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRRGAASAIRALASQRYSASSSITTRLFHASADSKKIVGVFYKANEYASANPNFLGCAENALGIRDWLESKGHQYIVTDDKEGPDCELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHIDLNAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGYHQVINGEWNVAQIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKMEPEIENQIGAQFEEDLDKMLPKCDIIVINTPLTEKTKGLFDKAKISKLKKGVLIVNNARGAIMDTQAVVDACNSGHIAGNGDVWYPQPAPKDHPWRYMPNQAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPAQHYIVKEGELAPQYR >KZM89672 pep chromosome:ASM162521v1:6:3131696:3139572:-1 gene:DCAR_022965 transcript:KZM89672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFEHTIEFSWTKSFERTRTCVVQSVDILAEVAPGDFQVIVNESDEVIAEAGGENPIEPLEFSFDDVRTGRVYDLYEQVVNSCNVLHPITKQFLVDNVVDCGMKLASLGPENDVDCGYEIDALTYTGGSVGGAVELNHGSCDIAINWAGGLHHAKKCETSGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEELFYTTDRVMTVSFHKFGDYFPGTGDVRDIGHGTGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVYRPGAVILQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTISNVARCWCYETGVALGTEIEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRTILDDIRAKLLNDLSKLQHAPSVPFQERPPDTALPETGVALGTEIEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRTILDDIRAKLLNDLSKLQHAPSVPFQERPPDTALPETKISTMIMKGGMQRETDMDVDERRIPLYGRVKRELLKPELKDVEIKKVLRLDNVRGGVDMDATCTEAAVTKVTVYHSENDVVYQAKCEF >KZM90319 pep chromosome:ASM162521v1:6:14147354:14152448:-1 gene:DCAR_022316 transcript:KZM90319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTNACDGVPQIPADSGPGTQSWVSRKFIIWTIGTIIALGLIAAFIILLVKFLPHQHHRPPVLDNDTRPFDRSTSTLEYNYTIALSKALLFFNAQKSGKLPEDNNVAWREDSGLNDGIDDPSFKGNNLVGGYYDSGDAIKFNFPQSFAMTMLSWSVIEYSAKYEAAGELNHIKDIIKWGTDYLLKTFNSSADTIDRVVMQVGVGDTSEGHIRNDHYCWMRPEDIDYHRPVTECHSCSDLAAEMAAALASASIVFKDNKTYSKKLVHGAKTLFKFARDQHGMYSIGTEAANFYNSTSYWDEFAWGGAWMYCATGNNFYLSLAIKMASQAGAFGGGHDYGELSWDNKLAGAQLLLTRLRLFLSPGYSFEEGLKTFHNQTCMYMCSLLPSLKRFNRTQGGLIQLNNGKPQPLQFVVNAAFLATLFSDYLDAADTPGWYCGPNFYPTSLLRTFAKTQIDYVLGRNPRNMSYLVGFGSHYPKQVHHRGASIPNNKVKYSCRGGWKWRDSSDPNPNTIVGAMVGGPDWDDGFRDTRLNYNQTEATIAGNAGLVAALVALPGSNSKLFDIKTMFSAIPPLFPLAPPPPAAWKLRVVALLALPLGIGPALTQSPADSGTGADRGNIDPVVVLVPPLFTERTQSWVSRKCEVGIPLKGQFIMIITVGCVPRTLLTTCLKLTVILAAELAAALALASIVSRDKKAYSQKLVHGAKTLFKFSRNQLGRYNKGSEGEDTAILC >KZM89473 pep chromosome:ASM162521v1:6:1032347:1039950:-1 gene:DCAR_023164 transcript:KZM89473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKERDFEIEHAGKRRKMEDTGSVVCRDIDHGKCKLVEDSYVENDEDSVCGSELKGDGELVHRDGDSCVSGNVCKDIIPCGSKSDGSICGDGDHRVTFIDAARDSTVEVKSVSEGLGNGGQVDGDDVSRERNSSSADQSSCIVSEQTHLDSPTNDSADQISERKKRETITKDENNAQAKFVETNKDGREEKPHLCKGIDVRKKLLVLDINGLLADVVSASSVSDDYKADIVIGMKAVFKRPHCDDFLQFCFERFNVGIWSSRTKKNIDPILELLLGGHRSKLVFCWPNTAIFPNTYEYKNLNDDSLGPKGDLRAYLEGLSLAPNVQEYVEHNSYGQQPISRSNPLWPFYAKVMGIDYTKHKEPSCQNASKGINIRLEEEAYRGTRGDNARTQYSERNTRSTAKDSVQLSSKTNQLWNCRAGRTKEARYPRIQHTQFGMNRGSFRKTKLLILDVNGLLADFVSYEPHGFKAHSMLGDKAVFKRPYCDDFLHFCFEKFHIGFWMTTSRRNAESNLDLLLGQELKQNVLFSWPHTAIFPPRYRFKDESTDNTLGPEGDLRVFLEEIAVAENVQEYDLSHCLEPGHKMLERRDRPILCKELKKVWENDRQWRFNFDPSNTLLVDNYPSTALLNPPHTAIFPPRYSYKDEKTDDSLGPGGDLRVFLEGLVLAHNVQDYVKHNPFGQTPISDNIPAWNYYSKVIEDVKAREKDWSEWARRNDHNRTC >KZM89543 pep chromosome:ASM162521v1:6:1827094:1827852:-1 gene:DCAR_023094 transcript:KZM89543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACFSLTSILRFYASPVRAKIWRVVVVATLWSIWLARNELIFNGTRLKKQQLIELIAVRINIWGNAAGLILFGDDPLWRVNPHGIIAVHHFKRTLGFWQFRVDNYDFVCAVDGAFGSQGEVSPKGGIGGYILNNRKEKILIFSGPVRAKSALQVETEGILQMLRWIFSLGLSKNRVLICTDSTESINIFNKGYAKVFPLRECNLDFQHLIGFNIFIQFVPSEINEEADLLAKNGIGRKEMSSWRGLLDGKN >KZM92072 pep chromosome:ASM162521v1:6:30310763:30311074:-1 gene:DCAR_020563 transcript:KZM92072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGLDDRRRGVLVSASSPSLQSKHLKNTSSLMLHHFSLKAIIIVFTLVFTALLLFLPLLLPPLPPPPSSLLLVPILMLALLLFLALSPSQAPRVPAVSSSDV >KZM92201 pep chromosome:ASM162521v1:6:31456427:31457980:-1 gene:DCAR_020434 transcript:KZM92201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWFIITVSLCISAFIKLFFSIRQSSNLAKLPPGPTIMPIIGSFLWLRKSYVEIGAILHQLKLKYGPIITLKIGSHPSIFIASHASAHRALVQAGAVFSDRPPPPPTNNILDSYQHNISAAFYGPNWRLFRRNMAETIMNPVRVKTFSGGRRWALGVLIQRLLSESRLDSIQVADHLQHAMFCLLVFMCFGEKFEDEKIREIVRVQRNLSKSVFKFSFLNIWPKVGRIVFKKKWKELIAVREDQNNVLIPIIKTRLKKGMKGNVEDDDVMAYVDSLVDFKLPEEGNRKLRDEEMVSMCGEFINAGIDTTSTTLLWIMANLVKYPQIQEKLYQEIVSVVGEGEEVLEVVKEEELQKMSYLRAVVLEGLRRHPPGHFVLPHSVTEDAELDGYVIPKNATVHFMVAEMGRDGEVWKDPMEFRPERFLSGNGGEEGFDVTCRKEIKMMPFGAGRRICPANTLALLHLEYFVANLIWKFEWKPAAGNHDVDLSEKQEFTIGMKYPLVVSLSPRVSVNHSRP >KZM91190 pep chromosome:ASM162521v1:6:22889922:22893229:1 gene:DCAR_021445 transcript:KZM91190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGFLAMWRKNLNMASIFKLCAVLVCVTACVINVTAATSSLDDEHRKLELLAKKNEWRSVVSTENGKISDVEIGDGFNRTYYLQHIEMDPNSLFLPVILHTDMTFYVHSGRGRVSWFDVDGETNGDKHKDKDKSQGMRIQRGDVYRFKPGTIFYIRSSLDDERRKLRIYAIFANSEGDLGVPVVWPYSGVRDLVLGFDKKVLQAAFQMPEEAVEEITSAKKPPQIVHAAQNSSSRTFWEVEAQFIEVFLGRNMYSLFEVNKKKKSTGVYNFFDGDRDVENCNGWSKAVSSEELPSLRGSNIGLFMVNLSKGAMMGPHWNPSATEIAIVLEGQGMVNIVCLGTGRKECNNTRFMVEEGYAFVVPRHHPMAQMAFNNGSFVFVGFTTRDKTNHPQFIAGKRSVLQTLQKQVLEVAFNASNSTVSRLLDPQNDSIILECVSCAEEEEKIMEEEIEKAREEERKRQEEERKKEEEEERKREEEAAKKREEERRKREEEEEKRKEEEEARRREEEKKKKEEEEAAKKREEEKRKREEEEKRKEEEEEERRREEEKKKKEEEDARKREEEKRRQEEEERERRRQEEAARKAEEARRREEEEMKRKKEEEARKREEEEEAAARKREEERERKREEEQARREEEAKKREEEEARRKEKERAQEEEARKREKEKAQEEEQRRQEEEQIQQEETRRQQEAAKEAEAARKAKEDEQAKQRKEQEEAEKREPEKAREEESRRQDEEQAQQEELKRQQAARRAREAEAKHREEEAGSAGKKKSEEEQKQEEIEYRPGGPGGEGRVL >KZM92183 pep chromosome:ASM162521v1:6:31285000:31292662:1 gene:DCAR_020452 transcript:KZM92183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTAIDTFYLSDEELNNSPSRKDGIDEPTEVNLRIYGCDLIQECGILLKLPQAVMASGQVLFHRFYCKKSFAKFNVKRLAASCVWVASKLEENPRKARHVINVFHRMECRRENLPIEHLDSFSKKYAELKLDLIRTERHLLKEMGFVCHVEHPHKFISNYLATLETPPELRQEAWNLANDSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKSGIDEVCRVLAHLYSLPKAKYIPVCKEGGSFSTTSKSWDPQPMLKEDTLSGPPTKDDPKTATTVMISSGGSKESLGKAAAGKLKESKKNADISQTAPLVEDEAKEEQMPRKPRSEHKLGAEGERIKEREREKDRVKVRDRERGRDSDREREREDGERDREKIKDRTHRSKEKGRDSVDLLHLCSFAQDLLYSSSYYVITLKSFFNIINFMLGDIQVCQSIIRLEIVTIIARIPRERKIAIGIILMVKGNHARELCPQMSEKHYR >KZM92708 pep chromosome:ASM162521v1:6:35632413:35634818:-1 gene:DCAR_019927 transcript:KZM92708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGAVNMIERAHQMYREGKYEEALVFYTDALCLAKTKPQKIALHSNRAACFLKLHRFNKAADECTSVLELDYNHTGALMLRAQTLVTLKEYHAALFDVNRLIDLNPESDVYQNLQARLKTQMSLAPIPEAETEFEEDEDSEEEQDQEDEIEVEEGLDQQEGNDKKDEHVGGESEANAVVERNISTENRSTVTGKTALQSSDHCQKVEKDTDQSQSRKESSVQNSAGWQTIPKPKGHSNLDYSRWDRVEDDSSDEDDDEDEEESQPQYRFRVRTVGVQQVK >KZM92688 pep chromosome:ASM162521v1:6:35415585:35420878:1 gene:DCAR_019947 transcript:KZM92688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTDFALLIVTAGALGWFILRCRTMVRHRGRRQPPGPIGLPVIGHLHMLGKLPHRSLYKLSQKYGPIMSIRLGSVPTIIVSSPSAAELFLRTHDTVFASRPNAQAAEYLSYGSKGLAFSKYGSYWRSVRKFCTMELLSVAKIDSMARLRREELGLLVQSLKVAARTGEVVDFSEKVARLIEDMTCRMLFGKSRDDRFDLSAAPFFDKKQVATLSQLFNMSPTDFALLIVSAGSLWWFILQCRTMVRQHGRRQPPGPIGLPVIGHLHMLGKLPHRSLYKLSQKYGPIMSIRLGSVPTIIVSSPSAAELFLRTHDTVFASRPNAQAAEYLSYGSKGMAFSKYGSYWRSVRKFCTMELLSVAKIDSMARLRREELGLLVESLKVAARAGEVVDFSEKVARLIEDMTCRMLFGKSRDDRFDLSKIIHELAEIAGAFNVADYVPFLGAFDLQGP >KZM91261 pep chromosome:ASM162521v1:6:23640481:23642871:-1 gene:DCAR_021374 transcript:KZM91261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEESKKLETTLNGFSPISSTPVFWKSRKRSATLKKVDTVNDDAEKSPPKQEETPDEEMEQDSTPASVLSEKRKALFEPLEPISINGRRPTAESILPPPDFDEASYPRGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDSRCLEHLQIQLLEERSKRADVERQNTMLQNQISMLMDMLQEDDNENVDDGGAEP >KZM91003 pep chromosome:ASM162521v1:6:21278690:21280338:-1 gene:DCAR_021632 transcript:KZM91003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFLPDEEKEAYEGSDVLDPIRCGTSFNTAKETIFFWRDFLKVLVHPDFHFPDKPKGFSELALEYVERIQEIAGELLRGISVSLGMEASYIHDVLNLESGLQVFVANLYPPCPQPELALGMPPHSDHGLLNILIENGVGGLQLLHNEKWVNVRAPPNSFLVNTCDHLEILSNGRYKSVVHRAVVNNATTRLSLAIANGPSLDTIVRPAPGLTDGANNPPAYTPMKYKEYLQLQQGNKLDQKSVLDRIRLQKE >KZM90193 pep chromosome:ASM162521v1:6:12328936:12340513:-1 gene:DCAR_022442 transcript:KZM90193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKNGLCDGSVEESGLGFNDSMRIGVEKMGDEGGKVVGKKRVMETRVGVDSNDDEPIGAMMAKKKNKCNPKKVNAGFVGEGIEEKESGVEDLGGMGDTLAIYKKKLKRSRKSSVSVGIGDKDLHIIGHEGCGQESSAEKVKEEGVVVEEGKTVVVEGTCGTVGGLNECLDVNVEDSLSAFFLKAQSVSVRKSRTSMKSKQLGKIYSLSDGLSAGSGRVLEDQMPETSISQSPLEHMTEIVGFNSSSNLESENTMDLKRHRNNIRKAQHTGGTISPESNPELSSRPLISDSVLRSSDDRRSGNQVKLEDSVTISFSQQSDLYLRSCPNIVSTLPNGELVKPICDIHERTKLETLQLNQLIAKNAKGSHCPEVKENLCLSSRGPTFGNGILEDDVKISPVKKACDLGNVVVEIPMSVSAPEQVHTINLFAVETSTRGFTDSLGVKEFKAVVNSEAYNMDENVFSKPEHDLKGSYSGNASLHNSYEDSCNETTKLISGKHLGSFECLSEKKLTSHETNLLISKHDEAKEEKALSPSSLGVEKQEIDFQENPSWNCKQNGDKSDGLTEHALINKSDTSVPRCTSSFHLTHSSDNAFNGLSALSCDFSGDEVADGSSSPSTAPDCNKNHTDDTGLVPSPKDKDNKLSAQRAMRNTRKRRQLDMAYEGDADWNFLVHDGNFNADNQDDDNSLVRRKEKSKPSTMFLEAKNGCNAAVSYGLKARAASPIEKIRFKEVLKRKGGLNMYLECRNHILGLWNKDVSSILPLSSCGISATPMVDESPDASLIREIYEFLDHFGYINIGIASKKRVSESSLVYNLHASTKKTLGETPGAALADSDEGVSFIVGKSRNPDSSLEEKNLALHDCENVVAMDKQDRPVLDSHVLESFILTKPEKSDSNQGQGLLDDMESVTLGSLDNLNFVSPCKVADAGTAPVIPSEPMRKINRTLYDTVDNVGENPCGKHHSEVAKQIIIIGAGPAGLAAARHLQRQGFQVTVLEARGRIGGRVFTDRTSLSVPVDLGASIITGVEADVAAERRPDPSSIICSQMGLELTVLKSDCPLYDTVTGHKVPADLDEDLESEFNNLLDDMELLVAQKGTHAMKMSLEDGLEFALERRRLTKYKRNGGRSILHKLADVIDEEVLRNSNSEGEVLSPLERRVMDWHFAHLEYGCAASLKDVSLPCWNQDDVYGGFGGAHCMIKGGYSTVVEALGEGLCIHLNHVVTSVTYRTGDAMIDEDQHHNVKVSTSNGRDFFGDAVLITVPLGCLKKESIKFAPPLPHWKNLSIQRLGFGVLNKVVLEFPEVFWDDSVDYFGATAEETDKRGHCFMFWNVRKTVGAPILIALVVGRAALDVQDKSSSEHVNHALAVLRKLFGVAVVPDPVASVVTDWGRDPYSYGAYSYVAIGASGEDYDILGSPVENCVFFAGEATCKEHPDTVGGAMMSGIREAVRIMNMLTTGIDYMTEVNSMEAEQRCMDSERSEVNDIIKRLEAVQMSNALYEKSLDGSHVITRKDLLQDMFSKAKTTSGRLHLIKELLHFPVRVLKSFAGTKDGLSILNKWILDSLGKDATQLLRHCVRLLTLVSTDLLAVRVSGIGKTVKEKVCLHTSRDIRAVASQLVNVWIEVFRKQKASNGGLKLMRQSNAMESSKSKYSLGSGKPPAPHGAPPSHNKKGINPTKMLDEIQYVPSEEEKAILAAEEAARAAAIAAAKAYALSGTRYSTSLQLPKIPSFNKYARREHYPQLEEPDNHTLEIDSRNCKVREWTADFSSSHVNLGNSKRSVENLSQRSSLHEVACQVNFSEHSADSAAVDSSIFTKAWVDSAGSEGIKDGSAIERWQSQAAAADSEFYSRTMRMMDAEETKINSRPSIMKHEVHASESSTFQVTTGKEMVENRSKGPEKIKQAVVDYVASLLMPLYKAKKFDKDGYKSMMKKATTKVMDQATDAEKSMNVFDFLNSKRKHKATVSDCMIADLTSDMTAGRKQKQRQQRLFIGLSGVVAPNAAQIADRSGMG >KZM90674 pep chromosome:ASM162521v1:6:17960024:17964915:1 gene:DCAR_021961 transcript:KZM90674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENPFQPFLQTWEKISLSLRTQLSQLVRCRSNSSNQTTVTPIISISNTQSTLPDSSQSHSKINNAQPASKEELGRATWTFLHTLGAQFPDKPSRQQKKDVKELMAILSRIYPCKECADHFKDVLRANPVEAGSQAEFSQWLCRAHNVVNRSLGKLAFPCERVDARWGKLDCEQPRACDLQGSTSPWQ >KZM90495 pep chromosome:ASM162521v1:6:16304449:16304929:-1 gene:DCAR_022140 transcript:KZM90495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLELPSFLGRDKDDSVRRSAVSGKKILLTRDKLKEDKVAENNRNQLLKFLNSSCN >KZM89400 pep chromosome:ASM162521v1:6:444211:446121:-1 gene:DCAR_023237 transcript:KZM89400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGREVREYTNLSDPKDKKSGKGRIDDEDTTFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISFLRTIISLTALNKAASIADSSPATIPLPLRVEPKPKSGIRQQDLLKKVVEVKPKRPRVATPHDNKNVTVSAITGHSANSKPEANQGEKVAPSVDGDKTKNNMDNPVKSLLVAYVNSDSEED >KZM91013 pep chromosome:ASM162521v1:6:21378735:21381778:1 gene:DCAR_021622 transcript:KZM91013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELKEKSKEFLNNRWLVFVASMWVQSCSGIGYLFGSLSPVIKKSMGYNQRQLAMLGVAKDLGDAIGFIGGSLCEVVPIWAVLAIGVVQNFVGYGLVWLIVSRILPAAPLWVLCILIFVGTNGETYFNTGALVACVQNFPKNRGPVVGILKGFAGLSGAIMTQVYLMFNFPSETSLILTIAVGPTVIITAVMFLVKPVRGHRQARDTDNSSFLFVYGVCLVLAAYLLAFMLLQDLTNMSQVIVNVLTVVLLFIILLPILVPITLVFTPAPKSPTEVSLLPEPQNQDTSQPEPNEVIFSELEDEKTSEIDALPVVERQKRIVHLQAKLFQAAADGAVRVKKRRGPRRGEDFTLMQALLKADFWLIFFSLVLASGSGLTVMDNLGQMSESLGYENTHIFISMISIWNFLGRVGGGYFSEIIIRKYTYPRPIAMAVVQVMMAIALFFYAMGLPGAIYVLSVLMGLGYGAHWAIVPAAASELFGLKSFGALYNFLALSSPTGSLIFSGIIASGIYDSEARKQASIKHQSIGYMGEDDSLTCYGTICYSLTCWILGGLCIVASILSSIVVYRTKRVYAQLYRNPRG >KZM90354 pep chromosome:ASM162521v1:6:14541920:14557792:-1 gene:DCAR_022281 transcript:KZM90354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIDELSQLSDSMRQAAAVLADEDVDESSRSSRRSSTFMNVVALGNTGAGKSAVLNSLIGHPALPTGEGGATRAPICIDLKRDDSLSSKSIVLQIDSKSQPVSASALRHSLQDRLSKNSSKNDEIYLKLRTSTAPPLKLIDLPGVEKGDSVSVSIASAQSGTVGSDNSLETAWRAESESLKSILVGAPQSKLGRLALVETLAQQIRKRMSIRLPNLLSGLQGKSQVVKDELVKLGEQMVSSSEGTKALALELCREFEDRFLQHITTGEGSGWKVVASFEGNFPNRIKQLPLDRHFDMNNVKRVVLEADGYQPYLISPEKGLRSLIKGVLEMAKEPSRLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIATTALEGFKTEAKNMVVALVDMERAFVPPQHFIRLVQRRMDRQRREDELKNRGSKRAVDAEQSSSNRATSPQTGGQQQSGGALKSLKDKLSRSEKDSPETPALKTAGFLLKKSTKTDGWSRKWFVLNEKTGKLGYTKKQEERHFRGVITLEDCVIEDASEEEEPPSKEPPSKSSKDKKANGPDAGKPNLVFKITNKVAYKTVLKAHSAVLLKAESMADKVEWLNKLRKVIGVKGGQAKGENGTPMRQSLSDGSLDTMARRPADPEEELRWMAQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNKLYSSVSSQSTARIEELLMEDQNVKHRRERYQKQSSLLSKLTRQLSIHDNRAAAASSLSGDTPESSPRTNGPSAGDDWRSAFDAAANGRSDSFGNSSRTGSNGHSRDPAENGDADSGSNPGGRRTPNRLPPVPPSGSGYRF >KZM91568 pep chromosome:ASM162521v1:6:26105919:26110146:-1 gene:DCAR_021067 transcript:KZM91568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAEVGKKVKKNENTGRLKWGFVIGAFLVVLAASAMNSPHSHKISTFLNNHKICSCSQGSHKYSGIIEDCCCDYETVDNINGAVLNPLLQKLVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPDNEFPESFKKPSYRSLSSDHLVCQEGKPQGTVDRTLDSKVFKGWVEIDNPWTSDDETDNGEMTYVNLLLNPERYTGYTGPSARRIWEAIYSENCPKYRSGEICQEKKVLYKLISGLHSSISIHIAADYLLDEKTNMWGENLELMYNRILRYPDRVRNLYFTFLFVLRAVTKASDYLEQAEYDSGNHAEDVKAQSLMHQLLNNPKLQAACPLPFDEAKLWQGQNGPELKQQIQNQFRNISALMDCVGCEKCRLWGKLQVLGLGTALNILFSVNNENDPAQRLQLHRNEVIALVNLLNRLSESIKVVHERGPLVERLMKHLPSESSVQQMSVWKRLWELTLGRLNKLR >KZM92131 pep chromosome:ASM162521v1:6:30772866:30774272:-1 gene:DCAR_020504 transcript:KZM92131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKSSDSQTNKIPLVILMVPFPAQGHVNQLLQLSTTISASRDIPIHFVTADTLLHRAKSRFVGAPLTNINFHAFPVPPFPAAPSQNPGNFSGLFQPSAEAAMHLRQPVAELLATISVTTDKLVVIYDFLSSVTVQDFVSLPNIKGYSFQGVSAFMVFTFFWEIYGKPDIINEATVEYLPPIDTSFPPEFVEHIGRNLKLLGLQTGFLYDGCRLLEGKYIDLINSKEMMGPEVKQWALGPFDLIDDTPKKEPNERHTCLKWLDEQSENSVMYVSFGTSVSMSDEQVEELAIGLEKSGKKFIWVLRVADKEAFAREEGAEGVKLSNNYEERVKEQGIIIRDWAPQVEILGHSSTGGFLTHCGWNSCIESLTMGVPIAAWPMHCDQPRNSLLITKGLKAGIMVRDWESGDELVTSSTLENAVKRLMASTEGEQIRKRAEEVSADLRGSVAKGGITRTELESFLTHVTSQ >KZM92012 pep chromosome:ASM162521v1:6:29807884:29808117:-1 gene:DCAR_020623 transcript:KZM92012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KZM91956 pep chromosome:ASM162521v1:6:29343810:29349588:-1 gene:DCAR_020679 transcript:KZM91956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLSSATGLLRTKSDQLVETISAAMTAMKSPASSEGGPENGGTLSRKSSRGVLIGASPGRGSSSNRHNSHIRKTRSAQMKFDLDDLGSGAALSRASSASLGLSFSFTGFTVPPDEIADSRPFSDDDDIPEDLEAGARKKRIQTEPTLPLYLKFTEVTYKVIIKGVTYTEERNILHGITGSVNPGEVLALMGPSGSGKTSLLSLLGGRVKDPAPGGSITYNDQPYSKNLKSRIGFVTQDDVLFPHLTVRETLTYAALLRLPKALSKQEKEQRATDVMYELGLERCQDTMIGGSFVRGISGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQVLHDIAEDGKTVVTTIHQPSSRLFHKFDKLLLLSKGSLLYFGNASEAMEYFSSIGCSPLIAMNPAEFLLDLANGNLNDVSVPSELEDRVQILGNSERETKNGKPSPAVVHEYLVESYETRVAENEKKRLLVPIPVTEEVKSKVNSAKREWGASWRVQFSILFWRGLKERRHDYFSWLRVTQVITTAVILGLLWWQSGGHSATELHDQAGLLFFIAVFWGFFPVFTAIFMFPQERAMLNKERAADMYRLSAYFLARTTSDLPLDLLLPSLFLLVVYFMAGLKQSAEAFFLTMLTVFLCIVAAQGLGIAIGATLMDLKKATTLGSVTVMTFMLAGGYFVKDVPVFIAWLRYLSFNYHTYRLLLKVQYEDVTQTIDDVKIDSGIAEVCALSAMIFGYRMLAYISLRGMRLH >KZM90283 pep chromosome:ASM162521v1:6:13804159:13810597:-1 gene:DCAR_022352 transcript:KZM90283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIPNSPWLCPLKPTKTTPSCLYLNPRSSTFKPINSSSSSDNSSEPKKPVLDPVKLAFEKAKSYKNSKTNTNPVVIQGPDGGSDDLVGTNDSSLSSDKPLEPPVLDPVKLAFEKSKAYKDLKTNAKPLSNQQPADDAVKKTGGSELRGASVEGKEGSDAFKVAMEKAKDYGRTKGGLTESKGAGSGDGISGSREKSESPTVEERANNKKKMTVSSIDFVGLNFSDKRSSRGLPPGLVPTVDPFSDTDEVEIIVGDTSKFEGTTRSNPATVDENQDVYKPKVSTWGVFPRPSNISKTYGGEKVIRPGEALETAEEKASKEARTRQLLAAYKKKVGLNIDPKLKSECQKVLNDGDLLMDRGMLEEALPFYERVMEKLPFQTELHGLAALQWSICQDSLTRVNEARVMYEKLQSHPNPKVSKQARNFVFGFQAMEMLKVRKSTPSPTSTGYQNYFDAFVKDKSTVSLEETEANEGAFSEVLPYMVFLCCPILIVLVIAVQKGI >KZM89820 pep chromosome:ASM162521v1:6:5400755:5406229:-1 gene:DCAR_022817 transcript:KZM89820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAENNFDMDDGTLEIGMEYRTVSGVAGPLVILDKVKGPKFQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTENLLEDGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLQNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDVAN >KZM89565 pep chromosome:ASM162521v1:6:2155541:2155978:1 gene:DCAR_023072 transcript:KZM89565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSTSSNSVEKEARPVRAEGSANKHTNYRGVRKRYGSKWVSEIRVPRTKSRIWLGTYSTPEMAARAHDVAALCIKGDKNILNFPHLVDSLPRPGSTSPADIRAAAAEAAAMDWPEPSASSSVDHDDFFNDLEDINIIMTWSTN >KZM90364 pep chromosome:ASM162521v1:6:14626024:14629255:1 gene:DCAR_022271 transcript:KZM90364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYSMLSALSQQSDNKKILVRVGRIWEAVNRKNNTLLHTNVILLDEKDDHILAIVRNNQRDAFLPMLKENEVYSISNLKLVPGPKLYRSVDRDLSVNFFYKTKIEEHQDTGSIPRYKFELQPFHRVKDLVGDTKCLIDVIGMVMSYGQLEKRSHDAQKMDVVLIDTSYRVVIRIEDGTGSTTLTLFNKEAEQIIGAPLNTLLKEIEKEKLAEIPPALKNLIGKKCAFQIKITPYNIEKGCEEYTVTRVSEFTLPPITNDAADSSEGSNKKQRTA >KZM92805 pep chromosome:ASM162521v1:6:36427574:36428629:-1 gene:DCAR_019830 transcript:KZM92805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFKSLLVSFYLIHQLLFFYAPACALDIGIGAKARLSLGKHCSRTCESSFCSVAPLLRYGKYCGLLYGGCPGEKPCDGLDACCMKHDACIISKRNDYLNLECNQNLLNCVQNFKKARGRSFSGNTCKAADVIKVITVAMDAALLAVGHHPKP >KZM91258 pep chromosome:ASM162521v1:6:23626195:23626500:1 gene:DCAR_021377 transcript:KZM91258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVESKTVSPCWKQNSINSPHFKKQQTRLDELGSFSTIVHRHRFLLTACALLAFLCTIYLYLAVTFVANDSCSALSGTQKSMCHIQQAKDLVYKGKLRNFL >KZM91537 pep chromosome:ASM162521v1:6:25877880:25879001:1 gene:DCAR_021098 transcript:KZM91537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSDKSSIMEIPWHLMADILSRLPVKTIVHCKCVCKTWSHLLSELYFINLHLSRSPVGLLIYQRYQSGPDCLKIGELEDKPDHHDVHHDPLIRSEPKFGLQDTLDLWLIGSVDGLVCLWRYSVDEATYICNPVTREYVLLPDNNYIRKSYAIVIYGFGSVASTKQYKVVRFYQGNYPSEEGSYISECAVYTLGEGQWRCLGHVPFLVGGRQTGVSVNGNLHWLAYERDNVNSHELVCAFDMETESFQLNASAESAPLGDHEYYVRSLGILRGCLCVCDNTSESEFVIWVMKDYGLKESWTKEVVIRENHARPLDEMVHVLKVFMDGSILMLFRDDFMFTYHPGTKTVQELEIFRDPSRGIFDAMLYVPSFIRL >KZM91744 pep chromosome:ASM162521v1:6:27494435:27501268:1 gene:DCAR_020891 transcript:KZM91744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEWINSYLEAIIDSEPGLEQARESLLLRERGRFSPTRYFVNNVIGFDDTDLHRSWLRAQATKIPQERNTRLENMSWRIWNLARQKRMLDEKQTQRLSRRDMERQKGLKEAIADMSEDLSEGEKCDALGDISAHSESIRGRFHRISSVDTLETFANQLKGKQMYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALSSMPGVYRVDLLTRQVSAPEVDWSYAEPTEMLPPRDLEGFLSETGESSGAYIIRIPFGPKDKYIPKEMLWPHVPEFVDGALNYIVQMSKVLGDQIGGGIPVWPVAIHGHYADAGDSASLLAGALNVPMIFTGHSLGRDKMEQLLRQGRLSRDEINSTYKIIRRIDAEELALDASEIVITSTKQEIEDQWGLYDGFDRGLEHILRARIKRNVSSYGRFMPRMVVIPPGMDFHHIVHDGDIDGETDGSEDHPAFLDPPIWAEIMRFFTNPRKPMILALARPDPKKNLTTLVEAFGECRPLRELANLTLIMGTRDDIDDMSSTNASVLLSILKLIDKYDLYGQVAFPKHHKQADVPDIYHLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPTVATKNGGPVDIQRVLDNGLLVDPHDQQSIADALLNLVAEKQLWVKCRQNGLKNIHLFSWPEHCKTYLSRIAACKPRQPQWLKTEDDGENSESDSPRDSLRDIQDISLNLKFSLDVEMKDDKNNDHCSLDPEDNMIKSEHAVLAWCGQKGTREPNFVEKVDLNAGFGKFPSLRRRKYIYVIAVDSDSISGLFESVRMIFAAVERERTEGSIGFILATSFTMAEVHSFLISEDVSPTDFDAFICNSGGDLYYSSPQSEKNPFIADLYYHSHIEYRWGGEGLRKTLVRWANSIIDKNGEDGEHVITEDKNISTDYCYAFKVRMPEVVPPVRVIRRLMRIQALRCHIIYCQNGKKINVIPVLASRAQALRYLYLRWGMDLSKMAVFVGDSGDTDYEQLIGGIHKTLVLKGVGRGTTNHLHVNRSYPLTDVVPVDSPNIIESNESCSPIDIRNLLEKLGVFKC >KZM89373 pep chromosome:ASM162521v1:6:196946:200629:1 gene:DCAR_023264 transcript:KZM89373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSSEKVEVAATVTDNNETMKGRKMSWAKLRRVDSLQMEAGRVSFTSTHANHSQASWGRTLSLAFQCLGVIYGDVGTSPLYVYSSTFTDGIIKDNDDLLGVLSLIIYTLLLSPLIKYAFIVLRANDNGNGGTFALYSLISRHANMRLIPNNQPEDKELSNFRLNTPSSQFQRAEKIKSKLSKSMPAKIMLVMVTILGCSMVIGDGILTPSISVLSAVGGIKNKVDSLDQSTEAMFADIGHFSVLAVQLSFTSVVLPALLATYIGQAAYLSKNPGDVADTFYASIPEKVYWPMFVVAVAAAIIASQAMISGAFAIISQALSLGCFPRVKVVHTSAKYEGQVYIPELNYMIMICSIFVTFTFKTTEKIGNAYGIAVVGVMFISTCLVSLIMLIIWKVKLWWIVLFFTVFGTLEGVYLSAVLSKFIQGGYLPIAFSLVLMSIMGIWHYVYVQRYKFELDNKVSASYIQDLATNPNINRVPGIGLLYSELVQGIPPIFPHFIENIPSIHSVLVFVSLKFIPISTVMLEERFLFRQVEPREYRMFRCVVRYGYKDKIEEPKEFENQLVENLKEFMRHEQYIVEAGNGITKDELMAPEPVHDTDVIQESADKADKVKGRTNSSTIHTEESLAQLNNSPRISSSSSIKSFRAAKSPNTSSKIISGPILGVGEELQFVQNAKEKGVVYLLGEADVIAKENSSVFNKIVVNYAYSFLRKNFRQGEKTMQIPQTRLLRVGMTYEI >KZM91268 pep chromosome:ASM162521v1:6:23690469:23698926:-1 gene:DCAR_021367 transcript:KZM91268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSGLELERELKEAGIRLLGLESLDVATVQDLLNSLDQVDGLLSKVEQAPSRSMQDALYPSMKALISDQLLKHSDIDVKVSVASCMSELTRITAPDAPYDDVQMKEIFRLTVTALGMLSSEASRGYNKALHILETLSKVRACLLMLDLECDQLIADMFNLFLRIIRYIPLPVVFRQMEYIMTLTIEESEEIPEELLSILLTSVTKKKADQSVFSRSWKLGEKVLRNCAVELQHCLPKVIRSRNLNINDFSDVVASICENSPGDELEVATPSAILQSGIDMTEGLRQERTNGIVRGTATLKALDNHHPVKQLNITEAGRHLQPENSDATGTLQAKTVNPPKKRGRKPGSLQKPKVGCQNSMSGERRESYKGPGSQHAHTDGSQPTKLRRNKKFRVLNHCQETAAKTNAKTNHSPGNIVVKKESDDSGEYIEKDSRIPHGINEKLVGRRVKVWWPLDQMFYEGAVASFDSLTQMHKVLYADGDEETLNLSNERWELLEEEHAPAASHKSLKKKAKTKSASLGKPGIISSAKGPRVADKSNTEAPKSGSSVDDFAPEAVRIADVTKDDVSKVDPTLKDDKQKSSSREDVSKANVLRIADMATNSITVNPNTKDDKHKLNSEKEITTQMIIAKPKPHTFVEVGGESIIHTPLTVKDKSPPTKLSEEMVMGILPDTGNAEGSDSGVVKKRRRLVSGEGLTP >KZM92600 pep chromosome:ASM162521v1:6:34727479:34729761:-1 gene:DCAR_020035 transcript:KZM92600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVMLSSLLCALYSKKKKKRVIKNCADSPEFDRPGDASPELNTVDQAQIKEPEREKELHIQNNLCASQLNSKIEISASSSTIQLLPLSETKSEKVIDKVVKPSESFCEICMEHKESWQMFTNSTCSHSFCDNCTSKHVETKVQDKVKIVTCPAVKCNTTLDSGACRWMFSEDILICWDESLCKSMIEESQKLYCPFRDCSIMLVNDSGSEVTETKCPVCQRTFCAQCQVPWHPEFTCHEFLKLSGKKKRGIDLMVEKIAKKNNWRKCPSCKFYVEKSQGCSHMTCRCSYEFCYRCGSKWSSKHAACKYARC >KZM90677 pep chromosome:ASM162521v1:6:17993535:17994335:-1 gene:DCAR_021958 transcript:KZM90677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAMNSPKIPTPPPLNNYKPLNNNTNSASTSTTTTLLHNSEPWMKKKRSKRPRSETPPPSEEEYLALCLIMLARGGAAASPTPIHRQEPREVLSDNHDANTYKCGVCNKAFSSYQALGGHKASHRKTLATAAEDKNNNSANSNGTLTTNLGLNPSGRAHVCAICHRSSPTGQALGGHKRRHYEGNINATAASGSAATVVSSSSVPAGSTQNRGFDFDLNLPASPEEDSPLEVIVDFSRGSQLSGEQEVESPLPVKKPRFLIPNY >KZM92655 pep chromosome:ASM162521v1:6:35150695:35156449:-1 gene:DCAR_019980 transcript:KZM92655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGVLLRETLKDDDLDKYRYEVGLLSCTDYRSVFRTDSIRAGTWIHDGEEIVAASKIFRLGFFTPNGSSGRYVGIWYSNSPGVIVWVAIRDKPIPTGVNGTFGIDAKRGDLEVSDDSGNYSIYFSTYVGPNSKLWIAKLYDTGNLVLTNDRGETLWESFENPTDTFLPGMKMDGNLNLTSWKSKEDPAPGNFSFKLDEETGGGGGYKITKSELITAYWKSGGDSTTSFLPKQMSPSVSTLLTNAVTHRRKAIIPPRLFMQSNGEIEYLSWDFGQKRWLILWSEPKGPCSEFQVCGHSGKCRPEPQNPNTTSCTCFPGFEPINGDDWKAGDFSGGCIKKPSKCTRRLNDTSYVQLNVKMVRSNTPNFNGEISEDMCNLKCLKECNCQAYSYGSNKTNDRNSGCWFWFDNLEDIQEGSDGVPIFFRVDPPSDNDLGSNPPASAVNQPRDNGSSPTNWRIIIIPATVIFAVALLCSLTCIFYRRIVAKRKGGVEIATWNLWKEKKPLALLDETLVGSCNDSEVVKCITVGLLCVQEDPNDRPDMSKVVFMLNGETETLPNPKQPAFITRNRFEGTTSSSNKLETQSNNEITFSVEDGR >KZM90461 pep chromosome:ASM162521v1:6:16004555:16009228:-1 gene:DCAR_022174 transcript:KZM90461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKGSVVVMKKNLFELNDVTASVRDWSDEILGHKISLQLVSAVNVDTHDNKVHGKHGKPAILENWTSKTPKTVSDATFDVSFDWDKESDGVPGAFIIKNNHHHEFYLKTLTLEDVPGYGRVHFICYSWVYPATFYKKDRVFFTNQNWAHIVFEKVNVSYFHQTYIPSRTPQALKYYREEELETLRGTGTGKLEEWDRVYDYDTYNDLSKPDKGAKYTRHILGGSSEYPYPRRGRTGRPPTKTDPRHESRLSILESLKIYVPRDERFSPAKMSDIAAYGLKLIIQFLVPGIGALFDKTFNEFEKIEEIVKLYEGEIKLSDGPVLSSVRERIPSEMLRELLRTDGEPVLKFPMPQVIKANKSAWRTDEEFAREMLAGINPVVIRRLQKFPAKSKLNPEVEGHKAYDLEGLTVHEAIENDKLFILDHHDVVMPFLRGINTTATKTYATRTLLFLKKDGTLKPVAIELCLPHPEGDEFDELSTVYTPAEHGAEGTIWQMAKAYVAVNDSGYHQLISHWLLTHAATEPFIIATNRQLSSMHPIYKLLHPHFRDTMNINALARQTLINGGGLLEKTVFPEKFSMDMSVVVYKEWNFTEQALPADLIKRGMAVEDSQSPHGVRLIIEDYPFAADGLEIWSAIKSWVKDYCLIYYPTDDIIQEDYELQAWWTEVREKGHGDKKDEPWWPKMQTRDELIESCTTIIWLASALHAAVNFGQYPYGGYLPNRPAMSRRFIPVPGTPDYEELESNPEKAFLKTITSQLLSVLGISLVEILSRHSADEVFLGQRDYSEWTTDEEPQKAFEKFGSKLKEIESKLEEMNTNGKWRNRVGPVDMPYTLLYPSSEVGLTGKGIPNSVSI >KZM90278 pep chromosome:ASM162521v1:6:13783168:13788257:1 gene:DCAR_022357 transcript:KZM90278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNISFELSSIFTVFLVISLCFSSCSCIRTRDLRRNAEFIDHTAISRPQPRVDHARMMAEFANHTAISDFRIINRKSLGDCPDPNPYIRINVSSANAKLSDDQFVTVTISGVLVPAASDWVAMISPANSDVSSCPLNGIQYQQTGDFSILPLLCHYPAQYASSDPGYLACKNQECQQRNARGDCVVKTCSATLQFHVINIRTDIEFVFFAGGFITPCILKRTTPTSFANPKKPLYGHLSSIDSTGNSMRVTWVSGDKTPQKVQYGNGKSQTSVVTTFSQADMCSSLSVVNAIASEVSSGNIDSIFHIGDISYATGFLVEWDFFLHLISPVAAQLSYMTAIGNHERDYIDTGSVYVTADSGGECGVPYETYFPMPTSEKDKPWYSIEQGSVHFTVISTEHDWSESSEQFAWMKKDMASVDRTRTPWLIFMGHRPMYSTSDGSSFIPSVDNNFVQAVEPLLLSNKVDLALFGHVHNYERTCAVYQGECKAMPTKDGNGIDTYDNTNYQAPVHAVIGMAGFSLDSFPSTAKFGYARVHATRTDLNFEFVNANTTEVGDRFHITRS >KZM91298 pep chromosome:ASM162521v1:6:23927890:23928192:-1 gene:DCAR_021337 transcript:KZM91298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMYMMMSDDVKCGYDRDNIEYVNVVDSDGQEFFVSEQLDEGEKLYDDIGSMHESSDNLHDSGDNIVDGDEPISIPYLNQKFPNLQAGENLFSFKAWFCN >KZM90065 pep chromosome:ASM162521v1:6:10224572:10226111:-1 gene:DCAR_022570 transcript:KZM90065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSFKSEHPLERRQAEAARIREKYPDRIPVIVEKAEKTDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGSN >KZM91830 pep chromosome:ASM162521v1:6:28158143:28162511:-1 gene:DCAR_020805 transcript:KZM91830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGQGGGSNYDLSFKILLIGDSAVGKSSLLLTFISNSVDQDISPTIGVDFKIKQLMVGGKKLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRDTFTNLSDVWAKEVDLYSTNQNCVKMLVGNKVDIESERVVSREEGIALAKEHGCLFLECSARTRENVEQCFEELALKIMEVPSLSEEGSSVVKRNILKQKPEYQTQSGGCCS >KZM90671 pep chromosome:ASM162521v1:6:17943047:17945617:1 gene:DCAR_021964 transcript:KZM90671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFALLFFLLSVPAVLCNSEGNALHVLRTRLSDPNNVLQSWDPTLVNPCTWFHVTCDNQNSVTRLDLGNANFSGSLGSELGELENLQYLELYRNNIDGKIPKELGKLKNLISMDLYNNKFEGRIPKSFAKLKSLRFLRLNDNNLSGPIPRKLSKLSNLKVFDVSNNNLCGTIPVDGPFANFPIKSFKNNRLNGPELKGLVPYDFGC >KZM92672 pep chromosome:ASM162521v1:6:35295268:35300092:-1 gene:DCAR_019963 transcript:KZM92672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLGVLLVVIVAQFSMGWSFQSTAPAFMWSSHQDRTLDGINYQTLSPKDLAKSVMSLGGWSEYLCSRKESHPSVDIALLFVGRELQSLDISTASKHSDVALLKLLKDSFTKSNHSLAFPYVVASEDEDTMASSLVSEFAETCGHALGASNVAFTESCSVKGENYEKLANIQSIHDFLALKMETRSKEQANLVVVCNDGSSSLNGLDKSSESQVFSEVISSVEHSGAKFSALYVSDPFKAIRYPSERDLQRFLAEGPSGSKLLNSTSCDEVCRLKASLLEGLFVGIVLLIILISGLCCMAGIDTPTRFEAAQDS >KZM91870 pep chromosome:ASM162521v1:6:28542183:28545670:-1 gene:DCAR_020765 transcript:KZM91870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFFNLALLISAAGTNNENERKPYIVYMGELPERSGRKTMEDDHHDILSDAIGDERIARESKIYSYHRSFNGFAARLLPHEATYLSKKEGVVSVFPNTIQKLQTTRSWDFLGLSDEIGKTKRNLKVESNLIIGVLDTGIYVESPSFNDIGFGPPPPKWKGKCARAANFTGCNNKVIGAQYFNLAGSPIDLLTPVDVEGHGTHTASTAAGNSVRGANLYGLAKGTARGAVPSARVAAYKVCWSMGCQDMDMLAAFDAAIADGVDVISVSIGGPSRIYFEDSMAIGAFHAMKKGIMTVCAAGNYGPDTATVQNVAPWMFTAGATSMDRQFETDVTLGNGMRAKGISINTFSSKKHMFSLTSGTLAANSSSGGASYRNASACEEESLSKKQVKGKIVYCLGSNGQDSTISENRGAGVIMSGDDNYSDEVAFSFLIPATVVNQKDGKKIEKYINSTRVAKGTIHKSRTTNIANAPFIASFSSRGPQSISMNILKPDIAAPGLSILAAYSPLVTLTGDSTDNRFTLYNIISGTSMSTPHVAGAIAYVKSFHPAWSPSAIKSALMTTATPMKVKPMEAELATGAGQINPTKALDPGLIYEMNPGSYIRFLCKEGYNNTMIRIITGGKHLHDCSTLRARGFDGLNYPSMHIQLSQNSTKFSASFFRSVTNVGSGKSEYEAKVMPPPGVSVNVEPKKLVFERPHQKRAFKVVVKGKFGEDENQVLSGSLEWRDSSHRVRSPILICKSLYQP >KZM91275 pep chromosome:ASM162521v1:6:23733329:23738043:-1 gene:DCAR_021360 transcript:KZM91275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASLQVNNLRPLKPQHHLINSSPKRLSATISCSAATPTKRYTITLLPGDGIGPEVIAVAKNVLQLAASFDGIEFGFKEMLMGGAALDLTGVPLPEETLSEARNSDAVLLGAIGGYKWDNNEKHLKPETGLLQLRAGLKVFANLRPASVLPQLVDASTLKKEVAEGVDLMVVRELTGGIYFGKPRGFGTNDSGDEIGFNTEIYAAYEASMLWRKRVTAIASQYPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASISDSGPGLYEPIHGSAPDIAGMDKANPLAAVLSAAMLLKYGLGEEKAAQRIELAVLDTLNRGFRTGDIYSAGNKLVGCKEMGEEVLKSVESKLAAAV >KZM91706 pep chromosome:ASM162521v1:6:27220659:27221548:-1 gene:DCAR_020929 transcript:KZM91706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSLKSAALMEQMKAHLSTDAGKDIVKKLNLVFQLNIAPKKIGFNEEIFVVDLKKGEVTQGPYEGGKPDATFSFTDEDFIKIASGKMNPQIAFMRGAIKIKGSMSAATKFTPDIFPKPSKM >KZM92795 pep chromosome:ASM162521v1:6:36354041:36355896:1 gene:DCAR_019840 transcript:KZM92795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQKVCFQERIQQNHGLVDGFHFDHAKHFSQLNGVQTGIFVGGSQNIDDHANVASTIISHIGSPGSAFFATERYMGLPYYDYQDNNPDLGPEIPKNFDDQIPRFQQSVGENLYVDQSSVEQVKTGFASSESTAKPVSCPDDQYHNTVSEKSYSERDRIMQLKRKLFEDNYDPPDKRQASLTCDGDSSISLSNNSYGYQLTNMGQSTGANGNAVVPSGSATISCKTRIRWSQDLHDRFVECVNRLGGSEKATPKAILRLMESDVLTIYHVKSHLQKYRIAKYMPDSAEGKSEKQTGMSDTEQIGTKTGMYLKEALQMQLDVQKRLHEQLEIQRHLQLRIEEQGKQLKEMFDLQQQKTTGVIESQKSSTETSPRDEPLITKIDGNLQCPVEID >KZM92396 pep chromosome:ASM162521v1:6:33106486:33107428:1 gene:DCAR_020239 transcript:KZM92396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMIEPLAAGRVVGEVVDIFSPSVKMKVTYNSNKLVCNGHELMPSVITWRPRVEIGGQDLREAYTLIMTDPDAPSPSDPYLKEHIHWIVTDIPGTTDVSFGREVLSYETPKPVIGIHRYVFVLFKQRGRHAVNGAPSSRDNFSTRCFSEEYGLGLPVAAVYFNAQRETAARRRS >KZM90103 pep chromosome:ASM162521v1:6:11198546:11202087:-1 gene:DCAR_022532 transcript:KZM90103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDILVAILSMLLVAALIPLYIWRRRQASSSVEPDEQVQVRQREAVLRATGARGTAPPRSRMRRRTTTSAASTSHVEESGDGTDEEDLGEGEYHNVKVSKKREKKRQEREAIRQAEEAARESRVTKQDRYAEMRRKKDEEREAEERRLEEEAKARQAKEEEAAALEFEKWKGEFSIDSEGTTEKEVQDANQGLLFDFVEYIKKHKCVQLEDLAAEFKLRTQECINRITSLEDLGRLSGVMDDRGKYIYISLDEMKAVADYIKREGRVSISHLASQSNQFIDLEPKAQFLDDISSQEEPIVA >KZM89617 pep chromosome:ASM162521v1:6:2565656:2566950:-1 gene:DCAR_023020 transcript:KZM89617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMHTLFNTDLRSDVIYTNAATRVAGATSCLTQSTGGENAAAFPSDTIRLISNCIGVELLGDDDEGAGGGEGGGVEVNEDEKGEDKIGGEGGNKGRKG >KZM89888 pep chromosome:ASM162521v1:6:6892674:6895789:1 gene:DCAR_022749 transcript:KZM89888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLMNLYYNTKWLNISVLFFATLVVAKLILSAGWRYNRRRSPPVVKAPIPIVGGLLRFLKGPIVLLREEYPKLGSVFTLNLVNKKITFLIGPEVSAHFFKAVETDLSQQEVYRFNVPTFGPGVVFDVDYSVRQEQFRFFTDSLRDYFSKWGDNGEVDLKHELEQLIILTASRCLLGVEVRNQLFDDVSALFHDLDSGMLPISVVFPYLPIPAHRRRDQARKKLAEIFGRIINSRKQAGKSENDMLQSFMDSKYKNGRPTTDSEVTGLLIAALFAGQHTSSITSTWTGAYLLANKKYLSAVSDEQKVIMRKHGDDVDHDILSEMEGLHRCIKEALRLHPPLIMLLRSSHSDFKYDIPKGHIVATSPAFANRLPHIYKDPDTFDPDRFSAGRDEDKAAGPFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELISPFPEIDWNAMVVGVKGKVMVRYKRKQLSLE >KZM90240 pep chromosome:ASM162521v1:6:12969820:12972439:-1 gene:DCAR_022395 transcript:KZM90240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSEVNAMFDHLADLEKSRTDWKIKAWVTRLWPTNNAESGVVKGFNLILLDNDQFNLITQRHKLCSMCTVDVMGMIEEWEPASRVPTRYGERETLRFKLSDGRVVLGVCLWGELAVTVSNEYESEKKKPVVAIIASSKLTTFRQELQIGALPSTRIYLNLNIEAVEVFRKRFQLLVLADDNSFASTVVLTDRVVKRLAKTTVTNLMNSSKEAPNSEMPPVLRNIVGKTVTVKISLSKSNVAGDSNIYKVVDLCEGSVSGKKVAEYSPITKFPSFEQSQTDDYVVCLETPTSSDSVSKKIKMVKCFCIILMHMIVEQIEIIFTMMVFLL >KZM90941 pep chromosome:ASM162521v1:6:20697971:20698537:1 gene:DCAR_021694 transcript:KZM90941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLSTVVVSLRSTTSYPTPSSYPSPNPLTLSFPKQTPFSLRTHRATHLRPLAAVDAPEKVVDLGDQISNLTLSDAQKLVEYLQDKLGVSAASFAAVPAAAAPGAVADAPAAVEEKTEFDVMIDEVPSNARIATIKAVRAITSLALKEAKELIEGLPKKFKEGVSKEEAEEAKKQLEEAGAKCSIA >KZM91102 pep chromosome:ASM162521v1:6:22149615:22154581:-1 gene:DCAR_021533 transcript:KZM91102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISSFFAVLSFRNTSDVDEWLLSNPMHCPGALHFVERNATVISYGIQTNSTPAAKRGNYEDPTLKFQIPLQLAAEREIARSLLKDPDFSWMVSLKEFAHPAIDNFSAVASIGPTFFLAIAMFGFVFQITSLITEKELKLLQALTMMGLYDTAYWLSWFWSEGIIAALSSLFTVLFGMMFQFDFFLNNNFAVLYLLFFLFQLNMIVTAFGFPYATRFSNTYRIIWAFFPPNLLAEGLQLLARATSTPGDPGISWSGRTRCVADDDDDTECLISINDIYKWLIFTCALWFLLAIYFDNIIPKSGVRKSVFYFLNPGYWTGRGENKLREGGLCSCNSSVPLPEHEAPDDEDVLNEENIVKRQATDGLLDPSIAVQIRGLVKTYPGTTKIGCCKCKRNSSYHALKGLWVNFAKDQLFCLLGPNGAGKTTAINCLTGITPVTSGDALIYGNSIRNSVGMSNIQKMIGVCPQFDILWDALSGQEHLYLFASIKGLPSASLKMVVQQSLEEVRLTEAAKVRAGSYSGGMKRRLSVAIALIGQPKLVILDEPTTGMDPITRRHVWDIIENAKKGRAIVLTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGTGFIANVSFSETNQGTSTGPDTSTTMFHEAVKQFFSHNLNVKPKEENKSFLTFVIPHDKEKLLTNFFAELEDRKSEFGIADLQLGLTTLEEVFLNIAKQAELESAAAEGRFTTLSLPSGTSVQIPIGARFIGIPGTESAENPRGVMVEVYWEQDDSGSLCISGNSPETPVPQHVNAEPMAPSRASTNRVFLGREGPVYGNVIHPMEIGTSNP >KZM91534 pep chromosome:ASM162521v1:6:25865219:25865799:-1 gene:DCAR_021101 transcript:KZM91534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRIWHKVAGFSGMAALGLGTYGAHAFKPKNPTYKDVWHTASLYHLVHTAALVAAPLATHPHIFGGLLTTGILAFSGT >KZM89555 pep chromosome:ASM162521v1:6:2023194:2024814:-1 gene:DCAR_023082 transcript:KZM89555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASRWLRGLLGMKKDNKDSADNSGMSDKKGKKKWSSAKSGNESRAANQSPVINRANDGVWLRSSDKEQNKHAIAVAAATAAAADAAVAAAQAAAEVVRLTRQGRQNVYGGSRERWAALKIQSVFRGYLSRKALRALKGLVKLQAVVRGYLVRKRATATLRSMQALIRAQVTVRAQRARRSFNKDHPSQPEFLHRKSIEKFEEPRSEYPSKRLSASYETSFNTSEESPKIVEMDTFKPKSRSRRMNFSASESGEDTYYHSSSQPLPHRLLIPDHEQMQDYEWAFITDNYKFSTAQSTPRFANSRHSNAPATPPKSICGDSFFRPYSNYPSYMANTQSFKAKARSHSAPKQRPEIGQKKRLSLNEIMASRTSFSGVKMHRPVSQGQEDLNF >KZM90029 pep chromosome:ASM162521v1:6:9734337:9735218:1 gene:DCAR_022606 transcript:KZM90029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQQSNFYGNMNCNNSLKYKDHDQLITITRNSLKKATKLLLSVSVFSLLLSYSSSLYPIFIHSFKSNSLFPHNFLVLFTHILDKNFTFLICNGILVFLAKTSGLLRSRSGIDHRRRIKSIDTVSIQMHHPATGTSLEELENYHNQEVADVAEYSYVSPDSSLKDVPAAAGWGSSSTNHDEDLFSEEGKNVKGGERGEAKEGEVEETGFLSTELGRGAEEDHTDYPFTNDENTEIDLGGSFFSFKKEDADENCQLESSGLVSTEELNKKFDEFIRKMKERLATEEAGQKLIMV >KZM89836 pep chromosome:ASM162521v1:6:5708812:5708985:-1 gene:DCAR_022801 transcript:KZM89836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDTDIIYMQGLCIVCILECRGIEVADDALSEEDVWYGVKIPLISPISRKFGEYLL >KZM91493 pep chromosome:ASM162521v1:6:25569494:25573501:1 gene:DCAR_021142 transcript:KZM91493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETYDKKMWKGDDSSSVNKKVPGRGGGFSDALSIAADLGFSVSPAPSKEEAQNLYNDLEKGDDLIRVLTELTIVQRKIAELKVELQGRQDDKNVAHLTHAREMEKKIESLRRSTSILKDVIQNKGRIITRLQQPYALDYISVEAEFQKQFFELMKKAASDYDSLTACVADIQWTQNFKEPPSVWGEMLHPIPVALASCTRYFEALSSTRESFAYLQKLRVGHSDPSLLPSPTSSSQIEPVDSDFVPFPWRNESIFEDLSPGKLQTHDSEHYEADDNSDTSSSYQLDIRRLSWPASVKSNDW >KZM91771 pep chromosome:ASM162521v1:6:27731148:27732470:-1 gene:DCAR_020864 transcript:KZM91771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTPLIPLLVVLIAAVLLPSPTVGEVKTLKISNDARPMILFEKFGFTHSGFVSISVAGVSVTSTLSQPDPSRLGFFLLSEESLIQVLLELQQNPNFCVVDSHYISLLFTFRDLAPPPHSSFNKSYPVSLPNEYSLFFANCAPESQVSMDVRTEVYNWDNGGTTKDYLPAGLTQLPSLYSFFAIVYIAFLGFWCLNCVRNKRSVHRIHLLMGGLLVMKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEKEKKVLMIVIPLQVLANIASIVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIAYLYFTRIVVFALRTIAAYKYQWVSFAAEEVASLAFYMVMFYMFRPVERNEYFVLDDEEEEAAEMALRDEEFEL >KZM91045 pep chromosome:ASM162521v1:6:21643900:21644842:-1 gene:DCAR_021590 transcript:KZM91045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPAHRLPNHPRHQMMNNPYLQSSAANYLPHAAAHYPIIGGTGDYRSNAWYSYNQPSYEGTLELEEQMGRVDSRFVEETVIIESTYDYLPIRAHSIRSRLYNQPTYEDETAIMGGTGDYRSNPSHLYNLPGYEVIYLYNLKCF >KZM89831 pep chromosome:ASM162521v1:6:5567822:5568337:-1 gene:DCAR_022806 transcript:KZM89831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKCAHTRQGINAVEFLYAYRLNFVALRNQSLLNINREPPYPLPFLDPTQPMREEAPAVTFNPTKVTFWKYKANDAGTLSLEDIGTMSSGDIHKAIIRVKLSCFKEDKYILKTLMDMYKLKKIKETMHDTSHGHPSRIIIPFEGMDLKLPFKRAKVMKRMKSLEKLKQRL >KZM91378 pep chromosome:ASM162521v1:6:24647719:24648321:1 gene:DCAR_021257 transcript:KZM91378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKDKSKLAKRSAASDVKEVHYRGVRKRPWGRYAAEIRDPTKKTRVWLGTFDTAEEAARAYDTAARDFRGHKAKTNFPSSSAYVNTLHSPCNSSTGDMLTHAPLGLELTRHHYQPPVGYHQASQVMMYDYEAFLRSGMAYPVRLSPVRIDGGSCSGSDSSSVVQGNDCTQNDATITSKQTVRKALNLDLNLAPPLEEDQ >KZM90493 pep chromosome:ASM162521v1:6:16279766:16285793:1 gene:DCAR_022142 transcript:KZM90493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGDLDRVGNGSGRGNTFPVVSCTICLDIITDNGDRSSVKLQCGHQFHLDCIGSAFNAKGAMQCPNCRETERGQWLYSNGSRSHAGFNIEDLVHDANPYDSSNATMRLVVAQGPLHGFVRLPTSFDRGVLLPIVYDGLRRQHVVLVQPTAVSPAYHLRPYAAYSGTNSSSSHFSRSVSNGSTLSSRWNSRTAPSEIPNSCVFPSIDVYYHSWRQHSSLFPTASSHIDGPDQPFFPSMTQSVARDNISMPRLGSFVHPSAVDHGTDGRAGISVATSMRTPYPDSAARSRERMLALQAYYQHPPVISQGVHTPLLPPTRESNGQMNMTQVEPGAASSEQASGFPSTSLAGRNHSETQNSMPNPCHAWEREQSTSLPSSGNEREPIRVPSHELLGAPNAGVRPANIR >KZM90979 pep chromosome:ASM162521v1:6:20979320:20979606:-1 gene:DCAR_021656 transcript:KZM90979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPLRSANVDTEKLARAAIKYGLLKYLRHNNRKLSHKYLTAERRYLMSK >KZM91463 pep chromosome:ASM162521v1:6:25371201:25372199:1 gene:DCAR_021172 transcript:KZM91463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKRDPAWKHGTSVDAQDGAQAKKGVKVRCNYCFKVVTSITRLKLHLAKLGGGVKSCPSVPETVTAEFVRCFENRPYRSAVKARRNHDMGESGHAGGQSSAQAEGGGSHYNAALPLQNFVDLDPEPAIHTGVQVYNTFFDYEPETVQKNLQLDEKAFKEFQEKAKSEEEQYKKILKTTQQQLKEKAQEVAEKNSELEILQAKLNAKDQELIAKDDEMDALRAEAIAAEAKRYFHGRNEIIIKAKEVGLNHKLLLPSSYDPTENME >KZM92430 pep chromosome:ASM162521v1:6:33336043:33350493:1 gene:DCAR_020205 transcript:KZM92430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGNVSPANSPHLRKSTSRSRVFDLGAGDLGSSAEENFLQSVESDEMKGVTTPLTTVAIMPSPILLWRLKVLLFVIWGFICCKVGWGSVMRMSADLRDLFLYEAFLYYNPLLLVTLMVWLWGINLWVFFQANISYATIFELDQYHLTHREIWKQAITFPDFFVADIFTSMSKVATIAWFEADSVCGSHSVAIPIVLVLPYIFRLFQCLRQYKDTGEKTTLLNALKYSTAVPVIFLSALKYHVLPDQWTNIYRPLWLLSSVLNSSYSFYWDVNRDWDMSGFTRIFKFSKLHFFSHMLYGRKWVYFWVLSSNLILRCTWTYKLSAHLRHNYLTVFAITALEIFRRFQWVFFRVEKEVNKMNTKQNIQLSTIDKSTEEDALLLSDHNV >KZM92607 pep chromosome:ASM162521v1:6:34784104:34799715:-1 gene:DCAR_020028 transcript:KZM92607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRSSLPSRLRQLLSGEGAIGGPSIKLDSDTPPQIQAFIDKVIQCPLQDIEIPLSGFRWEYNKGNFHHWRPLFLHFDTYFKAYISGRNDLLLADDVLEGDALLPKQAVLQILRVMQIILDNCPNKGSFSGLEHFKLLLASTDPEILISSLETLSSLVKINSSKLHSSGKLVGLGSINTCLLSLAQGWGSKEEGLGLYACVMLNEKTQEGGLSLFPPDIQNESDKSQYRVGSTLYLELHGVSSQNVGGSEKGASSSGSSVIHIPDLHLRKEDDLALMEMCIKQYNVPPEHRFLLLTRIRYAHSFQSSRICRLYSRICLLAFIVLVQASDSHDELVSFFANEPEYTNELIRIVKSEDTISGNTRTLAMQALGSQLAAYSSSHERARILSGSTISFTGGNRMILLNVLQRAILSLNSSNDPSSIAFVEALLQFYLLHVISTSSSGSVLRGSGMVPTFLPLLEDSEMRHMHLVCLAVKTLQKLMDYSNSAMTLFKDLGGVELLVHRLQIEVHRVINATVTNDNLMNGGESSRHTDDIDDGMYIQKRLIRVSLKALGSATYAPANTSRSQNNVSLPSTLSLIFKNVVKFGGDIYSSAVTVMSEIIHKDPTCFPALDELGLPDAFLSSVVAGILPSSKALTCVPNGLGAICLNAKGLEAVRRTSALRFLVDIFTNRKYILAMNDAIVPLANAVEELLRHVSSLRSVGVDLIIEIINHISSIGDPKLTGSSEKVTGSTSMEMDSEDKENQGSCSMVGESDLVSDGVSNEQFIQLSIFHVMVLVHRTMENSETCRLFVEKSGIESLLKLLLRPSIAQSSEGMSIALHSTMVFKGFTQHHSAPLARAFCSSLKDQLKKALAAFDTVAGSFLLDPKVTPDSGIFSSLFLVEFLLFLAASKDNRWVTALLAEFGNGSKDVLEDIGRVQREVLWQVALLEDAKLQNKDNGTGSGDESRQSDPSTNEIEDQRVNSFRQFLDPLLRRRMSGWSFESQFFDLINLYRDLTRASGLQQRDGTSNMRLGASQHLHQPGSSVSIGSSGKKDDDKQRSYYSSCCDMVKSLSSHITHLFQELGKAMFLPSRRRDDLVNVSPSSKSVASIFASIALTHMSFGGHVPSGSEPSVSVKCRYLGKVIDFIDGILLDKPESCNPVLLNALYGRGVIQSVLTTFEATSQLLFAVNRTPASPMDTDEGILKQEDLDETDHSWIHGSLASYGKLMDHLVTSSFILVPFTKHLLTQPLVNGDIPFPRDPEMFVKVLQSTTLKVVLPVWTHQHFTDCNHEFIASVISIIRHIYSGVEVKNLNNAVPRPAGPPPNETTISTIVEMGFSRPRAEEALRQVGSDSVELAMEWLFSHPEEVQEDDELARALVMSLGNSVTDTKEDAAIDNSQQIEEEVVQLPPVEELLSTCRKLLQMKDSLAFPVRDLLLLICSRNDGEYRSNVILFIIDQVKLSSAVPDVGGSSNMLASLFHVLALILNEDVAAREVASKSGLVKVASDIVSYWVSELCDRGATQVPKWVTAAFVAIDRLAQVDQKLSADISELLKKSDDGIRRSVVIDDDKVNKPETNYGLKYMDIQEQKRLVEIACSCLRNELPSETTHAVLQLCSSLTRNYSVALSFLDAGGLPLLLSLPTSSLFVGFDNVAAAIIRHVLEDPLTLQQAMEHEIKHSVATAANRQSSGRLTPRSFLSNLTSVISRDPVIFLRAAQSVCQIEMVSERPYIVLLKDREKDKVKEKEKEKDGGEGKDKPQTTDGKGVHGKPYDTNSKNTKVHRKPPPSFVSVIELLLDSVVSFYPLKEETTAGDSPSLIDMDIDVAANKGKGKAIASDTEGIKVNNQESSASLAKIVFIMKLLTEILLMYGSSVHVLLRKDAEISSFKSPQKGLAGMYNSGIFHHILHKFLPYSRNSRKEKKTEVDWKHKLASRASQFLVAACVRSTEARKRVFMEVGSVFKDFVDFSEGFRPPESNIQAFVDLLNDVLVARTPTGSYISTEASSTFIDVGLVRSITRTLHVLDLDHGDSPKVASGIVKILEVVTKEHVHAAESNTVKGESSTKPPDQSLDGRTDNSPNTSQAMETTVQPNMAYVSTDHVESFNNAQNYGGSEDITEDMEHDQDYDGGFAPPSEDDYMHENSDDARGLENGLDTGRTTSIYNLLGRTGDSAASTQHPLLLEPASSLPSVAPRPSENVRDIFADRNSESTSRMDSIFRSLRPGRHGHRLNLWADDNQQTGGSNASAIPQGLEELLVAQLTPPQEAKKPSDEIPTGESESKGEASQLQQEMVPETAAGDGRNSETNYTQHAPASTLPDASADSDIRPAVNGLQHVVDSSRSLPQTVEMQFEHNDAAARDVEAVSQASSGSGATLGESLRSLDVEIGSADGHDDAGDRQGTADIRPRRANMSFGTNVLASGRDALLHSVTEVSENTSQEAGQAASAEEQQNGGDADSRSIDPAFLDALPEELRAEVLSAQQGQVAQPSNTEPEPQNEDIDPEFLAALPPDIREEVLAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSELREEVLLTSSDAILANLTPALVAEANMLRERFARRYNRTLFGMLPRNRRGESSRRGDGIGSILDRAGGIVSRRSLGSKPVEADGAPLVDTEDLKAMIRLLRVVQPLYKGQLQRLLLNLCAHNETRTALVKILMELLMLDTRKPSNLLGSSEPSYRLYACQSHVTYSRPQCFDGVPPLVSRRVLETLTFLARSHPFVAKLFFQFSLPPSKPESSNLDLSRGKAVMVVDEDEIEEQQQQQEYLSIKLLLSLLNQPLYLRSIAHLEQLLNLFDVIVDNAESKPISSDEPGPSATEPVSEQNTTLDAEINTGSGGTSSGADKLAKADETLKLSVRSSDTEFDSENILRNLPQAELRLLCSLLAREGLSDNAYALVAEVLKKLVAIVPVHCHLFISELAGSVQNLTKSAMEELHMFGEVEKALLTATSSDGAAVLRVLQAVSSLVSTLSVQDKNGKVLSEREHSAALALLGNINGALDPLWLELSTCISKIESYSDSPRDLSSSAVASTSKPSGVMPPLPAGTQNILPYVESFFVMCEKLNPAQSVAGHDLGDVVVSDVEEATTSDSKPKSSGSAPKVDEKQMAFIKFSDKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFIDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDILDLTFSIDADEEKRILYEQAQVTDYELCENGRNIRVTEENKHEYVDLVAEHRLTTAIRPQINAFLEGFNELIQRDLISIFNDKELELLISGLPDIDLDDMRMNTEYSGYSAGSPVIQWFWEVVQSFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSADHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >KZM90993 pep chromosome:ASM162521v1:6:21159064:21165873:-1 gene:DCAR_021642 transcript:KZM90993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDGSGEEDTKVADMQVENPEIEAEKEAESNPNPNHTQHDSVMADKEEAEQGEGGDKAEQDVEKDQEEQDVEKEEEKETEEAEEEKEEEETSKKGSGMKTPVSKKRRGEVKESTIERPSRERKTVERYTETSLARGSATKPFSIEKGKGTMLKDIPNVAFKLSKIKPDDNLQLLHNILFGKRMKVHTLKKNIGLFSGFVWAENEEKQRTKIKERIDKCVKEKLLLFCDILNIPVNKASTKKKGKQRKRKAKSSKSPRSVEEASGTLSKKQKLKSESEKSKLPSKNADEDDEEGQDSESKDASQDDENDNNSDAASKEDSEQESKSEEEEDDKEVEPKLQLTSKTSSSKAASKGNSGGKTVDKSKSVKKATPIKSSKTTPKSTKKSSMSSAKEKADKANTNAASGSSRKSKAPSTKKQKLEKEHEKDQSLSAKEKTSSKNKSSTKAHSKNKGNEKPSKKAKPEPSKEEMHAVVADILKEVDFNTLCNDFFFVDKTMRSRKFSTDSDIIAFMIMQATLSDILKQLGAHFDVDLMHRKAEVKAIITDVINNMTDEDDDGEEDTAEDGEGDGEDDNNA >KZM91827 pep chromosome:ASM162521v1:6:28122009:28125029:-1 gene:DCAR_020808 transcript:KZM91827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGTCILLIFCLTTFSPLALATLSSFNFTSHIAHPDPESVVQDLQRRVNISVSRRNLLSYENSVRSTNCQTGNPIDDCWRCDPNWQLNRFRLADCGIGFGQYALGGKNGRYYIVTDSSDHDAVNPSPGTLRYAVIQTEPLWIVFATNMLIHLSQELIFNSYKTLDGRGANVHITGGGCITLQYISNVIIHNIHIHHCYESGNTNVRSSPTHFGYRTKSDGDGISIFGSRDIWIDHCSLSNCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDDYLPDSKMQVTIAFNHFGPKLIQRMPRCRRGYIHVVNNDFTRWEMYAIGGSGNPTINSQGNRYTAPVDRNAKEVTKRVESSHQKWRDWNWRSEGDIMVNGAYFITSGESLELKYEKAYSVEPKSAVIIDQLTVNAGVLGHRRNNLGKWTSGGDENGVPGSDSGDLDDDYDYGSESSAAAHPPGAPANFIVFPFLIALSSLLFLFVSTKSNLL >KZM90079 pep chromosome:ASM162521v1:6:10374467:10375336:-1 gene:DCAR_022556 transcript:KZM90079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSKRPMCPSCSKPTRICLCNRFKTPILDNSVAVTILQHILEKDHPLNSTRIAVLGLKNLGVEWVSDVNFQAHFDIRVFREEGEGKDGVFIDESSGKSVNFSIGKHGLISSFNREMVLVNGKENVGFDGFVDSRFMVDAFEKGFVVKKVHEREFDGESVNVESEMEVPAGSVLLFPSEKAVGPEEIDFEVRNLIVLDGTWAKAKRMYKENPWLKSLPHLKLDLDKLSLYGEVRNQPRAGYLSTIESIVYALKALGENSEGLDGMLDVFASMVGDQRRCKDERLSMAD >KZM91248 pep chromosome:ASM162521v1:6:23541308:23541733:-1 gene:DCAR_021387 transcript:KZM91248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSCKGLAAELVKCLSESDCVTVENRPFRDCAKEQSPCISSECVGLRETYFNCKRGQVCFFSPTLLDLTRLLNVNYDIILTLCLLVVIVQCTSLI >KZM89458 pep chromosome:ASM162521v1:6:918388:918876:-1 gene:DCAR_023179 transcript:KZM89458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRNTVMVAQRPPRSGQTQGNEFLRRLHEHAPNSSQLVGFLTLVISGAILLFLTGLTITATVLGLIFFTPLILISSPIWVPVGAVLFLAISGILSVCGFGLGSFAAASWIYRYSSGMHPVGSDRVDYARSRIVDTASHVKDYAREYGGYLHSKVKDAAPGA >KZM90776 pep chromosome:ASM162521v1:6:19094634:19105923:1 gene:DCAR_021859 transcript:KZM90776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLLAKSVMRRLISGGGSIRSASPAPALTIFRAVTESATARRESLVYVRGGGVELMKRMMSSEAVKVTEEKKEVKEEKKKSESNVVVSSYWGVARPRITKEDGTEWPWNCFMPWETYQADLSIDLGKHHVPKTFLDKVAYKTVKLLRIPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRKFQQSGGWIKALLEEAENERMHLMTMVELVKPKWYERFLVLTVQGVFFNAFFVLYMMSPKVAHRVVGYLEEEAIHSYTEYLKDIESGAIENVPAPAIAIDYWRLPKDAKLKDVITVIRADEAHHRDVNHFASDIHFQGKELRDAPAPLDLLELLPVGNLGHLGFCVLAYEMEYNSGYIDGGYGAFTFKNPLSEYENEDVHYAANYTIPEANSPEMNSEESSYTEEDEQHTGSIQGSMKYIDESMRKTSMTKGKRWWGKLFSGLNIIKKFNLFDAASWESSTSKWDYNCQADKVCDVCEEALGNTRYINLSDGRKLCSECHSTAIMDTETCIPLFQEVYRFFEGLNMEIIKDIPIFLVDKHEINKIRYEFGGKDHPGYPLGMATCRAGLVVQSVARSFQRGDNIHVVKEVQYIQRIYEVTSLLLVYGFPRLAIGATLAHEMMHSWMRIEGYKGLREEVEEGICEVMAHRWLDWHAFIGDDFLHTTPEQAQFLRNLKEVLKNDIERNYCKTYGGGFRDAKWAIERFGLKYTMSHVAQTGNFPQ >KZM92517 pep chromosome:ASM162521v1:6:34040972:34041397:1 gene:DCAR_020118 transcript:KZM92517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINLNLPSDDELNLQLLLDTSSSSSSSYPCWNSIEPPRIFPCNYCRRKFRSSQALGGHQNAHKLERTLAKKSRELSSGVRARAERNKESGSGDSTSVQPHGMGMGMELVERFSSGETTKYKGDDNVYSDESGQLDLSLRL >KZM91383 pep chromosome:ASM162521v1:6:24681968:24686175:1 gene:DCAR_021252 transcript:KZM91383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRTTHPSVFQKIQGQSYLFSPVSPSMQSRNAALHRPADRYVNGLLKAPFCLENRSIYQQLAAPLSPVFVNAPSEKSLSGFVVDFLMGGVSAAVSKTAAAPIERVKLLIQNQSEMIKAGRLSEPYKGISDCFARTVKDEGVVSLWRGNTANVIRYFPTQALNFAFKDYFKSLFNFKKDESYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLKSDGVAGLYRGFTISCLGIIVYRGLYFGLYDSIKPVVLVGELQDSFLASFLLGWGITIGAGLASYPLDTVRRRMMMTSGEAVKYKSSMDALKQILKNEGYKSLFKGAGANILRAVAGAGALAGTSIQVVAGIDLNHGDIAGFLPNELGLLSDLALIHLNSNRFCGILPLSLSNLTLLYELDLSNNRFVGVFPSVVLSLPSLRFLDLRFNEFEGPLPSQIFGRNFDAIFVNNNRLTSVIPSNVSVSTASVVVFANNNLGGCIPPSIASLANTLEELVLINTNISGCLTPEVGFLYKLRVLDLSYNKLIGPIPYTLSGLEHLEQLNLAHNMMSENVPEGICVLPSLANFTLSYNFFSEEEGVCRNLTSKGVVLDDRRNCLKDKPLQRSKKDCDPVVEHPVDCFELHCGDF >KZM91572 pep chromosome:ASM162521v1:6:26138768:26140183:1 gene:DCAR_021063 transcript:KZM91572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKNNDHFSYPPDSDLDLSFTSCASAATTTTFSSSARSSLARSSLTLSFNESRLSSTTSASTSIPSLHSRPHRQSDPNWSAIKTATNLSSDNTLHLRHFKLLRHLGSGNLGRVFLCRLRDNDHANFALKVIDRDSLTSKKICHVETEAQILSTLDHPFLPTLYAHLQVSHYTCLLIDYCPNGDLHNLLHKQPGYRLPVASVKFYAAQVLVALEYLHAKGIVYRDLKPENILIREDGHIMLSDFDLCFNADVDPKLETRTQLGKKHRSRNACFRDRRPVEQVVTEFVAEPTNAFSKSCVGTHEYLAPELVNGSGHGNGVDWWAFGILVYEMLYGTTPFKGSTKESTLRNIASTKGALFNEQENAEVKDLIEKLLVKDPRGRLGCSRGATDIKRHPFFNGVNWPLIRTLSAPEVRGIAFKRSKSHISGMALQKRRQWWRKGLSFFVGRNKFSLNSNHNYYCSINNDKVRKHV >KZM91347 pep chromosome:ASM162521v1:6:24361382:24366320:1 gene:DCAR_021288 transcript:KZM91347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNANANAADTHVSAVILDLDGTLLNTEVLNKDVLKDYLEGYGKVVDQKKEDMRSGMSHHEFRLAIIKEYDLLITPEQFTEEIRPMYRERWLLARALPGANRLIKHLHNQKVPFALASNSLTRNVEAKVSHQPGWTKYFPIVLGSDQVKSGKPSPDIFLEAANRMGVNVASCLVIEDSLIGVKAAKAAGMKVVAVPSLQSESDQFSIADMVLHTLLDLQPEVWGLPPFEDWVSGVVPIEPVHVRGLYKNGFLHELEGHGASALPDQVVGVYFGWAQFESPKILKILMSITWERNCCCLEKVIHVCPIDESGEANCDQKMQVMLVGYIGGHNKEKSSSENKILEEDKVLAMTALKSSAFTHHSCMPSF >KZM89832 pep chromosome:ASM162521v1:6:5582130:5583806:-1 gene:DCAR_022805 transcript:KZM89832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLKQFWRTSKNKGTDEDPSVEFEIDDKAHILTVEKINEAFGTTLANDESYRDLADDATLTKKHLRKEWNMLFDAMDFIFYLSYISCFFINTSREEDRPNLSDVDLPFYTMEEPLSCGPQEPIAQSVMDTTHLHTEFDQGRVQSENETATTLVTLPSVTFPIKVVVTASVAVNDSAWTITPLAVDYIPVVRTSLALTSAPAVKAYTTVFTQTTSIVSTQPAVTPM >KZM90129 pep chromosome:ASM162521v1:6:11434270:11434941:1 gene:DCAR_022506 transcript:KZM90129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKIEIMKSPSEIPENSGKWKLEDLDPGSLRLSTPSCFTNCDAAVFEKPENSSSDCIAKNISGFRSYTREEEAEERFLGVSTTLKLWIDGNESNDPWKIKKTLKHSDCDRLYRLTLCKDMVQNHIIKVWEEAGKVDEIVKVESDEGVAVKVWDYEREKEYVLKLKKLSSSKSYVVSGAWRTKFVKERRLKKGDTIGLYWSTSKSRFVFSVLARAPPAPLPEI >KZM92705 pep chromosome:ASM162521v1:6:35603501:35607475:1 gene:DCAR_019930 transcript:KZM92705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWEDEPAPLVLKKEPVKSLWADEDLDEDDIKESWEDEDEPTPVPEAKPPVEKPPKKTVAKANDKKGKTAEVVKEEPLDPVAEKLRQQRLVEEADYRNTAELFGKKSGDDKSLDIFIPKSESDFLEYAELISHKLRPYEKSFHYIGLLKSVIRMSMTSLKASDAKEVASSVTAIVNEKLKAEKEANAGKKKTGAKKKQLIVDKPDDDAVVSNLDSSYDDFDFM >KZM90478 pep chromosome:ASM162521v1:6:16110014:16112270:-1 gene:DCAR_022157 transcript:KZM90478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHARYLCMEVLYGRLVSYSGIGGCIGCVEYEREPCVTTDGHCDIAFAKHEKARVGSATPRISEARKRAVKSISRRAHLGVRRTPPKRDQAKKGRSYEGYIHKEFSPCILTNVMRNLSEAQVQWVKRAGFEYLLGFSMMTYTHRLAYRIVDAFCSKTCELQLKAGSIVVTESLVHKILGLPQGDLDIALKKGKVKKTTWDEQYPSTSISPGKVRDMMKKSKLADNNFKMNFLILVYNFFIEANQNMYISRRLLSFEGNSTPITRATRDIPKVL >KZM91274 pep chromosome:ASM162521v1:6:23724362:23732441:-1 gene:DCAR_021361 transcript:KZM91274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTSTSAIAATAPISTPSPVDLVDSSSISDLTQSFNFSSGNPRIEETRGIMLLYRHDAASSSLPVERKPLVCVLGVPNHMTYADFCQFCGSFVQHILEMRIVRNDGMEDKYSVLIRFDGQDSTDSFYKHFNGRHFSSLEEEACHMLFTVDVQYTGSIEHAQALPVSSTEQPSCPKGWTRIRVGSLQLSAIILSIAPAYLNGQILLVRYVDTVSSSLKIRNVLSVKHRRMYKEGHAIRHWKETQHCYSLELETQRVWDYVGDNYVHRLIQSKTDGKLVELNHRCVHGDDGCGICECGSDPAFEEAQLNSKVEAIVNEYQELLTTQLENQKMYFESLLKEFEESETSEVEKASNQSLKLQKMQAKRDKVIEEKKFQEDINLHLLSNKAIWQEKILEAEARKKTTDQDDKIEELEEQLMKLMLALEDANAQNDHLSASKQVKVGDLYIKGVLAQFNRTRRGEAHESLVSSTTSGLRLDLIHRDFSPLSPFYNPLATHSERLENVIRRSNSRLARHFKPKCISPSKSPCLIESTLRQVEGDYLMKLLIGTPPVEILVTADTGSDLTWIQCKPCKRCFQHINPLFNQRASSSYKTLKCTSNQCKAFEGFTAKCTQKHNRCRYNVTYGDGSYSHGHLATETLTFGKTSIEKYVFGCGHNNRGLFSNSSSGVIGLGRGALSIVSQLEESIRGRFSYCLVPFSQNETSTIHFGENAQVSLSRAVSTPFFHTPYETLQFTS >KZM92156 pep chromosome:ASM162521v1:6:31020146:31023677:-1 gene:DCAR_020479 transcript:KZM92156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCAIPSDPSVKKKGRNKPNPFSVDYVANNTKGGHKSYVLEDPTGHEIEETYELGNELGRGEFGVTYVCTEKSTGDVYACKSISKKKLRTRVDIEDVRREVEIMKHLPKHTNIVTLKDTYEDDKAVHLVMELCEGGELFDRIVARGHYTERAAAGVTRTIIEIILMCHKHGVMHRDLKPENFLFANKKETAALKTIDFGLSVFFKPGETFNEIVGSPYYMAPEVLKRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDSAKDLVRRMLNPDPKKRLTAQEVLDHPWIQNAKKAPNVSLGENVKARLKQFSMMNKLKKRALQVIAEHLSAEEVAGIKEGFKVMDINNKGKINMDELRAGLHKIGQQITDADLQILMDAGDIDKDGHLNYGEFVAISVHLKKMGNDDHLHKAFAFFDQNKNGYIEIEELRDALSNEVDSSSEEVINAIIQDVDTDKDGRISYEEFAAMMKSGTDWRKASRQYSRERYNSLSFALIRDGSVKVKD >KZM92030 pep chromosome:ASM162521v1:6:29910314:29910586:-1 gene:DCAR_020605 transcript:KZM92030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEEAKIEDLSSQLQTQAAEQFKVPNLSHVMPKPEPSVTAQEEEDVNETGVEPKDIELVMTQAGVLRPKAVKALKAANGDIVSAIMELTN >KZM91717 pep chromosome:ASM162521v1:6:27326209:27327574:1 gene:DCAR_020918 transcript:KZM91717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSSVKKMCEFCRAVKQRGRVYVLCTANPKHKQRQGMSTFAFEGPLPPVSSTETFFKQEASVDHRLIAGLPSISQKTEPSTMSGWRAGLASLLFGQNKLQ >KZM89778 pep chromosome:ASM162521v1:6:4683888:4686435:1 gene:DCAR_022859 transcript:KZM89778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACNTFSNMKSLNLDSIRESLIRQEDTIIFSLIERSQFPMNSPVYTPALASTLPSSKSLLHFIVNQSETIQSQAGRYESPEEHPFFPDNLPSSLLPQYNHPQAISRRIHYGKFVAEVKYLDAPEDYAPAIRAQDRDALMKLLTFESVEEMLKRRVEKKAKVFAQEVSLNVVDQKEKYKIDPSLLPLLYGEWIMPLTKLVEVEYLLKRLD >KZM91198 pep chromosome:ASM162521v1:6:22943026:22944207:-1 gene:DCAR_021437 transcript:KZM91198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVPFQLLELTLISAQDLSPVYKNLRTYAVIWISPDRKLRSKIDQRGHISPSWNDKFVFRVDEEFLDSETSGVMIEIYTMGWLRDILVGSVRVLISNLIPPALRQQNNSTRRFVALQIRRPSGRPQGILNMGVTLLDNTMRSMPLCSEIGPSSSVGYRDLMDGKAYKNGLDKKGDKGKQIVVAKGEKEKEQEQEKGIKLWRSRSDRSEMNSIMNQPAMQKQIGGAGAGGSVVNGGSAVNGSMLNGSEIGMAKGGSMISDVGPSPSVVAAAVARGIYPTQLPVPHDAGSSILGDWTVEDSSIEGLKSKIDRWRMEVPSVYNNYENPDNQRGAGGKAPKRARSRRRSGSSDARLVSCFGSICGCEITIVCGGDKKKHYRSESSRLDYDSELSPY >KZM92624 pep chromosome:ASM162521v1:6:34928562:34930533:-1 gene:DCAR_020011 transcript:KZM92624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGSELLWPERVERDGSDSGSVRGNLRRNYSLEDYDRLKKRFKENVENVETVESSRVRFVGRIAATAPACATSLSSGRGIKRKIGCIDVATQMGRKDKIEEDYIRGATIGKGKFGSVWLCRSRVTEEDFACKTLVKGEETVHREVEIMQHLSGHSGVVTLKAVYEDAESFHLVMELCSGGRLIDQMRKQGRYSEHQAANIIKDLMVVLKYCHEMGVVHRDIKPENILLTNSGKMKLADFGLAMRITNGDSLEDVFEAIKTANLDFQAGIWEHVSKPARDLLERILTRDAASRITADEVLTHPWILFYTERNLRTLSIKSKMKNQPGSHQLPVTKVFPDSNRKIGDNNFGDNSSSDSSSGSSSQERAEQDDCGFVDALAVAISHVTISEPKRSRLCGPTSPIREQCSSNVTSNNLCKAF >KZM89846 pep chromosome:ASM162521v1:6:5933162:5940594:1 gene:DCAR_022791 transcript:KZM89846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAVPDTNGSDHMVEEPENLGDPPAQNVDTDTEQSLATAQELIAKCIAPVKKQYLCPLPVKSSIKEASNDAVLDAKPSSNSSLVTEKKSKRQAKRERKQEQKSVLHLCPVVAKSGSVSSCQYADKCRFSHDIEGFKSEKPVDLEGKCPFLEEEGPCPYGMACRFSGTHKAEANAETISTRRRESETNGLRKDVQKLLWKNKMKFPMADAQLKLLGLLQKQLNSKKKDLADDEEEDLIVSDSSHVTDGNGCAEVDMTIRSGSCSEVVEKTVNIDDTSIPDELRPLKKARASINESQLSEANNGSSVQDKDPEEDGKPASTDSYISAETDKSLKTHVREKKLIDFSDKLYLAPLTTVGNLPFRRVCKVLGADVTCGEMAMCTNLLQGQASEWALLRRHSSENFFGVQICGAYPDTVSRAVELINQECSVDFIDINMGCPIDLVVNKGAGSALLTKPVRIKNVIQVASCTVDKPITVKVRTGYFEGRNRIEGLINDISNWGASAVTIHGRSRQQRYSKLPDWEYVYGCARKAPDALQVIGNGDVYSYVDWNKHKTECPKLNTLMIARGALVKPWIFTEIKEQRHWDITSAERLNILKDYVHFGLEHWGSDTKGVEATRHFLLEWLSYTYRYIPVGLLDVVPAQLNWRSPSYFGRDELESLMASDSAADWIRISEMLLGKVPAGFTFSPKHKSNSHDRAENG >KZM90003 pep chromosome:ASM162521v1:6:9455865:9456625:1 gene:DCAR_022632 transcript:KZM90003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTMKKIQENLIEIEIEAENLLLARHQMVENDRVRNGNREALTALRKRARTTKTSVPSPFESIMKEIDGMGSNPLVKEVCATCGNHDSTEKTWMMFPGTDIFARIPFHASHTILEKDIQVTGSSWGSITTSFFH >KZM91603 pep chromosome:ASM162521v1:6:26421436:26424004:1 gene:DCAR_021032 transcript:KZM91603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLNLTGELPAEFSNLTNLLVIDLSRNYINGSIPSAFGQMHLTNLSLVGNRIRGSIPPEIGNIDTLEELVLENNQLEGPLPAQLGSMIRLKRLLLSGNNFSGKIPETYGNLKNLTDFRVDGNGLSGKIPEFIGKWTNITRLDLQGTGMSGPIPLAISLLNNLEYLRISDLSGTNSSTIPDLKDMKSIKTLTLRNCLMSGEIPEYIGKLITLKSLDLSFNKLTGPIKDALEPLHSKMNFLYLNNNSLTGAVPKWISNSNDN >KZM91424 pep chromosome:ASM162521v1:6:25085266:25087713:-1 gene:DCAR_021211 transcript:KZM91424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKESRIFKLIPLFLYFFINIHLCFGADSISASQSLSGDKTIVSSGGNYELGFFKPGKSSKYYIGIWFKKVSEQTVVWVANREKPVKNKYSSELKIVDGNLVLFDEMQAQVWSTETNATFSSLVAVLLDDGNLVLRNGPSSTLWQSLDYPSDTWLPGSKISYDKRTNKTKTLTSWKNSEDPAPGLYTLEVDPINNQGVIMWNSYVSNKNETSFSYSSIRTLSFISRLVIDNSGQIKLLSWLDKTNKWSFIWSEPKQQCEVSNVCGAYGICNQLSLPFCNCLPAFRSRFERSWNLGDYSGGCVRDIELDCGKTNTSNGGKDMFGKYSYVKLPNNSQSVPFVSSAKGCKSICRSSCSCSAYAYHDSACFTWSGALFNMQQLSKDNADGKVIYIRLSSLEFSQKSRRVVYGVAGGSSMVVLTILVGFILARKFKRGSERAVEGTLVAFGYKDILSATKNFSERLGGGGFGSVFKGTMPDSTVIAVKKLEGLSQGEKQFRNEVSTIGNIQHVNLVHLRGFCSQGNEKLLVYEYMSKGSLDSHIFKADTSSSILSWKTRYEIALGIAKGLVYLHEKCVDCIIHCDVKPENILLNDDMCPKIADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGAAITTKADVYSYGMMLFEFVSGRRNMEQTRDGIVNFFPARAANVTVDGGEILNILDPNLNFIADVEEVTKICRVACWCIQDDENLRPSMSQIVQILEGVLEVNLPPDPRSFQLFLDMKNEEDIVFFTGKLPSLGVLIQSDPSWTSSLSMNRG >KZM89382 pep chromosome:ASM162521v1:6:294702:296225:1 gene:DCAR_023255 transcript:KZM89382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLQVLTDLAPPDITIKSCGCLGKCGIGPNAVMLPGAVFVSHLGTPARAAALLSSTCGGELGSWRKSLEALALKKRAEGEMEAIEIQPQGGIHVLYKDRAIARIAIGNVSDAIEDVKEALTLAPKYPEAYLIQGDAFLAIEQFDGAEESYSKALELDPSIRRSKSFKVTLITF >KZM89618 pep chromosome:ASM162521v1:6:2574631:2575455:1 gene:DCAR_023019 transcript:KZM89618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYETPQLQSHEDNTREQDESNAVTTTSSGGGSGRRVRGRPPGAKNKPKPPIIVTRDTPNALRSHVLEVSPNADVMESVVNYARKRGRGVCVFSGTGTVSNVTLRQPAAPSGSVITLHGRFELLSLSGTVLPPPAPPGAGGLSIFLSGGQGQVVGGSVVGPLLASGPVVLIAASFANAVFERLPLEDQAEDVNVNVNVTPSIGGDGGDDRSNNNNNQVQSTASPSSGVTSGGGGSLFNVGNPANNYPAAYNSAELLGWAGGNSNVAAARPPSY >KZM90935 pep chromosome:ASM162521v1:6:20522492:20537927:-1 gene:DCAR_021700 transcript:KZM90935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQIICSLAQTNFTTMISVGEAGEAFKPFVGFMNNSFLYHALTDSPMLEIPRLSQLWTSATCEGEQIKFQIDGVTHTIDSTDVNTALQIEAVQGEVFQEPASEEQLTKFFSVIGYSGPRTSPEGSDWYPSGGMDRKYLRKEWSMIMDAVNRAMSSKTSGWNEIPAYIRKIVHSMVHNYRIDVGKLLMPVFRTVLGKKDGRKPFVYYPRFFMLILNSVVGTINLDNVQCKESPSLKKNTHSILSSKPLNEELGLSITDFMDTIVKRLNLQIIGDFSVFSLSDENGVDLTIPNPHSKPFQGLENVDHSSCNYSASHFSNAEAQTMDALFQELDATDHSNPQEPSTHVVEEIVVTASDVVKDNVAVNPPDSNMDKDAVNSPIHDSTSLMDDDSAPISSLLKIKSSISVQGVPAQSLAPRLSEGEKKKKRKRIERSESKRIEGQGNSLAKGEGFEQSQIKKSVPSAKVLDLERTNVRGDEITQKKPKSVRTPKASKPSKQAGERLKSLSHKLSKVAKNLDTVGRNQVKLNTKVANLEEIMNEGFKAILAKMEEFQSASATSTKGEKCPVFVNARVQVEIEMEKAVEVPRSDKEKTQARSQGESQLHLLSSVATGIAEKLGGNKVEKVERPKEKGILINEGKVKPAVISHVSGKGKDKMYSVEHDDLIVQEREDLDDEQASLLMVDENENSKVFEDVKFNERINSLRAQSNRNPKKFSDDMRKTTVEAAVMVSKSNKWVISVRTEGGLSYCVSMGYLQRQEIAVIEAIKLKIKTDKSWLNENLKRILQNLVIEKLPEVVLDPLYIKFSSSVDGKYRSMNLDRVSSCKPKSVKEAFDYFKQLPTTEDRKIVLKVLENELKRLQAKKDAKKTSGKNDGGDDNDGKPDGGAGSSSQANNNGEGSSRAGNKADKNDAVNLVAVNIPKSTVTEPTVNKDSVTVSFKDTVRSDKEENTIMKKKYIKGKACAHRDLYLESFECRVKRPCLGKNGEKNDEETSSKPTTEFEEIVQPLATTMIEAECVDVRRATINNIAETRADQIVKVVVDWSEYRTLKGVKVIYSTGESTDLSYDLKEADYFMTKRLLMIVRGRDVKTHVFRHVLIKKFLELMDTHKFHPLDECEGEEPEPRVFSDGVLFENEDKILEFWSQESWKDKRIDLLEKLVSQLSILPYPEEQKIIPSLITIINHKKNEEYAKKLQHEKTQQCLLRNPDRLIFYNTSGSSEVISFDHLKRLDEHDPHIIELIKSQLLKVTNPEVLDLKNRALDICDSKRKEEREKKKKQEEEIKQTRRNLEKVQKKIKETEIDHKCARLNNQFSAKPGYVSPVQQDPLRMIIDGFEVKAIDFKTLNTALLRRMFRLLTGSRLEAEDRFIKDEYGMQFLCISKGPTELYYTFKDLQVLPHRILSLTLDCVSDYAKTEDEKKKVDVLQRFLDEVLKAQTFVKLMAINLQSEALKEAIDDLEWPGSSIQVSLQPAPPVVTFRDFMYYANTDLLTAFHCDRQESHRYKYKFLRATTSNIPSSVIKENRGSKLTIGRGGMSKVQHLVSVAKPSNSHSHADSAGYLQPSRIAYIEFFVKPEVEDDNADD >KZM91704 pep chromosome:ASM162521v1:6:27207777:27215085:-1 gene:DCAR_020931 transcript:KZM91704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLPSLSSSRTTLLALSATLISTFYLLSLTRPDPPDSGPDHSPLNHDLHNAINRIVNKMKQTGVAATVLWKSLTSVLCSANHEVRSGFESKVAALLADIAAANESRRLALVGAGGGAVVDWLIQMVARTGDSSGTQAESARALAYLIADPNVCEAVFERPHVVPSLLRFIFSTQPSKKQTRSSSFDNSDSLKGKSMLIAAIMDIVTANCESADKVVFKPSLPGNAEMRDIAAAIEVIEEGGLHLDEASGSDEDGDGKVKGIGMKVLGGTSVIGLARSNGLVELMHLDNAQYESIRSTPKTTSFNKIDDSLSQASLSSAAIPGLWDDLHSQHIAVPFAAWALANWAMASEANRSHIQELDCDGNAVMTALIAPERSVKWHGSLVARLLLEDQNLPLNESVSDWCLSLLSTVSQASKAEDIPLTQMALSAFLISIKRSPEAQKVAVEKGLHQMRETVKRTTKHKPTQEALAKVLELLSTGEMHLSIEESQKWSAILFPWVFDKNSTEAMRSSAINIFSCILEDHGPFSVPISQGWLAILLTDVLGSQKLKSKGSKLLKSGQVKTQIDQSNIVAATQIANQLTDSVVNLAGNQLGRATDSDESFPLADLLSLEPFVGPFKSLNKDNLPKTNAADSAIATLKGIKALTDICSEDPLCQNKMVDFGVLCLLRRFLLRDDYEKLAANETYDASRAMEAEERISSVPGEHTVANSTDSTSVRVPPTAHIRRHSARLLTILSILPNVQKVILEDKTWCDWLENCANGKIAGSNDLKTQSYARAILLNVLCNDINFRGSVNEDISNKKNSCSQFTDMIYLINPEKPHWKCPEREFVSPTKKQDSRVTGVVDYDDSEDKPLARVENDNNFSAAGESCKGSDIPVLDIVFVHGLRGGPFKTWRLADDKSSTKSGLVEKIDEEAGKQGTFWPGEWLSSDFPYARMFSLRYKTNLTQWSGASLPLQEVSAMLLQKLIAAGIGDRPVVFVTHSMGGLVVKQMLHQAKAKHNDGLVKNTVGVVFYSCPHFGSRLADMPWRMGLVFRPAPTIGELRSGSPRLIELNNFIRQLYKKGALDVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGYGELVVLESTDHVNSCKPLSRIDPSYKETLDFLYKLKKHMR >KZM89950 pep chromosome:ASM162521v1:6:7948757:7954836:1 gene:DCAR_022687 transcript:KZM89950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAKKEYEFLGEIGIEARNPGCFVNGVWKGNGPLVSSLNPSTNQTIAQVSEGSLEDYEEGMQACSEATKIWMQIPAPKRGEIVRQIGDALRGKLQQLGRLVSLEMGKILPEGIGEVQEVIDMCDFAVGLSRQLNGSIIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACLALVCGNCVVWKGAPTTPLITIAMTKLVAEVLEKNNLPTAIFTVFCGGAEIGQAISKDTRIPLVSFTGSSKVGLMVQQTVNQRYGKCLLELSGNNAIIVMDDADIKLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQSFLEQLLEVYKQVKIGDPLEKGTLLGPLHTTTSRESFKKGIDIIKSQARDFYSKGGKILTGGSVIESEGNFVQPTIVEISSDADVVKEELFGPVLYVMKFKTLSEAIEINNSVPQGLSSSIFTNKPEIIFKWLGPRGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGILSIMDRSCHWLKGLTLASILSFLKGWIQYAEADLPTSELVASFLVMDVLTKTRLVPSFEA >KZM89932 pep chromosome:ASM162521v1:6:7609249:7609557:1 gene:DCAR_022705 transcript:KZM89932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIWHYHGGCHKGKVITSDYEVLGVHGLHVIDGSTFVQAPGTNPQATVMMIGRYMGMKILRKRLGKAAGV >KZM90524 pep chromosome:ASM162521v1:6:16532070:16533167:-1 gene:DCAR_022111 transcript:KZM90524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQFVANYVIFPYLLLFLITSKQTHGSRAVQADGIDKETNVLQLHSMDVQGHPSSHMHHMDSSLIMFFKLEDLKMGNTMQVYFPKRDPSRSPHLLSKEKAESIPFSLAQSPSLLKRFSFVQDSPQAKAMEDTLRQCENKPIKGETKFCATSLESMLDFTRSIFGFDVNIKILATKHQTKSPTLIQDYTICQVPEEILAPKMVACHTLPYSYAVFYCHYQKSKSRVFKVPLVGENGDRVEAIGVCHLDTSQWSPSHPSFQVLEIEPGTSPVCHFFPADNFVWVPSPVVN >KZM90838 pep chromosome:ASM162521v1:6:19729165:19729371:1 gene:DCAR_021797 transcript:KZM90838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDWAAPLIASALFALLAPGLLFQLPGKHKPFEFMNMKTTIASMFLHTVLYGLLLILFLVVLDIHLLA >KZM90863 pep chromosome:ASM162521v1:6:19917977:19921910:-1 gene:DCAR_021772 transcript:KZM90863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLKLLLVVYVLWVFCISTTHELQSSETQALLQLRKHLEYPVALQAWENYNGDLCYMPSTLHVSITCHDNIVSELTIKGDKRDSVSQFYGYAIPNMTLSEKFSIDSFVTTLARLSGLRVLNLVSLGIWGPLPVKIHRLRLLEVLDMSSNFMFGSIPPELSRLEKLNTLTFDSNYFNGTVPDWLDLFSNLTILSIKNNRLKGLLPSSISNVTTLADVSLSHNKITGRLPNMSALSNLHLLDMRENGLDSELPRMPRGLTTMLLSKNSFSGTIPRQFSNLNQLQHLDLSSNFLTGEPPAALFSLPNISYLNIASNMLSGSLPDNLTCGDELGFVDISSNRLIGRLPSCLSSTSQKRIGMFSGNCLSTNTQHQHPESFCKVATVKKEKSRGRSKAVLIGVIGGIVFVVVLLTIFLLALRRRYSSREEALVQHTLPKLVQQNAPSGISLELLENARIISESAKLGTQGSQAYQVFSLEELEEATNDFDESAILGEGSAGKLYKGRLDNGLFITVRALPLFRKYSVRNLKLRLDLLSKLRHPHLVALLGHCIDGGGQDDSTASRVFLVYEYVPNGNFRAHLSESSPEKLLNWSDRLAVLIGIAKAVHFLHTGVYASISNRLRTNNILLDDHRIAKLSDYGISIITDDIETLEGKKEGSRSWHKSKPEDDVYNFGFILLEVLVGPIVSGKGEAYLLNEMTSFGSLDGRKRIVDPIVLTTCSQESLTTVISITNKCISADPTNRPSFEDVLWNLQYAAQVQASADADQKSNTSSH >KZM91581 pep chromosome:ASM162521v1:6:26201623:26203985:-1 gene:DCAR_021054 transcript:KZM91581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSRCLIKGGEDQFFVEAAKMDMIFEGKGKKMVCPNSASNYIPGILILPKSFCMDVSENVPDVVLLHVPPGVVWNGLYSKPKNLIQDLDKMMIYYSVKPYHMIELEYIGGPNFNVKIYNPYGVEVNYLVAENAESSEAVDRDFFNFSEIELDRLYGIMSSNVYRSGSALYDLPIRKSHLRKKDYIKVLKRKACRKVGLDESIDCVHLSFKNITFVVMLKWKNGKAYMDSKWNEFAMGGQLNEGDTCSFHLTGTPRKFQICVYEGDLLSKCNEKGIGHKTGVPNWFKIVTDVFLYAGQMEIPKVFTQIAGGCKNKHVQLVMGDGEVFAIDFCVSGNYFYGLKALADKYDIEHNDIMVFTYVTDSTFAVSWFKSSGMAYKYKAKKQGHLMGSLSDLREEVVVLSDSSDSSEESSEMENGQIPVEELAEEVHEHEQNNLSFRILLKKSHVDKKAHGVYCPRSIYSGYQSWKPLTTVRLLCEGNISCVCVLRIGKQCRFGRGWTEFTIVNELAEGNSLLFDYIGGKTFEVGVER >KZM91015 pep chromosome:ASM162521v1:6:21393332:21400635:-1 gene:DCAR_021620 transcript:KZM91015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIDGDNLLLKDFYFPPFDSIQAHHVRPGVAALLNKLENELAELESSVEPSWPKLVEPLEKLVDRLEVVWGAVNHLKAVKDNPQLRTAIEEIQPEKVKFELKLGQSLPIYNAFKAIKESSSWDTLSEARKRIVESQIKVAVLNGISLEDEKRDQFNKIEQELVKLSEKFEEHVLDATKKFEKLITEKKEIEGLPATALGLGAQTAVSKGHKNATAENGPWVITLDDPSYMSVMQHAKNRDLRKEVYLARLTLASSGELDNMGIIDQILKLRLEKAKLLGYNNYAEVSMATKMATVAKAEELLEKLRAASWDAAVKDMEELKQFSKDQGALEADDLTHWDTTFWSERLRESKYEINEEQLRPYFSFPKVMDGLFNLAKMLFGVAIEAADGLAPVWNNDVKFYCVKDSSGSPIAYFYFDPYSRPSEKRGGAWMDEVVARSRVMSRDGAPARLPVAHMVCNQMPPVGDKPSLMTFEEVETVFHEFGHALQHLLTKEDEGLVAGVRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGESLPEDIYSKLLAARTFRAGSYSLRQIRSASLDLELHTKYVPGGSESIYDVDQRISQKTQVIPPLPEDRFLCGFSHIFAGPYAAGYYSYKWAEVLSADAFSAFEDAGLDDIKAVKETGKKFRETILALGGGKAPLDVFVEFRGREPSPESLLRHNGLLAVSV >KZM92342 pep chromosome:ASM162521v1:6:32650720:32664518:1 gene:DCAR_020293 transcript:KZM92342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSTICAGLGIIDEDDDGNRTGYTPGEYCLDNLKDLLRFLRRDDPQMREVFKQVCKWNIVAKDLIPIIEHCQNDLKVLVFLTMPVEPGSNDIPQQIEYLWGLKTAISCSDIIAIIVSLLETPLENLESEAFTEDDWKLVQLVLTLFRNLLAVQGVSIQQKLGGSTSQFLSVRDRFLELLFKENVTDLILALTQHVGGPSEYFRQDNLLLLETVHYLLMGQESELVAKAIRKDTQEAGAAEATVSSLQSMMEEEEERRRLIRLQNLGISSQYTGSFTRFTMDGSKTLFKGNPCAASLDALLKTHKNPKGPAKRMAWDHGRLPSTNDNILQLLHEFINQLLSGGYNVLMQSVREDIEKEHPAIQNSDVIVFFKVAQFVTAFQYHKFLGSKPSDEENASEAFTNQSDSTLFQGSICGPIAETMNESMFLLVTSKWRYAFDALKETNEYNFLSAAGSLMRIMIRMLDMVLKQSQEDCMEPQTARILLYKLFYDQTDQGMTQFILNLIKSFDTHKQAKSDLADLIEIIHVIIRLMENLQARGTLRVSKKSRKRKTKKNFKDEKDNANEIVGDHITSQDEIGTSGCAIPPVDSSNTEPTSQANDGKENVSEPLQVNKEHTPNVGENSGSNKQENVSEPFQVDKDDAPEVEAANPGSNMQENVSEPLQVDQEDAPDVEAVDPEGDMPEMEGNNNNGIEDYGADDSSEGEQQLGHLDEVDFKISTLISALANTTIIQRLCWLLKFYKINSSSTNHYIICMLQRICDDLELSPMLYQLSLLTTFHSILVEQKTTPCRGYKNIVDFLTNLVRRMLRKMKASPLLFVEILFWKTRKECHYINCESMLKDLSNMRKDYNKMGQNSTDGADGSFEGRGWNRRSIADALGDDEADDFMPFHVAANQNEENPCTPEGNKFLKRSISNMEEANSDEGSNGKENSKDNQPGRVHKKLKVLGVNDDLDNKMKDLYEKHKGKENCIQLIVEELELDGKISNREVSRKLKQLGFKFPQKRRVLKKGVSDQVREDAKASASEVTHPDLQENSSGRGVLHTRKRVSAFSEEQEGMIISLFEQFKGQKRCSFMIANALDADGSFTAAQVSRKLKQLGLRVPQPKKSSSHLHLRDEEPDDMYDEGFDDADTLSSLRKRTKIKLNTNNGAEGPDEKIVEKVSPEDSDDEPLSSVVGSKTKFHTNDLAERPNQKIAKKVSQEDSDDEPLSTFVGKKTKQKTGNVAESTIQDITRIVSQEDPDDEYLSSIVGSKHEQPTEKGDIANDVSLHIDDDREDEVKFSSRKSPTAGDNQLHYQNLHDELGYQLADFEDDVTPVAPEKHVGSRRKLRMVLDLDDD >KZM89670 pep chromosome:ASM162521v1:6:3101318:3114304:-1 gene:DCAR_022967 transcript:KZM89670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENKKSENNVLRLVGIPVEFPYKPYGCQLSYMSRVISTLDRAQRDGHCHALLESPTGTGKSLSLLCSVLAWQTNCQSKLDSGGGGGGGGGGGGGFFPESGELIHKLLRLFVNIGKHLTEFPWQFCKLLLKKQKCPEYKNMHKVKGHPSLQKGGCNYVHDVEDLLKVGKAVKGCSYFAARSMAHDAQIIFCPYNYVINPVIRRAMEVDIEGAIVILDEAHNIEDISRDAGSFNAEEDALLQLQNELAELSLTDEMTYLPLFETLENIISWIRRRKNSLARQGFQNHCTSWTGEEALRELQEASISQQSFPILEQCAAGAIQATSDAEEDVAHLSGMSATVLEGLFTSLKYFYSEDGLRIHDYQLVVQNVVKKDSGNSFGDWTCSFGLWCLNPAVVFKQIADLSLSVILTSGTLSPMSSFSSELGVQFGTCLEAPHVIDVDSQLWAAVISSGPGNYPLNASYKTSDSYAFQDSLGLSLEEICKIVPAGCLVFFPSYKLMEKLSSRWQETGQWSRLNAQKSLFVEPRGGNQDDFDDTLKGYYNSICQGGRRDVGRKRKGKNMGLKNLKEIDITEKSKKGAAFLAVCRGKVSEGIDFSDDNARVVVIVGIPFPNVFDFQVAQKKKFNDTYKLSKNLLSGNEWYCQQAFRALNQAADERFLEGRNTAYISKWLRKSIRQYDNFDQSLEGLQSFFKDIKERVGKNAEALQNIDVKIDSVGLMGTHEVSTENKNKLNQTKCCIEEVACSSKLETVKIAPLQPGEVINLDCDTGDDRCIQSPPVAPSPDDHDVCIVRETPGVYASNVVASPKVLFRDGFDSSTVLQPLTDLPENSSHLNLPNTDKSLVTPERIMSADAKSVMPETESPLNASINSHIQKRRKSMGWSSLYVHHRSDTPEPRTPVGDSFTGSTTTAAYENSFIDRYYETNSPGSKCGRSIVDHTSTLQNCSSSSASSDHIANRRLQIFCSLCKMPLGLPENDLYVMCSITPLSKVHLASLWEKKLQPSAINGSPRIPMLICDVSSIDQQLFKITVEGGQGVWCKEDGCVFNTIFCPFCSHPNNCLGVQVMATDPSNVGLLNKILLYLDCLEIKNFEGSNVNNLSPSGRPGIDRSTGLASIEQFSYSPQMKNSEGWRTTRSKMRLPRNGLRSTADG >KZM90324 pep chromosome:ASM162521v1:6:14188857:14195768:-1 gene:DCAR_022311 transcript:KZM90324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALDDKARKWNSLNSKRYGDERKFGFVAPPKEALPPEHVRKIVRDHGDMSSKKYRRDKRVFLGALKFVPHAVYKLLENMPMPWEQAREVKVLYHVSGAITIVDEIPWVVEPVFVAQWSTMWVMMRREKRDRRHFKRMRFPPFDDEEAVLEYGENVVDVEPLEAVQMELDAEEDEAVYSWFYNNKPNFVSGRKWQFSVPIMATLHRLSGQLLSDLSDRNYLYLFDKEAFLTAKALNVCIPGGPKFEPLYRDVEKGDDDWNEFNCVNKLIIRSPLRTEYRIAFPHLYNNRPRKVRLCVYHTPMVMYIKTEDPERPPFCFDVLLHPIPSAKKDCQVSEEDGDDDFCLPEGVEPLLRSKPIYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWFKEHCPQSYPVKVRVSYQKLLKSFVLNELHHRPPKAQKRKHLLKSLRGTKFFHTTQLDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQYRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFAGRQPKGVAKTVTKQRVESHFDLELRAAVMHDILDSMPEGISQNKARTILQHLGEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLSRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIQFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDFYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTGDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLILDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVSDLLLLGLTRASEIAGTPQMPNEFMTYWDSKVETRHPIRSYSRYIDKVHILFKFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRNARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENGFVSVYSKNNPNLLFSMCGFEVRILPKIRMIQEAFSNTRDGVWNLQNEQTKERTAIAFLRVEDEHMKIFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKLGLNSKMPSRFPPVIFYAPKELGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGLSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELVALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSRPSLVADSNDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFNNQLIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFVFNPRTGQLFLKVIHTSVWSGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVLKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLNSISSYTAFSRLILILRALHVNNDKAKMLLRPDLTIITEPHHIWPSLSDDQWKKVEVALSDLILSDYAKKNNVNTSALTQTEIRDIILGAEVTPPSQQRQQIAEIEKQAKEASQLTAVTTKTTNVHGDELIVHTTSPYELAAFRSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGVSPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPDHEFLHDLEPLGWMHTQPNELPQLSPQDLTSHVQILENNKQWNGDKCVILTCSFTPGSCSLTAYKITPTGYPWGRTNKDTGSNPHGYLPTHYDKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTKNIKYGIKLGTPREYYHEDHRPTHFLEFSNMEEAESTAEVDCEDKFA >KZM89682 pep chromosome:ASM162521v1:6:3303002:3306654:1 gene:DCAR_022955 transcript:KZM89682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMGDGYVGTAQDAVRIRRLEKQREAERKKIQELKNKSATTKGQSGLLQFGSGTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEEIQMQKLKKRKIRVNPRLSFSDDLENGCEEEDGDNNNKESNNFGHRGFGKDPTVETSFLPDSNLAYIHALPLVCEAYFYSEREAEEQAERERLRKQWLCEQEHIKNEPLQITYSYYDGAGHRRVLQVRKGDSIGEFLRAVQQQLAPEFREIRTASVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >KZM92519 pep chromosome:ASM162521v1:6:34047186:34053421:-1 gene:DCAR_020116 transcript:KZM92519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCNKGVECIKQSEAEVVYSMDSPTATMTPGSHRSSNDENQRVKFLCSFGGSILPRPQDGKLRYVGGETRIVSAPRDISYEDLMGKMRELFEGAMVLKYQQPDEDLDALVSVVNDDDVTNMMEEYDKLVFGSGFTRLRIFLFSGLDQDGSVHFVNGDEMDNERRYVDALNSLNDSPDMRRLHYSESQVFGSLDDYQLNEQYLNQISHEGSLHNHRNNEMPIPPLNLRRLNIPQMGSGHQSITQRYNDMEAPWSPGYYSPGNQDPRPIMEVPASPSSSRYFMPYGEFPDRTFDRMPEEYNRQQQNRQPSTHQPQLQENVVWLPPGSVAGDSPGFPGNILQGPGNVHQGFGMFEGNKCEQCRTTFLRNQAHYDSHLKHGEQHMEMPNMVNGFQQVPCAECPPTRDTLMLNTEAKLHSPFYPREQNDPRPLCTDSNERARISLHQPNLRVDEQRLPTSGTARLSDHYIVDGSGMKFSAGHGNFPESQHVSSHYAHPEDHSWYMRPGHELGNEVFPDQTGVTGSSHIHIPLDDHGVRYGNPPVVYGMEKFYQQPHGHVPEHSIWRNHNAPLHYPPKYEVGTVPQQPDGIPGFYRGTYDSSPNFQVGLGNQNLLADPSLTVGGIDGSAVLEYPQDHSFNSIAIQNTLNNHVTSDMINSTRLPNTEPCADLASTLIDGRLVSQIVSTSDSKLDLEKEGKCANGVLKETNGTENFEDSNMPFVSGLQLKDKPDAIASRGAVNLNRLGPVHKGNGPEKPKGHETHSNFQTEQPPVDQLNFVPELIASVKRVAIQSAEDVKLKVQEGALSADLNDVMAKEACHNEKEAAVKEEIHNKSEAVAKEEIQNESEAVDLNGNLDLGSSGDNVDDTKIEPTKAEAEAFARGLQTIRNDDLEEIRELGSGTYGSVYHGKWKGSDVAIKRIKASCFAGRPSERERLTIRNDDLEEIRELGSGTYGSVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILGSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHEKNIVHFDLKCENLLVNMRDPHRPVCKIGDLGLSKVKQRTLVSGGVRGTLPWMAPELLSGKSNMVTDKIDVYSFGIVMWELLTGEEPYADIHCASIIGGIVNDSLRPQIPTWCDPEWKALMESCWSSDPAQRPSFAEVAQKLRNMAAAINVK >KZM91167 pep chromosome:ASM162521v1:6:22721701:22722774:-1 gene:DCAR_021468 transcript:KZM91167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASRHCFRIDTAELKVRIETKIGEKKAEKYFNLLDRYLSRQVFKSEFDRVCVDLIGRQNISIHNELIRAIVSNAAFSKTPPPRPVKRNASLSVKVPNGFQKSGLQSQCKDVLPRSPKKGRTPNIRERKFNDRPSPLGPHGKTHNVTFDDLTAKGQEHQNVMDLVSLGSRPPGEVNSVEDGEEVEQASGSPGIYSRSSVRAPLGISIHAKGSRKLLSYGGTPAVLNPSCYTSGELPGNNSLKGRLDQKLESEGLKISMDGVNLLNAGLDVFLKGLLKPCLQLAGSRSEHNHFNQVRNQAATGANVTRPVQYAQTPVKFFPTSMLDVRVAMELNPKMLGSNWPLHLEKISLGVSDNCF >KZM90710 pep chromosome:ASM162521v1:6:18394437:18397366:1 gene:DCAR_021925 transcript:KZM90710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAHLSVQRFLKTITEEEEREVQQQGEVEEQNDEEFYEEIEAPKFVDFTASDDPFRPDDCYWFCLRVGCDRKHEEELDPEEISKNFVLRVMAARSPNIRLRRALNGRNKSMKCPLSAPAKSSRSRLPRLAMVSSMSQKMLDTKEKVGTHPKVNLSSTPMVKGKQVASKYMTTPRNKRNVSNQASFRSVQNPRPTKATVLKSCLVAKTLVFHSPKKAIPTETAVELHTPLTKLCEGMKRLEITSQRKRLLGYTGKPAKGSKCTQNSVAIESSRKQRTIQDGKSMPLCHQNCKGKEAKSLKKVKSNKQIPTEQFEKNSEDASRKERIDTESKDGSALDTPVRKEIAQGEALRMGKTSEVVESGDSKECMSKHSSNTVINSAGNETNYLSNLDTKVVLSDESVSCHEIAAERNKHLKTGVSVKEVSVAEVQLPSGRNSNSSIGNVGDICSLVDPEGVNFPTSHALVEEGIEDKDIINKEDRTKKGSKNEIMIGDDKENGQAPDMNGYKAMHTTLPHGNTYAFKLLSITPIMHSC >KZM89379 pep chromosome:ASM162521v1:6:261797:263662:-1 gene:DCAR_023258 transcript:KZM89379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEMVVFVSAFTACSNVANSEKTQPTGLIFPIRKDTETLQYYTTLNISKPDNSVQLVMALGDQHTWFNCDDFDLPTYKSISCNTEKCKRYRGYDCMDCSLLIPVPPRCINNGCAVTYANPYALQAVNNSLAEDALFVQSTNGVSVGLTYKSPQPFPFSCSDLVRYLASGSKGMIGLVNTTTSLHAQMSSQFNLPHKFALCLPSTSEHFPGHMFIGGGPYIFPPYSKNIAKKLITTKLVSYPVDTDKIYTITDPYDEYFIDIKSISIDKKLVPFNASFLSINKDGFGGTTFSTKTPYTSLQTSIYTAFISAFSEAAAHRKMKRVDGPFDLCFNATSISRSKTGPVVPHIDIGFAGAKNDWRLYGANSMVSVSEQVLCLAFYDGGKYPRTSVIIGGYQLENYLIEFDLVSSEVGISSSLLTRNTTCSQSRAI >KZM90390 pep chromosome:ASM162521v1:6:14866092:14875416:-1 gene:DCAR_022245 transcript:KZM90390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGHNTNRGSGAASNKPEWLQHYDLIGKIGEGTYGLVFIAKIKSNRSKSIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHIDMSLYLAFDYAEHDLYEIIRHHRDKVNQAINPYTVKSILWQLLNGLNYLHSNWIMHRDLKPSNILVMGDGEEQGVVKIADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGSKHYTSAVDMWAVGCIFAELLTLKPLFQGQEVKATPNPFQLDQLDKIFKVLGHPTQEKWPTLVNLPHWQQDVQHIQGHKYDNPGLYSIVHLPPKSHAYDLLSKMLEYDPRKRISAAQALEHEYFRVEPLPGRNALVSTQPGDKVVNYPVRPVDTTTDFEGTAPLQPAQPASSGNAVTGGIPGQHVMPNRSLPRPMHMVGMQRMQPQGIAGYNIASQAGMGGAMNPGGIPMQRGVAQAHQQQQLRRKDPAMGMSGYPPQQKRRF >KZM90865 pep chromosome:ASM162521v1:6:19930282:19935469:-1 gene:DCAR_021770 transcript:KZM90865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSNSRGPSAQLEYQIHVQEIKPWSSSKVLKSLRSVVLQWKYSDKYSGSTSPVVPSFGSNAGDGKIQFNHAFKLPVNLLKVEDTLRKNCLKVNLFETSRDKTVKGQLLATAIIDLADYGIVKEAIHITAPMNCKRVTGNKAQPVLFLKVQPVQRNRATSLSRNGQLGNASMDKNGDKSVSALMSKEYAEEAEIVSCTGFDVTSHSSTSAPFSAAQFHGASSHKEKKTILKTVEDITKDVEVHQIQSSKQRLEPTDVKPANARDDSLKDSISCPSSTELSSDSESQENMHSIIYNSRESSFMSRTCSVQSSSSSITYDGGDENLIISSSRNDKDHSDNHFQASTKKDADTVTVLYGHAVNYNSKSYQVSGAERKILDENLHDIVYEQLNRSPSDNTKKDTMLENDIHSNSRENVIPDIDRSYHLKSVRSATDSRRSNGIVKSSHFVVKDKDIGVLRYAQTGPQNPICSERKDDKLYPEKIKLQQLQQRIDMLEGELTEAAAIEVSLYSVVAEHGSSVNKVHAPARRLWRLYLHACKEKSRSRIASTARSVISGLVLVAKACGNDVPRLTFWMSNAVVLRAILSQARENHILSPFSGNLSESTSRKGSNKKYLALKWKDSHSSLCKESVDDLHLNVDKWEDLKTFTSALESVETWIFSRIVELIWFEILTPPMQHSASNDMNNISTKLDAKTSYVGNIDQDNYSLEIWKKALSDAYEKICPIRADRHKCGCLHMISKLILEQCLARLDVAMFNAILRETADDISTDPVSDPITDVKVLPIPSGRSSFGAGAQLRTAIGIWSRWLTDQYDIKVDSPTKYWNEQEDGDDLTRRQDTDTFLKSFRLLHALSDLMMIPKDMLLSKSVRKEVCPRFTTTLIRQILKSFVPDEFCPELIPAAVFEALESKDPTEVGEDCIQNIPCGADAITYLPLSVSSVSYVLGDCGRQSELRRTGSLVLQKSYISDDELDELESVSGIKSFRPSPTLAKQDRTTLNEDGSNSSNVRYQLLREVWKSMP >KZM91558 pep chromosome:ASM162521v1:6:26032873:26035524:-1 gene:DCAR_021077 transcript:KZM91558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAAVAVKTPENKEKQMFKKSTWGDFKAEAKQISMIFTPMLIVTTSQYLLRFVSTLMVGHVGKLYLSGAVVSMSFTNVSGFSFLKLLILIQQDPDISREAGKFSIRLIPALFPYAILQPLVRYLQSQYLILPLLTSSVATLLFHVPVCWAFVFKFNMGSDGAAYAIGLSYWFNAIFLGLYAMYSPKCADTRAPLSWEIFGTIKDFFRFGIPSALMVCLEWWAYEIIILLAGVMRNPQLETSVLITVAVLHYFAPFSLGVAASVRVSNELGAGNPQAVRTTVWVVMVLGVIEVSVAAVVLYSLRHVLGRAFVSDKDIIDYVRRMTPFICLTMILDAVQGILSGVARGTGWQRLGAYVNLGSYYLVGIPMALLLGFLVHMRAKGLWIGLVAGSLVQSILLAIITSFTNWKKEVEDTKERVLEMKASDEKK >KZM91422 pep chromosome:ASM162521v1:6:25068412:25069633:-1 gene:DCAR_021213 transcript:KZM91422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSPSIDKNGIRKGEWSKEEDDKLRAYINKYGIWNWRQLPQFAGLSRCGKSCRLRWKNYLQPNVKRGNYTKDEEELIFNLHNQLGKKWSVIATKLPGRTDNEIKNFWHTHLKKRTEGLNSTLPSNEKKKKSRRQTSQISKQEIDEISFESDKGREIKSPTLSEGLTGSPNESCCTELSQSYSDAPLTDLFSWVQKNGARAKSCETSDGLLSQELNIEDYESEEGSASNEMPFRCSDVSDLMAWFGEDNSVTPSIEGLGDIWSQSFETDIYMINAHEQGLSDPGLVHPSSPATEEFFLWSYDLSDVCIR >KZM89979 pep chromosome:ASM162521v1:6:9112595:9114143:1 gene:DCAR_022656 transcript:KZM89979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGARELSTMEADIQMMCAAEVHLGTKNCIYIINLGKTWEKLMLAARVIVSIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGVISQGHKWEVMVDLFFYREPEETKDQEEEDLPVGDYVADYAAAPIGGADQWNAIPDAQWGGDVVQPAIPAVPAGTWTDAEYLCTG >KZM91311 pep chromosome:ASM162521v1:6:24035498:24038504:1 gene:DCAR_021324 transcript:KZM91311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVHSLLFIWISVIVSFTVTSSFSYSDTYEEHDDATNICKLTRSVQLERECRPVLSSVVSKSDLGSDKFYRIARDISFQNGDWTQEPKGSPLMPFDDTDMPNYNISAFESLLKLASFYIMGIDFAHQSDTAVGLCGLLSIGITRNRTMSYAPQKWSPWFHKSPGYSDLTILFEGLYVESQETGERLMCLLGTSLFPYSDIYANSYEYWVQHHSCKMDQSSNLDNDQIMLVLRYPQNFSLTNRAIIGEMRSLHRKSDAMYFDNVHISSMMGHDTKYQFSSEELVASACKDSSCHDTLVDENIHISKGFQFCDVFQRFANSEAFDIVVINSNCHSKSCNKLGPFVLERNLEGTNQTLDDFKLIVSNYRCVLGNNNSKRQKSAKVFAVFRALSSQENRHTSQSRSGLSGMTISAEGTWDASTGHLCMIGCVGIEKGSDECNSRICIYFPKTFSITQRKIFIGTISSMSNIDPYASLTFKKELRPKDLWNYYNEYTKSYLVYKYSKIELATKLLKRNKNLLYETFVKKFLILRYPSVKDQNNMTSLSLVSGELGFSTKVLPKILYRGSPLEQVVQLEVLTLGPMFGYYWEHQKVQTCEVKAADSNETSAFTEDEVHLNISAQLSLTGKPYSHVAELLVEGLYDPFEGKMHLIGCRSVPKFLKFGKENLTLENDMDCLIEVKVEYTSKTTRWLVNPTVKVSIVSQRAETTPLYFSPISLKSALITYRDNFSEVTFRQNFEFILRMVALLSSIAIVLRQLYYVDQKVDAVHFISLVMLGIQITGYTLPLVMNTEILFKWKAYQYPESRIINSGKSLWVERLDCALKCLVLVAFTLTFRLFQKVWDSLKLFTQVTTQTQEAPQTLRTYGKVPEWANDLEKYMAIVQDLFLLPQAMGNLQWQKHGKPLSKVYYIGFSVLRFVIRGYDYIRDPIFSWHFHKYDSVTSSVIFTKSENCVIMVIVVALAIIVHVQQSHLKI >KZM91739 pep chromosome:ASM162521v1:6:27463327:27463770:-1 gene:DCAR_020896 transcript:KZM91739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHEKCWFFICPDPFFTPQLQNYCTRQSQMAPGPNPDTPLAPGPDPDPDTPSQAPSVDPPAPAPKDDPPAPAPEDDPPAPSVDPPAPAPAPAPKDDPPAPKPAPKDDPPAPAPAPKDDPPVPAPSCIPRRHHHHGGGDLSEKWFCT >KZM92434 pep chromosome:ASM162521v1:6:33362741:33366406:-1 gene:DCAR_020201 transcript:KZM92434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATAASPSSLTLSQSLSLSRSLPAISQHPHRISLPTVNLSATSLKCSRRVGSRAAAVRASAAVDVAEKTETGLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEIMKYNPKNPFWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKSFRQWGSKTPGHPENFETPGIEVTTGPLGQGVANAVGLALAEKHLAARFNKPGSEIVDHYTYVILGDGCQMEGISNEACSLASHWGLGKLIAFYDDNHISIDGDTAIAFTESVDKRFEGLGWHVIWVKNGNTGYDEIRAAIEEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVDATRKNLGWPHEPFHVPEDVKKHWSRHTPEGAALEAEWHAKFADYEKKYKEEAQELKSLISGELPVGWEKALPTYTPETPGDSTRNLSQANLNALAKVLPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAMRLSALCEAGVIYVMTHDSIGLGEDGPTHQPIEHIASFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSVLALSRQKLPNLPGTSIEGVEKGGYIITDNSSGNKPDIILIGTGSELEIAAKAADELRKSGKTVRVVSFVSWELFDEQSAAYKESVLPADVSARVSIEAGTTFGWDKIVGSKGKAIGIDHFGASAPADKIYKEFGLTVEAVIAAAKELC >KZM89822 pep chromosome:ASM162521v1:6:5445763:5447505:-1 gene:DCAR_022815 transcript:KZM89822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPKMISLMSSDNETFEVPEAVALESQTIKHMVEDDCANTTIPLPNVTGSILAKVIEYCKHHVEAPKSEDGAVKPDDDLKAFDADFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >KZM91875 pep chromosome:ASM162521v1:6:28589004:28589324:-1 gene:DCAR_020760 transcript:KZM91875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKGCEIHFKSRLMLISILLAGVVLLSAAETNTGIKINPKIVDDSNKRSNGLTKLDKSPVPAPSNSPGKRVCYPKGHECRTDPTLCCHNCGCIMPVGVCFGINC >KZM91781 pep chromosome:ASM162521v1:6:27810350:27813247:-1 gene:DCAR_020854 transcript:KZM91781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDFWTANGSRNLDVGEVTHNKSSRLEQKRSQRWFLDSSDTDIIPNKKQIVEFPGDTSFSGLLTSTTSHWKYASNSQPVTGHFHERPFDPETERGMNFDHWNASSVGISTMNLGRKVLEDQFENSSLCNHNSGLDYSGIRKVKVSQVNDSENYMSAALECFCANGDSDIMLLSHPYSKAADASLTMGLPFNKKDESALTNTFNREDGSLISMGESYNEDDCHISIGQSYKIDNDPMIKSYFLNKDDTDVLAMMDNDAFKDRDDVIPVVQSFCKNDNNVTSIGQIFNKEIDATLCIGKSADVSSFSLGHTCNSMSNMGLPMGLSFSNGESTSISFGGLNDNEDGSPGEGLECSHDLLMVQSSVLNSEALNQKQLIDSNADLLASDSQMISHVDSFPHNKVEQKVNRKAPLNNFPSNVKSLLSTGIFDGVPVKYVSWSRETELHGKIKGSGYLCGCRLCNFCKVINAYEYERHAGCKTKHPNNHIYFDNGKTVYGIVQELRNTPQNLLFEVMQTITGSPINQKSFCLWKESFLAATRELQRIYGKEEDKQPQ >KZM89709 pep chromosome:ASM162521v1:6:3606404:3619802:1 gene:DCAR_022928 transcript:KZM89709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFSPKSQTLSLLSSSNSAFTSPCSLRRQFVGSGHIFRPPGNLCYRKKWKKKKRIGFDIDASRGRLVVVKASISSQSIVVLIAVVAVSAITAAYLNYRQRKPKQHELPGPVDDQNTVHDKEDNAELASSSHSRTAILSSLPVLQAQSNAHPVDLDLEEEEIVVKQNAQESKSSSDLALSETVSQLDAALVLPNHTEIKVDQVDKLRHEIESSAATVSLNCVVSGVVELDKPNDETIDEARVDKYAGNGDSVRRELHTFYEANQSHVPPGENSDSVKPVHSHASSSETYSIYPLNRSYINGAKFSTLDILPTSGNHEGRPHLGFSAEVSFRKSKGSGKRHEFFSQNEREMIDQNGHRSSLISSYIKEKHINERRSRSERSTAYRRLLKEGRLVDCLELLEDMEKQNLLDMDKIYHAGFFRNCKTQRAVKEAFRFTKLIPNPTLSTFNMLLSVCASSQHLEGAFQVMQLVQEAELKADCKLYTTLISTCAKCGKVDSMFKVFHEMVNAGVEPNVHTYGALIDGCAKVGMVAKAFGAYGIMRSKNVKPDRVVFNALISACGQSGAVDRAFDVLAEMRAETIPVDPDHVTVGALIKACANAGQVVRAQEVYNMISKYNIRGTPELYTIAINSSSLTGDWEFACNVYNDMREKGVIPDEMFISAFIDVAGHSNKLESAFEILQKAKSDGMNVGIISYSSLMGACSNAKNWQMALELHEEIKDMNIKPTISTMNALITALCDGDQLQKALEVLSDMKKVGLCPNTITYSILLVACEKNDDLEVGLMLLSQAKKDGIAPNLVMCRCLIVLHSVIGHLLFYMSEALCLRRFEKSCTLGEDVLSLNSGRPQIDSKWTSIVLRVYREAIIAGVVPTTEEFSQVLGCLRLPHDSSLRARLIENLGVIDSTSNNSNLCSLLDGFGEYDPRAFSLLEEAASLGILPSVSFKDIPIVVDVRNLQIHSAEVFLLTVLKSLKHRLAAGVKLPNIIILLPIDKIQVQSSKGDKMINLAGRTSRAVAALLRRLAIPYIGNESFGKIRMNGVVVKKWLQPKLTSPFRGKLSNLNSSQSHLGRNISLQQRNIRIGNLSLE >KZM90602 pep chromosome:ASM162521v1:6:17267086:17271450:1 gene:DCAR_022033 transcript:KZM90602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRSKLETKKMVAAEKDCSSKSKAKKVDPANPGKVSYGRKKTLIDSLYQDDDAKKSVMDRAEEVLRSIPVEYPRFSKPMLPSNVSYSFWMVNIVRVYGLDVANAALILMLIQDSKSRTYNKAKDSSTSRKSEKRVKKLGQFEDQSECDDCSAEVPGVEKYITPKKSKKRVKKLRLIEDHSERQGDDCNADVPEDEGSGITEYKRSEELNHCGTHLVQDHHLNSIGCM >KZM92800 pep chromosome:ASM162521v1:6:36399173:36403908:1 gene:DCAR_019835 transcript:KZM92800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLPRSLLQSNIATSEQESKLLSASNEASPFMSSSSKNQVSDRHQFHHQIPSGVMIQPNSSSSTLNPSSSFMDKDGAGPYDLGEFDQALFLYLDGQNPNSSVSLQEQNTAAAAANIGVRPPTLNIFPSQPMHVEPSSSTKVSAISLVNPAIVGSKRPLSETSEQKATVVNVPSADPQPPKGVKREGNSSRGGANPENDRPKTPDAKTLRRLAQNREAARKSRLRKKAYIQQLENSRMKLTQLEQQIQKTARAQGYATAGSSLAAEHGLPVGMGNLNSDAVIFDVEYARWLEEHQRMMCELRAAVQQHIGEDELRLFVENCLSHFNEVINLKSMLAKTDVFHLLSGTWKTPAERCFMWIGGFRPSEIIKMIPSQIEPLSEQQLMALYGLQQSTLEAEEALSQGLDSLNQSLSNVIVSDSLTSPANMANYMEQMSVAMAKLSTLEGFVRQADSLRHQTFHRLHQLLTTRQSAKSVLAIAEYFHRLRTLSSLWVARPRHD >KZM92381 pep chromosome:ASM162521v1:6:32995991:33002164:1 gene:DCAR_020254 transcript:KZM92381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRMKMLFTTLLLLMCAPKHIYSDSRLLVNMTLVRNASLLGAYCLDGSLPAYHLHRGFGAGVHNWLLQFEGGGWCNDVNSCLDRAKTRRGSTRYMNKWEVFSGILSNNASLNPDFYNWNRVKIRYCDGASFAGDAKFDNGFLGLKLRLGDLDSVSAGVEQNLDKNCTMSLYYPAQFHHIFVPPSADPHGHWNRCKLNPAACTPLQIDILQGLRREMLAALYIFYEYSRRGGMFINSCFAHCQSESQDTWYAADSPRVHNKTIADAVGDWYFGRRVTKEIDCAYPCDRTCHNLIG >KZM92548 pep chromosome:ASM162521v1:6:34259528:34262212:1 gene:DCAR_020087 transcript:KZM92548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKFASLVESNDAQKLSFSGYVHTASVFVVIPFSKLKSIRVSRLDNVELSDPVLEKASKFSSEFKKGKRNIWMRFRSLSKVKELTSDGRNEAKGKNGETGVLLCDKDLSDGGNSLDFFGGDVDRDLSVERCNVMLEGYERISDGKAMEFFRWMRSKGKLKRNVKAYKVALRVLGRRQDWDGAEIMIREMVSESGCELNFQVFNTVIYACNKQGFAEIGGKWFRMMLDMGVRPNVATIGMLMSLYQKGLVVQEAEFTFSQMRNFRIMCQSAYSAMITIYTRLRLHEKAEEVIGLLKEDKVIMNKENWLVLINAYCQQGKLEKAELALISMHDAGFPPHIVAYNTMVTGYGKVFKMEAAQSIFQNLEKVGLKPDETTYRSMIEGWGRMDNYKEAEWYYQELKRLGFSPNSSNLYTMINLQAEHGDKDGAVRTLNEMIAMDCQYPSVLGILIQAYERAGKFDQVPSVVTGLFYEHVLINQTSCSILVMAYVKHRLVSDAVKVLQNKRWKDHIFEDNLYHLLICSCKEFGHLEDAIKLYGSMRNSSKPNLHILSTMIDIYTVMNQFKEAENLYIKLKSSGVALDMIAFSIVVRMYVKSGSLKDACSVLEIIDNRSDIVPDAYLLRDMLRIYQRLDMRDNLSGLYYKILKTGIPLDQEMYNCLINCCARALPVDELTRIFDEMLQHGFEPNTITFNVMLDVYGKCRLFRKVGRIYRLAKRRGLLDVVSYNTIVASYGKGKDLRNMSSTIGKMQFNGFSVSLEAYNCMLDAYGKEGEMEKFKDVLQRMKESCCASDHYTYNIMINIYGEKGWIEEVSDVLAELKESGLGPDLCSYNTLIKAYGIAGMVDEAVSMVKEMRENGIEPDKATYANLVTALRNNDMFLEAVKWSLWMKQMGF >KZM90374 pep chromosome:ASM162521v1:6:14753186:14759112:1 gene:DCAR_022261 transcript:KZM90374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSDVKGGQQAIGGGGVGGPQLPLQQQASGGAGGGHNDALSLSASKLRDRDIMSKSDPMVVVYAKKRDESLVELGRTEVIMNNLDPIWIGKVPVAYQFEIVQPLVFHVYDVDTKYHNLPVKELKLKDQEFLGEASCVLSEIVTKQSRSLTLSLHNKNGPGLKNFGTITVHAEETAASRNAVEVVFRCTHLDNKDLFSKSDPFLRISRIVESGGAVPICKTEIVNNNLNPVWKPLCLSMQQYGSKDNPLVIECFDFNSSGDHVLIGKLQKSVADFERIHREKAGANFILPSSHHRGHEKVLKGQLFVDSFVEKQLFSFIDYISSGFELNFMVAVDFTASNGHPRSPGSLHYIDPSGRLNAYQQAIIEVGDVIQFYDSDRRFPAWGFGGKTHDGLISHCFNLNGSLSGFEVEGVEGIMAAYASALQNVTLSGPTLFSQVINRAADLAGQSLSHNSSKYFVLLIITDGVLTDLQETKDAIIKASDLPLSILIVGVGNADFKQMEILDADNGHRLESSAGRIATRDIVQFVSMRDVHAGQISVVQSLLEELPGQFLTYMRSRSIIPIGASDPQSTYPP >KZM89621 pep chromosome:ASM162521v1:6:2614149:2615773:1 gene:DCAR_023016 transcript:KZM89621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMKDSNRKKWNVIYNGLVSLIRKQQSELEILQDRIQLLNQSRISDANRIKQQRSQIRRLNKVREMERVVESAKARLFLSVKQTHSLTHKLVSETTESDLEDLKKWIDLLSPKCAELKEPLEKEVKKLKQDIEKLNSKKTSEVSALLKERDFVWNQYKTLETRLTDKLKKKEEEFKEADGKIRNLLAGMEELQASNKEKDDMIATLKNKMIKLEADSLTKSEEISLLSRELESIKKSKSDPVTPVLRSCMAKTGKNRITRNRNVTEKKELNSSRFAKELTNTTKSRRS >KZM92526 pep chromosome:ASM162521v1:6:34096799:34098413:-1 gene:DCAR_020109 transcript:KZM92526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLSCCVSDQVEEGMSSSSDSSWRIFTYKELHTATNGFSEEKKLGEGGFGSVYFGKTSDGLQIAVKKLKSMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCADADQRLIVYDYMPNLSLLSHLHGQYATDVKLDWKRRMKIAIGSAEGLVYLHHEVSPHIIHRDVKASNVLLNSNFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELVTGRKPIERLPGGVRRTITEWAEPLIANGKFKDLVDPKLGGIFDEIQLKRTIHVASLCVQSEPASRPKMKEVAELLKGYEIKGGGIGNAMKMRLKSVKYEENLMALDQTSDEDEGKYDESSAYGVFGAMNLQNMPDPYKRTKGDRRGKRG >KZM89533 pep chromosome:ASM162521v1:6:1714684:1724999:1 gene:DCAR_023104 transcript:KZM89533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVHKDISPNGPGSVKMVPEEADDLWLAYNLISKGDKVMAATVRKVLRETASGGRDSERLKLTLEIKAMDVDYDKEGSLLRIRGKSIVENAHVKIGAFHTLELELHRPFVLRKVVWDTMSSDALYQASDPSASADLAVVMMQEGLAQIFLIGKRYQIFRIIIGYTKYEFRLALLCCKYVSLVATFALDKFFENVAQAFLKHIDFQVVRCAVIASSGFTKAKRKQLRTIIENKSRIILVHTTSGYKHNLREVLDAPNVMNMIKDTKAAQEARALKDFFAMLSNDAYRACYGPKHVEVAHERMAVQTLLITDELFRNSDVGTRKKYVSLVDSTNSMPSLRAARSSSLPRPSSLLPLFRLRRKFKESKVWKKLVNIRDSCKRLRDDEDMELVTIVAGFIVVVAAVSYGQMYGQMMKISKFCRRSSNLLCSVLFKAVIKVLQEKDLIESSI >KZM91687 pep chromosome:ASM162521v1:6:27107448:27110398:-1 gene:DCAR_020948 transcript:KZM91687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQWGCCCLQNPAPRIVTNASHFSSHTALATNKAGSLTPKRSRFLPGFDESSRLSLMVSASRRRINEYSLLTYRRGFTGSASTSNQEVDLSSTEQKDVGVSGAEPFRGKAGSISFIGLTHQSVEEGKLESAPFNESASSVFWVLAPVALILSLVIPQFLAIAIDGFFDSELTSDIVSAICSEVIFYIGLATYLNVTDSTQRPYLQYSSKRWGLITGLKGYLSSAFFTMGFKVFAPLFVVYVTWPMIGLPGFVSVAPFLSGCLAQFAFEKYLDKHGSSCWPLVPIIFEVYRIYQLTKGAQLIEKLMFAMKGLPVTPQVLERSGALVSMMVTFQVLGMVCLWSLLTFLQRLFPSRPVAENY >KZM92810 pep chromosome:ASM162521v1:6:36454242:36455127:1 gene:DCAR_019825 transcript:KZM92810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMTTQKRKAVDQFNHDFSDFSLSSPATKIRRLDHVELAPIIEEEEEEPLQHEDEEQRIATEAGVDVENEERAIVLFNPANPFIHSPPPTFSLTPHILSRLKGQSHLSNLFNRSSSSNQDEETDTALDDGVIISNQCTAVVPWVKSNLSPCLPSSGLDASESTMMEADAENQQEEVATSMDIEQGYNAAVSSGNATEYEGHFHQWQQQHCMVPSQLPPPPPPHSAAAAATPVVWFN >KZM91833 pep chromosome:ASM162521v1:6:28184959:28218630:1 gene:DCAR_020802 transcript:KZM91833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEDDSFIRPDSHKQSRSSPLPKPGSEFSVVLSPPSMSNLHDHEEDCCSTVSRGGRRRFRNISGVYQVMSFAIRALNKDDVDPSYMTRIAKLVTSELISSKELNADWQRAAAGVLVSIGSHCPDLVRTSTVEALGQMVGLVARLQLKAALPRLVPTILELYGKDQEVSFLATCSLYNLLNASLLSESGPLLEFEDVKVILSSLLPVICSYNDNNDRSDFSMGLKTYNKVQHCFLTVGMVYSEELFLFLLNLIMVMASHCYLVGSSGELFVEYLVRNCAMSDKERDNLESFKEYSRSGSSYYSFQYKRLELKIGVCPSELRAISEKGLLLITITIPEMEELFARLLVLLHDPLAREQLATQILTIPKMKAYVSDTEDLKQDPSYQETWDDMIVHFLAESLDVVQDNNWIISLGNAFAEQYELYTFDDDHSALLHRCFGMLLQKVDSRSYVREKIDWMYKQADIACPTNRLGLAKAVGLVAASHLDTVLEKLKSILDNVGQSFVQRFLSFFSERAKHMDDSDDIHAALALMYGYAARYAPSTVIEARIDALVGTNMLSRLLHVQNPKAKQAVITAIDLLGRAVINAAGNGISFPLKKRDLLLDYIVTLMGLDDDNDIYDSSIELLHTQATLGLFALPNEPSDVVNPLIDNLITLLCAILVTSGEDGRSRAEQLLYLLGQIDQYVSSPVDYQRRRGCLAAYEMLLKFRTLCVTGYCPVGCAGSCTHIKQVNRSLQRNSNLPSAFTLPSRDALGLGNRIVSYLPRCADTNSEVRKISAQIIGQLFSISLSLPRPVPHTSVTNSEMSYGALSSLEDVIAILRSDASIDPSEVFNRVVSSVCTLLTTDELVATLSNCSAAISDKIKQSAEGAIQAVVEFVTKRGNDLNESAISSEVLASAGRDIVTKDISRLRGGWPMQDAFYIPGISLILSKYLNRTLNVQREAAAAALSEFARYSEGLSSLLEEIVEALCRHVSDDSPTVRRLCLKGLVQMSSIHVNQYTTQVLGVILALLDDSNESVQLTAVSCLLMVLESSPNDAVEPILLSLSVRLRNLQVCMNMKIRAHAFAAFGTLSKYGIGELRDPFIEQVHAVFPRLVLHIHDEDRSVRQACQNTLKRVADLVGMEEMTVLFSTHRISSDHRTDYEDFLRDIAKQITQNLASRSSTYMASIVQVFSVLVGQTSQSAHEIVRATCTSAIGLLLKASNPSSWRNARLDQLESSRNSHESDSSRM >KZM89493 pep chromosome:ASM162521v1:6:1244354:1245121:1 gene:DCAR_023144 transcript:KZM89493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSQDLSSRLFHWTKKVSNEDEEICPTIKSCSSISDEQKSKDALQVRAAESRISLSFTRKKLQAIAASRVHSVITAFAKNRAHLQQGLGARVVGTLFGCRRGHVHFVFQKEPNSTPAFLIELATPITGLVHEMASGLVRIALECSKEENVEKRRCRLLEEPTWRTFCNGKKSGFASRKACGPKEWKVLKSLRPISMGAGVLPAGNVGEGEGDEIMYMRANFERVVGSRDSEAFYMMNPDSHGAPELSVYLLRV >KZM90318 pep chromosome:ASM162521v1:6:14141382:14142575:-1 gene:DCAR_022317 transcript:KZM90318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARNKNHKTLVNLLSENPRNSSKRVQHFSRKRTSISNFWSAGLRRARISIPESTSDWSKLPEDLLRLLVDRLVVEEDFIALRSVCKYWRSAIPKDYWKRVPWLLAKKYESSKVVLKGLNSLSRNIDSLSSLFQTELSWRYWGSFTGWILSQNQLDYRLQLVNPLTNVVIELPRLGHPISKGMVFYSPSSDSLQPGVGIMAISHRYCGIAMLNAELKQWTYLSDNKHKSDFVDVVWYKNHIVAIRSSGTIVVFDEAGVAASLTPPLDKVGDHNALYLIESSGDLIILVQRHVILYGRHFEVYKLNLDTGGWIEVTGLGRHSVFVGESYSISRRINDDTGDTWKPSCIYYTTYNPISEKKLYCYNIVEKRLEYHHLGHVADVNKCYDFIWYMPAVGTK >KZM90707 pep chromosome:ASM162521v1:6:18383836:18387836:1 gene:DCAR_021928 transcript:KZM90707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYRCCETGFFVHIGIVVVLVCFAGMMSGLTLGLMSMSLVDLEVLAKSGTPTDRIHAAKILPVVRNQHLLLCTLLICNAAAMEALPIFLDGLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGAAVAPFVRVLVCICFPVAYPISKLLDYLLGHGHVALFRRAELKTLVDLHGNEAGKGGELTHDETTIIAGALELSEKTASDAMTPISDTFAIDINARLDRELMNLILEKGHSRVPVYYEQPTNIIGLILAKNLLTIHPEDKVPVKNVTIRRIPRVPETLPLYDILNEFQKGHSHMAVVVRQCVKAESYAVNESPTNMKDVKIDVDGEKPPLEKSLKTKRSLQKWKSFPNSGNNSFKGSRSKKWTKDMYCDILQIDGSPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >KZM91164 pep chromosome:ASM162521v1:6:22691950:22693005:-1 gene:DCAR_021471 transcript:KZM91164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTICDEDKCVKFKSAGSDHSRDEDALLQDQEDESLSLSDLSSNKKVEKHEKAQRKLEAQEEFNFSSCGGSFLEDSQMCAADEVFFKGKLLPFRHSISSETGLAMFRHDIRPFISRSQSMDHRYTSNSTGSPSGTSGTTTRSSSIRSSLSSGSTSSTIARVPQLLNRKPPRVKIRNQFHSHPSPTPQIRLSTSRHRNTNHTHHWSQKLRFFHMGGSVQTPEIKLADLKIRRNSTVNTGINFGSRNSNSSTGTSNSNASSDAKTKKKYWAFFNECKCKVDTVDQTAGRRLISVRKSNSCVNYETIGGDTKKEKEKGKQAMSRHRTFEWLKQIATADVSVNGRPDCDEFDRSN >KZM90696 pep chromosome:ASM162521v1:6:18235110:18237751:-1 gene:DCAR_021939 transcript:KZM90696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLYFPGEEPTESLFMKELKRRGMTPTSLLEESNRSYVDDEIRFKDEDGGFSNRNAVSTDMEKSLLNQRERSIALNSEGLEGLFPRAKLLLSLGGTFFLAFWPLIILTVASFTAVYLYFGSSFVHDGKDHAALPQYIDPYALLEEDRISQTAPRLK >KZM92267 pep chromosome:ASM162521v1:6:31951727:31951999:-1 gene:DCAR_020368 transcript:KZM92267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMSMFSSFDALMAESFGQKVGLSWPLITKQRAVSSEREKKEETHGASNKSSSVSKEKASPGNQENRLRKARFAPELDGVNCFESIIPY >KZM92244 pep chromosome:ASM162521v1:6:31785161:31788683:-1 gene:DCAR_020391 transcript:KZM92244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQISNSHGEHGRFEQILSQFLLKSLHIVLDSRVPTIHPYNRKNDLSLGAQAKKSDKWFSLVLGDRPAALDNLSFWHRNLMDPMIIDIILVQDVPNYLSQPSPKAQEETVIERWIVQFEYVRTTTPQGGDSSAAYKKTYKKLIILLRSLFSMMRLLPAHRAFRKLCSSSKSCDYDINYKVSSFSAPFSRSEEELMKQYNFSPVEAQQGCFSISVTYRESLSDFNLETSALFPPQIISDYVGSPATDPLRAFPSTGKGVCATSFPSRGFQSTSTHSERPHSWTSGIHRGASLPHKQPLSGSPPIYQSSGRSDLSSSPTDVYGHRIPNYRLPNHYKNNNFDAYQLSPPFSPSTSPSPPTHLTGGNFMQSRLRSETSPVSIPHPMLGRSPRYLSPNLSDTSRHSLPPLSPRNAKHDSSSQESPSGMRSLRKLDLSKAGELNSGMPISYTGQKLSGDTKDDSGRFSGLLSSSGSPRIGFSRSSSRISFPDDLDDCDFSCPFIVDDVDTSDLQSSQNLGVSKTLEVSSQVISTARKSHDAAVGALVHMFRTAPPLRQDSSCYSSRSMKQEIGQGAGSSSAFFLPRKTSDALEELRAYKDMKELLLSKSARMAAKEEA >KZM90775 pep chromosome:ASM162521v1:6:19089319:19091882:1 gene:DCAR_021860 transcript:KZM90775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLANRTMYLVFGFLLLAGFQTEALSYNDSASIKNSGKFLAWVQITEGDEAVVAAISYSRNSRKIIGSVIPQTGCWSMMKGGFVLDISTPAELYFETNNTNTELWVDSVSLQPFTKNQWRKHQLKKTNQLRKSKLRLHVFNKDGLNIQGAHIILNQMKPAFHLGCGIALTILDNKDYQKWFSSRFTAMTFDNEMKWYFTEQTQGEENYTISDAMFEFAEQNGISVRGHNILWDNIEQNPKWVQPLSGRDLVHYSIQRMGSVMSRYSGRVIGWDVLNENLHFNFFEDNIGPNASAVFYKIAQALDPETHRFLNEYNTLEYPEDLASIPSKYIKKVQEIRSFPGNEDMVVAIGLQGHFQRPNIPYIRACLDTLGAMNMPIWLTELTVDQKQPNQAKIMREVYSHRAVEGMIVWSGWKPTGCREMCLTDNQFKNLPAGDVVDKLINEWRTKKLEGVTDENGVFQCQIVKGLYNVTIEHPKTGEIIQREISVTRKNTEDIFVLL >KZM91596 pep chromosome:ASM162521v1:6:26344041:26344584:-1 gene:DCAR_021039 transcript:KZM91596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQELKAEGNLKDLVDERLGSEYNEKEAMVIINLALLCTNISPVVRPAMSSVVGILEGRSVMQDYFSDRSISSGRKQPADFADIQQQSYVTSSSGDSQLKAESTTHSWNTVSSTSRTDLYPINFGSNNGS >KZM92016 pep chromosome:ASM162521v1:6:29831859:29832317:1 gene:DCAR_020619 transcript:KZM92016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRRSSYTTGGGSQSISIDPNTFFQTQPQTSNVVASLKMFLKKPHAFPFLLFVFLLLTWVSLRLQHSASVSSSAAKTAAFNDQDLKWGDEKKSSHSVEEDYKANMIRFPSSATLSEITKDKRGWLMDPVSVALESGIPGNLFLSPSLPLDC >KZM89958 pep chromosome:ASM162521v1:6:8544646:8544864:1 gene:DCAR_022679 transcript:KZM89958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMDITEAFSYRHDGHPGPYRSPEPPEKTKPGKKSRPQDCLHWCMPGPVDTWNELMLEVIIREYEGTAGLS >KZM92653 pep chromosome:ASM162521v1:6:35146332:35147441:1 gene:DCAR_019982 transcript:KZM92653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWEEYVSDETMGTYAPIVVYWLYSGFYQMLSPLDNYRLHTRKEESEKNVVPLSSVIRGVLIQQLAQVVVAQALFMLTREVSSSGSIVQPVQQTLVIQILQIIVATLVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVTYAIGALYNHPLEGLLLDTVGGAISFLVSGMTARTAVAFFCFATIKTVDDHCGLWLPGNVFHLIFQNNTAYHDVHHQLQGTKYNYSQPFYPIWDLLLGTHMPYRLVKRPEGGFEARLMKD >KZM91256 pep chromosome:ASM162521v1:6:23591227:23597355:-1 gene:DCAR_021379 transcript:KZM91256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDARRKYSPGKEDAPFFAWPWKYLGSYKSGIDYKQIDNEWHWDNFIILQAIVASVICLIFPTLSELPIWETRGIICCIFLHVVLVEPLYYCVHRLSHVSVFFERYHWLHHSSIVPHPFAAGHSSFLEHLILSVIVEIPLLGTTLIGYGSISMFYSYILVFDFLRCLGHSNFEVIPHQIFDILPPLKYLIYTPTYHGIHHTDMGKNFCLFMPLLDILGSTIDEHYWNLHKETSSSAGRKRRIPDFVFLAHGVDVVSSLHAPFIFRSFSSKPFSTRFFLLPLWPISLLVIPTMWAFAKTFPVSFYELKGRLFQLWAVPRFGFQYFLPFAAEGINKHIEDAILRADRLGVKVINLAALNKNEALNGGGALFVSKHPKLRIRVVHGNTLTAAVILKGIPQDVTEVFVSGSTSKLGRAIAIYLARRRVRVLMLTKSTERFTTIQKEAPVDCQNFLVQVTEYQAAKHCKTWIIGKWTTPQEQRWAPPGTHFHQFVVPTIISFRGDCTYGSLAAMKLPDNVEGLGMCEYTLERGAVHACHAGGVVHLLEGWTHHEVGAVDVDQIDVVWEAALRHGLKPC >KZM92450 pep chromosome:ASM162521v1:6:33473967:33488130:1 gene:DCAR_020185 transcript:KZM92450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKEVTLEGFKSYATRTVVPGFDPYFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVVGGRNKYLINGHLAQPSRVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLEKKQNKVDDIDKLLDVEILPALDKLRKERTQYMQWANGNAELDRLKRFCIAYEYIQAEKIRDNAIQGVEVIKTKLSEIDANTEQMKREIQEMETKVSDLTAEKEARMGGEIKCLSDKVDALSRDLVKETSVMKNQEDNLMIEKDNVLKIDRNIEELKQSAKEKALAVQSAEDGAADLKGRVGELSKSLVDHEKEYQGVVAGKSSGDEEKCLEDQLADAKVAVGRAETELKQLQTKISLGEKELKAKSKQLLSKREEAVAVEKELIVRTKDVEDVKRALESVSFEEGKMEALQKDRTAELDIVQKLKDEIRMLTSRLGNVDFQYRDPARNFDRSKVKGVVAKLIKVKDRSAMTALEVAAGGKLFNVVVDTENTGKQLLQNGELRRRVTIIPLNKINSHTVPPRVQNAAVRLVGKENAEVALLLVEYDKELKSAMEYVFGSTFICKTTDAAKEIVVGGRNKYLINGHLAQPSRVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLEKKQNKVDDIDKLLDVEILPALDKLRKERTQYMQWANGNAELDRLKRFCIAYEYIQAEKIRDNAIQGVEVIKTKLSEIDANTEQMKREIQEMETKVSDLTAEKEARMGGEIKCLSDKVDALSRDLVKETSVMKNQEDNLMIEKDNVLKIDRNIEELKQSAKEKALAVQSAEDGAADLKGRVGELSKSLVDHEKEYQGVVAGKSSGDEEKCLEDQLADAKVAVGRAETELKQLQTKISLGEKELKAKSKQLLSKREEAVAVEKELIVRTKDVEDVKRALESVSFEEGKMEALQKDRTAELDIVQKLKDEIRMLTSRLGNVDFQYRDPARNFDRSKVKGVVAKLIKVKDRSAMTALEVAAGGKLFNVVVDTENTGKQLLQNGELRRRVTIIPLNKINSHTVPPRVQNAAVRLVGKENAEVALLLVEYDKELKSAMEYVFGSTFICKTTDAAKEVAFNKEIGTTSVTLEGDILQPSGLLTGGSRRGGGDLLGQLHALAEAELNLGHHQKCLSEIEAKISELLPLEKKFNDLKKQLELKLYDLSLFQSRAEQNEHHKLSELVKKIEQDLEEAKSSINEKQQLHKNCVAQVSLLEQTIYEHANNREGRLKILEKKIKEIKGKMQSASKDLKNHENERERLVMEMEDVHKEQTSLENQLASLGKQIANLTSEVEVVKKKVASLGNDYDQAQSELNIVRSKLRECDTQISFILKEQQKLQHKITENNLERKKMENEVIRMEMEQKDCSQKVDKLIEKHAWILSEKHLFGRGGSDYDFKSCDPYKASEEYAKLQVEQSGLEKRVNKKVMAMFEKAEDEYNALISKKNIIEMDKKKIRKAIEDLDEKKRDTLKVTWEKVTSDFGSIFSTLLPGTTAKLEPPEGASFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGKMIKTHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVASKHK >KZM90272 pep chromosome:ASM162521v1:6:13756693:13759717:-1 gene:DCAR_022363 transcript:KZM90272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVDYASSSDEENEEKQRVNPPPTQNKPTRPPPTQRTGPSVSQQAETSSGQGGSSLPKLPSASLVLNSPLTLPGRMNSDHSSKVEAARAENAARKRESNLSSTDRLSKVPKGNLIHSRNRPDTASGLLRPPQLGGRSNVVTEDISKLFVKKPSDPKSN >KZM91818 pep chromosome:ASM162521v1:6:28036769:28037144:-1 gene:DCAR_020817 transcript:KZM91818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSSSNTSSIKTVRVEVKGVVQGVFFRDWTVQNATQLGVNGWVRNRRDGSVEALFSGDSDKVDEMQQRCRRGPEHAVVTSFQCFPSSDVPGPGFQRKSTV >KZM90756 pep chromosome:ASM162521v1:6:18884579:18888726:-1 gene:DCAR_021879 transcript:KZM90756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITMSSTILSKSQTLDRSTILNGLHRVKVFPKNGRVSEASIGMVMVDGKVKSTLLPGEGGFLSHQNGRKGFLLNALPAGIEMQPDSIALGTLAAETIPIPSDFSVDGDEFDLDQPTAGFSSIQEAIEDIRQGKMVVVVDDEDRENEGDLIMAASKATPESMAFIVKHGTGIICVSMKEEDLERLQIPLMVSHKDNEEILSTAFTVTVDAKHGTTTGVSARDRATTILALANKDSTPQDFNRPGHIFPLKYRVGGVLKRAGHTEASVDLTVLAGFEPVSVLCEIVDDDGSMARLPKLREFAKRENLKIVSIADLIRYRRKRDQLVERSSAARIPTTWGPFTSYCYRSIIDGIEHIAMVKGEIGDGEDVLVRVHSECLTGDIFGSARCDCGTQLGMAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYVGLKGYGLAVAGRVPLVTLITKENKRYLETKRAKMGHVYYDLGLNGNSSAASENGHVSTEEPSTVTSVP >KZM92524 pep chromosome:ASM162521v1:6:34085063:34088248:1 gene:DCAR_020111 transcript:KZM92524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSPCKVVVGIPLDVEGSEELLSWAIQHLAQPNDTVIALHVLVMKRRKTVKTYQTQTRRAKAFVISVLGGFAQTCQFKQVNLEAKVAFSSSIGRGLVEEAQGISADYILIGSSKDSTNRAPKETRRYCFHNAPEGCSLVLIGRREQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCSLVLIGRREQPRQKVAPELIFHEDMLEKRAEFSPKTVLSSCEDKSLSTEEDTSSIGESSITESPLSNLSKEQPNNKKQMSPFQRISSFLRTPFASSGRKRIEAFVDKEKPQPLLRCFSYEEIAKATNSFHPEYLVAIGGYSEVYRGDLDDGNTIAVKRLAKDNSDQNKEKEFLMELGIIGHVNHPNTASLVGCCVENGLYLIFNFYPNGTLASALHGKVGPSLEWPVRYKIALGIARGLHYLHKCCKHRIIHRDIKASNVLLAPDYEPQISDFGLAKWLPSKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKTDVFAFGILLLEIITGRKPVDSSQQNILLWAKPLMESGNVEELADPSLERKYDVNQMDRLILTASYCVRQSSIWRPSMAEVLQLLMYGEDSEAAENWRLPKMRAEEMDDTCLLFGYELPSDIVFEDIL >KZM90406 pep chromosome:ASM162521v1:6:15555377:15555766:1 gene:DCAR_022229 transcript:KZM90406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKTTERRTVTPKKRLIRGGNDNEHSPAASMSVFDRLRKNLIEQDLRHQLDTKDAHKDRAEGPQSRAPSSQRKEKPQSKAKSQEKDRRPLKEVSAHDNEESSGSHNNEEVNKDKGQAYNDDYEVTPGG >KZM89548 pep chromosome:ASM162521v1:6:1916923:1929472:1 gene:DCAR_023089 transcript:KZM89548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWSVYANSPAHLAVARADYAALKRLISALPRLAKAGEVNTETESLVAEAEADAVSAVIDRRDVPGRETPLHLAVRLRDPISAEILMAAGADWSLQNENGWSALQEAVCNREENIAMIIARHYQPFAWAKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGNGYSSDDNNVSLPPGSLIVLSHKEKEITNALEGAGAQPSEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGNWKGKVYDMLHVMVSVKSRRVPGAMTDEELFAVNEDERLVNGADQDEYDDVLTAEERMQLDSALRMGNAEGPCDDEESDVQGGHENGCGTSFESCESNGSKEKKNWFGWNKKGSKAVGDNQDDSKSLKKYSKLAPEGSNQKLTESQRSSSDLHKEDAGDHKKNKGNKKKKKKGVNGEPKSESEYKKGLRPVLWLTPDFPLQTEELIPLLDILANKVKAVRRLRELLTTKLPHGTFPVKIAIPIVPTIRVLVTFTKFEELQPVEEFSTPLSSPTHFQDAKSKEAEGSQSWISWMKGSRLPSSDSEGRSFKDEVDPFHIPSDYTWVDANEKKRRMKAKKAKNKKNRKQVDQSLVEEAILELEPEVDADHQDSGDEVIKTEADDFLQDNTNVLMMALKELACQSVKRGQLRDQKRKGKWAGNVSELPLEKAHAQLERYQNLDDEETDSDKKLGERVLRDEDDLCLDETLYGNIARFINHRCHDGNFLAIPVEIESSDHHYYHIDFFTKEKVSALEELTWDYGIDFDDRSHPIDVFCRGMRREGE >KZM91088 pep chromosome:ASM162521v1:6:22025018:22026787:-1 gene:DCAR_021547 transcript:KZM91088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPPCYERMNVRKGNWNKDEDVKMIAYAPKQRTNWTDASKKTGPRKCGKSCKHKCSNHLRPDKKHENFTPQEEELIINLHAAIGSRWSIIAQQLPGRTDNDVKNYWNTKLKKKLSEMGIDHVTHKPFSQIISDYSSIGAFPNPNARVSYLNRDFTNPSFIQKQEPYSAAQFSNYTSTKSPESFLTNSIGNYANDTQPLDLLTQLQSITLVKQPPNCLTNQIMQPEICNEYASSSSSSSPPEILNEGWVPSSFGWRDFLLEDAIPPQTPVKENLEEVPAQGLLRQVENDMKQTDTEQLNEYDNDDDMLNSFKSPAPSSSANNSSFVEAMLDEEHDMFLEFPSLLEEPFYY >KZM91087 pep chromosome:ASM162521v1:6:22020031:22024479:-1 gene:DCAR_021548 transcript:KZM91087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISAHRGKLHKVPNIPREWLTPTPSISPKDFKLLLRRRSNALSLLQSSTPSNPNPNQLPHKLDSSPTPPHCDVNAPPNLKLDDKLQSEAASLQELQPPDHNIDNSELVEKVDNLALEISPGASNPNPVPQMDNKEDRKKEIEEKLKVLNAKKHDLVQVLKQIINAEEELKKRSTVQGPTVRPSVSLHVDVTNDSGSMTRHLTPRMGSDGLLRGDGEGGEADDASNHNTHSRSFLRMSSVSPSSDSPHKRPFHTAVPHSSRATPWASASPSRFAPTGQQGNLASVPTVSASGTNYIASSPSPAASGGTSVFRDARHRSPWN >KZM91373 pep chromosome:ASM162521v1:6:24609710:24613304:1 gene:DCAR_021262 transcript:KZM91373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGITFELDDEMCSDDEEKETMQEIVNNEKLTEGYLMLARDIEIMEPKSPEDVCKESLLDGRAGGGATVDSARQNLAATFVNAFVNAGFGQDKLMTIPSSETSSAETPGHWLYKNKGHGKASACASLGMIMLWDVDNGLSQMDKFLHNSDMHVIGGALLGIGIMNCNIKHEYDPAWATLADHTDREDSIVSIGAVMGLGLAYAGSRNKKVTDKLTINLVHPKSLDVIAFTAISLGLVHVGSCDVGVEQTIINALMNLSESELGDPLTRLMALGLGLLYLGKQERVAETAQVFNTYHNKITKYCNVTMLSCAYAGSGDVLKVQHFIGQCAQHLDEGETHQGPAVIGIAMVAMEEELGSDMAIRSLEHLLQYGEDNIRRAVPLALGLLCISNPKVNVTDTLYLLSQDPDMEVAMVRIAQGLLHMGKGLLTLSPCHSERFLFSPKALAGVVILLHACLDIKAIILGKYHYVLYFLVLAIQPRMLLTVDENLKPLAVPVRVGQAVDVVGQAGRPKTITGFQTHLTPVILAAGDRAELATEKYIPLSPILEGFVILRENRDHEEDN >KZM91917 pep chromosome:ASM162521v1:6:28925884:28926498:-1 gene:DCAR_020718 transcript:KZM91917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPEILSAPTSSLVPVFTFLLREAHVQGRDLRHVIHRRPRLLICSVELQLRPTLYFLQSTVGICKVNKLTHLLSCSVESKLIPRIEYFQQLGFSNKDTIAMFRRFPSLFCYSIKENLEPKFNYFVIEMGRNLKELKEFPHYFSFSLENRIKPRHKKCVEKRVCLSLPVMLKSSEERFRDRLEVFYSSSMPVRTSPLSYTTNYDT >KZM91759 pep chromosome:ASM162521v1:6:27634447:27641399:1 gene:DCAR_020876 transcript:KZM91759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDTSFLFSGIKFNRKKFAADFSKFKEKKESAVKNEEIFEDESSASEAETMPIVKKRKRKSKSTSSAPVEGFNVFKSSTSEIVPKEIDSSEVDSEAKKEYHRQMERDALLRKQHNIHVSGMNVPSPLQTFAELRSRYKCGSYLVRNLAELGFKEPTPIQRQAIAILLSGRECFACAPTGSGKTLAFVCPMLIKLKHASTDGIRAVILLPTRELAAQTARECKKLTRGTKFHIKLMTKQLVNSADFSKLHCDILISTPYRVQYAIRKKKLDLSRVEYLVLDESDKLFELGLVKQVDIIVKACSNPSITRSLFSATLPDSVEELARTLMHDAVRVIIGRKNSASESINQKLIYVGSEEGKLLAIRQSFAESLNPPVLIFVQNKERAKELYNELKYDDIKADVIHADLLQAEREDAVDNFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPDSPAAYIHRIGRCGRAGRRGEAITLYTEADVPFLRNIANVITASGGEVPAYILTLPKRKWKKHRPGREPISVKPQDEDE >KZM91662 pep chromosome:ASM162521v1:6:26901108:26901470:-1 gene:DCAR_020973 transcript:KZM91662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKVVTKELAVAVQGGSSSMADEQEAQQMVITPRKRGRPRKIINETEQETSAASASAAETADESKHKETKTGSDQEDVDEEEEEEQHNQKKTKQEQKQQPKPRSSRARRKSKPRKSSS >KZM92393 pep chromosome:ASM162521v1:6:33080650:33087054:1 gene:DCAR_020242 transcript:KZM92393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSSPSEWQLPAGWTSTVKTSKNGRKYKCYTDPSQKLKFLSKPEVIRYLNRVESNLPLKSEGSSEDPGPPRLPEKSKEMIVQSDKNYYTDPISGYVFLSLKDCKRYLKTGVLGKSSYKPKNKDHVDMELESDNISSPAIDQGKEVQDGGIDKPGTENKEEILTPTITEDHICLQYPNSDQSRSDVTESDLNSQSTQSLEQVEGKSDSNINTLVSSPVVEDYPKNLLENGAEIHDTDKFENSKNKSNSKRSSSFPRRASKRLAGIELDPVPIELVENGAVHETDRIQTRINKSNDKSSSDFPRRSSKRLAGVELDPVLELKTNDRTRRPAAQLSGEATTSFSGKTHDLPTTEELPGKFVLGTMTSEHQRLSLGLPPANLANYEEPGVQEKQLQFSEEQAGEKEPSPILKEQAAEEKPLNASGEQYEAEDLIMLSKENQGKKEKLLFVSKEETAEKEHANVIDSLLESSTNFSMADIWQDPCIEFAIKTLTGVIPIGEENQADKQADKNSGSSAEMSFSDIWSDPCIEFAVKTLTGDLPLDEDLSMQNLLQQQVSSSDTQGVSSTMPPNINSFCPTDYISQRCSAAEKPQNREQQVTAASRIPQSSSRSLQTSGSTVLHQSIQDRTSSQHP >KZM89540 pep chromosome:ASM162521v1:6:1770664:1773088:-1 gene:DCAR_023097 transcript:KZM89540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASEDYSFFGGAWSWLKALPLKVWSNIAHTASKAKELGQDDPRRIIHSCKVGLAITIVSLFYYFNPLYDGFGVSAMWAVLTVVVVFEFSVGATLGKGVNRAIATLVAGSLGVAAHHLAVLPGETAEPFVIGITVFLVASVFTFARFFPKLKARYDYGLVIFILTFCLISVSGYRDDEVIDLAHKRMSTIFIGGATSIFVCIFIYPAWAGDDLHKQLATNMEKLATFLQGFGDEFFKTSDSTIVEDRRASLQAYKSVLNSKGTEETLANFAKWEPRHGRFRYRHPWDQYLKVGAHIRQCAYSIEALNGYLNSDNQIPYEIREKVQQHCKKMSTECSLALKELALSIRTMTRSSAADAHVLNAKNAAKSLKSSLKTGLWVNAELLQIIPALTVASLLVEVVTCSVNLSEAVHELATLAHFKVTRASNHHQPRVIHRGTSNLSAHHAVTIDG >KZM90189 pep chromosome:ASM162521v1:6:12287221:12292315:-1 gene:DCAR_022446 transcript:KZM90189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHNKRPWILASLHSGVIQLWDYRVGTLIDRFDEHDGPVRGVHFHNSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHARQDIIVSNSEDKSIRVWDSTKRTGLQTFRREHDRFWILVSHPEMNLLAAGHDSGVIVFKLERERPAFSVSGDCLYYVKDRFLRFYEYSTQKETQVIPIRRPGSTSLNQGPKTLSYSPTENAVLVCSDLEGGSYELYIIPKDSFGRGDTVQEAKRGLGGSAVFVARNRFAVLEKTSNQVLVKNLKNEIIKKSPLPVPTDAIFYAGTGNLLCRAEDRVVIFDLQQRIILGDLQTSFVRYVVWSNDMENVALLSKHSIVIADKKLAHRCTLHETIRVKSGAWDDNGVFIYTTLTHIKYCLPNGDSGIVKTLDVPVYITKIFGNTIFCLDRDGKNRPIIIDSTEYVFKLSLLREKYSQVMTMIRKSDLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGIEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLDKLSKMMKIAEVKNDVMGQFHNALYLGDIQERVKILENAGHLPLAYITASVHGLNDTAERLAAELGDKVPPLPKGKQSSLLMPPSPIVCSGDWPLLMVTKGILEGGLDNFGKGGQDEDDDVAPNEDWVEDLDLVDVENIQNEDISMVLDDEETNEDNDGGWDLEDLELPPDLDTPKVSNVRSSIFITPSAGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLTRQLGIRNFAPLKSLFIDLHTGSHTYLRAFSSAPVIALAIERGWSEAASPNVRSPPALVFSFTQLEDKLKAGYKATTAGKFTEGLRLFQGILHTIPLIVVDTRREVDEVKELIIIVKEYVLGLQIELKRRELKDNPVRQQELAAYFTHCNLQLPHLRLALLNAMTVCYKAKNLNTAANFARRLLETNPSAENQAKTARQVLQAAERNMHDASQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCSSRFVPSQEGQICTVCDLAVVGSDASGLLCCPSQVR >KZM90414 pep chromosome:ASM162521v1:6:15733210:15733570:1 gene:DCAR_022221 transcript:KZM90414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM92669 pep chromosome:ASM162521v1:6:35276971:35280355:-1 gene:DCAR_019966 transcript:KZM92669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILALFAVLALLLIANTSHARPVSGEYWHVMNDEAMPMIQDLVDIRNSADFVLSERKGDTKVTEEIVYEKLTVNESDPIPDTTMAWVQTLHRPGKGTYWHVKNGEAMLMTQGLKDVRISVDSTLPNRKSATNVVEDIVHEKLSVDESDPIPDLTIYHGDSHLKGKDSCEDKSDHVPDLTIYHGDSHLKGKKNLYEDESSDPLPDLTIYHGDSHLRGKNSYVDESDPIPDLTIYHGDSHLKGKNSKVDESDPIPDFPSHTKKLKFR >KZM89487 pep chromosome:ASM162521v1:6:1201199:1207020:1 gene:DCAR_023150 transcript:KZM89487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYNRLPGSGQTTPSPSPPSSPRSPRHRQPRSKPKPHSTLAQRLSWFLISFLLRRQRILLLAPFLYISVMLFYTGKLSLDHVIPLAIKSRLSPGSVYRSPQLYAKLRPHMDADNSSMDAISTIWNHPKEGEWRPCTNTSSGGLPESNGYIYVDANGGLNQQRTSVCNAVAVAGYLNATLLIPNFRYHSIWRDPSKFREIYDQSFFISTLVNDVRVVDKVPGYLMERFDQNMTNVANFKVNAWAPVDFYKDIILPRLLEEKVIRISPFANRLSFDAPPAVQKLRCLANYEALRGWKGKFTRPGRVITPGAYRINGKCPLTPLEVGLMLRGMGFSNSTAIYLASGKIYDSERHMAPLLEMFPLLQTKEMLASEELAPYKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPQFLLGHRRYLYGGHAKTIRPDKRKLALLFDNPKMGWKSFKRQMLTMRAHSNAKGFELKRPSDSIYSFPCPSCMCRVNKKINSNTSSAT >KZM89773 pep chromosome:ASM162521v1:6:4630501:4631049:-1 gene:DCAR_022864 transcript:KZM89773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRAFVKNNQHDDAKMQSKYQGLLQDYLELQKEFVAKKKKLRSVIVKRDTLLGEVSFLRRRHKQLLKAKSVTPLALDYDQAHLPSSKGKFVESHRKLSADDTTLKD >KZM89574 pep chromosome:ASM162521v1:6:2231036:2234477:1 gene:DCAR_023063 transcript:KZM89574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRSESVADESEFPFSISVPPSLAVYCECFASGTYCDDCGCNNCRNNFENESARQDAISLTLERKPNAFRRMAGQHLILNAEDPAKTVLVEGCNCKRSRCLKRYCNCFRTNSLCSEKCRCMDCNNFEGCEERLASNNGKDASIFTKVVQANIVIHGEVEHSSYSSFQNCINRNDQNLQSLNKEDPSTFEAVQIQQPPAPATMDSSKVAYRSLLAGLIQPGDIRELSAHLVVVSDATKFFKAKYYSTNMRTVGESQMGIVDSLANQDVEISYGLLDLNPKTKYNFHGKLVDSGSTDTDQQNGKPVSHGTVELMCDEQASLFNHNTTPDMILDYGCNADVHAQQERLVLRHFCDCLMKLICRGKAKERQVMMSLRFDTDDQHEPVVLDHEEEL >KZM91627 pep chromosome:ASM162521v1:6:26642637:26643291:1 gene:DCAR_021008 transcript:KZM91627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGTCTQSSQGSTQATSMRPPRPNTLEPARPGTDRTANPGVPVTVLPATVQKPPEPARTGPARRMAGSSPECVGLLVFTEFVTSGHMPLRMILGPANPHHQVHHTACFTSKTPPVPKAYSFPPRS >KZM91561 pep chromosome:ASM162521v1:6:26062093:26062473:-1 gene:DCAR_021074 transcript:KZM91561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSDLSSSCQVAHHPPPPPPPPQPPPPPPPPQPPPPPPPLKSPPPPPPPPQPPPPPLRGRGFPESPGSPHANMIMEMIETDTRIIKSIRTTPADAALASCLTFSRYISLLMLHTLLLLSVIQVYR >KZM90169 pep chromosome:ASM162521v1:6:11958646:11959386:1 gene:DCAR_022466 transcript:KZM90169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYHTFVDTVTIEKANAMARYQRFRNLEKLLRFFLIVLLLAFLSWSSTRVPEAVQTLKISGKYVVDFSTYVLNPHIIFLIGNAIVLALYVLSRHMDVEKTSTTSVLYENYENTENKSSVNAIEEVEAPVEIVAAEKQIVCKEVSNLITEKESQVAEKAPVTQIKKLNRTVSEKLEKPKPRKQFRRSETEVRRVAVNSSNRQLCRNLSKFETVDTLSNEDFRLTVEAFIEKQQNFLREQRMAELTL >KZM90499 pep chromosome:ASM162521v1:6:16326115:16327825:-1 gene:DCAR_022136 transcript:KZM90499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMNLNAFVFFLVLFLCSTLLTNASRETVCKDTPYPQFCTSVLPSNQSASIQDHGRFSVQRSISTTSNLLSLVNSYLQRQSGYPEYTVRALEDCQLLINMNLELLSDTLQTIRGANSLNRLKGDDVETFLSATLTNQDTCLNGLESVTSPSTLKSTLEASLSNGTKLHSVSLAIVKKGWAGPNRRNGRRLLSVEEYNRKRKLYTIGANVKVNKTVTVNPDGSGDFKTINAAVAAAPNKTDGSKGYFVIHVVAGVYNEYVYLDNNKRYIMMVGDGIGKTIITGNRNVVDGWTTFNTGTFIVTGKGFVGVDMTIRNTAGPAKHQAVALRSGSDLSTFYRCSFEGYQDTLYTHSLRQFYRECDVYGTVDYIFGNAAVVIQNCNLYPRLPQQGQFNTITAQGRTDINQNTGTSIQNCTIKAAESLGSTKSYMGRPWKTYSRVVIMQSFIDSLIDPAGWSVWSGTFALDTLYYAEYSNTGPGSSTSNRVTWTGYHSSISSTDAANFTVNNFVAGNSWLPSTGVPFNGGL >KZM91624 pep chromosome:ASM162521v1:6:26613414:26618773:-1 gene:DCAR_021011 transcript:KZM91624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKPKPSLSPPLTLSLLLLSISLSSARLLPNATSSDLISDGVQNDIRIPPVLRLYRGSDEVCEKTYGFLPCTDTALGNLFLILVYGYLMFLAATYLSSGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGTVETAQAQVSVGMGLLAGSTVMLLTVIWGTCVVVGKCDIEDSVARDGKDTKGFSLVGSGVTTDIWTCYAAMIMALSVIPFIVVQLPQILHSTSGRHLAVLIALIISVLLLISYCAYQVFQPWIQRRRIDYAKHRHVISGVLKHLKMRAIGRLLTNRGEPNREVIDKLFKAIDTNHDTYLSASELRALIVGIRFDEINLNEDDAAAKLLKDFDTSFDDRIDLEEFIDGIKKWLDEAMGLKAFPEDVVGPESFKHLDRYHEKTKRDHFLLGDLSDEAVGDVKDSKWTTIKAVLLLSLGTVIAAAFADPLVDAVDNFSSATSIPSFFISFIALPLATNSSEAVSAIIFASRKKLRSASLTFSELYGAATMNNVLCLSVFLALVYLRGLVWDFSSEVLVILIVCIVMGALGSIRSTFPLWTTSIAFLLYPFSLALVYVLDYKFGWS >KZM92464 pep chromosome:ASM162521v1:6:33607075:33607595:1 gene:DCAR_020171 transcript:KZM92464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYQQQHQQQQPQQQSKAVQVAKAATAATAGGSLLLLSGLTLAGTVIALTIATPLMVIFSPVLVPAVISIFLIITGFLASGGFGVAALSVLSWIYKYVKGQHPVGADQIDTAKTKLASKAKEMKEKAEQMTSSQTS >KZM91351 pep chromosome:ASM162521v1:6:24388108:24389545:1 gene:DCAR_021284 transcript:KZM91351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSISEKWRQLSGEDHWQNLLAPLDIDLRQYILHYGERAQAAYDTFNFEPRSKYAGSSIYARKYLFANVGLEKGNPYKYDVVKYFYATSKLPVPGAFIIKSLSREVWSKESNFMGYIAVATDEGKAVLGRRDILVAWRGTVQALEWAEDLKFDLVSGSALFGEAANVKLHSGWLSIYTSEDAKSKFNKSSARYQVLDEIRQLLEKYKNEEISITICGHSMGAALAVLSGADIAVNVFNMTEGQSNKHCLVTVFAFGCPRVGNANFLKVFQSLENLRVLKVRNALDIVPLYPLVGYSDVGDELMIDTQRSNYLKDIFDLAKVHDMESAYLHGIAGTQGLHGDFRLEVRRSIALANKYTEYVKDEYLVPVNWWSLRNKGMIQNDDGSWSLDYHDRYDYDD >KZM89947 pep chromosome:ASM162521v1:6:7918340:7918811:1 gene:DCAR_022690 transcript:KZM89947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDVTMVPVEEVGSSSGAGSSSTKKAPKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGMCVS >KZM90948 pep chromosome:ASM162521v1:6:20746823:20753320:-1 gene:DCAR_021687 transcript:KZM90948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALSLTCNLSSQSHPLTKTSSYSCSLRRHFKNPNYSTNQSLICAALGNDNQHPCRVQKKLLEHLLVATTSVALSFTLFLSDVDSASAFVVTTPRKLQTDELATVRLFQENTPSVVYITNLAVKVTLADQSTFDAKVVGFDQDKDVAVLSIDAPKDKLRPIPIGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSAGSLIGINTAIYSPSGASSGVGFSIPVDTVGGIVDQLVQFGKVTRPILGIKFAPDQSVEQLGVTGVLVLDAPANGPAGKAGLLSTKRDAYGRLILGDIITSVNGKKVSNGSDLYRILDQCKVGDTVTVEVLRGDHVEKIPVNLEPKPDET >KZM92713 pep chromosome:ASM162521v1:6:35688015:35688389:1 gene:DCAR_019922 transcript:KZM92713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLIQRFSRVTDSTHYSLLRSETRVSSRTRRTSGVPEGHLPVYVGDESARFVVSAELLNHPVFMILLNKSAQEYGYEQKGVLRIPCRVFVFERVLEALRIDEVSQDVMELLNSFSDELVTYVD >KZM90413 pep chromosome:ASM162521v1:6:15725543:15725953:-1 gene:DCAR_022222 transcript:KZM90413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHDATQAPAPPPPPVNNGMLGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPVVDGHAVNEPPQVQPPVENGHASNDRVANGAHD >KZM91487 pep chromosome:ASM162521v1:6:25513001:25515937:-1 gene:DCAR_021148 transcript:KZM91487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQLISKLPKKTSNKSSNNDPETAFSSMNTINSAGADNSSKGGSLSGKGSIISNGNHGPQSSSVTLKSNQGMQSGSVAARAGQGISYAAYEALPGFREVPSSEKHSLFIKKLNMCSVLFDFNDASKNLKEKDIKRQTLLELVDYISSVTSKFNEVTMQEITKMIAANLFRALPSNHDDRLPDVYDPEDDEPTMEPSWPHLQVVYEFLLRFVASSGTDAKLGKRYIDHSFVLRLLDLFDTDDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYTFIFETGRHNGIAELLEILGSIINGFALPLKEEHKLFLVRVLIPLHKPGSLSMYHQQLSYCITQFVEKDAKLADTVIRGLLNCWPITNSSKEVMFLGELEEVLEATQTAEFQKCMVLLFRQIGRCLNSSHFQVAERALFLWNNDHIRSMITQNRKVILPIIFPALENNTRIHWNQAVHSLTLNVRKIFIDADQALFEECLAKFQEDETKEEERLEMRELIWKRLEDIAASKAASNGAVLVSNFSSSLAIATSANSPKITSS >KZM89804 pep chromosome:ASM162521v1:6:5163437:5166055:1 gene:DCAR_022833 transcript:KZM89804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPSTPKGIVITVPVLVLSLAVAAIFFFFLLASLSSPTPPSCSCPTSSSSSPAQQILSTRPQDVMWVRDQIQANGLHMQDNVLRKGINPRTRAQQLQDFIQYKGISHYEGEEANNHTAFPCPGELLVEEHHSNYGEPWAGGRDVYEFLAEAAHLTPESRVLEIGCGTLRVGLHFIRYLDPEHFHCLERDELSLMAAFRYELPSQGLLHKRPLIVKGEDMDFSKFGSGVMYDLIYASAVFLHMPDKLVWVGVERLAAKLKQGEGRIFVSHNIKFCSRLGGDECTKRLKSLGLEYVGKHTHDSLLFNHYEIWFEFRRSKS >KZM90988 pep chromosome:ASM162521v1:6:21135776:21137737:-1 gene:DCAR_021647 transcript:KZM90988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTLRRFALIATAFSITLVFLLAIPAGLYYYDISLNHLSRALSTTVDFSPSPHRKLLRSDAAATILEPDRVWGDKCSTSDITIYQGPTAPLPSGIPTYTVEIMNMCSTGCDISGIHLSCGWFSSARLINPRVFKRLRYDDCLVNGGKPLAVGRVITFQYANSFRYPLSVQRMKC >KZM91914 pep chromosome:ASM162521v1:6:28906664:28907131:-1 gene:DCAR_020721 transcript:KZM91914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHNKKRRVILSNTTVKLGCYSCRKSSKKFLFFFSKTIHFNLQKLKINPTSRTSSSASSHFHTPVLSFSPAPSRPLQGFGRIGSDSLAVEKDSDDPYLDFRRSMLQMILEKEIYSKDDLKELLGCFLHLNSPYHHEIIVRAFTDIWNGLYSSYR >KZM92647 pep chromosome:ASM162521v1:6:35114192:35118119:-1 gene:DCAR_019988 transcript:KZM92647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASSSNTSISLYPHFKHRLIPIIPPLSLSIHNPNPRKPVSSLRFTCRAAKQSTNPVNKKRKKKPNPDYNNNKASGNGNYKNKKNSDVYRGFGASDFVVEHGDVDDEEEDEGEAYQSMPKPPAGFVVDEQGKVLMVSNKRIVTIVDSTNNFPLDCVVRRVFRSSRGDDCLLLCPVDMPVQIMKSTNFEGWSAVSDEEVEALLPTAAYALAKIHMHLIHSGFCYTARGGFCYAEDNIFEFGTDDGEDVDGLPNEGVEITFFHLDGSHYMIYTPSDPLLFVATKDQDGRLQIADDELLEDPATISAIDEETEFNALVVRRRGCPAGVIVRGKITTLLVKSQNGRIVTKPAMKHHQ >KZM92099 pep chromosome:ASM162521v1:6:30539679:30555847:-1 gene:DCAR_020536 transcript:KZM92099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWLPDPGDTDSLSHPVEKMDSNVTARSTSQDSPLSIEYEHAMEALSSLINSKKRGEKHHKPGMGSKYKKLERMSMYIKILGLEEHIAGLKIIHVAGTKGKGSTCAFCEAILRESGFRTGLFISPHLIDVRERFRVDGLDISEERFLLYFWECWNCLRENVTEDLPMPPLFQFLTVLALKIFVCEKVDVAIIEVGLGGKKDSTNVIKEPVVCGIASLGMDHMDILGDTLREIASHKAGILKPHVHAFSSPQAAEAMEVLQDRSHDLGVPLEVAAPLDYKRLNGIKLSLSGDHQFINAGLAISLCKCWLQVTGNWKNPSENESGKHILPEAFLRGLSTARLSGRAQIVEDYSFKTCKLEGNEISSGNLTFYLDGAHSPESMEACARWFSNAVRAENTTLQLQASSSEVNNSENISGKRCIPNNEWEHNKTKQILLFNCMDARDPQVLLSRLVNICASSGSHFSKAIFVPNLSTYSKVTSGASVIPVDIPARDLSWQLHLQRMWEKIVNGRANLSCPCALVHLDVMLHGKLKMASAESLPSHEFLTESASCHSHENGFLHRSAVIPSLPLSIKWLRDCARENPSLRIQPENRRVRQDREERQVLNFIWAHTKWVDRGILERLNVGERKAIGEALNQIHWRSIEESTNTMAGNKNGVFQGVGRRGKTYKEVLRDLNQEAKNDLDDGWTKKEGSTVLYKGKQIKIDFTEITDQASLRGKVWPMDFSSETEPKMSDNVDVKGIERQRKNVPGLSKEEVIKDDPNSVVDIALGRELVLRRNSVSKEGEEQLSPQVDKWEVHNLSQVSTGSEKFITSVRESSGDLNARADLLAKRGAQRSEMARFWNQ >KZM91849 pep chromosome:ASM162521v1:6:28346536:28352234:-1 gene:DCAR_020786 transcript:KZM91849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSNLTSDGSTNGGPGLGDIPESCVACVFLYLTPPEICNLARLNRAFRGAASSDAVWSSKLPNNYQDLLHLMPPERYQNLCKKDIYAILSRPVPFDGGNKEVWLDKISGRVCMSISARALSITGIEDRRYWNWIPTEESRFQVMAYLQQIWWFEVDGVVKFPLPPDIYTLSYRIHLGRFSKRLGRRICNYEHTHGWDVKPVKFELSTSDGQQASSHCYLDENELDYAGGNYKRGRWIEYKVGEFMVSGSGLATEVRFSMKQIDCTHSKGGLCLDTVYIVPSNLKSQRKRNGILK >KZM90384 pep chromosome:ASM162521v1:6:14818556:14819380:-1 gene:DCAR_022251 transcript:KZM90384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFCKKSVYSLFTNLITPTTLTPQTTDHHHTPLPAGLTILTNLDRVPNPSNVIESSSLMLKGFPKIEKNVAPKINNNSWKQDDVDSQGRVLAGFDDDRLASCTELLGFESCDNYGSDYLDKTKTRVSIASRVEERRRERMKMKTKVFPPPLTTLNENGKPVFMLQPERKDGRLRLSVVQVHRRESLRCYRENGRLRMYLVKHDEGERAVNVGTGEDKQGEDRKGKKVAEEERKVPENCGGGEDCRWLSEVAGHHHHRHQHNMHGWGQQSVTIR >KZM92772 pep chromosome:ASM162521v1:6:36181459:36185368:-1 gene:DCAR_019863 transcript:KZM92772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELTQTNDEFWSKDVSYDQLQKFWADMSEQGRQELLRVDKQALFEKARNNMFCSRCHGFLLEEFSQIVMYQNRKTDGSQGDDDQDPSIHPWGCLATTRDGALTLLDGYLFSTSLKGIQNVFDNARKRERERKLNNPNACGVGGRRWKGPGTREACAVHNARIPLERMVGFWSSLGKESRQSLLKMKEEDFIERLVHRFDRKKFCKDCRKNVVREFKELKELMRIRKEPGFQCEISGDTVQVDWSHTFIDSHRTYHHFEWAIGSEKGKSDISNFQNVGLSGIVQVNGLDLRGLDACYVTLRAWKTDGDCTEFSVKAHTLKGQQCIHRRLLVGDGLVAITEGESIRDFFKHAEEVMKEDADLVDDDGNRLDGECSRIQKHAKTPELAREFLLDAATVIFKEQVEKAFRERTARQNAHSVFVSFALKYLEDSAHVACKEILTLEKQMKLLEEEVMEKNEEEERKERRRIKEREKKLRRKERTRETERHRDDCSPTDQQIALEVSIAESTMDNDKPDAIKKGKVMLSRNEQRTIGHASKNMHNSSDISTDENLTTSSDKNGSSGSDHLEYSVRKLKKRYKQDKNNKCSIHRQPGVVSGSGIMVKKSEQSYLDNHFGSSSMTTTGLNKHSRSSAAKSIIRDGRSKLHERVHCSNMMSDSGELPAHSCYQHSDYRGKVESCCMTTPAGQKYVCKPHPASLDMSKLSNFGNKLTLGDYITDSCGRLNLFVAENVPSSRSSTSSSDAMEPYKNLESSGALCYEVGGQKTVIIKGRGDVEEELAMGNNGPSGFHLDKETCQHSEELMESQIQMCSYLTETKEPSSNSISSSDSRSLCLNEGDCDGSSPNPQIIEPLSTLNSDDTSQQSEERDIPKKQDPFETKPNAPVKKNLNSDISQANDKDFSLFHFGGPFALAAAYKSDPLLEDAVGGLSLTKCIHQSKGDRTCSRKEFIREYSLFASCKGITFSIF >KZM91272 pep chromosome:ASM162521v1:6:23719681:23721244:-1 gene:DCAR_021363 transcript:KZM91272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVAGPSQMLVITGLGVNDIHLAKKKWIFPGQKCIRVDISPVNYTFDVQAMSAEKLPFVLPAVFTIGPRACDDASLLRYAKLVSAHDKLSNHVKELVMGVIEGETRVLAASMTMEEIFKGTKQFKQEVFDKVQLELDQFGLHIYNANVKQLVDVPGYEYFSYLGQKTQMEAANQAKIDVSEAKMKGAIGAKEREGLTLQNAAKIDAESKIMSTRRQGEGNKEEIRVKTEVQIYKNQRDAEVEQANAELAKQKAVWSQAAKVAQVEADKAVLIREATLQMEVEKKNALTRTERLRAQDLSKANVDYDIQVQEANAELYKKQKAAEAILFEQQKTAEAQRASADADLYARQRAAEADLYSKMKEAEGMAAVAEAQGFYLGNLLKQVGGNYSALRDYLMIHGGTFKDIAKLNAEAVRGMQPKINIWTGASGNGIAEGGSSSGSGGAMKEIAGLYGMLPPLLETVNDQTGMLPPTWLGTLPSDSSKVTKA >KZM92644 pep chromosome:ASM162521v1:6:35094617:35098407:-1 gene:DCAR_019991 transcript:KZM92644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEHEASSFETSEMLASFVASTPLLEQSWKLCQLANTKRDELVTQHVGEAIHVAFSGGGGMHGLDTICGNLVPLIDKSSKNREMFSAFERQEDEVVMVHSGFLHQFVCMYNNSTFQNQMLDILKERKSLVLTGHSFGGAIASLTSLWLLSYLHSISASFSVLCITFGSPMLGNKSLSRAILQERWAGNFIHVIGKHDIVPRLLFAPLDQVTNHLHCLLQFWHSSMKLEDLSCSEQSLFGTQNSQLLKFVLVHINAAAENLEKGAADLGSAFSPFGNYMFCSDDGAICMDNDIAVTKLLHVLFSTATVSSVHEDHMNYTGYVENISSQFLKRRGYVEVGDVPDHPNYDAGLALALQSSGISTSHEPAYEVAKDCLKMAKRMGRTPNLNSANLAIRLSKINPLRAQIEWYKASCDASDEQMGYYDSFKMRGASKRHFRVNMNRIKLARFWKEVIEMLETNQLPHDFHKRAKWVNASQFYKLLVEPLDIAEYYGKGLHRTKGHYIKHGRERRYEIFDRWWKDRKVPYEEQNIKRSNFASLTQDTCFWAKVEEAREWLDDLRHAENDPLKSALLWQNVEEFEKYSNGLVERKEVSIDVLAKDSSYSLWVEELKAVKSQLLRFPPQYAGFLVGEAV >KZM90788 pep chromosome:ASM162521v1:6:19260045:19260612:1 gene:DCAR_021847 transcript:KZM90788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHLYILWTLLILGVAHVSRASHHAAPTPAVDCSTVVLNMVDCLSFVTSGSTVSKPEGSCCSGLKSVLKSNAECLCEAFKNSAQFGVSLNVTKAMTLPAVCKISTPVNNCGTSAGQAYFLP >KZM89607 pep chromosome:ASM162521v1:6:2472697:2474227:-1 gene:DCAR_023030 transcript:KZM89607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKLSLFFLISLLIATLVPAHTLIRQVVVDSDDDVHHHFSHFKNKFGKSYGSKEEHGYRFSVFKANLRRAELHQEADPTAVHGVTQFSDMTPDEFAEKHLGLRPLQFPKDATKAPILPTQDLPASFDWRDHGAVTPVKNQGSCGSCWSFSTTGALEGANFLATGKLETLSEQQLIDCDHECDPEEPSSCDAGCNGGLMNTAFEYTLKTGGLMREEDYPYTGTDKGACKLDKSKIVASVHNFSVVSLDEDQIAANLVQNGPLAVAINAVYMQTYIGGVSCPFVCSKNLDHGVLLVGYGESGFSPIRMKQKPYWIIKNSWGETWGEQGYYKICKGPNVCGVDSMVSTVVAAHTTPH >KZM89385 pep chromosome:ASM162521v1:6:312818:322158:1 gene:DCAR_023252 transcript:KZM89385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEETNTAQSMATSHTNNQQGMEAGDDKSTGNVSEVGWFILSEEQQHIGPYAVSELREHFLSGYLTESTLVWSEGRTEWQPLSAIPGVVTGIAQQVAFPSNNGDEYEQWQKEVMEAEAQAQAEMLKADSSKLDDDAERPSTPPDGEEEFTDDDGTTYKWDRGLRAWVPQESESNIKSSEYDVENMTFEKEEEVFPTVDDAIGKEDVTVSSEVAEPVPEAKRKSPEKPADKKEPNKPPDSWFELKVNTHVYVTGLPEDVTFDEVVEVFSKCGIIKEDPETKKPRVKIYVDKQTGKKKGDALVSYMKEPSVHLALQLLDGSPLRLGDKNPMSVTVAKFEQKGEKFISKQVDKKKKRKLEKVEHKMLGWGGRDDSKLLIPTTVVLRYMFSPAEMRADEDLRAELAADVEDECAKQGPVESVKVCENHPQGVVLVRFKDRKDARKCIEVMNGRWFGGRQIQASEDDGSVNHAAIRDLEYDAKRLEEFGAELEAD >KZM91482 pep chromosome:ASM162521v1:6:25484493:25486992:-1 gene:DCAR_021153 transcript:KZM91482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDPKFIMPAAFVSFKTRWAAAVCAQTQQSSNPTVWLTEWAPEPRDVYWDNLAIPYVSLTIRRLVVAVAFFFLTFFFMIPIAIVQTLANIEGIEKAVPFLKPMIEAKAVKSFIQGFLPGIALKIFLILLPSILMLMSKFEGFSSVSKLERRSASRYYVFQFVNVFLGSIISGTAFQQLNKFIHQSANEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIMYHLKNFFLVKTEKDRKEAMDPGSIDFYTGEPQIQLYFLLGLVYAVVSPILLPFIVVFFALAFLVYRHQIINVYNQKYESAAAFWPDVHGRIIVALVVSQLLLMGLLSTKGAAQSTPLLIALPVLTICFHRFCKGRYEPAFVRYPLQEAKMKDILERTREPSLNLKEYLQNAYLHPVFKGGDDSDSDPEFEEVELEPTLVPTKRISRKNTPAPSRKSSGSAGPLLYDAQGITTP >KZM91324 pep chromosome:ASM162521v1:6:24141329:24144493:-1 gene:DCAR_021311 transcript:KZM91324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFSRNSSTVNHIGHSGLSVDDDFPPLSKIRSGAEGKSAVTESNAVGNLLPGNEDDLLAGVMDDFDLSDLPTQLEDLEDELFDSGGGMEMDFDFQDSLLTGVSSLSMSDGVHNGIGSHSLTNSGGTVAGEHPYGEHPSRTLFVRNINSNVEDSELKSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDASVSNDDLRNIFGAYGEVKEIRETPHKRHHKFIEYYDVRAAEEALKALNRIDIAGKRIKLEPSRPGGARRNLLQQLSQELDQDETWTFRNQVGSPLNSPPGNWTHFGSPVSVQGFNLSPGWETLSPVNSNQVKIHGLASILPARLSNPVKIAPIGKESKEHGRSLQGVAYQHSHSFPDQKPSASPIAVSSLGDSSSNPSGVGTLSGPQFLWGSPTTNSMSSNTSAWPTSSTGVSFTSNGQGKGHLFPSRPSTSIGSHHYHHVGSAPTGMPLDRHISYLPESPEISFLSPVAYGGLNRNNRSYMTNIPLAGLGIGIAPLGNMFENGSPSSRMMSIPRNGPIYFGNGSYQGTGLIHDGLAERARARQIEYAGNQLENKKQYQLELDKIMSGEDRRTTLMIKNIPNKYTSKMLLLAIDENHGGTYDFLYLPIDFKNKCNVGYAFINMISPSHIISFYQVELLLFALL >KZM91970 pep chromosome:ASM162521v1:6:29476238:29480432:-1 gene:DCAR_020665 transcript:KZM91970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNMMSFSHLKTHKTAKTSVFVIWILIVFSWFVIWQSYYIKYKDPNQPLSARINDLLTHMTLAEKIGQMTQIERKVASTDVMKNHFIGSVFSEGGSVPAPRASPEAWVNMVNEIQKGSLATRLGIPMIYGIDAVHGHNSVYGATIFPHNVGLGATRQVFAMQDPVLLKKIGAATALEIRATGIPYTFAPCIAVCRDPRWGRCYESYSEDPKLVQAMTEFIPGLQGDIPPKSQKGFPFISGREKVAGCAKHFVGDGGTTKGLDENNTVISRNGLLNIHMPAYYDAIAKGVATVMVSYTSWNGVKMHANRDLIDGFLKNTLGFKGFVISDYKGLDRITNPFHANYTYSIEAAISAGIDMVMVPYNYKEFIDGLTFLVKNKYIPMSRIDDAVTRILRVKFSVGLFEKPLADFSMAKYIGCKVSLLVYQLPYLSSTFYDIVDSVIFSWNLVLGTQEHRELAREAVRKSLVLLKNGKSADKSLLPLPRKASKILVAGKHADNIGYQCGGWTIQWQGSDGNATVGTSILNAIKKTVDPETEVVYQEYPNTDFLKSNQFSYAIVVVGEHPYAETNGDSQNLTIPEPGPSIITSVCENIKCVVVLVTGRPVVIQPYIASIDALIAAWLPGTEGQGVADVLFGDYGFTGKLSFTWFKTVDQLPMSVGDAHYDPLFPFGFGLKT >KZM89877 pep chromosome:ASM162521v1:6:6676589:6699954:-1 gene:DCAR_022760 transcript:KZM89877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNSRSSSKCKDDGSNGLKKKVVNGTGSAATGSGAGDSYSLRKSVRDSSSNKEVPATSQSTRRSERFVKSASVSPVSNRKSGRISKELTPSPLRRSDRGKKQISSGSLGQKKSEEKVSVAVDLDQMKTKREKSLSELTGENKCEGKKSGRGKKQGYDGRAFRALFKRQSNVKAAAGMLNFLIISDKNGISSSERDSVVEETSAIAEKLVVDLSTEEQGQTPEVAGSSLTRKSQNDGIDVERGDDTTLSKRKIDLVVDLDASATDGRREDCTSSSDNLHSSSGCKTHSVFRRCATCSKRQRFCDGIECKMSCDVSCSNHSTHDAPAGVWHCFQCLNNKMQAGACSLPGSVEAIWNAREAEVSNAEGLQKEKQYFVKYRGLAHIHNQWIAESRLLLEAGESVEEFNRKREVVKWNEEWVVPHRLLKKRLLLAPGQENGAAAEISSSKCEWLVKWRGLDYINATWELDTSLGSAVGQNLIKEYENRHKKALGDPSADKDNHGYVVELQKLPAGSPPGLGPSHLDTLNKLREFRHKGQNAVVFDDQPFLIVTTSFWLPVWESEFLRVAPSIDVVVYDGSSDNRKSIRTLEFYDDGGGIMLQVLLSSVEIIVEDLHFLESMKWKVVIVDECQQSLISSQFEQIKMLVADVKLLLYGGPLKSCDHPYIVDPSLKVLITKDLPPINFLDVEIRASGKLQLLEMILSQIRRQQLRVLLLFQSVAGSGRDTLGLGDILDDFLRERFGENTYERLDGGAVSSKLRQKALNSFNKGSGRFVFLLENRACLPSIRLVSVDTIIIFDSDWNPANDIKALNRISIDSQSKQMKIFRLYSAFTVEEKVLILAKQGLSLESNLENISRATSNTLLMWGVSSLFNRLDEFHSTPDANVSSEQTLARKVVDEILALLSQHGECDGIDNYSISKIQQRGGVYSSNLRLLGEQQVISSDCEHPHIFWENLLKGRNPKWKFLTGQTHRHRKKVQYFDDSPKQAECEAVEVGKKRKKGGANFSPGLEQNKAIGDKIAGASGIPEDHGLCCMERQDASVTDLLNSSNATTIASEKSRVPDAQNNFNLSVKTSMLKLCEILKLSKKFRKQIAKLKQKQDEEIKEFNRSWQAQREDIEKKQKVESAIIGAMYTHEALRMDKLKASDNECAKKLEELERQKEISFKQLKARHLDALSDENRKLSQGAKSATPFVTEVAGQKELPLPQSGVQNEGEYSRVGEYGSPTVSENVPTLSRLPNLIACEKNIVEKVPGNTCGMISNQASKDDEVENMALVNVPVATSKQPIIIDGSVNIHENTGSFCRNQSKNKNPEQNVLNDPGRIILSEPLKRIPEKVIGDANSLELKTPAVEYHAENDTVDGTSSETPNVLENHTHGGEANSSADVKSSSTEILKLPEKQPALSTACNQVEVSALQVFGAEKVSHVEQLQSEISTVPVLGHTSSDLPPASGSAPRLTNERDTFPQNRSENTMELSGQDFSLDGQIAEENDQARHLANEHHEIPPRLVEHPTELPDQVLPLLGANVDLHTTTDVIETPLPQNQPDLPSSTLDHQPVHLSTYLLNSEAVPQVNESTTELPRQAVVSTRVNMSVQGQRAEHQVPSRIPKVTSYSDPLQNQLEGIRKETEQAIKLHEEGKMRLKFEFEESVAQLRRNYEAKCKEAEDAFLWKKKELDTNHNKVLVNKILADAFRSKCVEPSRYTGSQHAVHPGRGQHLNQLPQHTAPRPSPITVASSAGQPASSQQNTALRSQPASRLHLNTVSSMARQPSANQQNTTLSSQYGTRILPVTASSDSQPAATQLHTTLLTQPEPGNNFVPVSSSAGQPATYQQNNEPLSQPSARISPISLASSGAHSASGQQSTQPPLQIVHQSAALFSSISTRPPQINPITLSMGNVRVGNENRARAPAPHLQAFRSASSVNTSAQRMAPGHLTPTSLPASSSYPLPCSVAPNMAPNYSSAIYSKSSTQSNAAKDMLPPGVSAPPSASMSNQELLKTHGAQRPDEPLPDLGSTLDSIDFSVFETGPSLPPSSEVPDVSTNLVCLSDED >KZM92654 pep chromosome:ASM162521v1:6:35147800:35149524:-1 gene:DCAR_019981 transcript:KZM92654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFDSILRKCTSLSHIKRLQSHLIITGEFNFYFSRSKLLDYCASSASGDLSYAIYIFRQLPSPATNEWNAIIRGLAKTPQPTMAITWFVSMSRASCKPDALTCSFVLKACARGLAVFEAREVQSQVLRRGFVFDVLLQTTLLDVYAKAGDLDSARNVFDEMCVRDVACWNVLIMGLAQGSRATEALEMFKEMGFAGMRPNEVTVLGALSACSQLGAIGEGERVVEFIRGEKMDCNAQLCNAVIDLYAKCGLVDKAFGVFSSMRCEKCIVTWNTMIMALAMQGDGIKALELFDRMGREGIVADSVSYLMVLCACNHAGLVEDGVRLFESMAKSGVSRNMKHYGSVVDLLGRAGRLQEAYDIVNEMPMVPDLVLWQTLLGASKTYGNVEMAEKASRKLEEMGSSNCGDFVMLSNIYAAQERWKDVGRVRETMRSRDVKKIPGFSYIEAGGIMHKFVNGDHSHSFRDQIYRKLDEISFRIREHGYVAETSYVLHDIGEGEKENALWHHSEKLAVAYGLIATSEKSTLRVNKNIRICGDCHVVIKLISKIYEREIIVRDRTRFHRFKEGCCSCGEYW >KZM91569 pep chromosome:ASM162521v1:6:26119796:26121446:1 gene:DCAR_021066 transcript:KZM91569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNTLGSTTGRRRKAEPPKPDKENVAPVQINTLSGSGTLNSTQNAGIHTATTTTPHSQTCHRTLSSTQSIPISNAVTPLSNISNQGSSKMSRKGWSTNKDVVPFYLKSPTVNLNERDASISPGPAFNSGTIPVSAVCNTPFNLTNVMKLNQGLCTTGTQKRSAKRRKGGDENVLPQHPSNSLNHLNSPVLNFEERSASCMTDQAASISTRPPLSDVSNTAVNIHSRMDLNEGDKDDSPSPNTISEDYGPMRQVKAKKSKTRIPPTDKEGTSRQLFGIRQLNDDATIEHSAIASVLEDADQLFNQNG >KZM89531 pep chromosome:ASM162521v1:6:1697078:1697245:-1 gene:DCAR_023106 transcript:KZM89531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFGSSIIEPDRAYVLRGVISAPKVNILVEKSSETWTDFFEFFPEIKNIEIIAR >KZM90016 pep chromosome:ASM162521v1:6:9603792:9622608:-1 gene:DCAR_022619 transcript:KZM90016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRSSSNRWRYINPAYYLKRPKRLAFLFIAFVCISFFVWDRQTLVREHEVEVAQLNEVVLRLQNLLEESDTVKDALGGKSFSGIEGSGAKKKKGIQEDPIDVERRQKVKGAMLHAWTSYEKYAWGKDELQPQSKNGVDSFGGLGATLVDSLDTLYIMGLDEQFQRARGVVGGLLSAFDLSGDKIFLEKATDIGNRLLPAWDTATGIPFNIINLAHGNPHNPGWTRGDSILADSGTEQLEFIALSQRTGDPKYQEKAENAILQFNKTFPLDGLLGIYINPHRGTPSSTITFGAMGDSFYEYLLKVWIQGNRTAAVKLYRDMWETSMTGLLSLVKKTTPSSFTYIAEKNGDSIIDKMDELACFAPGMIALGASGYGPDKSQQFLTLAEELAWTCYNFYQSTPTKLAGENYFFHAGQDMTVGTSWNILRPETVESLFYLWRLTGNKTYQDWGWDIFEAFEKNCRIESGYVGLKDVNSGVKDDMMQSFFLAETLKYLYLLFSPTSVISLDEWVFNTEAHPLRIVTRPLEGVNSEASDGQHKPKSHARKEGRFGHL >KZM90920 pep chromosome:ASM162521v1:6:20416261:20421327:-1 gene:DCAR_021715 transcript:KZM90920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAERILLRHALEDPSNERFLFLSDSCLPLYNFSYTYDYIMSTSTSFVDSFSDTKEGRYNPKMHPVIPVHNWRKGSQWAVLTRKHAHIVVRDDSVFPMFQLHCKASFPYLSVHLSTNLRSITVLLMGKERKSLPEFWRDHPLPAEGWKEHNCIPDEHYVQTLLAQEGLEGEITRRGVTHTSWDVKSSKVRERQGWHPVTYKLADATPSLIQSIKDIDNINYETEYRKEWCTSKGKPAPCFLFARKFTRPAALRLLNMASYT >KZM90998 pep chromosome:ASM162521v1:6:21194610:21195311:-1 gene:DCAR_021637 transcript:KZM90998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSTIHKIRAVKYRKQQFMSSLVLYTLTTMSCSLLVFSPFWYPPLRATVNVFLYVSFPKVLSLFLSAKVVFIIGNLIVVFLVGEFKIYTVPHPSQAHGTEGQILRSPDKERGNPVIPLEEKGKNEKMNLHHIQLARETAPIKVDRREPLNGDSRYLGAQSYQMISVALQPKSTNRVTLKHEKTSVHRKGCSEQKDHREELMSGEKDLNKMADDFIARVNKQRRLEAELYKY >KZM91897 pep chromosome:ASM162521v1:6:28751059:28753544:1 gene:DCAR_020738 transcript:KZM91897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTCFNTILFLVFIFSLDVNIRGVEASHQVFPELQSVSAVNVQLVHRTGYHFQPKKHWINDPNGPMYYKGFYHLFYQYNPKGAVWGNIVWAHSISKDLINWVALEPAIFPSKPFDKYGCWSGSATVLPGGKPVIMYTGIVTPSPVNTQVQNFAVPANYSDPYLREWIKPDNNPIVRARSENSSSFRDPTTAWFDGVHWKILVGSRRKHRGIAYLYRSRNFLKWTKAKHPLHSKDRTGMWECPDFYPVAPKGMNGLDTSVTGQDIKHVLKVSLDSTRYEYYTVGEYDRDNDIYVPDNTSVDGWAGLRYDYGNFYASKTFFDPDKQRRILWGWANESDSKQDDVQKGWAGIQLIPRKLWLDPNGKQLIQWPIEEIQLLRGQNIHMGSQVLNMGEHIEVKGVTAAQADVDATFSFKSLDRAEWFDPNWAKLDALDVCDWMGSTVRGGLGPFGFLTLASEKLEEYTPVFFRVFKTKDKLKVLMCSDAKRSSTTAEGLYKPPFAGYVDVDLSDKKISLRSLIDNSVVESFGAHGRTCITSRVYPKIAIYNNAHLFVFNNGTEAITIDSLDAWSMKAPSLMNNN >KZM89663 pep chromosome:ASM162521v1:6:2986514:2987160:-1 gene:DCAR_022974 transcript:KZM89663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNISLSLCRRLKLSELVAKVPVYSSGSDASGGGFNLMLRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPENIIVRQRGTRFHPGNYVGIGKDHTLFALKEGNVKFERHKLSGRKWVHVEPKEGHMLHPIYSNLSVSQLKTSA >KZM90500 pep chromosome:ASM162521v1:6:16331289:16333164:-1 gene:DCAR_022135 transcript:KZM90500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTHSANLFLSFFFILFFFSSTTSAASKDTICNNTPFPKFCKAVLPDNDASVNDQGRFSVQQSLSMTQNVLSVINTYLGQRSALSKNVIQALEDCQNLCNLNMDFLSETLGAIRQTDSVEKLKGRDLLTFLSAVITDVQTCLGGLEAVALPSGLESVIVPPLSNGSMMYSVALAIFKKGWVENLVEGRILAEKKPPTSSGGLKLYPGGDNVKVSQMVFVNPNGSGNFSTINDAVALAPNNTDGTKGYFVIRIAAGVYEEYLNITKTKKYIMMIGDGINQTIVTGNRSVTDGWSTFNTGTLIVVGQGFVAVNMTFRNTAGAINHQAVAVRSGADLSAFYRCSFEAYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVLQNCNIYPRLPMEGQFNAITAQGRTDINQNTGTSIQNCTITEAENLGSTATYLGRPWKLYSRAVYMQSFMDSLIEPAGWSIWSGDFALNTSYYAEYNNRGPGSDTSNRVTWEGYHVINATDAVNFTVSNFLSGDSWLPATGVPYYGGL >KZM89599 pep chromosome:ASM162521v1:6:2410473:2412966:1 gene:DCAR_023038 transcript:KZM89599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEITPDKLLHNVMETLSGGDGGTSRQNSGSFLHKETPNSDTSKFSKLFGRQKPVHHVLGGGTSADVLLWRNKKISGSVISGFTAVWVLFEWLNYHFLQLFCFAVVLGMLGQFVLSNASAIFKRSPPKVPRLLLPDNLFVNIAIFIGAELNRGLGFLQDVACGGNIKQFLVVVASLWAAAVVGSWCNFLTVVYIGFVAAHTLPVLYEKYDDQIDAIVYYILGQLQNKYRDFDASVLSRIPRREYNAKKYE >KZM89666 pep chromosome:ASM162521v1:6:3024733:3028096:-1 gene:DCAR_022971 transcript:KZM89666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFPTPVTSFSAAYKLRTASVSNAKAQERGKATSPPNKQDDDTDADIFPGQVVDSTGMIVRPNLKMYNLGELKSATRHFRPDSVVGEGGFGRVFKGWVDPTTLAPSRVGVGMLVAVKRSSPDSEQGLKEWQAEVKFLGKFSHPNLVKLLGYCWEDKEFLLVYEYMQKGSLESHLFRNGAEQLSWKTRLKIVIGAARGLAFLHTTEKQVIYRDFKTANILLDGDFNAKLSDFGLAKLGPINGNSHVTTGIVGTYGYAAPEYMATGGKNQQCTIINVETPMVINMGVIYMETSVQLAGSRALGNRTPPVKSNW >KZM90895 pep chromosome:ASM162521v1:6:20203107:20217545:-1 gene:DCAR_021740 transcript:KZM90895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLQTIFREHRRRSVMLAGIGRRYFNTATEEYSKRNYAVNEFEYTTVLTSLHAQRRYYLVRDVYDDMMLDGVKPELDTFHSLVASTMKGARLQDAFYFRDEMRALVLVPLENHKIKIKTVNAFLMQCLDDHSRQVALYNYLISTCAKCNESNQATLILEEMKHSGVKPTGQTFICLLSAYAAAGRLERVYAVVRDMTAAGLGLNKFCYAALITAHRNKIPLADDTSAKKIIGLVEQSTGWSDIENSKDNAENAVIGVSEEELYSLPTAEYVHRRFGIVNRNLTVYHAAFHALADLKDVEAIDTLLEMLRRSNDGQPDIFIGMQVMRCYLHSGDLDRGFNTFVECMRSGAPVVEVFVTFIEGAMVGYTPKGMQLAEEKLKEMASRNFFLSSKMGNDLLILASGEKTGGFTVANLIWDMMQSSKSAISLPAVEAYHQGLKTFIEGAMVGYTPKGMQLAEEKLKEMASRNFFLSSKMGNDLLILASGEKTGGFTVANLIWDMMQSSKSAISLPAVEAYHQGLKDREIPHDDPRLSLVSKTLDDLRKKSGFLSNWTNATQKDSSVQ >KZM92031 pep chromosome:ASM162521v1:6:29911334:29919688:-1 gene:DCAR_020604 transcript:KZM92031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSDDEEVELEEEEEREEEVDQREDRDDEDEDEEDEEGEDEYEKDGFIVDDVDEEEQQDGEDEGAESDEERQKKKKRKKRESEKNYELDEDDYELLQDNNITVHRPSGSKKFKRLKKARKDVEDDHSGFSDDDDFHSSGKVGRTAEEKLKRSLFGDEGPQLEDIAEEEHPDEEEDELEDVDEMADFIVDEEEVDEDGIPIKRKKVKQKKSRQAPGVSSSALQEAHDIFGDVPYIAMYRKEECLSLFKDIDQHDTESNMNKADKKPVIKWHKLLWAIVDLDRKWLLLQKRKNALQTYYNKRYEEESRSIYDETRLNLNKQLFESISKSLKAAESDREVDDVDLKLNLHFPPGEVSVEEGKYKRPTRKSLYTSCSKAGLWEVANKFGYSSEQFGLQISLEKMRMDELEDAKETPEEMASNFTCAMFETPQNVLKGARHMAAMEISCEPCVRKHVRSIFMENAKVSTSPTPDGNVAIDTFHQYASIKWLREKPLTRFEDAQWLLIQKAEEEKLIQVTIKLPESVLNKLIGDAHDYYLSDGVSKSAQLWNEQRKLILQDAFFVYLFPSMEKEARSLLTIRAKSWLLLDYGKRLWDKVAVAPYQRKESDTNSEEEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYAGSLSNRGQSVDDQQRKKTDQQRVYKFMTDHQPHVVVLGAVNLSCARLKEDIYEIIFRMVEDNPRDVGHEMDGLSIIYGDETLPHLYENSRISADQLPSQSGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLSPLESFLTPDDKYEMVEQVMVDVTNQVGLDINLAASHEWLFSSLQFVSGLGPRKAAFLMKSLVRAGSIFTRKDLLTAYGLGKKVFVNAAGFLRVRRSGMAASSSQYIDLLDDTRIHPESYGLAQELAKDVYLADVQDEINDDEDVLEMAIEHVREKPHLLKTLEVHEYAKSKQYENKLETLNNIRLELIQGFQDWRKQYAEPTQDEEFYMISGETEDTIAEGRIVQATIRRVQPQRAVCVLDSGLTGILSKEDYTDNWREVNDLTEKLNEGDIVACRIRSILKNRYQVFLSSRESEMSSDRYQNHRNLDPYYHEDRSQLVGIQDNIARKQKELAKKHFKPRMIVHPRFQNITADEAMEFLSDKEPGESIVRPSSRSPSYLTLTIKIYDGVYAHKDIVEGGKDNKDITSMLRIGKTLKIGEDTFEDLDEVMDRYVDPLIAHLKTMLSYRKFKKGSKAEIDEFLRSEKADYPARIVYCFGISHEHPGTFILTYIRSTNPHHEYIGMYPKGFKFRKRMFEDIDRLVAYFQRHIDDPHDLAPSIRSVAAMVPMQSSAPGGSSGGWSGSNSNDGGWRGQAMDRDRNSSMGARTGRGDYTPGGRHDRHPSGLPRPYGDRGQGMHNNNGRRVGASNERQDADFGKRSDDGLENFPGARVQNSPGKGAFPGSWGKPW >KZM91339 pep chromosome:ASM162521v1:6:24287152:24289930:1 gene:DCAR_021296 transcript:KZM91339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVDMIDKTLKLAYGENSDLIKGKRIAAVQALSGTGACRVFAEFQKRFSPDSQIYIPVPTWSNHHNIWRDAHVPQRTFHYYHPETKGLDFASLMDDVKNAPSGSFFLLHACAHNPTGVDPTEEQWKEISYQFKVKGHFAFFDMAYQGFASGDPEKDAKSIRIFLEDGHLIGCSQSYAKNMGLYGQRVGCLSLVCEDEKQAVAVKSQLQQIGRPMYSNPPVHGALLVSTILGEPDLKELWLKEVKGMADRIIGMRTSLRENLENLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTNDFHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKPT >KZM91276 pep chromosome:ASM162521v1:6:23738908:23741475:1 gene:DCAR_021359 transcript:KZM91276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLKVGSRPPWVGLGAAVWVQIAAGSAYTFPLYSHSLKSVLGFSQQQLTMLGVANDIGENVGILPGIACNKFPSWAVLLVGVFASFCGYGVLWLAVSRTLVSVPYWVLWIALCVATNSSAWFGTAVLVTNMRNFPLSRGTVAGLLKGYIGLSAAVFTEVYSMLLNESASDLLLFMTLGIPITCLAMMYFIRACTPASEEDSSEHTHFLFTQAASVTLAVYLLTTTILKDLLYLSNSVSYILVGIMVILLMSPLAIPIKMTIFPANNKKPIHKSSSIDNLAEGDRDTTLADPLLTPSSSAANLGSYYENEDISEVDMLLAVGEGAIKKKRKPRRGEDFRFHEAVVKADFWLLWVVYFLGVGSGVTVLNNLAQIGVSLGVNDTTILLSLFSFFNFLGRLGAGVISEFFVRSKAIPRTVWMTITQVIMVVTYLLYASAISGTLYVATAFLGICYGVQFGIMIPTSSELFGLRNFGIIFNFMQLGNPIGALLFSGLLAGYVYDSEAAKQQGSSCLGPDCFRLTFLFLAGACGLGTVLSIILTVRIRPVYQMLYASGSFRLPHGAGH >KZM89521 pep chromosome:ASM162521v1:6:1565358:1575205:-1 gene:DCAR_023116 transcript:KZM89521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCLLMCLSVTDSSSISTDRDALLAIKSSITSDPYGILAANWSSNTSVCCWHGVYCSRNVERVVSLSLRDMGLEGTIAPHVGNLSALASLDVGQNKFHGKMPEELVHLSNLERLYLDENEFDGEILSSLGDLFNLQYLHLENNSFSGVVPSSVGNMSKLEVLNLSFNFLQGGIPEGIGRLSGLKSLSLMSNRLSGSMPLAILNMSSLQEIDLSVNNLLGTLSEDMCDRLPQLKRLVLSQNKFSGKIWAAVKNCQKLEYLSLAKNGFSGNIPREIGNLTMLKYLSLGATNLTGGLPNEIGRLINLKELNLHEGRFNGPLPFSLFNISTLEILDLYRNEFCGHLPSSMGRWLPNPRSLVLSYNNFSGKIPESTKNASKLVTINLTSNSFSGPVPNSLGELEFLERLLLGGNNLSREYFTPELRFLSSLTNCRSLKMLGIALNPLDGFLPALVGNFSSSLQLLQAFGSKIRGTIPIGVGNWSNLSYLGLDNNELTGPIPSSIGSLHKLEVLYLEKNKLKGHIPDDLCALKNLGDLYVSQNGLNGSIPACLGGLKSLQRLYLDSNKLTSTIPSTLWSLKYLVLLNLSRNSLSGELQSAIENFKVLTLLDLSYNQLSGYIPRSIGGAQQLSSLYLSHNKIQGYIPESVGSLIGLEFLDLSDNKLSGSIPKSIEKLRYLRYLNVSLNRLQGEIPGGGSFRNFTALSFMGNDALCGTPRLEVPRCKSRTTSTFVSEFVSLSKYIIPFVVLALILAALTITLLRTRNRNIRQSTTGDSMLLEWRRVSYNDLLRATEFFSESNLLGTGGFGSVFRGTLSDGIIVAIKVLNLEHEGAMKSFKAECDVLQQIRHRNIVPIISSCTNLDFRALVLEYMPNGCLEKWLYSHNNYLDMIQRLNIMIDVGLALEYLHHGQGTPIIHCDLKPSNVLLDEDMVAHVSDFGLAKILGQEEFMERTVTLASIGYMAPEYGQEGLVSTSGDVYSYGIVLMETFTRKKPTDETFSDEMSLRNWVNTALHGSIYGVVDMNLLAGDAEHLAAKEQCFRKSESRTRYLPQGVLELNWPSMDDVVVRLQKIRESNSLPPEKGTRAQPPHQHLVGGLCFYFFTQTGQEKPEAEIASGSQTAPMFYVHVLSLQALHSRMPKHNMAKLNIQVLLLICLVVCLAVTGSSSSTDHDALVAIKSRITSDPYGILATNWSSNSDICYWHGVYCSRDNDRVVSLNLHNMGLRGTLAPQIGNLSSLASLDIGQNKFYGKIPEELACLCNLKRLYLDDNEFNGDILSSFGGLFDLRDLHLENNNFTGLVLNSIGNISKLEVLNISFNFLQGNIPEDIGRLSSLKHLSLTNNQLSGSLPLAIFNMSSLQIIELAANKLSDTLQVDICDRLPQLERLNLSQNHFSGKIPSTIKNCKKLQYLSLAINEFQGSIPREIGNVTTLKSLYLGYNQFQGQVPMELGNLVNLQDLHISNCFLTAVSPTTFNISSLRSIDLTNNKLSGSLPEDMIDYEHSNLKEIYLSSNQFTGQIPSSIWKVRSLERLSLSYNGWIGSLPKEIGNLTMLKYLYLGATNLTGGIPDEIGCLTNLKELNLREGRFSGPLPGDLFNISTLEIIDLYRNEFCGHLPSSMGHWLPNLKSLVLNHNNFSGKIPESTKNASKLVTINLSSNSFSGSVPNNLGELEFLERLLLGVNNLTCEKLTPELRFLSSLTNCRNLKLVVLALNPLGGFLPSSLGNFSSSLQLIQAFGSKIIGSIPIGIGNLSGLWYLGLDDNDLTGPVPRTIGRLHNLEVLYLEQNKLKGHIPDDICALENLGDLYVSQNGLNGSIPACLGGLKSLQRLYLDSNKLTSTIPSALWSLKDLVLLNLSRNFFGGELPSTIGTDFKELTLLDLSYNQLSGYIPRSIGGAQQLSSLFLSNNKFGGDIPESVGNLIGLEFLDLSDNKLSGSIPKSMEKLRYLQYLNVSLNRLQGEIPSRGSFVNFTALSFKGNRALCGPPWLEVPLCRARTANRSQSKFVPLLKYILPFTALALLIAILIIFVLNSPARNIRPLTTGESMLLEWRRVSYIELLRATESFSESNLLGTGGFGSVFRGILSDGNIVAIKVLNLEHEGAMKSFKAECDVLHQIRHRNIVQIISSCTNLDFSALVLEYMPNGNLEKWLYSHNNYLDMIQRLNIMIDVGLAIEYLHHGQVTPMLHCDLKPSNILLDEDMVAHVGDFGLAKILGEEEFMERTVTLATVGYMAPEYGREGLVSAKGDVYSYGVVLMETFTRKKPTDEMSLRNWVNAALHGSIYDVVDKNMLASDDEHLPMKKHCSSSILSLALDCTAFYAAERPTMEEVIFRLQKIRTLFPREFIQVVMM >KZM90199 pep chromosome:ASM162521v1:6:12454928:12455839:-1 gene:DCAR_022436 transcript:KZM90199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDGFFEQLFLQESWKSRSLFSGFRGSALSSSSIMFVLTLTCAYIYPPGILFKTPFSYCDSTLPTSLKAYLWEPPETDYVKINVHCLTVSQPLFNGNSNGVGVIIRDPSGKEIWSAAGPIPGLSKLQATLWGFYHGALQCHKIQEWKTHFESDHWGAVEAISFQEEFPQQEDVQEVLRLFNTLHANNFKVGTTKRAVTRVPVIQNGATVYLAKFGMDNLTCFVETPGSCGEKQLMIERDMGFMRPLAPQANFGLGEVIDAEEVQQIEVDSQAHQAAVTVESTLMRLFSLPARMCKAMVNRWY >KZM90687 pep chromosome:ASM162521v1:6:18085459:18115240:-1 gene:DCAR_021948 transcript:KZM90687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHTKFISSVLLLFVVVSYIPNPTSSQTIVKALPGFPGELPFKLETGYVEVGEKEDVVLFYYFVESERNPKTDPLLIWIAGGPGCSALRSFFFQIGPFTIEYSDSSKEIPDLHLNPYSWTKLANVIFLDAPTSGFSYAKSPETYTNSDTLSAKYTYQFLLKWLENHPKFISNPFYVTGLSYSGITIPLIVQETFDDRHLDFNARIPYAHKVALLSYEIYESTKANCNGEYVNVHADNKLCQSDLQNVDECLEDVYMFHILEPICTPETSTRRLKGQQWCRDNTYLYTDVWANVPSVRKALNIRESFITSLPGYSGKLPFKLETGYVGVGEQDDLQLFYYFVESERNPVDDPILIWITGGPGCAALRPFFNQLGPLRFDYESTLPRLLDNPYSWTKVASVIFVDASGSGFSYAKTKDGYKTSDTITSQSIYGFLKKWLTDHPKFLNNPLYVSGLSYSGITVPIMVRDIIKGNQAGNVPQINIKGYMIGNPLTERFIDFNSRMQFAHNFALLSDELYESTKAHCNGDYINVNPANGLCTRALAEVDECLKGLNEHQILQPNCDNTAVLQQWDRSTFKTNPATGRVLAQLQDSDTWCQKLSDQDTKFPYSRTGLFSYNQLQTTESPPSWNGEFGFGGITDRRFAFSHQTSFLHSPSIDSPRTPVYVIPNNFPRKSLISRTVSSIDIPPVVYLQDGIDSIWTEATAWATDAAVQKALHVRQTVIKTLPGFPGQLPFKLETGYVGLGDGEDLQMFYYFVESERNPRDDPLMIWITGGPGCSSPRTFFYYIGPLKFNYANSGRRIPQLEVNPYSWSKVANVIFVDPPGSGFTYTKSKDNYKNSDTLMAASIYEFLMKWLENHPEFLKNPLYISGVSYSGISVPVMVQNIIDGNEAGNDPNLNIKGYMIGNPLTDRNIDFNARIPYANQFALLSDELFETAKARCNGEYIEVNPAYQLCQKALAEVNKCLMYLYDQQILEPICVPDITSKSILQLWDGHSSKRNPENALPSLSQLPPDSWCREDNYQYAIAWTTDERVQKALHIREGTIDEWVMCNTDHYDINRKDTDTYSYDIASSIAYHRNLTKKNCRALIYRYNEIFSHDDYSLTFATVKTVIKTLPGFSGQLPFKLETGYVGLGDGEDLQMFYYFVESERNPRDDPLMIWITGGPGCASPRTFFYYTGPLKFDYANSDGKIPQVVVNPYSWTKVSNLIFVDPPGSGFTYTKSKESYRNSDTLMAASIYEFLMKWLKTHPKFLKNPLYVSGVSYSGITVPIMVQNIINGNEAGNDPKLNIKGYMIGNPLTDRNIDFNARIPYANHFALLSNELFKTTKALCNDEYIEVNPANHLCLKALAEVNEEDNYKYAIAWTSDESVQKALHIREGTIDEWVMCNTDHYDINKEDTDTYSYNIASSIVYHRNLTKKNCRALIYRYSEIFSHDNYSLTFATVKGAGHTAPAFKPEECLAMVDRWLSHALL >KZM91878 pep chromosome:ASM162521v1:6:28602033:28606684:1 gene:DCAR_020757 transcript:KZM91878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVNRKISAASARAHTRKTQHKSSFQLSSEMSFPVIDRAHGLFSMFVSQVRASRPPPPKTCGSPDGPPVTGSRIKLSDGRHLAYTEFGVPRDVAKYKIVFVHGFGSCKHDAVILATLSPDVIKHLGIYIVSFDRPGYGESDPNPNRTVKSIAMDIEELADQLELGPKIYVVGYSMGGQPIWSCLKYIPHRLAGATLLAPVVNYWWSGFPSNLSREAYYQQLTQDQWTLRVAHYLPWLTHWWNTQKWFPSSAVIAHSPLLLSPQDLELVTKLDSTRRRTYEAQVTQQGEYESLHRDLMIGFGTWEFDPMELKNPFPNNEGSVHLWQGDEDRLAPVTLQRYIAQKLPWIQYHELAGAGHLFPLADGFGNAIMKALLIGEDTIL >KZM89433 pep chromosome:ASM162521v1:6:705933:712448:1 gene:DCAR_023204 transcript:KZM89433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHGKDGYNRYHVNKKRRWDPPVESCSTSKKRRWNPPVESSSKKLKSGSDHVPRKWVYANDDYSHCKDRVVFVSYNILGVENATKHPDLYYKVPQEFLDWDCRKEQLCSEISKYNPSILCLQEVDRYDDLDKCFQEDGYTGVYQARTGESCDGCAVFWKTEQFTVLHVENIEFKKFGLRDNVAQFCVLKLNNKDPNKSGDGETPGLMSSRILLVGNIHVLYNPKRGDIKLGQVRLFLEKARELSQQWGNIPIILGGDLNSMPQSAMYQFLSSSELDIRLHDRRIISGQIAPFEYRGYISCKRNIGWSAEESKLATGTKSGTHVRHQLKLHSAYIAVPGSPRTRDVYGEPLATSYHSRFLGTVDYIWHTEDLAPVRVLETLSIDNLRQLGGLPSKNWGSDHLALVCELAFMDDCISD >KZM90201 pep chromosome:ASM162521v1:6:12511212:12511526:-1 gene:DCAR_022434 transcript:KZM90201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKKSNRLSQAALIKQIMKRCSSMKKRQGYDEEGFPVDVPKGHFVVYVGENRTRYIVPISFLNHPEFQNLLQQAAEEFGFDYDMGLTIPCDEVAFESLTSMLR >KZM89780 pep chromosome:ASM162521v1:6:4713175:4716109:-1 gene:DCAR_022857 transcript:KZM89780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGELCVDAAMEEEVRTSSVECYVVVHNIAKRHNVGTLARSATAFGVTELILVGRRDFNSFGSHGSTSHCRFRHFHSLADARSFLKEKDCDICGVEITDDAVAVNQHPFTKSTAFLLGNEGTGLSSKECAICDFFVYIPQYGCGTASLNVTIAASIVLHHFGVWAGFSERSRDGNKFVVAERPVKQSGRNYCTETAETIIEERKLRKENALNGFFDESVKQDSPSNLLDALFDGE >KZM89596 pep chromosome:ASM162521v1:6:2389647:2391150:-1 gene:DCAR_023041 transcript:KZM89596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKNKKNDVNIAEATRIKIQTLLEDFRTSNHKALLETAYTFEANLTNLERAAVHILCRKMGLRSKSSGRGDQRRVSVFKNKVKSGTMKKEKLPCFTFSEESQLVLQDLFSRYPPDDHDIGEEKVKLGGNTEKLKRKKDDIFCRPDLSKADIKKKAESLASRVNSVSTLKQITEKRSKLPIAAFKDVITSTIDSHQVVLISGETGCGKTTQVPATLWLI >KZM92440 pep chromosome:ASM162521v1:6:33395306:33397392:1 gene:DCAR_020195 transcript:KZM92440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIMILSVIYLYFIYCVDSSEADVLESDGLKGDINSWCETTPHPEPCKYFLSQGEQYFRPNNSVDFRRMLVQVALEKALQEQSCTENLQNQCHSKRRKLAWLDCSTLFQNTIYQLNQTVEALKTNTSTSFFDSDAQTWLSAALTNIEICRSGSVELNVTQFIAPLVSNNVSELISNSLAINGALSIDDRQQESDKHEDFPSWVTKRDRKLLQKTSWTSRANVIVAKDGSGKFKSIQSAINYAAKVRKGNDRFIIYVKRGVYRENIVIGHALSKIMLVGEGLRYTIITGSRSVFAGFTTYSSATVGIDGVGFIARGITFRNTAGPKKGQAVALRTSSDLSVYYACSFEGYQDTLFVLAQRQFYKSCYIYGTIDFIFGNAAVVFQNCNIYVRRPLHGQANVITAQGRGDPYQNTGISIHNSRIMAAPELKPVLDEFKTYLGRPWQQYSRTVVMNSYLDTLVHPQGWLSWLESEFAWDTLYYGEYKNFGPASATDNRVKWPGYHIITSADEASKFTAAKLISGRAWLYSTGVPFILGL >KZM89407 pep chromosome:ASM162521v1:6:516824:521125:1 gene:DCAR_023230 transcript:KZM89407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVETYACIPSTERGRGILISADPKTNAILYCNGRSIIIRQLDKPLDIDVYTDHGYPTTVARFSPNGEWVASADASGTVRIWGRYNDHVLKNEFRVLTGRVDDLMWSADGLRIVASGDCKGKAFVRAFMWDSGSTVGDFDGHSRRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFKFKLSHRDHTNYVNCVRFSPDGTKFVTVSSDKKGILYDAKTADKIGELSAEDCHKGSIYAVSWSPDSKQLITASADKTAKVWTISEDGHGELAKTLTSPGSGSFEDMLVGCLWHNNYLVTVSLGGTISIFSATDLDESPVILSGHMKNVNSVVVLKKQNLILSCSYDGLIVKWTKGIGYAGKLDRKVTDKIKCFAAVDEELVTSGYDNKVWRTPLDGDQCGEAECVDIGSQPQDLSFAMLSPKLVLVAIATGVVLLEGTKVLSTVDLGFSATACTISPDGSEAVVGGEDGKLHIYSISGNSFKEEAVLEKHRGAITVVRYSPDVSMIASGDVNREAVVWDRASREVKLKNMLYHAARVNCLSWSHDSTMVATGSLDTCVIIYEIGKPASSRITIKGAHLGGVYGLAFTEENSVISSGEDGCVRIWTLAQE >KZM92574 pep chromosome:ASM162521v1:6:34495484:34502666:-1 gene:DCAR_020061 transcript:KZM92574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKISVAVRLRPSKNDDVSPGSFWKVEDNQISLHKSADTASSLSFAFDHVFDEESTNARVYELLIKDIITAAVQGFNGTAFAYGQTSSGKTFTMNGSESDPGIIHQAVKDIFGNIRMVTDREFLIRVSYMEIYNEEINDLFSVENNKLPIHESLERGIFVAGLREEIVNSAEQVFELIQSGEVNRHFGETNMNVRSSRSHTIFRMVIESKGIDSSSNFDDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKYINKSLMVLGNVINKLSDSTKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHIEETKGTLQFASRAKRITNRVQVNEILTDAALLKRQKLEIEELRNKLQYELEREKLAMELEEERKSHRERDQCIKEQEVSVKQKLTEESSVNHTMGKEDIFSTPALKPVPSAFVVKRSHQLRRSEFSPLPNTFGDIADEDTWLQMNKGFVADLDSLHITPARKDPSFPASDGASNCSSDIYEEQIKSLQRQLKLATEERDELERQQKDQMLLNSRLMQEVSELQQEAAIIREIPKRLQESVTNCKNVYKDALSICQNSVADESLPTAKLLSSACEIGSILLSTLETHFSVATDSHDTSLGSNLSVQEQCNMLRERLVNTVTSLGSSEAPIVKDECTKNSLHGCISKEFSLGEEITSWKENMNNEVTSIQEKYHSLEKKLEISNQLLDETKERYHTLENEFYLLTEERVSLLQTASGSSQRLAMVTDQKDKILQDLKSELKRRKHLEEVIKEFSAEFLCQHRSRVSFHTEFKSIVENSKAQKSIPKSLGY >KZM92282 pep chromosome:ASM162521v1:6:32072553:32074915:1 gene:DCAR_020353 transcript:KZM92282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFHLSNGEGCLRQMGNFVMADYDLNSSKEERDILRTKRRKEYEKLRRQCRRLLKSNDNLNIKETGRTSNSEDGGTFTQSMDSADSEDVVSARESLSSEDMSPSIDYSNDFSNAALDRYDGSKRITDPNTSDTESSDSDSSVEVSISFSSTALKEENDPEMLSSEDSSSNMENRSNISISEDFATWQRIIRLDAIRANEEWQAPPTEDLLLYAVAASVLQKRKLIIEKYSSMDEIIKECNGMAGHLDVWKLLDDAHNLVVTLHNKIENPLPGIA >KZM91924 pep chromosome:ASM162521v1:6:29024808:29031885:1 gene:DCAR_020711 transcript:KZM91924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRQQLEENRHNDDVALSNFLASLMDYTPTIPDELAEHYLAKSGFQCPDVRLVRLVAVATQKFIADVATDALQHCKARQAAVVKDKRDKLQKDKRLTLAMEDLSKALQEYGVNVKHQEYFADSPSAGLLVLAHEFQCRNLTEALRYRGLQQAQSTSKRNAAAEVWRDVASCSIHGFTYILNHLTQRSILQLLSEADFYATGLTKGSRKALNLKNEDTLFNREALFLKRVINRKLQNAPFSYTQLDAVIDAEKQAAKDLLREKKKERALLALKKKKVQEELLKQVDTWLINVEQQLADIELTSKQKAVFESLKTGNNAIKAIQSEINLEDVQKLMDDTAEAKAYQDEINEILGEKLSAEDEEEILAEFENLEIQMSLQELPEVPAKAPTSEDLEDLDLPDVPTKAPVASEVVETKAQNPSSASKVIDDSMNQKIFAFDTIANCPKTNNLLYLGDDMRSCRSLRSI >KZM90912 pep chromosome:ASM162521v1:6:20357999:20360359:-1 gene:DCAR_021723 transcript:KZM90912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVEGVNSLPSVSYKPSSLSGNRLPHPYSAKHSRHESSLSAAYVTGVDHRVKTTHTSSESMTSSKQSYKTTRNTMQTEMLPDLSQQLNRSNKGKSEVLHFDEELDRMEKVNLHKQVSAVEAMPCIKQYIDDSEDFNSISFAETAIPVIGAKGATGYLNDQVPSQSGINNCPSPQNSFYSAAQFIEAKQSFTNTEVSECTSSVEKSIESGDISVTGDFVESRKTSIYRGSTGSDISEESSSSSFSSAMYKPHKANDSRWEAIQAIRSRDGNLGLHHFRMFKKLGCGDIGSVQLAELIGTKSYFAIKVMDKGNLAGRKKLLRAQTEREILQSLDHPFLPTLYSHFETENFSFLVMEFCPGGDLHALRQKQPGKYFSEHAARFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRSSNSISEPKNSAYCIEPSCVIQPSCIQPTCFGPRFLGKPKKDKKQKAKGEIYNQVSLLPELIAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGAGNRATLFNVVGQPLKFPDSPSVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCASPPDVPKPYPIEVPKSPKVENVAGVDMKPSGSGSYLEIDFF >KZM91077 pep chromosome:ASM162521v1:6:21934346:21938013:1 gene:DCAR_021558 transcript:KZM91077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADQRVVEMRTHEDVVTNVSYEAAARIMAAQRKSEQLEHELRVTKDETVLKMLMDDKVIHASIPSQPEFTKTTELKAGNDKGYTGTMMNKGESAVGMSVPIVQEKGASTKPPYCEPKPTDAASEGPSLPGDKRVTEGKNASQRKKAKQDDSESSLRYSDWYCMFV >KZM90309 pep chromosome:ASM162521v1:6:14049996:14050343:-1 gene:DCAR_022326 transcript:KZM90309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLVRTLILEPKQLRSQESGWIAWQDKGVTVKEYWVEKLKPGEEDKALSTMITEFFSKPNGEKTEEVPNGGGGRNISQIVSDAVHKREPEESEKHKPMTLADKVVELRTLWGQL >KZM90436 pep chromosome:ASM162521v1:6:15797800:15798160:1 gene:DCAR_022199 transcript:KZM90436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM91755 pep chromosome:ASM162521v1:6:27616833:27619813:-1 gene:DCAR_020880 transcript:KZM91755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGRTTEYSSVAQTGIVADLGLSTAQVEAKKVRPRDDQPTPNQNYWQHSRVSRYASDSISFQKLKTSYELLKDQKARLVFDDLLRVKREKIMPQSQQSSKRRKMMSDLDERERAAFANDSRGKERDEEERIAKKLREEIARIRAMHSNKGVTPGTGKLKESSGSGAQSGGLDKEKVLKVSWDRIGGEDYSAQRLGDLFREFGEVEDVVIRTANKKKGSAFVVMASKDAVVTATRSVLGDLINPLLVMPLQPAVAPTFTSAQEPSESRTPEMSHLVGAGFQAFEDSVLAKLRMI >KZM90685 pep chromosome:ASM162521v1:6:18061697:18065363:-1 gene:DCAR_021950 transcript:KZM90685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTSEEEAALKAGVKKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVIANGWGSREKARLALKRMHQSSKDDDNSMALANLDQSDEELLDEQPLAVSMGSPPQIGSSKRSIIRLDNLIMEAITNLKEPAGSNKTTIGTYIEEQYWAPPNFKRLLSAKLKFLTNTGRLIKMKRKYRIAPTSVSSDKRKQPSMLLLEGKQRSYKADRDEVYTPTKPEIDAELAKMRSMTPEQAAAVAARAVAEAEIAMAEAEEAAREAEAAEADAEAAQAFAEAAMKTLKGRSSNPRVVRSYITPFKFLLSCIRFDLLILIRNYRICR >KZM92415 pep chromosome:ASM162521v1:6:33236404:33241324:1 gene:DCAR_020220 transcript:KZM92415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKIDLESPGILYGDEVPGSYNVEARAEGKAENQFSDSVGPGVGPVVGAEQGVGPDESVPPAMGLEQGGGPVVSAPPGMAVEQAVGVMAGANAAGPGTELKKKRGRPRKYGPDGKPLALSPMPISASIPLAGDTWNPSKGVSADLFKKKKKQKLEFGSPGSQVPYSVGGNFTPHMITVNVGEGHFEILSLSGSFMPTDSGGTKSRAGGMSVSLAGPDGRVLGGGLAGMLIAAGPVQVVIGSFLSGHPQEHKPKKHKSEVAAAFSPFPANHNSGQGTEMIFNTQQSLTPLPYNGNNLSFGNNVQGSTASGFSNDISLSGGPTDLSQTKFMVSC >KZM91376 pep chromosome:ASM162521v1:6:24624240:24626828:-1 gene:DCAR_021259 transcript:KZM91376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSITPPPYRSDPKSRTKSASRLARITNEHDENSPNLSLELVLSSPKLSPPINTTSPKSYKKLHELLLLSPSPQRKSRTRLADKLEFADQDPLEPNGSRRRHRSRNGAVGSPKNVRRSRRRFEQEIREERELGGLEDLGKPRKRRNSGRSKKEAVSSVPSVPHSKSNDGDGCNFDRFGQLITDLVMWKDVARSTLWFGFGSLCFLSSCFTKGVSFSIFSLVSHLGLLALGVRFFTNSICKRAKNSTYREISEDKRDTKLKEDDILKAARLILPAANLAISKVGEIFSGDPSTTLKVIPFLLLGSEYGHLITLWRLCALGFFMSFSGPKLYSSYSLQINEKVKFLTSRASVTWGALSHKKIVAGSVATAFWNLTSVRTRIFTVFIILVIVRYRSQYLQPTEEEKETEEIGEDQQQAEEDQQHQEEDQQHQEHDKALVVVESGSKLN >KZM90727 pep chromosome:ASM162521v1:6:18612919:18614244:-1 gene:DCAR_021908 transcript:KZM90727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIKEVISCSIIKPASPTPLRLQKYELLVHDRMTPDFYIPAIYFYPSPEQNPEWNPEQKVSGLLKRSLSKALSKYYPFAGRLSASGSFVNCNDEGVPFVEARIACKLSEMVGNTPDRDEEKGFGVLFPPGAVWEEVFCSRLMLVQLNRFSCGGIALAVSLSHRIADGVTILSFLSYWAALLRNCNDEGKVGHLEPCFVQEVLPDDSLRGNGSVVIQFSAPKKNWTTREVVFHNSKIAQLKAYQVMQDRKRGIMDDQNYTRNELVTALLYRCSVAAAAAADSGICPRTVLLQPVNMRSLIEPPLPQTSVGNLFVFNHTSTSTLEETRFNSLVAKLRKGKMQLRGIRSLHGKDKLPLMDKYAEMKCTKHYSISSLCNFPLYDEMDFGWGRPVKAIIVDTPLVNSFMMMDTPSKDGINAIIALEDEDMKYFLADKELLAYASV >KZM91819 pep chromosome:ASM162521v1:6:28040276:28043031:-1 gene:DCAR_020816 transcript:KZM91819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTIFHKTEITGSLCDLWKEIRVNLVAPFLYIALWACVAMSLMLFVERLYMTAVILCVKVLGKKRSTQYNVELVLQRMEKNGSHPKLLVQIPMYNESEVYKLSIGAACRLLWPTEQIIIQVLDDSTDQVIKTNVMAECKKWQDQGVNLMYENRANRNGYKAGALREGLQKQYASDCEYVVIFDADFQPEPNFLMKTVPYLVGNNKLGMVQARWKFVNADECLMTRLQEMSLNYHFSVEQEVGSTTCQFFGFNGTAGVWRIRAIKDAGGWKERTTVEDMDLAVRASLQGWKFVFVGDVSVKNELPSTFKAYRFQQHRWIHKLELVMGVFMLYCALYNMQYCNDHMFVYLFLQSGAFFTIAFGFVGTFVPSMK >KZM90290 pep chromosome:ASM162521v1:6:13853585:13855980:1 gene:DCAR_022345 transcript:KZM90290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNYNHVIKCIPIYKAAIDDDWEKARHIFEEEKIEFDAHITYWKETALHIAVGTNSSHRFVEQLVEQMKLKNPQMLLTVNWAGSNPLLYAAKVGNMRGAELLVGLNPEITQLSNNSGENALEAAANHGRRDILLYLLHNTKDVVGEDGRSPFRGAHGADLLAFCILSDFYDIALYLVKEYPAIVTEKSKSNYQQTSLQILAAKSKAFRSGSSYGFWQGIIYSWIPVNREKALESPVKGTFQQSTPQNPIKASYDLKNSIWRVLQSLAVEHGIHELIEECINQYPGIVWYEINGFYLFSLAVKHRQEKVYNLLYQMSGHKAYVVADKQNGENSLHYAGKLAPPHRLNTVTGAALQMQRELQWFKEVEKLVKHSHKEAVNTEHNTPRMYPLLVELFRSTYGSGIFYKQNDLLLH >KZM90131 pep chromosome:ASM162521v1:6:11449513:11451458:-1 gene:DCAR_022504 transcript:KZM90131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLARVTFRHPFRYKHQKELFVAAEGMYTGQFIFCGKKANLMVGNVLPLRSIPEGAVVCNVEHHVGDRGTLARASGDYAIVISHNPDNGTSRIKLPSGSKKIVPSGCRAMVGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGKKVGLIAARRTGRLRGQAAATASKADK >KZM91822 pep chromosome:ASM162521v1:6:28072505:28076514:1 gene:DCAR_020813 transcript:KZM91822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVYRLFGWDYRRPERAPPACPYKPPANKINDTAAGEPDPVVPEHVQKHTGVNDHKLD >KZM90220 pep chromosome:ASM162521v1:6:12744729:12745948:-1 gene:DCAR_022415 transcript:KZM90220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIIKSEVTNGNDETVEAQVDIWRFVFGFTEMALVKCAIELGIPEILEKQGDPMTLSELSSALSCSSPALFRIMRFLMNRGIFKEKITEQGALGYVQTPLSRLLIKDGRNSLATFLLFESSSVMLAPWHFLSARVLDDGTSAFDCAHGKSVWEYAAENPGHSKFIDEAMACDTRLTVPAVLDGCPEVFTGLSSIVDVGGGNGTALSILIKACPWIRGINFDVPHVLAVAPEYEGIEHVGGDMFVSVPKANATFIKWVLHDWNDDECIQILKNCREAISEYGTAGKVIIVEAVIEDKKGGKLKDVGLMLDMVMLAHTSKGKERTAEEWAYVLREAGFTRHTIKNIQAVSSVIEAYP >KZM89455 pep chromosome:ASM162521v1:6:872819:880209:-1 gene:DCAR_023182 transcript:KZM89455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPFQEDNKFPELKLDAKQAQGFLSFFKTLPIDNRAVRFFDRRDYYTSHGENATFIAKNYYRTTTALRQLGNGAYSLSSVSVSKNMFEMIVRDLLLERTDHSVELYEGSGSNWRLVKSGTPGNLGSFEDILFANNDMQDSPVIAALVPSFKENGCTIGLGYIDLTKRVLGLTEFLDDSHFTNLESALVALGCKECLLPVDGTKSSESRPLNDAMSRCGVMVTERKKTEFKGRDVIQDLGRLVKGSMEPVRDLVSGYEFATGALGALLSYTELLADESNYENYNLKQYSLQSYMRLDSAAVRALNVMESKTDANKNFSLFGLVNRTCTAGMGKRLLNMWLKQPLLDVNKINCRLDLVQAFVDDPELRQNLRQHLKRISDIERLMRSLEKKSANLVHVVKLYQSSIRLPYIKSALQRYDGQFASLIKEKYLNCLDFWTDDNHLNKFLGLVETAVDLDQLENGEYMISPNYDDKLCILKNEQASLEMQINKLHQQTASDLDLAIDKALKLEKGTQFGHVFRITKKEEPKVRKKLNTHFVVLETRKDGVKFTNSKLRKLGDQYQKIVEEYRICQKEIVGRVVKTAASFGEIFEGIAASLSELDVLLSFADLAVSCPTPYTRPDVTPSDEGDIILEGSRHPCVEAQDWVNFIPNDCKLVRGESWFQIITGPNMGGKSTFIRQVGVNILLAQIGCFVPCDRAQISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATEKSLIIIDELGRGTSTYDGFGLAWAICEHLVQEIKAPTLFATHFHELTALAQGDTAQSSNMNNIVGVKNYHVSAHIDSSNRKLTMLYKVEQGACDQSFGIHVAEFAKFPESVVALAREKAAELEDFSPTSFVTTDAIKEESQVGCKRKREYNQDDMSKGAIQARQFLKKFSEMPLDKMDIEQALHEVRTLKNDLQKDAVGCGWLQQFF >KZM89908 pep chromosome:ASM162521v1:6:7305118:7309339:1 gene:DCAR_022729 transcript:KZM89908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKIGMNKDAFTDPNHGTSFFVTRPSSQHKDSEDEPANPNIIYEELDDEAFDGGKEQTLVDGKKINLLNSRIADRERVVVTITVTVTFLVPFVVKVKYTNRRDLAREGNEIIREATKWSPELAAACEVWKKIKFEWSGNMS >KZM89405 pep chromosome:ASM162521v1:6:504440:505114:1 gene:DCAR_023232 transcript:KZM89405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPQKKTQGRKKIEIRKIIDEYSRQVTFSKRRTGLFNKASELCVLTGAETAIIVESPGNRVFAFGHPSVDAVVDKYLAGSSSVSSQDNLSVKQVNVNYLIAHNNFNLKYREIAKEIEEEKNKDTNFRWQESFEDLGVEELEKYIDSMEELKDKLATRANEVEMIRNSSSFDVNQSLKNEGVDAFLVINQNADCGGTQHYMGEKGMEKKVWNHKMSLYGNRCLN >KZM92819 pep chromosome:ASM162521v1:6:36550346:36551050:-1 gene:DCAR_019816 transcript:KZM92819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGGPQTVPDGEERCDAGTVASSLSSPYRLFGRQTTIHQMMGGAADVMLWKRRYVSSAIVLVATVAWLLFERAGLSFLTISSDVLLILIVLLFLRANYAEFRNMHLQSLPELVLSEEMVNNVAASFRVKINYVLLMAHDITLGKDFRLFFKVSDIVYTPIF >KZM89930 pep chromosome:ASM162521v1:6:7596287:7605668:1 gene:DCAR_022707 transcript:KZM89930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVKLSECGLSYAVVSIMGPQSSGKSTLLNHLFHTDFKEMDAFKGRHDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPDAHTETLLSEFFNVEVVALSSYEEKEELFREQVASLRQRFNKSIAPGGLAGDRRGVVPASGFSFSSQEIWKVIKENKDLDLPAHKVMVATVRCEEIANEKFASFLMNEEWIEVDETVKSHPVTGFGKKISSILDTCFSGYDMEAAYFEEGVRSAKRKQMEEKFLQVIQPAYQCMLGHIRSATLQKFKEAFDKALSGGQSFAAATQSCCASYIKQFDEGCADAFIKHTNWDAARVKEKFRRDLDAHVTDVRTAKLSELKASFEKKLNDALTAPIEALFDNAGDDTWPSIRKLLQRESEKAVSGFSTALTAFDLNEEEKDKMLSGLKDHARDVVEGKAKEDAGRVIIRMKDRFTTLFSHDNDSMPRVWTGNEDIRAITKTARAASLKLLSVMAAIRLDNVADNIESTLELALVDTKAASKALQDALASSSWEKEASKRGNNWLPPPWAIIALLVLGFNEFMTLLRNPLWLLLIFVVYLLVKAFWVQLDVSHEFRNGFLPGLFSISTKFLPTVLNILRRLSEQNQGPASNDSRRNPALTSSNSFASDSPSAAHAKAQ >KZM90800 pep chromosome:ASM162521v1:6:19363743:19365500:-1 gene:DCAR_021835 transcript:KZM90800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKIRQAIGAVKDQTSISLAKVASSTALADLDIAIVKATRHEQYPAEERYIREILSLTTYSRSHIAACVGTISRRLNKTKNWVVALKALILIQRLLSDGDPAYEQEFFFATRRGTRLLNMSDFRDTTKADSWDYSAFVRTYALYLDEQLECRMQDKRGKGNMFAYIEEDEEANQGATTARATPVQELTNGQIFSRIHHYMQLLERFLACRPTGAARTNRIVIVALYPIVKDSFQIYYDISEATGVLIDRFMVLDIPDSVKVYEIFCRVSKQYDELDSFYEWTKSVGVVRTSEYPDVDKITQQKLDAMDEFIREKSVMLRNRMAMNAEVEPVEEKEEEPEEDLNAMKTLPPPEGFVEETVKAEAVEVKVEEPAQEIKVEPKRQDVGDLLNLGADAPTSEEQSNRLALALFDGGPSTATPTVGTSAWEAFNNTGDWETALVQTASHLANQKSSLPGGFDTLMLDGMYQQGAIKHAMASSGMIATGSASSVALGSAGRPAMLALPAPPTAGGGAQSTSGADPFAASLGVPPPAYVQMSELEKKHQLLMKEQLMWQQYARNGMQGEVSLAKLQQQNAYPYNNGGYAL >KZM90984 pep chromosome:ASM162521v1:6:21070931:21074246:1 gene:DCAR_021651 transcript:KZM90984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSSCRLDKTKFYVVGAGIFSGLTVALYPISVVKTRLQIVTKDSVERNAFSVVRGLLKAEGVPGLYRGFGTVITGAVPARIIFLTALETTKAAAFKMVEPFKVSEPTQAAIANGVAGMTASLFAQAVFVPIDVVSQRLMVQGYAGHTSYNGGLDVARKILKSDGIRGFYRGFGLSVMTYSPSSAVWWASYGSSQRVIWRLLGHGTESEEPSPSESTLVLVQGAGGIIAGAAASCITTPLDTIKTRLQVMGHEKRPTTRQVVRRLIADDGWQGFYRGLGPRFFSMSAWGTSMILAYEFLSIDCFSLFSSS >KZM92161 pep chromosome:ASM162521v1:6:31072651:31080006:1 gene:DCAR_020474 transcript:KZM92161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKRRRSDALLPWFLAIEALASYQTDPSFLFDLVKKIPGDCSENDGKNARELVCLRVLESLSVLENGKMKADSGARISPSDCCEDVLIQVLLKISSRENQELSKSGMLKGDIEQYVKRKISCLPKCGLERDVLLKDSDVIPLSVKEKSGLLKKYHSDGNATRDERSDRDALFPWFLAIEALTSDQTDPSFLFDLVKKIPRDCSENDGKNARELVCLRVLESLSVLENGKIKADSSARISPSDCGEDVLIQSSRENQELSKSEMLKGDIEQYVKRKISCLPKCGLEQLKDVILKDSDVIPLSVKEKSGLSKKYHSDGNSTRDERSDCDALDDPPVRDLPSGKTDVKDSGYANQEGSTSLNEKFHVDSVPVEIVQHSGEEESNLLRELGVENLRETVTSENVDSDRVASKKLRMSFTVGDAQLLHNQLQGTCNVEKLTQGTSGEEHDLNIIAGEAKNDAEGYVESKTVVSSPRFVDQGTNSVHEAKDNCDHSLSLTPNSLRCGEIRATVESEHQSETLSDDEILIRNTTFLNSQCSHSQDSLATLNGMKLCMICKIGGQLLVCSSGSCQRVVHERCMGVAPTFDVTRRFYCPFCAYSRAISEYSESKKKYSLARKTLVAFINRDEYRLRNSSSVLHREDQNHSRESEISNKSIEENRLENVVSREIKNSSRKNTGDKPSISCDSSSRKRARAVTSGENEVALEVKSNAEGCQSLSEQEKQVPVLGVQNREPESSLPGVSEQLGTVEKLQEFSHQPKGVPQVENKEDLYLASQAIKDSEAYSSKSKYSEIAYRRKRHSGTPKEQDVSNQPTVSPCEPAKPKHSAEGKSSGERSESTTQHSLRIRKRKQQYSYPSMPHLRRKKILWSNAEEEALKEGVKRFASHHDGLMPWKTILEFGGDVFKNRTAIDLKDKWRNISKASAKV >KZM91843 pep chromosome:ASM162521v1:6:28278162:28297226:-1 gene:DCAR_020792 transcript:KZM91843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRTLFLSLLLLSICILSTALNVTYDSTSLLFDGQRKLVISGAIHYPRSTPEMWPDLIQRAKDGGLDTIETYIFWNHHEPEYRQYDFSGNLNFVKFLKTVQDNGLNAILRIGPYVCAEWTYGGFPVWLKHLPGIVFRTYNKPFMSEMKTFTTLIVDMAKKEKLFASQGGPIILAQIENEYGDIMGAFGEDGLKYLDWCAKFAVSLDIGVPWLMCQQNNPVAPPPMLVACNGPYCDSFKPNNSYSPKMWTENWVGWFENWGQSVHHRPAEDVAFSVARFFQLNGSVMNYYMYHGGTNFGRSAGGPLITTSYHYDAPLDEYGGLNQPKWGHLKDLHLLLKSLEKIMLYGNSTTKTFDKNKLESSTIFEFNGTKACFLGNSHDDKDITLELLGKNFTVPAWSVTILKDCKTETFNTAKVRAQTSVKVRVPSRTNLQWATKREYPIHYKDPLFEKHALIKSNVFLSDEAPDQKIANDTSDYLWYMTQFTVHDPKFGEQILLHANVSGPIIHVFLNGKHIDRWSGSYSSHGFIYEQLLNIELGKLYHLSILTVNAGYANYHAHYESYVNGISGPVKVVSQNSMHSMVLTKWELRSGLGGILRKMHLGSGRWDQSVISDRPFVWFKTTFDSPRGTDPVVVDLLGMGKGLAWVNGFNIGRFWPSAFANNQGCDSCDYRGDYGRGKDKKCRSECGASTQRWYHIPRSILKDTGNMMVLFDEFGGDPSNVSIQTVPTGHICANAPADTTLVLSCEDRMLQNVPPGMYGAAATTAIGKVFTEVSFASFGNANGTCGPVPKPFQKGSCDSPNTLAAIKKACIGKELCELDVSEKTFGTSNCTSDVAKKLTLCTLKSDYRCLIWVSASPSKLTLVCKYAVSLRGASAKEISRDALLERVSQERELRNYTRKATSAALFIQRVWRSYYSTKMIALQLQRDWQDMISCCKMSTITATWISSSLLRPFLFFTTCLSTRYRKIQARDVNCMQMCFKILLESLNSTDHQKNFCLLSTCSLEERRIWLYQAKKLISLCLLILAECDYTRQGANEFVILTSLGMRFLVMLTDAKAWKYNSESSFQDANNAVKELILFMGSNKSDLFVCVRRYIIRLDAPFPQLTGAGQKDDKLLITASAITVSLRPFHILDIEKNDSLDVQHAAEQFFIFLLSIPWFTQRLPAFLLSSLRHKSVLLRCFRALLISKERILEEISKVDHLMIPSSPRMMPQVGWALGNILCLATCSDHILDGHGYFTQDLDYTLYIQVVIILAEDLLYCLEKVGEFRKVNQDLYENAEGSDESVETMLIDLCTTNMSLKMSFMDYFKPACQQWHLMKLLTFEKDCSIPISHNTPKDILESQGKRELLDVAYYYFCMLRIFSQLHPVGSLPVLNMLSFTPGFLLKLWEALERSIFPSESPQAGNIVTKPSGSNSGKILERKQKQGAKDGGNKWLHVLHKITGKSNSDFDNMNLNNDLPTADQSDEDSIDFWEVETLKCGPEGLSNSSRCLFHLFCATYSHLLLVLDDIEFYEKQVPFTLEKQRKIASMLNTLVYNALTHSISQQSVTFMDAAVRCLHLLYERDCRHQFCPPSLWLSPARKNRPPIAVAARTHEILSTNLKADDSLAVPSTHSVITTTPHVFPFKERVQMFREFISMDKVSRRMAGQVIGPGSQAVEIVIRRGHIVEDGFQQLNSLGSRLKSSINVSFVSECGLPEAGLDYGGLSKEFLTDLAKAAFSPDYGLFSQTSASDRLLIPNTAARFLENGVQMIEFLGRIVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDVKELFLDFTVTEEAFGKRQIIELKPGGKDMCVTNENKLQYIHAMADYKLNRQVRYLSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGNYDIDVDDLQKNTRYTGGYTEGSRTVKLFWQVLAGFEPNERCLLLKFVTSCSRAPLLGFKHLQPTFTIHKVSS >KZM89791 pep chromosome:ASM162521v1:6:4905803:4907984:1 gene:DCAR_022846 transcript:KZM89791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSNTKSAALSALSQCSATNPNLTSLFTKYVDKTSVSSWNSVIADLARNGDSLEALRAFSSMRKLSLVPNRSTFPCAIKSCSALFDLHSGRQAHQQAFVFGLQSDLFVSSALIDMYSKCGYLVDARTLFDEIPQRNVVSWTIMFTGYVQNGLSHDALILFKDFLKEESETEGEKEAGIDSVALVSVLSACSRVSGKGITEGLHGFIVKRGFQGDTRVGNTLMDAYAKCGEVGASRKVFDEMDEKDVISWNSMIAVYAQVGKSAEAMELFFALVRDKNVHYNSVTLSAVLLACAHSGALQVGKCLHNQAMKMGLQETVYIGTSVVDMYCKCGRIEAAKRAFNQMKEKNVKSWSAMVAGYGANGLAKEALDVFCCMNSSGVKPNYITFVSVLAACSHAGMLDEGKYWFSAMENRFGIEPGVEHYGCMIDLLGRAGRLGEAYNLIKKMKVKPDFVIWGSLLAACRMHRNVELGEISARKLFELDPKNCGYYVLLSNIYADAGRWDDVERMRLLMKNRGLMKPPGFSLVEIKGRVHVFLVGDMEHPEHERIHAYMEKLYVELEEAGYMPNMTSVLHDVNEEEKGMSIRVHSEKLAVAFGLMNSVPGAAIQVIKNLRICGDCHTMIKLISKIRKREIVVRDSKRFHHFKDGCCSCKDYW >KZM89702 pep chromosome:ASM162521v1:6:3556126:3559126:1 gene:DCAR_022935 transcript:KZM89702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKEKQQRKDQSEVINELIGIIEYVKAIPDFRITQKKQSQCLVRRLKLLLPLLEEIRDLESPLPEECCVYLYKLKTAFRSAKKLLKACSVGSKIYLAFESEGVMIRFKSVYEKISQALDGIPQDKFSLSEEVRQQVELIRGQLRKAKSRSDSQDMELTMDMMVVLSSENDRNADKASIERLANNLGLRTVDDLNNETIAIRKLVKERRGHNAEATQQTIDVLRKFRRFAGMDEENILEEPIVPKDRNKFVTITTPDEFLCPISREIMADPVIVSTGQTYERDNIQQWWDSNHQTCPKSGQPLSHLTLAPNHALKNLILQWCEKNKIELPKKVVAKHRTSHEKVSAEPENKMLTLVADLSSSQLEVQRKAVTKIRKLSKESPESRVLIARNGGIPPLVQLLSYPDSKIQENAVTALLNLSIDESNKILISNEEPIAPIIEILHNGTIGAKENSAAALFSLSMLDENKSTIGALDGIPPLVDLLRTGTIRGKKDAVTALFNLALGHANKSRAIEAGIVTPLLQILKDNHLDMVDETLSILLLLSSHQEGRQQIGQLSFVETLVNLIRDGTPKNKECALAVLLELGIHNSNLLLAALQFGVYEHLVEVTKSGTDRAQRKANSILQQISNSEQI >KZM92684 pep chromosome:ASM162521v1:6:35384645:35384911:1 gene:DCAR_019951 transcript:KZM92684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGATAAVGRQQQGIIRIAIFLSSTGTEPAVQITDLRPKAEPLFMTRNFENEISVY >KZM90352 pep chromosome:ASM162521v1:6:14485856:14486221:-1 gene:DCAR_022283 transcript:KZM90352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSTYKPFHPSYEEMIFHAICSLKRRSGSSSTAIAKFILRHYGGLPNNFRKILLRRLKELVACEKLVRVKNSFKLPSR >KZM90911 pep chromosome:ASM162521v1:6:20352350:20353851:-1 gene:DCAR_021724 transcript:KZM90911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSACSHIGGHKYAGNLIIFSEDSEGKVSGHWYGYVAPDDVPELLDQHIGKGEIIERIWRGQMGGNVKEAEKVDELKLPNGNDTEKEAENVDEQKFSNANELKNDIEKEAESIGEQKLSNENDMKNDFEKEAEKVDEQKLPNGNEAKKEPQPRESSQEEKPTAQSCCQGDDRISCCREQTADEELPLQKTKTSCCQSTNGNSSGSNEVLEKEVKKPLGRLRSWAGKWEQHEVYAAAGVVGAVATAGVVYSLYRRSR >KZM90813 pep chromosome:ASM162521v1:6:19486431:19486673:1 gene:DCAR_021822 transcript:KZM90813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKITKKLNDLHNDEEIEAALKLVQLRSLKKDIIISCSTSGKSNLCHNDAEYVKEADGPKKKAKKFRSIVDLYNVTKPV >KZM92086 pep chromosome:ASM162521v1:6:30422735:30423769:1 gene:DCAR_020549 transcript:KZM92086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPLVHHPPLRKGDTNHLVSLTSTTYGSLVLADPVPDPADPDCDPSSSPGSVINTWELMEGLDELDIDGPQNPKGNSGRNGEMGLFPAGSDFLNSCEVVEHKDSKPLWKHLSEESMLAKLDPNVVSSYRRALVSRQLGYSKDSSFTKDSSFIKDSSFTKDLSFTKNSSFKEIIEVDVKPARLVVSKSLLYLSGCEDKIVLYFTSLRGIRKTYEDCCQVRMILKGFKVFVDERDISMDSEYKKELENLFDGKKGFGLPKVFIRGKCIGGAEEIKQLHEDGELGKLLEGFPVKDPGLVCDGCGDARFVLCPDCNGSRKVFEENGELRRCPGCNENGLIRCPGCCS >KZM91986 pep chromosome:ASM162521v1:6:29597667:29598241:-1 gene:DCAR_020649 transcript:KZM91986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVAFLFTVLLLHSYVLSTAASGRKLLEEKMVVARRLNAVNMKDYDPAEANPKHDPSRNPDFDHPTDPHDQPPHQADPPRPADPPHQADPLRDTQPPHESTDPPRVAQPPHESAPFNDRSSILHHPHHQRHPKPMQTQAPVLNIPVPATINTGSQP >KZM89935 pep chromosome:ASM162521v1:6:7629903:7635223:1 gene:DCAR_022702 transcript:KZM89935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGRIRSKLRWSSLYTFGCMRSQSQDAEQPHQFQGPGYSRTVYCNQPRMHRRKPFKYVSNYISTTKYNIITFLPKAIFEQFRRVANLYFLLAASLSLSPVTPFKSVSMIAPLAFVVGLSMAKEAMEDWRRFIQDLKVNLRTASVHKANGVFTYKPWFKIQVGDVVKIEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLAVTLPLYNEDAFKNFSGTITCEDPNPNLYTFVGNLDLNHQIYPLDPSQILLRDSKLRNTAYVYGVVIYTGPDSKVMQNSTESPSKRSKVEKQMDKIIYVLFTLLVLISVISSTGFAVKTKYQMLDSWYLPAGDKGLYNPNDPDRSGFYHLITALILYGYLIPISLYVSIEVVKVLQAIFINQDLHMYDEETGTPAQARTSNLNEELGQVDTILSDKTGTLTCNHMEFLNCSIAGTAYGISSSDVEVAASKQMAMDLNKQDHDLAKNYLHSNDTGFLNISRGFHPSEIELENIVHSKLENHHKPLVKGFNFEDSRITNGNWSKEPHAEILLLFLRTLAICHTAIPELIEETGSFSYEAESPDEGAFLVAARELGFEFCKRTQSSIFVRERHPFSTGYIEREFKLLNLLDFTSKRKRMSVIVRDEDGQIFLLCKGADSVIFERLSKHGSMFKEATTRHLTEYGEAGLRTLALAYKKIEEAEYVVWNEEFLRAKTSIGGNRERMLERISDMMEKNMILLGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRRGMNQICIAASADMLAQETKEVVKENILMQLTDASQMVELEKDPHAAFALIIDGKMLSYVLEPDMKHQFLHLAVQCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMSSDFSIAQFQFLERLLVVHGHWCYKRIAQMICYFFYKNITFGLTLFYFEAFAGFSGQSVYDDWYMLLFNAVLTSLPVISLGVFEQDVSSEVCLHFPALYQQGPKNLFFDWYRIFGWMGNGIYSSLVIFLFNIFIFYDQAFRRGGETADMAVVGTNMFTCIIWAVNCQIALTMSHFTWIQHLLVWGSIVTWYILLFLYGMVSPVTSRNAFMIFIEALAPAPLYWITTLLVTTTCNLPYFAHISFQREFFPMDHHIIQEIKYYRKDKEDGNMWTEERSKARQETKIGFSARVDASIRQLKGRLHKISSPISPSL >KZM90170 pep chromosome:ASM162521v1:6:11975455:11975730:1 gene:DCAR_022465 transcript:KZM90170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLISSVEGINSIVLDPSKNTVTVIGECDPVCIIKQVRKFRSSAQIMSIGPPKVEDKKDDKKDAFPSLPKTCQRCDVWYVVGQDYTPCHIL >KZM91711 pep chromosome:ASM162521v1:6:27281735:27282764:-1 gene:DCAR_020924 transcript:KZM91711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALMLDNCESIILSLDSHKSVPAPFLTKTYQLVDDPLTDHIVSWGDDHTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYIHRRKTCQPQQMSHTHHSLHRAGFFPYQNPSISPTNSDDQANNWSCDDSPPPLSSPTPHSTTTNLYNTSVTALSEDNERLRRSNHMLMSELAHMRKLYNDIIYFVQNHVKPAVPSHSYPPSLVLANANNVHHKGFSNGYDHHQYNNMGKQSSHEGAKIFGVPLQCSKKRSLQHEYMGMSTNKARFVLENDDLGLNLMPPSPC >KZM91171 pep chromosome:ASM162521v1:6:22764601:22768205:1 gene:DCAR_021464 transcript:KZM91171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNCEQEYRRKVGHRAESPKEKGKMLWKKVKYQLVEYHSLPAFLKDNEFILGHYRSEWPLKQIFFSVFSIHNETLNVWTHLIGFLLFLTLTIHTVMKIPYVVDLHKFENVREDLKTSLPLAHVLPSLSSWRSAKFLPNYIPEQFSQRNHSDVCALHSIKENVANTIAPVMVRPITRWPFFAFLGGAMFCLLASSMCHLLSCHSKRLSYIMLRLDYAGIATIISTSFYPPVYYSFMCNPFFCNLYLGFITLLGMGTIIGSLLPVFDRSEFRSIRASLFFAMGFSGVVPILHKLIMFWHQPEALHTTGYEVLMGSLYGLGALVYAMRVPERWIPGKVDIAGHSHQLFHILVLAGALTHYRAGLVYLKWRDLEGC >KZM90673 pep chromosome:ASM162521v1:6:17956482:17958945:-1 gene:DCAR_021962 transcript:KZM90673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEVGNVENGETKINPKLTLLPLIALIFYEVSGGPFGVEDSVKAGGGPLLSLLGFLIFPLFWSVPEALITAELATSFPKNGGYVIWISSAFGPFWGFQEGYWKWLSGVLDNALYPVLFLDYLKRSLPIFNNLIARIPALLGITATLTYLNYRGLQIVGVSAVLLASFSLLPFVVMGVLSIPRIRPKRWLVVNSKKIDWRGYFNSMFWNLNYWDKASTLAGEVEDPSRTFPKALLGAVVVVFTSYLIPLLAGTGAVQTNSNDWSDGYFAEVGMLIGGFWLKWWIQAAAAMSNLGLFEAEMSSDSFQLLGMSEIGMLPAIFARRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYSIGMLLEFAAFINLRIKKPHLQRPYKVPLETFGAAMLCLPPTVLLVLVMCLASIQTYLVTGAVIIVGSILYSIAILAKDRNWVHFEAEQLIKPSDCDIEGHPILSKEHQEVADEASLGLLSDPSSLTEQLPPNPEAGVSKSE >KZM89911 pep chromosome:ASM162521v1:6:7354249:7354560:1 gene:DCAR_022726 transcript:KZM89911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEAHKVLAQLVEHNSSMREVLKVLEEENKKLKDKIKLMDIYRSNNERMINMLKEHKDEQRALSLHIIDPKTFQPPNIGKKRKLEKGEGSNVSTDEGEKNKK >KZM92339 pep chromosome:ASM162521v1:6:32620872:32629024:-1 gene:DCAR_020296 transcript:KZM92339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDFRNKAGGSQLPMHRPATSTSAASPGHHPMYGYPTIGQQTPGYSFAPPPHAGTGIRVVLKPEYRINPPPQLSPQIGDIPRSNFQFDFDFERKVLAEAEKEKPDWSKLVHENVPPRPAEIPSQGSPTDHVVRKYIASGLNREAVPLAVANFGDDPVKVREFANGYTLLREMGFSSNSVAEALLMYDNDTDKAGDSKPTGVTMFGSESISPSQSRTSNISGSIPQSVILKNTALLRRSDFHLSPDNPFLQVDSRPPPGTVFTSEDLCTGSQVDSCPPPGTVFTSDDLCTGRKRRRGYNKDKENPPPQSIRNNLAAIVSGSYSDSNSIPPSTGVFSSSGLTKKPRPPRVNGLVSVQSTQLPTRIPLSNMSNIDVSRGLPEKRGKLKKKNFLAEITRTLFEETEESNGEDRNYESLDQSWIAKFDSNYNPSSSVGRGTRVSVKPEYWITPPV >KZM90462 pep chromosome:ASM162521v1:6:16011592:16011762:-1 gene:DCAR_022173 transcript:KZM90462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKNPQPKLHKAPIIIFNSQNTHTQGRTLDRLLAKAADPDIFGVMAMAFHTTGLS >KZM90986 pep chromosome:ASM162521v1:6:21100753:21102320:1 gene:DCAR_021649 transcript:KZM90986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFHFANPELFAFGILGNLVSFLVYLAPIPTFYRIVKKKSTEGFHSIPYVIALYSATLMIYYALNKNDATLLITINSFGIVIETIYLSLFIAYAPKKIRVSTIKLVVLLNIVSYVMIVAVTYFFIQPPKRVHILGGINLLLSFIVFVAPLSIIKKVVQTRSVEFMPFWLSFFLSLSAVMWFFYGLLSKDIYVALPNILGFTFGIIQMVLYGIYKNCESKPVEELKLPEIVKGTPEIYPVSSFPSSENDDDEEKKKDENIKDKNMDASDQV >KZM91421 pep chromosome:ASM162521v1:6:25062312:25063497:1 gene:DCAR_021214 transcript:KZM91421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINVIVSIFILTLLFHQSVAGLLAEPAQPIKLGDYQSPNTVPAFPVQTEAQTCRLDLSAELFGGVNAACGRNLDRSRCCPVLAAWLFAAHARSALQITTAAPVSSDLPMMPDDSQKCVNTLQSSLLDRKIHIPQPNNSCDAVLCFCGIRLHQISSLTCTAAFNISGTSRNATPTAAVKNLEHNCRNSSYAGCTKCLGALQKLKGDGKNGTSRHHPDEDSDGNGDRARKMLNRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPHESKCSPDQENMPLAVDSLQLDKSYASSSTSSFQHPIMLRTVVPVLALLILSYLLV >KZM92243 pep chromosome:ASM162521v1:6:31779590:31784658:1 gene:DCAR_020392 transcript:KZM92243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKTDQNELKREKTDEDLKPVAEGVAFRELLSYADGLDWTLMVLGTLGSTIHGLAQPVGYMLLGKALDAFGENINNDEAMVKALKKVIPFVWYMAIATFPAGILEVGCWMYTSQRQAAKIRLSFLRAVLRQEVGAFDTDLTNGKITSGISNHMSVIQDAIGEKLGHFLSCFATFFSGVVIALISSWEVSLLSLLVVPLILIIGATYTKKMNVISAAKTSFLSETTAMVQQTISQIKTVYAFVGENSAVKSFTERMERQLVLSKGEALIKGVGTGMFQTVTFCSWSLIVWVGAVVVVAKRSTGGDVLAAVMSILFGAIALTYAAPDMQIFNQATAAGKEVFEVIRRSSAISIDSKGKTLELVEGNIDIHNIHFAYPSRPEKRILQGFSLSIPAGKVVALVGSSGCGKSTVISLMARFYDPAEGEIFIDNNNIKDLDLRFLRKNLGVVSQEPSLFTGTIKENMKLGNTDADDQQIESAAVMANAHSFISQLPNQYLTEVGQNGVQLSGGQKQRIAIARAILKNPPILMLDEATSALDSESEKLVQNALETAMQGRTVIVIAHRLSTIVNADMIVVVDNGKVTESGKHHDLLDSSKFYNNLVNMQNITVEDQTRTIDDTEETSGTELKVSSEQPIKSLELVYHLPDYPEQEKQKEGKSWDIFFRLWFGLNRNELVKTAIGSFAAAFSGISKPIFGFFIITIGVAYYKPDSKEKVGKYSLIFSSIGLLSLISHTLQHYFFGIIGEKAMRNLRQALYSAVLRNELAWFDSPKNNVGSLTSRIISETSTVKTIISDRMSVIVQCISSILIATIVSLRVNWRMALVAWAVMPCHFIGGLIQAKSAKGFSGDIAAAQSELVALTSEATANIRTVVSFCHEDNVLQKARVYLKKSTSRNRKESVKYGIIQGISLFLWNVAHAIALWYTTVLVEKKQAKFENGIRAYQIFSLTVPSITELWTLIPTVVSAISVLTPAFQTLDRQTKIDPDTTEDPPTEKINGEIEFKNIHFHYPLRPEVTILNNFSLHIESGSKVALVGPSGAGKSSVLALLLRFYVPREGSILIDGKNIEKYNLRKLRTQIGLVQQEPLLFSCSIRDNICYGNEGASETEIIEVSKNSNIHEFISNLPYGYDTVVGEKGCQLSGGQKQRIAIARTLLKKPAIMLLDEATSALDAESESAVVNALESIQLNSSGGFLKKTTQITVAHRLSTIKNSDTIIVMDKGSVVEMGTHSSLKDISEGVYSRFYRLQSVRHKLHRKQGQST >KZM91113 pep chromosome:ASM162521v1:6:22310722:22312581:1 gene:DCAR_021522 transcript:KZM91113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLPNNEKNEVKKGPWTPEEDIVLVSYVQENGPGNWRAVPANTGLARCSKSCRLRWTNYLRPGIKRGNFTDQEDRMIVHLQRLLGNRWSAIASYLPQRTDNDIKNYWNTYLKKKLLRKQARGAGDDQNVARGANSEASSSSSKGQWEKRLQTNVHMAKQALSEALSLDKSPSASTVTAASPALSAQATTSTGAPREQSPASPYAASADNIAHLLKGWMKKPAASSSSSQGHGTISSEITTTHDYSNSVKYNNHFYQAGSSSAAGYSPPSDGQTPECGITGGHRGLDSFFNKFNSSKNYAFPANAPLDGLANLRAEGNANNGLFMFPDRNYGKLNVHHQQQLQPMPPMSLIDNWLFDDVTAAANQAEQHNLMRNMF >KZM91228 pep chromosome:ASM162521v1:6:23381256:23386113:1 gene:DCAR_021407 transcript:KZM91228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDTDHLHHHKEDIYAFQKDEEDKEYDFGSYDHEAPLPLTLTSRVLYMLGDITSGPGYRFTQWLELVRKRSSKYQNPAFSNHPRRISSMPFLSAGESSLDSTSALPADQVREFTLWDRLGKAAVLDIESNSFSWSMLSSVHRTENSSSTEPSEDEMNKPLEVTVNSGGVLFLALFRQPKRDGSPSKEAVAVIKISSTRMATQSERLGYEFAKWLGVLTPQARVVHNLSHEWLQIKEAAERVRDAANADGDEIGEMTCSELLEALELSRCLFLMNYIHGTPLLESSAAFDLREGAAKTAAALGRILLLDLVIRNEDRLPCQQLRWRGNFANLLLADKIASVYVDEMNEPFESVINKYRPTIIRALQKELRSTSVDSRLSTHNAVLVSQSSDLSDIMESPKSSKEIPKSQNSTELAIPDFHIVAIDSGVPRRPPAGKRANDRAKYPKLVELIINSSRYAAQVLYEVSGGKLGSSPENADPESGSHLTDMSSVVHHFRSGFRAAVRDLQGFHIFLLTLHQKLDSLLKIFVNIIDKTEVDREDIDTVASGSSAQATGCVHSPCTPSKERAAIDNQSDLNEPEVQRGTPRASSSGCKASSDSGSPKFRDGVPGRFNKGNSESLRNMHFISKLRDFHKFAKDDVELNKEIEQWNEMLKVEAVKLCQENNFSTGFFESGESSSVIDAYELKVRLEHILERIALISDAANTEKPSLISSTLFIGGALAARSQYTLQHLGITHILCLCSNEIGQSDSQYPDIFQYKNFSINDEEDANIDDLFAEAHHFIDDVEEIGGKVLVHCFEGRSRSATVSFSRNLTLQKAWNTLKRVHRRAQPNDGFAKILVELDKKLHGKVSMEWQQRRPTMKVCPICGKNAGLSSSSLKLHLQKSHKKLSSGSVDSAMSMEIEKVVDALKISRGGSVSPKHQSHSMTE >KZM89725 pep chromosome:ASM162521v1:6:3832883:3845460:1 gene:DCAR_022912 transcript:KZM89725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENDDNLSNKSRLIDIDDTVDTLEPKECDVAGEKSSDFECVGREIEEAEASIPVTESENLSENCVELEIDGKFSDGVEVEAGELERKDELSGEIEVSGVGVDASGIEVRDDKDDEIEGEVLYDTVRGDDKNDELEGEVVAEKKDELQGNYGCQVAKEGEVEGNGVDEGEILGDNDQVEDKDVCEDGFDDKQNVCVQVVAEKKDELEVEGGMNVGEEAGDKVVPESEIKDEAGVELQAGAVCEEVKAEELKGDCGTEVVGEALCEVGNEAENKSEIETEMKVSREPVKNVDDMVEEEKVEMGYVSSQSLNEVEERAANIEDNAEHSSGLIGLAEDTVMEEKNLVMESVNKKRDDELDSAPESDDEEDIMETGREYDEDKFEGEVPQPDGDSQLAEDEEDIMETEREFDEDKFEGEVPQPDGDSQLAEDEEDERLVGDEEIPAADVEMETETDAGELVKISGGKRKRGKNTKAAGKAQPLNMVGLPVEEEDVCFVCFDGGDLVLCDRKNCPKAYHASCVNRNEKFFQAKGRWNCGCIKDAVILCVRGNKGFCEACIKIVMMIEDNAQGSQAQIDFDDKSSWEYLFKDYWIDLKESLSISPVEIAKAKNPWKGSALGASKNESPGEQLDTKDDVGVGTDNASDNPEASKPKKRKYSKRLKSRATKQDESTSEAITAGAERKSTPKSTEWASKELLEFVMHMRDGDRTVLSQFDVQALLLEYIKTNKLRDPRRKSQIICDARLENIFGKARVGHFEMLKLLESHFLMKEDTQIDDVQGSVVDTETNHVDADGNIECVIKSGKDRKRKTRKKDGRERQSNLDDYAAIDIHNISLIYLRRKLMEDLLEDIETFSDKVVDTFVRIRISGSNQKQDIYRLVQVKGTTKVDDFYKVGKRTTNLMIEILNLNKKEVISIDSISNQEFTEDECKRLRQSIKCGFIAPMTVGAIQDKAMEVQVARVNDWLESEVQRLTHLRDRASDLGKKKQYPLLECVESLQLLRTPEERRRRLEDIPEIHADPKMDPEFVSEDNDSEIDSSKQEIYTRPRGSGFSRRGREPISPGSGDAKESWTGSRRSSGKGWELNRNLSDKNLANTVEDAPLSIGVSADNSWNNGRGSETEKPIILEKPNSVTSSEAFGFNRNSAARSDSSSHVQSQKSPASLLAPVATAPLNISEIDKIWHYQDPHGKVQGPFSMAQLRKWSNTGYFPSDLRIWSSSGNKDDSLLLTDALAGKFQKLLPDNGIPNSNNLHNPHLPPLHSLHQGREVQDAEKLNSDQNHFTMDSNINSASRNWAAHSVEIPLLSADGTNSNHNGRNNLVNLPSPTPKQRSSGEAGRPIGGVSLPGSIEALQSPAAATPDSTRNLMLASEKTPSISHPAFGASPNPEQGNLLGSTISLPNPQSTVASLHGGQNVSHPFIAPETNANIVQSVTANNPALGTQNWAGAPTQSLEANSSIQASTQQPVYAQWSGVQSTPVQNPSINFPVQGVPQVPNSWRPPMQTNQTNMQPNVQWGTGIADNSASQNLQPDNPNSGWASMQVSPNIGWSGPNPNMNWMASVQGAQPGNLNAGWVIPGGSSGVGFQGAVPGNINSGWVPQPGNAVGVVQMLGPMNANQGWYSNMAASTQGAAPGNGNAGLAAPISNLGSNVQGQMPGNANTGWSAPSGNANQGWGPLAGNQGNNRSQHHRIGDKFSSQKDQGSQPGRNWNKPSSFGGGGGGPRHGGSRGICYMFQDTGHCKRGDSCSFRHSL >KZM90700 pep chromosome:ASM162521v1:6:18305803:18307377:1 gene:DCAR_021935 transcript:KZM90700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEIEPREWVASGEMEELDCPSYANGFFIDAPVVEEEDFNLYPMEEEIERERRWSEACGWFASGEMEAKARRCPPPYFIHIRLLGADALDRDRRFVLRPWDGGELVNVERIAEISNLRPRDTHGPSYANGFLIEAPVVEEEDFNLDPMEEEIEPREWVASGEMEALDCPSYANGFFIDAPVVEEEDFNLYPMEEEIERERRWSEACGWFASGEMEAKARRCPPPYFIHIRLLGADALDRDRRFVLRPWDGGELVNVERIAEISNLRPRDTRFQKDQFRIAYVKGFVHNLQTDNLDDKPNPFLLAKFRLYDGSESILVSLGDDTKDHPMITTGEVREGSVLVLYQATCFISMDETPHHRLSIGYSNILGIFN >KZM91239 pep chromosome:ASM162521v1:6:23468544:23470298:-1 gene:DCAR_021396 transcript:KZM91239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTVALTILLSFLLPLWIMLMKKRDEHKSLPPGPKKLPIIGNLHQLSQPIHQSFQRLSDKHGSLMHLQLGSVPTLVVSSAAMAQEVLKTHDLTFASRPTMYATKKLSYNGTNISLAPYGKYWREVRKIALVELLSAKRVESFEAIRKEEVAYILKIVQDSTAKSTPVNLSELMFVVVNNIILRSIFSKKGNQSEEKGKSSVGEFSEILNEMEELASVGNIADSFPWMGWYNKFNGFDGRLEKNFRALDGFYDMVIQEHRQQSGGSQHEDLVDVLLRIQNDPSQEIRLTDENIKGIITDMFVAGSHSSSSTLVWMMAELMKNPSAMRKAQEEVRGVVNKSGSLQVKERHLPELVYLKMIVKEVLRLHPPIPLLVPHESTERCSIAGYDIPAMTRMLINCASTAKDSEYWEDPEEFKPERFLNSDIDFRGRHFEFLPFGAGRRGCPGINFAAVILEFALANLLHDFEWNVPDGARAEDIDMEESFGIAVHKKTPLCLVASTPSMTV >KZM91414 pep chromosome:ASM162521v1:6:24953598:24955034:-1 gene:DCAR_021221 transcript:KZM91414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRSSTVKVLEQCRISPPADSVPVATLPLNFFDLQWLIFHPLGRVILYNFPHSTSHFIQHTVPNLKTSLSLALKHFTPLAGNLIVPSGTDSNTDYVIRYLDGDSVSVTFAECTDDIDYGNHVRDANILSTFVPQLPSATNVDISGEKCSVAPVFAIQVTVFPGRAICIGIKSAHTVADGSSLFNFARAWASITKNVNLLDTKNNISDFVTSEGFEIPSYDRSSIQDPCDLVTMFLEVLGPGVTKQVEKLLPQKESVDDSSKHKVKATFTITEAQIKSLKSMISTERPTLAYLSSFTAVCAYIWTCLAKTRATVWGEEHNLDECQHFSFSMDCRARLDPPLPASYFGNCLAPCLGAQTGRVLVGDEGLAAAAEVLGNSVSVKLEKGPLHGLDKLPDALAGTMKGEWIIGIAGSPKLDYYNNIDFGWGKPLKFEFVEEPLSISRCKDSNADLEIGIVLPKNEMDVFSTIFARGLQNLHC >KZM92527 pep chromosome:ASM162521v1:6:34106471:34115581:-1 gene:DCAR_020108 transcript:KZM92527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKKDKLVRFYSDAKLRKDALWARSDPSYLQKSSSGYNVSSSLLKGDAGLPSRYKISDNLKTVKSKVFSEDYEPWSKRILDPGSEIVLQWNRIFIISCLLALFIDPLYFYLPSVGGSKESWCVKTDLNLRIIVTCFRTVADLFYILHVVIKFRTAYVAPSSRVFGRGELVMDPQKIARRYMRSDFFIDLIATLPLPQIVIWFIIPATRSPGANHNNNALALIVLLQYIPRFYLIFPLSSQIIKANGVVTKTAWAGAAYNLLLYMLASHVLGAAWYLLSLDRHASCWKSICHSETSSRNCSEYLNCYAFNHDTRRTWADSTNVFKKCNPDNEIDFKYGIFEDAVKKNVVSSNFMEKYFYCLWWGLQNLSSYGQNLSTSTYIEETAFAILIAIVGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPEDLRQRVRCYVQYKWLATRGVDEETILYGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTENTYIVREGDPVGEMLFIIRGRLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTANLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKRKMIAKDLLALESFNLSEQSLDGAEEEDEEDKKSGSLKNSQVKMNLGVTILASRFAANTRRGAQRIKSVGLPNVPKKPEEPDFSGEPDD >KZM91921 pep chromosome:ASM162521v1:6:28972450:28973154:-1 gene:DCAR_020714 transcript:KZM91921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAPQPQLNGAYYGPSIPPPTKSSYRPGRRGGGGGLLGCLCSCVFNLIFQIICTILVILGVIVLIFWLIYRPQPLKFRVTDATLTQFDYSTDNKTLFYNLAVNMTVRNPNKRLGVYYDKIEARALYEGERIASYDIPKFYQGHKSTEDMFALFQGQNIVELKGRDLDRFNSEKTSGTYSIDVKLYMKIRFKVRDGIKPKFKPRIECELRLPLDSNGKSTGSFETKKCDFDWRR >KZM89954 pep chromosome:ASM162521v1:6:8236573:8238717:-1 gene:DCAR_022683 transcript:KZM89954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASPSPSVAPDPPPVASPPLNIVPLASSDENVQVLAPVAVDDVQDHSSFDLVAQMPAAVLLCEFDEAASSSDFIAQVTQGVCDVSPANARGAPVLDSARVVRETSPVSAREVSGPMSARGVREAEPVVDLQARSQAIKHQRTMIDVGTFLENHGLSMNDFLKFQSVKYARNMDDFGCVKTSSLESLRNNFEVQNGVLRICSGSESTSCGDSTVPRITWSKIVDNGEKAEPFDDGFKDAPRKISLNGDGTATLELPHSFLIEARKQWSSSCIGHFVGASLQFKYVKEQTMKLWSNNGLKNVYYNSKGYYTFRFETEAEMKKVLALRSIQIEGKRIYLAPWTDGASFQKNVIKKVSTWVKLVDVPHSYWTREGLKHIASAVGVPIILDNQTATLNPMKYAGVLVEITYDCAYPKAVWVPVIEEDSGEVVKVRVGIDYTSVPQSCSYCKAFGHYDSRCEKNPSYVKPPTKEKAPVKKGKEKAEFMGCLVEKDPIVKPTPAQPTPVIPTPTANDVETSNKFGVLEDGEIDASAATEVMETVSENIEVTRPIGEALPKDSPMVLLESPVVEPTDSVLESAPAEPLICPSVPLAAAEPVNAQVAPLECSNVVATLDVPTEAPVELEVEFVEVVCALPSYNAPPSCPQATVTESPKNKRKKGKARGQNGPFFTESPQVSPPLASPSKRGKNVDEDGFTQVETKRSLRSRGKVTTPNFSQ >KZM91140 pep chromosome:ASM162521v1:6:22517783:22518562:1 gene:DCAR_021495 transcript:KZM91140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVEASKAAAEPPKTVVSEKKRSKPAAKPVKEKKAKVSKPKSAKTASHPTYFEMIKEAISALKERAGSSPYAIAKYMEDKHKAVLPANFRKILGLQLKNSATKGKLIKVKASYKLSDSTKTNAPKPVVAKKKVEKAKKPVAAKAKPVKKTKAVASPAVKKTKKRAAPPAKAKAKQPKSIKSPVKKAKKA >KZM91406 pep chromosome:ASM162521v1:6:24872831:24875609:-1 gene:DCAR_021229 transcript:KZM91406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNAGSKEISIGGALMFSEEEVRELSGFVICEDHVEITCGCTSHQYGDAVATLRVFPNGDLQINCDCTPGCPEDKLSPAAFEKHSGRETARKWKNNIWVIDNGEKVPVAKTVLLKYYNKTTKCGNKGSQNGRVGHRDEFVRCTVCSKRRRFRLRNKEECQVYHNAFKDAKWKCSDTPYEKVACEDEEERKSRRVYRGCSRSQTCKGCTSCVCFGCEVCRFSDCSCQICSDFTKNAKN >KZM92602 pep chromosome:ASM162521v1:6:34744616:34748436:-1 gene:DCAR_020033 transcript:KZM92602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVEGCMHGDLDNVYATLLHLQQSENTTIDLLLCCGDFQAVRNEDDLESLNVPAKFKAMNSFWKYYSGQKVAPIPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIYNERHYRLGHYEKLPYNEGHIRSIYHVREYDVHKLMQVEQPLDIFLTHDWPCGITDYGNWKELVRQKPYFEKEIQDRSLGSKPAAELLQKLKPPYWFSAHLHCKFAAVVQHGEGGPMTKFLALDKCLPGRKFLQIVEIESEPGPYEIQFDEEWLAITRKYNSIFPLTSKPANFREIQLDKQECMQWIRGKLQQRGAKPFEFVQTVRGYDPSLSSSRGSYTGHHRNPQTEAFLQFLELKYYLDNAMETRDQIHSPPMFIANGPSDHNYEDIPIDDVDELEELSEVNDADKVTSVP >KZM89517 pep chromosome:ASM162521v1:6:1470559:1472373:-1 gene:DCAR_023120 transcript:KZM89517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCYDCKQVHGYFVKLGGVGWNSLIGSMIAVMYLRSGGSLDDARKLFDELTERSVQLYASLIGSYCRCEKWVELFSVLGLMVLDGVLPDRYLVPRILKACSAVKLERRGKMIHGYVVRRDSGSDVFVSNALIDVYANCGNLRYARMVFDAMQDRDVVSWTALVSAYMDEGLICEAENTFQSMELSGVKPDLISWNALVSGYARNGEVHKSVSCLKEMQVNGVMPEVTSWNAVISGCVQNGCYEDALVVFCKMLWLPEKPNAVTIASSITSCARLKDLKIGKAIHGYTLKRELHRNEHVVGSLVDMYSKCTNNDCAEKVFLSVGTKNIVMWNEMIAACVNEGNLGNSLQLLKSMQNDGMQPDEFTYNTLLAGLARNGYKNEAYTLLSEMVSTNLELDVVSFNVLINGFQQAGLSREALKLFRNMLSPSIGWLFDGRPNLSVRPNVVTTTGSLAACADLLLLQQGKEIHGYIVKNRFEDNIFVQCALVDVYAKCHEIGSATKVFWTIKDRNTVTWNTMISGFIKNSQPEEALKYFTKMLYESVEPTPITFMIVVPACSEMEALSVGKQFHCYILKSLFSEHRNALSSALRNMYEKCNCTDYARLVC >KZM91829 pep chromosome:ASM162521v1:6:28149551:28157415:1 gene:DCAR_020806 transcript:KZM91829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFFIIGSILLLILHAPTTKAQAAEEADSPLCNEGTMQSPVDLTNVTVETVPDSEQVFTFYQPSNTTLLNRGHDIAVEWTGDAGSIEINGINYRLQQLHWHVPSEHTIDGKRYDLERHAVHVNLDTNETAVIGALYQIGEQDPFLSQLMTNLTYMVETSTNETDPGVIDPSDITSTDGFYRYIGSLTTPPCTEDIVWTVQSKIRSVSQQQVDLLLEAVHGYTCRKCIYDVLLWVVFLDCRVAGNFGATRGIWALIPTFSCVGKSCLLLRFSDDSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILIGNKADMDESKRAVPTSRGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAESETKAEPQTIKINKPDPGKDQTAATQKSACCGT >KZM90768 pep chromosome:ASM162521v1:6:19015725:19016123:-1 gene:DCAR_021867 transcript:KZM90768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLMIKHPLSSPRFQYTVIPTSDPLKIRLKQILITGVPEKKHHHHHHHHHHRSGEKKSKHGHKHKYSGRKSLDLSLRLGLSGKDQQATSTATSKGTMMNKIKKLGVYTQQQEDEDKFYGVSTKLALFDYRL >KZM92558 pep chromosome:ASM162521v1:6:34324114:34325462:1 gene:DCAR_020077 transcript:KZM92558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVIVFPMSEAFNSASFPPDTNVYLDHSQVSGTSNAGSINPQDYGPVDPVPSSKASIQAGPIQHDTPLMPYIPPSPPAPSSP >KZM89439 pep chromosome:ASM162521v1:6:731973:734822:1 gene:DCAR_023198 transcript:KZM89439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGSFRYSFNEKSEKEKLISNGLQDDDQEQQEAPSKSSGYSWFGLISDKVEAFSKKLKYVGVKAWEMGRSDPRKIVFSAKMGLALVLISLLIFFKEPVEELSQFSVWAILTVVVVFEFSIGATLSKGFNRALGTLSAGGLALGMGDMCQLAGEWEEAVIIVSIFGIGFLATYAKLYPTMKPYEYGFRVFILTYCFIMVSGYQTRTFLDTAVNRFILIALGAGVSLVVNIFIYPIWAGEDLHNLVSENFMGVARSLEECVNGYLHCLEYKRVPSKILTYQASDDPVYSGYRSVVQSASQEDALVGFAIWEPPHGRYKMLKYPWKKYVKVAGSLRHCAFMVMSLHGCMLSEIQAPAERRQVFRIELQRVSTAGAKVLRELGTKLKMMEKLGTSDILFEVHEAAEDLQRKVDRKSYLLVNSECWEIKKTSSLKEASQDLPQTNNDDDQIYKYNSRSEALLDLSSWDVKSETNIKIIPPEQTPSDKIFARQRSLPTHRSGKGHEAPEKQESTTYENASVLSLATFTSLLIEFVARLQNLVDAFEELSEMAKFKEPVDHN >KZM90866 pep chromosome:ASM162521v1:6:19938235:19942168:-1 gene:DCAR_021769 transcript:KZM90866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLKLLLVVYVLWVFCISTTHELQSSETQALLQLRKHLEYPVALQAWENYNGDLCYMPSTLHVSITCHDNIVSELTIKGDKRDSVSQFYGYAIPNMTLSEKFSIDSFVTTLARLSGLRVLNLVSLGIWGPLPVKIHRLRLLEVLDMSSNFMFGSIPPELSRLEKLNTLTFDSNYFNGTVPDWLDLFSNLTILSIKNNRLKGLLPSSISNVTTLADVSLSHNKITGRLPNMSALSNLHLLDMRENGLDSELPRMPRGLTTMLLSKNSFSGTIPRQFSNLNQLQHLDLSSNFLTGEPPAALFSLPNISYLNIASNMLSGSLPDNLTCGDELGFVDISSNRLIGRLPSCLSSTSQKRIGMFSGNCLSTNTQHQHPESFCKVATVKKEKSRGRSKAVLIGVIGGIVFVVVLLTIFLLALRRRYSSREEALVQHTLPKLVQQNAPSGISLELLENARIISESAKLGTQGSQAYQVFSLEELEEATNDFDESAILGEGSAGKLYKGRLDNGLFITVRALPLFRKYSVRNLKLRLDLLSKLRHPHLVALLGHCIDGGGQDDSTASRVFLVYEYVPNGNFRAHLSESSPEKLLNWSDRLAVLIGIAKAVHFLHTGVYASISNRLRTNNILLDDHRIAKLSDYGISIITDDIETLEGKKEGSRSWHKSKPEDDVYNFGFILLEVLVGPIVSGKGEAYLLNEMTSFGSLDGRKRIVDPIVLTTCSQESLTTVISITNKCISADPTNRPSFEDVLWNLQYAAQVQASADADQKSNTSSH >KZM90062 pep chromosome:ASM162521v1:6:10198845:10201457:-1 gene:DCAR_022573 transcript:KZM90062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQMVSFAIEKLGNFLIQEVNIRIGVKDGVRWLKDELGYLQPSLRYAEGKQEIDPRISPWINSIREVADEARITIERFSIMQEELAAKKVGVMDRLLRIICMCKKEVRLYKFGKEIESLKGRVVEIKKRRDEYKIDDILAHPNLHKRKRAFLRATSFENLVDVVGFEDDYRTLLNELVKEDPSLGMISIHGMGGLGKTTLASKLYHSSELRHFQTRAWVCVSEEYNIKDVLEKIIKSCIGQELDSLKRMDEVDLLHHLKDILQDRGCYLIVIDDIWDIQVWERIRKAFPDKQNGSRVIITTRNQEVARSVDDRCFVHQLRFLTENESWELFCKRAKPTKQQEKLGMEMVRKCKGLPLAIVVLSGLLLHKNWSDVNDHLWRKLKMTSREIHEILNLSYDDLSLRMKQCFLYLARYPEDQPFPVKDLMSLWIAEEFITEADEGDGVVMEDLAGDCLNELINRNMIQIAKLNLGGEVVYCRMHDLLRDLAIQKARGNKLLGIFDSSKQYESPINLLQGQPRQVIFNGISEYLNSVAHSSDDVRLRSLAVFNIGYSGLIVKLEETKLMFTRFIYLKVLDLRLAESDRIPEEIGNLVLLKFLGLVGGLHYPKKPVVIPPTIGKLKKLQVLCDSAGSYEVPREICETKELRHLHIHSPVGNLSITSHQIKLQTIHNIPCEDWMNIDPVNFSNLHTLGLVLSPERANAANSLDSMISLTSLQILTLHSSLTNVIPTFKPLRCCQRLKVFQVAGGKIKDPLELKHLPDSVTTLDLWRTNFTEDPMPALATLPNLTSLYLFEVESGNKMVCSQDGFPSLKYLLLLRLVNLEEWKVEDGALSSLKGLTITECEKLILVPERLKSIPLVPAIYMQYGSTI >KZM91874 pep chromosome:ASM162521v1:6:28587109:28588487:1 gene:DCAR_020761 transcript:KZM91874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISWITEDPIQPIVTYATSPGLSNAHSATGTSSSYKFISYSSGNIHNVVIGPLNANTTYYYLCGPSNSTVFSFKTPPSDFPIKFGVSGDLGQTGWTQTTLEHISKSNYDVFLLPGDLAYADYDQPLWDSFGRLVQPLASQRPWMVTQGNHEVEELSANQTFISYNARWRMPYDESASTSNLYYSFQVAGVHIIMLGSYTDFSVGSEQYNWLKSDLSKVDKSITPWIVAVIHAPWYNSNFAHRGEAASVDMKAAMEDLLYGANVDIVFAGHVHAYERFTQVYMDEADKCGPVHITIGDGGNHEGLATKFIDPQPTESLFREASFGHGTLEVVNASHALWTWHRNDDDEAVVADQLWLQSLVSHSAECQA >KZM91785 pep chromosome:ASM162521v1:6:27823974:27827741:-1 gene:DCAR_020850 transcript:KZM91785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRKLTMNWERSGDDDDNFFEPHDSGSDDEDYDDGRMSFSSAVSSAPFEEMRSLKSSTTPKSMFENHYDMWMAEPGSIQDRRKRLLKGMGLNSNKDLLSSPSSRSESAAVSEEAEKIQGEPESDDFTRQESKKEDETDHKDESHETENANESIHKSLPTALVHVRSRSDGELAPLTFDTERRKDRIMGAVSKHRLVRTSSVIMTPDVGACSAANCMRFQPKARSLRKFSLNRSTVDGELGSLFVIKNLDTGAEFVVSEYNGKGMWNKLSDLHTGKQMTMEEFEKSIGYSPSVKEAMSRANVRSHHHHDTKGDTGSIFSKRFRNSKRKGVAFLKNIKDKANARSGFKGDRERSQEQSTPEQRSNKNSSSEWVKVHHHGKPFRDFTGLHLCQEIQAHEGSIWTIKFSSDARFLASAGEDKVIHVWEVQECDVMSAKPPDDVNSPAEIKPMQPEKRTKGRHSSKKRGNSIPDYVEVPETVFGLSERPICSFTGHQDDILDLSWSKSQLLLSSSMDKTVRLWDMETQNCLKMFAHNDYVTCIQFNPVDDDYFLSGSLDAKIRIWNVPHRQVVDWMDISEMVTAVCYSPDGQGAVVGSHKGTCRLYSTADSKLEQKENIEIPIKKKAQPKKFTGFQYNPSNPSEMLITSADSRIRIFNGSEITYKFRGFQNTSSQIASSFSPDGNYIISASEDSQVYIWKREESRNAGHRKNKNIVSIPSHEHFQCRDVSVAIPWPGSSKNEVPIVEVHSRKHSKRINQHSQPGTDSPPKERAGTNSKRQLPPLPKQNNQLERVPSCSEKDLDQISCDESGRGDSFDSASTASTSSRYDPSSISSTSRHSGSWSWFDVGHCHGHTMEATAWGLVIVTAGLGGEIRAYQNFGLPVKVSRHSKLFRDLT >KZM91243 pep chromosome:ASM162521v1:6:23501150:23503308:1 gene:DCAR_021392 transcript:KZM91243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGCFLAMMMFTFFIINPGYTRARLANDYKVKTRVFLSPELVLERGSVANKIFYDIDFPRGHVGLKDFRAEVVDEAGNSVPLQEIYLHHWIVVRYYHREGVDVSQHDSDTGFRQPSVILMTNAGVCHDGLMQYFGLGSETRKTATQIPHPYGIEIGDPEEVPDGYREKWFLNVHAIDTRGVVERLGCTECQCDLYNVSVDEYGRPLNSDYKGGLYCCYDGTRCRVKEGLDGARRRIYMKYTVKWIDWDDSLTPLNIYILDVTDTWRNSDESTGLATKHNCHIEYNIDRCATDLAEKDCIDSRQISLSLPTGGDVIYGVAHQHTGGIGSTLYGEDGRIICSSTPTYGEGTEAGNEAGYIVGMSTCYPQPGSVRISDRETLTLVSNYSSTRRHIGVMGLFYILVADPSHDSSPSLHHAVKMHRETLGSHSSWAKGLIGVVIALSVVVAGYRGCLSPRQSGFERVAI >KZM90601 pep chromosome:ASM162521v1:6:17263604:17263789:1 gene:DCAR_022034 transcript:KZM90601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFDRFGLVARLRVVPCSRLKFFLVVRSCYDAFLNHIFIKDHSSMFDLNLKLGLQRLSNI >KZM92301 pep chromosome:ASM162521v1:6:32282426:32285624:-1 gene:DCAR_020334 transcript:KZM92301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSSKLPLFQELDNYDEFSMQQDLLFSDSLKDLKNLRKQLYSAAEFFEISYSNDDSKQIVVSTLKDYAIKALVNTVDHLGSATYKVNDLLDEKVYEVSGTETCVSSIEQGSIIKLAIRLSYSSSGISLRPEFDRLRTCQTHLDREGLSEQSLMINTPKYHKHYILSAGETRYGANQPKTTYKECVPENQDHWHEIKNAVQEPIVENQPSSIRKGRPQSLSPRPQQPVKVLSTKNMARKDLVAQKRTVSPHRFPLSRAGSLSSRPTTPNSRATTPTSRSSKPNQSRSTTPNSKNGYPSEPRKSGSMHLHSDRGSRTENHQIPTKASRLLKALLSRRKSKKDDTLYTYLDEYY >KZM92542 pep chromosome:ASM162521v1:6:34202511:34204452:1 gene:DCAR_020093 transcript:KZM92542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTHTSEHAFRTSNSHPLVSLTRSHPTNYSVDVLMETKAAISVQYEAPLGYCIEDIRPNGGIQKFRSAAYSDGYEKRLEITFAESSVFTDPTGSGLRVLTRSQIDSILELAACTIVSQLSNSEFDSYVLSESSLFIFPYKIVIKTCGTTKLLLSIPQILKLAESISLRVNSVKYSRGTFIFQNTQPAPHRSFSEEVGFLNQYFKSGAAFILGDPSSPTRFWHVYVAHPGPQTPPENEITVEMCMTGLAREKAAVFYNTPETRSKMTKMSGISNILPSHTICDFEFEPCGYSMNGIDKSEYSTIHVTPEDGFSYASYEAMGFDPSTSRFEDLVKRVLECFRPDEFSIAITSNGNDDDWWSSEIGGYECNIGVKQELPGGGCIVYLCFSAGEKKRRGVSPKSVLKCRERFAEEIEEATEAGDKLFH >KZM91411 pep chromosome:ASM162521v1:6:24939611:24939799:1 gene:DCAR_021224 transcript:KZM91411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFAGSKRVKSRSESTGESKTERWVSSQREPVKKDGVDEKERRKRELEKVENAIYLMCWGPN >KZM91062 pep chromosome:ASM162521v1:6:21757312:21759137:1 gene:DCAR_021573 transcript:KZM91062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGSGRSMLEASNKAIYRRMVNLPRNILGGFSRVMNQGIDLVGNIGGRRNQNPPLNFPPVQYQPQPLMIQEEWAFLSNYEQQFGTVHPFFYACRFIEALKIAQDEHKFLFMYLHSPDHPFTPSFCKETLCSEVVVQFLDANFVSWGGLVDRGEGLHMANTLRPASFPFCAIVAPAPGDNLAVLQQIEGPVSPAELVEILQRTMEEQGSAFGDAAKAREEEERRRVDRRIREEQDNAYLASLQKDQAMENEMMNLKLKDKGKKQVEASSMEKHEQNHKPNPSKKKNSKAPKVTTTHKVTSTNDRDAPLTQILIRFPNGERREKSFSCTEKIGAIYRYIDSLNMAGLGSYKLISSFPRKVYGVDQMLTTLKDAGLHPRASLFLELP >KZM91471 pep chromosome:ASM162521v1:6:25414790:25415833:-1 gene:DCAR_021164 transcript:KZM91471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWRRGRTIGRGASATVSMASSCGCNDIFAVKSVELSKSELLQREQSILCSLSSPYIVSYKGCDITSENNKLFYNLKMEYVAGGALSDVIRTKGGKLDEAMIKCYTYQILQGLKYLHSSGVAHCDIKGANVLIGKAGAKIADFGCAKWVNSAVGATIGGTPMFMAPEVARGEEQGFAADIWALGCTIIEMATGGSPWPNSTDSMSVLYEIAYSGKSPDFPEVLSRTAKDFLSKCLKRNSNERWTVQQLLKHPFLEESCLIRKQDQELDTSSPTSILDQGVWNFIDEESEVLVQSRCLDSCPDQRIERLSVNSRVPKWTWEETWITIRGNNDGDEDKRSLWVKNSYGW >KZM89993 pep chromosome:ASM162521v1:6:9326691:9326936:-1 gene:DCAR_022642 transcript:KZM89993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQCKIVQAIVLAFLLILHGWPNGTEAARGLGTKAHSATASALPLSSQNAVIKGSHEPFKRVDSSFRRIPPSRSNPTQNK >KZM92522 pep chromosome:ASM162521v1:6:34068322:34069938:-1 gene:DCAR_020113 transcript:KZM92522 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MATLSPEPHVAVLTFPFASHPALLFGFVKRLAEAAPRVKFTFFCTAEFNRSLFSKTSTTSTSNIVPHDIHDGVAENYVFVGKPMEDINLFLAIAADELRRGVEEVTLNSDRKITCILADAFLWFSCDLAQEIGVPWVPFWTAGACSLSIQIYTDLIRQTLGVEGNEGRMNEKLNFIPGFLGFRIGDLPAGIIFGNLEWPFFVMLYKMGEELVRADALVISSFEELDPDLIKNLKSKFKQVFSICPISLESPPQSSVSDEYGCLPWLEKHHPKSVAYIGFGTRAKLPENEIVALAEALEASATPFLWSMKDDLKKYLPSGFLERTRELGKIVAWAPQVQVLSHPATGVFITHSGWGSVMESIAAGVPLICRPFLGDQSLNTWMIENVYKIGVRIGGGMFTKEGAMDALQQVLLQEKGKMFSKQATAYKELAIEAVGPHGSSTQNFQRLLEMITA >KZM91458 pep chromosome:ASM162521v1:6:25333670:25337712:-1 gene:DCAR_021177 transcript:KZM91458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPMLMRTSVVILSIWVLAQVIDVNGETNNSYSRPNVVNFGALFTLNSVIGRSVKPAIAAAIYDVNSDSSILPGTKLNMILHDSNCSGFLGTIGALQLMENDVVAVIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQFPYFLRTIHSDYSQMHAIADLVQHYRWNEVIAIFVDDDYGRNGISALGDALAEKRAKISYKAAITPGASATDINNLLAGVNLMESRVYVVHVNPDSGLTVFAEAEKHGMLASGYVWIATDWLPSVLDLSDSVDPKTMDLLQGVVALRHYTPDSYLKKRFSTRWKQIKGKETVNFNSYALYAYDSVWLLARALDVFFNEGGNISFSYDSKLQDGKGSKLHFSELRSFDQGNILTQILAQINFTGLTGHFGFDHNKNLINPAYEIINIGGTGSRRIGFWSNYSGLSIEPPDILYKKPLNISGMDHHLYSVIWPAETTTTPRGWVFPNNGKPLRIAVPYRVTYKEFVTKDNGPTGVHGYCIDVFEAAVALLPYPVPRSYLPYGDGLRNPSFSNLVYDVSQNKYDAAVGDITIVTNRTRIVDFTQPYMESGLVVVTPVKKVKSTAWAFLKPFTWEMWGVTGAFFLFVGVVVWILEHRINHEFRGPLSQQIVTILWFSFSTMFFSHRENTVSTLGRLVLILWLWVVLIINSSYTASLTSILTVQQLTSQIEGIDSLISSNVPIGVQDGSFAFNYLIDELNIAKSRIQIMKSQDDYIYALHRVFSLSVVISELRHVLTQAFQRDSPLAEDLSTAILQLSENGELQRIHDKWLSKNTCSESTNQVDITRLSLTSFWGLFLICGTSCFIALIVFFCRVYCQYRRFTPENDEEDPETGRSARRLPSRATSFKDFVDKKETDIKEMLKRKNSDNQPQVS >KZM92286 pep chromosome:ASM162521v1:6:32119200:32123554:1 gene:DCAR_020349 transcript:KZM92286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYIIGAFKPACNISIAFTDGKNRKQVPMKKENGQMVMVPLFQSQENISGKISIEPALGKKVEHNGIKIELLGQIEMYFDKGNFYDFTSLVRELDVPGDIYEKKTYPFEFSTVEMPYETYSGVNVRLRYVLKVTVSRGYAGSIVEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLAETT >KZM92570 pep chromosome:ASM162521v1:6:34459915:34463020:1 gene:DCAR_020065 transcript:KZM92570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGVDAVGFAASDTTTSTLFSDAPDAKLKLYGSGSFKQQRSGEDEWKDFRKVAKMCNENAGDLYGSKNVLQERSSKSSSSLFSDGQMLSFSSPNLQPYSYFNGSSTSPYSRNGGYGSGGLSSGNMHGILTGPFTPSQWMELQHQALIYKYITANSPVPSNLLNPIRKALDSAGFSSFPGAYLRPNTLGWGAFHLGFSNNTDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHVNRGRHRSRKPVEGQSGHSVSGTTNTTAKFLPTSSASVVPANGTSNNIGLSHHQLNNLQHGASNPSATPHLNRNYPNKTSVDEKIQDRTGLSMLSSDIGLKENQFLTQKQMNFYQEPSRSEFGLVCSDSLLNPFQKSTSMISYDSSKDIIDSQNKSQHSLRQFMDDWPKNQTEHSSISWPAIDMQSDRTQLSISIPMAASDFMSSTSSPTNENRAVSPLRLSRDLDSTQMGLGMNGMFSETDQRQANWIPIAWENSMGGPLGEVLHSTNNSADCNNASALNLMTEGWDSSPRLATSPTGVLQKTTFHSLSNSSAGSSPRTENNLLGMHQLSSSMPTL >KZM89874 pep chromosome:ASM162521v1:6:6604377:6604841:-1 gene:DCAR_022763 transcript:KZM89874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILFVLAAHVELLTGKTPFQDLVQEHGADIPRWVRSVREEETESGDDPGSSNEGSGEKLAALLNIAMACVALTPNNRLKMREVLRMIKETRAEAQVSSNSSDHSPGRWSDIVQSLPREDNLSI >KZM91703 pep chromosome:ASM162521v1:6:27200589:27201621:1 gene:DCAR_020932 transcript:KZM91703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQESEENMNYWDMLPEGCIAEIVSHTTPVDACRVALVSPAVRSVADSDPVWDSFLPSDYRQLISRAVGNQPIHQLLSASPSKKDLYLSLAHHPLVIDAEQVQRDTPRGAPGAHPAAPRRTAAPAPHPVRSAAPGCRTHAS >KZM90547 pep chromosome:ASM162521v1:6:16796371:16799800:1 gene:DCAR_022088 transcript:KZM90547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKLKVIFKASGYPNLPAEDIIKEIAILEMEVKNLEKYLLSKYRKTFEKTASSYPAMETMSKTNADNLDCNSTKHVVKDSSMLDSTSSRFPPAVISDPGNNFSGILRVQPLLDSSIQYRSHSSLSQSAACSYKISPPRATNAEAIHSFHSLPLSMLERAQDSSSVSLAEHLATVKSFDHVRETPNRLSEEMVKCITSIYSQLAEPPLLSHGLPTSPMSFSSSMSDSPPQVHYYDRRSPQNRESSSFSKWISKPFHVEDSSELSGTYSTVTEIQGLCRDAWRLKGVEHMLQHFRSLVSQLQEVDPRKLKHEEKLAFWINVHNVLVMHVFLVNGIPRSNLKRTSLLLKASYDIGGHTISVDMIQCSILRCRLPRPGQWFQSLLFPKSKFKAGDARKAYEIRRPQPLLKFALTSGSYCDPMVRIYTPKKLFQELEMAKEEYIQTTCKIQNERKIFLPKIVDSYAKESGLCSASLFKMIEHSTSCYMLQNSFHQPLQENKLSKKIEWIPHNFAFRYLFAPELASK >KZM92611 pep chromosome:ASM162521v1:6:34845382:34850672:-1 gene:DCAR_020024 transcript:KZM92611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKSYKEKMYSGMESAFSGFKFDPQLSLGEKRQLVHEIALWSEDAQMILSSLTRRELLEIICAEMGQERKYSGYSKNQMIEHLLKLIYKNYGKTDKYTLNSTENGCKRQRTQEQLCHTPVRSENDPQENMEAEIKVSLCENLACRAAMGQGDKFCKRCSCCICHKYDDNKDPSLWLTCESDASDSWESCGVTCHLECALKHEQTGIYKNECRSKLDGGFYCVTCGKTNGLMRTWKKQLLIAKEARRVDVLCLRVSLSCKILRETEKYKELLTIVESCVKALENEVGALEQAAKKMDRHIVNRLSCGNEVQKLCTYAVEVFDSMSPDQWFYHIDQKGPPTCRIHFQESYPNSVVVVLDYKKHLFKNFLGCRLWHRKSAATEYPKEATSIVLMPGKTFKLTNLDPSTEYMFKVSFFSDAEVLGPWEAKWVTPTSIGSPSSNNKPGERKHTMNSGESKTALICNLEKLPSSNSINESKNHSSPAQDNSSTSPKLNPPVTPFTSEKACCPGWNKQPQESDYEHSVRVVRKLEQEGYLDKDFRVKFLTWFSLKATAQERRVISVFVDTLIEDPSSLAGQLIDAFTEKIYGQQKEVSHCCSKMWK >KZM91420 pep chromosome:ASM162521v1:6:25033393:25033987:-1 gene:DCAR_021215 transcript:KZM91420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSQQPMINLSGSGRKRNKENQSDASLAGIRRRGSGNALDLFLQRRAESIKRSRTIGTSSEFDKNSRATSQVPLSTTDQSAPYQCGFKHDFRLCF >KZM90961 pep chromosome:ASM162521v1:6:20863573:20864676:-1 gene:DCAR_021674 transcript:KZM90961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSWIKYSIKQKDEILTYPPPVYPLPSSPYGTSIAFHKQPPASPSSSPKINPAVLFIIVILAVLFFISGLLHLLVRFLTKHQSSTSSQSDRVEVSSRSDALERQLQQLFHLHDSGLDQAFIDALPVFMYKEVVGSKEPFDCAVCLCEFTDKDKLRLLPTCSHAFHISCIDTWLLSNSTCPLCRGTLFSPGFSVENPMFDFDDPNDDECHGNRRYALSSNQKTVEIEEIAVESGVLPVRLGKFRKLDGSDSAGGETSSSNLDARRCYSMGSYQYVVADTSLEVALNNVRDGSDAKLVKSKECSHSSFPDGDNNGKKISIGTKTDSYSVSKIWQWSKKGQFSGSSNTQLDYPSSLDHELPWMRKTEGI >KZM90977 pep chromosome:ASM162521v1:6:20957726:20966208:-1 gene:DCAR_021658 transcript:KZM90977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKWRALQHRHRYTYSAVIFPQYYVQNLNQTSSSHVSNCKSFYSHLNQLVSLNSTYAQLAHVKKLAQSFSELIASSNSDKDGNFVSVASRFYMEILFLENSLPLHKTLLGVLGKVKNFHGVIGECFRVLCEEYGGENGKGRRFCVSRSVLSVMGSPKLGYLAGVVEECGVLVGLDIVSGLKGVILETIDGSRPSPIVMEQCQESLSCMYYLLQKFPSKFSDGAEVKDENGVLEMIVTVILSLLKSSAFSRDCLVAAGVSFCAALQVCLKPDELGLAIVRGIFCENFDYGSDSELIDVTKKIAYEGNLFTEIDEFPILNRICLIRGILTAVSRTVLNTPFVVPKDGSTSFHTILYDGILPELCNYCENPIDSHFNFHALTVMQICLQQVKTCIQANPSELTENDDLISEEMGTRILRIIWNNLEDTLSQTVKQVHLIFDLFLDIQSSLNWAEGSDRIKKFLRNIASDLLRLGPRCKGRYVPLASLTRRLGAMTILDINPDLLFETSRAYVDDDVCCAVTAFLKCFLECLRDECWSKYGVESGYIRYRSHCLHPFLYGLASGIPKLRSNLNTYALPVLLEVDVDSIFPMLSISVGQCGESDKLLFPDLDIAHRKLTVEQQVAVLISILKVSRSLALIEGDIDWCEYTSVSQEDFAKKTEKDNRYATVCVKGISIKFLVTWLILALTHVDESLRVDAAESLFLNPKTSSLPSSLELSLMKEAVLLNMRCCSTAFQMKLTSLFRKFFSRVRTALERQLKQGIWRPVASKDNKDIYPCKTIDKSVTDRAEDLFQFMKWLGNFLYFSVYPSAPYERKIMAMDLMLIMNNAWSIVLPLQDQCDPTSSETVLSPYSKAFTSPESTLLLVGSIVDSWDRLRENSFRILLHFPSPLPGISSPEMIRRVIIWAKKLVCSPRVRESDAGALTMRLMFKIYVLELGWIIKVSSNLVYFHPRSELLNGDNLNGTCSSATIEYVNSLIDWLRVVVEVGEKDLSEACKNSFVHGILLTLRYTFEELDWNSSVVLCNLPEMKHALEKLLDLVMRITTLALWVVSADALHLPEDMDEMMDDDVLLLDASVEMDVAVNVLENEVKNPELTRVVGPSEQIVMVGCWLAMKESVVPVYDDTDAVEVTSYVMLDLKQLERIGNHFLDVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLVKLTESWMEQLMERIVAKGQTVDDLLRRSAGIPAAFIAFFLSEPEGTPKRLLPRALRWLIDIAKRPLLDEDEAEPSASNTNNYSLEESCQKTSSTEPSHLCENDRISKKRDEGVIPTVHAFNVLKAAFNDTNLATDTSGFSAEALITSIRSFSSSYWEVRNSACLAFTALIRRMIGFLNVHVRASGRRAITGLEFFHRYPSLHPFLIGELKVATRLLSGSSGDLGSNLASVVHPSLWPMLILLSRLKPSPVTSEIDDPLDPFLFMPFIRRCSTQSNLRVRVLAAKSLMGLISDDKLPTVLLNIASELPRIGNQMVVSPDSFVSSNVTSGTDSFSCNSVHGMLLQLSSLLDNNCRNLTDFSKRDQILYDLIQVLELCSWIGNPRLCRCPLLNECFLRVLDNMLSIARTWHTSQSMGAIWKLLWELSSQCLDSNASRGVSYYDPTSAELRKQASFSYFNCVFQASKDPPEDDIKMPNMRSPPSISMSIVGSKMDDACFADFHKRLISSMSDESYEVRIATFKWLLQFLKASEFASEPSALSSYHSSIINWTNANLHATLMNLLAGEKNRRCIYYILKNLFTWNSLKYRKLNCQQCMGMTFAGNMDTNSLFRFWDKLVDLCKQTRHAKTRETLVCCMGTCVKRFANMVTNTLLQNVVKKSVDLIEADQCESVSYLYGCISYYINIIEQYSDSSQPVNMRRAASESIIASGLLDQAVLVGPWVCNKQILVDQCPEFEIRDATNIYAHKILSLWLTCITLLEDEDIGLRSKLAMEVQKSCTSTTSDRNFQATEVPSQVDKVIHLCFDHLSSVFGHWLNYFSYLAQWTLKSANNVVGSQGDLVKRVFDKEIDNHHEEKLLICQICCFHLEKLPVLKPSATGFSEMASFLQDWRMKFGQQLIIYTNTYIGNHGGTEWIGGVGNHKDAFLPVYVNLLAFYSLSKCIFTGDYSDKVSLLSELEELGGAIKPFLSNPFISNLYSLVVKSHEHMIGANVGSFYEREDDSLWVGFDPYFLIR >KZM92111 pep chromosome:ASM162521v1:6:30609640:30611262:-1 gene:DCAR_020524 transcript:KZM92111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFTSSILFPISLLFLLSFSYVNSANPSGFIKCVTRNSQVSKILASNVLAPSDSSYTATYEFSMRNPRFNTSERLKPAVIVTPVADSQVQTVVNCAKTFDMQIRIRSGGHDFEGLSYSSTYQNVPFVLLDMIKLKSVTVDAKAKTAIVQAGATLGEVYYWIYRASGTLAFPAGVWSTVGATGLICGGGYGPLRRKYGLAADNVIDARLVDAKGRILDRQAMGEDVFWALRGGGCASFGIVLSWTLNLVDVPEKVTTFLIQRTLEQNATKIIHPFQTIAPKLPKEVDIRVRISTIESPTTARGKTVELSFTGLYLGAPDVLLKLIQKKLPQLIMVKEDLKEHTWIEAVMESSFFNMFEDTYSPEDLLDRTFLFDLPTKAKSDFVRDPISEEGLEGLWEKLLEVNPAETTIIFTPYGGRLSEIKESALPFPNRAGTLYMVYTRVLWVGDTTKKLEWIRSLYSYLTPYVSNNPRRAYSNYDDIDLGINPATGPITLADAKVWGKAYYKSNFDRLVRVKGMIDPDNFFRHEQSIPPFLPKADM >KZM89668 pep chromosome:ASM162521v1:6:3072634:3074681:-1 gene:DCAR_022969 transcript:KZM89668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGERTVSVTGASGYIGSFLVKRLLQRGYHVRATVRDPGNQKKVKHLLELANASTHLSLWKAELAEEGGYDDAIQGCQGVFHVAAPVELINQSQDGGQEEEIESTTLNGILSIMRSCSKAKTVKRLVYTSTTGTITVQPQPPAVSEFTEDLWSDIDLCYQHKMYGWMYVVAKTIAEKAAWKYAEENGIDMVTVHPSLVLGPFITPYTNISIDAAISLYTKDEAGIASLKKLNGFSAVHVDDVCNAHIYLFENPLAKGRYICSSHGVNIFEIAHSLSLKYPDRNIPTQFEGLDEAQKIIPCSSKKLMDLGFEFAHKKKDTSDLCVETIESYRERASCDPKSMMVSALSSSQA >KZM90907 pep chromosome:ASM162521v1:6:20328547:20331087:-1 gene:DCAR_021728 transcript:KZM90907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVPQFIGACKEPVSVIVTELLVGGTLRKYLVNMRPRCLDLRVAVGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELVHNKLPFEGMSNLQAAYAAAFKNTRPSAENLPEDLAVIVTSCWKEDPNDRPNFSQIIQMLMHYLSTISPLQPAIPPRIFTSENAVLPPESPGTSTLMGVRDDAGDTPKTPMENEPRAGYFFCFNQCF >KZM90333 pep chromosome:ASM162521v1:6:14380715:14384218:1 gene:DCAR_022302 transcript:KZM90333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSNREHHFEHEESHNHPRQPSYGMILDYRYLELPLNHPSFGQVTNDEPEQMGRSGDREAEVLLLSNEMQNPHARDAADTISTPVPPVPDLNMHPFDLNMLPTEEEVEEEEHGDHTETHESEIQNPCPHAASTVSELCNGFETSKMKNEELRKQVIDLQGQIRKWKGQKASWDEMKKELERERDDVRKELHKAASEKRDLEVKLEKTVKKAEDEKVYAKRAIEEAALKAFSEKRHLEEKLEMAVKQAEDVKAAAKKAIYEAVASTKKCYKIGLSNFVAYLATGEGRSLGDYVNELIEEIPHDNRAPVDAAVDMAGLKGDRAIKDEPRDYHLAGFAQNVALQGNYS >KZM92690 pep chromosome:ASM162521v1:6:35437939:35438355:-1 gene:DCAR_019945 transcript:KZM92690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADPALVDEDASSGSGDDINMLDGNNKRQTATPGSGRRKRSRKATGDVIVDAMLEIAAASKMRAAAIMKNEERFSISKCIKVLDEMQDVDQHLYFFALDLFENPNSRETFISLKSERRLTWLQTKFNASSSAAVSQL >KZM89946 pep chromosome:ASM162521v1:6:7892868:7893218:-1 gene:DCAR_022691 transcript:KZM89946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLPPNDVVTRSSKTCCRRRLLIPLRRKRVLPTVRLGGKKNARRKLFIIRIFRRIKLRWIKMKLKKLKEFYRAIIKDMIDGRASVEAFQQRLLMEASFAIPVMGLSINTYPTRP >KZM91503 pep chromosome:ASM162521v1:6:25666451:25667141:1 gene:DCAR_021132 transcript:KZM91503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNFDMEHSEFKKLVGTSGSTTAAYIATAGTSLILGYGLGWRGGRWFANRKFRREQMKLLGQSKPRRWPLKFLRRPLLRSKADSSVKTSEPQPNDASNTHAATKPHPIC >KZM92641 pep chromosome:ASM162521v1:6:35076675:35080682:-1 gene:DCAR_019994 transcript:KZM92641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVPPTLSLLFILLTLSPITSSHSPTTCIIGSGIGGASVAHFLRHYSHSGPIHIFERNDVVGGRMATVTIAGDTFEAGASILHPKNYHAVNYTKLLKLKAKSDESDESVSLGIWNGKEFVFKTIEISSRFSIIRSIVGFVNSVRMLVRYGFALFKMNQFVEVTVDSFLKYYQALESRPIFGTVEEMLKWAGLYNLTTRTLHEELTDAGLSSLLIDELATVITRINYGQSVSISGLAGAVSLAGSGGGLWAVEGGNWQMAAGLINRSDVALHMSEEIESISYTRSAYELNSTRGNSYKCDVTVVATPLDELDISFNPVISXMSLSSFCSARNETIRIDWGAYPHYHSPEKFAPFMLDDIHLYYVNAFENAASTMETSAVAAENIARLIISRSSSKPLSISSDPATSGSIISELHSDL >KZM89933 pep chromosome:ASM162521v1:6:7611598:7612836:1 gene:DCAR_022704 transcript:KZM89933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISGEDALKLRLQPGQHVHFGRANGSDPTDRTVSRRHVSFQLVNSDDEIRARFQVLGKNPMWVHYRKTDEIKIFRLLENGEMDSGDMFCVSAEKPIWFKLKRLELDDESGDLGLESQLGEALNVEELDVDSIDVSGFDPVKEFGFVVMGHEFDSYTKKMIRDIKNWDWFIDEPGEEESEDDEVGGSKRKGGGRRKRKKSGEVENDDDEWTGESDEDKEVLAKSSKVKKPKYLTRSKSSDKHTKAVTIRSNSPQIDDEGEDEDDNDETLGGFIVDEDSAGEELEIDDEEEEELKEEDDEEE >KZM92034 pep chromosome:ASM162521v1:6:29935164:29938129:-1 gene:DCAR_020601 transcript:KZM92034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLAASSLLILAALYTIYQRLRFNLPPGPRPLPIVGNLYDITPLKFRCFADLAKVYGPIFCLRLDSRLNVVVTTSELAKEVLKENDQQLADRHRNKATDIFSRGGSDLIWADYGPHYVKVRKVCNLELFTPKRLEGLRPIREDEVTAMVESIFKDCTASDKQGKSLLLRPYLGSVAFNNITRLSFGKRFVNAAGGIDEQGQEFKGIVSNGIKIGAKVFMGEYVPWLRWMFAGENDVLNQHEARRARLTKQIMAEHTLARNKTGGAKDHFVDALLTLQKQYDLSDDTVITLLWDMITAGMDTTSISAEWAMAELVKNPRVQRKAQEELDRVIGSDRIMTEADFSKLPYLQCVAKEALRLHPPTPMMLPHKASANTKLGGYDIPKGSIVHVNVWAIARDPALWKDPLEFWPERFLEQDVDMKGHDFRLLPFGAGRRICPGAQLAINLVTSMLGHLLHHFTWTPPEGVKPEEVDMTENPGMVTYMKTPVQAVATPRLAAELYKRVPLDI >KZM91735 pep chromosome:ASM162521v1:6:27450425:27450937:-1 gene:DCAR_020900 transcript:KZM91735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSRRRNGPVHRSHSPSRAFCSSSPPRVSLYASSNTSSPSISFSIDRPNSPSRSISVTSRNQILKKPSSNQLRNQKRTCMCSPTNHPGSFRCSLHRNMSNQTASYPSNRLNARRSAMTNSLVRIGTVEGDLMKRALSALIRPSSHHQKRRGSFESRPSRLSIMSKAED >KZM90709 pep chromosome:ASM162521v1:6:18391661:18392347:-1 gene:DCAR_021926 transcript:KZM90709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKKVTMKNPNENRDKSRKRKQPQVEDLDAGSLSCYTNNNAPVFKKPKKSTYECVMNMRIAKNISGFRFYTRDEEEEEKILGVSTKLSLWIDGDESGDDPWKIKKTLEQSDCDHLCRLMLRKDMVQNYIIKVWEDAGRTDEIAKVLDGQGGGVVVRVWDYERGKEYELKLKKLASSKCYILGGAWSNKFVRERRLKKGDTIGLYWSTAKSRFVFSVRARAPGPNAAA >KZM92081 pep chromosome:ASM162521v1:6:30389057:30390611:-1 gene:DCAR_020554 transcript:KZM92081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVARASEYLVITGAGITDIKIAKKAWIFPGQSCTVFDVSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRLDDDESLFLYAKLISPHDKLSHHVKELVQGIIEGETRVLAASMTMEEVFRGTKEFKQEVFSKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEARMKGEVGSKLREGQTLQNAAKIDAETRIIATQRQGQGRKEEIKVKTEVKVFENEREAEVAQANSELAKRKAGWAKEAQVAEVEAEKAVALREAELQKEVEILNALTQTEKLKAEFLSKASVEYETKVQEANWELYKKQKEAEAILYQKEEEAEAQKAIADATFYARQQVADGELYAKRKEAEGLMALAQAQGTYISTLLKAVGGHYGALRDYLMINGGMYQEIAKINSEAVRGLQPKISIWSNGENSGDGNGMKEIAGVYKMLPPLFKTVHEQTGMLPPAWMGTLSNDS >KZM92108 pep chromosome:ASM162521v1:6:30586152:30591089:1 gene:DCAR_020527 transcript:KZM92108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISNEATVDKFFIGPSTFWGRTIAFRVLFCRSMSQLREQIFHVLLCYLQKLKDNIKFYLKPMISWFHPRNPQGILVMMILIAFMLKRYTNVKMRAEVAYRRKFWRNMMRSALTYEEWAHSAKMLDKETPRIESDLYDEELVRNKLQELRHRRQEGSLRDIIFCMRADLVRNLGNMCNPELHKGRLQVPKLIKEYIDEVSTQLRMVCDSDSEELLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTFVEHKLMPRIIAGSSVGSIMCSVIATRSWPELQTFFEDSWSSLQFFDQLGGIFAIFRRVMTRGAVHDIRQLQMMLRQLTNNLTFQEAYDMTGRVLAITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLGPEEGQGTSARRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRVKEIVRAYGGNFAAKLAHLVEMEVKHRCNQILELGFPLGGLARLFAQEWEGDVTVVMPATLAQYSKIIQNPSHSELQKAANQGRRCTWEKLSAIKANCGIELALDDCVAILNHMRRLKRSAQRAAAASQGLSSAVRFSGSKRIPSWNCIARENSTGSFEEFVAELGSSLQQGGVGGTGSTSWNVRTNRSTHESSDSEPESPDQNSWTRSGGPLMRTTSADLFVDFVQNLDGDLKSNKGIMVPNSTIHISARDSNPQSPRVTTPDRSSDMDSDQRDVINRASMNSSSILVAEGELLQAERIHNGIVFNIVKKEEMTPSNRSLDSDSVAACMQLESPAKEIDGSSSSESEYEANVNHSLNSTDASSIKDQLTAANDFHKDAENN >KZM92457 pep chromosome:ASM162521v1:6:33560803:33565955:-1 gene:DCAR_020178 transcript:KZM92457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMIWCIRHHFLEYVRSRHKSYASWRSIVHERKAAAIKRSWPDSIDQSSDTTSNCGSTLFIEEALKNIDIEDGIVNQMGEKSEIATLQKEGFYPKIDGLKGLYPFENLRAGIDSLFLHGSSDMVQWSLPDNEWRDIVDDFAATFGITRHSLLESLTFYLLDDYTDEALQEACSILPEISGPTTHPKVAQVLLERQNPDAAFMVLRWSGRDGGAELVSLSEAVTAVRVRVECGLLTEAFMYQRMLCSKLKKLKLRHEPSRNASDVLKDDSQTWMNWVEALVTEICCLCIRRKLVDRILELPWDSDEEKYLHKCLYDFAVNEPLEPAGSLLVVFYLQRYRYVEAHQVDRKLHSLEQNFISDNSVSEEDLSKITLTSQWRAGLVDKSIELLPEVQQQLLRDGKLPELNPPVTEIDSPVTSDPKGQETILNSLLVSSSAKYPFVSQGEIATPLLDPLFSETPSKGFGSVSHSGFKKYGSTLISEVSLLDNARRIQKSMNGYGKDFNSNGITSSATRPSSVIATPLKDFNRSSLRNPGRSQSRDEQISYISLEKDKNKFTNQFKNASQYSRTIAADPVGSSFSGQGLFKDSAQEMYLNASGNHEQLERQKKNWAGPPGDLMDVSWSHEGEGTSIEDASANGGPRWRSDDTSEDDEQQTPFPNGFGGNGGGHSISMRRARRSRFAMK >KZM90652 pep chromosome:ASM162521v1:6:17809504:17811966:-1 gene:DCAR_021983 transcript:KZM90652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQLKLDVVAFSIFFCCFPVLISACSHNSTLPTVTGDVADGLCYQVIQPLGYNCSEHIVQTEDNFLLGLQRVSSNKANSGGKRGPPVLLLHGLFMGGEAWFLNGINGSLGFLLADKGYDVWVGNIRGVQWSHGHVSLSESNKEFWNWSWTELAKFDLPAMLNYVNNITNSKVFVIGHSQGTLMSIVGFTNKESANKVEGAAFLCPIAYLHWVEVPIVRMAVLLHIDQMVTDDIPSIDLRSAVGTDIMRRLCRGDSDYCKNLVRSLTGENCCFDIHKVNDYLRYGPQPTSTRNLAHLAQMVRSGYITVYDYGLLGNIGKYRRFNAPSFNLTAIPTSIPMWFASGENDPLSDVIDVRDTLSELQRSPRETATLEVKNYGHLDFLFSWSAKKDVYDDLFLFLGNHTSSVGA >KZM92787 pep chromosome:ASM162521v1:6:36305740:36309548:-1 gene:DCAR_019848 transcript:KZM92787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKAIHILSSSSTAFILPRFKCNNNINGGAAISFISFSSSSSITSTARSITTSSLLLRPCGLKRRRRFRPFRAFVTRSESNPEASSSPSTSVAFQSTGITRKINFCQWCGGSTKHEIPDGEEKTRAICTLCGKIAYENPKMVVGCLIEHENKHLEFRYVFKYDRTLPAGYMEMGESATEGAVRETFEEAGAEVQVLSPFAHLDIPRIGQTYIIFLAKLKTPHFSPGPESSECRLFALDDIPFDSLAFSSMVVTLNLYIEDLKIGRPKFHYGIINKRPGTSPSAIQAYTLDGHIQS >KZM89765 pep chromosome:ASM162521v1:6:4460786:4470297:1 gene:DCAR_022872 transcript:KZM89765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSTSTTRFGKIDENGATDDEEALQLAAVLQRSPTNVQARTSVFRNFQGKVSLLDVGKLGDQEQKMVLDKLIATVTDDQEMFFKRIRNRFDVVALEFPKVEVRFQDLKVEASVHVGSRALPTVPNFIFNMTEALLGKLGIFPGKRKKYSILNNISGIVKPSRLTLLLGPPSSGKTTLLLALAGRLVPGLKSVALGDQRTSLVVEYIMKLLLIIIIMVSVFFRTTMHHDTLDDGGVYLGAIYFSIVMILFNGFMEVPMLIAKLPILYKHRDLRFYPCWVYTIPSWILSIPFSLLESGMWVGVTYYAVGFDPQISRCLRQFLLYFSLHQMSIGLFRVMASLGRNLIVANTFGSFAMLIVMALGGFVLSRDSIPDWWLWGYWFSPLMYAQNAASVNEFLGHSWIKNAGNSTSESLGGMLLKARSLFPESYWYWIGVGALLGYALLFNILYTLFLAYLNPLGNQQVVICKKEAEVGDSKMEGESEVIELREYLQHSHSFAGKKVKDQRRMVLPFQPLSMSFRNISYYVDVPLELKQQGIVQDRLQLLVNVTGAFRPGLLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSIYISGYPKKQETFARVSGYCEQNDVHSPCLTVHESLLFSAWLRLPSHVDSKTQKDFVEEVMELVELTPLGGALLLLMKRGGQLIYAGPLGNNSHKLVQFFEAVEGVSPIRPGCNPAAWILEVTSSAEEIRLGLDFAEVYHRSELFQQNKRLVDRLCKPDRDSIDLNFPSKYSLSFFGQFVTCIWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWRFGSRRESQQDIFNAMGSMYAAVLFIGITNASSVQPVVYVERSVSYRERAAGMYSALPFAFAQIAIEFPYVYVQSVIYSIIFYSMASFEQNLLKFVWYIYFMYFTLLYFTFFGMMTISVTPNQNIAAIVAAPFYMMWNLFSGFMIARKRLPIYWSWYYWGNPVAWSLYGLLVSQYGDVDAQVKLAGGAQTMAIKQLLKEQFGYRHDLLGPAAIAVFHCPQPQPHSSAHSSTLYKLSKASNTNYTHICAVVVNVSATRLSNHDNVGAEYIPHRRLLHSTEHYPLIIDPTLEFENPRIKNAYIALQAWKNVILSDPFNTTANWVGASVCNYTGVFCQPALDDPKENTVAGVDLNHADIAGHLPGELGFLYDIALFHVNSNRFCGNIPQTFLNMYLLFEIDLSNNHFAGKFPSVLLKLPQLNYLDVRYNEFEGELPDELFEKKLDAVFVNNNRFASELPKNIGSSTVSVLVLANNNFHGCFPASLTNMSATLQELILQNNNLQSCLPMDIGNLKNLTVFDVSHNKIEGALPDSITNMVNLEELNVAHNVFSGSIPKSICALPHLVSFTYEYNYLTGDPPQCLNLHEDRKNCLRNRPNQRTTSQCSLVLSRPTNCSKFGCAPPHAPYLPPPPKPSPPPPSPPPCPCSHDTPSPPPPPKELSPPPLPSPPQKSPTPVPSSPPPPKSSPPPPQQKSSPPPPPPHADIHLPAPAPSKGKQPPPPLPCSHPHPPPPPTPPKPPPCSQQQPPPPDTSALAASTSHLTESFRPPPSI >KZM91777 pep chromosome:ASM162521v1:6:27781881:27793456:1 gene:DCAR_020858 transcript:KZM91777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVWEPCTKKRAKQGLKDIITEKDSSTEENLSNEHRQDAILGRSCSSQFVLENQSHASLTVVGKINYTCSKKREYNNQISAIPAGQSVDNCLTNVEELLSTGILEGARVKYVSTSGNVKLLGIIKDGGYQCSCSICTMSKVLSAHEFELHAGGKSRNPHNHIYLDNGNSIYRIIEELWTTPLNMLEEVIKRVAGSSVNEEQLWTWKGSFIFCMWHRKLFFNLFIPTNPYHTFFFSLGQFSPSDFEKHAKHSRKRQPYNYIFTTNGHTLHNIAVDLLEGKSIESIVPSSSDDKCAVCRVAGGLVCDGCSRAHRPVCFRLEVPTRDWHCPNCTKNIGHGGRDPSPVNLHPHQVVMQPVYQPGDCVICRNQVVSVEACDGQEVIQCYQCAKQYHVACCLADGSYEIIKSPKDIWFCCCDCKRIHLTMQSRLKGNKGLALNKKLIVDGAANSLHCQILSVKSSTTEDSRLLCKAATLFNECFKTLSSKAYPDLIAAMVRGSMVASVGVLRIISGEIAELPVVATTKRYRGKGYFRALFSCIEHLLVSVNVKRIILPATKDAETMWTRKFGFEKLSVEKLSEYTRDYQFTMFWEASMLEKVLDAPNCESKLAQPTSQGHVLLAEERQRSGMEGVIDLDTKMEDSKHQDVEIEEAQVESSCSEAQLLPKDETVLELLDSINNYLLLMDSLSSKLRDGWFELAGARQSMGSSRVSSALFDLKYHHAATLMEVDHGDADSNLKQPHFTLHKWLSTDNQEFSPEGGKFEEDELLQRKSSSPHSTEEHQEKRSENGPEVLSSPSSPYTPNSNIKKERAKSLSMFGTLVSPKLRDAQLSFETVLETLQEVANVRSSMLAAYEQVLKEIEISKI >KZM90363 pep chromosome:ASM162521v1:6:14624395:14625081:-1 gene:DCAR_022272 transcript:KZM90363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIENNNIATIPTDIVIVLLELLLVGGFQDFFNFFIVWSRTQREVVITSLLDKFPLRSLYKYGCRGSPADMLCFDNFFRIAENLGIGDAVLYRRSRAIIYGTGNIDAHFTVLDTLSANNHFLGMVGNFILRSLYKQGNNVVTLQVLIRVVNHPNYQDFIVPAVNHLSDIHSYILFPELVDAVDIEACCPIHSTCVKVFLEEKCPPATNCLFCNIAFMVTVFARKPLVN >KZM91116 pep chromosome:ASM162521v1:6:22331554:22333530:1 gene:DCAR_021519 transcript:KZM91116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYGCVMRISPGIPSTISSVLGKNKAGMSEGGSSGGSGINTDCQKSNKLRILLYDADVESSQQCSSLLRQCSSNYQVMFEEDDVSMIFKGLGFGATDYIMKPLGVTDVLNLWDRIHKRKFIN >KZM92240 pep chromosome:ASM162521v1:6:31747711:31756401:-1 gene:DCAR_020395 transcript:KZM92240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEITREIEETEQEEEEIEDLQARRSTKLPNNLNPNHIKEDDDNDDYKQHKSANTNGEVEDDVHLIPTSIQELKTIDNGGIDVSEAELYQQVDFDNSVKCTGITTELSSNGHSGTEHTDPGKIDGDCVADVTKSSLNIGTGEFLSQESELEHAKQHGSLSGSSLSPSEISVEEDKTSLNFSNYIAIHSTETDQLYASDHHEATELDVERVLRKQDTHDLYCPNCNSCITKRVIIRKRKRRLPNLGEDGPGPISTSVPTTSDPSHNTVDNCVDDSGALASNNIDQVQEPDVFRCLSCFSIFIPSGNGFKLFRMIRDKSDEDNLQDPPQTQVLKKSWFSTLFQSDKRVITSADVETDDTEVLIPSKSTRQQNGSVSSMHVSSSHAYTDLNGEPVKSSNKESVEGGTDNISPQKISLAEPGSINNCKDQVSMNLNEENGEASPNKSPSHEIYTDEGSVWSEISGSKEGGTNVLSPSYYEAPLNRQGEHPEITLADIPPTSTREAAELSTFKPQENGLKFFIPFGVSSITVETSKTDQKVNGTIPNKGSDEKDTAFLLQTPLSFLEESFTDSKVNVTLSISPQNEQIGGASLLSEFATQIKFGEVTTNNKENIYYSEDYRQTHTKKAEAYTDEPLKADQTAPIPVIQNNLLLHGRQINLRNTFKDTAAQKGSVSDTIMVVATSQTEDPDAQRVQDLTNPAETENLQHLETRIQINEQSDISAEGIRGLEIIKSIVYGGLVESISSLGVVSSAAGSDAATLNVLALGLATLIGGLIIIGHNLWELENDKKTEASDHLDKRVDRYHELLGHRRNFLLHAVFAVLSFLTFGLIPPITYGFSFRSSDDRNLKLVMVAASSLSAIIILACGKAYVKRTPKSYMKTVLYCVMMGLMVSGISYEAGNLINMFLKKLDVFHSSFVVTQETRVMKPGWASY >KZM91758 pep chromosome:ASM162521v1:6:27632209:27632559:1 gene:DCAR_020877 transcript:KZM91758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSKVAVAVAVVLMVAVIERAAVVESITCGQVTGSVGSCLGYLKTGGKPVPACCNGVRGLNSLAKTPADRKQACNCLKTLAGSVKGINYSFASALPGKCGVSVPYPISPNTDCAK >KZM92345 pep chromosome:ASM162521v1:6:32696220:32701517:1 gene:DCAR_020290 transcript:KZM92345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHLLISALSVGVGVGLGLASGPAVSKLTGANAPLPGATADQIEHELSRLVQDGKESNVTFEDFPYYLSERTRMLLTSAAYVHLKHLDVSRHTRNLSPASRAILLTGTAELYQQMLAKALSHYFEAKLLLLDVPDFSMKMQSKYGTSRKEGPLKRSISDMALEQMSGLFGSWSKTPQREGTLSRQSSNLEAKLRSMEGGSQAPPRHRRNASGSSDLSSFSTQSSVNPGASKRISTLSFDEKVFLQSLYKVLISVSQNRAVILYIRDVEKLLLQTPRLYNLFDTMLKKLSGPVLLLGSKMLESDDDCSEVDERLTYLFPYTIDIKPPEDENRLVSWKTQLEEDMKTVQSQDNKNHIAEVLAANDLECDDLNTICHADAMVLSNYIEEIVVSAISYHLMSNKEPDYRNGRLLISSQSLSHGLSFFQEGKISAKDNVKLETKSEANKRLLVEEILGSMPPESKPEIPTIESKTEVDKSNPAAKKESENPAPPKMEVPDNEFEKRIRPEVIPANEIGVTFDDVGSLDETKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMMAKAIAREAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSAESREKILRTLLSKEKVDELDFKELATMTEGYSGSDLKNFCSTAAYRPVRELIKQEREKDMERKRRAEGKNSEHDASEKKEETERVITLRPLNMEDMKQAKKQVAASYSSGGASMGELEQWNNLYGEGGSRKTEQLSYFL >KZM90331 pep chromosome:ASM162521v1:6:14345363:14353410:1 gene:DCAR_022304 transcript:KZM90331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHPGGEDLICSMPQSCSQPEDVGQQLALTFDNEGSVLAVGVQEGKLRVFKWPSMDSILDESNAHASVKDLSFSPDGNFLASVGCSGPGRIWDIASSTPVAALQKGDNGRRHISFTFFQYAVTYSS >KZM92777 pep chromosome:ASM162521v1:6:36227946:36229379:-1 gene:DCAR_019858 transcript:KZM92777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVADLSPFPALWKAVKKNDQVSDKKVTRVCSDSDKKVLGVCSFEVASLMSRMIQLWNFLNKNVEKSQDFIDSVGIENLLKDNNGISDLVYGEVIYIFCEVARIIVRLAKRCSDARLKNLEHEIEGQLLKMKNSWIILLPCKKMDETIKEMGNLVTDNQNLYALMQELVKLEEKLEGKNSVQRLFQLETKIEETQVKVSHLKRSLWNAYYDDIVLLLSKCLFTIFVRIESLFNVVHVPGDDENMNLVRGQTTEKLPINRLAKLWSSARGNRKTSPLENLARCMVDHSVTRESNGPGNKTLSIFKTRMQSPSSGTLGDAELEKRYANAILEIDTLVMLPILISDAKLRELKKMLPRKISFVVKERLKLVQNETSLSVSIDMISEILNWLTPLAHNTITRWMSEQKYAHQNINTCGEKLLLQVETLCFADKEKADAVVSELLIGLTHINLAQKQLSDYSSEIVHVINDPMNSSSCLRS >KZM92484 pep chromosome:ASM162521v1:6:33727873:33730925:-1 gene:DCAR_020151 transcript:KZM92484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLFVHTVLLLLVVGQVRSLNPSLNDDLLGLIVFKADIQDPDGKLESWNEDDNSPCGWMGVRCNPRSNRVTELNLDGFGLSGRIGRGILQLQFLRKLSLAKNNLTGSLAFSLAQLENLRVVDLSQNNLGGPIPGNFFSQCGSLRSISLANNKFSGHIPDRLSSCFTLATLNFSGNQFSGLLPSGIWDMQGLRSLDLSSNLLEGEIPKGIEGANNLREIHLEKNRLTGEVPAGIGNCLLLRSIDFSDNSFSGFIPSTMQMLTLCNNLNLHKNSFLGEVPEWIGDMKSLESLDLSENRLSGPMPISLGKLQSLKLLNLSKNSLSGSLPESIINCVNLVVVDVNQNFLTGKLPSWLFGLSLQKVDFSENNLNGNIDASVKSLTDHSRRSLLVLDISNNSLTGEIPSALGDISSLQYLNLSRNSLTGGIPDSIKNLKALDVLDLSNNQLTGSIPMKIGDAVSLRKLWLEKNFLSGDIPASIEKCTPLTSLILSHNSLTGSIPVAFAKLTNLQTVDLSYNKFSGTLPKQLANLAHLISFNISHNQVQGDLPAGGFFNTISPSSLSGNPSLCGAAVNKSCPAVLPKPIVLNPNSSDSNPDSIPVNPGHKKIILSISALIAIGAAATIIIGVIAITVLNLRVRSTTPHSAAAFTLSGGDGFSSSPTSDGNSGKLVMFSGDPDFSTGAHALLNKDCELGRGGFGAVYRTVLKDGRSVAIKKLTVSSLVKSQDDFEREVKKLGKIHHRNLVALEGYYWTPSLQLLIYEFVSGGSLYKQLHEVSGNSLSWNERFTIILGIAKSLAHLHHQNIIHYNLKSSNILIDSSGEPKVADSGLARLLPMLDRYILSSKIQSALGYMAPEFGCKTVKITEKCDVYGFGVLVLEVVTGRRPVEYMEDDVVVLCDMVRGALEEGRVEDCVDEKLRDKFPPDEAIPVIKLGLICTSQVPSNRPDMAEVINILELIRCPSEGQEELV >KZM91030 pep chromosome:ASM162521v1:6:21534069:21537240:1 gene:DCAR_021605 transcript:KZM91030 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MIKGRLLLFPLPFQGHINPMLQLANILHSKGFNITIIHTSFNSPNKSSYPHFTFESFSEESLAGCTSLLGDVKDIILLLNLMNKVWFDPFLDCVKRLLSNSSAEDPIRCLISDATLYFTQAVADLLKLPRIVLRTSSLSSFHVFHAFPLLLQKGYLSMEGRLLLFPLPFQGHINPMLQLANILHSKGFNITIIHTSFNSPNKSSYPHFTFESFSEESLAGCTSLLGDVKDIILLLNLMNKVWFDPFLDCVKRLLSNSSAEDPIRCLISDATLYFTQAVADLLKLPRIVLRTSSLSSFHVFHAFPLLLQKGYLSMEDSESEAPVLEAPPLKVKDVSLTFKIEGEALDVMLSGLMTGTKAASGLIWNSFEELEQSMLPTIQQEFPIPNFTIGPFHKYFTASASSLIAQDQTALSWLDMQAPLSVLYVSFGSLAAVDKTAFIEMAWGLANSKQKFLWVVRPGAICGSEWLEPFPDELLEAVSERAHIVKWAPQQEVLAHPATACFWSHCGWNSTLESICEGVPMICSPSFGDQQTNARYIEAVWKVGLVLENGIEREEITRAIRRVMVDEEGIEMKMRISSLKEKANICSMEGGSSYRSLESLVSFILSF >KZM92073 pep chromosome:ASM162521v1:6:30320255:30320701:1 gene:DCAR_020562 transcript:KZM92073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHMAVVLVSLLLVALVGFASAANSPAPSPSPKGAAPKSSPPQSPASGGKSPASSKAPAPADDDDDDTADSPDADTPGSAPDSSDSSSSSPPAPPGEDSSDSPSDAPSDAPSADDADAPSPSEESKAVALKASSVVAVALVAGFFVA >KZM92319 pep chromosome:ASM162521v1:6:32465224:32476887:1 gene:DCAR_020316 transcript:KZM92319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAQNSIFQPKPASNLCSTTKPKAILNPQLNVLSRVAVRAKAKAKNCGFALEKNRFYGSRLRGSGQERHHLWQSDGPGRAPKLKVVVKSSMSQVPEKPLGLYDSAFDKDSCGVGFVAELSGESSRKTVRDAIEMLVRMAHRGACGCEANTGDGAGILVALPHDFYKEVAKDVGFELPPFGEYAVGMFFLPTSESRREQSKIVFTKVAESLGHTVLGWRSVPTDNSGLGPSTLQTEPVIEQVFLTPTPRTIVYKGQLKPNQLKEYYYADLGNQRFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCRDLQLSKNEMKKLLPIVDASSSDSGSFDGVLELLIRAGRSLPEAIMMMIPEAWQNDKNMDPERKDLYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFEKHVVVDDEALKQQYSKARPYGKWLERQKITLKNIVESVRESDRVCPPIAGVMQASNNDDNMENMGLRGLLAPLKAFGYTIESIEMLLLPMAKDGVEALGSMGNDAPLAVMSNREKLIFEYFKQMFAQVTNPPIDPIREKIVTSMECMVGPEGDLTETTEEQCHRLSLKGPLLSIDEMQAIKKMNYRGWRSKVLDITYSKERGGNGLEETLDRICLEAHNAIKEGYTTLVLSDRAFSPNRVAVSSLLAVGAVHQHLVKKLERTRVALIVESAEPREVHHFCTLVGFGADGICPYLAVEAIWRLQVDGKIPPKSSGEFHSKEELIKKYYRASQYGMMKVLAKMGISTLASYKGAQIFEAVGLSSDVMDRCFAGTPSRVEGATFEALAHDALHLHDIAFPSRALPPTSAEAVALPNPGDYHWRKGGEIHLNDPLAISKLQEAARGNSVAAYKEYSKRIQELNKSCNLRGLLKFKEAAVQIPLEEVEPASEIVKRFCTGAMSYGSISLEAHTTLAMAMNKIGGKSNTGEGGENPSRMEPLSDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAITRNSTAGVGLISPPPHHDIYSIEDLAQLIYDLKNANPAARVSVKLVSEAGVGVIASGVVKGHADHILISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAMAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMIAEELREIMSQLGLRTINEMVGRSDLLEMDKDLIKDNEKLKTIDLSLLLKPAAEIRPEAAQYCVEKQDHGLDMALDQKLISLSAPALSKGLPVYMETPICNTNRAVGTMLSHEVTKRYHNIGLPADTIHVKLNGSAGQSLGAFLCSGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSNFDPKENIIIGNVALYGATNGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVFDEDSKFRSRCNAELVDLDNVEEEDDITTLRMMIQQHQRHTGSQLAKDVLSNFDKLLPSFVKVFPRDYKRILASLRKEEIAKRAAEKAAKEAEEQEEAELVEKDAFEELKKLAAANTMNEKASEEVKAKVSDRPSEVADAVKHRGFVAYERAGVSYRDPLVRMGDWKEVMEETKPGPLVKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALNRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECSIIDKAFEEGWMLPRPPLTRTGKKVAIVGSGPSGLAAADQLNRMGHSVTVFERSDRVGGLMMYGVPNMKTDKIDVVQRRVDLMEKEGVTFVVNASVGKDPSYSLDRLREENDAIILAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLEDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCNNIVNLELLPEPPRTRAPGNPWPQWPRIFRVDYGHQEAATKFGKDPRSYEVLTKRFIGDENGLVKGLEIVRVQWEKDASGRFQFKEVEGSEEIIGADLVLLAMGFLGPESTIADKLELERDNRSNFKAEYGRFSTNVDGVFAAGDCRRGQSLVVWAISEGRQAASEVDKYLLREENNVDSDRLDNTTNRQQDSNKQTVMTK >KZM91150 pep chromosome:ASM162521v1:6:22603431:22605070:-1 gene:DCAR_021485 transcript:KZM91150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DFR-1 description:Dihydroflavonol reductase MVKELHTVCVTGASGFIGSWLVMRLLQRGYTVRATVRDPGNPKKVKHLLQLPKADTNLILCRADLNEEGSFDEAVKGCHAVFHMATPMDIESQDPEAIIKPTVQGVLDIIKACAKAKTVKKLIYTSSAGTVNVREHQLPVYDESNWSDMDFIYSTKMTAWMYFVSKSLAEKAAWQAAEENNIQFISIIPTLVVGPFISPTFPPSLITALSPLTGNEAHYSIIKQCQYVHLDDLCESQIFLYEHPTAQGRYICSSHDATIHDVVKLIREKWPEYNVPTEFKGIEKDLPVISFSSKKLTDMGFTFKYNLEDMFKGAIETCREKGLLPNSTTLQENDQEKKYLFPATDDHHNGHEKDLFHHSIDKDAVGKEKRGETESLVAA >KZM92384 pep chromosome:ASM162521v1:6:33012869:33019064:-1 gene:DCAR_020251 transcript:KZM92384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTWFVRTVELALAISFVLVVLKIVYSLWIWPNMVYKKLRRNGLIGPSPSFPLGNIKHMVAAKNKRASALSSCTTVSHDIYSKVVPHFAEWQESHVMLEGDDWLRHRNVITPAFSPANLKAMGSLMVASTNEMLDRWTSIINSGSQEIEVESEIIATTGEIIAKTSFGMDYNNGKKVFHKLRTMQQVLFASNRYVGVPFNNFFCYKEYCEAKRLGDEIDALFLTIIKNKIKSREAGARVGITNARQEKNLLDLMLADKDCRRTLTTVELVDECKTFFFAGFETTALALIWTLMLLAMYPEWTNQLREEIKQVIGDQVVDATNIFGLKKMGWVMSEALRLYPSAVNAQRQVREDIRVGEVVIPKGTNIWIDMLSMNHDRGLWGDTVNEFRPERFEADSVHGGCLSKMGYVPFGIGGRMCIGRNLAIMEYKIVLTLILTSLWIWPNMVYKKLRRNGLIGPSPSFPLGNIRHMVAAKNKRASALSCSCTTISHDIHSKVVPHFAEWQKSHGKVFIYWLGTEPFLRIADPEFLKQMASAVLGKSWGKPDVFKNDRKAMFGNGLVMMEGDDWLRHRSVITPAFSPANLKAMGSLIMVASTNEMLDRWTSIINSGRQEIEVESEIIATAGEIVAKTSFGMDHKNGKKVFHKLRTMQQVLFASNRYVGVPFNKFFCHKEYREAKRLGDEIDALFLTIIKNRIKSREAGITADHQEKNLLDLMLADKDCRRTLTIEELVDECKTFFFAGFETTALSLIWTLMLLAMYPEWQNQLRQEIKQVIGDQVVDATNISGLKKMGWVMSEALRLYPSAPNAQRQAREDIRVGEIVIPKGTNMWIDILSMNHDRGLWGDTVNEFRPERFEADSVHGGCRSKMGYVPFGFGGRMCIGRNLAIMEYKNVLTLILARFSFSLSPYYQHCPVLMLSLRPCKGLPLVFQPIN >KZM90842 pep chromosome:ASM162521v1:6:19746530:19748917:1 gene:DCAR_021793 transcript:KZM90842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSNFWQLGDELRGLQVSDEHKWSMAASKLAEQTRSKVERRNNLDLYKGSNETRPRENPGFQEDNRYGSLNLNMLNMDINMNASVAKSSIVNSMYNMNTVYQKNNLSNIGNMKVTNYNVNNNEKNGMNSGNHNNNHDANSNNVVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEASGFGGSNIDATAWEDKKCKGESRFPAQVRIRIRKICKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGV >KZM90074 pep chromosome:ASM162521v1:6:10319309:10322969:-1 gene:DCAR_022561 transcript:KZM90074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMSRDDDPPPVRKPVNRKSRNGFSGAGVRLRRDGAPTGRRSRPETPLLKWKVDDKVKDTVKVHDSVDGDKSAADSGRKMRRDKPVLISARKLAAGLWRLQVPKSLGTEAEDGVSNRELGFQATLGHIGAAAYPSHYSSKPYSAHMKDLPRSPHFAPKIGFPLKVEHSLINSNPAMEGITKWDPMCYGASDEVQRIYSHSKPIYEQVGAVSTVSVLELELKQARGRIQELESERRSSKKKIEQFLKKLSEERAVWRSREHEKIRAVIDDIKDDLNRERKNRQRTEMVNSKLVNELADVKLSAKRYMQDFEKERKARGLIEEVCDELAKEIGEDKAEVEALKRESLNLRDELEDERKMLQMAEVWREERVQMKLVDAKVTLEEKFAQMNNLIADLETLKGSIIDIEERRKVEQLRQAAASVNIKDIREFTYEPSNPEDIYSIFEDANFGEPIEREIEDCGIYSPASHGSKAHSVSPKASNFYKKDVTQKYSNASLSHNGELEDDDSGWETVSHVDDQGSSFSPDGSDPSVNKMYRDSNVSGSGTEWEDNAGRETPITEISEVCSEPTVQQKKVSSISRMWKALPNNVENYKIIAVEGLKGRLSRGRLSNGTVNSPDQGLGKGGNSPKDLTGHWSSPELGNAHINPGTKGCIEWPRGSQKDSLKTKLQEARMDTQKIQLRQVLKQKI >KZM90222 pep chromosome:ASM162521v1:6:12758538:12762049:-1 gene:DCAR_022413 transcript:KZM90222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVSSEDASDERCGSYSLSADVSESESSSGFSGRRFDVESLSAESPLPRRGFAGNEGFTAPVMFPVVGGKDVVVWEESTRKSQADLSEVEMIKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKAMWRREMEYLLWVSDSIVELVPSVQQFPGGGSYEVMATQPRSDLYINLPALKKLDAMLISILDGFEDLEFWYVERGMAVAEGNGGDAYSSAFSSGRPSVRQEEKWWLPCPKVPSKGLSEDARKRLQQCRDCTNQILKAALAINSNVLAEMEIPSAYAETLPKNGKACLGEIIYRYITADQFSPECLLDCLDLSSEHHTLDVANRIEAAVHVWKLKDQKNHSSHIKSKRSSWSGKVKGLVADGEKNHILAERAETLLHSLKLRFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDSANRCSAAESMSIFSRGNLAGQPIQKRILPSPFSIQHTPYTSPFATPTFCSSPPISNGLKGLPSMPMPADLDKLWSYAGNLSARRISEDGPEIDK >KZM90609 pep chromosome:ASM162521v1:6:17388513:17389574:-1 gene:DCAR_022026 transcript:KZM90609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIWELPDEKFYKINVHCVVSPVPLPNGNTNSVGVVVRNDTGEDVWKGMGPMPGLNEEQAIMAGIQAASIEGRKRKWDLIHIETTNRNVFETILMQQHMVLQENQREAYGLFNTIYANHHKDGKTDRCISCVPPHMNSTADYMANYGLNNLSVFSELEEDLGDMRYWLNRDMGMVLPAPLRETPLLLGGGEVVDGPPPPKILKRKRNPFAMEESALLPFFKPDTISWNLNPANYDPSGKYKKKLYEGSSFNKNGAFSDVAINILNDGTLHGLPDIFSSQVVDLDAPVDYGMYGRDLLHHAVNGTLQEFMRGSRMMGEMVKKRYILMDVDEVLEAMGMASRDVKMKNAASSSA >KZM91273 pep chromosome:ASM162521v1:6:23723899:23724285:-1 gene:DCAR_021362 transcript:KZM91273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSGSSMTYLPKGEFYDSIVSAIKEAMSEVETIDDPGRTFHFCYKTKPDIKAPRIGFQFKDAAVLEIPESNIFVEVSDGVTCFTVAPTHWPFPLPIFGSALQWNFVVSCDLRKKMVSFQATDCSKKV >KZM90477 pep chromosome:ASM162521v1:6:16105060:16105566:-1 gene:DCAR_022158 transcript:KZM90477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADKSEKYSNDSEALEKWISDCFTDHHPPARYYEEEKPVVPVPKKEKPVVPVPKKEKEKPVVHEKRVMKLDSVSALEETHRFLANFLRVDNAKRMIISQQTRTTRPGLENNSGLKRKFDDYVNNEVKKIMHRKPKQRKCVADPIGKPSSRGQILANKKYKFQPLVC >KZM90383 pep chromosome:ASM162521v1:6:14814861:14815469:1 gene:DCAR_022252 transcript:KZM90383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTIQVCTPRHPKPLHPVHRTLATAANLANLLPTGTVLSFRALTPSFSNQGHCQLSNKYLTAILIAVCAVACFLSSFTDSFVDSEGKLYYGIATFKGLNIFNYKNNNEAENELDMQDLRQYRLCFIDFVHAFMSLMVFLVFALSDSDVQSCYFPVGGENLNALVMNLPLGTGVLASFLFMLFPTTRRGIGVADLPLFSR >KZM90026 pep chromosome:ASM162521v1:6:9701380:9706351:1 gene:DCAR_022609 transcript:KZM90026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTDSPLPYINPHLSSSSPIPVSDTDSYLIDSTASGSNSFQKDTFLSAEPLDFGFSRPDFRQAPLVGTVDYYQRHVFLCYKNPDVWPPRIEAAEFDRLPRLLSAALAARKPFMNRHNCLRYRRLTHFDVDTFVEEVLVKDGEWLPGTPEPLRGSYIFVCAHGTRDRRCGVCGPSVVARFKEEIELRGLQGKVHVRPCSHIGGHKYAGNVIIFGSTISGEATGHWYGYVMPDDAPTLLEQHIEKGQVVDLLWRGQIGLSEEDQKKSQEVRLQLTGGNNVEKSKNETSQTIEISSSACRSQVKGTCCYQTNSISPSSCCQDLLLPEISYTAEPSAETTTTSEKRSKKQPSRNNNCQAAHSRKVCAMPTWIESWEREDTYATMAVIGAAVSIVVAYNCYKQLT >KZM90373 pep chromosome:ASM162521v1:6:14743868:14749856:1 gene:DCAR_022262 transcript:KZM90373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSDIQGGKQAVIGGGTQQGVSGGGHNDAVDFFFKTKGLDPLYTQTESDPMLVVYEKKRDGSFVELGRTEVIMNNLDPIWIAKIPVAYQFEIVQPLYFQVYDVDTKYHNVPPKMLKLKDQDYLGEANCVLSEIVTTKSRSKTLNLHNNRGPGFKNCGTITVHAEETVAPRNAIEVAFRCARLDNKDFFSKSDPFLRISRIIETGGHVPICKTGVVNNNLNPTWPPLCLSTQQYVSKENPLLIECFDFNSNGDHILIGKLQKSVADFERLNKEKVGENFILPSRRKGRQKVLKGQLFVDSFVEKQLYSFIDYISSGFELNFMVAVDFTASNGNPRSPESLHYVDPSGRLNAYQQAIMEVGEVLQFYDSDRRFPAWGFGGKTLDGVVSHCFNLNGSPNGVEVEGVGGIMAAYTYALNNVSLCGPTLFGQVINRAAELAAQSASSDYRKYFVLLIITDGVLTDLQETTDSIVRASDLPLSILIVGVGNADFKQMEILDADNGNFLKSSNGRIATRDIVQFVPMREMHAGQISVVQSLLEELPGQFLTHMRGRNIKPTGANADAD >KZM89786 pep chromosome:ASM162521v1:6:4831934:4840629:-1 gene:DCAR_022851 transcript:KZM89786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRSSLSLARAFTRPLLTNPTNYHTPHRLLCLLSSSSTNIFPFQHNPQAHFLTSCLNSTRTHSLANTKTLRPGLFYSFSSPPSYSTNASSSAQINDSEFTEMAWEGIVGAVDAARISKQQVVQSEHLMKALLEQKDGLARRILTKAGLDNTSVLQATDDFIHKQPKVIGETSGPVLGPDLNVLLSNARNHKREMKDDFVSVEHLLLAFLSDKRFGQQLFKNLQLSEQTLKDAVKAVRGNQRVTDQNPEGKYDVLDKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIFRGDVPEPLMNRKLFSLDMGSLLAGAKYRGEFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCDQPSVEDTISILRGLRERYELHHGVKISDSALVSAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAILKLEMEKLSLKNDTDKASKERLAKLENDLVSLKEKQKGLTEQWDREKNLMTRIRSTKEEIDRVKLEMEAAERDYDLNRAAELKYGTLMSLQRQLEEAEKNLADYQGSGNSLLREEVSDLDIAEIVSKWTGIPLSNLQQSERDKLVLLEEVLHKRVVGQDMAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKALAGYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSHYILDTLRKTHDNTDAVYNLMKREVVELARQTFRPEFMNRIDEYIVFQPLDSKEISKIVEIQLNRVKDRLKQKKINLQYTKEAIEHLGQVGFDPNFGARPVKRVIQQMVENEVALRFLKGDIKEEDTLLIDADMSSKNEDVAGQNRLVIKKLESSWAEDALVANN >KZM89965 pep chromosome:ASM162521v1:6:8853142:8853654:1 gene:DCAR_022670 transcript:KZM89965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHLAHSHVHVPPFPSAISGAIASVDNPISSHHGQSGRIELGNLNPFHAANLQYQGWGHYSTPISAKGNHLNGVDNISVPSTFGTARVDTAAISRPGPPTRLPQLEPTLHSTSPPQGGSSIFPHSAGQDLHEQNMGHFSRFRFMLSDRDSGLGSQRHLSDRAEPSHRS >KZM90487 pep chromosome:ASM162521v1:6:16225562:16231866:-1 gene:DCAR_022148 transcript:KZM90487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALRIPMASSISCCSSSSPQSMKRCSFKPCAALNSRNSAKIPLPPINPNDPFLSRLASVAANSPEKLLNRPANSDTPPYLDIFDSPTLMATPAQVERSVSYNEHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAELMYLQWMDPKDPIYLYINSTGTTRDDGETVGMETEGFAIYDAMMQLKNEIHTVAVGAAIGQACLLLAAGSKGKRFMMPHAKAMIQQPRVPSSGLMPASDVLIRAKEVVINRDTLVELLAKHTGNSIEAVANVMRRPFYMDSTRAKEFGVIDKILWRGQEKIMADAASPEDWDKNAGIKVLDAV >KZM90142 pep chromosome:ASM162521v1:6:11643384:11644103:-1 gene:DCAR_022493 transcript:KZM90142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQKPAPSSPDSDLPLLAAIKVKASSPRYRPSSTPHATDTPTSAAQRRIGIAVDLSDESAFAVKWSVHHYLRPGDAVILLHVRPTSVLYGADWGSVDSDTDEATKQKLETEFDDFTTSKAADLSQPLVDAKIPYKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGATKNGGNERLGSVSDYCVRHCVCPVVVVRYPDEKDRNVGGGDKKKDVVAKNEVEVENRNADEDDKEKKGL >KZM90317 pep chromosome:ASM162521v1:6:14134245:14137862:1 gene:DCAR_022318 transcript:KZM90317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHSVPLLSDKNGNFEYFKKPSSLALFTRWALKIAMWVVFIFWVLAIVLYPTEFFNDLMEKWSNATSGSLYGLTGSIFMLFSGPVLIIVFLGNLYLIISKDDEFHEKKTQKKASFKLWTFPVIIDGPFGVVSAAEFIGILLFSVYIVWTVSFYLVHYIAAAASEPNFEEKSIYVLKYFGARLGLVGLFCLSFLFLPIARGSVLLRLIDIPFEHATRYHVWLGHITMLIFTLHGLCYVVVWTLHGHLIEKLLAWKDDGIANLPGVISLTAGLLMWVTSLPPVRRQNFELFYYTHQLYIVFVVFLALHVGDFIFTIAAAGIFLYMLDRFLRFCQSRKTVDVLSARCLPCGTVELVLSKPAGLSYNALSWIYIQIRELSWLQWHPFSVSSSPLDGKHHLAVLVKVLGDWTEKLQRHIVHDTKEGLQQDLLLLPHSNSIKASVEGPYGHESPYHLTYPNLILVAGGIGISPYLAILNDILHRISENRPCLPRKILIVWAVKKSHELPLLHSVDMESICPNFSNTLNLEIQTYVTRESEPPLEEGKIHDTVNTSLFRATRNRGISVLVGTGNIIWSGIYVVVSTVGLFILVGLLDAYYINPYSITYWWYKGLLFLACMVASVLIFGGLVISLWHLWERNVSGKESEDEKAEIMQHNEPQIDKDPHRKHFSSTFHYGQRPDFKEIFGSMSERWGNVNVGVIVCGPPSLQTSVAKECRAQNIGRGRNTPVFHFNSHSFDL >KZM92451 pep chromosome:ASM162521v1:6:33490880:33493883:1 gene:DCAR_020184 transcript:KZM92451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHSVRLNCGTTIPVLGFGTYSYENDRRTTEQAVHAALKLERMRSHSVRLNCGTTIPVLGFGTYSYENDRRTTEQAVHAALKMGYRHFDTAKIYGSEEAVGNALGEAMESGFIGREDIFVTSKLWGSDHHDPVSALKQTLMKLGMEYVDMYLVHWPVKLKPWACSAVPNEQDFDELDLEGTWAGMEMCLQLGLCKAIGVSNFSSTKIRQLLDFASIPPAVNQVEMHPMWRQRKLRQICGENRIHVSAYSPLGAPGSFWGSTDVVNNPIIRSIALKHRATPAQVALRWGLSKGTSAIVKSFNLERMKENMGALDLRLDENDMLAIERMVERKIMRGDFLVNQTTSPYKSIQDLWDDEI >KZM91821 pep chromosome:ASM162521v1:6:28063411:28069454:1 gene:DCAR_020814 transcript:KZM91821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREDKRGGRGWFVRKCKAMSQEYEEEEIKSNNNSNHNNNTCTVSSSEQWKCSETEGIQLVVSTPPPQIHTHLQAQTFSEPQATPLDKAQEEAELFQEAKCKTEMEGSSDQGSSKKIDEDKKKQAAAVGFLELFRFADRLDYVLMIVGTVGAFVHGCSLPVFLRFFADLVNSFGSNADNIDKMTQEVLKYAFYFLIVGAAIWASSWAEISCWMWTGERQTTKMRIEYLESALNQDVQFFDTEVRTSDVVFGINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGAIHQITLSKLSAKTQEALSQAGNIAEQTVGQIRMVFAFVGESRALKAYSSALKTAQRLGYKSGFSKGLGLGATYFTVFCCYALLLWYGGYLVRHHYTNGGLAIATMFSVMIGGIALGQSAPSMAAFAKARVAAAKIFLIIDHKPSVNRNSETGLELESVLGQVEIKNVDFSYPSRPDVLILNNFSLSVPSGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVLLDGNDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPDASLVEIEEAARVANAHSFIIKLTDGYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADFVAVLHQGSVSEIGTHDELMFKGENGTYAKLIRLQEVAHETAINNARKSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDFSTSDFSLSLDVSYPGYRIEKLAFKEQASSFWRLAKMNSPEWPYALVGSVGSVVCGTLSAFFAYVLSVVLSVYYNQDHAYMIREIEKYCYLLIGVSSAALIFNTLQHFFWDVVGENLTKRVREKMLTAVLKNEMAWFDQEENESSRIAARLALDANNVRSAIGDRISVIMQNSALLLVACSVGFALQWRLALVLIAVFPIVVAATVLQKMFMQGFSGDLEAAHAKATQLAGEAVANVRTVAAFNSESKIVRLFTSNLETPLRRCFWKGQIAGSGYGIAQFLLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMQSVFDLLDRKTEIEPDDPDSTPMPDRIRGEVELKHVDFSYPSRPDMPVFRDLSIRARAGKILALVGPSGCGKSSVIALVQRFYEPSSGRVIIDGKDIRKYNLKTLRRHIAVVPQEPSLFATTIYDNIAYGHESATEAEITEAATLANAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAIARALLRKAELMLLDEATSALDAESERCVQEALERACSGKTTIIVAHRLSTIRNAHVIGVIDDGKVAEQGSHSHLLKNYPDGCYSRMIQLQRFSHGQAVNMATGSSSSTARPREDQDRE >KZM92498 pep chromosome:ASM162521v1:6:33860933:33862360:-1 gene:DCAR_020137 transcript:KZM92498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSFEKMLVRARLCFYERVLNVTRANAVVYRSFGAMTSPVFKDNEGSKVRGGGGVSNDDYFATVHHISNVVRRDIYMERTLNKMCISRIVNSELVYRVLRNCCHCGSESFRFFNWARTQHPQYDPTTLEFEELLKTLARTKCWETMWKVAHQMKTQEIPLSPSVVSYIIDQYGKHGLIDQAVELFNRLKNFNCPQTTEIYNSLLYALCEVKNFQGAYALIRRMVRKGGVPDKKTYSVLVNGWCSAGKLKEAQEFLEEMSQKGFNPPVRGRDLLIDGLLNAGYIESAKGLVRKMTKEGFVPDVATFNSLAEAVCKSGELGFCIDIYHAVCRLGFSPDIDTYKIMIMAASKADKIDDAIAVLHKSIEDGHKPFPSLYAPILKGLCRRGQFDDAYSFFSDMKVKGHPPNRPVYTMLIRMSVRGSRFVEAGNYLVEMTELNLSPLSRNFDMVTDGLKTCGKHDLAKKIEQLEISLRGI >KZM89942 pep chromosome:ASM162521v1:6:7834738:7835043:1 gene:DCAR_022695 transcript:KZM89942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPLRLLRGESPSPPPKKIENLWNPPPPPQKKPVLPRHSLRNYHKHKPPRRLPPSEEKLNLGKKVGLLFTGIVAILQVCVVAFLVIKSRQMFKDQDVH >KZM92711 pep chromosome:ASM162521v1:6:35644358:35656934:-1 gene:DCAR_019924 transcript:KZM92711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVTSGLIDVHVLSVFIVFFFSLSFGVIAQTKTNPTVDPNDGRIVNSIFRKWGISASSNWNISGELCSGAAIDTVTTFSDGSFNPAIKCACGNTTCRITQLKVKALDVVGVIPEELWNLTALMNLDLRQNYLTGPLSASIGNLVSMQYMGLSINSLSGNLPKELGKLTDMRILTFSGNNFSGPLPAELGSLTKLEQIILYISTVHLVSYFDLSGVSGPIPSTFASLRNLANVWASGNELTGRIPDFIGNWSKLTELRFQGNAFEGPIPMTFANLTSMTDLRISDVSNGSSTLDFIKEMKSLSVLILRNNNISGSIPSDIGGYRTLIRLFLGNNKLSGTLPLQKSASLRNIDLSYNDLSGTFPSWISESNIQLNIVANNFKIEGSNSRFLTPGLNCLQKGFPCNRNPPRYYNFSIKCGGPSLKTLDGIAYERDNEALGPATYYVPTSNRWAVSNAGQRADAGSPEYINTSSSRFANTVDPTLFQIARTSGGSLRYYGLGLENGNYTVKLQFAEIAIPNPPGWRSVARRIFDIYIQGSRVFKDFDIVKEAGSSFRATSKDYKVQVSENYLEIHFFWGGKGSCCIPDPSTFGPLISAITATPDFIPSVSNDPPVDPSARKNKSGSVAKIAIPVTVVSFLIAVFVLSFFVRRRKKQSTYEDEEFLGMDARPYTFSYAELKVGTDDFSPANKLGEGGFGPVYKGTLGDGRVVAVKKLSVASHQGKNQFVAEIATISAVQHRSLVKLYGCCIEGDERLLVYEYLENNSLDQAGYLAPEYAMRGHLTEKVDVFGFGVVALEVVSGRSNSDSTLEDDHIYLLEWAWQLHEHNRGIDLVDSSLSAFNEDEVKRLINVALLCTQTSPSLRPPMSRVVAMLSEDIEISTVTTKPGYLTDWTFTDVNTFESVDASTSNTDANTPFSSSADNSEVTASNHTPVHVPASSALLSSRYNDALG >KZM92535 pep chromosome:ASM162521v1:6:34172856:34174525:1 gene:DCAR_020100 transcript:KZM92535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGQGRRSGQAPFYAVNRTLEEELAAQGAEVERLLAENQRLSATKGYLEHCVVVSQQDIQRLGAHINTTKTEADAQVRAMEEKIAKLEAEKSAAESIKEELHQAHTEAQGLLETNRKLSGQIQQALQETEIAKADIKRLPELQSTLERMKNEYQMLRKTFNQEKGQNVAKIEKIRVMEVDLARETEARNFMRTQVANAARRARVPADMTEFWFSGGIIVPSGVGNHTATGNSGGAAFPGSAGGAD >KZM92160 pep chromosome:ASM162521v1:6:31069327:31070511:-1 gene:DCAR_020475 transcript:KZM92160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEEALGLFKKALVEELDVNDFTFSSVVRVCGNSTFLELGKEIHGLCLKMSYDLSSFVGSSLISMYSKCGLIGEAYQVFGEARDKNLGMWNAMLIACAQHAHTEKAFQLFEEMEGGGVKPNFITFLCMVYACSHKGLVKEGNYYFDRMKRYGIEPGDQHYSSMVDLLGRAGKLQDALAMIREMPMQPTESVWGAFLTGCRIHGNTELAAYAADKVFELGAVSPGLHVLLSNAYAAAGRYEESAKARKMLRDRGVKKETGLSWVEEGNRVHTFTAGDKSHSKSREIYQKLDELGDEIEQAGYIADTSFVLQEIDGSEKKLAIRYHSERLAIAFALITFPPGRVIRIMKNLRVCGDCHTAIKFMSKSSGRVIIVRDNNRFHRFEDGKCSCGDYW >KZM90213 pep chromosome:ASM162521v1:6:12654705:12658917:-1 gene:DCAR_022422 transcript:KZM90213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRGRHNLDSAILDVSQREVGQISNRIFAQRLAASEDLVLRLDLHGKLEMHRGCVNTVSFSSDGDILVSGSDDRRVILWDWQSGCAKLAFHSGHNNNVFQAKFMPFSDDRSIVTCAADGQVRSAKILERGHVETKLLSRHRGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGAATELFTCQSTQDGLMPVVRLNAIAIDPRNPNLFAVAGSDEYTRLYDIRRYKWDGSSDFGQPADYFCPPHLIGDGLVGITGLAFSDQSELLATYNDEFIYLFSKDMGLGPDPDFASPKYMDSDADEMKSHHASAESSSGNNSNVVASPQVYKGHRNRETVKGVSFFGPRCEYVVSGSDCGRIFIWRKRTGELLRVIEADKKVVNCIEAHPHTAALASSGIESDIKLWIPKAAERATLPKNIEKLRPKARGWMSMASPEDLMLQLFSLQRRRTGSEDNDQQSMIRHELLELMYDANSDGSSEADGYTSSEDLFG >KZM90560 pep chromosome:ASM162521v1:6:16872175:16876302:-1 gene:DCAR_022075 transcript:KZM90560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAQISVSLKYLKPAKMASAFSDNGPSFFTLLHHRRIPAVFKGMIGERYPCVIYLKVSSGPWFKIVVCDDDNEKMAMKEGLKGFLLSNNITMSNFLVFCFDGVSSFHVQVFEPSGLERVPENKEQLETIGTPNGKRKPGRPRKYGKDNHVLRGKKEQQYQDYGKEPSKKIPAFFKAFITEEAHRRLNIPLAFTEYLEGKIAHTAFLRNRKSYVWTVTTTKSRNQYSFDNGWPEFVEQNDVHLGDFLVFQYDGKCTFDFKHFGTSMCEKEAKILSFKIEEEYGEGFDREAETSEKKYADSDNSFSDVNDENDGDEDDEGDNDVVLEDEKDVGEDAGYDYDDDNFDGDEDVEGEDVDEEVSVKDVETNEEDAIFKSGIVERPKNPYFVAKILPSRRSDLFVPSDVIKDFNLKLPKDLCIFVKHGRRWKTEVKVWKDGRTVLSKGWRPICKWNNLQLDDRCICEFVPGDGEEGLFLQMTIVRAGSW >KZM90906 pep chromosome:ASM162521v1:6:20310593:20321478:-1 gene:DCAR_021729 transcript:KZM90906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWLVDGRAIARKVKHATLPFADQIKDCGVKRECPNCFYCIDNSDVSHAWPGLPAGVKFDPSDVQILEHLAAKCGVGESDPHMFIDEFIPTLDMENGICYTHPENLPGAKEDGTSVYFFHKTANAYATGQRKRRKIQNEDSSGKEHVRWHKTGKTKPVLENGTQKGSKKIMVLYRSAVNGSKPEKSNWVMHQYHLGTEEDEKDGDYVVSRIFYQHQKQGEKIDGPVAITESNKVMLHTSPKTPKTNTPNPPRPGNSGYLDDIMDEFQLRSSTYEAEYITEMNKPSSDVHLKDGIDDSYLAGESQAVVDQGAEFVTQMNEPFSDVHLKDDIDYSDLVGKSQAIVDQGAAFITEMNQPSDVFFRDNIDYPYLAGESQDVHLDGIEDSLLCDEIFESSALYNNNNNSGLCYNSSSVLPCEVTDASGAANNESCGIPDLDDIDLDTPPDFQLSKLNMCPLINCRNVIIHNDLSPKTILNNAIGTDVSVSSHYLLNCFDGCRAMATSRGKSMRSKVETRMRKESGKTLREIRRSKKLRKKMMTDEERLIYNLRRAKKKVALLLQKLKKYELPELPPPRHDPELLTPEQLQAYRKIGFRNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMATMLARLSGGIVINVHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALDSQKLNMKKLEQQLRRMGVNPDDPVAMASIQRVASTFFNAIDKKEGSPYVFRGDKLRAAETTSKIKQAEPEDDSDQEELDKFIAEIEDAADREWEAEEAAEQEEASKLRYWNKGDLDGRSYRSELVKGGYDDDESKHGARSWKDKNTNRQRINHSEDDEDDDSDDGDDEEWNASYARAINKRESDADDNHKFREKFTSPKVDSWKAAKSGKHNNVDGFKMEVEDKFRGKLAENVSESDEMLTDLDDAMWESDDNRETVESAKSRTASYKDMSSSEEENSGYTNDAKNKVNGMKNQQNVHGQKRSEDLISDSEILMWESDNEEEPGCTMPGKLTHGDRNKNSGAGSKMKTPKEADETWDSD >KZM91577 pep chromosome:ASM162521v1:6:26176479:26181089:1 gene:DCAR_021058 transcript:KZM91577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVEMNSEGAVTTAAGDVNSCVSPVTETGKNCTSDTNVISVSKELGSKSEFKMQDNMVDMFSNLNPMAKEFFPSSYSNDRYRDQVGAVSFKNLGNDGHPNDRRRRTNLNQGRKRISGKSFKAQRDESIRCTVYVSDIDHNVTEEQLAALFSSYGQVVDCRICGDPHSRLRFAFVEFGDEYSARAALILSGTLLGFSPIKVSPSKTAILPVNPTFLPKSEDEREMCARTVYCTNIDKKVSQTDVKIFFETRCGEVSRLRLLGDQVHSTRIAFVEFVMAESAILALNCCGQVLGSQAVRVSPSKTPVRPRFPHPGTQY >KZM89891 pep chromosome:ASM162521v1:6:6976190:6977955:-1 gene:DCAR_022746 transcript:KZM89891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGKDLVLQWSHYISNPQRTENKIWKEEQGPAKKSQRKVKAAKNEAVKKRKAVEEVKQNKEKKLKKKVEEQNEDEEEVEEVEEEEKDDDEKLKKILIRAYPSTFSKVISRLSEVQRKWVISAGFGALFHFTLGDELPHTTIVNCLWWFKHNKCEFGLFPDRNLKITEDDVFDIIGLPQGNLDVKLEDSKEKIQSWGKQFGERLPRRITKKMLREKMGESREVDEQFKQNFMRLQLVQTCATKFERGS >KZM91962 pep chromosome:ASM162521v1:6:29415555:29417397:1 gene:DCAR_020673 transcript:KZM91962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTVVEPMELPPELKKPLVLVPEREQKKKLKVLVAVDDSELSFYALRWALDNLFKNPGSGQASTETSEQDSDTITVAHVMAPYHHYVFPAAGPGPVYAANNVVQSVRKGQSEIAAGILGRAMKLCKEKNVKAESLLLEGDPKDMICQIVQEIHVDLLVLGSRGLGMIKRAFLGSVSDYCVHHAKCPVLIVRPPRESTHPQKSGE >KZM90537 pep chromosome:ASM162521v1:6:16663924:16666021:-1 gene:DCAR_022098 transcript:KZM90537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCGPLKTYNGPKRHPLTPARVSRGVVCLIVILSTAIMMLVGIGFVTAVLMRFFSVHYSRKATSVFFGIWLALWPFLFEKINKTKVVLAGERVPSNERVLLIANHRTEVDWMYLWDLALRKGQLGNIRYVLKKSLMKLPVFGWAFHIMEFISVERKWEVDELPMRQMLSTFKNRRDPLWLAVFPEGTDFTEQKCLRSQKYASENGLPIMKNVLLPKSKGFCACLEELRDSMDAVYDITIGYKYRCPTFLDNAFGVDPSEVHMHVRRIPLNDIPESEDEASSWLIDAFHLKDQLLSDFHSRGHFPHEGPENDLSTMKCLGKSYNRCLA >KZM91212 pep chromosome:ASM162521v1:6:23226627:23232820:1 gene:DCAR_021423 transcript:KZM91212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGGAFSKILPSSSSSYSSSLSLKKPPTCFNSWALARRTSCAAAASSVASETQSLGHATRPDFPILHQEINGSKLVYLDNAATSQKPTAVLNALHNYYEAYNSNVHRGVHFLSAKATDAYELARKKVATFINAPDSREIVFTRNATEAINLVAYSWGLTNLKTGDQVVLTIAEHHSAVVPWQIVAQKTGAELKFVSLTDDEVPDMEALRKLLSKNTKLVVLHHVSNMLASVLPIEEIVLWAHDVGAKVLVDACQSVPHMVVDVQSLNADFLVASSHKMCGPTGIGFLYGKSELLAAMPPFLGGGEMIADVFLDHSTYAEPPSRFEAGTPAIGEAVGLGAAIDYLSGIGMQKIHEYEVELANYLYGSLREVPNVRIYGPAPSETVTRAALCCFNVEDIHPTDIATFLDQQHGVAIRSGHHCAQPLHRFLGINASARASLYFYNTKQDVDDFITALKDTISFFSSFK >KZM92695 pep chromosome:ASM162521v1:6:35489722:35504890:-1 gene:DCAR_019940 transcript:KZM92695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGESDLVYNLAGLAIDGANDNGSHGLFQVIRAVEAAEAAIRQQYDYANEHAPRVTIDVLSLAATESLKQMKLQVEENNRLRSELLKKNHESEKYQVDDSTAQNPHAVDDWDGFAHRSRGINQSNYHLARLTNEYSNLNNSYPHDISGTLGPRKGELHSVTNPLVQDYGERHFESRKSSEARSTVPSGRSQQRNGGISQHSSTFTASFSPSRYQIEGEDDLRKLMAMADIGDPDSSVMQDLTVQSRENEVEISQLRKHLAEYSAKEAQLHDENSVLEKRIAYMRMAFDQQQQELADAASRAISYRQEMIEENIRLSYALQEAEQERSTYVSSLVPVISEYLPQPPVDDALSIVGNIKARYAFPGVKTSGTQLTKCALTGVIFKHLQEQINITETKLKQSQYQLTPCRSDTNLTSYTQSPSHSFQKNGLDLVAQPSGPSKVRNWDILDNEQRNLSGVAKTLETDDVGRYSPPSSRTPSCFAPDVMLQHLNLIPCGLLPRKIPNALLLSVLLIRTGISFSSRFRDPAPQGLHKQLMVRQSDSRPRDGEITPSKQVKFSETISSIEINDPDMEGHLLGIDPSPEWSSRSSPYTADNHVSSYSPYQSPVHDESFSSSSEDLDDGPLPAVEGLQISGEAFPGRELLAAGYSINGTTSCNFEWVRHLEDGSINYIEGAKQPNYLVTADDVDTYLAVEVLPLNARKRKGELVKVFANEHRKITCDPQMQNIIRRNLHDGQVSYRISQSVIVPCGHPTEFSIIGSSGVEHFLRAENNPGDIDGARDTIVLTLRIFIVRVSIYSMKFSYSLLLLLFVEYTYVKFYQFDRPNFCSGYYEKERKKETSILSQVTHSIMLKAIIVYCNVI >KZM90075 pep chromosome:ASM162521v1:6:10341042:10341410:1 gene:DCAR_022560 transcript:KZM90075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCIKKQSSMEWGGDDWSEDYSDISDKKTNLHRHNNDDDYNSIKEKTGSLAPNDGELKEPKQVKLKITRKQLEQVLKLTKTDIQGVSVEELLAHLINGGIDDVQSNQTRSWRPELPTITEL >KZM91961 pep chromosome:ASM162521v1:6:29395722:29410170:-1 gene:DCAR_020674 transcript:KZM91961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTHQPLDQDDEEMLVPSSGLVEVQSLEGPQLLESPQPMEGQTEGTNAAENQVVEEPPQASRFTWTVDNFSRLNVKKQYSDTFVVGSYKWRVLIFPKGNNVDHLSMYLDVADSGSLPYGWSRYAQFSLAVINQIQPKFTVKKDTQHQFHARESDWGFTSFMPLSDLYDPNKGFLLNDTCIIEADVAVRKVTDFWTYDSKKETGYVGLKNQGATCYMNSLLQFLFHIPYFRKAVYHMPTTESDMPSGSIPLALQSLFYKLQFNETSVSTKELTKSFGWDTYDSFLQHDVQELNRVLSEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVNFKSTRKESFYDLQLDVKGCADIYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFEDERVTKEDMKKALEEQYGGEEELPPTSPGYNNAPFKFTKYSNAYMLVYVRESDKEKVFCDVDEKDIAEHLRIRLKKEREEKEDKRKYKAQAHLYTIIKVAHDDDLREQIGKDIYFDLVDHDRVHNFRIQKQMSFVQFKEEVAKEFGIPVQCQRFWYWAKRQNHTYRPNRPLTPQEELQSVGQLREQSNKLHNAELKLFLEVERGQDLCPLPPPDKTKDDILLFFKLYDPKREELRYVGRLYVKSTGKPVEILKRLNELAGFDPDEEIELYEEVKFEPCVMCDRLDMRASFRMSQIEDGDIICFQKIANLQSEEEYRYPDVPSFLEYVKNRQIVHIRSLERPKEDDFSLELSKLHTYDDVVERVAQKLGVEDPSKIRLTPHNCYSQQPKPHPIKYRAAEHLLDMLVHYNQISDILYYEVLDIPLPELQCLKTLKVAFYRASENEVCLKQPDIHNIRLPKQSTVEDVLNEIKTRAELSHPSAELRLLEVFYHKIYKIPEEEKNIGPHDRLIHVYHFTKDTAAQNQMQIQNFGEPFFLVIHEGETLSEVKVRVQKKLQISDEEFSKWRFAFLSLGRPEYLLDSDIVSSRFQKKDVYGAWEQYLGLEHSDNTPKRPFTANQNRHTYEKAIKIYN >KZM90369 pep chromosome:ASM162521v1:6:14672372:14674491:1 gene:DCAR_022266 transcript:KZM90369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEEQRMGKEVSESCLDILLIETVSSYTNGFYAGKPELVAQAVEAIGYQVGNQLCERYTMERSQFSDHLEAIKFICTDFWIKIFKKQIDTLRTDHKGTFVLRDNRFRWISRVSNPISEDSGATFPSTLPVNFAQSRKMHLYFPCGIIRGALSNLAIPCAVTADISSLPSCLRPEMDLCFGSPAFSGNTDAVPST >KZM91995 pep chromosome:ASM162521v1:6:29652417:29656791:-1 gene:DCAR_020640 transcript:KZM91995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYMGFSEIQLLGVAVAAIVVAATAAFVISSKKSKGSLSADSFKKFKLVERKQLSHNVAKFKFGLPSPTSVLGLPIGQHISCKGKDSQGEDVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFREMRVGDYMEVKGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVARAILENPHDTTKVHLIYANVTVDDILLKEELDGLATNYPDRFKIYYVLNQPPEVWNGGVGFVTKEMIQEHCPAPATDIQVLRCGPPPMNKAMAGNLEALGYLPEMQFQF >KZM92230 pep chromosome:ASM162521v1:6:31687162:31693022:1 gene:DCAR_020405 transcript:KZM92230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLQTYAGVSLIATLAVVYHAFSSRQQFYPAMVYLSTSKVSLVLLLNMGLVVMCILWQLTKKIFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLSMVTALLLIKALHWLAQKRVEYIETTPSVPMLSHIRIVSFMGFLFLLDSLFLYNSVKYLIETRQASVSLFFAFEYMILATSTVSIFVKYIFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYIRYRKITSNMNDRFPDATPEEIDSSDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPSENGASPAGSHANVHQHGTNMSNSPSQGSTGDGAPNANISPQQARLRAAAAAAAIYEKSFVYPSPSTLVWSPGYALPVQTDRTVAGSSTGNSNGETISGHNTLKPQFATVGGPADFSFQKPTISSYQASDIDVKFEQYFGSNISDSQLEGQRKLIQRQIEVLQEQLQLLQKPNAEKSTEMDQTTAADKKGKGLASSSSSSSDSDRED >KZM89392 pep chromosome:ASM162521v1:6:376228:377262:1 gene:DCAR_023245 transcript:KZM89392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVADAGTATRQYFCYQCNCTVNVATESSSSEVICPQCNGGFLEEYDDSNLNAPDLFPENNEISRILRGGNMFGGRDEFDPLSFFLTYLNTLRAGGANIQFVVNNNNNNDDDDNNNNNNRRDFYSDHGRLINPLGLRIPINLGDYVVGPGLEQLIQQLSENDPNRHGPPPAAKSAVEGLKSVEIKDEMSDCDYSQCAVCMDGFAVGEKAKEMPCKHLFHSDCILPWLEMHNSCPVCRFELPTDDEDYENRKRGVEPSGGGIGQASGGGMAQLSGGRTMTLGIGGSLGNVYSNPRMMERRFRIQLPMLIRSGGETSNTGTGNSEPENDHAGGSNTDSQATDDVD >KZM92615 pep chromosome:ASM162521v1:6:34868978:34869601:1 gene:DCAR_020020 transcript:KZM92615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFMDHYEVLGLPSGEEGAKLSEQEIKKAYRSRAKQLHPDKRPDDPNAHPNFLALHASYEFLMDKKSRQKFHQDEKKREENKRKLQQQNPYKRDRNTDVDERERAGRAARYKASAACKEEELIRRRNEEVIAALRRDAAASKVRAVDVAGSGLNGEGEKEAASSQCPCGHCRGNWPDREFSGSSLGGGDGGFEARLNSFLTKVRRV >KZM92308 pep chromosome:ASM162521v1:6:32314349:32315794:1 gene:DCAR_020327 transcript:KZM92308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIVKVEFDNHDDQETTGGFIDNYSIYNGGSRIEEFDPMCEPWPLSGQHDDIPELSMKDIEEITRSLDADCSCLFHQPGNSTLVGATQPTVMASQPQIYNEPSPLVDANTKIETTQSHQYFHAQNMFVDAVSVYATITHHEAQDFPTHYRRADYETTNQPQYSNNYNVGNLGSSENVVYNSMHLKDDYCDIFPLQIDENVIVDAEPICMIPASANHVPQCHNVSAAHDSGNVKSAINLKSEQSSNVTAMVKYEEGVSLRQNARCKTSALELDEIRKHFNLPLTEAAKKLNVGPTVLKKRCRELNIKRWPHRKIKSLQCLINNIKEMGMTNKKEIEMLEESQRMLERVPELELSESAKRLRQSCFKANYKKRRLSRSSAAARR >KZM90991 pep chromosome:ASM162521v1:6:21153353:21153832:1 gene:DCAR_021644 transcript:KZM90991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTECVKGRSNRKTNLITKTWQRCRSFPGKERSEPKLKVAPQGCFSVYVGAEKQRFVIKTVCANHPLFKMLLEDAEMEYGFISDGPILLPCDVDLFYKVLAEMDDAESANGSHLSRSKSCGFAYGSFGSFSPNRGKREGVSKGFGSYGLLTPSRLIRMN >KZM90254 pep chromosome:ASM162521v1:6:13162159:13168896:-1 gene:DCAR_022381 transcript:KZM90254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPLQTNNISNTAKSIEETYQKKTQLEHILLRPDTYIGSIEKHTSSLWVYEDSEMVSRSVSYVPGLYKIFDEILVNAADNKQRDPKMDTVKVVIDVDNTLISVYNNGDGVPVEIHKEEGVYVPELIFGHLLTSSNYDDDQKKTTGGRNGYGAKLANIFSTEFVIETADGKRLKKYKQVFSNNMGNKTEPHITKCKESDNWTKVSFKPDLAKFNMTHLEDDVVALMKKRVVDIAGCLGRSVKVELNGERVPIKSFTDYIDLYLKSANKDKEDPLPRFVERVNSRWEICVSRSEGQFQQVSFVNGIATIKGGTHVDYVTNQLTSHIIASISKKNKKVNLKPHAVKNHLWVFVNALIDNPAFDSQTKETLTIRQNSFGSECKLSEDFLKKVVNKSGIVDSLLAWAEFKEKKDLKKTDGKKGRVTGIPKLEDANKAGGSQSQKCTLILTEGDSAKSLAMAGLSVVGRDLYGVFPLRGKLLNVREASHKQIMENAEIQNIKKILGLQHGKAYEDVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPHFMIEFITPIVKATKNEGAKNEIVLSFYTIPEYENWKESLGDALRGWTIKYYKGLGTSDATEAKAYFRDLGTHKKDFIWAGDDDGDAIELAFSKKKIEARKNWLRQFEPGTFLDQKEKLIKYSDFVNKELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFTKQAKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQDFGGKDHASARYIYTELAPITRYMFPKDDDILLDYLYEDGQKIEPYWYVPVVPMVLVNGSEGIGTGWSTYVPNYNPRDIAANIRRLLMGEPMQPMDPWYKGFRGTIEKSATKEAGAGYTVSGLIEEIDDTNVRITELPIRRWTQDYKEFLESSMIGNEKIKAFIKNYSNQSDDCTVHFDVEMSEENMLIAKQEGLLKKFKLTANISTSNMHLFDPKGVIKKYDSPEQILEDFYHVRFDLYKKRQARVVEGKIKVSNRKKADLFLELKEKGFTPFPKKTKVEELVAGATDAVETEDNSQGSGGAGSAKLGDYDYLLKMEIGTLTAERVQKLLKEKDQLIGEVDELRKATPTSLWLKDLDALDRELDAQDQRDADGEASRVSTYENRMKPDGSAKKAPKNPRKSTKNVKNAETVVKLTGTATDSAMETEDNVQEVVNPKGKAGPKKAPAKKGKSTSILRDEDDDDEILDLKDRLAAYNINSSPDHSEVMEVDVPQKQATKKPPSGKSVAGKKASLDVSGDEEQEFEIEDISDDNDSEVEVVKGKKGGRKPAAGNSKAAAKPPAAPAATKKRGPAATTKKSQLVNQKLITDVLKPAENSGVSPEKKVRKMRASPFNKKSSSVLGRVAAKDDSVSLGSSLDTSGGGGSSETMTRVARPQRVNRTKTTYVLSDSDDNDEEDPTEEEPTDDDDFEDED >KZM92113 pep chromosome:ASM162521v1:6:30630013:30630219:-1 gene:DCAR_020522 transcript:KZM92113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNEQGIDPAVLDDIINRLLEFRQARTARQVQLSENDIRQLCAAAREIFLQQPNLLELEAPIKICGI >KZM89403 pep chromosome:ASM162521v1:6:468836:470123:1 gene:DCAR_023234 transcript:KZM89403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRYEFGRTDDATHPHTMRATLAEFLSTFVFVFAAEGSVLALDKMYSVRALGASSLLLIALANAFSFFAAVASAINISGGHVNPAITLGALVGGRLSLVRAIYYWLGQLLGAVIASLLLRFSTDGMRPVGFEVASGVGTWHALLMEIILTFAVMHAYYSTALDPKRGHLGTIAPLVVALMVGASVLVGGPFSGASMNPARAFGPALVGWRWSNHWIYWLGPFIGAALSGVVYEFGIIEADPAPVARHPTHQPLAPEDY >KZM90460 pep chromosome:ASM162521v1:6:16001954:16003345:-1 gene:DCAR_022175 transcript:KZM90460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSYTFFCFLSLFFCLLFLVYRIIDQRRHQCCYILDYQCYKPVDGRKLSTEFCGEVMKRTKNLGLNEFKFLLKAIVSSGLGEETYAPWMVIHGREDNPTYEDGILEMEEFFFDSIEKVLSKTGISAKDIDVLVLNVSMLSPSPSLVSRIINHYKMRDDIKTYNLTGMGCSASLISINVVQSIFKTRKNVNALVVTSESLTPNWYTGNNRSMILANCLFRSGGCAVLLTNKVALKDKAVFKLKCLVRTHHGGKDEAYGSCIQTEDEAGPVGTSKGVARPMINFKSGVNHFCIHTGGKAVIDGIGQSLGLGDHDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRFKKGDRVFMISFGAGFKCNSCLWEVLRDLKDGNCWQECNIDNYPPKTLVNPFMEKYGWIQNEDISTFKIPE >KZM92386 pep chromosome:ASM162521v1:6:33046810:33047683:1 gene:DCAR_020249 transcript:KZM92386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNFAFKIFAVSALAFAFCFQGTLGSIACEDLNKESCAYAISSSGKRCVLEQRVRRSGEEAFTCGTSEIEADKLKDWIESDECIRACGLERNVLGISSDSLLEPHFARKLCSTQCYNNCPNIIDLYFNLAAGEGLFLPKFCASRGRRTRRGMSELIRSSGGVAAGPVSAGKLMVAEGPEAEEFMDEEAEAPAADNMLMEEEAEAPAFF >KZM91443 pep chromosome:ASM162521v1:6:25232552:25233325:-1 gene:DCAR_021192 transcript:KZM91443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVRTLVLCLAAAAMIVNSVIATTTFTVGGSTGGWDTATNLQAWSSSQAFSVGDSLIFQYTPNHNVLEVSKEDYDACQSSNPIQVYPSGATPISLTSPGSRYFICGAGGHCSLGMKVEIVTLAASAPLPALSPDITAQPSDPSTSPAAFFPSPTETPTSQQPANTGPTAIPSFPLTGSPQSFDPANALSTQPPPFSAAKVRTTSSIGFAILMLVSLFL >KZM91437 pep chromosome:ASM162521v1:6:25200738:25204011:-1 gene:DCAR_021198 transcript:KZM91437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSDTVSPETSDNSSTINIAVISACSLIVIIVLFWAFVIWGRKNKVHHKYGVKDEYPLTKLSATDASPGPAVNYSFGNSGKKDFKTLSNVTSFADKPRISLAEEKQSEKLEVPSGKFQANLPLPPGRVSISAPKPVPLVTETENPAPQVPASVTKDTEPATPVSGTPPPPSPKKHVAPPPPPKINLPPPPNPPKLGTGPKPPPGKVGFSANKGAGESDSQKAKLKPFFWDKVLANPEHSMVWNEIKSGSFQFNEEMMEDLFGYTSNNHKTENSSKKNQAAKEALQFTQIIDPKKSHNLSILLKAVNVTTQEVCDAIQEGNELPAELIETLLKMAPTSQEELKLRLYDEDISKLGPADRFVKTLIEIPFAYQRLEALLFMCSLQNEFGSLKESFKVLEVACTELKNSRLFLKLLEAVLKLGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVLEIIKSEGLRAARKLKDSSSMSDKKVEDMLKDSVQETPEHLRSLGLEVVSNLSNELANVKKAAAIDGDMLTNTVTKLGFSLKHNKDFLYNEMSSEEAECQFRSTLESFVRQTEFDIMWLLEEENRIGTLVKSTAEYFHGTPGKDEGMRLFIIVRDFLVMVDKVCQEVKKSGENPVKMFPKEA >KZM92712 pep chromosome:ASM162521v1:6:35663729:35675743:-1 gene:DCAR_019923 transcript:KZM92712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIVVEGGDGRFERHHGEIEGGGRKLAMGDKRGRLLNSIFGKWRISASSNWNISGELCSGAAVDSTNMDNNGAFNPGIKCDCSSNTCHITQLKVYALDVVGAIPDELWNLTYLINLNLAQNYLTGPLSASIGNLVSMQYLSLGINSLSGELPKELGKLTDLRSLSFSSNNFSGPLPSELGSLTKLTQIITSKMRCNISHIGLVLFVSYFDSSGLSGPIPSTYASLQELTTVWASDNALTGRIPGFIGNWSKLNVLRLQGNSFEGPIPTTLSNLTSLTELRISDLSNGSSTLDFIKEMKSLTVLVLRNNNMSGSIPSDIGGHQNLTQLFLGNNKLSGTLPPQKSPSLRNIDLSYNELSGTFPTWISEPNIQINLAVNNFTIEGSDSSVLPAGLNCLQRGFPCNRGLPRYYNFSVKCGGPQVTSSDRIVYERDNQTLGPATYYVNPANRWAVSNAGLHAGSNNPQYIYASSSQFTNTLDSELFQTARISAGSLRYYGLGLENGNYTVKLQFAEIAFLNPPTWKSLGKRIFDIYIQGNLMWKDFDIRKEAGSSFRALPKEFKVRVSENYLEIHLFWAGKGTCCIPDQGTYGPSISAISATPDFIPTVSNDSPVNSSSKSDTGLIVGIVVPVAVLSFLAVGFVFFFIQRRKRRKASEDEELIDKGYVSRGIMQNMFHGALTSGYLAPEYAMRGHLTEKADVFGFGVVALEIVSGRSNSDSSLEDDKVYLLEWAWQLHEDNRGLELVDPSLSTCNESEAKRVIDVALLCTQTSPNLRPPMSRVVAMLSGDIEVTPVTTKPGYLTDWKFSDSTTFLSADASTSNTDQSTQFSSSAGTTQLSDPNYTPVNVSASMLGEGR >KZM90434 pep chromosome:ASM162521v1:6:15792378:15792791:-1 gene:DCAR_022201 transcript:KZM90434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHVAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPIVDGHAVNEPPKCSRQGRTVMLLMIVLQTMHMID >KZM89669 pep chromosome:ASM162521v1:6:3091481:3093175:-1 gene:DCAR_022968 transcript:KZM89669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQEYDLREYVSTYKDLVHPNVVKIVQQGDKAGLRMAMNQVHYNSLRELIGVSNMKAILGDAKEMSKMKTQGEDKKEGSKVQSYKNVLVKPGVQKGWVEKDKQELGEKALGSLGKDIKITTVFGHNLPEKLNTLAIWRFMRKWGRILDCTIPVRKDKLGKKYDFLKLQTIDEVENFIEGINGKSLTGNIIRAQFAKKQIKKALQMERKKGGMEDAGIKGKEEKQEPPPIKTENKANEEKLSIKLETTESVLTSDVAMSLIVKSWKDCSIVEVLNSIELLGYEGVLVRGLSSRKFLVTFPSLEIFLDLDQDLFGLGFLDCYPASIDDMVLPRKLVLECLGLPITMWKFSNFAKIVEELGDITAISRLLNENLQYQTPKLEIETKEMKSINKKVMIESEGRHFMILLKEVENAEIKESILDELREEEFPVGIEEERDLPGIKENEDQESSEESEESSPINNEKSKQYGPYQVVVDEQGQDGFVQGDVNLGEQVDFGMQGQVGSLHGSANSGEQILGDVRQHDRDNLQIVPVESREEEVVKETPEASISKTSSNLIWSVRRWPHLL >KZM90226 pep chromosome:ASM162521v1:6:12837273:12839810:-1 gene:DCAR_022409 transcript:KZM90226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVDLELSTSTDTTPKVVSTGPTPGGSAPRTPKLKVPAPISIPSPYIVSAPASQAQTPRTRAARTPGWSRNMTPRFLTPLGSPIRKALNFVKLDPQDAWLPITESRNGNAYYAAFHTLCSGIGFQALVLPVAFTVLGWTWGIILLTLTFTWQLYTLYLMVQLHEDFDKEIRYSRYMQLANAAFGEKLSKVLALFPIGYLSMGTCMALIIIGGSSTKRFYEIVCNPMTCSSSQLSTTEWYLVFTSAAVLLSQLPNLNSIAGISLIGAITAVGYCTMIWISSVAEGRIHGVSYNPELTGSEMQKILDILNAVGIIAFAFRGHNLVLEIQATMPSSEKHPSRVPMWKGVRVSYTIILMCLFPLAIGGYWTYGQLIPPNGGILTALFVFHGQDTAKGLLGLINFIVVINGISTFQIYAMPMFDDMESTYTTRSKKPCPWWLRVLLRSLFGYFCFFVAVALPFLGSFAGLIGGISLPVTLAYPCFMWLIIKKPKIYSPVWWLNWVLGVVGMCLSVLLIAAGVYVVIHTGVEASFFKPQ >KZM91887 pep chromosome:ASM162521v1:6:28660177:28662842:-1 gene:DCAR_020748 transcript:KZM91887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKGWGKRERETAEEKDELKEIQKLQGHAGMRTFEGAHAGTGRFFSWSPEGRFLAIPSYDGTTYIWDKNEDEVHDMEDLDLEEDDKEEVLSVSWDHSGCYLATCSRAAVRIWETLLLPHCESDKLADSEDAIMVQFHPSEDLLFSCCRDDTIEEWYMAQTLDHETKAHSSKGFSISFNATGDKMVTSREDLSIKVWGPEGKLSGDSGREYVSW >KZM89853 pep chromosome:ASM162521v1:6:6135752:6137928:1 gene:DCAR_022784 transcript:KZM89853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVGKSTFAGILLFILVSLFSTFVIQDILSAITYCWLFADGYDPLDPNGNIAITFDITQYTDDGYVARVTIQNFYQYRLVGKPGWRIGWTWANNEVIWSMSGAFATKQGNCTAFKYQQPHCCMKTPHIADLMPGALPQNMSDGCCHGGVLAASAINPRESYSSFEIKVGNLGRNSSESKPLNLTLLAPGPGYTCGAIEDTDPTKSSVIGGRREEQVFRTWKSTCTYSSYIANQKPVCCASLSTFYSATISSCPNCTCACREPGQNTTKCIRDDKSLWQPSSLSSPHIVRCTNHMCPVRVHWHVKNNYRDYWRVKLTISNYDYNKSLSNWNVLIQHPGFSKPALTYSFNSTLLSSAGVPDDVALFWGLENYNSDVLTADDTQVGSVTTDIILEKDLKIFTLDNGWALPRKVYINGENCEMALPDTFPGLPSGSSKQEATGAHFMLLFLLVLHLTLNLKHVSS >KZM89683 pep chromosome:ASM162521v1:6:3312171:3315886:1 gene:DCAR_022954 transcript:KZM89683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRSLLLLAFLLFSAPFLHVARCQSESEAEVETTEDGELGIVADDVDYSGTFIPAPGVETVCVFPKNPSKSVVAGEPTDLLIGLQYEGESNLKVIAVHASVHLPYDHNLLVQNLSTQAFNNASVPASAVATFPYTFAVSKFLQAGTFDLVGTIVYEIDQTAYQSTFYNGTIEVAEAGGPLSVESVFLFSLGVALLVLFGLWVRTQISQLSKKTKRAPKVEVGTATTDASMDEWLEGTAYTQSSKLKKKK >KZM91023 pep chromosome:ASM162521v1:6:21500009:21503051:-1 gene:DCAR_021612 transcript:KZM91023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFISTDYMTYMFKYDSVHGAWKHHELKVKDEKTLLFGEKPVAVFGSRNPEEIPWASTGAEYIVESTGVFTDKDKAAAHLKAVGKVLPSLNGKLTGMSFRVPTVDVSVVDLTVRLEKKATYEQIKAAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSTRVVDLIVHMASVQ >KZM91976 pep chromosome:ASM162521v1:6:29518706:29522402:-1 gene:DCAR_020659 transcript:KZM91976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSSIWKQMSDAGIKYIPSNTFAYYDQVLDTTAMLGAVPPRYNWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVKFSYASHKAVTEYNEAKALGVDTVPILVGPVSYLLLSKPAKGVEKTFNLLSLLDSILPIYKEVISELKAAGASWIQFDEPTLVKDLEAHQLEAFTKAYSVLESSLSGLNVLIETYFADVPAEAFKTLTALKGVTAFGFDLVRGEKTLELIKSSFPSGKYLFAGVVDGRNIWANDLASSLSTLESLEKIRNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSSMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNVGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVNPALKAMVDAAKSLRAKLASAK >KZM89631 pep chromosome:ASM162521v1:6:2729218:2729406:1 gene:DCAR_023006 transcript:KZM89631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRKMASNATSIDQKRSRRTEKLSSITNQRNAIEESKCDVSGSPYSYNWWPSMRCYSVNLS >KZM90205 pep chromosome:ASM162521v1:6:12556266:12556907:-1 gene:DCAR_022430 transcript:KZM90205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNSTLFLGLIVAISAIMAAAPSNAIVISFDPLSVTDMIVIRGVLSCAINVTLSPNGIVPPFPNAPLKLMCGNRTLANTTTDMMGTFGFSLVPNIVNGIGDLTNLLVSPSSNCTVVVTTPLATCNASLPSTGTLVSGLLPGITQTLFGFLRIINIQLGGFRLL >KZM92085 pep chromosome:ASM162521v1:6:30413965:30415454:1 gene:DCAR_020550 transcript:KZM92085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTLLNAQSTTSSSSLPFKIFSSISIFSALSALSFLSATTFHNTYLTSYTYIISTAFIAIHLILFPFHQSSRNVKVLQSGLIKHIATPAAYFVAFDLYFVPEKTVNGLWLVICIWIDVNALIQITRPVKLVKHLPNFILMVITGRAVDLYGFTTTYWMIMFFCIMVISLMDIYGNKISSPSRNVVVETKCVGV >KZM90359 pep chromosome:ASM162521v1:6:14584395:14585544:-1 gene:DCAR_022276 transcript:KZM90359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTELSRDSDSRATTKPYQTSRKGGVSVKPQWKSHVVPLVVLAGVVQIACMLYLSRASVSEREVKYLSEREVLSRHEFDEVFEKYKKAKEDAENNQVRMVARGVVMGEIERHSADGIGRVDYALASGGGRVTRHSKASGGVWFSGIGWNGIHVDSVKMLRPSFGEPGDCFALSGSSGFVEIKLRRAIVPEAVTLEHVAKSVAYSRSSAPKDCMVSGWFRGREMDSDAAEKKFPLAKFTYDLEKSNAQTFNVSEPAGLGIVDMIRLDFTSNYGSACHTCIYRFRVHGHKPDSSIPVAKRF >KZM92015 pep chromosome:ASM162521v1:6:29823755:29830881:1 gene:DCAR_020620 transcript:KZM92015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKTEALLKTTTYNSSSSSEDEENEHSHYYENCPGCKINKEKASNPRIPLKHLFFLWVVTLCAALPISSLFPFLYFMAYASEVCRKEHQALGMSVISTSWGVGLVIGPAIGGYFAQPAEKYPNIFSEKSLFGRFPYLLPCLLISLYALFVSIISFWLPETLHSHHENKKEQGGEADALEGVICESVVDEVTHSKEMLPSAKQSLLRNWPLMSAILVYCVFQLHDMAYSEIFSLWANSPRANGGLSYTSADVGEVLAISGLGLLFFQLFLYPVVERSFGPIMISRIGAAVTIPLLSSYPFIAMLSGISLLMVLNCASVVKNVLSVSISTGLFLLQNRAVSSEQRGAANGISMSAMSFFKALGPAGGGAIFSWAQKRQNASLLPGVQMVFFILNVVEFIGLLMTFKPFLVLPSTDYS >KZM92679 pep chromosome:ASM162521v1:6:35347863:35351751:1 gene:DCAR_019956 transcript:KZM92679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGVIIRECISYSVDFDSLDNGSAIEIDEPLKTLLCETGSVGTCNGLLCVFNMMGDMFLWNPATKKCRKLPTAPADFRSVFNFSWSSLSGFGFDVVNDDYKVLRILRPDDPFLSGSKVVVYSLKTNSWKRLQDIPVQYQLNASWGNYLGGALHWIMVKTRDVESFFPSILAFDLGVENCREIPMPHKNGKEMSLVIFEESLCVLEFHLDIHVDVWVMTDYGVENSWCKLFSVEQPKVSESCMTFKPLAYSKSQRDVLVQVNTEKLIWYNLEKKEVRTVKIANIPDVFDVEVFTESLVSLDYNSSTDGKQAQKQPKQKNKQQKMKERGFVICSVDFEDQYNATAKEIDEPLKSLLYGTGLVGTCDGLLCLYNQKPDIFLWNPATRKCKKLPAAPTGFRCPFDYDRSSQLCGFGYDVVNDDYKVLRMFQPGGSDLSGSKVTIYGLKTNSWKRLENIPSHFELIEAWGVFMCGALHWVTFKTLESCFVILAFDLGVETYREVPFPNLENKNPDQFTLTIFEESLCMLYYDPFIHIDLWVMNDYGIGNSWCKLFTLEHPKVIRSGMDVRPIVYSKSRRDVLWESDNKVIWYNLENKKVKTLKIANLPDEFNLSVYKESLVSPEFGFSCDGQHLPKQHEKKKKQRQAQKKR >KZM89943 pep chromosome:ASM162521v1:6:7837677:7839329:1 gene:DCAR_022694 transcript:KZM89943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEEPEPEPNPTSDYTLDWMEGSLSYLPSFFEDPYSSGDPYSSGDLNDDSWLDIGQDLDQELLNTSLASINYNSTSTTTTATSTPLIHPVVSGQKKRKISDEPNQKPSQPNKRSQNRPNNEPDDGNQTVLDQGVPKKGGNRRGSGKIVGSNCSNGNNKEGRWAEQLLNPCAIAITAGNLNRVQHLLYVLHELASSTGDANHRLASHGLQALTRHLSPSHTYSASATTMNFASAKPRFFKESLINFNDINPWFTIPNNIANSSILQILSQQNSSSNLHIVDIGVSHGVQWPILIDGLTRRPGGPPPLVRLTVIAPVVSNNQSLDTPFAVGPPDYNFIPQLTAYAKTLNVNLHINRIENHSLQSLNAQVLGCSSDETLIVCAQFRVHQLNHNNPDDRTKFLKVLRSLEPKGVILSENDMDCSCNNCGNFATGFSRRVEYMWRFLDSTSAAYKGRDSEERRMIEGETAKALSNAGEMNDRKEKWCEIMRRVGFSGEVFGEDAVDGARVLLKKYDSNWEMRVEEKDRCAGLWWKGQPVSFCSLWTIDRKLNQK >KZM91841 pep chromosome:ASM162521v1:6:28271942:28272409:-1 gene:DCAR_020794 transcript:KZM91841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMSSTSIGLLKIGLFPGVKVLHSKCAAPVSRSSRRLFKVRAAQNNGGPRRLVDIIRIVPEISSNYFKKPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTRFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >KZM89951 pep chromosome:ASM162521v1:6:7962149:7963642:-1 gene:DCAR_022686 transcript:KZM89951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIDQQIPMGVESLRTEFRNILNKQVETVKANHFTDRSSTAFSSTITDSFSFVESLDSQNNDQFNELRRIVQDLDSHGYNIGEYVEFYKSSRRFILDEKFRGFGIGKCSIDDVRTMDWEMLDPKIKSWNRAARLCFKQLFVREKVLYEQIFGGLRCFEYDEGFLGIVCEFALHLIHFAQGISFTQPSIMKLFEVLDVYESLSNRVLPTLNAVFVSNLSESVSVCNKADQTIDLLAKYIRKVLQLFESSVLQEKSNISGSGGIIKLTEFAMSYVTSLVQHKEVLVKLIVSQPTTSMEIDDDLVYLEVAGKTPFELHVIWIIRILKYNLKGKSEFYKEVPLRFLFMMNNVNYIVQKIRGHPELQEIVGREYIDRLSRYVSEAADSYKTVSWGGVLHCLRDESLQKRSLCTFWLSKSTLKNRLKSFNAAFNGVCQDQSTWVVQDAELRGEVHRSILDELTPAYNSFLGQNRSLSGNPVRHIRYTVNDLEGTVHNLFVQR >KZM91536 pep chromosome:ASM162521v1:6:25873733:25874182:-1 gene:DCAR_021099 transcript:KZM91536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVIGKRKRFKFCINDHIDILIEILKRLDDRSLGVAASVCRLWCSVTRNDSLWEHLCFRNVSPVASGVRPVVVALGGYRKLYMACVRPVLSRLKRRRGGEAEMGRRVWSKQEIELWLSLFCVEYYERLMLGGGDKAAPSSLMFLCNAI >KZM91663 pep chromosome:ASM162521v1:6:26904246:26913685:-1 gene:DCAR_020972 transcript:KZM91663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLICETQPWKDLKAHLDDIKNTHLRNLMSDTDRCKSMMLEFDNLFLDYSRQCATTDTIDKLLKLAEAARLKEKITSMFNGERINSTENRPVLHVALRASRDAVIQSDGTNVVPEVWKVLDKIRDFSERVRTGAWVGATGKALTNVIAVGIGGSFLGPLFVHTALQTDAEAIESAAGRNLRFLANVDPIDVARNITGLSPETTLVVVVSKTFTTAETMLNARTLREWISSSLGPEAVAKHMVAVSTNLTVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIEGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEVVNNHDELMSNFFAQPDALAYGKTAEQLLNENVAQHLISHKTFSGNRPSLSLLLPSLSAYNVGQLLAIYEHRVAVEGFIWGINSFDQWGVELGKSLASQVRKQLHASRKKKEPVEGFNFSTTTMLTKYLETRNMPNTEYVCRMET >KZM89957 pep chromosome:ASM162521v1:6:8270565:8276285:1 gene:DCAR_022680 transcript:KZM89957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLSISSLFSTPSSSSISQPNPSCLPTFSNKKAQTKSISTPLRVASPTTSSSSSSSTTNPPQDTKEEAQTADTKFSWRDHWYPVSLIEDLDPSRATPFQLLNRDLVIWFDNSASQWVVFDDKCPHRLAPLSEGRIDENGDLQCSYHGWSFNGSGSCTRIPQASSEGPEARAVGSPKACATRFPTMVSQGLLFVWPDEKGWERANATKPPLLPADFDKPEFSTVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKMDSSGHWGFAGANDGNPRISAEFIAPCYYINKIEIDTKLPIVGDQKWVIWICSFNVPMAPGKTRSIVCSARNFFQLTMPGPAWWQVVPRWHEHWTSNKVYDGDMIVLQGQEKVFLSKSMESSTDVNKEYTSITFTPTQADRLVLAFRNWLRRHGNSQPEWFGVPNLQSLPSTVLSKRQMLDRLEQHTLKCESCRKAYTSFQTLQKFLIGASVAFAATAGVPSDMKFRIILAGSAIISTILAYIINDLQKNFVYVDYVHAEID >KZM92346 pep chromosome:ASM162521v1:6:32702967:32705720:1 gene:DCAR_020289 transcript:KZM92346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSHQYSVACKELSSILRLAYSKLPKSVQRLLFDDVVFAFRTLPLMEASSAVEAANLLLQAADSVLPKQKKGMAAKEFKLAMVAHKRRWKGMQQGEGMAQLPEDVLLHIFSYLDLRSLVSAASVSRSWNVAARDNKLWQLQYSIFFADSDNLYEIKILQTKTRQAETKLIYVQTDVTRAGFSWREAFKKDYKGRFSSAS >KZM90606 pep chromosome:ASM162521v1:6:17369656:17370165:-1 gene:DCAR_022029 transcript:KZM90606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTVHKRKEVEEKHRHLVKLLIVLVMSMACLNMYSNDQQGYCSAMGPRISFSNDFADAKQATPKITCYTEAPVSSDFEFSLPINHTEDLLSKAIKEKCNNNVPKTMTLRDELLVGDDDEEFPRLSKNLGWWRIDKLGSKRNHHHIVAKKAESGGLERIDEAKDDLIPI >KZM90581 pep chromosome:ASM162521v1:6:17044682:17046287:-1 gene:DCAR_022054 transcript:KZM90581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELGPFRVNSDGQTLSHNKYAWNNVANVLFLESPAGVGFSYSNRTSDYVTGDKKTAEDSYTFLINWLERFPEYQTREFFITGESYAGHYIPQLAQLILHNNKITNQTVINLKGIAIGNAYIDDETQDSGTVDYLWSHAMVSDEVREGITLNCNFSPSVNVSEACQTYIDQIDIGDNYGYEIYAPSCDPQKGSFSMTGFDQCSDNYIDAYLNTQQVQASLHVTFPPKSWASCSDVVEWTDAPATVLPVIKELMNSGISVWLYSGDTDAVVAVTTTRYAIDLLKTSVKTPWYPWYAQAEVGGYAVRYENLTFVTVRGSGHFVPSYQPSRALALFSSFLAGELPPSKAN >KZM90904 pep chromosome:ASM162521v1:6:20283194:20288226:-1 gene:DCAR_021731 transcript:KZM90904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILRGMTQSSSFTISSIINKFSLISGRTSCPRERNLKVRSSVAKQQSLQFSKVESHKLEKTVGIGVLGVSGYTGSEDLPDMVAVKDAKFSDVDAVFCCLPHGITQDFRLRDVSEYEEWYGQPHKAVHLQAKLIEVKNIIIDSKSGGSGAGTPGRGAKEANLYTEIAEGIHSYGVTRHRHAPEIEQGLSDAARSKVTISFTPHLMPMVKSLGMQLTIYVEMAPGLSSEDLNQHLRSFYEVFRIIFISFLISLGRFCFNRWLLSFYQ >KZM89529 pep chromosome:ASM162521v1:6:1689831:1691512:1 gene:DCAR_023108 transcript:KZM89529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKYKRWECGNDNIMNRMFVFGDSFVDNGNSNFLETVANADYLPYGMDFPLRPSGRYNNGKTFIDALGDLLNITAFIPPFFDPSTKGNHTIDGANYASSGSGILNETSSTLPPKQGCTTKEEGTAIDPIMKFLSFGDVKNPCCQVVSTEDGGNDTLCTKGGAMCKDRNQFLFFDGLHPTEAVNVVLATKGFNSNLTSDVYPFSIRKFTEIWCHHL >KZM89867 pep chromosome:ASM162521v1:6:6435224:6435555:-1 gene:DCAR_022770 transcript:KZM89867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEDDDRSWRLFTSEDMIDGYKALKRRKKCTKMNKDVRSSSNKDTSEASAATPCHTC >KZM90308 pep chromosome:ASM162521v1:6:14048164:14049147:1 gene:DCAR_022327 transcript:KZM90308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWVKRKFLGNGSYGKVFLAQSTALLAYYKSPALVPFVALKSCLASRSSSLRLERDVFRELGGCDGIVKCFGSGVSVENGVEYFDLVLEYAPGGSLEQLLRFRGGRMPELEVGCYTRMLLKGLSHVHGKGWVHCDLKPANILVFPSKHGGGVFKCSLKLADFGSAKRGGEISCGFVDPCSGRKNRGTLLYSSPESVAFGEHEAPMDVWALGCIVFEMLIGKGMWSNYRRFSARVLGDLIAGYQDSGLIFCDDLSANAEDFLRKCLTRSAEERWTADQLLEHPFITESCKMLPLSQSGQTPKIMGYRRIPFSSSFVVSNNPSVFVN >KZM90639 pep chromosome:ASM162521v1:6:17713991:17718630:-1 gene:DCAR_021996 transcript:KZM90639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGSLYNFSVMKLPLHAGTHVDAPGHMIADYFDAGFDVDTLDLDVLNGPGLLVDVPRDSNITAEVMKSLNIPKGVRRVLFRTLNTDRGLMWKEEFDSSFVGFMKDGAQWLVDNTDIKLVGTDYLSVAAADDAIPAHVVFLEGRVEEQVLIEAKAMQNLLEALGQHQRSPDHNI >KZM92762 pep chromosome:ASM162521v1:6:36092960:36109560:-1 gene:DCAR_019873 transcript:KZM92762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRVDQSSEKGSSALHLCAYNGKNSGVLEKGLVSLNGQLPSKVQEGIGIIDFFCGKKLLITGATGFLAKVLVEKILRTIPEVDKIFLLIKARDEEAAMKRLMNEIVGTELFKCLREKHGTSYESRPSLSINRASTPKLLRKTSSSTNMFFCVDQISKNFSSAPHWSANNRKNGGMPEKNSVSITKSQLSPKVHEGLGIVEYFSGKKLLVTGATGFLAKVLVEKILRTMPEVDKIFLLIKAKDKEAAMERLMNEIINTELFKCLREKHGALFESFISSKLVPVAGNMCEMNIGIEEDVANLVAGEVDVIINSAATTTFDERFDVALDINTKGAARIINFAKKCEKLKLYVHVSTAYASRQIEGKIIEESFGKGHYVANSAGMNETLYKHVHGLDIETEIKLASEMLESFKENEIDQNLKDLGMSRARNYGWQNTYSFTKAMGEMVVEDTKGELPVIIIRPSIIESTLKEPIPGWIEGNRMMDPIILLYGKGKLPGFFVNPNMALDVVPADIVVNSILATIAKHGGKESKLEDSNSNDHVYQITSSVANPLIMRDLVDLAYQHFCISPCFDREGNPIQISAFKLFTSIEDWLSCMKSTNSNDEISPKQELIRRKSIEHFKYMANLYQPYTTFDGRFDNNKVEKLLECLSEEERKEFQFDVSCIDWKHYITRVHFHGVRKHVMKETALNRTSLRINRPSTPKLLRKKSSSTNMFFCVHHISKNFSSALYSSANNRKNGGIPEKNSVSVTKSQLSPKVHEGLGIIEYFSGKKLLITGATGFLAKAYASRQTEGKIIEESFGNGHYAANSAGMNETPDKHVHGLNIEAEMKLASEMIESSKENEIDQDLKDLGMSRARKYGWQNTYAFTKAMGEMVVEDTKGELPVIIIRPSIIESTFKEPIPGWIEGNRMIDPIILLYGKGKLPGFFVNPNMALDLVPADIVVNSTLAAIAKHGGKESKQEDSNSNDHVYQFTSSVANPLIMRDMADLVYQHFSVSPCFDCEGNPVQISAFKFFDFIEDLLADMKSTHDNEEISPKQELIQRKSIEHFKYMADLYEPYAFFDGRFDNNKVEKLWECLSEEERKEFGFDVSSIDWKDYIIRVHIHGLRKHVMKETVLK >KZM91511 pep chromosome:ASM162521v1:6:25725271:25725696:-1 gene:DCAR_021124 transcript:KZM91511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHVLDGATIVSFVEDDEAFTGSVHERFVLLDTDHNGVLSYAEMLKELQSLRVLETHFGIDVKTDPDELAHLYDDLFLLFDHDSNGSVDMDEFKGEMKQMMLAMADGLGFLPVQMALEENSLLMKAVERESTTLFKSSQV >KZM92448 pep chromosome:ASM162521v1:6:33456614:33457529:-1 gene:DCAR_020187 transcript:KZM92448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKSRLEHSVTRNALRSYLAEFISTFIFVFAAVGSAMSSKKLLGNAASQPSGLVGSAVATAFSLVVAVYVSVGVSGGHVNPAVTFGRAIGGHISIPMAMFYWISQMLGSVMACLVLKVTTVGQHVPMQGIIPVEMTGFGAAILEGVMTFALVYTFYAAADPRRGSMTAIGPMAIGFIAGANVLASGPFTGGSMNPAYSFGAALVAGSFKNQAVYWVGPLLGATIAALLYDNVVFPQGSEGIGDGVGV >KZM90839 pep chromosome:ASM162521v1:6:19733889:19737922:1 gene:DCAR_021796 transcript:KZM90839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFNDKFIEFFNNRWLVFVAAMWIQSCAGIGYLFGSISPAIKSSLNYNQKQVARLGVAKDLGDSVGFLAGSLCEILPMWAALLVGAAQNFIGYGLVWLVVTGRAPVLPLWAMCLLIFIGTNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILSQVYVLINSPDSASLIFMVAVGPAMVVISLMFIVRPVGGHRQVRSSDGASSSFIYCVCLILAAYLMGVMLVEDLIDLNHNVIVIFTIILFIIIIVPIVIPIWLLFTQEPEDQAHEALLAETRTEEPVITGHDANEIIFSEVEDEKPRGVDLLPATERQKRIAQLQSKLAQAAAEGAVRVKSRRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSIGYNNTHIFVSLISIWNFLGRVGGGYFSEIIVKDYAYPRHLAMAVAQFIMAFGHLFMAMGWPGEMYVGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGTLILSSLLASSIYDSEAAKQAQQFPITSGDDEPPRCYGVVCFSLTLYIMSALCIVAVILSLTLVYRTRVVYAHLCYYSRRLSDSENLKTLGIVFSRQRAMLGQGCQSQAVFSKARYLVILKLAQV >KZM89485 pep chromosome:ASM162521v1:6:1181665:1185241:1 gene:DCAR_023152 transcript:KZM89485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-2 MSLNVLATSSASTIPQLSRRSANYHPSVWGDKFLAYDTPDHKKDDTDTAEQVQKLKEEIREMLVQTAAEPKQVINLIDDIQRSGIAYHFEAEIEAVLQRMNDIYDEFCGSNDVDDLHHVALCFRLLRQAGHNVSSTVFRKYMDDDRKFKKCLLRDTRGLLSLFEATHFRVHEEEILEEALQFTIFHLGNLMSYLSKPLATEISYALKYPIRKNLNRLGVRHYISAEENNDGHNIVLKFAKLDFNQLQKMHQQELGNITRWWKDLNSAEKLPFARDRVVECYFWILGVYFEPQYSIARRFLTKVIMLASVVDDIYDLYGTLDELLLFTDAIERWDSNALNLLPDYMKVCYQALLDTYGEMEKVLENESGRPLYRVHEGKNSFTRLAKAYLDEAKWFKECYYPTIEEYMNVALVSSGYGTMATNSFVGMGDEATREAFQWVSNDPLIVQASSLIARLCDDMTGHEFEQEKGDIPSAVECYMKQHGATKDEAYLELDKRVTIAWKDINQECLSPTQIPLSLLARIDNLTRAINILYDGDDGYTHSSTRTKDLITSVLIDRVPS >KZM90249 pep chromosome:ASM162521v1:6:13118512:13128228:-1 gene:DCAR_022386 transcript:KZM90249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCD1-4 description:carotenoid cleavage dioxygenase MGITKQEKNKVGRIEDVVVVNPKPSEGITSKAIDWIESLIVKYMYDSSVPHHWLSGNFAPVDETPPASDLPVVGHLPECLNGEFFRVGPNPKFLPVADYHWFDGDGMIHGLRIKDGKASYVSRYVRTSRLKQEDTFGGAKFVKIGDLKGLFGLLMVKIQMLRGKLKVLDMSYGHGTANTAMIYHHGKLLALQEDDIPYAIKVLEDGDLQTFGLLDYDKRLKHSFTAHPKIDPYTGEMFTFGYSQTPPYATYRVISKDGVMSDPVPITLAYPIMMHDFAITENYAIIMDLPLYFKPKEMVKENKLVFNFDTTKKARFGVLPRYAKNEQLIKWFELPNCFIFHNGEAPSS >KZM89902 pep chromosome:ASM162521v1:6:7176668:7185134:-1 gene:DCAR_022735 transcript:KZM89902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGVVRRGRGRPKKVKDVVMEAVEMEAAMVRVERRGRGRPKKIKDGEMKSAEMEAGVVQVERRGRGRKRKIEEIENVCVDGLKRETRSKALVGSYVNKEFEGSFYLGKVVSYDRGLYRVEYEDGDSEDFESGELRPFLIENDCHDSILVLRKKELDEIILNKYEKERVDKMRGADVIGGVEDSPLGEVMDHGANGVIADVDCSSDSGEDGLDGALCVDAEACIVLPPEFPPSTGNIGVPEESVAELLSVYSFLRSFSVSLCLCPFGLEDFVGSLNCSVQNTLLDAIHVALMRVLRRHFEALSSEGSEFASKCLSSMDWSLIDSLTWPVFTAEYMMMMGYTEGPKWKGFYVDALQMEYYSLTVSRKLVILQILCDDVLDSAELRAQVDMREESEVEVDRDGDTIATHVGPKRVHPRYSKTSACKDQEATEFMKETGDAKLSINSSSLGYNNNGLDAGTDGDQDANSDECRLCGMDGTLLCCDGCPSSYHSRCIGVSKLCIPKGDWYCPECAINKISPKITGRTSLIGAEFFGVDPFEQVFLGTCDHLLVLKVSMNTGSIVRYYHKEDLSRVVLALCSEAQHLDMYSGICERIIKYWQIPANIIPIAEKVETGLSSIKIEDGSCPTPVSSLLNRNGHGICEPSVSEDIASCIAESSSENIAGPSAGNLTIENDSSKASLNMHIETGHPLTHPCNSGSIEQDRPFQAEKLSEQIRGTATISSDSVSHQDDLSETTQLKLVGRSSQRDHAMCTSGNSNSCNRGQSNCMISIKSCSNIGDGCFYLGSSFKPQAYMNHYIHGDFAASAAANLVKLSSEENYMLVSKTSNNYKKAMSANIALQIKAFSSAVNRFFWPNSEKKLMEVPRERCSWCLSCKAMCQSKRGCLLNAAASNAIKGTMKILSGICPVKLKHGQGSLHSIATYVLLMEESLRGLTVGPFKTLDYRKKWCQQAEQASTCSAIKSLLLELEENMNQVAFSGDWFELVDGWSVESSATNGTSAAEPRQKRGPGGRFSRKLSAIPEIKADDDLDMSNNFVWWRGGILSKFMFHKGILPQILVKRSARQGGSRKISGIYYAEGVETPKRSRRFIWRAAVEMSRNVSQLSTQVRYLDIHVRWSDLLRPDQSSLEGKGAETEAYVFRNASICDKQILGSKVRYAVSFGNQKHLPSRIMKNVIGVEKHEDETEKYWFLETHIPLYLVKEYEEKMDNVHLRLAEKPMNVLSKMQKQQLKVSRKDIFFYLTQRRDNLESCRCASCQLDVFLGNAVTCSKCEGYCHLQCTSSPKVHMSVEVEFVMTCKRCYQTEATLPNEKYPASHLLLQRQKPLNAATAKKSEKQNGCHRPLASNGALQHSVRKKPASNLKPRNKSKDCFWGLVKKKNGEDGTDFRLKNILLKGNLKLSEVECDLCKKPYDSNLIYICCEICTKWYHADAVELEESKILEVTGFKCCKCRRMRSPRCPYADQEETDALESKKSNKRASKQASQVAGSYHETIPEQLTQGDSATHMLPIKKGLVCIKGDNPLTFSLSRMNNGTQQTSEVALEQNPTFSGSVPQKLPVRRHLKQDDDVVGYSTNNTSVDSPKPIPGSTFLPVDESAPCLEWDVSTNIEDDFMFDVEDLNYEDGEFEPQTYFSFNELLAFDDGVQLDGIDPSGNIIVDVDDSSVIPEDVNLEQYGIVTEQQEHLDSFESSFQVVPCQTCFFTDPIPDSCCQICGSCMHSHCAQWVVDTSNNGAWRCGSCRNWQ >KZM90550 pep chromosome:ASM162521v1:6:16807454:16808278:-1 gene:DCAR_022085 transcript:KZM90550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSQEIISHLSHPQHKLKFEYTETPFKCDGCNEVGIGSKYKCGSCDYDLHMHCAVPSASITHPFYTKCSFQFLPRPPGLTPRYCNACQKDVSGFVYHCGSCGFDLHPCCAKLPMTLDDGEVKLYLYRKVSSACHKCGRKGRSWSYRSACKKYNLHVACVKEMLVETWHELYFGGGIGKKNSGNYDYCYYGDKGVKFDGNRLSGINNNNKNDLRKLETRIPSLKGTLQNHHKKSKGKVKKCCEMAGLALQFVISAVLGDPTTLIAGVVGSMMSK >KZM92752 pep chromosome:ASM162521v1:6:36028214:36028744:-1 gene:DCAR_019883 transcript:KZM92752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRFVIPGKARSKRSRGPMGNKSRRITSQFTSWSHHPYMTSSDPPLLQQAYWLADSELILPKKEEHNVETNPNKDYEHDDISGSLEGNNQNQNQQQQPRRCTHCLSQRTPQWRTGPLGPKTLCNACGVRFKSGRLLPEYRPAKSPTFVSYKHSNSHKKVLEMRTMSHLSSNSSSY >KZM92190 pep chromosome:ASM162521v1:6:31353738:31362587:-1 gene:DCAR_020445 transcript:KZM92190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVEEEKGAQGTRIYMGGLGKIVTEDDIRKTFSGLGRVDAVDIFRTKGRSFAYLDFVPSAEKSLPKLFSTVSLSLPIGPSPLYSLELHAYSGRAGSHRTGNYNGCMWKGGRLKLEKAKEHYLLRLKREWDDDAKLINSAPSRVDISDSKPSSEKPKKLQALEKPNIRIFFPKLSKMKSLPFGGSGKHKYSFQRIEVPPLPIHFCDCEEHCNSFHKEKGTTSDTETANGGIREEELSIMESVMKKLFERETDSKAVADRGKSTEERDSLPEVIDIPVDEVISDPPSDEENLIINIVAGGKESMLLSGNQAQKTRPDNKELKHGRSNTFKDRPVNSLHTSQKKNIESFSMKRKLPLAELSEGNERESLDTKKKKSLPVNSKGQEDQSTEPKARPPKSKNNIAWSQKSAWKDLIGKKGNTPFQFSSVVSSISSTKEEQPNSSSDKLSESFAKVKLPQSSDAAPTIASAKVEQPQSSRFVVGVIMEEQTRPRDLNVISYSSDSEKQNTLHGNPESETMQSKEKQGAEAPALASPNDVSITTARGVSWRQKSSWTQLVADANKNSFSISQVIPGLSFETQPSQPNLTDVAADTSNAEHQNLLKLNELENTGHGLEVKDRSVHVAPSNFDAISLSKSTVDSEKSKASTVLGKREATALKINNRLPLIRKPISIADFGETCSFVRSADSLKEWSKAKASMVNNHDGPMYTLDEALVAVGFGKFQSFVLIYAGLGSISEAMEINMGEGKSLTVLFWTGLLSVALVTSGAGLLSAFSPNYIILVILRFLVGVGLGGGPVYTSWFLEFVPVPNRGVWMVVFSTFWTVGTIIEASLGWLIMPRLGWRWLLALSSVPAFAALLFYGLTPESPKYLCINGQTTEALNIMRKVAAVNQKELPAGILIPESRNELDGEFDQRADSDSLAPSAKDIVFSNSGFSTVLILLSSKLRRTTLLLWVVFFGNAFSYYGIILLTSKLSSEKNHCNSSTMSLYKSKNQDDTLYIDVFVTSLAELPGIILSALIVDRIGRKLSMVSMFVLGCGFLMPLVVNQSGILTTGLLFGARMFIIGTFTISYIYAPEIYPTSVRATGVGIASSVGRVGGMISPLVAVKLVTDCHQAAAVLLFAGVILLSGLSVLLLPLETKGRKLSDTVTASAPTSSA >KZM90178 pep chromosome:ASM162521v1:6:12045719:12046207:1 gene:DCAR_022457 transcript:KZM90178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSGNSSGSTQIQNSGSEGDLQVLMEQRKRKRMQSNRESARRSRMRKQQHLDELSGQVSQLTRENEEILTKTKITTQHYMNLESENLVLRAQMDELSQRLDSLNEILNYMNMRNVNNNNHSVEMFESCDNVFGTGGSESFMSPWYLNQQPIMAAADMFQY >KZM91831 pep chromosome:ASM162521v1:6:28170489:28174384:1 gene:DCAR_020804 transcript:KZM91831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPHKRKVNLKKSVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNVKPRHRFMSSYEQRVQPFDKKYQFLLFAADPYDIIAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKIKPPEANKPPPPPANGTSAPGVPPRPLPPPPQAPPPPPPMGNAPGGPPPGSMPPPPPPLAVNGPRPMPPGGNLPAPPPPPVGSGSMSNFTPGTQMGRPPVPPPPQGFPGQMQGLGVRPPPPPPHM >KZM91233 pep chromosome:ASM162521v1:6:23417323:23419555:-1 gene:DCAR_021402 transcript:KZM91233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPVDTKPNVETPVAKVVEGPQTKVDGGDDKNKTARHPRWTRQETLILIEGKKAAENRDRKGRRSSSVFGSDQLEPKWDSVSSYCKQHGVNRGPVQCRKRWSNLVGDFKKIKAWESKSQELDSYWMMRNDLRKDRKLPGYFDNEVYDVLDGKAYTAAAYQLALVTITAEAKNDEAEAVVAEEAEEVEEDAEPVFDSARHATAEDGLFSDSEELGQMETDGNHGKERISADDPAKKIPSPKPISGTSKEQQAGSCYWKEFQSQEGCKRRRMSRVESRDGNLEDRLVKVLERNASSFNAHLEAQNMNHKLDRDQQRYQNENLVAALDKIAGALTKIADKL >KZM92041 pep chromosome:ASM162521v1:6:30014159:30014971:1 gene:DCAR_020594 transcript:KZM92041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDESNWFRKWEEQLPSPDELMPLSQTLITPHLAIAFHINSTTGTSTIPPPQVHNSAPQPPPLPPFQSPNSGEFESSGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMHLMSVDGLTRENVASHLQKYRLYLKRMQQGNLSGHASSGDTATDHLFASSPVPAHFLHQGRQNSEHFVPFVAVPVMQQQQYHQQMAAQYRHVSPHFGSPPNEHSFVARQAPQQVQRMVMPVHNSVASSYIDDLESANARKVLTLFPTGND >KZM92171 pep chromosome:ASM162521v1:6:31157554:31164594:1 gene:DCAR_020464 transcript:KZM92171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPANAAGASMANPSEGAEKKSIINQELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVPSFDKDSLLRSDLSMKANKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPPNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAVCSSQISLGMRFRMMFETEESGTRRYMGTITGISDLDSVRWKNSQWRNLQVGWDESTAGERRNRVSVWDIEPVTAPFFICPSPPFFRSKRPRQPGMPDDETSDLDNIFRRTMPWLGDDFGMKDPQALPGLSLVQWMNMQQNSSQGNSMQPNYMNSLPGSVLQNFTGTDLSRQLGFQTPQIPLQNNIQFNAQRTAQQTQQLDQLQKLAPSSLNSLNSIMQSQQQQQQQQMTDITQQQRQNCSNQMLPATQVQAQTMQSQSLAQNQMLQQQPSIQNHQQHRSVSQSLQQQPQHQQQVATQTQQQNMVSSQQPDQVNQQLHMSENQIQMQLLQKLHQQQQSLLAQHSGLQHPSQLSQLQDQQRQMLDSSQSYSRSTSTSQMQEMPQMVTSSLPQAHVIPQEMPRNNSQTNFGFTHPSQQSKLQQQSGLLPEFSGQLGHNQPPIVNQLSTGGSSLLTGAAVGGQSGITDDVPSCSTSPSTNNSPNLITSTLNNRARGSTVGDELVQSSAMLLNYGGLETFSAHANLAKDLHQKPDIKASLNMSKSPSQGFLAPQTFLNAAGTQMDYLDSSSSATSGRISQNDAHLQNSNTLCFNSQTMPFRDSSHDGEVHVDPRNNIPYEANIASHLNMSMISDTMIGKELTASGKDFSNNLSSDGGMLSNYENPKEAQPELSTSIVSQSFGVPDMAFNSIDSTINDSSFLNSGAWAPAPQFQRMRTYTKVYKRGAVGRSIDIARYSGYEELKRDLARRFGIEGQLEDRHRIGWKLVYVDLENDVLLVGDDPWETGYILLSHPGLSLKFGSKT >KZM91392 pep chromosome:ASM162521v1:6:24748057:24751437:-1 gene:DCAR_021243 transcript:KZM91392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSSGRVNLGSKGYDLGNEDILSSYSNLDTLNDNYSDPGIISGKDFQKSRMAQSSAFPAPSYNPPEEYANQDLATTVERTMKKYADNLMRFLEGISSRLSQLELYCYNLDKSVGEMRTELARDNVEADSKLKYMEKHLQEVHRSVQILRDKQELAETQKELAKIQLAQESNSSVHSQQSEERASPPVSDPRKNDSLSDMHGQQLALALPNQVSSQPLLPTRHVEQHQLPISQQPTMAPQGVMLSQGYYLHPMQLSNIPSQMQQTQGQYLPSDSQYRPPPQPAQHQVNQTPQNHSLSQYQQQWSQQPSQQGQSEQSSLQPHIRPSSPAVYSPYMPGQPGNPSAPDTHPNSMQMKVSYTGISQPTSSHAESMSYGYVGVSRPVQQQQQQPSHQHLKTTFGAQPGDGYVTSGPHPTLSPGNAYMMYNVESGTPTHVPQSHIQQSSYPQNQQPPTATNVMVRPPQIMRNHPYNELFEKLVSMGFRGDQVASVIQRMEESGQHVDFNAVLDRLNAHSSAGSQRPWSG >KZM92407 pep chromosome:ASM162521v1:6:33189237:33190016:1 gene:DCAR_020228 transcript:KZM92407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFFSYSTSSYSAPPQDPYNYSSYPTSSYSAPPQDPCHYSSYPDSSFPTETTGNAYGIGNASDQPVHIPGDEVLLASSNPKKRDGRKKFKETRHPVYRGVRSRNPDKWVSEVREPNKNTRIWLGTFPTAEMAARAHDVAAIALRGRGACLNFADSAWRLPVPASTNAKDIQKAAAEAAEAFRPQENDNAAERTSPEETYASQANEVAYMDEEALFATPEYINSMAQGMMLSPPQFAQSDMYYGNDDMDSVTDMSLWNY >KZM90888 pep chromosome:ASM162521v1:6:20171629:20179710:1 gene:DCAR_021747 transcript:KZM90888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECTTLFFLCSCSFSFLIISSARDTLTADQIISDGETIVSARGEFEMGFFSPGGRPQNRYFGIWYKKISKGTVIWLANRETPVANGSGIVRVNSRGIMVGTNESNSVIWSSDYSRSVKNPVAQLLDNGNLVFRDGNDEQSFVWQSFDHIVDTLLPGMKFGYDLVSGIDRYFVPWKSEDDPAPGDYIHRMDRNGYPQLITRKDSVVRFRTGPWVGSQFSGIPRFDQNRIYRPSFVISKTEVYYIFDLVNVSDSAVTRLRLTPGGEWFRLVWNREKQEWTRVLTLQVTDCDSYGLCGTYGVCNVNKTPRCECMKGFDPENPDDWAAADWSNGCLRNVQLECGNGDGFLKYSGVKLPDTRWSWYNMSMSLSECRTKCLKDCNCTAYSNTDVRNGGSGCLLWFGGLHDIRGYSEDGQDLYVRMAASELVYFQSWTEDSKDSKRKKTVWFLLLLLVPAVILGLYLLYKFRKRRLHGEEIPQSNLTSEATNRNERQDLDLPLLDFLQIANATGNFSSKLGKGGFGTVYKSASKKMSSLPFSFIFLCLLSTQCLLVCSSTLQDPEIVVEDVHRSINNASRRQLGYLSCATGNPIDDCWRCQHDWEQNRYRLADCAIGFGKNAIGGKNGKIYVVTDSGNDDPVNPKPGTLRHAVIQDEPLWIIFKRDMVIKLKQELVMNSFKTIDGRGASVHIANGPCIKIHYVTNIIIHGIHIHDCKQGGNADIRDSPHHSGWWTVSDGDAVSILGGSHIWVDHCSLSSCEDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFLAPNLRSNKEVTKHEDAGEGEWRHWNWRSEGDLMLNGAYFRESGASSHSFARASSLNARPSSLVASMTTSSGALNCRKGSHC >KZM90715 pep chromosome:ASM162521v1:6:18474539:18475351:-1 gene:DCAR_021920 transcript:KZM90715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSLRCVVIAYKKCEMDKVLKDEKHMAEWVLPEDDLVWNAYVGIKDPCRLGVKDEVKLCSDASVKVELKSGAKCRACCRYVIVLKIVKHVKPLTETIFETLGNELHFWGGILSFSSVC >KZM90492 pep chromosome:ASM162521v1:6:16258657:16265271:1 gene:DCAR_022143 transcript:KZM90492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGDLDLMHPPPPPPPADSGADAGKEACSICLDVVSDNADRSFAKLHCGHLFHLDCIGSAFNVKGAMQCPNCRKTEKGQWLYSNGSRPYTEINMEDWVPDEDLYDHSHSEMSFGVHWCPFSGLTRHPSSFDESDLSPIPYHDLLGQHAVFAEHAAVSSGSHHCPYVAYFGPFPSSSSFRGSVSDGSTFSSHWNSRSAASEMPTSYAFPSVDVHYHSWEQQSSLFPTASSRVPGPDQASLPSMTQRAARNNFDNPRPGSFVHPFAVGHGHGMLSFHVINSSNARSGSSIAASMFPPYPGSVARARERAQALEAYFQHPPGNSQGLHTPVMPTLRRSNGHRNMAQGDTLALSIEHGNGFYFLSSSPTAGRNFAEADNSMSNHFYAWEREQFPSFPSSESDRDPIWGLVHHQLPGAPDTGIRPASFRQRHGSERMTSQHRS >KZM90458 pep chromosome:ASM162521v1:6:15990559:15991461:-1 gene:DCAR_022177 transcript:KZM90458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVFGNGDDSGWEGLSCPPLDEFALNFDVLCYDLDGILDDPLLESVKEQDSTPVRILNQNTEVVGIDKKAKICYGNNGKGKQLKLNSNMIEIMAFHKNETREIVEPKVKKRRIMDCYDCQSMQQKKMSKLNHANRRVDMVDRKRKADADVKLEDPLDKICKPMQRKYREMQDHTNQLGAVDDKMSGGNKFKLEAPKNKLRETSESISQPKRQADLMRLDCSNQFVVVDNKMHNDYNSRYEATRRKLHMSYEREKEAKQQKQVQVINFQQVVMEQSRCRVKTKGKNLQQKLMARRTHKSN >KZM89915 pep chromosome:ASM162521v1:6:7365135:7367673:1 gene:DCAR_022722 transcript:KZM89915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALVVSFSSEVTGMSSCDKKKAKRQRVEVKKSNFVDLSNAETAPHIGSSETRDSVDEHMVHHGDDMDWDLIPKGHTKGLEWNFTQQNEKTCYDSFHDKDTSAFGMDAEINRTQGEQRGTTSTSNAQKSENEDNHGKYTLLESLLSSYKSIQQTLLLHILYADDIAGNEKMDSIKKSFKELNEKANLFVD >KZM92479 pep chromosome:ASM162521v1:6:33697612:33699790:1 gene:DCAR_020156 transcript:KZM92479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLIPYSRGLDSHIRPENPKWLAENIEEVDKILEEIRRLTEDEYFEVTDAEGCHQQKPQLVAHATRIGDMYKLLAERYNHLTEEVRLQISSPVNMKNSAIIDSGSQRMSPLQTPDQKFISPRSEQDGDSKMYPGLFSGSPDSSSKEASEYSTSSDSESESFYSTTNKDTVSPMSIMSKVPASKFVEQTESQGTEVMVQKVKEEYVDGTANVRESEDSDMLSGRLAAYEDELVIAREKLQFLENENARLEGELKTQQSVTVLTDISNAQLHAQQSEQMQDAKLEMEKRKVLELQYQVAELQCQIDDSKGYVELLMQELKMYREKLRGSEEEIEKLKKEHCDKVSEATLQFQIQLESAYKNIGLLTANLNLEHDQVVELEEKILGYAADKLQHDKEITALKLELSDAHKNFSEAKELLQSDLSGLLEQNTKLNAIVEDFEAQIISLEKEIYRCKFENVEMQALHDAQVIDLQVDIENLKAEVKDKSEQVEAVNKNLDMLILNYDVLMENKDVKVENLEAEVKDKGEQVKALNKNLDMLTLNYDVLMENKDVKVENLNAELSSKNDEIREMKNHLNQLQLENVEMVAESKRGRKLADELNEKVVELQNEVDRQLAMISDQAEKKREAIRQLCFSVEHYRNRYQELRQAFLAR >KZM92724 pep chromosome:ASM162521v1:6:35838169:35838702:1 gene:DCAR_019911 transcript:KZM92724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELMRLVLVMLLFLISFQARLHQTEATDTCEVRSAYFVSLCLIDHHCEMVCKVEGFPTGKCLGLVPHCICLGTCKQPSPPSPPPANPPESPPPVPPPTPQYSQPPPQPEYSLPPPPPEYPPSSAADLQ >KZM92076 pep chromosome:ASM162521v1:6:30345348:30348315:-1 gene:DCAR_020559 transcript:KZM92076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVSAAGPESFAFDPFTGEGPYTGVSDGRIIKWNQSLRRWTNFSVTTTGRERNGCEGPHDHTATEDVCGRPLGLCCNKKTNDLYIADAYMGLHVVGPDGGLTTQLTSEAEGVPFKFTNGLDIDETTGDVYFTDSSSVYPRRNHSLVVLTGDKTGRLLKYNIHTKETTVILKNLYFPNGVALSKNKDFLIFAETTTCRVLRLWLQPSEKAGKLELFTELPGYPDNIKMNANGEFWVALWSKKVPDFSAWLPSSSRIMRNAVRMVTYKVTQLLLYLSGIKGSGVAVKLSENGEIIQIYEDKLGKVWKYASEVQERNGYLMIGSVVMPYAVAMKN >KZM91263 pep chromosome:ASM162521v1:6:23651578:23652828:1 gene:DCAR_021372 transcript:KZM91263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVIKEDYDGCKDRVEQKKNRYGDGVAWFRGALLGKGSFGSVFLATLKKPKSKFRCFPPVMAIKSAEVSVSGSIQKEREVLSNIGRCDYVIRCFGDEITTGENGGMVYNLLLEYGAGGTLADVVGDGGLAEFDVKRYTRDIVRGIYHIHSNGYVHCDLKPDNVLLVGNRGSQFRAKICDLGLAKRGMQSSSNKKRKLDPYFRGTPMYLSPEALADGVQESPADIWALGCILIEMLTGKSAWDGIQDLDGDELLERIAEGREMPRIPSEISIEAKNFLKSCLARKPMYRWTAEMLLNHPYLEGLVDVDEAGEYEEVSDVNADSSLMLLSEADDELGFSFWEDDSFLSEEESVSYWSEDEENGVEVTGLAKEATLNIQESADTASSRSDSEFKHAMRVPVPSNTRHQYPIAFTIPAGV >KZM89564 pep chromosome:ASM162521v1:6:2151466:2153278:1 gene:DCAR_023073 transcript:KZM89564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNGELFIKRNPQLKLKLVDGSSLAAAVVLNSIPEGTTHVAIKGKSSKVSNSVAIALCHRGVQGLKPVSKGNMIPNSKIEECNEMIKNETACQCSFTCSVIDSPADNPKHKEPLSAPF >KZM91225 pep chromosome:ASM162521v1:6:23351226:23356870:1 gene:DCAR_021410 transcript:KZM91225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSGFGFSGRPQLHFCHCFRKVRFGSVAAVLDEPDSFFKGYSKRNSESVSFSSDGGVDEDNKAPVWKRFSSKELGISNSMIPRSTRVVLNGLNRKGHRVYLVGGCVRDLILKQKPKDFDVLTSAELGEVKRIFSQCQIVGRRFPICHVTVDGTIVEVSSFCTSSRGRVNFDMERPVGYEKEDYKRWRNCLERDFTINGLMLDPYAKIVYDYLGGLEDIRKAKVQTVKPSNISLSEDCARILRGIRIASRLGFSFTRETARDVKNLSYSVLRLDKGRHLMEMNYMLAYGSAESSLRLLWRFGLLDILLPFQAAYFVRSRFRRRDKRSNMLLCLFSHMDKFLAPDTPCHSSLWVAILAFHKALSDEPRDPVVVAAFSLAVYNGGNMSEALKIARMISRPHDAGFNELLEPCTLDYEELKEEVLLFDNSVSTALSDMTEEDYVSKAMSAFPKAPKSDLVFISPQLYFRVRKIFECVRMGRQKDFVPKQGSKIDSELLTLGSLEEVRHVFARVVFDTVYPVSTSPE >KZM90571 pep chromosome:ASM162521v1:6:16982692:16984378:1 gene:DCAR_022064 transcript:KZM90571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPIVEPQQQAAPFLPTQQAYTSHSGHGSVGPVIGVLAIIIILGALAIMVGRLCSGRRIMGHGQYDFESWVETKCATCLDGRVDPVPTRIVIQHPPAPVETGMAAEFMNNLGFYAAPVQEAGAPEVEIHDLIYEDELLDADDALVVLE >KZM91707 pep chromosome:ASM162521v1:6:27226833:27229588:-1 gene:DCAR_020928 transcript:KZM91707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP707b-4 description:abscisic acid 8'-hydroxylase 4-like MGWPYIGQTLQLYSQDPSVFFANKQKRYGEIFKTHLLGCPCIMLASPEASRMVLTTQAHLFKPTYPKSKESLIGPSALFFHQGDYHARLRKLVQSSLSPEAIRNLVPGIGAVASSALDSWASTHVISTFTEMKKASFEVGILAIFGHLETHYKHELKKNYSIVDGGYNSFPSRLPGTPYKKALLARKRLSEILSEIIHERKEKRSDEKDLLGCLLNFKDEHGEILNEDQIADNIIGVLFAAQDTTASVMTWILKYLYDNPKLLETVTAEQMAIHMSNNENDSHLTWVQTRNMPVTQKVISETLRMASIISFTFREAVADVEYKGYLIPKGWKVLPLFRNIHHSAEFFTSPQEFNPSRFENPPKPNTFMPFGSGVHACPGNELAKLEMLVMIHHLVTKFRWEVVGSAAGIQYGPFPVPMHGLPARFWRKSTI >KZM90706 pep chromosome:ASM162521v1:6:18370809:18374791:-1 gene:DCAR_021929 transcript:KZM90706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSLFCTLKRVSGEVRKWRPVGADRGFTFLQYNLTIAYHRTNLLARYGSWLASDSNGKLESLGYKEGYRVDVDVPDGTWAEAPTFHDILILNTGHWWWAPSKFDPVKSPMLFFEKGLPLVPPVSPDVGLDMVLRHMTSFVERKSRPGTVLFFRTQSPRHFEGGDWDQGGSCQRLQPLMPQQVEELFALKNNATNVETRLVNQHLYKALKSSTFHVLDITHMSEYRADAHPSTSGGKKHDDCMHWCLPGLTDVWNDLFIAQLKRGQNSEQDYLDAHNKARAEVGVGPMTWDVTVAAYALNYTNLRARDCNLIHSNGRYGENLAKGTGSFTGTDAVELWINEKIYYNNASNTCARDQVCGHYTQVVWRSSIRLGCARVLCSNGLWWFVTCSYDPPGNIIVIGSSSTLGKRASIWKILVLSLTILTIIIS >KZM92233 pep chromosome:ASM162521v1:6:31713605:31716213:1 gene:DCAR_020402 transcript:KZM92233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLDAAGKTTILYKLHIGEVQSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRPLWRHYFSNTDALIYVVDSLDRERIGKAKAEFQAIIKDPFMLNSIILVFANKQDMKGAMSPMEVCEGMGLLDLKNRKWHMQATCALKGEGLYEGLDWLASTLKALKP >KZM92501 pep chromosome:ASM162521v1:6:33891626:33893044:-1 gene:DCAR_020134 transcript:KZM92501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYDISTQTQHLRRHISALARQERILKMQIKKHPSRANHNKTLIEKKICKHSRFRRKISLIQNRFKNNLQQRISSMDIIVKYYTRTMRAMILQTPTESFERNDDIGQNSTPMEVDSIRPEANPSTTANRFNENIGQNSTQMELESTLPEADTSRTAYRFNENIGQNSTQMELESTLPEADTSETAHKFNENIGQNSTQMELESTLPEANTSETAHRFNGQFKQVF >KZM91690 pep chromosome:ASM162521v1:6:27129850:27131226:1 gene:DCAR_020945 transcript:KZM91690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDKGRLTSVRLGGVSLSPLKLGNMMHLDANISLATTKIDDDFSDTKGGFGAYEQARLSGEGSPIMMSPWNHNSPFTPWSNFAAVQNPDVPQTKPPQIALTGSLVREEGHIYSLAATRDLLYTGSDSKNIRVWKSLKEFSAFKCNSGLVKAIIICGDKIFTGHQDGKVRVWKVQARNPNVHRLSGTLPTLMDIFRCSIKPKNYVEVKRNKTSVWIKHGDAISSLSMNQEKGLLYSASWDRTFKVWKVDNSKCLESVKAHEDAVNSIVTGANDTVFTGSADGSVKVWRREAYKKGTKHIFVQTLLSQECAVTSLAFNETTSVLYCGSSDGVLNFWHCEKHLSHGGVLKGHRLAVLCLAAAGNLVLSGSADKTICVWKKLEGSAHTRLSVLTGHTGPVKCLAVEKDEAISETDDQQWVVYSGSLDKSVKVWSVSEQSPELSEMQQHGVSRWGAIPSAKY >KZM90619 pep chromosome:ASM162521v1:6:17531567:17534999:1 gene:DCAR_022016 transcript:KZM90619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLVSAVLIAISLALHASALKQGKTCLVNSNCDEGLHCEACLADSKLRARCTRIRPLIPTTQVLGLPFNRYSWLTTHNSFARLGAKSATGSPIVAPENQQDSVASQLQNGVRGLMLDLYDFQDDVWLCHSFGGKCYNYTAFVPAIVVLKEVQAFLVSDTSAIVTLIIEDYVTSPNGLTKVFDNAGLKPFWFPVSRMPKNGEDWPIIDDMIKQNQRLVVFTSKSRKEAAEGIAYEWRYLRSEGGGSPAAVDRANGELICGCQSISNCKANATFGDCNVPAPPSSTSKDTSGAAYVEFRPFKMLCLLGVLFLADKLIS >KZM90981 pep chromosome:ASM162521v1:6:21016894:21020219:1 gene:DCAR_021654 transcript:KZM90981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPGSPMDSSQSAVTLNSASVATAVIFVTQSSRNADFLSFPLSTLAEEWSGSSYGADNAVQDENSRNQADFDAIMLEIDGTPNNSKLGANAIFGVSLIYHVPKGIIKAKYGQNACNVGDICSTNNLWKEATQV >KZM92485 pep chromosome:ASM162521v1:6:33732700:33738154:1 gene:DCAR_020150 transcript:KZM92485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNQIQVENRNRNIVLALCKRLSLDPKLFLDDKVRDLTSLCGNVLKASAAVENSDELTKWISFAETFPVDSEACLKVLNELNGELIQKSVLVGRGIETSAADIIVFSVVQSSVIGLPDSDRKKLPHLMRWIDYIQNKENISELFEQITVNKAPFELPVKDALPKVEVASNTKKAAQGPKDAGPAEMSSTSQKTSDSKEVKVSKGVTDKKKETTAEKKKETTAEKKKETTAEKKMPEKAAVEEKDKELDVSLLKIQVGLIRKAYKHPSADSLLVEEIDVGEPKLRQVVSGLAKYCTPDQLTNRLVVLITNVKPGKLRDVMSQGLVLCASSEDHTLVEPLIVPDGAKPGEFVSFSGHDGKPEDVLNPKKKQLEKITPNLFTDEKGIATFKGIPFMTSTGPCTSSILKGSIK >KZM91266 pep chromosome:ASM162521v1:6:23671532:23678335:1 gene:DCAR_021369 transcript:KZM91266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRQRPLYCHGFCLSFLEASSVHCXSVSPLSWPPPLYFWPLFFFGQFLNFRVYQLLGEAGTYYGVRFGKNIPWVTEFPFGVISDPQYVGSIMSLLACLSWVPFVYILLWILGYIFMIKVESTEDPATRAKPIS >KZM91899 pep chromosome:ASM162521v1:6:28769395:28773672:-1 gene:DCAR_020736 transcript:KZM91899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPSVEEGPPEVTLETSMGSFTVEMYYKHAPKTCRNFIELSRKGYYDGVIFHRIVKDFIVQGGDPTGTGRGGQSIYNNGGKFEDEIHPKLKHTGAGILSMANAGPNTNGSQFFITLAPTQSLDGKHTIFGRVCRGMEIVKRLGSVQTNNNDSGEMAGXLNNIVGTVVKWRVFRSMIFCILKR >KZM90009 pep chromosome:ASM162521v1:6:9539895:9542725:1 gene:DCAR_022626 transcript:KZM90009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARRENFSNFQNSNSEFAGRRGDERWLAQQQIGVQSEGGTGSRVGKSAGDNKSGSRDSKVAQKDSQAERNITDKQSQRVFEHWFLLENEMVDAIRKGNSKLIRTALGDEEALWGLHRFLHNNGWWVKAMNLKLQDVDLAEMANLDTEKITREFIWANRHLVHPNTLIQDLTRDNDAVRMALNQIHYGSIRARRCSLKLGKNPVEETPAISIEEKLIPDTGNFCPKVATINFIFANKSVVDKTTIQGVVYNSDKAISDALLQIHEASLKGATKSGQSYKESLLASPAKLHPPPPKRVNSRPVSKEGGGAGKGKKRDIFFTGFKDDISYVDLWRGFKKLGRIKDVILPVNKDRSRRKYGFIKMFSPQEAQIFLQKAKDLFIQGSKISCDWANNSRKPQSGRGLKNSPKVSKDNPISDEKDKEQLAHMGALPTDPQAESVKEWMERISRSVRIEVAMDYAPDSMSELLVAAGYFHLDVLKLGPLVFILTCNDEDCKTTLDLSNSGLDILSIRDVTIGDFILPRLTGIRLQGLPVCAYSDSILEGIVSRWGSLISKGVSCIRNQQVVNPQICISTSVFQEISESIEVQVLGTTYNVVVMEEKWVDPCSFDPHLHSPRMVAQSSSSAGAPTRSWEHDELSTHSVQAGIQTFEDVLSNHSIQSHVSVHSSYSNQTEGSYSPQGNHPQNDSQYREMTPQDPSISDHISQMGMESDSELRRCDPIVEEIDYRNLRVQNWNIEVFPFEFNEPGGKMDVFSNGGDGSHDDEGEDKNTGKMDNWSVRDINFSEDESGKGSVESFNSCQEAESTLDPLFEDQGSTANFLSRLKIKGRGGRSRKVRSLNFFDFKLRGSKKKYKPAQWIPGLYVRPWNYSGKVEKKSRRNIKSGAQTSILFSEPLSPAEEIWNLGTTVGLRPLMPKPRMLDLITERLCQ >KZM90494 pep chromosome:ASM162521v1:6:16287714:16289485:1 gene:DCAR_022141 transcript:KZM90494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPSLILFRNCRYDKIFQFGDSLSDTGNIVFENANGPSGKPPYGFSYFKKPTGRCSNGLLMVDYLAMAAGLPFLSPYLKREADFEHGVNFAVASSTAMSIEDLAARNISVSPETVNSSLSVQVDWMANYLRTFCKPGPDCKTKLEHSLFVMGESGGNDMNAAVLGNKTIQEIRALVPDIVHAIMNATRRIISFGARNIVIPGNLPIGCMPIYLAMFGSGNSTIFDKNQCLKKYNRLANYYNDFLKKEIERLKRENPYLKIVYGDLFNAVEWLLPRAPFLGFDQKSLLKACCGEGGDYNVGGYEKFCGLPGVPVCRNPNEHLSWDGIHPTQQANMYVTTWLITNMLPILDCPPP >KZM91427 pep chromosome:ASM162521v1:6:25113067:25113291:1 gene:DCAR_021208 transcript:KZM91427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLTQAFAKTSAAIENTVQTIVQDVIGPKPLHHYDLLDQIGSAGPGLAWQLYSGKTRNEFDIAAVSNGVCLVA >KZM92212 pep chromosome:ASM162521v1:6:31565206:31566541:1 gene:DCAR_020423 transcript:KZM92212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGNENGVKEGDNKWPPWLKPLLGTRFFGQCKNHPSAHKSECNMFCLDCINGALCSMCLSSHKDHRAIQIRRSSYHDVIRVSEIQKYLEITGIQTYVINSAKIVFLNERPQPRPGKGVTNTCLVCDRSLLDSFSFCSIGCKIVGTSKDFRKKKMSMEMGGSDYSEEESMIDTGNEGVKRIFRGFTPSTPPQMTGIYRSAKRRKGIPHRSPMGGGLILEYC >KZM92575 pep chromosome:ASM162521v1:6:34506314:34506730:-1 gene:DCAR_020060 transcript:KZM92575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSTEPAVQLLFSPDEINDLLSFINYNPTTTSTTTTLSSSSDTNRSVYSLEERKHRRMISNRESARRSRQRKKRHLEKLVAEMNRLKCENRELKEQLCLATYRSHVVTAETELLRSEYINLQTRLFRLYPSLMSMQL >KZM91430 pep chromosome:ASM162521v1:6:25132834:25136596:1 gene:DCAR_021205 transcript:KZM91430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSNVEYGDSVDIDGFDIDYGNQVLTVKLGNLGTYVLNKQTPNRQIWMSSPVSGPSRFDWDQSAQAWVYRRTKNYLFGILENELEQLCGNAISLS >KZM89844 pep chromosome:ASM162521v1:6:5911278:5913738:-1 gene:DCAR_022793 transcript:KZM89844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KZM90167 pep chromosome:ASM162521v1:6:11940727:11941107:-1 gene:DCAR_022468 transcript:KZM90167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQIQISEYSLLIYFIKCIAYFLLQHKSLLLQQPQSQQGNNPQLEELKSFKNVLDRFLSFVQISKSNIQMGYKDKLGSYEKQILNSNRPQKPIPPMQLDQSLIPSHVHSMLQSQRQVSNDPSAAY >KZM90822 pep chromosome:ASM162521v1:6:19587051:19587806:-1 gene:DCAR_021813 transcript:KZM90822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYQNSPPDPYRHYPPPGYGPPPGYPSAPPPPPSPYDAYPPQAPPGYPYAAPQTQGYQGYFNGGGYPPPPPQQLPPYQVYQYDEHHHHCHDHHDDGSSFLRGCLAITEFGDAFVFIVKFFLNLP >KZM89719 pep chromosome:ASM162521v1:6:3710601:3711294:-1 gene:DCAR_022918 transcript:KZM89719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTMSRPVERPYRPSLEKIDLESFEDERKTRLGTLKKKAINASTKFRHSLTKRGRRNSRVMSVVVVDEHDAEEFQAVDALRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTK >KZM90367 pep chromosome:ASM162521v1:6:14645181:14646802:1 gene:DCAR_022268 transcript:KZM90367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFDSEHLLAEILKRLPPKSLAQCTCVEKSWYDLIQSPNFKALYSDSNQFIYMLAKLFHRGFALYRMDPLLHPRFSSNSCSSDSTYLHDLRPLLVFPTDSHLGDNYFKCIKLGSKIYFYGLALSSGNKYTSFYTMEEKDLMSIEPSEDNVITNFKHLLTKIKNPMHELKLFPIVFVANDKLYVLSGCFLDNIFEVFSPADGTWQVLPNPEDDALEFHYYADGRVSYVCASKMTSRGEEFMQPYLAPDEAFLEVLRYSRFTYMFKQCSTDYIVAFQDSDGKQQLCIITYGCRPLEKRFSPYETTCYVALSFYDIPGDFYTSEDRHFHEPDVYASYADEKTKDGGVIRRYFSAKFLYTKHFIINNFSFGTIETCFL >KZM89929 pep chromosome:ASM162521v1:6:7579395:7582341:-1 gene:DCAR_022708 transcript:KZM89929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTQMNLLQLTNENASMEERIKTLQAENSILEHKIKLMEIQQTHDEAVVTVLKDHIEERRAFNRLLRDDSNFSPSEMERHAKIREEIINEHEAKKRAKVSPKVDEQEKKPAVQTDDIHTPHLLRNNGYIGTNFTKPDQSRVASKKMARNLRLSKAIDIACPFDPEKLNDDQAEQYLRRLWILMGKEKAKHCLYIDPLVIKDYYDNRKGRDKVLSLEIEKPALILLDSLKDSVKKDVIEFIHGMLVSLYKLANINFSPTTVKNIPVLIPEVPKQNDAKSCGFFVLFYATMFLKMCPPVFCTKEHYPSFVRLLCIYFCFFSMASVSITYSCYSILDDKELVFYQTNQGFPQINSRHLFKHMYGFAL >KZM91457 pep chromosome:ASM162521v1:6:25322291:25324330:-1 gene:DCAR_021178 transcript:KZM91457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSCRKIILRRINVKRIIVRRMANLRHCVHSFWSQIFGCCAGKSVRYRQLLPSSIPRSLSPPPTVYPSREPSGASCCCDHHEDSSDLVTLKISLLGDCQIGKTSFLAKYTGREKSRDLQEGLTHMDKTLCVKGARIAYTMWEVPGNDNQKQLPIACADSVAILFMFDLTSRCTLNSVITWYQEARKWNQSAILVIVGTKFDEFVQLPMDLQWTIANQARTYAKALNATLFFSSSTYNINVNKIFKFITAKIFNLPWSVERNLTIGEPIIDF >KZM91206 pep chromosome:ASM162521v1:6:23121234:23122319:1 gene:DCAR_021429 transcript:KZM91206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLHAAATFMQHTKVGGIQTRPALQLKSSPSLCKAFGVESSGARVTCSLQSDLKDLAHKCADAAKVAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPTIEGGSESFAFKSGKYNAKKFCLEPTSFTVKAESVGKNGPPEFQKTKLMTRLTYTLDEIEGPFEVASDGSVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPDSFSGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENTKNTASSTGKITFSVTNSKPETGEVIGVFESIQPSDTDLGAKVPKDVKIQGVWYAQLD >KZM91204 pep chromosome:ASM162521v1:6:23086930:23088383:-1 gene:DCAR_021431 transcript:KZM91204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKGDDEVENGALFIFGDSLVDAGNNNYLSTLSKANVIPNGIDFKASGGAPTGRFTNGRTIGDIIGEELGHRYYPVPFLAPNSQSRGPLHGVNYASGGGGILNATGTIFIKRLSMDTQIDYFDITRKLIDELLGTTEARQFITKKSIFPIIVGSNDFLNNYLLPFFSIHERMTISPDAFIADLIKTLKQQLNRLYKLDARKFIIGNVGPIGCIPYQRTLHKLNESQCVNLPNKMARRYNAGLKLMVRELNTTLDGATFVLANVYDLVMDVITNYTNYGFISASKACCGNGELGLGAIMPCRPDSKMCLDRDKYVFWDLYHPSEAANLIIANRLLDGDTKYISPMSIRELRDL >KZM91893 pep chromosome:ASM162521v1:6:28724395:28725552:1 gene:DCAR_020742 transcript:KZM91893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDVVHWLKLTPFGFYDSMFCSLAEALNDGQISNEDAKEAVDLWSELPMELLELIISRLTLKDNICISAVCKKWQSAAISVRVVQKSPWIMHFPKHGELFEFYDPCQRKTYLVELPELRSCRVCYAKENWLLLYKPMTQRLLFFNPFTRELIKLPKFELSYQIVAFSSAPTSPNCLVFTVRHVSPTVVSISTCNPGATQWSTVNYRNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGIYDTQEHTWTVCGVPPPKCPENFYSKNWWKGKFMTEYKGDILVIYTCENENPIVYKLERTNWTEMNTLGGVTLFASFLTSHARTDLLGVMRNSVYFTKVRFYGKRCVSYSLDHTRYYPRKQCHDWGEQDPFESIWIEPPEDFTDFVKT >KZM89446 pep chromosome:ASM162521v1:6:778748:781268:-1 gene:DCAR_023191 transcript:KZM89446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMETAICGRVALSPTHVIHPKSGDKYLYKQCANRSNFMAMSATTVGKGGGVMDKPVIEKTTPGRESEFDVRKSRKMSPPYRVMLHNDNYNKREYVVQVLMKVIPGMTVDNAVNIMQEAHHNGLAVVIICDQVDAEDHCMQLRGNGLQSSIEPASGGC >KZM90625 pep chromosome:ASM162521v1:6:17595215:17602198:1 gene:DCAR_022010 transcript:KZM90625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAVISSHGSVDSGDIIDESLKPSDSNASSSSQNNINNENMNNNNTISNNMMNRFDDDDEEGDVCRICRNPGDADNPLRYPLCVVYWLSTFVLQVCKHPFSFSPVYAENAPARLPFQEFIIGIGVKAFHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFFSHITTTAVLTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGHDGEREDEGERNGARAARRPPHVPANRNLIGDMNAEDGGAQGVAGAGQLIRRNAENVAARWEMQAARLEAHMEQIFDGMDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYYLSWLLSTATTPVLSTVVPLTESALSLANATLKNALNGVVNMTSGSHENYINQFVENVSLNVTGLTNLSNNGTTTVSADILKGAGMGASRLSDVTTLAVGYMFIFSLVFMYLGTVALIRYTKGEPLTMGRFYGIASVAETIPSLFRQFLAAMRHLLTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSIAERVDFFSVSPLASSLIHWVVGIIYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDISVSDPFTEIPADMLLFQICIPFALEHFKLRKKIKSLLRYWFTAVGWALNLTEFLLPPPEDNSGQEHGNGQPLRQDRQQAQIGGQDRAFGGIVAHDDLNSNRHLPANATSAEEFDGDEQSDSEYSFVFRIVLLLVVAWMTLLVFNSALVVIPISLGRLLFNAVPFLPITHGIKCNDLYAFVIGSYVIWTVVAGARYSIEYIKTRRAAVLLKHIWKWCGIVLKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDHVMPLVDDNWRIKFERVRENGFSRLQGFWVLREIVYPIIMKLLTALCVPYVLARGVFPVFGYPLVVNSAVYRFAWLGCLGFSLVCFCTKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDKEVRRNDPDVSSEIQDANIQDPTMVLHEGDEADVGMRQRRAIRQDT >KZM89873 pep chromosome:ASM162521v1:6:6591226:6594581:-1 gene:DCAR_022764 transcript:KZM89873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFARNPNTKSGDYLEGMLNDYVGGKTKVRAQKSGSARLVTLLTCFQFAFAVYATFLLYYMSPSVDLRTKPDFSWATRFAQKWKNHFIIQPYVVSRYQDSSSSLVQSEVYSPLIPAQVCENEKIDFVQKKSSDAVMIKLKTGLYEQVLDFQSRTHGTETLNELMGMKSKWDLKGPNIPKVTVILNHFKRKTLCAQLESLLHQTLPFHHVWVLSFGSPNELSLRRIVESYNDSRISFISSSYDFKYYGRFQMALQTEGDLVYILDDDMIPGTKMLQILSHVAGTDKYRNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSADLIKTLFIEKPMTFMTGEDLHLSYQLQKYRNAGSFVLPVDPKDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSTGYITQWAAMYPQKIDALFYAHTVEEVKVLAPLLEKFRSTVGKKAYIAISGGNFCPCAEAASALGWPQVVCRERRFKIFDLEVGALSGISNSEVPVVQAVYASMKGLIKMHNPSVVITVNDIDPNVMKALKMATETNTNGSILVLLPRSSVSKVLWMADLRATALPNWNRMRISVNIITQNRVQSLTRLLNSLSNAYYLGDEVPISFNMDSKVDEATLKHVKSFNWTHGPKTIRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPYYYLWIKYALLAYHYDPQISLPELSSISLYTPRLVEVVKERPKWNGTEFFKNIHPNTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVTLYPNFPNQASFSTNHMEPGAHISAKDNVVKHDKSDFEVPLLKQDFKNLLPNGKMPPASKLPSLNLFNQAVSLKGLKAAGAKLRQDVIGCNATEIVHVDHDTGLPSHCAKF >KZM89421 pep chromosome:ASM162521v1:6:628730:631822:-1 gene:DCAR_023216 transcript:KZM89421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLHTFIKYFFVFAAGLFIGITINFSIKDFPINSQVREFSLNISPPSSPSRKFIADDIKIVAENDVGGSIESPDEAVRVEPNGAENNAGPEVNANPGGTESTASLVSDENGNGEEKNTGLESDQNPNGTENTTSLVSDQNTNGEEKNTGLESEQNPSGAENTTGLVSDQNTNGEESNNTGLQSEQNPNGTENLDGEPNGAENNREVASDQNPNGTENNTGEASDQNPNEAENNIEVASDQNPNEAENNTEAASDQNPNETENNTEAASDQNSNGTENNTGVVSGQNPNGEEDNTGLASDQNPNGTENNSGLASDQNPNGEENSGLASDQNLNGTENNAGLASNQNPNSTENDAGEASDQNPNPIEEIRDTSIENKTTDHALILRGIADMHNISDPALISRALTVDHENDQKPGVPKVAFMFLTRGNLHLLPLWDLFFKGYEGFYTIYVHTQPTFNGTFPESSPFHGRRIPSKASPNSLMKVEWGKFSMIEAEKRLLANALLDISNQRFVLLSESCIPLFNFTTVYNYLVYSTKNFVEAYDQPGPVGQGRYNHRMRPDVTLEQWRKGSQWFQMNRELAVEIVSDHKYMALFKRFCKPACYSDEHYIPTFVSMFYWENNSNRTLTWVDWAMGGPHPTRFGRYEVTPDLLKMMRHSDKKCIYNGKKTNICYMFARKFLPSAVDRLLRIAPKIMKF >KZM92348 pep chromosome:ASM162521v1:6:32720383:32725494:1 gene:DCAR_020287 transcript:KZM92348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFNKFQKLQNLHTDKTEWTIRARAQSIWEGINRTTNEFKGLNVMLIDDSSTRIHAFLNAKISDFFKEDLKEGNIYRISNFHVKKYEGPEKNRVVRNEKHIYFDNYTKLVAEKTNATFFPTYAFDLYDLEDASRFVTDERFLIDVVGVITNKNVERVYSKDDNTRSHIRFVITDGSCELRVTFFNELAEQLEKQLKHTAEEQVTIIIASAKVNQHEGLTCLNNYPATRFYLNPDHYSVKILKTRLAAFPLNTTSITVEEEYIEEVIDDKIYTISEIKKFTAQCIQKKYKCQVSVKKVEEKTNWYDNVCTSCDEEVNIVEGRYKCDNCKRNIPFPDKRFRLATVCNDSTGYLGIVFPDEEIQRITGKNVFDIENDSTQVGDSISFPPLLKAFEKKEFIVTLIIGETNVHNSCNVYLAHAIDEPPEMLGDHVPGEVVPANSKQDSISMNLEETLNRASDSPATEKSTNKQRPRKKTETVPFETEENVKKRKTVKKDIRVRIHAFVPGTEADELAKLLEVGKVYLIENFTVSDYTSDDKFRCVRKEIQIVFDNQTKITPLEEKAVNIEKHVFDFFDLSDLKSLVNQQTYLADVIGVMEKPKPLAKIKNRHGILQDQIKFRIADGSTIVKVTFWDEFAVRFSAALKHNFQCPIIIIIGSARITEWSNEPTIANASPTSFYLNCDHRNVAEFRKRLSSESFPDMNLDYSTNATLDVYKVQSIKEFKEDQILKEVLCQVKIRKIQNISSWFVNVCTSCYKETQLLENSYQCKFCDRKCSYPDKKFQVCIFASDDTGAIDIMLEDREVRTVIGKSVFNIIDEGQSKENLPVILKSMENKDYTIKLLIKKENITEDYPIYSAEDIMEGFKIETDSDDESTPHPIEQMQTQPSASSYHLDSLSGISYTSKKREK >KZM91018 pep chromosome:ASM162521v1:6:21465840:21469945:1 gene:DCAR_021617 transcript:KZM91018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPQNQSNEWEVMAKAWLSTLPEDKTISPDEIQAWLQSNQAHLPDHIKSMAPPQLHQRLASIHSAILQPRQENDVNYDHRFQRTDQWLPVYTWLESIKDTEEVVTSKDVLDWLSANPAVRDDLQSKHSRGHLMHYIKQCHLKILKRRKGLPITSKAKTDIFSKGEGRKLAVPLPCNMMNKLPTDSDLYIAKRSEALHKYEMYVNINILCRITFNTIHLVI >KZM91254 pep chromosome:ASM162521v1:6:23574832:23575957:-1 gene:DCAR_021381 transcript:KZM91254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSEALNGGGVLFVNKHPKLRIRVVHGNTLTAAVILKEIPQDVTEAFVVGATSKLGRAIAIYLARRMVRVLMLTQSTERFTIIQKEAPVDCQNFLVQVTEYQAAKHCKTWIIGKWTTPQEQLWAPPGTHFHQFVVPNISPSRRDCTYGSLAAMKLPDNVEGLGMCEYTLERGAVHACHAGGVVHLLEGWTHHEVGAVDVDQIDVVWEAALRHGLKPV >KZM90402 pep chromosome:ASM162521v1:6:15479249:15479482:-1 gene:DCAR_022233 transcript:KZM90402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEILGYLNDPVSTKTTIDEEGWLHTGDIGFINEDDELFIVNRLKEIIKYKGFQVALAEISALLLTHPTISDAAVVP >KZM90662 pep chromosome:ASM162521v1:6:17890400:17890681:-1 gene:DCAR_021973 transcript:KZM90662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSTFMVTIITVLFLVSGLTTLNGERCNFGVIIPCVPSFDDPKVQPSPTCCNGIKVQSQSCLCELIAMHRRLGDIFAVAAVILKCTGQSPQC >KZM90419 pep chromosome:ASM162521v1:6:15762245:15762605:1 gene:DCAR_022216 transcript:KZM90419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM90973 pep chromosome:ASM162521v1:6:20919978:20921510:1 gene:DCAR_021662 transcript:KZM90973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINGFNSDRSALREIIYVSAVALRSTIHYAHQLFVRITEPDQYMWNTMMRGSAQSPNPFLAVSLYTQMEKEGVRADNYTFPFVLKACAKLCWVNVGCGVHGKIVKHGALDVGEKIHCSILDRCKGDMSIVTGNALIDMYAKCGNIEKATSVFRGMKDKLVSTWNSVIVGLAFHGHSEESIGFFKEMLRLNWRPNEITFIGVLIACSHAGKVGDGRRFFKLMQGVYNIEPNIKHYGCMVDMLGRAGLLDEAFGFIDSMDIEPNGIIWRTLLGACRVHNNVELAKRANDRLLKLRQDESGDYVLLSNVYASRGEWDGAERVRKLMDDSGVWKAPAFSSTDGDNRALMHFLLDSESHKDSQKHTL >KZM91309 pep chromosome:ASM162521v1:6:24003044:24013903:1 gene:DCAR_021326 transcript:KZM91309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKKKAAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSTPMLRNGETGDWIGTFEGHKGAVWSCCLDSNALRAASASADFSAKIWDALTGDVLHSFEHKHIVRACAFSEDKHFLLTGGFEKILRIFDLNRPDAPPKEVNSSPGSVRTVAWLHSDQTILSSCSDSGGVRLWDVRSDKIVRTLETKSSVTSTEVSQDGRYITTADGSTVKFWDANHFGLVKSYDMPFSMESASLEPKLGNKFIAGGEDMWVHIYDFHTGKEIGCNKGHHGPVHCVRFAPGGESYASGSEDGTVRIWQMAPSDIEPNEEVKVSADEVITKLDDTHITEGKTREKA >KZM91971 pep chromosome:ASM162521v1:6:29484994:29485860:-1 gene:DCAR_020664 transcript:KZM91971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFVPGLQGDIPSNSRKGVPYVSGKDKVAACAKHYVGDGGTSKGLNGNNTIISRHELLSIHMAAYYNAIIKGVATVMVSYSSWNGVKMHANRNLITEFLKNTLKFRGFVISDYAGIDQITTPSHANYTYSIVAGINAGIDM >KZM89742 pep chromosome:ASM162521v1:6:4122058:4123479:-1 gene:DCAR_022895 transcript:KZM89742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSNLLTKQKSDKLPPTYPLIGSLPSILANQDRVIQWTSDILLDSPSSTFVLHRPFGEQSVLTSNPANVKHILETSFSVYQKGDTFRNTLSDLFGQGTFLADGEEWKSQRQVLSREFDNQEFVKFYETVVSSKIINGLIPVLSYASANKLELDFQDVLERFGMDSICKVACGYDENSLVPSLPPSVLGQAFHDALRISNKRFNELVPLIWKTKRFLNIGSEKELRSKLDIIQNFLKESIEKKKDEVGKNNNSQDFLSLLLISGISDEDFLGDMVMNFILAGLESVSAALTWYFWLISKNPESEAKILDELNKDSSNMLTYTHASIYESLRIYPSVPVNSRTAVQDDVMPDGTRVKKGSRVSYHNYAMGRSEKLWGSDWAEFKPERFLKRDESDGTMVVVRRDEFEFPEFHAGPRTCMGREMATWQMKRVVAAVLRRFKVVAVMDQPGFEPKFISYFNSKMEGGFPVRIVERV >KZM92604 pep chromosome:ASM162521v1:6:34767115:34771523:1 gene:DCAR_020031 transcript:KZM92604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASDQATTLCSHCDRDIPSSNIDLHYAHCSRNLEKCKVCSDMVPKKYAEEHFLSTHAPVSCSLCSRSMDREVLAVHKGENCPQRIVTCDYCEFPLPAVDLFEHQEVCGNRTELCNLCNKYIRLRERSNHESICNGVQNIPPEPFRSTGETERGRRPQRRQPAGFSTKSLIFTIAITGLAVVLGSLLFQSKHENSPMH >KZM89522 pep chromosome:ASM162521v1:6:1585488:1594295:-1 gene:DCAR_023115 transcript:KZM89522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVALLSSTSSSVSTSSEVYYSYHLATLRLMCNNSDFSVDGYSNRRDSQEVGSSGSVQDEYNHSSVDSLRETSLPPPGNGLLPSNMVEQNSLNGSANFVDGRTGKDDEFMQKANNLPIYEERENNHFNKGEMDLQYWLPPETEDQENDMDCSLANFDDDDDEGDDGTKWGMPSSLGSFGEESSGSFSYKEEKQIAMDKVRNNKFKAVVHHLLKNAGVASSGKDGDNWVDIVTSLSWEAASFVKPDIGEGKAMDPDGYVKVKCVATGSRSESKLVRGMVFKKHAAHKHMPTNYKKPRLLLIQGALDLSLSGLSSFESMNQGKETLKSIMDALDMCNPNVILVEKTVSRDIQESILAKGMTLVIDMKLHRLQRVARCTGSSILSSDTLTGKKLGQCDSFHFAKFVEEHATAGESGKKPSKTLMFLDGCPTRLGCTILLKGTNSEELKKIKLVVQYAVLVAYHLILETAFLLDQRAMYSTLPLDGLVNLLDANQTPCISPREADVAMPEDSVAESDSSGMIDIHISNGFHNKMPSDVGLESDTMFPYEPYNPLVFSGFSSISASLRRAFGNNVPLLSSQQSFSTHTGINGEVTNSQIQSTNELSTHSVANGHSDILTKDDFGEEKALDNDGISVHNEPQLETQSSVGENEDQSQFKDGSSKMLNADSILILMSRRNSSTGSICEQSHFSHIKFYRNFDVPLGIFLRDNLLNQRLLCSLCGGSPEAHIYYYAHHNKQLTIQVKHFPMEKHLPGETDGKIWMWSRCGNCKPQSGNTQSTKRVLISADARGLSFGKFLELSLSNHLSSCSFSICGHPLHTDCLYFFGLGPMIAMLRYSPVTTYTVSLPPEKLKFDSQISDERLRKAFDSISNLYEKGLEVFLEIEKSLKDVGSRFIGSKLKIQGSLKEFSDIAEMLKQERRQFEEDLLNASKNWDSGDPIYKVVSLNRVQWDMLVASCVWDRRLHSLLSSEITTAAPTCHKQMQDQSNVEEDGYVGEHLDNAVECETNGTNLDKFEDTAVQTTDVIEVPIEGDTQGSSGQYSLLTTYLDEEGMHKLSGGMSNSDGSNNQYLSNPDPSSDSQSADAQNIALTAYDLPAEANDSNLSERKFSVLKVSSAENSEWIWSPFQDIRNEYLKDLQKGYSMKFEPVNTYPQGSRIQNVINDEGSRLHIPLGIDNSIVSDHEDELSSIVACALALLKDPSVLSINVMDYSLLVGVDTQNGELVCGIIDYVRQYTWDKQLENWVKSSLVPRNQLPTVISPKEYKKRFRKFITTHFVSVPDHWCSQILSNSGEEDDSFHGGRSGYFTWTLKRNDHFVMSRNT >KZM90194 pep chromosome:ASM162521v1:6:12355428:12366499:-1 gene:DCAR_022441 transcript:KZM90194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKNGLCDGSVEESGLGFNDSMHIGVEKMGDEGGKVGGKKRVMETRVGVDSNDEEPIGAMMAKKKNKCNPKKVNEGFVGEGIEEKESGVEDLGGMGDTLAIYKKKLKRSRKSSVSVGIGDKDLHIIGHEGCGQESSAEKVKEEGVVVEEGKTVVVEGTCGTVGGLNECLDVNVEDSLSAFFLKAQSVSVRKSRTSMKSKQLGKIYSLSDGLSAGSGRVLEDQMPETSISQSPLEHMTEIVGFNSSSNLESENTMDLKRHRNNIRKAQHTGGTISPESNPELSSRPLISDSVLRSSDDRRSGNQVKLEDSVTISFSQQSDLYLRSCPNIVSTLPNGELVKPICDIHERTKLETLQLNQLIAKNAKGSHCPEVKENLCLSSRGPTFGNGILEDDVKISPVKKACDLGNVVVEIPMSVSAPEQVHTINLFAVETSTRGFTDSLGVKEFKAVVNSEAYNMDENVFSKPEHDLKGSYSGNASLHNSYEDSCNETTKLISGKHLGSFECLSEKKLTSHETNLLMSKHDEAKEEKALSPSSLGVEKQEIDFQENPSWNCKQNGDKSDGLTEHALINKSDTSVPRCTSSFHLTHSSDNAFKEPSALSCDFSGDEVADGSSSPSTAPDCNKNHTDDTGLVPSPKDKDNKLSAQRAMRNTRKRRQLDMAYEGDADWNFLVHDGNFNADNQDDDNSLVRRKEKSKPSTMFLEAKNGCNAAVSYGLKARAASPIEKIRFKEVLKRKGGLNMYLECRNHILGLWNKDVSSILPLSSCGISATPMVDESPDASLIREIYEFLDHFGYINIGIASKKRVSESSLVYNLHASTKKTLGETPGAALADSDEGVSFIVGKSRNPDSSLEEKNLALHDCENVVAMDKQDRPVLDSHVLESFILTKPEKSDSNQGQGLLDDMESVTLGSLDNLNFVSPCKVADAGTAPVIPSEPMRKINRTLYDTVDNVGENPCGEHHSEVAKQIIIIGAGPAGLAAARHLQRQGFQVTVLEARGRIGGRVFTDRTSLSVPVDLGASIITGVEADVAAERRPDPSSIICSQMGLELTVLKSDCPLYDTVTGHKVPADLDEDLESEFNNLLDDMELLVAQKGTHAMKMSLEDGLEFALERRRLTKYKRNGGRSILHKLADVIDEEVLRNSNSEGEVLSPLERRVMDWHFAHLEYGCAASLKDVSLPCWNQDDVYGGFGGAHCMIKGGYSTVVEALGEGLCIHLNHVVTSVTYRTGDAMIDEDQHHNVKVSTSNGRDFFGDAVLITVPLGCLKKESIKFAPPLPHWKNLSIQRLGFGVLNKVVLEFPEVFWDDSVDYFGATAEETDKRGHCFMFWNVRKTVGAPILIALVVGRAALDVQDKSSSEHVNHALAVLRKLFGVAVVPDPVASVVTDWGRDPYSYGAYSYVAIGASGEDYDILGSPVENCVFFAGEATCKEHPDTVGGAMMSGIREAVRIMNMLTTGIDYMTEVNSMEAEQRCMDSERSEVNDIIKRLEAVQMSNALYEKSLDGSHVITRKDLLQDMFSKAKTTSGRLHLIKELLHFPVRVLKSFAGTKDGLSILNKWILDSLGKDATQLLRHCVRLLTLVSTDLLAVRVSGIGKTVKEKVCLHTSRDIRAVASQLVNVWIEVFRKQKASNGGLKLMRQSNAMESSKSKYSLGSGKPPAPHGAQPSHNKKGINPTKMLDEIQYVPSEEEKAILAAEEAARAAAIAAAKAYALSGTRGSTSLQLPKIPSFNKYARREHYPQLEEPDNHTLEIDSRNCKVREWTADFSSSHVNLGNSKRSVENLSQRSSLHEVACQVNFSEHSADSAAVDSSIFTKAWVDSAGSEGIKDGSAIERWQSQAAAADSEFYSRTMRMMDAEETKINSRPSIMKHEVHASESSTFQVTTGKEMVENRSKGPEKIKQAVVDYVASLLMPLYKAKKFDKDGYKSIMKKATTKVMDQATDAEKSMNVFDFLNSKRKHKATVSDCMIADLTSDMTAGRKQKQRRQRLFIGLSGVVAPNAAQIADRSGMG >KZM91501 pep chromosome:ASM162521v1:6:25654102:25654354:1 gene:DCAR_021134 transcript:KZM91501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFRTSSGSAKLNLPRIKKKALNSWTAIQDTYFSTKDTFERHKVVFTVSTSVATA >KZM91826 pep chromosome:ASM162521v1:6:28119614:28120540:1 gene:DCAR_020809 transcript:KZM91826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQKMRKGPWFEEEDQRLTAIVRLLGERRWDALANASGLGRSGKSCRLRWMNYLRPNLKHGRISEDEEKLILQLHQQWGNKWSRIARKLPGRTDNEIKNYWRSRLRREYQVQGQEEAEQQLTVSNCEGIAQSIGTRDCILEKDEISESSFESSDTLQLLDYAIMRSPYENRVSEWFAEWSSDENIDGVKHNLDYCSADSCYCSSLLWNMD >KZM90366 pep chromosome:ASM162521v1:6:14640338:14641045:1 gene:DCAR_022269 transcript:KZM90366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYMWLPPDPNTLKINVHCITTAEPLPNGNSNSVGVIVRDPTGKEIWSAAGPMPGKSKLHATLWGIYHGALQCHQLEEWKTHIETDHWGAFEAIRFQEEMPPQENVQEVLRLFNTLHSNNFKIGTTVREITRIPIVQNGTAAYLARYGLDNMSLFAETPGSFGDKQFMLARDMGLLFDAHPPANFGMGEIIDGDLLQVETNDLNNDSSNADHEASAPLMWRLLSLPARMWKALVK >KZM92743 pep chromosome:ASM162521v1:6:35958587:35960750:-1 gene:DCAR_019892 transcript:KZM92743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFEHQLACFKSCHCIREFSGCLRAGIRPFNYGYVQFPSYSVTRNLSLNRPSLVTFLDRASGCNGQIRSVSLKDSEYGNEETQPIQEVTREKNFWGAVSLIIGTAVGPGMLGLPAATIKSGPVPSTIAIILSWIYVISSILLVAELSFAVMEEDNVAEVSFTALATKTLGSQIGSFVALVYASLSFSLLVACVSGIGSIFSQLMPRLNPVIAHALFPSAAGAVICFLPFEATDTANRLLCFIMLFSITSLVAIGLSVGRSSLLGSLMHASWSVSSILPVIPVTVLTLGFHVITPFICKIAGNTVHEARKAILFGGCVPLVMVLSWNLIVLGLTGPSHASISKDPISLLLSINPSALTAVQGFAFSALATSYIGYAVSFPKQVTDTIELIFLGPNRNHEENSQSHKRSIKNGDGKVGFATYSHMCSEDVGRISYSDTLLNAKPQHDLSFLQSIVVPLVLGCPILVASFFRSTFSAALDFAGIYANCFLFGILPPVMSYIYKSRKNHRSSSILPGGNITLLALAGIAIVLGYAVTFPRQTDIAELVFLGSNPREGNSQSVESSLF >KZM90185 pep chromosome:ASM162521v1:6:12220778:12221595:-1 gene:DCAR_022450 transcript:KZM90185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNIDLNIPANTDYGLTMANETGETSGELESNSFHELDFNEISFENANNLPEEEEILSHYNEGFTTDSDNIFDHHESNFKLPF >KZM91858 pep chromosome:ASM162521v1:6:28410115:28420660:1 gene:DCAR_020777 transcript:KZM91858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRRSFDRSREIGLKRPRLEEQVVSRNSNGRGFAQSQPESRVRDVEGSGNDTSIQELASQYRTALAELTFNSKPIITNLTIIAGESLHAAKAIASIICSNILEVPTEQKLPSLYLLDSIVKNIGRDYIKYFAPRLPEVFCKAYRQVDPAVHPGMRHLFGTWRNVFPPQFLQLIEKELGFPPAVSSSASGTAKPGSQALRPAQSIHVNPKYLEARQNQQSSKVRGTITDINGSHGNSPDEMEKPHRGTSVNAARQRADPRLKMHNIQHPPKDAGTGSFQQNSLEFGAYDINSELARHSASGIKKTSDRATEQVLDRAWYGSGSSIADTTSSRMNSSDVKGGRTSYLTSESANRDLNLQNAQNLVSGRSSIGIDSWKNSEEEEYTWDDVNSRPTSYGVNRKSKRDPRLMDESERLDAQSTISKPQTLHGIGSSVDKEASSATLLSEQRNQIAFGTRAPSTGQRGALGLGYPGSSQPSSGYSAGYPASLSGLSTSSNSMARTSFPVPGSSGSVVQQRYTGEAASPSGQSPLHQHPSSPYISKHQSSEEPLQTQAPPHADVELHRRFPQNSPIPAKTITQNIHRGSTQNLQSPNLQGSSYISSTQQRHHIPVVQKPLSDPIKYDPSAPSNKALAPQVSTVENPLMVKSSSVPPSHLAPQIPGQLSASHLLTAVMNSGILGKNSVIGDIPKTSSQNAIALSSQTSSRPPQPSGVSPTKSEVKVALATNAVPLSHESTFITSTQREKERPPLPHGPPPSSTVSSALEQTATNPMSNLLNTLMAKGLISASKTESTAPPQIIADPCNQIPGIVTTMVSSTLTVSSAIPPSSTCDKLSESKPTTKNPVSLDASSFNDFKNHIGFEFKPEVIRVCHPSVIDELLHDLPHQCSICGLQVKLKESLDRHMEWHTLKKAESGCRRWYISSLEWIQEKVSADEDVKGVSDDELENDGLLMVPADENQCVCVLCGELFEDIYNKNMDQWMFKEAVYLTLSAQTVVTSLTGEKGPIVHAKGPIVHANCISESSLRDLDLANDVKVMKNLTRCYSEHAIKVSDSYCSGQSRQAILSPKMNKTSSTKDTVTCTYKVQCPGQIQIFVAVTWFRVKDQGFTISIIDDPSSPFKFNAKHLQFGTSRGNKFFGSSDTNIEIMWDLSSARYDTGPDPVSGFYVAVLLNSELILLLGDKEDLEVNKIIYKISFKPKFRLFSRSERLSGSSAYSTKARFFDKGRSHDIVIRCIAEDRMQRELVLSVFIDERNVIEIKRLHWNFRGNETVYIDGCLVDMMWDVHDWLFNPKSGDAHFMFRPRAGLDCRLWLAEDKLERNEQENCGVSWLICAAKNPD >KZM89801 pep chromosome:ASM162521v1:6:5119155:5119996:-1 gene:DCAR_022836 transcript:KZM89801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMATGSRSGNQNKPVEGIQNWWFHGMPVKKHGDNPKEDESLNDKSNLETSSNRPCQEFAEESTKTTFERQLMECTEAAEEIEVGRGRKRGRPRKYNRVYSFMGPKKKQGLSNTNRGVTGNATPIKRPASSKEKRKILRGKRMAELEAETNPDFNPHNESTDLALKVLEAGELMGLVPLEDREVVLAKIRDHLSD >KZM92461 pep chromosome:ASM162521v1:6:33591497:33592874:-1 gene:DCAR_020174 transcript:KZM92461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFGALLLLSLIYVSSCHESVDSRFDSGSLPRPLLVESRKKVKISEDVALHCNSWRFAVEANNLSPWKTIPRECRDYVDDYMNGKAYKSDLERVSKEAILYARTVELKGDGKDIWVFDVDETLLSNLPYYARHGYGLEIFDDVAFDKWVDKGTAKAIKPSLKLYEELLKLGFKVFLLTGRTERRRDITVENLTKVGFHDWEKLILRGAEDKGKPATQFKSEKRGQMVKQGYRIHGSSGDQWSDLLGSPMSVRSFKLPNPMYYIA >KZM92529 pep chromosome:ASM162521v1:6:34124246:34124758:-1 gene:DCAR_020106 transcript:KZM92529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPPASTSDHRHHAAGENQKPNPILHSLLIKPIIFLFVLSLFLFLGLAALSLLFLLLAGSSLHLFHRRRTTAASSSITPSEIEENLPGFRSDPRSDPVKECAVCLEWFKKGENCRRLVGCNHVFHSKCVDSWLIKVLNCPICRGPVKFDSVGSGSFENDDYKMWWPVGV >KZM92700 pep chromosome:ASM162521v1:6:35549873:35551072:1 gene:DCAR_019935 transcript:KZM92700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLLISCAEHISRCDFYGAHRLINILSANSSPCGDSTERLVHQFSKALSLRLHSFADTSTITSGSTSNLVIPSLLTPKISPALPSSAGSGSGSSTQQVFDDESVVQSAYLSLNQITPFIRFTHLTANQAILESVEGHHAIHILDFNIMHGVQWPPLMQAMAEKFPPPMLRITGTGDNLTILRRTGDRLAKFAHTLGLRFQFHPVLLLENEESSISSFFASFAAYLQPDETLAVNCVLYLHRLSRERLSLFLHQIKALNPRVLTLAEREANHNLPIFLQRFVEALDHYTALFDSLEATLPPNSRQRIEVEQIWFGREIADIIASEGETRRERHERFRAWELMLRGSGFHNLALSPFALSQAKLLLRLHYPSEGYKLHILNDSFFLGWQNQHLFSVSSWH >KZM92211 pep chromosome:ASM162521v1:6:31549247:31549759:-1 gene:DCAR_020424 transcript:KZM92211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDNTETNPTQTLIFTYGTLKRGFPNHNLLLEMLSSGDADFIGVYTTADKLPLVCGPFKVPFLLNLPGSGHHVAGELYSVSDKALVRIDELEGLATGHYERMPVRLNGVSAAQAYYAHRNYAMDLWKRNGEKGFDCYTEVQAKGYVRRGDRPRGVSFLDHIRNFVSSSN >KZM91722 pep chromosome:ASM162521v1:6:27367893:27369621:-1 gene:DCAR_020913 transcript:KZM91722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVQSFLDHQDTLSEYMINALEDCRLLESSNIDHLSKTLESISLTDSLASSEDEDDLLTLLSASITNQQTCLDCLESISSPSNPISSLHAPLFNGTMLYSVSLAIFRHGWVSATRQRRILIERKLGRRPTSPGLVLYPGGDVVKVSQTVVVNSSGGGDFRTISEAVAAAPNNTDGSNGFFVIRAVAGIYNEYVNVTSSKKYLMMIGDGINNTIITGNRSVANNISTFDTATLTVTGQGFVGVNLTIRNTAGAANHQAVAVRSNADLSAFYRCSFEGYQDTLYPHSFRQFYRECDIYGTVDFIFGNAAVVLQNCNIFPRLPLQGQFNAVTAQGRTDINQNTGTSVQNCTITPAENLGSTRTYLGRPWKEYSRAVYMESFMDSLIHPAGWSVWSGDFALNTSY >KZM89697 pep chromosome:ASM162521v1:6:3469046:3470689:-1 gene:DCAR_022940 transcript:KZM89697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIWSKMKRLLKFSGIRGKQSKSKLAGKLNVNEEYKEAFRTQSYADICKPRPQKLDDIIKGRDLHPLVIDYFNVTTESCHLCELLLRSIHQARANHDIITRVIKLNKRAREDHDRYCKAIIRELASFALKKNPLSSLSVLQFRDNHDSRNILLQRMMSQCQKITTRIKIRKLFKKIVGCSIVVVYTTATIALFVVAFHATVGIVATPALLKFCLGFSTMNKRIKARSKEKTKFSFRRYSRERIRAQLDVAARGVFILINDFNTMSQLVRRLNDEIEHRKAIANMCVRNGKIEVLKEVVREFRIEEKCFLEQLEELEDHIYLCFLTINRSRRLVVEELMRGATTDS >KZM89412 pep chromosome:ASM162521v1:6:553686:554612:-1 gene:DCAR_023225 transcript:KZM89412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNFFGSLCNSCSPGNHKKTLFIVGESGNNDYTSALFQGKSVEEIKHKLMPQVVQSIMHAVTNVISAGAVQIIVPGQFPLGCFPIYLTVFPSNSTTAYDKHKCLTALNDLAEAHNNYLQQAISTLKTQNPNTKIVYGDYYNAFQWLLNNAPHLGLDAESTLKACCGIGGRYNLNSTQRCGSPSVPVCPDPDRHISWDGVHLTQKANRLIATWLVADFLPMIDCKK >KZM91245 pep chromosome:ASM162521v1:6:23517871:23520747:-1 gene:DCAR_021390 transcript:KZM91245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVKAKTWMRGRVKGVVSGDTLVIMRITSTPFEIAPEKTITLSSLMAPRLARQDRPDEPFAWQSREFLRDLCIGKEVVFQVDYVSKGREFGTVCLDGQFVAYLVVAEGWAKVWEVREQERYKGEFSPHLNVLLQYQEQAKRKCVGRWNKAPGASRHAVRNLPPSAIGDPKNLDTKALLVKYKGKSLEAFVEYVRDGSTIRVYLLPGYQFVQVFVAGIQVSIVLEGFDEYSNLIGSVYYEEGEQPKDLAEQLLKNGLAKFVKWSASMLPDDDKKMLKSLELEAKRSRLRMWTSYIHPPSNFIAIQDQNFTGKIWEKEVSNGRAIKKREKEEIQVVVTEVLSGGKFYVQATGTQEVASIQQELASLKLQEAHVIDGSFNPKKGDLVLARFSRDNSWYRAMIVNSPGGAIGSSEDKFEVFYIDYGNQEMVEYSQLRPLDSSISSSPGLAQLCSLAYVTVPRVEEDYGKEAALSLKYWGRKAELSINAVMLKEGFARLVKRWGPAERKQTIDKLEKYQREAKLKRLGMWE >KZM90087 pep chromosome:ASM162521v1:6:10663489:10663995:-1 gene:DCAR_022548 transcript:KZM90087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKKLSSTLVLALGFLMVHYALAQNSPQDYVDAHNAARAAVNVGPMQWDETVAEYARNYANQRSGDCALQHSNSNGKYGENIFEGGGGGSVFNAKDAVDSWVGEKQYYDYNSNSCAQGEQCGHYTQVVWRDSVRLGCARVQCRNNGWWFITCNYSPPGNYAGQRPY >KZM89395 pep chromosome:ASM162521v1:6:416086:418524:1 gene:DCAR_023242 transcript:KZM89395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVLFWPLGSESRIEWRQIGCGVFRVSAAAGGGSGGGYSGGFGDGSSGKGDGSSGGDGEKKWSLISWYLALLKKHPVLTKSVTSAYLNLVGDLVCQLLVDQVPSLDVKRGTSFHISGTGVGWSYPSLLLWIPFQFLNFLFVPQQFQVLAANSLALMWNVILSYIYSSQRSDRIIGMSLHLRTSAEIKFN >KZM89759 pep chromosome:ASM162521v1:6:4382166:4385498:1 gene:DCAR_022878 transcript:KZM89759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIYLRSLSKACNYTYNSTVSIPLLKHLSTVPARFDPNYQNPSNCDGVNEQQSSGFYAESEYDAREKFKGNFVNQAVHQTAGGQYFQDRRNENLNGKIGSYGQENGGSEFRNDSGRFSREGRVNEFRGSGYYGQRSGEFSQQPSGFNGSQSSLNGVPGAGVVQQRQNQFYGVGSSEGNASYGQHAMHSQWSSANHSVGNVNNVGNTQQGTNNFNGNNCYGNVVGSHQQSQNSYPGNYAENFNGNLMRNVGGYQPTPSVEYRTNAGPLNIPNEYQNDIGGFQVAGSSTSGGGMVDASEKHTVEEIDGLCGEGKLKEAIELLIQLEQLGNAIDKDQYFRLMKVCGEAQALEEAKHVHDLFNKRNFPYEVRNFNNILEMYCICGSMDDAYDVFTKMPQRNLTSWDTMILWFAKNGRGEEAIDLFTRFKELGLQPDGEIFFSVFTACSFLGDITEGLLHFKSMSKQYGIVPLMQHYVAVVDMLGKTGYLDEALEFVENMPVEPSVDVWETLMVSCRINGDMELGDRCAELVELLDPSRLTEQAKAGLIPVKASDIAKEKEKKKLISRNILDVRSRVHEYRAGDTSHPDNDKIYALLRGLRQQMKEAGYVAETKFVLHDIDHEGKEDALXLISSPARQQIRIIKNLRVCGDCHNAMKIISKLVGRELIMRDAKRFHHFKDGHLATGTVKLDGRCYTSATKIPVPDLSCRLYTLHSS >KZM89519 pep chromosome:ASM162521v1:6:1520946:1522070:-1 gene:DCAR_023118 transcript:KZM89519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSSRGKNVRAKQEEAETNDIKSSSTTITSSSRQWAGFKNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPSAIQLYDLQDRLGLNQPSKVVDWLLDVTKDDIDKLPPLQMPPGDFTQFYQQTLAPHHELINSIPPSSLSPFFSTNSEFVKDGGNQVFGKEELRINDSNEDGQSNTTRKSKYWPNLDTDSRAKNKEIEGENINKWNVPANMQETEGGHNSVSYNPQLSAQNFLPLVNQFPFPSLISNTMAAYNWEPPSLSLSQFGTQVFPSQVHSQGSTPSGSLPPVAHPTPSQLIFYPPPTPLFSPQYPSFTSTSSMENDPRHANHFPFLSSGTQNIAPSSVVSPFRFINPFNINTKENSQNNDDTAK >KZM89511 pep chromosome:ASM162521v1:6:1410731:1411726:1 gene:DCAR_023126 transcript:KZM89511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNSVGRNSGSRRNRSTSSPLGSCCPNGLKDINKKEQITASEKIEWGDAVCSVCLEYPHNAVLLLCSSYHKGCRPYMCSTSCRYSNCLDQYKKAYTKVNLTERSFSMHGSIDESNLTVGLDWSDEKREISELLCPLCRGQVKGWTVVEPARKYLNKKKRACMEDKCSFVGSYKELRKHVKAEHPSARPREVDPSLAENWKKLENERDQNDVMSTIRSSMPGAIIRGDYVIEGNHHGYIDPSDVYFSLGSFSNDEDDDSDLEENLVREGYYSSFDDERFYTRSVASSGRINNSSRRVGRRPSRMLLGVSRRQRRRGPSDERVTYREREID >KZM90288 pep chromosome:ASM162521v1:6:13841095:13844109:-1 gene:DCAR_022347 transcript:KZM90288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGLIKYCTVYKAAIADDWEAARLIFEEERNVFLAFTYFNENNPYTPLHIAVATNCSHRFVQELVEWIMRVGDVQMLRFRDTAGHSALHYAAIVGNTQDARLLIDADPELAQMSSIKGWTALTLAAACRRKEAVNYLLQVTKDVINEEGTSPYRGELGADLLLYTIHSDFYDVALYLVKKYPDLVTERNTVSGQTALEILARKPNAFPSGRIPVDKETTLAAEPSEVITTQDGTHKTSYGAFAFFWRVSRYLGAISTAVKSGIYELIEQCCLHYPAIIGYQVEGLNLVMAAISERQEKVYNLVYQMSAYKVFTSSENTPLGNALHIAGKLAPLHRLNTVTGAALQMQRELQWFKEVEKFVLPTRRTQANFEHKTPRMVFTSEHKELLQEAKLWMKDTSSSATVVAALIVTIAFSAIFTAPGGNDNNGKPLFLNDGVFILFAISDAIALFSSSTSVMMLLAVLSSRFAEEDFLYSLPKRLTLGLISLFISIAAIMVSFSATLSLVLRDKIQWIAAPVVLLAVVPVTLFLLLQYPLLVELVRSTYGRGIFYKQNDLLLH >KZM90787 pep chromosome:ASM162521v1:6:19245003:19252534:1 gene:DCAR_021848 transcript:KZM90787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKLCTSLDSPSFITSSLPHYKLYNPIFKLQLLNPKPTCNTSSKSRTTRRKNGLLNLTVLCAPISSAPSSPDFDIVSTSEGSDGSFVFRFGDASEMERIRELEELRKLEELRELGGGSNEISYDLKVLDGNDEEEVVVKTIEREGLDVSEEHSDFIPTSSENLIQESDERSSQADILNASEETSTSALDSENACMTENSTQKNCHENSTSTCSLSNNSLTIEGNIPEPNIGDESVSDSVNVGITVTEVAQSDEGDIRKNIRTEDAGIDNSVRAVGILEHDVDSGNAHEGNNYNDSLSDSGNLPESFTEVPQSNDACKDDSQESLSSEAAGFEESPQAETIETPVMLNESSSISTLEPEITDVEVLIGDMARVSDSDAVEVSSGDLTDAGADMQLIRNHIIRRTTDMEDHIKILETKIEDQNGRFDEQNRKFEEMMRMMRDIEDLSRNLKDVNSHLAELSRDLDTGGIDSSRSSLGEEISTPELCLSSGAALLPHPSKALAGGEESYFVTNLNWLGVADGVGQWSLEGSNPGVYARELMEHCGKIVSNSSGISILKPVEVLHRIVAEAQSPGSSTILLAHFDGQILHVANIGDSGFIVIRNGTVHRCSSPMHHEFHFPLQIAKGHDPSELVEEYQIDLELGDIVVTATDGLLDNLYMEEIASTVAKSLDAKINAQEIAKLLARRAQEVGKSAFGNSPFADSARAAGYKGYTGGKLDDVAVIVSLVQKRLISNA >KZM92269 pep chromosome:ASM162521v1:6:31965280:31969363:1 gene:DCAR_020366 transcript:KZM92269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENAGFNVDNSLNRTESLVQTDLDQSKSNGNGAGALSLRSTDRSNGGFEAELKSSAKELSHKINGDGGPHQMNAGDDLRMNNHHDDDDGGEGFKKEMRDLQEMLSKLNPMAQEFVPPSFVNNYGPILHNSAPHFGFIADGNFLVHPNSPVAAGGNSARRKKIGNNNGKRRMNSRTSMAQREEVIKRTVYVSDIDHQVTEEQLAALFISCGQVVDCRICGDPNSVLRFAFVEFTDEEGARNALSLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFETVCGEVLRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVMGSLPIRISPSKTPVRQRISRPAAH >KZM90738 pep chromosome:ASM162521v1:6:18739782:18740939:1 gene:DCAR_021897 transcript:KZM90738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNTVRDAFDRVAKKQKLSSSKSREVIDQVGHEIEQTVMSMQSTQDSSTSVDQKSILVALKTKLNTISPQTQLEGSQKELNVNLSKCSKVLEKVFNPDISKAYRNVDFDLHILNQIIANHFYREGLFDTADCLIKEAGEPEAISLKCQFVEMHQIIEAVRSRNLEPAINWATANREKLTQVGCNLELKLHTLCFVEILQKKSRSEALNYARTYLAPFASVHMYEVQKLMGCLLWAGKLDKSPYSDITDVTHWEKLVDELIQHFCSFMGQSSSSALRVAIAAGVEGLPTLLKLANVMAVKKQEWQAMKQLPVPVDLGKEFQFHSIFVCPVSRDQSSDENPPMLLPCGHVLCNQSIVKMSRKGTRAFKCPYCPQEASVADCRQLYL >KZM92649 pep chromosome:ASM162521v1:6:35124846:35127799:-1 gene:DCAR_019986 transcript:KZM92649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSAPIRFGIIGCADIARKVSRAISLAPNASLAAVGSRSAEKAAQFAAANNFPASAKAYASYDAVLDDSEIDAVYLPLPTSLHVRWAVAAAEKKKHVLLEKPVAINALEFDKIVEACERNGVQFMDGTMWMHHPRASKIKEFLSDSESFGELKAVNSCFGFAVTPDFLENDIRTKPDLDGLGALGDVGWYCIRSILWAADFELPKFVTALPGTILNKAGVILTCNASLHWEDGKVATFRCSFLENLIMDVTFVGTKGTLHMHDFVIPYEENKASFTTAVMSGFNDLMTGWVPKPSEHTVMTDLPQECLMVREFSRLVADIRNKGAKPDKKWPTISRKTQLTLDAVKESVYNGCKKVEIVE >KZM91768 pep chromosome:ASM162521v1:6:27708622:27713811:-1 gene:DCAR_020867 transcript:KZM91768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRKRVNMSSSSSQTSRSSDKPARDLRSSKGNSSFKHQQRDGVNVQVVLRCRPLSDEEVKAKTPVAVSCDELKREVTVFQNMGAKQIDKTFVFDKVFDSNCRQKDLYDQIVAPMVNEALEGYNCTIFAYGQTGTGKTYTMQGQGRKDGKGGIHMDAGVIPRAVQHVFDILEAQKADYSIKVSFIELYNEEVTDLLAPAKGLKFSNDKNKKPVALMEYGNGAVFVKGIEEEVVYTADEIFNILEKGTAKMCTAETLVNKQSNRSHALFTVTIHIKECSSEGVELIKCGKLSLVDLAGSENILRSGAKEGRAREAGEINKSLLTLGRVINALVENSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSMHCLDETLCSLDYAHRAKNIKNRPEVNQKVVKSAMIKDLYTKIDRLKQELHVTREKNGIYIPHDCYHSEEAAKKAMAEKLRGMELSLEFKDKQLMELQELYIYQQQVTDSLKDNLEKVQRQLEESEQAFNGLEESCRQANDKVREKDYLIYNVLGSEKALTERALELRADLETATSEISRLFAELEKKNEMENGNKALIQNFHTQLAKQLKILHSAVEVSVKQQQDHFKDIERNTNFFVSEKDKATEELRLQLTKLKDIYGSGFKLLLNLAGELDGSSHSTLKNISSESSRQCSTFEDLITEVSSEANAVLNNLQSSLDSQEQSIASFSEQQHERHSKTYQTTQTVSRTILNFCKMLSTYISKVALIIEEEQKIEDQKLCALKKNYEEYADCEERQLLNMVAELLASSNARKKKLVQTAADDLQECSTIRTNKLQEEISNIQDCTYSAQEECTEYAKKFESQYLEDTTAVDIEKDKMDSGLLLCMKKAKMVSERWNQAYESLLSQQRSNVNSIDSIIKVSTESNQKLHAHLSTVALSTLEEANTANNNSLSYIEYLLGLDHKACQEIKSHTVPCQDDLRDMECAHSQKIVEISEISEKCLIEEYMAEDQSCSTKTNRPLTLPSIASIEDLRTPAFEELLNIFRGSDYSVKQPDRNIDKSTGTTEAAVTTAIKSIDSRYPLTATI >KZM92249 pep chromosome:ASM162521v1:6:31804603:31823556:-1 gene:DCAR_020386 transcript:KZM92249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPEGITIKIKAKVIEVEGPRGKLVRNFKHLNLDFQLITDETTGQKKLKVDAWFGSRKTTAAIRTALSHVNNLIVGVTKGYRYKMRFVYAHFPINASIGGAGNAIEIRNFLGEKKVRKVQMLEGVSITRSEKVKDEIVLDGNDIELVSRSAALINQFAPILYRQLSPMLSRQLSTSAPSRVPKLSSPSAPTTQLRSLAPSPLAAAAAQTVRHFRSSRDSMHMIKPPVNWGIQIVPEKRALVLERFGRYVKTLTPGFHFLIPFVDKIAYAHSLKEAAIQIPDQNAITKDNVSISIDGILYVKIVDPKLASYGAENPLYAVIQLAQTTMRSELGKITLDKSFEERDTLNDKIVLAINDAAKDWGLKCLRYEIRDISPPPGVKAAMEMQAEAERKKRALVLESEGERQAHINIADGKKSSVILASEAAKMDQVNRAQGESGNQLIKTNLLSLGSQM >KZM91280 pep chromosome:ASM162521v1:6:23771056:23771670:1 gene:DCAR_021355 transcript:KZM91280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSYSHKPNATVSPINAGALDSTPPPNATTTTFVQADPSNFRAVVQKLTGAPSDPSTPKLPVTLPSRFPAGKPTSGEIGPRKQPFKLQERRQSGKKLELQLNNNDNNTTRMSQGFSAFSPRQRMMMFSPVSPLDMLMLARGNGSSPRTPMSPIEQEERAIADKGFYLHPSPLTTPRGSEPELLPLFPLHSPRLANDSSSAS >KZM91120 pep chromosome:ASM162521v1:6:22363120:22375097:-1 gene:DCAR_021515 transcript:KZM91120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRFFYRKPPDRLLEISERVYVFDCCFSTNVLKEDEYKLYMGGIVAQLQDYYQDASFMVFNFREGETRSQVSDLLSQYDMTVMEYPREYEGCPLLSLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPKELIHLLSPVNPQPSQLRYLQYISQRSLGSGWPPSDTPLALDCIILRVLPLFGGGKGCRPVVRVYGQDPSSTTANRSSKLLFSTLKTAKRARFYSQEECVLVKLDFHRQVQGDVIIECIHLEDDLVSEELIFRAMFHTAFIRSNVLMLNRDEVDVLWDVKVQFPKDFKAEVLFSDVNAVSIFTRDVSGEDGNETEGASPEEFFEVEEIFSSIDTQVGKGDLGTHIAEDNNVSQRSKSSDDNDSIVWKEDLEPHAFLDCASDDGTPRQDGNRSFNDRTDNKVDEMYSAEFVPDMSITTETKTVTGDMLRSTETEIKLTDIRGTSDNKENEHERKDGPTKMLERQGSHQKISVRVAAGARSITDAMKNEQEGKANPVEMLERQISQRKLSADVPRHNYDSSNPTVTKKVSSLSSKQVADSGSTKQTIKQQDTQGSIPKQAKPNKISRWIPSNKGPYTNSMHVAYPPTRYNSAPPMLALAKDTHLKGNTKARTSDLMSSSESTIFEVDAQTTGDSLLAKATSSPVLESSPVGVVPPAPPLLTLSANIRGTGAQLNCQPPPPPPPPPPPPPLSRAPPPPPPPPFSRASPPPPPPPPPPFSRASPPPPSAFSRVSPPPPPPPPPPPFSRESLNLPQIHMSESLPPPPPPPPPFSRAPHPPPPPPPPLARAPPPPPPLPAARNPPPPPPPPPPPMHGSLPPPMRAAPSPPPPPPPPMRGAPSPPPPPPPPMRGAPSPPPPPMRGAPSPPPPPPPPMRGAPSPPPPPPPPMRGAPSPPPPPMRGPPPPPAPPPPMRGGPPPAPPPPMRGGPPPPPPPLRGGPPPPPPPAFPGRSPTPPSANGGPPPPPPPQKGPPPPPPPGARGPPPPPGPPRPPGGGPPPPPSFGAKGPAADGRGLTAGRGRGLPRPGGMPALAPRRSTLKPLHWSKVTRALQGSLWEELQRNGDLQGSPEFDVSEIESLFSATLPKSSRTGGKSDDRRKSLGSKPDKVHLVDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPVKEEMELLKNYTGDKENLGKCEQFFLELMKVPRVESKLRVFLFKIQFNCQISDFTKSLKSVLAACDEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLADTRATNNKMTLMHYLCKVLASKSPALLDFHKDLLSLEPASKIQLKSLAEEMQAIIKGLEKVKQELSASANDGPVSEVFHKTLKEFVGVAETEVASLTNLYSVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFKKAHEENCKQAELEKKKAQKEIEMEKAKGINLTKKAAK >KZM91017 pep chromosome:ASM162521v1:6:21455715:21462950:1 gene:DCAR_021618 transcript:KZM91017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHVEKPIEVTELEAEVEVDEKEEDSWSSDSEIGDALDYLDSEDDEDAIDGAFTPQSRRPNAHGGLHTRPNASSLQPLPNRNQKFTNHIRASPLEEWEGRFDVGMSNSVTTAIRASVRDMAIGKTKTTEKADRATVEQTIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHATKSDGQELAIKIYKTSVLVFKDRDRYVKGDYRFRYGYCRHNPRKMVKTWAEKEMRNLMRDRDRYVKGDYRFRYGYCRHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPLLLRLHVLVMEFIGKSGWGAPRLKDASLSLDKLREAYMEMVMAMRTLYQKCKLVHGDLSEYNILFYEGHLYIIDVSQSVDLDHPHALDFLKEDCLHVSDFFKKHGVAVMTIRELFDFIVDPSISDESVDSYLEMAQQKNLARGNLFSAEDETADSDFLKSYIPKTLDDVTHAEEDVMKLTSGKDTGGMSYQTITGHKEVLAIAQPSLPRRDDQQQPGEVIFEAPTDQDGHINSQEIESEEETDESEDSLIGSDEEGSLSENEAKRPEDIKVARKENKKKVKEEKREARKTKAPKALKKKKKKLAKAKKTR >KZM92245 pep chromosome:ASM162521v1:6:31790858:31791571:-1 gene:DCAR_020390 transcript:KZM92245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVGFLLAMLIHRPALIQGLINCNQVKLSLNDCGEFVKLSKGPRASCCRALKRLNNTYRSTADRRDVCNCIKREVGSSSKVIYRNVALLPGKCGVRLGYTISPSIDCRKVT >KZM91281 pep chromosome:ASM162521v1:6:23777262:23789620:-1 gene:DCAR_021354 transcript:KZM91281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSGRVKGNRNKAEKKKKEEKVVPTLVDIVVITPYETQVTLKGISTDKILDVKKLLAVNVHTCHLTDYSLSHQVKGENLDEKVDVVSLKPCLLRIVEEEYTREEDAVAHVRRLLDIVACTTRYEKPKGVGIGSGKTRIHDPDKNADPPASESLDMAPIQPIPKLADFYDFFSFSHLSPPILHLKRVEQKDAQHKTDGDHFEMQIKICNGKLIHVVASVNGFYTVGKQFLQSYSLVDLLQQLSRAFANAYDCLMKAFVEHNKFGNLPYGFRANTWLCHPSLGNSASNFVSLPMEDEDWGGNGGGQGRHGEYDYRPWATDFAILRSLPCKTEEERVVRDRKAFLLHSQFVDISTFKAVSAIRQITDSYMTATDILMFSPGSILHENRIGDLSITVRRDAADAITKSGRNLFDRGLASTSVKEVAQISLLKGLTADESVVVNDSLSFGTVVIRHCGYTATVKVVGDVKEDEYNSKSINIDDQPDGGANALNIYSLRTLLPKSSTTDPSGKNPSRHLNVSDIGTSRYLVRKVIKVSLSKLKEEPVQTDRLIRWELGSSWIQHLKKQETFTDDTYKAPKDDTSDTVVRGLGKEFKMLKKREKMAGSVDSYEQNYNRTSNLDAENSTEEVSSSESESEVDLKKFISEEAFLRLKESGTGLHLKVELADKLPHIQSLCIHEMVTRAFKHVLKAVIASVENVADFSAQVASSLNFLLGNCTTEDNCHDSREDHYIKLQWLRTFLATRYGYTIKDEFQHLRKLSILRGLCHKVGLELIPRDFDLESQNPFKRSDIISLVPVCKATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHMELALKYVNRALFLLNLLCGLSHPNTAATYINVAMMEEGMGNVDVALRYLHEALKCNQKLLGADHIQTAASYHAIAIAFSLMEAYSLSVQHEQTTLQILQAKLGAEDLRTQDAAAWLEYFESKALEHQEVACNGTPKLDSLISSKGHLSVSDLLDFISPDQESKGEDSHKKRRAKVLPVCAKSQQTQQHDKRSNDSIVPAGIETTGAIAESSTVIDKPDVVVLQVPDGSGINEYDPIDTAEASSDEGWQEANPKVRMRHGACQKFGQRRSDLPMLKNGKSESYNPRETSHRRKVMLLGRKAPPKTISTEPSKKRQQNVLCSGTGEDTPILQPRTSVSNVLTMQVPKVQTTSSSLTAMVSKSLSYREVVASPPGTMHKPLLEKGEEAIKVKTDKQICISSSEKSEENGSKRITVEESIPDVEAAKEVYISEAPEGETQSGEDYEEVPKPACSCNQENSVRTTKYKLSAEAEPFNPGVFPLTHHVAFTSIYDVIASQNMLVEPIGFPPLSARVPSGPRSALYYRMSRSMRRKHGFFKYHVPPTKRSRCDFTGVMNPHAPEFVPKKAWQTSEVAEDSEVPTDSYSMTDAGIDLPAEAKADERVACSSEDVRIKRCSSDADKAEFARQILLSFIVKSVQHNSDPVSQSLIGQAKSEFTENSTEAICNDSAIIKVLHGSEGEAELGSQIGRDKKPETADIMNEHGDEGFVVVTKRRRNRQHFIRLHNQQPISASVH >KZM92411 pep chromosome:ASM162521v1:6:33213499:33217501:-1 gene:DCAR_020224 transcript:KZM92411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRAVRSLQLQGLVELATRTKACVDECEKTGCVAAKCFQHCKFSSDGKSIDGPWYLQEPLYLQWKQWDCRSDCRYHCMLVREEEREKLGEKPNKYHGKWPFRRVFGIQEPVSVALSGLNLAMQFQGWVSFFILVNYKLPLKPDRKTYYEYTGLWHVYAILSMNAWFWSSVFHSRDVDITEKLDYSSAIALLGFSLILAIIRVFSVRTEAARVMVAAPLLAFVTTHILYLNFYQFDYGLNMKVCVTMGLLQILLWAIWAGVTHHPSRWKLWVVVIGGGLASLLEIYDFPPYKGFVDAHALWHATTVPLSFLWWSFIRDDSEFRTSALIRKIK >KZM90618 pep chromosome:ASM162521v1:6:17510417:17515940:-1 gene:DCAR_022017 transcript:KZM90618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATHRMASLIFSPFLFFLVMHLSPSMAATECPLDLTGSNFSVTATMCSNSEDKGKCCRYINALIAISVARYANSTSNLGVAPDLSEICLHTISETLGLYGVTRNATAFCGFGTKIPVNYECQGRTTVTQMLQSPRYTDVSKHCRLPLTEKRDCRKCINAGVAYVRNLVGAANNLTLSTCRDATFVALASEVDNTSAVNIAGCFFGVQALITAPEPSPSILSPKASPSPPVASSPGQLSLSVPFKGSHHHNHLSLVPALGIAVTVVATTMLVVLIFLIRRKSRELEDTEITDKNSRTFPQPTRKYQEGPSMFKKFSYKETKKATDNFSFVIGQGGFGTVYKAQFSDGLVAAVKRMDKVSEQTEDEFCKEIELLARLHHRHLVALRGFCIERHERFLMYEFMANGSLKDHLHTPGRTLSWRNRIKIAIDVANALEYLHFYCNPPLCHRDVKSSNILLDENFVAKLADFGLAHVSKDGSVFFEPVNTDIRGTPGYMDPEYVFSQELTEKSDVYSYGVVLLELVTSRRAIQDDRNLVEWSQAFMASDSTIHELVDPNIGDSFDVEQLQAIVSIVRWCTQSEGRARPSIKQVLRLLYDCSDPMHSDFVEAVEEEDYEGTRGRGSISKSKMQSWDGRCLASSSSTSRSYCSRSFLLETGSPQSPSNIPSI >KZM89578 pep chromosome:ASM162521v1:6:2255534:2260183:1 gene:DCAR_023059 transcript:KZM89578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQESAKFPGFPYEQPYSIQIDFMNALYTCLDNGGVSMLESPTGTGKTLSIICSALQWLVDRKENERMGVSVDLNSNSNRIGNEQCGSDDEPDWMKNFVVNKDDEAVEKKAKKESRSGFRSKKVEKSRKVANFTEIKGYKRDDGNVSSLGKKGGKARAEEVELGDEEFLLEEYESGEEGIGISKRKAGDVLISSSSEEDGDEDETDDEEAKAAIKIYFCSRTHSQLSQFVKELRKTVFASTLNVVNLGSRKNLCINEEVLKLGNPGQINERCLELQKNKKGDVSKRKNLGTDGRVRRTTASCKCPMLKKRKQTNIKREIKEQDPLDIEDLVHLGTKLGACPYYGSRSMVPGADLVILPYQSLLSKSSRESLGLDLKNNIVIIDEAHNLADSLISMYDSRVTSYQLEHVLSHLEMYMQRFCNMLGPGNRRYIQIMIVLARAFLQTLCTNSASDIDTLSSEDSSGSTTLDSSMAINEFLFSLNIDNINLVKLLEYINESNIIHKVSGYGDKASSQKGSALKADGETAEQGSTLSCFRAFDGMLLALTNNNGDGRIIISRARSTCSEKQGGYLKYVMLTGEKIFSEIADQAHAVIMAGGTLQPVEETRERLFPSLPQDRFHFFSCGHIVPSENILPIAVSRGPSGQSFDFSYSCRGSSVMVEELGLLLCNLVSVIPEGIVVFFSSFDYEGQVYDAWKASGILTRIIKKKRLFREPRKSTDVETVLKEYKETIEAVTSGNIKDDLKSYTGAILLAVVGGKISEGINFSDGMGRCIVMVGLPYPSPSDVELIERIKHIEGLGDTTSNRSSNYSADAKYCNGNVQTGFDILRSCKRRGREYYDNLCMKAVNQSIGRAIRHRNDYAAILLVDARYASGSSKRIFPHPTDKLPQWIKCRLISATGTYGDVHRQLHQFFRLKKPKG >KZM90608 pep chromosome:ASM162521v1:6:17384724:17387194:-1 gene:DCAR_022027 transcript:KZM90608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWICLPFFLEWLIIIPLSVNALPEPKGFLINCGSTTEVVEENGLKYVPDHDYVSVGNITTIKEPGVSPRLTSLRYFPDTVVRKFCYTFPANKGEKFLVRATYYYGGFDGGKEPPVFDQIIDGTLWGIVNTTEDYANRLSSYYEIVVMAQSRSISVCLARNGHTVSSPFISALEVLVLDNSLYNATDFNKYALSTIARTSFGYGPDMISYPDDPFNRYWQPFLDKNVVENSHSNVTPSTFWNSPPAKAFQSALTSSRGNNLTVRWTEYDLPSSHYYVALYFQDTRTVDPDHWRIFNVSLNNETFYNDLNVSTRGVTVYGTERPLHGKIEISMTPRSDMFVGPVINAGEILRFLPINRRTLARDAMVMEELVKSLKNLPRDWIGDPCLPKAQSWTGVTCTGGDQVQIVTFCTSMLSKISLGVSGSLPNDIAKLSAVSSMWFPDNQISGPIPEMTTMNSLESLHLENNQLEGSIPQSLGQLPKLTELFLQNNQLTGGIPSSLTKRNNLNMQVSGNRLSPEAM >KZM91137 pep chromosome:ASM162521v1:6:22496201:22496557:-1 gene:DCAR_021498 transcript:KZM91137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSCSSDSMDDSAAASSVPEKQDSTSYFNLLDRTPLSVPLCLYYTQGCCTNMNDPTHLKAFDHSFLELNAEAYAASALRLFSRSRFVGTGISRLKLRIKAVQQGWSFLRILGNGLI >KZM89887 pep chromosome:ASM162521v1:6:6887950:6888340:-1 gene:DCAR_022750 transcript:KZM89887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGVSFPHFHALAELIGNDRATGSNAENFAEARENMGDETNDSMFSASTEEVDQDSASRPGSFMENIDKHLGKLVESESDDIWKDCPVVKCYQLPKFLWSCRLN >KZM89579 pep chromosome:ASM162521v1:6:2262872:2263342:1 gene:DCAR_023058 transcript:KZM89579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSCKLYTESIQKREPGCGELICKKKKKSTYTAHRSVVVHKEHVVVKTIQEVKIKEEEKPARVNEKIRGGFVGQNARERSYILAKKIKELEMLDAYNMDHVFDVQEVLHHYSHLTSPVYLDIVDKFFINMYPDFYLPLPPVHVNKLMRRLNTVKV >KZM90508 pep chromosome:ASM162521v1:6:16374706:16378181:1 gene:DCAR_022127 transcript:KZM90508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGSQSGGSMLSVYKDGLIGNVDPQVDYVEMDPKGRYVRYNEILGKGAFKTVYKAFDQLHGIEVAWSRVKVDDVLQSAVDFEKLYSEVHLLRSLKHDNIMKLYDSWVDDKKKTINMITELFTSGSMRQYRKKHKCVDMKAVKSWARQILCGLDYLHSQNQPIIHRDLKCDNIFVNGNHGEVKIGDLGLAIVRQQPTAKSVIGTPEFMAPEMYDEEYNELVDIYSFGMCLLEMVTFEYPYCECKNPAQIYKKVTSGIKPASLGKVSDPKVKEFIEKCLLPASERLPARELLKDPFFQLETPKETNHNQMLLSTELHKSLSVLSCGSLSMDMDYEYKQSVCTESSCGTPQYPVLEFKRKHQNNEFRLRGMKNPDNSIALTLRIADLSGRVRNIHFQFYLETDTALSVASEMVEQLELADHDVAFIADFIDYLISKIIKGSKALPDLCHNPETNDRSFMPNSWNASPPDQETVSNLTSSVKHQEDFVHVGHNPRQSSVPLSHITLQASPNFANMDDKESQASAASEMMCEDTVMKNEIKADYVNSNINEIRQNSSGLDSELDFRDLYYDEFKMQESDTDVVECIQPNDIAKNWEMTLADLAGVSKVISSTGLGCNSSHLSSTDEEQVAELTMELDAIEVQYQQWFEELSMRGEAEVNAIRNRWIIDKNPPMN >KZM90777 pep chromosome:ASM162521v1:6:19106829:19109970:1 gene:DCAR_021858 transcript:KZM90777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNDEYMNAGYGAFSFEEDPLSEDENEDIHHAVEHFIFESNSSELSFEEQEVQEHPAL >KZM91734 pep chromosome:ASM162521v1:6:27445005:27449015:1 gene:DCAR_020901 transcript:KZM91734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEEMEKLEIEQSQNDAVKSRVRNDESERDDLEEGEIAGNDDVSSSTKAVEAVMHPLEHSWTFWFDSPSAKSRQAAWGSSMRPIYTFSDVEVFWSLYNNIHHPSKLTPRADLYCFKNHIEPKWEDPICANGGNWTATFQKGKSDNAWMYSLLAMIGEQFDHGDEICGAVVNVRARQEKISLWTKNAANEAAQLSIGKQWKEFLDYNEQIGFIFHEDAKKHDKNAKNKYTA >KZM89890 pep chromosome:ASM162521v1:6:6958857:6960773:-1 gene:DCAR_022747 transcript:KZM89890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLKKEFGETLDKGKQLFPESDKMKEYEQRFEEVTTGRIEVFLWNNVVCLKHHIQSLQRGKEVLFHVVDAYTSILNEDERLKATESPYRFFCSTMVTMGNVVKGSQLVANTTDPNITYMKFKSNMDAILFKHRVDINHVDLIFSPIFFGNHFYVICYNLKKIPVEIIDNRSGDRVDTMYDGIPESLGIEQKRQLERARQIYATKIMYLGINFLKDQMTTEIKFVNQNLTELVKNILLKLA >KZM89504 pep chromosome:ASM162521v1:6:1364910:1367684:-1 gene:DCAR_023133 transcript:KZM89504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVEDDDESNLDDLTTLSHHLFGNSSNCDDAHSFSISIIENIRKDGEYGMFVWPCSIVLAEYVWQHRSRFLGSTVVELGAGTSLPGLVAAKLGSNVTLTDDLSRPQVLANMGKVSRLNNLKCEVVGLTWGLWDHPLFNLHPNIILGADVLYDTCAFDDLFATVTFLLQNSPGSVFITSYHNRSGHHFIEFLMVKWGLKCAKLLDGFSFMPSSKTPALSGNIQLAEIILGES >KZM92667 pep chromosome:ASM162521v1:6:35260429:35261837:-1 gene:DCAR_019968 transcript:KZM92667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKSTAGEIDETTSPATGIDESDESTPLLPLRSTDWRPRWSQTKVPEIEINLFRRGRGPIDSFKSSLGGYEQDQIKVREILEKYNFKAIYAFNSATGRGAPIRFYPRNGLSMIPYTDGAVIAIDGEPKDSLVNPISRIVLGVAVITLLIVFVMKETPQWAQKLNFSGGYLPSWILALVIIILTRFRKRTKDFLAKYGW >KZM90270 pep chromosome:ASM162521v1:6:13739169:13742224:1 gene:DCAR_022365 transcript:KZM90270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRVVYSRIQNLDPDNASKIMGLLLIQDHGEKEMIRLAFGPESLVHSIVVKARKDLGLSSSNTPTTPSTPSSPMPFSRHNSSNSSASSRLLNGISLPSPLSIPNPSSSNSSWAAASNFSEDLASPNTCLGPYNVGGVSNLGIRSSSSSTMNSSAPPFYGNGKRDSDLIDEFELQDQLSFLNDGYYPDSALSPNGSGSDGGALFNSYAGGGAARSWNGGADTINGLAHRRSCSVSDVFLGSDDPAGGFGWKPCLYYARGYCKNGTSCRFLHEGASDSDPLVGSPSKLELMEQCHELLRSKSAQQQRLAAASQLMGGSNFPYSPKCMNLLLQQQNDSPRAAAAAALMMGEEMNKFARSPRQERSEFAMNGMVNPVSRQIYLTFPADSTFREEDVSNYFSIFGPVQDVRIPYQQKRMFGFVTFVYPDTVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKFRKQQHMDRLEFCGSPTGLDLRDPFDLHVEARMLQNTQDILWRRKLEEQAELHQAIELQNQRLLNLQLLDVKRSNHHRAFSTGAVISSPMHSPNIHNRGVMLSSLDRRTPEFLEENGPALTLPNNAPPAVNLQQTANVNEKEKCLSSTSDQNSNDRSPRDDKDDKENDLLESLEHNLPDNLFSSPKAAREYMSAFSADTTTLEENGNEAVVSAPVPTNNLMASSLLPATSTLDMASLKSCYFQVPRFSSNHGAIEM >KZM91584 pep chromosome:ASM162521v1:6:26218581:26220368:1 gene:DCAR_021051 transcript:KZM91584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPLPTTVGHLSKNPSPPSAASFSSSTSTTTTSNSQFFLNQKRSHAKKFLSCRAAKDSADKEALSDGKFDRRDILVGLGAGLYGTTAVSGAAFAAPIDVTKCGEATTLPTGVTKIDCCPPPNPDIIDFTFPSKTQPLRIRPPAHTLDAKYVAKFKKAMQLMRDLPSDDPHSFAQQAAIHCAYCDSSYQMVGFPNKKIDVHFSWLFFPFHRWYLYFYEKILGKLIDDPTFGLPFWNWDSPAGAPIPSIFADTSSPLYDVLRDASHQPPLMADLNYSSSVASLTGDELIKSNNTVMYNQMVSSAKTPELFFGKPYRAGDDQIKGQGAIENIPHTQIHIWTGDPNQPNGEDMGRFYSAGRDPIFYSHHANVDRMWNIWKTLPGKNRKDFTDTDYLDSAFLFYDENKQLVRVKVRDCLDTKKLGYDYKEVPLPWLKSRPVRKAKKASKGGAGSANAAEAPTPIEDASTAFPKVLDKIIKVNVPRPKKSRTQEEKEDEEEILEIDGIEYDGDEYIKFDVYINDEDEVQSGPANAEFAGAFSNVPFKVSKKVKTNLSLGITELLEDLGADDDEGLVVAIVPRTGTGKVTIGSVKIKISS >KZM90915 pep chromosome:ASM162521v1:6:20387695:20388648:1 gene:DCAR_021720 transcript:KZM90915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSYSGSIAIYWGQNVEEGSLADTCSSGNFAYVNIAFLAVFGNYQVPGLNLDKHCDPLSKGGCTGLANDIKSCQRQGVKVMLSIGGGDGFYFLSSTQDAKNVSQYLWDNFLGGTSESRPFGDAVLDGIDFDIEGGTLDHWDELARFLKGFKSSKKVYLTAAPQCPFPDTYMGKALSTGLFDDIWIQFYNNYCEYNGDASALKVTWDQWTSNVTATNFFLGLPAAPAGAASGFVPADVLIAKILILIKSTKNYGGVMLWSRYYDELTGYSSAIKSHV >KZM90511 pep chromosome:ASM162521v1:6:16391410:16396108:-1 gene:DCAR_022124 transcript:KZM90511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDAETIPNVIEALVEVIHAAKDVFFKDSFSKLSASLLGIIPILEELNKKNVYDSQVLNNALEILDREVKEFKKVVLECSKRSKVYQFVKYRFVSKRIEDINKEIIHALSLISLASLDVSASIRENVNQLCDSMRAAEFKTATAYEDILDKIDSGIQERNIDRANAYKLALMIGQAVGISTQTALKQEFEGFKTEIEDAKLRKDQAEAIQMEQIIALLERGDATSSFEEKEKKYISKRNSLGTQPLEPLQSFYCPITREVMEDPVETSSGQTFERKAIEEWLASGSNLCPMTNIVLETPLFRPNKTLRQSIEEWKDRNTMISIASMRPKLLSGDVEEIIHCLEELQDLCEQRDIHREWVILENYIPVLVSFLRAENRDIRTCALVILHILSKNNDDTKEIIAKSDDALESIVRSLGRRDGKLAVALLLELSKNDSLRQSIGKVKGCIIYLVTMSNSTDSQSARDARDLLQNLSFSDENVIQMAKTNYFEHLLERLSSGPADVQLKMARTLAEMDITDHYKSSLFEQGVLHSLLQLMKEGDDQMKEVAVKALRNLSDLPQNGWHMIRQGSVSTLLSLLYHPSSSTSLREQAAATIMHLAISTTVENTGESPVSLFESDDEINTFFSCIILAVPEVQESILRSFQAICQSPSASNVMKKLNQSTSIQVLVQLCELDDHNVRVNAVKLLYCLTEYGTEDNIMEHLSQNLTETLTRIIMTSIDSEEIASAMGIISNLTVSPQISEWLLEAEGLSKILDHLCDGRKNGQYKNQLIENALAAICRFTAPENLQLQKMAAEKGIIPVLVELLEQGTSLTKRRAATSLAQFSKSTPALTKPIPRRHALWCFSAQAEAGCPVHAGFCTVESSFCLVEAGAVASLVRLLGVQDTGLCEASLDALLTLIQDPRLKSGSKVLDEARAIPCMIKLLNVPSPPLQEKVLSCLERMFTLQELRQKYGGSSQMPLVELTQRGHNTIKSSAARILAQLNVLHEQSSYF >KZM92357 pep chromosome:ASM162521v1:6:32774764:32776218:-1 gene:DCAR_020278 transcript:KZM92357 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MEEKNNSCIILYPSPGIGHLIAMVELAKLVLCRYPDSFSEFIILMTTAPHLNTATTAPYTSHVSATTPSITFYHLPTTPLPSNYVASVEGLNFDLQSFNNPNVRQALETISSKAHVKAFVMDMFCSSASDVASAINIPTYYFFTSAAASLSILLYLPTLHQKNTTSFKDLNAFIQFPGIPPIFSSDMSNPLLDRNSMEYKYFMELAAQMAKSDGIIINTFHSLEPRAITAISDGLCTPNSPIPPIYCIGPLIAEKQTNSKENECLVWLNSQPSKSVIFLCFGSMGVFCEEQLEEIAVGLEKSGHRFLWVVKTPPPKECNESNTSILGLQGPDLSRLLPQGFLERTKGRGLVVKSWAPQVAVLSHDSVGGFVTHCGWNSILEGVCARVPMIGWPLYAEQRINRVILVEELKAGLGLEESNGERYVSGAEIEKRVRELMDSDNGERVRHRVRELGDAAKVALTDENGTSLVALARLITKWKETRSS >KZM91336 pep chromosome:ASM162521v1:6:24263341:24264631:-1 gene:DCAR_021299 transcript:KZM91336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYHLSENKSLADDDDVSKISCEAEIMPLVLDDEAPSPKKSKKVEGKRVVTVPMVEGDVYPPADSWTWRKYGQKPIKGSPFPRGYYRCSSSKGCPARKQVERSLKDPTFIVITYACDHNHLSPVTAKRSQTTSSDVKFPPEEEAVFANQPDLEPDNVEFAQFVADFGYLSKITSVILENPVPERSICTEPMFSTRDDEDSLFADLGELPGCSLVFQPKDSRKCNFYTPCHNCS >KZM90999 pep chromosome:ASM162521v1:6:21200334:21201873:-1 gene:DCAR_021636 transcript:KZM90999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLWTFLTFVHTLAGPATMLLYPLYASVMAIESTSKVDDEQWLAYWILYSFLTLVEMLFQPVLDWFPLWYDVKLAFVAWLVLPQFRGAAFIYEKFVREQLFKKYAGGALRHSQYPNTSKLKNKFADFLTPNKVSALNLHV >KZM89738 pep chromosome:ASM162521v1:6:4028117:4035431:-1 gene:DCAR_022899 transcript:KZM89738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTPEAFLQVLSAPGTNFYHWNLCYENSTMFLSDKYRRGRGCRYSQSGRSSPQNFNRIIADLRVDGMTYKKKRPYSLENLTCKCGRSESVSAAANEEENRTWINGIAMDSDISKFELAQQLKNENGAVFSNIDLGTPGAINSKLNGVGDSFEDEAWDLLRASMVYYCGNPIGTIAANDPNDSSISNYDQVFIRDFVPSGLAFLLKGEYDIVRSFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLEGDDSATEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDRSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLSAREMLAPEDGSTDLITALNNRLVALPFHIREYYWTDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWSIISSLATNEQSHAILDLIEAKWAELVADMPLKICYPALEGEEWRIVTGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPGIAENAVKIAERRLSRDKWPEYYDTKRGRFIGKQARLFQTWSIAGYLVAKLLLAKPESANILVNIEDTELVNAFSCMLSANPRRKRSRMGAKKSYII >KZM92676 pep chromosome:ASM162521v1:6:35327895:35335119:1 gene:DCAR_019959 transcript:KZM92676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQGPLGSRRNSLVQKRNPKKSATQSENGTSATAATNGSFLKPPSPVHSTSERTVKKLRLSKALTIPEGTTVYDACRRMAARRIDAVLLTDANALLSGIVTDKDIATRVIAEDLRPDQTIVSKVMTRNPIFVNSDSLAIEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSNYSAPSAFIETLRERMFKPSLSTIIAENAKVAVVSPSDPVNLAAKSMQELRVSSVIVMTGNKIQGILTSKDVLMRVVAQNLSPELTHVEKVMTPNPEYATIDTSILEALHTMHDGKFLHLPVVDRDGSVVACLDVLQITHAAISMVENGSGAVNDATNTVMQKFWDSALNLEPPDDYDTQSEMSMSVLNASDAVELGKTTYPSLGIGNSFAFKFEDHKGRVHRFNLGAESLDELVSSVMRRIGVAADEKNYPQLLYEDDEGDRVLLTADGDLVGAVSHARSLGLKVLRLHLDYSESKQQKFEQTSTTTAQSTVERRRWGYLESGVLASAVVLTGMGVLVYLKRSKQ >KZM89741 pep chromosome:ASM162521v1:6:4098121:4099599:-1 gene:DCAR_022896 transcript:KZM89741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLKFTRPSSHPLLALHQSNYKAVKSPSLKYKPKKVTHTVQCSKYGNFLDLKPGKRHESMEFDLSWYDPSKRSRFDVIVIGAGPAGLRLAQRVAGYGIQVCCVDPSPLCVWPNNYGVWVDEFEAMGFQDCFDKTWPMSSVYINEEKSKVLNRPYGRVNREKLKMRLLGGCVSNGVVFHKAKVWKVDHQEFESSILCDDGKEFKASLIVDASGFASTFVDYDKPRNHGYQLAHGILAEVESHPFELDRMVLMDWRDSHLGNEPALRFANAKSPTFLYAMPFDSNLIFLEETSLVSRPALSYKEVKLRMAARLRHLGIRVKSIIEDEKCLIPMGGPLPRTPQDVVAIGGSSGIVHPSTGYMVARTLALAPVLADAIAECLGSTRMIRGSSLYHRVWNGLWPIESKCTREFYSFGMETLLKLDLNGTRNFFDAFFDLDPHYWQGFLSSRLSLKELAMLSLSLFGHASNSSKMDIVTKCPAPLVKMLGNLAVETI >KZM92807 pep chromosome:ASM162521v1:6:36435454:36443827:1 gene:DCAR_019828 transcript:KZM92807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDDSPARERWEGGHDDLEDGGELTRDSSRHRSTKDSGKTSRRDDKTRHRSKDPERSKELEKERVSSRDRRKEGRDEYSKREKPRDKDGDVDKYRERDRERDRDRKDYGKDKERERERDSLKDSERGHEKDRGKDRSKDRDKEKEKDRDARGKDREREKEREKHKGREDREKEILDREKVKDRVRDKEREVNMDKERSRERDKVSRKQRDDGHERSKDIVTDDKLNSEADDVHYRDSTKQVTGLHTGADDARNLKLDKNASTEPVASHTAASEIKERISRMKEERLKKTSEGSSDILSWVNKSRKIEEKRNAEKERALQLSRVFEEQDNINQGDSDDEAAAQHTSHDLSGVKVLHGLDKVIEGGAVVLTLKDQSILANGDLNEDVDMLENVEIGEQKQRDDAYKAAKKKPGTYEDKFSDDLGTEKKMLPQYDDPVADEGVTLDAAGRFGGEAKKKLDELRRRIEGVSAASHFEDLDSSAKTSSDYYTHEEMLRFKKPKKKKSLRKKDKLDLDALEAEAVSSGLGVNDLGSRSNGTRQALKEEQEKSEAEKRSSAFQSAYAKAAEASNALRPERRATSQPMEEDDDTVFGDDDDDLRKSLERARKLALEKQAESAPSGPQAIAIIASSTATKSGADNENPLSGDPQENKVVFTEMEEFVWGLQLGEEAHKPEGEDVFMEEDEAPEASVGEKKAEDGGWTEMQDTAKDEIPSKDKQEDIALDETIHEVAVGKGLSGALNLLKDRGALKESVEWGGRNMDKKKSKLVGISDNDGKKEIRIERTDEYGRILTPKEAFRLISHKFHGKGPGKMKQEKRMRQYQEELKVKKMKNSDTPSLSVERMREAQAQLKTPYLVLSGNVKPGQTSDPRSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKSDPGDMGPPKRPKPEG >KZM90001 pep chromosome:ASM162521v1:6:9400839:9400997:1 gene:DCAR_022634 transcript:KZM90001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIMMKRQLNKKKGHGFSRKCASLVKQQRARIYILRRCATMLVCWYFQGDD >KZM89491 pep chromosome:ASM162521v1:6:1226414:1230198:-1 gene:DCAR_023146 transcript:KZM89491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTNNDRCVFPLTNLQIGDLQSYLSDLSLFLAMESKKFYILVDNRPWLKDLVSRPAHLWQLMVTKSRLSPFANTRRQKEENETIRLFNVNTSKIKPSKSRKFERWFQVIDATAMSTTMIPLGTLNMQTDTSLAIESKIMKKWEFDSIPQAVGCISSWFSGTLFEKVCLKEHLDSTVGDVFYNAEDSFSLTTNVNNHEIVSNNGKPGNVDSDKSISDNSPVIGDDEDTASVYSRTVENQSPLCSCFSEYTATSENLSSGVPPLSPTSQNGPCKRRKLIKSFSTGFEVDIYSDETQSESIDSPTHPQTPCASHTEEALKDTQYKDVLLLFRFNDPHLPYKLRDIITSDLRLLTLLECGLPSWVIFLQSYPVFCHFYRPWMCPLARTLYVLISVVTVLIGFYDLYKNVPVLKAAASRFCGPLVDWMETWEMVSRIKYLGTMLFLHNSQKALEWFMTTMRTIRSFFRVLTQPVAGPIAMCWQLIDPFWDMFVLVAQNVGTLIWVVVDSSADILENFAKTVLFPLWFILSFIWSIATSFFRIIWNILYIPFSFLQGLSSVLFVILSNVYELIKDICLFMSSIFRFASSADVTVSSYEVSMWRTMSKDLFSKVFRALRSVLNGFVAFFIACNRHRLSIYNHMIELFQRLSRTSVRIQLTDQGPRIQLRETPKLKLILGLLRGSWQTRKKVQVHQEAKMTCRIAEEMVFYLIAFIM >KZM92115 pep chromosome:ASM162521v1:6:30661972:30664070:1 gene:DCAR_020520 transcript:KZM92115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGLGAFLFKGRKALMALKGVVRLQAVIRGQNTRRLILTRLKSLQPIAKPQVHRIIIPQVDKDYITISNPQKILLNKDKKTGCTIGKNWEDSMMSKEDMEISWLQKIDAIARRDRMKKYSFSHRERRTNLTLDNSTDQDLKRRPWFEECQIDKSKSAIYSDLIDIATYGTRQHKMRYGGLKDEAPEVLNSSLALPRRSFCDANIKKYSNTDDSSLPSSPKFPSYMGATKSAKEKVRSASTPRHRLGHDEPFVVQNSVCYSSKLSSWSSVDGEIVGITRKSST >KZM91716 pep chromosome:ASM162521v1:6:27319516:27320050:1 gene:DCAR_020919 transcript:KZM91716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSILNSSSVVLATAMAVSGTVIVLAFRLQKLTSVTSPSLPRSCISSDEKKKDRKKKKKVHFAEDVVDPIGNSEDFRKKLLLRSYKPAPARKMPANRTALYAGILRDRVVINRPTYSC >KZM91955 pep chromosome:ASM162521v1:6:29336244:29337628:-1 gene:DCAR_020680 transcript:KZM91955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSMGAGIMAVIAVSGSIVFFSLQAHKRLLSDFMEKIEFELGSGQDERKKRVRFCSLQVENKDRKFGEIKKKNWSNNSRVLRDNGKHGQMEEGSMPRNWQALYKGILQYRKLSTPCI >KZM90891 pep chromosome:ASM162521v1:6:20189455:20192208:1 gene:DCAR_021744 transcript:KZM90891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKSKVAIVGSGNWGSVAAKLVASNTLKLSSFHDEVRMWVFEETLPSGEKLSDVINQKHENVKYLPGIKLGENVVADPDVVSAVKDANMLVFVTPHQFIDGICKKLAGNIRQDAVGISLIKGMDLKPEGPNMVSKLIKDSLQIDCCVLMGANIANEIAVEQFSEATVGYAENKPTADEWVRLFNTNFFSVSAVQDVEGVELCGTLKNVVAIAAGLVDGLELGNNTKAAIMRIGLNEMRAFCKMLFPSVSDATFFESCGVADLVTTCLGGRNRKCAEAFAKNGGKRSFDELEAEMLQGQKLQGALTAKEVYIVLSQRGCLQMFPLFTTVYHICSGRLPPSDIVRYRDHVNISAL >KZM90894 pep chromosome:ASM162521v1:6:20199816:20202467:1 gene:DCAR_021741 transcript:KZM90894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIAELLQLPLTLTLVLACLLPLPLCCYLFLKKQKPVGLPNGSMGWPFLGETFGFLKPHKSNSIGSFLQQHFSRHGKVFKSHLFGCPTIVSGDLELNNFILLNEDRLFQSYYPRSVQDILGNLSLMLVSGELHKKLRTVALSFIANSKSSPDFLRYVENLTISVMDSWKGCREIHYAKEAKKFTLFVMLKNLLNIEPEEPLASQMLEDYLSYMKGLVSLPLCFPGTPYAKALKAKARISRNIREIIAERRKKEGPKKGDFLDEMMNKRSEELNDEERVSIVMDLMFAGYETTAGLLSLLLYYLAQAPEALEQLMQEHQTLTKSKKPGDPISWRDIQQLNFNSHVVNETLRCGNLVKFVHRRALQDVKFRDYHIPRGWQVLPVFTAVHLDPSLHESPSDFNPWRWNDEAINRKVNPFGGGTRLCPGTELAKLEATIFLHHLILNYRWKLKEDDDFPLSYPYLEFSKGLELEIQPTENSS >KZM91016 pep chromosome:ASM162521v1:6:21436888:21443766:-1 gene:DCAR_021619 transcript:KZM91016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMETELKEMLSDLDNLQQSLSDPSYQASLAKLISGVGRVLGKLFVYCIELEGECGKGLGKCAVYSVLKFSCKADVAKMRSHVENLTSLVNSGQGRRSKVKDMSAEVVDSNPYSRLMALQRMGIVDNYERIRDFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQCGMTKTDAAVQTLSDINPDVVLESYTLNITTVQGFETFMASLTNKTHSLSKDGTGVDLVLSCVDNYEARMVVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGYNALKDYFPTMQMKPNPQCSNAACLERQEEYNLTKPARDAAAKAKMDAEALIVTESAMHLDNEWNICVVDDEEQDKKDAHTHDVLPEGLVHELPSADEFQKPTAQEESFNSADDLEELKRQLEALNAD >KZM89418 pep chromosome:ASM162521v1:6:593677:595062:-1 gene:DCAR_023219 transcript:KZM89418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFSSDSEDEVAQSSQLFSRERSVHALLGGGQVADVLLWRDRKVSSAIMAAVTVAWFLFEVVEYNFITLLCHIFITSMLVIFIWAPPQIPKIVLQDSSFRDVALTFHIKFNQLLSTFIYIACGNNLKLFAMAVLLLWLVSLIGNYISSLNLLFLGILSLETLPFLYERYQKEVDYFGGKVYRKMRKIYKKFDSTVLDKIPRGPINGKKTN >KZM89701 pep chromosome:ASM162521v1:6:3527451:3531445:-1 gene:DCAR_022936 transcript:KZM89701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDENCVDGVQPSNTQGILRGGSGKFATGIGHTRRALSTINRNVVGAAPYPCAVSKRSVLSERHAIPNKHPPIPVHRPVTRKLAAAQSSCKQQQQPVVQETRPSLLIVPTPSEPKDCSIIDVDEYNLHDDYPVPMYVQHTEAMLEEIDRMDAEIEMEDVGEEEQVLDIDGVDKNNTLAVVDYVNDLYAHYRRVESSSCVPPNYMIHQADINERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFIAVHPIVRKKLQLVGITAMLLACKYEEVSVPVVDDLITISDKAYTRYEVLDMEKLMVNKLQFNLSVPTPYVFLKRFLKAAQGDNKLELVAFFITELSLVEYEMLKYPPSLLAAAAVFTAQCTLGRARQWSRTCEMHTSYHECELLECSKLMVTYHQNAATGKLTGVHRKYSTSKYGYAARLEPAYFLLDGRF >KZM89955 pep chromosome:ASM162521v1:6:8247586:8247801:-1 gene:DCAR_022682 transcript:KZM89955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVEMPAQIFGAYDVLAIHYSVGFLEMTKMDGGGRGRGGGGFIDGRDGGGGHGGEGHGKGGVGDAGDKG >KZM90115 pep chromosome:ASM162521v1:6:11310683:11312955:-1 gene:DCAR_022520 transcript:KZM90115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENNNWLNGFLDDISLPYPNSSLQAPAFNWPIIAASSNTSVDGSNSDSGVVKEAGTKKRVRSETCSGSSSKACREKMRRDKLNDKFMELGAILEPGRPVKTDKAAILIDAARMVTQLRSESQKLRETNTELQEKVKELKTEKNELREEKQRLKVEKDRLENQLKTINAQPSFLPPSPAIPAPYPQVPYASPVPVAGTKYVPIISYPGVGMWQFMPPASVDTSQDHVLRPPVA >KZM92194 pep chromosome:ASM162521v1:6:31385404:31385782:1 gene:DCAR_020441 transcript:KZM92194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMMGGDLGISAFREEDNIFCWQGTITIGSKDTVFEGTEYKLSLSFPTDYPFKPPKVKFEKGCFHPNVDMYGIICLDILQDYALKLSMCY >KZM91982 pep chromosome:ASM162521v1:6:29575770:29577405:1 gene:DCAR_020653 transcript:KZM91982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSTSFKISSSFSLPPSHLHNHSSFSFRTIIHHHKQPLFITASSSSSTNGSISPQADSHAVEDSHRRRSGLESLFCYDKAIPEEIIEKPTGLSLAEKNIGDKMRCSDCQAKGAVLCATCSGSGLYLDSILESQGIMVKVRCLGCGGSGNIMCSDCGGRGHIGV >KZM92693 pep chromosome:ASM162521v1:6:35473433:35474848:1 gene:DCAR_019942 transcript:KZM92693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTDLLRQVGKSWDFRGAFTEIERVEKVYYMMMVHKGRKASDKEAVKNADLADLDVEVSDQNIESEDDVEVIDQDVESVDILDVEVFHANLESEEDDGDIKLSVSGSI >KZM89376 pep chromosome:ASM162521v1:6:212197:221244:1 gene:DCAR_023261 transcript:KZM89376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASDKAQEYMKTNGNEKPKSRSSTNGMRSASISIPMYSLDCSDTENSFSGRSGPLCTERKISYTQMSGPLYANLKNDNCFQDTQGAIGSRATEATLEKYPSVNGLVQIDWPHNNHVVTNENLLSSGQLGMCNDPYCTTCPTYFEGQRKNKKVSSISDQKKLPYINYQQFPIPTIKQGDAKGWAWRMFFSLYRCIPGVMNPHAKIVQYWNKFFVISCLFAVFLDPLFFFLLSVKKEHKCIVFNETMTKVLITLRSLTDFIYFLHVLLQFRLAYVAPESRVVGSGDLVDRPRKIALNYLYGHFLIDFFVVLPLPQIIIWLVLKKFKGSSGANYAKNFLRAAILVQYIPRLYRILPMLAGQTASGFIFESAWANFVINLLTFVLSGHVVGSCWYLFGLQRVNECLRNACDEHWCIKFIDCGQGNENERFRDNLESWKNWTNNATASACFGEGSFPYGIYVQTVNLTTESSLITRYIYSLFWGFQQISTLAGNLTPSFYVGEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLELSLRRRDVEQWMSHRRLPEELRRQIRESERYNWAATRGVDESMLLENLPEDLQRDIRRHLFKFVNKVRIFSLMDEPVLDAMRERLKQKTYIKGSRILVPGDLVDKMVFIVRGKLESVGEDKNIVPLSEGDVCGEDLLTWCLEHSSVNKDGKRIRSPGHKLLSNRMARCLTNVEAFILRAADLEEVTSLFSRFLRNPRVQGALRYESPYWRCLAATRIQVAWRYRKKRLNRATTVSSTYNHSPGN >KZM90296 pep chromosome:ASM162521v1:6:13947694:13949267:-1 gene:DCAR_022339 transcript:KZM90296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEHWCLCFDVVIDISKELNNGVKVEYVDISPLPMLNTDLEVNGTFPPAVEAFRQKIAEADCYLFASPEYNFSVTGSLNFLQKVIFAMLFVPSVAPLKNAIDWASRPPNVWADKAAAVVSAGGGWGGGRSQYHLRQIGVFIDLHFINKPEFYLNAFEPPAKFDADGTLIHAESKERLKKVLLSLHAYALRLKGK >KZM91519 pep chromosome:ASM162521v1:6:25788028:25790171:-1 gene:DCAR_021116 transcript:KZM91519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFNEEDAEKSGDETIKRSPSEASLSATDQEDEDDHAIELGPQFTLKEQLEKDKDDESLRRWKEQLIGAVDINNVGESADPEVKIINLSIVSPGRPDIVLPIPEDGKPKGPWFTLKEGSVYRYIVVGFRYTNNIVVGFRYTNNVWKTGVKVDTTKEMLGTFSPQQEPYIFDAPEETTPSGYFARGSYTAKSKFVDDDNKCYLDIQYTFDIRKDWAKAE >KZM91271 pep chromosome:ASM162521v1:6:23710125:23711545:-1 gene:DCAR_021364 transcript:KZM91271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTRASGQRNDCPNALHRARSELRRAASSILGIVDQLKEVNQDTREHNQYQESMINALAVENFQLKEENGAAKELLISEMVDEEDAELKKLRKEMGAVKRGLEEINEHNPSGRYPVAKLWHVEDNREARLDEIVECMMKKTTPKRKRVGDEENNSPAQKS >KZM91698 pep chromosome:ASM162521v1:6:27180973:27181605:-1 gene:DCAR_020937 transcript:KZM91698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFNISFSVHTSSDSSSSDSSSSCTKAPGNVDKGEKIRGPWSAEEDKILSQLVERFGAKNWSLISKYIKGRSGKSCRLRWCNQLSPDVQHRPFSQAEDEMILAAHEKYGNRWATIARLLPGRTDNAVKNHWNSTLKRRRQGNNENEQNRSSEDEAREVANAMDVGNVECGASGSGDMNVDPNEVDPMTVLTLAPPGSSSGGLPEQRGDR >KZM90896 pep chromosome:ASM162521v1:6:20221215:20224297:-1 gene:DCAR_021739 transcript:KZM90896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDVLNSVSYRASRRADRNNQSHHTKDSGRVSPDYPLKILWTRGFIRLVLVGGIIWMFLILVVLLFHVWSCQSSFAFFSALCNKDSKVFGMLNTMGLVQPPHRCPIPVANDPNKVVIPDRRTPPKFVQRLSYIMEDDGAKNGSQSPPLFGGHQSWVQREESFKLKSTMKVHCGFIKNGGAEMLRKDIDYVKNCKFVVASGIFDGYDAPHQPSNISERSQKLFCFLMVVDEVSLKFIKKNTSVRLDSEDGEWVGIWRLVLVKHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLMLERYLWRGKHTFAIAQHKHHRDVYEEADANKRRKRYARPLIDLQMKIYRYEGMEPWNPGKSTVSDVPEGAIILREHTALNNLFSCLWFNEVNLFTPRDQLSFGYVVYRLKGLFKFFMFPNCEYNSIFILHPHNREHSSPIEWVKSIKELKGSNNTLNESRGGLGLWTPYPGNLDLVVLPPVARTSKAG >KZM92368 pep chromosome:ASM162521v1:6:32868921:32881130:1 gene:DCAR_020267 transcript:KZM92368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISGKEETGSFSWQSSNYSSGIPIKKRKYLMFRSPSPELPELPNISPTEAKISSPSHELDSSKVDDGASYASKSPVLNINEAITAETDVDLAGVGNQISNASQAQTLSIPLDSLHTREKLFSTGQQNMIGGNKLDSAQAEVSVESTRKNLPGLQQAGKDCTPELFQRPGKIELSLGPEEPLIHAPTADPDSKSGSEIPDKIDTSKLCLSLSQNNSPSGYKVENSMVSSASTYFQAKRSNWDLNTSMDAWEVPAGDSDSQVTVTKPCHMHDEEPMFSAVPKVVSEPEEQLFGVTRRNSDSPTSSHVDKYGSDDYLQLSLSTSAPTVFSVKQSNPSAQVNSGATQPNPELVEGLVSTRSTSSASCDTVKSEPFDETGNHRNTAVAVQGIPVKLPDQVNKGLMEKSITKAVISPNVSLKNVTEPMSIKSEPVQEGKEMQIISQVSVERVIPCQENASLSLTLSRDSRQPNESFPLGLFTCSKLKASEDLPNQLENTSRVEEAHKDKGETQVSSRLVDQVATGMLSQSLGLDSKELITSDGMTYAGTALDANADKPEKGELGAECVYASTYKNSDGFESDEENKNILNDILEEDAYRSDSASEGNHEVLVNLKSKHGGKEVDYEDGEVRDALMHVKADVAVDMEIEMINQDATDCTNAPAPDINDSKVEDHGDLNDNHMEEIDETVIQKATNEVTNRDCSLQESTIEETADRNDANRLINTTGRSPPVLSGQSDDREDHDRDELSDGATAGSRDNGNAVSQIATAGIEGTDTFEKVDIAILTESNLSDKNSGKDNNYADADKDGNSGGSRSRIINLSRASNMASPSEPRFMLSRPLSATERDRHTDFEEDRIPLRRNRSEYYNVPQNFDNDRYHDRSFRNSGTNFVRGRGRGRGFRQRIEWDSDRDFGADIKNYNPGSYRYLRYKCAGGNDAEFESNGYGIATSRSSGLGRGGSSSRHQYSRRPSPGATDGTGTRGTQMLRRFPGNANSSRSIGEHNSDFVGTRNGGKFTRGLPKDVDDSSFDHPRHVNEVVDDQFVGGSRNFSTSVQRRGPHRIRSKSPALKWDRVGISNVAGEVSLVFGLAMWLTTFPRIRRNFFELFLYTHYLYVLFLVFYVFHVGFTYTFITLPGFYLFLVDRYLRFIQSQQKVRLVSVRVLPCKAVELNFSKSPGLIYNPSSIVFVNIPAISKSQWHPFTVTSNSNMDCDTLSVLVKSEGTWTGKLYAEFASPSPLDYLNVSLEGPYGPTPPNLLRHDMLVMVSGGSGITPFISIIRELLFIASTTNTKVPPVLLVTSFQRTVDLSMLKLLLPATDTTYDLSAMDITIEAYVTREKEPSMESQKFTQTLWLRPNDSDRPVYALLGSNNWIWLGAIILSSFIIFLVLIGLLTHYYLNPDKQSNHEIYSETSKATLSMLVMCVSIATSATIGFLWNKWQSYRDMKRIQNVDIETPMGSPGHDDFWHYNFNRELESFPRQSILQATNVHYGIRPNFKRILINCDGSSIGVMVSGPAQMRRSVARICKSGLTKNLHFESISFSW >KZM90100 pep chromosome:ASM162521v1:6:11178458:11181078:-1 gene:DCAR_022535 transcript:KZM90100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHMSYSALLREVIMDTQDISSLEINFNLELQGQNGSASASEPSTPILKSSIQTNDHHSSSSNTGNAQSIFCLGKEVRATELLMQVMVNAESYKARIYDLAVAAAGELTQKACTAKPLWIFEEDRETETLNVPEYKRRFESLDSTLEEVIRLLSVGEPNELSSELSRNAKLLGKYGKEPDLMHLINGHQTAFKTEASRETEIVPMNPINIVDVLIDVEQWSLVFCDIVSEVSVLGFMSSGEELGNPNGALQVVKAELHVASPLLKTREIYFARYCKQMTAANTWVVVDVSLESIFPDMVTQCRRKASGCLIQGLENGFSKVTWIEHNEIDYSSVPNMFRKLVSSGFAFQAKRWIATLRRQCERLKLVVEDDNDGRRSLLQLSQRISRSYNEAVRGSTESHWQPLPTTNGENILVKTSFNVDDPGHPHGVVITVATSLRLNIPPNDVFEFLCSGSNRSKWDLLSHDCATQDIAYFATGREPSSRVSLVVVEVANARHLLNKTLKFYLQESYTDATGYYIVYAPVDDESLKALLKGGSSTDMAILGSGFTVLPENPVEQTTGTGGSILTIVFQIADENLSSPEYLPPELVATLHNLIAGTLSLIQGSV >KZM89588 pep chromosome:ASM162521v1:6:2327691:2329351:1 gene:DCAR_023049 transcript:KZM89588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPLHLSRMSQTVKWMYLNFCSSRAKRYDKDTLRVDYVTDVSLRQPGSTGAILTLHGRFEILSLQGSILPPPAPPGLTIYLAGAQGQVVGGGVVGALIASGPVVIMAATFMNATYERLPLEEHEAAENHHQHFQNVRQAQQQHHHFDISEIYGKPLNLPSNGTSVPSEIYTWTSGRQTLSKT >KZM89990 pep chromosome:ASM162521v1:6:9287073:9287527:1 gene:DCAR_022645 transcript:KZM89990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVIDVLDGRQHDDGGEATGENEILPVSYGEDMKRFVRDSEDSLRNHRIFREVEMQEMERFLQNMKES >KZM90234 pep chromosome:ASM162521v1:6:12906707:12909385:1 gene:DCAR_022401 transcript:KZM90234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALGSEILRIAFPATLALVADPVASLIDTTFIGHLGTVEIAAVGVSIAILNQALRITVSPLVSMTTSFVAEEDAIARLAKEVQKKNNEIPKVKAEDVIAADTMEKGSPATESEYKEVKEDNAIQTNSKVQNVDSTTKPMVNQAITREKRHIPSASTSLVMGLVVGILQTIFLISLAKPLLKIMGVRSESPMLEPAISYLRIRSLGIPAMLLYLAMQGVFRGFKDTTTPLYAIVAGDLANVILDPILIFVCRMGVSGAAVAHVLSQYLILVILLCKLMQQVYIIPPSYKSMQFSRFLKNGFYLLGRVIVATSCATLATSLAARLGSTPMAAFQICLQVWMTSSLLAFGLAGGGQAIIACALAEKDYQKAASAASRVLQMAFVLSLGLALVVGLGLQYGSVVFTRDKHVLRIITIAIPFVAATQPINNLAFAFDGLYYGASDFLYSTYSMVIAVILSIASLLLLAKSNGFAGIWLALSIFMSLRVFAGVLRDWNWTLALSQEPLDNKTVEFDIPVKTSGSSLFTLDFISEIETRAQNSRTNKRITQCLRLALIFASFKTCN >KZM92545 pep chromosome:ASM162521v1:6:34231671:34237080:-1 gene:DCAR_020090 transcript:KZM92545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGSEGVVHGGKLLVHVAENGHSFELDCDDCTLVEDIQRYLESVSGVHLNDQLLLCMDVKLDSPCQLSVYGLPSDGREVYMFNKSRMRSNTPAPALERVENFKTPDLPLPTSSHNPHPLDNASDPALKALASYERQFRYHYQLGQAIYERTLLKYETCDRFLREQKVQEKALEIARGNLYHIYRMILQNYTDFAKHYAMQHRSHSGLLVKFGKDVEKLRSCKLIPALQTGNRKCLLDFVKEENLRKTVEDCSNSHRQFENKVSELSQEFRELKHSTEHLFSSNTSILTDLELKIKERQKFITEQKSIMQTLSKDVSTVKKIVDDCLSSQLSSSLLPHDAVSALGPIYDGHDKSYLPKMQDCERSISNLLDYCRNKKDDMNMFVQNYMQKIAEIQLNIKDMRYTFSVFSEAMYRQSEQFEHLKVIHGTGPAYRACLAEIVRRKASMKLYMGMAGQLAEWLATKREDEVRKREEFLKVHSSFIPRDILASMGLYDTPNQCDVNIAPFDTNLLDIDISDIERYAPKYLVGLSTKSEKHGSLKGSFSSSHDNFASEVEESSLDATDRYSSDEILEASELVEIVGTSKMEVENAKLKAELASAIALICSYCSDFEYGSLDDSKVESLLNDAAEKTAEALHLKDEYGKHLISMLKNKQEHKFAVDKNASTFVLSTDKAGNKPEVSGEGEALMASVAMDDLFSSSSSEHVKPGVLDKHTNPCEGLDENMTDSSGILNPQLDSSMVEHNHGYLHSHENDYKETMLADMGMGIATSSTGNSMSQPRNTVLSAVNGDPNLDSKISGELVLELQHALTENPITLDENKAKLANLMEDILKLERKLEINRKILDASQMNCAHLENCLHEAREEAQTHLFAAKRRASENSALRASAVKMCSLFERLKTCVSSGSIAAIAESLLGLSQSLANSAGDEEHGDSTGLLKCIHVLADRVGDLSKHRADLLDRYSKAEAANDQLTKELEKKTELINTLYMEHQSNEQANEDKISFDRLEVHEVAAFILNPAGHYEAIERNCHHYYLSTESIALFTDHLPQRPSYIIGQIVHIERLEASPVRFEHNNRVQVLTTDTGTMSAANPYNLPSGCEYFIVTAAMLPETTIHSQPTS >KZM92213 pep chromosome:ASM162521v1:6:31583613:31589212:1 gene:DCAR_020422 transcript:KZM92213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSKLNLNKSALVETPNSKASTEAPNNKASNVTQINKASNVNNNKVSPATPKIPKLGRGIVKSETDSPSPLQNSRLSIDRSPRSVPSKPSVDRRSPKLNTTPDKQPTRLSKGSELQVQLNLVQEDLKKAKEKLAEVEKEKDQAVDELKEAQRLAEEANGKLAEALVAQKRAEEESEIEKFRTVEMEQAGIDANQKKEEEWQTELENMQKQHALDIAALLSATQELQKVKQELVRTSDAKNQALSHADEATQIAEIHVEKVEILSAELARLKALLECRNTTEANNNDTIVLELKIDVETLKQELDRAKEFESEANNYSRMVMELTSEVETLKQDLQKADNYKEKLAETEVAVAQLNVELEMAKIAEACAQEKVKNYEVEASNYKNIVMELKSEVETLKQQLEKANDHEKKVLEIEAELEKLSVELEAARTAESYAHNLLEEWQKRVEELELHAEKANLLEKSASESLDSIMKQLEESNGSLHDAESEIASLKEKVGSLEISIGSQRGDLEISERSLNMAKEQASEMEKEVESLKCELDTLKGEKIQALNNEKLAAESVQTLLEEKSTLINELETFRIDEEKSKKAMESLASALHEASSEAREAKQKLISAEEEHENLKTQVENLKLVLRASNEKYESMLDDAKHEINVLTNSIQQTEHDQQTAMAEREQRELHLMDCINKSEEECSSMTKEVSRLVNALKEAEDEASATKEEEARLKNTLAEAESEMHFLKEVLGEAKAESMKLKESLMDKENELQSVVQENKELQNKESASLIKVKELSKLLEEGTTRKQAENNGELADSEKDYNLLPKVVEFSLHNGDQKEENTEAEIPHHEEPVKEIPLTERNVVDVKTVENGTETTDINEKPKVCESKEKDDDNSVEIESKIWESGKIEEKDFLEAAEKESVEEVDSKTENNSLDQINGLSSKEDTPTVHLENGGSSPPTKEQSHKKKKPLLHKFGSLLKKKSSSNPNCSTENAEAEIPHHEEPVKEIPLTESNVMDVKTVENGTETTDINEKPKVYKSKEKDDDKSVEIESKIWESGKIEDKDFLEAAEKESIEEVDSMTENDSLDQINRLSLKEDTPTVHLENGGSSPPTKEQSHKKKKPLLHKFGSLLKKKSSSNPK >KZM90869 pep chromosome:ASM162521v1:6:19980052:19981585:-1 gene:DCAR_021766 transcript:KZM90869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVAVARYLHTEFVARKKRGEDEVVKLSQVVEGKSKKRNARMFYELLGLATQGFVDVKQDNPYDDISIMETSKCKQPFRADNMA >KZM91099 pep chromosome:ASM162521v1:6:22121000:22124267:-1 gene:DCAR_021536 transcript:KZM91099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPFPQPEPQQPPPEPPTVRKLIVEIIEARDLLPKDGLGSSSAYVVVDFDGQKKRTSTVTRNLNPVWNESLEFIVSDPKTMEFEQVEVEVFNDKKLSHGNARKNHFLGRVKLYGTQFAKRGDEGLIYFELEKKSVFSWIRGELGLRIYYYDEIVQSEQSHEAGAAPDQPLPPDQKPQLMVGEDGRVMEIPMQMPVHTIDDSQSPPLVTVQEQPPAVTVQMEHHHHHQYQNQHQRQMQYHNQPPQNYQDVAPPVMQQDVQPEARNDHERVRVLRRPNGGDYSPRVITGKKLSESERIQPYDLVEPMQYLFIRIVKARGLSQNESSYVKIRSSNHLVRSKPASFRPGEQAPIPEWNQVFALGYNKDTANSTLEISVWDGSSEQFLGGVCFDLSDVPVRDPPDSPLAPQWYRLEGGDDPNSARVSGDIQLSVWIGTQADDAFPESWSSDSPYVTHTRSKVYQSPKLWYLRLTILEAQDLQIAPNLPPLTAPEIRVKVQLGFQSSVRTRRGSINHHGSSFFWHEDVIFVAGEPLEESLILLVEDRTGSDPALLGHVMVPVGSIEQRLDERHVPSKWFGLEGGSGGSYPGRIHLRMCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAVGILALGILGARGLLPMKSKGEGKGSTDAYCVAKYGKKWVRTKTITDCFDPRWNEQYTWQVYDPCTVLTIGVFDNWRMFADTSDEKPDYRIGKVRIRVSTLESNKVYTNSYPLLVLQRTGLKKMGEIEIAVRFACPSLLPDTCAVYGQPLLPKMHYLRPLGVAQQEALRGAATKMVAAWLTRSEPPLGPEVVRYMLDADSHTWSMRRSKANWFRIVAVLAWVVGLAKWLDNIRRWKNPVTTVLVHMLYLVLVWYPDLIVPTGFLYVFMIGVWYYRFKPKIPAGMDTRISQAETVDPDELDEEFDTFPSSRPPEIIRMRYDRLRTLAARIQTVLGDFATQGERAQALVSWRDPRATKMFIAVCLSITIVLYVVPAKMVAVALGFYYLRHPMFRDPMPPTSLNFFRRLPSLSDRLM >KZM89889 pep chromosome:ASM162521v1:6:6956118:6956515:1 gene:DCAR_022748 transcript:KZM89889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYSNQTVFCSNQAQFSSNQASFREAADIQSSSDTDELLPVPDDYSHQELT >KZM89638 pep chromosome:ASM162521v1:6:2768630:2771030:-1 gene:DCAR_022999 transcript:KZM89638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDRKSGGVSNVGAWGMNVVSSVGIIMANKQLMSSNGYAFTFATTLTGFHFLVTALVGAVSNAAGLSASKHVPLWELLWFSVVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHSKNYTREVKLSVLVVVIGVGVCTVTDVKVNAKGFVCACVAVLSTSLQQISIGSLQKKYSVGSFELLSKTAPIQAVSLLILGPFIDYSLSGNVILNYSLSYGFFACLFLSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLILGWVLFDSVLTLKNVMGMVIAVAGMVIYSWAVELEKKAKIAPHHIKSNLTDEDIRLLKEGVEHTPLKVIEIEQSKL >KZM90225 pep chromosome:ASM162521v1:6:12833912:12835819:-1 gene:DCAR_022410 transcript:KZM90225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVEIKVQELVQARSSGCQVLPERRENIQDDPWLRTMETESVEHWLPITESREGGAVSATFLLICSGMGLQSFALPVALVSLGWCWGIISFTIGYVWQLYTIWLLVDLHESVPPGIRYSRYMHLSVTAFGMKLGKLLSLFPVMYLSGGTCVVLIINGGSCLRSLYLEIWAHDSTKTASSDAEWYLLFILMAVILSQFSPNMNSAAKIAAIGTSASVIYSTLLVVLSLVQNRPDGISYSVKQAPKEQKDIYGSINALGLIAFAFRGHNVILDIQGTIPTSKNRPSKGPMNKAVSVSYLVIAACFYPLTLAGYWSYGNKLLTNDNMPLLRSFMEYHRNSMPKFVKAIIYLLVTTHFLTIFQIYGMVVWDNFERIYITMKNRRCTKCIRGGIRALFGGLVYFVALELPFLGSVSALLGAITAVPITFIYPCLMWIMIRNPRQTSRMWYLNVGIAFLGVVLVVLVEIAAIRTLVVYGLKATFFNPK >KZM90237 pep chromosome:ASM162521v1:6:12943283:12945469:-1 gene:DCAR_022398 transcript:KZM90237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGVTKSTSTSSTQSNGESKSDKQNMGPLTVETEETFSNLLEFAANNDFEAFKASVEENSLAIDEVGLWYVRKKGSKQIVLEHRTPLMVAATYGSLDVIKFIISQPSVDVNLACGPDKCTALHCSASGGSVNAVDVVKLLLGVGADPHIKDANGQRAVDVIVAPPKVLNVKASLEEMLLGSVSDGSVEECKLRVSISSSSYSPSLSSSPDNGSPCSPSDLVSSPMLSKFTDVPNGAISEKKEYPVDPSLPDIKNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGTCRRGDMCEYAHGVFECWLHPAQYRTRLCKDVTSCDRRVCFFAHTPEELRPLYVSTGSAVPSPRSSASAASVMDMAVAMNLLPGSPSSASMMSPSAFNQPMSPSGNGAHSSLAWPQPNVPTLHLPGSNMQSSRLRSSLSARDIPPEDLQLLQDMDAHQLLLNDLACLSQSRPSPVSLSRSGRPKTLTPSNLEDLFSAELASSPRYSDQMASASAFSPSHRSAVFNQFQQQQNMLSPINTNVFSPKNADHPLLQASYSVSSPGRMSPRTVDPISPMGSRQTAFAQREFQQPQQMRCLSSRDLSTNNVSMVGSQVNSWSKWSSPSGIVDWSVKGDEHSWLPRSSSNDLNKNTEEPDISWVQSLVKESPPEMKDKLPASVASAAPSGEGLKSNPQAESVDHTVLAAWIEQMQLDRLSV >KZM92726 pep chromosome:ASM162521v1:6:35846951:35849223:1 gene:DCAR_019909 transcript:KZM92726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWRIRDVCVGEISRVKSLPSSTKLVRDTTSHFKKSKQLIVDEEKVGDDEHSGHVHIHTHGTHGHAHGSVVPSEEQEAGDPDLLRHRIISQVLELGVIIHSIVIGITLGASQSPETIKTLLAALSFHQFFEGLGLGGCISQARFRSLHTILMAVFFSLTTPIGIAIGIGICSVYSENTPTALIVQGIFNSASAGILIYMALVDLLAADFMDPKMQSNIRLQVAANISLLLGAGCMSILAKWA >KZM89635 pep chromosome:ASM162521v1:6:2745092:2746644:-1 gene:DCAR_023002 transcript:KZM89635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLSIFKIQGQDKRGHKLLRIIGNLFPARLVSAEVLRKYMNEKVFPRLSNDGDEVKPFSVVYMHTGVEWRENLPGIMAARSINNAIPVNIRSNLQAIYFVHPDLQSRVFLATFGRLLFSQELYSKVIYMSRLQFLWKHIRRNEMELPEFISNYDKALDSCRRRRLPLADYSLEFHDRKNQVHSVAAYLESPISTFSIRRIG >KZM91475 pep chromosome:ASM162521v1:6:25438595:25438882:1 gene:DCAR_021160 transcript:KZM91475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFCFGEEEATNEHATNEHEEEVNMLFAEMDMCLKEIEKEEEEEREAEKKKEEEEEAEFQALLEEEGTTYEEAMAEFRAKIQSVFDSSDEEEK >KZM92265 pep chromosome:ASM162521v1:6:31942353:31944719:1 gene:DCAR_020370 transcript:KZM92265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFFLGIFVGILLEWTWKKWAPLGFCLFQRLTSGPSPPCVSAAPVASSPQAQKEHLDITDGDLHHLQKLDEKNDGGPLWKHLMDHKTPNMICQAWQRDSATGPPQYYTRTVYEDATPEMVRDFFWDDESRLQWDDMLLNATTIDECSATGAMAVHWVRKFPFFCSDREYIIGRRIWESAGTYYCVTKGVPYPSIPPKKAPKRVDLYYSSWVIRAVASTRGNGEMTACEVVLFHSEDMGIPWRLAKLGVKHGMRTTVQKIEAGLRVYQNQRASGSPLSHTARLAQINTRVDLNSPAPEENNGDSPQQDLVTAAEMAKKKRRLRKLWMIGGVVFLAIIDRVNKVKY >KZM89505 pep chromosome:ASM162521v1:6:1370631:1375526:-1 gene:DCAR_023132 transcript:KZM89505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLRPGSCVAKLALRRSLVRRGCYIRTCVDPGKNRYFHTTVVKLKDQDAPVPRSVPLSKLTDSFLDGTSSVYLEELQRAWEADPNSVDDSWDNFFRNFVGQATTSSGVSGQTIQESMRLLLLVRAYQVNGHRKAKLDPLGLEERVIPIDLDPGLYGFSKDDYDREFFLGTWRMLGFLSENRPVQTLRYILSRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPASTQYNKQRREVILDRLIWSSQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPIDEVGLYTGTGDVKYHLGTSYDRPTRGGKNLHLSLVANPSHLEAVDPVVVGKTRGKQYYSNDVDRTKNMGVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKSGRSSQYCTDVAKALDAPIFHVNGDDIEAVVHVCELAAEWRQTFQSDVVVDIVCYRRFGHNEIDEPFFTQPKMYKVIRSHPSPLEIYQKKLLEYGHVTKQDIERIQNKVNTILNEEFIASKDYVPKRRDWLSAYWAGFKSPEQVSRIRNTGVNPEILKDIGKTITILPDNFKPHRAVKKIFSDRAKMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGKKYCPLDHVITNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFAIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQVYYELDEERKKSDGKDVAICRVEQLCPFPYDLIERELKRYPNAEIVWCQEEPMNMGAYNYIVPRLSTAMKALSRGTTDDIKYVGRAPSAATATGFYSVHGQEQTELVQKALQSERIETYIHT >KZM92264 pep chromosome:ASM162521v1:6:31932331:31932999:-1 gene:DCAR_020371 transcript:KZM92264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSGSNWLTRLRSSKGFPADQHLNLEQFLTLHNPNQIPDPIPNDPNRNLNPIPPPNPGPNRTRSSAPVNGALDSSITMSDILSELFVMGNPQNVPKKKGVRKQPNPRNCAVSIPPIATPSVSCTNLAFEDEEDDTRRRDLSAFSRTEVTVIDTSVKNWKFEKLLFRRKNVWKVRDKKCKSVINVDRKKRKGSSFDDFRSEKKSKLGDGKRVENEVSHQVLK >KZM89547 pep chromosome:ASM162521v1:6:1893424:1906413:-1 gene:DCAR_023090 transcript:KZM89547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDFNGDVGSLDAELLQLAEVSSLAIKSNPFVAEKLFEQWLSLPDTVSMVKSLLNNAKAGVPLNVSGTCSSPNAASSNSIPSMFSAGSTPPLSPRSSSGSPRIVKQRAGPSVLGSPLKVLSEPVKEVIPQFYFQDGRPPPNELKERCLFRINQFFYGHMDGLQINEFKPVTKEICKLPSFFSPALFKKIDANSTGIVTRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSDPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIVDMVKPENESYFTLRDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDAEDASNGSADVWDESLEAPF >KZM90345 pep chromosome:ASM162521v1:6:14448680:14449039:-1 gene:DCAR_022290 transcript:KZM90345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSTYKPFHPSYEEMIFHAICSLKRRNGSSSSAIAKFILKHYGGLPKNFRKILLHRLKELVACQKLIRVKNSFKLPSQ >KZM90119 pep chromosome:ASM162521v1:6:11348431:11348923:-1 gene:DCAR_022516 transcript:KZM90119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKSLGGSSAVKKSTSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRVVPRHIQLAVRNDEELSKLLGTVTIANGGVMPNIHAHLLPKKASSASSKIGADDD >KZM90053 pep chromosome:ASM162521v1:6:10049585:10054146:1 gene:DCAR_022582 transcript:KZM90053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPAYTKPTCTVKNDTHRYDTHDTHVSRTTVHHLHYSTVHHRAAAPSFRYITASTKKFEAIFGSRNRSSSTAQNHFNICLNRTRGGYIVQCRRSTRLRAHSLSKFTNTADTPVDLESEDQANMNTNNIGDKRPLAKVYRRPDNKVIKATAGRNIKTLVGNKLVDEVEGDEHNCNNLVDEQDGDQDNDKQSDEDMQEGEEDSAQEDSAEDMEQDDSAQEREEGDEDSAEEEDDEQDDVLNESEEENEDEEEEDEQEEDETENQAQVNNAQPKIKITKYKRKKEAAFETHIPRKRIAGTLYPLLKFMNKDVKKTEGAKHINKKKDEVKIRISPRHFSKMVGELTKEQRDWVTRAGFALLLDFELDILPTKIAYNVLQIFDHHSISLKLKDGDINITSEDVYDVLGLPNGGHPIILASPGKYSQRIKDWHAQFTLSDQITTQMIVQVMKNQEVNDNFKLNFLLVMSNVLIGTKGASYVDKQLLQLDDNLDNLKKYNWADFLLGYLVIGMESWNRTTTTFFRGSLIFLTLLYVDRVRYKGMNLVDRQFPSYNGWTLEMLRQRQEIEVIDGAFGVGSIQPSLKEYLQKIDPSEPPKTKVNDNENGAWDTWQYWSEVDRIEKDYLKRKESTSQQPHESTQCQSPQNTQYYTPPTEAADGNVEQTEEGRGTCGHKVQI >KZM89999 pep chromosome:ASM162521v1:6:9369587:9374207:1 gene:DCAR_022636 transcript:KZM89999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARVIVFPIRGRNWCFSRSVETTSSAIPASTRQQPQSHIPSTLKELWNRIYSAPGKKFTPSNAELVIDFASNKMNNAWIALETAPAGSLKNKIHGVGLKLLSRVKPSEILLKSITKDVTKVEIMYPLSLNARLVRRRLRHIALRGTIIHKKYFYGSLSLLPLTTVFTVLPLPNIPFFWILFRTYSHWRALQGSTKLLQLVSDSGNHMSSSATTSTEELTTNKKDHGSLHPQWVLLPSKELEEQISRENVNEGLSKCSVSKICKSYNLNIIDVMKYEKSLY >KZM90829 pep chromosome:ASM162521v1:6:19635446:19636705:-1 gene:DCAR_021806 transcript:KZM90829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFSDDYTHFAVKKGHGVKGISELGLKALPKQYIQPLEERIDMTKVLDKESIPVIDMSNLDDPNVADQIANAAEKWGFFQIVNHGVPIEVLEDVKEATRSFFQLPADEKIKFSQENSPTKHVRFGTSFIPKAEKALEWKDYLSLFYVSDEESSTFWPAACKNEAIEFMKKSEFVIKWLLQALMQKLNAENMDSKAPLLMGSKRINLNYYPICPNPELTVGVGRHSDVSTLTFLLQDNIGGLYVRKMETDAWIHVPPISGSIVINVGDALQIMSNGKYKSVEHRVAANGSNNRVSVPIFVNPRPEDIIGPLPEVLRNGEKAMYKQVLYSDYVKHFFRKGHDGKETIDFAKI >KZM91464 pep chromosome:ASM162521v1:6:25377275:25380053:1 gene:DCAR_021171 transcript:KZM91464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWLEQSLQEMEEKVEYILKLFQEDGDSFIKKAEMYYKRRPEFIEFVEESRHAYRALAQRYDKLSTELQNANTTIATCLPDQVHYSMDDDDEDYNVKGHKAPKGVGPPKGVEPPKGIQQSAPPPNVPKVPNAPIKSLKGIISDASKKLSKKPTKPTYAQIKPKPPPKSGLTEAEASEEVDKLQKDILALQTMKEFVKSSYENGLEKFRKIESEIMEKQDRASKLQDEFGITSVIENNDARDVMAKTALKSCQDTLAQLQSQQDKSSEEAEAETKRLEKARLRVKELRKKFLPDEPSDNETSDQHDDPKALGGESTSINQEVGPQRQKLEEIIETIKKLDESSGSITVTELAEKINELVNEVIHLESSVSSQTVLKNRLKTEADDLESHIQHFEDEKETTKHGPPNVSERVKDLEKKLKSVEVLNQNVKTNNNNFRTHLSVVHSSLDKVSEKLHTVKSDEEGEQSQEASDSEDKGPEKTKAHDIPKVQRESPDEVEGGDINSNKPTTTDISNEDIDPDDEANLPQLLLNGVEDKDKILKKEYDTIIRNYKEIKKRILNEEKTSDALFQTTVQVRVLRIAVAKRDKEIQALRDKLQENAPEAGVATVGEDAHTHPEDEVEEEINSLINESKPESPFEKKLKDQIDGILDENLDFFLRFSTTFHQIQKFKNSITDLQDEISKFKAREAKKAEGKPDQLDIEFKSDVRAVFRHLNEIKSELRVWLEQSDSLKEELQTRFSSLCSIQQTIIAALKEGVEKDEIAFTSHQAAKYQGEVLNMKQENKKVNEELQGGIDNVTSLQTECEDAIKKLDEAYGLTENAARNVNKPGIPLRSFIFGNKEKKKKPGLLSYMHPKK >KZM91187 pep chromosome:ASM162521v1:6:22864332:22868406:-1 gene:DCAR_021448 transcript:KZM91187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAGGSVLAITEKKAQRASGGGCGGIFFQLFDWNRRFAKKKLFSNKLLPLGSKKFGTDDKLPKLRLMCDEKGEAFSNGKKNGARNVDSGQKQGMKTPTLVARLMGLDSLPAVQRNKSKKGYELGVDRGEEIATDSCALARQQIEVEKAGSKHESRPQKLQKTGGSERRSVSRFGAEGLQFKNVLSRSGKHHHPKFVSPVKSPKSLSKKSASRLIGAATRILEPGLQARNRAICGLPSSSQQRHHNPTDAHLVEAETAVSTDQAECSTYYESEVRLSGQSSCKIYGKSVDFLESRSYLEEQRSVSDYMGCSSFGFESRQRLSPETGKESIFSANPVNSSDCTFQPPSNVNPRAEYNINRAALRKGGQTRWQLTSQQYKRQEASPSSVCYDQNIYVQDQGILRMDRIPPRSTYSVDLRKEPLNQRPPVRSSRMHGKLDKCGYVSERRSTDRRYDPLSSARKRRSVNVAREGNVSGFAGSPVDKERYINSNASSQAFVRGDDKSTNLPCIDSNLARPGEIIRISDTSNGDVSFTFSSSKKNKERILAKPDDREYQSECTCTHSSQRSSAFDTINRKRQTCFQKLPSPGDTLSVLLEQKLKELTSEEENAMPLEGSPLKRTSAIILQELISALTVERSFDANDVVARPTEIGDSSCCEHTSGSHPSFQAKQKVTEAQERYSNDSKNNNMSPLSVLEASISNESCLSSSIDGGSDFSYNEAQQLEPGVDGLHGVNSLSKERRSIELVADFLNSISDVVCSMDLVDSRLKGSKLAHAEEVILNAELVFGNTFQHNPAEIKGFSVCWFLVNELETLGSVLWTNFGCFSESQDTEGNLLKGFLFDCVIEYLDTKYVPSMKGGIKSWRGLPLSMNNEMLIAEVVEEIRSWTSCTSFVLDEVMDREMGKWTDFDIEAFETSVAVDEGILHSLLDEIVIDLCHRDQSLYV >KZM90086 pep chromosome:ASM162521v1:6:10469667:10471929:-1 gene:DCAR_022549 transcript:KZM90086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLLSTLNTNRTDWRIQVQLSRILPRTSIDGNIAGYNLIMIDNQAEILNLFDNYITVGNIYEFSTFYMRMASGRFRPTRSVGPWSSVCRPLSACLATLSVIYQDVIGIVENIQPLKIIITPRGNVRLIRMSLGDGLVGHKFSKYPSACDVSSAGIIPSSRDNLVKAIITNEYFSNMCQMLTKVNFKMESDTLESLFIGDAIIFSTSDVF >KZM91529 pep chromosome:ASM162521v1:6:25841976:25842912:1 gene:DCAR_021106 transcript:KZM91529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIGNWISKVQKKQGPSRAIQELTAQLHEQLQSGVLDQEQFVQASSDYDENFIYKPNWMTPSNETLLGAVLHTSPAKK >KZM90336 pep chromosome:ASM162521v1:6:14424041:14424490:-1 gene:DCAR_022299 transcript:KZM90336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTEEEDKGQPGDHLAMHVLENNHHSLHFHSPQVISLSSNNHLERLDLKVKLEKATKQAESVETYAKKAINDAHDAQASGKKAIYKAVASTTNCYKICLDNFVVSLGISGEDKSLEDHVSKLVKAIPFHARAPADMAVEVPGQEGDVG >KZM92597 pep chromosome:ASM162521v1:6:34706047:34710281:1 gene:DCAR_020038 transcript:KZM92597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCNFPQGSLFLGFDSSTQSLKATVLDSNLNIFATEIINFDSELPHYKTKDGVVRDPSVNGRIVSPTLMWVEAFDLILERLDKKVDFSKIAAVSGSGQQHGSVYWKPGSSAILSSLDSKKPLVGQFCDAFSVSESPVWMDSSTTEQCKAIEKAVGGAMELSKLSGSRAHERFTGPQIRRIYETQPEVYKNTERISLVSSFMASILIGSYACIDQTDGAGMNLMDIKQRAWSKTALEATAPNLEQKLGKLAPAHAVAGLIAPYFVERFHFNKDCLVVQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITNKHQPRLEGHVFPNPVDTEGYMVMLVYKNGSLAREDIRNRYASKSWETFNNFLQQTQPLNGGKLGFYYKDDEILPPLPVGFHRYILSNFNGDTSNGLVEEEVKEFDAPSEVRALIEGQFLSMRAHAERFGMPSPPNRIIATGGASANDSILSSIASIFGCNVYTVQRPDSASLGAALRAAHGWLCNKKGKFVPISDMYKSKLDKTSLGCKLAVSAGDKELITKYGFVMKKRMEIENRLAQKLGRF >KZM91008 pep chromosome:ASM162521v1:6:21333683:21335876:-1 gene:DCAR_021627 transcript:KZM91008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTIGRYRSEVGLYQPNNEGFRTMEIWRNEIDELKRTIDNLEATHRHIAGEDLGMLGMKELKQLERQLRVGVERVRSKKRRIISEHINMMKRRQKQLQEENTRLQKKLHELEEVGSANSRSVLGVNACDSFQRFVEQEGAEH >KZM91039 pep chromosome:ASM162521v1:6:21611791:21612689:-1 gene:DCAR_021596 transcript:KZM91039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTLLFVASILLSSFALSCYASGTFSALQKSILLTSSPKSGQVLKAGEANITVTWSFNKTYPAGTDSAYKTVKVKLCYAPISQKDRGWRKTKDELKKDRTCQHKIVKRDYKPETDSVTWTVERDIPTATYFVRAYVYNAEEKEVAYGQSTNDGKKTNLFEVEAITGRHVSLDIASVCFSVFSIVSLAGFFYLEKRKGAASQQK >KZM90155 pep chromosome:ASM162521v1:6:11767739:11769137:-1 gene:DCAR_022480 transcript:KZM90155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSAGSQQQPQLPPGFRFHPTDEELVVHYLKKKAASVPLPVSIIAEVDLYKFDPWELPAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTTTSSGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADNSKSTNMKPPGCDMGNNKKGSLRLDDWVLCRIYKKNNSQRPLMEHESCESMNEMVFASIPGASCLQIGQQHPKLMAGLKASTTTSYSAMLENEQSMLHNMINNSDASISSKSHLSLPSPNNQVMSIKRSMFWDEDGSGPSSTHKRFLTDHQENQEDGRTVQDDSGSMASFLGQFPQTPQALQQAAMLGNVGDGVFRQQPNYNQLPGSMNWYS >KZM92133 pep chromosome:ASM162521v1:6:30784746:30786164:-1 gene:DCAR_020502 transcript:KZM92133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNNHNHQSNGESKSPIVVVMVPFPAQSHLNQLLHLSRLISPYNIPIHYVSTPSHIRQAKSRLQNWNLNSANDFHIHEFAIPSFEALPPNPNDPTKFPTHLLPMFHASRHLQEPVTALLQSLAATAHRVVVIHDVLISSVVQEVTNIPNAEAYALQSVSVFNHFSTIWEMMGKPLSVQDEAFDHLLSQEGCVPMEFIEILMGQSHLANQFASGVIHNTSKAIEGKYVEFLDREEFSGGIKQWALGPFNPISIPNEEKIRHKCLTFLDKQSPGSVIYVSFGTTISFTDEQIQELALGLEKSEQTFIWVLRDADRGDIYAGPERKAELPTGFEDRIKERGMIVRDWVPQLEILAHPSTGGFMSHCGWNSCLDSITMGVPIAAWPMHSDQPRNAMLVVDILKMGTFVNEWAKRSEVVGASEIAKAVRKLMASEEGDENRKRAVEMGAAVKQSLADGGVTRMELDSFVAHISRI >KZM89573 pep chromosome:ASM162521v1:6:2224623:2224976:1 gene:DCAR_023064 transcript:KZM89573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYCYYRMLVVERTAWTEKNAGRRFVSCVYSKRGCKYFRWVEGPICERGREVIRGLLRRIENREEEKEIVKTVHNNGGSNEANLPIRSSVCRAFVLFIALVLILYVLSGNRCVCGV >KZM90916 pep chromosome:ASM162521v1:6:20389874:20396838:-1 gene:DCAR_021719 transcript:KZM90916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHYRPAGKKKEGNAAKYMTRSQAIKYLQVSLSLFRKLCILKGVFPRVPKKKVKGNHHTYYHVKDIAFLKHEPLVEKFRDMRTYDRKVKKAESKKNRDLAERLLTRKPTYTLDRLIRERYPKFIDALRDLDDCLSMVHLFAALPAIEREKIEVKRVHNCRRLSHEWQAYISRCHKLRKVFISVKGIYYQAEVEGQKVTWLTPHALQQVLPDDVDYKVMLTFLEFYETLLAFVNFKIYNSINVKYPPILDPRLEALAADLYALSRYFDANSKAPMVSSQDAALSESNQVKDKNNDAELHESELRLAQLQHQLPSNEPGALMRLVEDAAGEDEDDEETKECKTLFKDMKFFLSREVPRESLLFVIPAFGGVVSWEGEGSPYKETDQGITYQIVDRPTQGHKFFTREYVQPQWVFDCINARIILPTEDYMVGRVPPPHLSPFVVYDPEDHVPEYAETIKRLQAAARQEVLPMPGVVGVEDLENPQNLLDEAIIDRTEAIELLKLQKQHLDELNKEVKGEQLAVESDASDKKPVDTGFIAADSEQAIKDAADITMVGVSRKKRELYKAMQIGNERKKAKTQLLKERKRLLDEAKKSNT >KZM89833 pep chromosome:ASM162521v1:6:5621552:5621899:-1 gene:DCAR_022804 transcript:KZM89833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLSCGPQEPIAQSVMDTTHLHTEFDQGRVQSENETATTLVTLPSVTFPIKVVVTASVAVNDSAWTITPLAVDYIPVVRTSLALTSAPAVKAYTTVFTQTTSIVSTQPAVTPM >KZM92067 pep chromosome:ASM162521v1:6:30254301:30255262:1 gene:DCAR_020568 transcript:KZM92067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSPSLFQTFGWPIEDPVINHDYNNFYADAEIQNSILHFPSTLDAQPQVANGDSSAFEPTAVVKKINHNANERDRRKKMNSLYSSLRSLLPASHQAKKLSIPNTVSRVLKYIPELQNEIERLARKKEALSAKILKQGELFQYENQRNKSIRGSLSTVSASLIGDKQVTIQISTLNADMRLQSEALEFVEKDGFELVNASFSSFGERAFYNLHLQVQRPLQVTEIESLRVKLSTLCHKWEDQV >KZM92815 pep chromosome:ASM162521v1:6:36504497:36513099:1 gene:DCAR_019820 transcript:KZM92815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAPRHRAHFKKPTWVFLFIFLVSLFLVCAYVYPPRNTAACYVFSSASCKALTDWLPPAPARDLTDEEVASRVVIRDILNTPPAISKPPKIAFMFLIPGALPFEKLWDKFFQGHEDRFSVYVHASKEKPVHTSRFFLDREIRSEKVVWGGISMIDAERRLLANALKDPDNQHFVLLSDSCVPLHDFDYVHNYLIYTNVSYIDKFRDPGPHGSGRYSERMLPEVEKKDFHKGAQWFTMKRQHALIITADSLYYTLFRDFCKPGMEGNKNCYPDEHYLPTFFHMLNPLGIANWSVTHVDWSEGKWHPKSYKAADVSMELVKNITSLTENVHVTSDERKEIQIRPCLWNGEKRPCYLFARKFLPETLDKMVLLFSNYTTYTAHVNGMGSCMSSKLAEEEEEEEEVMKIRLVHLNGWTEEFEDAMTVAQVTGGDKQQHFVFAQAQLATLLNSKPKPLDSATQLQKGSIYFLLPHSLFNTEMSPIDLATISKRLTLAAAAHKPSRIDKKKKKKKKKKQSKLEIRNRYADRYVPPRINEALGDVDINNHKQNTSWKPILESITERSFTRAESDSSHQDQDHRY >KZM92629 pep chromosome:ASM162521v1:6:34980556:34982533:1 gene:DCAR_020006 transcript:KZM92629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYFIHGGKRIWLSTCLLTAGWPIILAVIVFTFYHRRATTGNSTAKMFNIRPRLIVASAVVGVLIGLDNYLYSYGVAKLPVSTAALVQKFSSFSINAVVLLTIGSGVLALHTNSDRPEGESKREYVLGFVMTLGAAALWGFILPLIELMYQKAKQVIDYPLVMEIQLVMCFFATLVGIVGMLINNDFKAIPREARNFDLGEANYYIILVCIVISSQFFNLGAGGVIFCSSSLLSGIVVAVLLPVTEVLAVLFFGEKFQAEKGVALVLSLWGFVSYFYGEIKHSKKSEKNSPAETELPINQTSVK >KZM92070 pep chromosome:ASM162521v1:6:30271482:30273797:1 gene:DCAR_020565 transcript:KZM92070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQSIPHLLYSNSVFSSNRDCVFVDFIGLSAKSRRRVRAASGVSAVSKFKRANFSPIKAVIDLERLGNASTQSENPERKAANLEDILSERGACGVGFIANLENKASNSIIKDALTALGCMEHRGGCGADNDSGDGSGVMSSIPWGLFNNWAETQGLAPFDKLHTGVGMVFLPRDAAQCETAKTAINNIFKQEGLEVIGWRPVPVDTAVVGYYARETMPNIQQVFARIINEENVDDIERELYICRKLIERVANSEAWGNELYFCSLSNQTIVYKGMLRSEVLGRFYYDLQNDLYETPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQVTIYITM >KZM90542 pep chromosome:ASM162521v1:6:16706432:16712345:-1 gene:DCAR_022093 transcript:KZM90542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HDR-1 description:4-Hydroxy-3-methylbut-2-enyl diphosphate reductase MAVPLQYCRFSSVTGVYSAENKLISCRKPNSVRCHAGEPAVTLDSDFDAKVFRKNLTRSDNYNRKGFGRKEETLELMNREYTSDVIKILKENGYEYTWGNVTVKLAESYGFCWGVERAVQIAYEARTQFPDDKIWITNEIIHNPTVNKRLEDMEVKDIPITDGKKQFDVIDKGDVVILPAFGAAVDEMMVLNDKNVQIVDTTCPWVSKVWNTVEKHKKGEYSSIIHGKYSHEETVATASFAGTYIIVKNMAEATYVCDYILGGQLDGSSSTKEAFMEKFKYAVSKGFDPDIDLVKLGIANQTTMLKGETEEIGKLVERTMMRKYGVENVNNHFMSFNTICDATQERQDAMYKLVDEKLDLMLVVGGWNSSNTSHLQEIAEERGIPSYWIDSEKRIGPGNRISHKLMHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALIRVFDIKREEALQTA >KZM92335 pep chromosome:ASM162521v1:6:32598355:32598680:1 gene:DCAR_020300 transcript:KZM92335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLDGSSNGSLLHLSNHKMTTKYGGHAAAPSCFFQMPVHYPRYSKSDYENMPEWQIDRLLSQYGLPWTGSLDQKKRAD >KZM89482 pep chromosome:ASM162521v1:6:1141123:1141962:1 gene:DCAR_023155 transcript:KZM89482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPLKSTVKHCASTLYACRISLDEEDMKSKQKTRKKHSKEKKRAENDEMKQPPVGDKEYIVFRFREDGGIDLAEEKSLISGWDRRMDHTHHNHKTLKELMMFDENSESLQFKVNADGLIISDDEGEEQTRDESSRELSRSNTNDDGDDIKVITAIEESREHKTLSESTRSDSSSGSFAFPV >KZM91217 pep chromosome:ASM162521v1:6:23265655:23265957:1 gene:DCAR_021418 transcript:KZM91217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWLVLALMLLIGAASSGLLVDAQSICNVSVDSLVACKPAVTQPHPTAPSKPCCTAISHADMKCLCSYKNSTMLPALGIDPELAVQLPAKCRIRNAPKC >KZM90516 pep chromosome:ASM162521v1:6:16445932:16461469:-1 gene:DCAR_022119 transcript:KZM90516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNGDEASNSNRKIASSSYWLDACEEDMCCDDLITFPSDFHPPPPPVSSSLDQQPEDSVLDPCFFGGIDGILDSIRKGAGFTPPPPQSQFNNELEMEEVDKSFHSNDETIIHLNHSFLPDDNALATSKALPVKSTHESYSSSVLNNDHNKVSSTHPPDVNCVKWQTDEAHHRSSGIIHRADRIDKKPQLHHQQDHYLARKNKNNNHNPRERKRSRDWEESDRRDRSRIRRSERNNGSVNGKKDFRENRGYWERDRSKGSGEMVYRPGSWEPDQTREFKALSNKTHDNSGEVKKAIEPKEKVIEEHARKYQLDVLQQAENRNTIAFLETGAGKTLIAVLLIKSLCSRLQKINKKFLAVFLVPKVPLVYQQAEVIRDRTGYQVGHYCGEMGQDFWDARRWQHEFETKQVLVMTAQILLNILRHSIIKMESINLLILDECHHAVKKHPYSLVMSEFYHTTPKMKRPSVFGMTASPVNLKGVSSQEDCAIKIRNLETKLDSIVCTIKDRKELEKHVPMPSETVVLYDKAASLCYLHEQIKQMEVAVEQAALSSSRRSKWQFMGARDAGAKEQLRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAFKVAQSFLTALQNDERANYQLDVKFQETYLDKVVSLLQCQLSEGAVPDNLEDALKNGVDVGGLDEEVEEGELPAIVSGGEHVDVIIGGAVADGKVTPKVQSLVKILHRYQSTGDFRAIIFVERVVTALVLPKVFAELPSLSFIRSASLIGHNNSHEMRTSQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMAERGNLSHEAFLRNARNSEETLRKEAIERTDLSHLKDNSRLISADSSPGSFYQVESTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHEKLGGPPEYSCRLQLPCNAPFENLEGPICISMRAVCLLACKKLHEMGAFTDMLLPDKGSGGDGEKPEQNDEDALPGTARHREFYPEGIANILEEWLVCAPSHIPQLVFIINSELVHLYMYALRCSNVGSSKDNHITNVSEFAVIFGKELDAEVLSMSMDLFVARTMITKASLVFQGPIEITENQLVSLKSFHVRLMSIVLDVDVEPATTPWDSAKAYLFVPLLSNKCRNLGEEIDWNLVEQIVKTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGMAFGQKYHPTYGIRGAVAQFDVVKASGLLPNQGIVEMPNHMNLTKVKLMMADSYTTPEDLVGRIVTAAHSGKRFYVDSVRSDMTAENSFPRKEGYLGPLEYSSYADYYKQKTGQLQCTSVDVHGKLYSVRISNRYGVDLKCKQQPLLRCRGVSYCKNLLSPRFVHSEAHDGESEEALDKTYYVYLPPELCFVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKNMIGYSVPSLKILEALTAASCQEPFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQFALNKGLQSYIQADRFAPSRWSAPGVPPVFDEDTKEEDLPFPDQERVLDTYLSGIDSSKGISEDDEMEDGELESDLSSYRVLSSKTLADVVEALIGVYYVEGGKIAANHLMKWVGIQVDFDVKELDFSSKVSHVSDSVLKSVNFEALEGALHFKFKDRGLLIEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHNLHVHLRHGSNALEKQIRDFVKEVQNELLKQGFNCFGLGDCKAPKVLGDIVESIAGSIFLDSGHDTGVVWQVFKPLLEPMVTPETLPMHPVRELQERCQQQAEGLEYKATRSGNVATVEVYIDGVQVGAAQNQQKKMAQKLAARNALIALKEKETIEADEKRDEDGKKGNGSHTFTRQTLNDICLRRNWPMPSYRCVNEGGPAHAKKFTFAVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNNWYA >KZM90409 pep chromosome:ASM162521v1:6:15632390:15632623:-1 gene:DCAR_022226 transcript:KZM90409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMVGEQPVGEVTILAPTISRNKGNYFKRLVSDREKAMTKANKRVRRCKECSATTHDSRTCPKKKKDGGAVVSNIL >KZM90861 pep chromosome:ASM162521v1:6:19904910:19908377:1 gene:DCAR_021774 transcript:KZM90861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKIAPSMLSSDFSNLASEAHRMLSFGADWLHMDIMVFSNSSYMHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPLDYVEPFGKAGASGFTFHVEASKDNWKEIVQKIKAKGMTPGVSLKPGTPVEEVFPLLDDENPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRKKYPTLDIEVDGGLGPSTIDIAAAAGANCIVAGSSVFGAPEPAQVISVLRKSVNEAQISK >KZM90448 pep chromosome:ASM162521v1:6:15897074:15897754:1 gene:DCAR_022187 transcript:KZM90448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKERDAAGCFRCGLKKAFRVVRDYGIAYLENCPFVPSLDEHKGHLKKTDYPRIRIVDFKVHLSVEEVIRILKHEKKAVVGYLQVTHEFAAYKKGIYSHPPAVTAEGASSRFYLGRHGVVIDGVNESEKYFTIKNSYGIKWGIEGCGNVSMDVFVDFGYPVEAYEMPYDPSS >KZM90389 pep chromosome:ASM162521v1:6:14861246:14863096:1 gene:DCAR_022246 transcript:KZM90389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSTIFSSSPNPPTKPTFLDKKPIFFNDPLSRRSLLLTSFSSISFLSLSLNPSLFIPSLAHAQTSSPSKSFLSGIANTKSWFQFYGDGFTIRVPPDFKDFTEPEDYSAGLSLYGDKAKPKVYAARFASPDGSEVVSVVLRTSNSLKITFLEVRNDIHEA >KZM91814 pep chromosome:ASM162521v1:6:28025587:28026687:-1 gene:DCAR_020821 transcript:KZM91814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEGVVEIKREKSNLSRRAGGDEFGRAVSRIAVAQICESVGFESFNESALDALADIAVRYLRDLGRSASFNTNLAGRTETNVFDIIRGLEDLSASTGFLGASGDSVCLAESGTVRGIIEYVETAEEVPFVQPVPNFPIVKKPSRIPSFVQMGETPAFKHVPAWLPAFPDPHTYIHTPMWNERKTDPRADKIELARQRRKAESSLLNLQQRIMCNGSAVASTSTKLDNGFVAGESKNPYLAAPFKAGEKDVSTIVMPSKLSEEGRENKHVSLLETFAPAIEAIKEGISEFGDGAGTSIPDKRLAVRLNFKTARKAFLCESPDLSLLKKGGQASFWFGRDEDRDDKKRRAELIIRQSMENPMDLTQL >KZM91911 pep chromosome:ASM162521v1:6:28878038:28882213:1 gene:DCAR_020724 transcript:KZM91911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPTVRFHSRINMTCVNHETGVVESKKFGILSNWQREYTMEDILTQLKKEMAAPPNRKLVQPPEVCNGILSWNVNFMGNASRSLSYYLA >KZM90032 pep chromosome:ASM162521v1:6:9754702:9757449:-1 gene:DCAR_022603 transcript:KZM90032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFSVVGAAGGLVNTIFSCNHHPQAQPNQLAVSSSSSKSKRKTTTILPCYPQILKSKHTSRIISSSASILSSSSSDLIAFDERTSPLQVNKEIQRCYQLINRLGRGVVYLGSSRLGDDHPHYNQSLELAREVANLLDCTSWSGVGPGLMDAVTQGALEAGKAVGGFKIAKEAGEWTATNYHPYLPSDTYLTCRFFSARKHGLVDAAVRASNSDRTAVIGLPGGIGTLDEIFEILTLIQLERIGSVHPVPFILMNYDSFYSKLLEFLDQCKDEGTVGEGEVQSLWKVCDNNLDALTYLAKFYDLPPSEITLTNN >KZM89429 pep chromosome:ASM162521v1:6:676011:676694:-1 gene:DCAR_023208 transcript:KZM89429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPNIFGNKHAKKDSHANMCSSSSLKINKDSHFIKKSPSMSSSSSSPMANGVVAATTKPQQRHPVIIYTHSPKIIQTHPRDFMALVQKLTGLSKSEKDQSATKSSKIEQNEEDHRNHHNINKNPNKGIMINDDNESTSVITDENGSNSMGDGHVNSFFVPPIFDHPNPCFNNIPLFQPNSYDLLCSNQPYYNYNHHDPLYFMPSMGSVSSYLTLEGLKEFPDHFQ >KZM92098 pep chromosome:ASM162521v1:6:30531755:30533343:1 gene:DCAR_020537 transcript:KZM92098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCTLAPQIMKKCCPWWPADQVIDMANIGKRAIHYLHKLSAANIPTDLTEKGQNFERNQNLRTADDLLLSENSSKDKLETCRSAATIAPPQIEKCLCTAMQKIMELDALKFKGDSRYTRSYNMSRWSQSSCVGDNFR >KZM89711 pep chromosome:ASM162521v1:6:3629939:3630208:-1 gene:DCAR_022926 transcript:KZM89711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLVISVVKNSDKKLSGKKCRGEGGGGGGGGGGSYTSTSLLKIQTTMRTDKYFIHIAGVYCQLTAVGLLILCQAKAKSHQKAAAKAHH >KZM91290 pep chromosome:ASM162521v1:6:23866587:23869643:-1 gene:DCAR_021345 transcript:KZM91290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSETAECSGVSMELSVKNKKKGSGARLWMRMNKLGQSEVIECDKSTIVKRLGVPARALRLMLSPYFSHSSTVLARERAMIVNLEFIKAIVTAEEILLLEPLRKEVIPFVDQLRLQFPLRSPRRMDGAGQLVIRDTEHLTPRGQSDAVEVFQAELPFEFQILEIALELVCTSLESSVADLERVAYPMLDELARNVSTKNLERVRSTKSNLTRLLARVQMVRDEIEHLLDDNEDMSHLYLTRKLGQHQQSEALIGSMASNNTVGTSSLQRFSSVRSGSVAAGSYSNGKDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLILTIAAFAIAVGTLIAGCFGMNTPCQLYDIDGVFNTFVGALMAFCVVIFFLLLAYARWKNLIGS >KZM89365 pep chromosome:ASM162521v1:6:97242:98194:-1 gene:DCAR_023272 transcript:KZM89365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKSGSGSGAGVNMDELMRECFKIGADVEVFVFGWFRARVTGYEPDYYTLYIRYYDRYDDNGEQLNGQVHVSQGRPIPPKETVRNFEIDSYVDAFLDGGWWEGTVDEVHEGDRYTISFSDSTMKPSVYKASEEEEEEEEEEGAGADDLGEVVVDDDDEEEEESSDDDDEE >KZM91606 pep chromosome:ASM162521v1:6:26440603:26441671:-1 gene:DCAR_021029 transcript:KZM91606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGGGTRVPSYRERENNKRRERRRRAITSKIFAGLRTQGNYPIPRHCDNNEVLKALCNQAGWTVEPDGTTYRKGCKPVENMEAVGGSAPVSPCSSYNLSPYGSSSLHSPASSSFAPNVNVDGNSLIPWLNRLSYASSSASSSCSQLQQVGVQFGSISAPVISPLTSLAHTPRLQTETGWNTPQNSFFVSPTPPNSSCQIFPNSEWLNGPQIPPPRAPGSPTFSLISPNPFDLNEVAQPDQKADVSMEEAKMMDEFAFKGSTRQMNPWEGERIHEEFVSDDLKLTLGTPQSRNDNKKH >KZM89849 pep chromosome:ASM162521v1:6:6001594:6002413:-1 gene:DCAR_022788 transcript:KZM89849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTGSGAEFDEEVAEIRSAVVCEVNVVKEMLPYMTKEGLSIKNKTGFVALHIAMRQCHEGTIKLPSLYVILFGNLCALRCEHYINLFLKEVHAILKDLVHKSVTETVELKQYPSLRVEVLSAGVESLDTMKAESRKAPSIQ >KZM90517 pep chromosome:ASM162521v1:6:16465890:16467021:1 gene:DCAR_022118 transcript:KZM90517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASPSSKPNNNGQLESEQEDESSSVKFGNREAVEEVRKLTDVGAMTRLLHECIAYQRALDLDLDNILSHRSDLDKHLSLLHKSSDVLDFVKSDSHLMLSNVRSTCVLADQVSGKVRELDLAQSRVNHTLLRIDAIVERANCLDGVRKALVSEDFESAAQFVQTFLQIDDKYKDPGGSDQRDQLLAAKKQLQTIIRKRLSAAIDQRDHPVILRFIKLFSPLGLEHEGLQDYVSYLKKVISMRSRLEFEQLLQLMEQQISQTPPPVVNFVACLTNLFKDIVLAIEENDEILRSLCGEDGIVYAIIELQEECDSRVICYLLEESRVRTLGRLKCFWKRSSHLHNWARITLST >KZM90144 pep chromosome:ASM162521v1:6:11683795:11686277:1 gene:DCAR_022491 transcript:KZM90144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFQTTAPKSCFMRPPGGILAPGESLIATVFKFVELPDNNEKQSDQKSKVKFKIMSLKVNAGVDYVPELFDEQKDQVVVERVLRVVFLDAERSTPALEKLKRQLAEAESAIDTRKKSPAPTGPPVVGEGLVIDEWKERREKYLARQQVEAVDSV >KZM91429 pep chromosome:ASM162521v1:6:25125374:25128284:-1 gene:DCAR_021206 transcript:KZM91429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFSCFNAHVYSPKPKKSAQLSAEVMNKALEQHSDNQSSGSSQLPLEVQEDNTLVTSTHVKGSQLMELNWKSEEVKLPFQNESVAGVKKSCMRKSQSLGSGLDQKRRLSGGSDSENETVQGFSSERSHEGISSAVSVCDKDPEAPCSTSLLVSSGRANDESVFSVEDPRQFDKEDGLENSNTNYFGEYAGEYSNHSSETPRVISKSCSLPNLNAFSGQLSIIKTMRRAASYEDLNVLNVKEKKVFVPQIIQDREGDDDVEDDEKPSMEIPADDGYDEYNYVGSAKDWIIPATDENDAKITHQENTEIHQWEGMPDKDFKIKRIDVWVSALQDCSPKGENESAFSNHQEDDVAAVLDGSSTAKHDSKITPGMEAAKRYVSSLSATATAAQLSNHGLVMIPFLSAFVSLKALNLSGNAIVRINAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLDLSYNRIIRIGHGLASCSAIKELYLAGNKISEVEGLHRLLKLNVLDLRFNKISTTKCLGQLASNYNSLQAISLEGNPAQKNVGDEQLKKYLQGLLPHLTYFNRQSIKAGTLKDSADRSARLGISSHQIDRSLRSELKTTRKGNHGVAAHKRQSSSTQSRRSPHSQHAVASQKPSKDKQVRSTSTRDKINARPSFVGNKLLSLRPDLAMQRSRSEGNLGGA >KZM91660 pep chromosome:ASM162521v1:6:26886924:26890780:1 gene:DCAR_020975 transcript:KZM91660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSLSSHSEHSALFQLRSSLGIKAKYWPRKTDPCSRWVGIHCQNGRVTGINLSELKRTRASQLSPQFAVDALSSFSHLVLLNTTGFKLPGSIPEWFGFNLTTIEVLDLRFSSIVGSIPQSFGSLYRLSFICLSGNSLTGNIPASLGQLSELLVMDLSDNLLTGTIPFGAPSFNKITKIDLSSNFLSGPIPPGFGSLSGLESLKLSNNSFTGSIPAQLGNLSKLVELDLGFNFLSGLLPRSFGRLESLRKLVIANNGLDGQLPGNLFANLTQLQYVVLSQNHFDGIVPRFSMPSQLRHFDVSGNNFTGYLVNVTAIFGIPDRLFNLSNNHIYGNLTSSIGKFGILDLSNNYLQGAAPNESGSNIIVSGNCFLSLPGQRSSKDCKMFYAHRGLYASNDIGLHPVGLSLHRTPRRKHRLTYVLVGVFGGLGFIVVLALVLVVLLKVCGKRNIEQRGTSNVSPAPEVGNVQPPKASTFSCPGELFTYKQILQATKDFSDTNFIKHGHSGDLFRGTLEGGFAVVIKKVDVCSFPKESYTLELEFFNKFSHTRLVPLLGYCLEQEDEKFFVYKHMPNGDLSKCLYRPIGLKDASIHSLDWITRLKIAIGAAEGLSYLHHECNPPLVHRNVKATSILLDDKYEVRLGSLSEVRSQGGENHHNMITRLLRIQSGEQGASGPCTTSACDVYCFGKVLLELVTGKHGISETDDASTKEWLEHNLSYISIYDKDQVAKIIDPSLIIDDDLLEEVWAVAIVAKSCLNPKASRRPLMRHILRALENPFKVVREEHFSSARTTSSRRSWSATFFGSWRHSSSGSNQANRDIISALKQSGRVGSQSSGMHESSSSRKRLSSEIFPEPMEMQDVERQDNVHR >KZM89681 pep chromosome:ASM162521v1:6:3297253:3300905:1 gene:DCAR_022956 transcript:KZM89681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMGDGYVGTAQDAVRIRRLEKQREAERKKIQELKNKSATTKGQSGLLQFGSGTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEEIQMQKLKKRKIRVNPRLSFSDDLENGCEEEDGDNNNKESNNFGHRGFGKDPTVETSFLPDSNLAYIHALPLVCEAYFYSEREAEEQAERERLRKQWLCEQEHIKNEPLQITYSYYDGAGHRRVLQVRKGDSIGEFLRAVQQQLAPEFREIRTASVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >KZM90152 pep chromosome:ASM162521v1:6:11738537:11739719:1 gene:DCAR_022483 transcript:KZM90152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLVIVLLCVSGIVQTRAYTSLYCRYSSRCGAKTVYCPEECPDSESANSKSKVCRIDCDSPTCKSECKRIKPDCNSPGSACYDPRFIGGDGIVFYFHGKSNQHFSLVSDQNIQINARFIGHRPTGRTRDFTWIQALGLLFNSHTFSLEAIKSATWDNEVDHLRFTYDGEEILIPVGHLSTWTSPVESVKVERTATKNSVLVTIPGIVEIMANVVPVTKQDDRIHSYKVPSNDCFAHLEVQFRFFSLSSKVEGVLGRTYQPDFENPAKPGVAMAVVGGEDKYRTPSLLSPECESCVFSQTQDAENSSALMEGTLDCTGNLSNGNGIVCKK >KZM89425 pep chromosome:ASM162521v1:6:651854:652517:1 gene:DCAR_023212 transcript:KZM89425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLMDTCNASSPWTEQEIEKSEKIPMEKTVFVSSIAPLHDFKLPGPGWNTRKVLETRPDVKKVQVSRPCLRVKQSTRQTMNKGCQVRRREGLFSMSLSKEEIVEDFVRVTGRVPQGKKKVKKTFTDAKEKQKYLDYLQNLILEIGCQRFIMSEDFQTADEQWRN >KZM91329 pep chromosome:ASM162521v1:6:24204859:24210974:-1 gene:DCAR_021306 transcript:KZM91329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFSIREYTCQMRSVDVMKCWPFGPTNIEYVQAFLPAITVKKFKWWTDELENELTEDLTCPVCFVFKALSTQELNGHVSRCIVQLGNTENSRMRAKSRMPKKRSIVELFAVAPQVDKVYEDEDEDGDDLSDQDDHVKLSAMIRTRFNEKRNRKMKSRDLTLVNVSMNSKKRKSGMKKGREADTIDISIDRKDKLLAPKQKRTFNPTENVTASPHILEYAKKLGDAAGKRTKAFGASKLTLGKKKSVFSFHCILKKHSKVVSGKKKSTINTFPDSNEANWCSTNHSNRHVRISGKDDILERRMKSAPHDAVSSETNLLAEERDKFCPLNVDKSKGNSSINREENNEFFSVTEKSTPDTCLCVEKFCFSRPRDSQENLVDRPVASNKFAYYSDHGYEVASPDSSSACPPGSGSMRKMTYKPSITTRGDENLSMSCTSKAKVISHYVDDPRRDGACFKDCVTSFPQASSSSYVSKENWNACGGQISPHSASEKYPGQILRYHPIPHLSPKELMHSLCSPSEMEQRSEVHLGRPRNGDYTGLPLNSHGEYIQLASGKGGFNQTIRPAIFTDSSRTLAFCTEPPSNQDGQLSFINHDERLPSEKLCHVLSSHGQFKEKPNSMESSSLGTTSGTDASLEFRSCDPIYPYKSGINLMSLPSQSNRTDQSQNYSNDRNMYPERHPNLILGNGTQPTMRLMGQEFSIDNTPGFQLASGKGGFNQTIRPAIFTDSSRTLAFCTEPPSNQDGQLSFINHDERLPSEKLCHVLSSHGQFKEKPNSMESSSLGTTSGTDASLEFRSCDPIYPYKSGINLMSLPSQSNRTDQSQNYSNDRNMYPERHPNLILGNGTQPTMRLMGQEFSIGKNYRNSHEGQKVWMDNQIMVHHDGNAISYESSRHFQQDLMMQRGIEKNKRIASSSEIQIDMLSQSVFQIIPPDSRFSSPSIGCQTNAVYENACRAFGGVPVPQTYPYLPPDYSPAIFNKGSSSQETFVCEHESLPLNHQPVRASSSYNAYQSLSSNYAENSRMLPQPHSTSSAFKFPFLYTDLGEHAQPYNCQSSSKSITSSLLNAAQANESTIGSFQFYSNATDSHHHHCISSNNFQKSQFVRCTTDVPYIHNPLTIQSPLQNGPNLASIARPPIRPRFLPTSTMNEKREYRLKFKERIKARLCVKDPQSKRTKKKPGSKPVKLTTPGDMSSGVTVSKLVGDAEIGALERKETTVLDRSGDVAKTIISASKPGNPLEGPLKLTAGAKHIFNPSGECMYSSDSKLTHSKVPFTTQSDSAIPLESHPSKIYRF >KZM89881 pep chromosome:ASM162521v1:6:6825101:6826366:1 gene:DCAR_022756 transcript:KZM89881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQASQPTPTPSRRSTTSTQTKPSSSSRAPQHSSSSTNTSSSSASSYRLDTSVATTSALSSLTSFRNSLPENPHIYSFSEIRAATNNFLAKRFSSTSSSPSWRATLRGKQVVIFQRNLSRLIQNSELKTMLSTVCRSHHVSIIKLLGASISADHIYLVYEFINGASLSDCLRNPRNPDFTVLSTWMSRIQIATDLAHGLDYIHNNTGQEVNLVHKYVKSSSVVVTEPSFNAKICHFGAAQICGESNTKPHSNRDHLPDSPMDPKRSANSRFQFEGVRGYMSPEFRLSGIETQKSDVYAFGVVLLELLSGEEPFKYRFEKQSGDYRRISVIDAAVEAVEGGGDTTEGRLRRWIDRRLKDSFPVDVAEKLTRLALECVEVEPEKRPNMGRVAGKISKLYLESRVWSEKVRVPTEFSVSFAPR >KZM89923 pep chromosome:ASM162521v1:6:7490699:7502047:1 gene:DCAR_022714 transcript:KZM89923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWMFFVALCSIGTGYFIGSSIQTLPTNKITKVYSGSSMCYIVESELSSSGQGFRTVDSTSIYVATNPRGAEGLPPDIVEPYSDLYLNEFLESSRKESAVKPKYLLALTVGYNQKKIVDKIISKFSENFLIVLFHYDGRASSWDDLEWSRRAIHISSRKQTKCCLRFLHPHIVAPEVFTATEAGTSSVSSSDPSTSPCLIPEINKDMKKEQLLAEKYNCQLDALSSDSDSENMSTSSSSLRFQPWIKDIGSSQRQSSTSEESSHSSKYHIQTSTMRALHEKFSKLDLKTGYGAENYRPDMEFSGDVREAISLSRNAPLGPPPLCSICQHKTPAFGKPPRWFTFAELELATGGFSQANFLAEGGYGSVHRGVLPDGQAVAVKQHKLASSQGDREFCSEVEVLSCAQHRNVVMLIGFCIEDGRRLLVYEYICHGSLDSHLYGRKWGFPRFAGDCASGHRRSHLGTSSEQKVDITDSCSQMILQLHDVYDPNKINVKTKLVPVSPCGSVAAEAKKIQTSWVVLDKHLKHEEKRCMEELQCNIVVIKKSQPKVLRLNLVGSPKKEPEADCQLPCEVSQTSTSKKRLEKNESLDSIRGPQVTPTSSPEVFTATEAGTPSVSSSDPSTSPFLIPEINKDMKEQLLAEKYNCQLDALSSDSDSEDMSTSSSLRFQPWMKDIGNSRRQSSPSEESSHSSKYHIQTSTMRALHEKFSKLDLKTGYGVENYRPDMEFSGDVREAISLSRNATLGPPPLCSICQHKTPTFGKPPRWFTFAELELATGGFSQANFLAEGGYGSVHRGVLPDGQAVAVKQHKLASSQGDREFCSEVEVLSCAQHRNVVMLIGFCIEDGQRLLVYEYICHGSLDSHLYGRHRDILEWSARQKIALGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAESGQITEKADVYSFGVVLVELVTGRKAVDLDRPRGQQCLTEWARPLLEEYAIDELVDPQLMDKYSEEEVYCMLQAASLCISRDPQSRPRMSQVIRILEGDTIMESSHMSTPGHDAHSRSGRMYPNHQQQHEHFSPASLKDAFDSFSGKLSIDANMRPALRERNRGRRASFVYDDVLTTNHNLTITKVYSGSSMCYIVESELASSGQGFRRVNSTSIYVATNPRGAEGLPPDIVEPYSDLYLNELLESSRKESAVKPKYLLALTVGYNQKEIVDKIVSKFSENFLIVLFHYDGRASSWDNLEWSRRAIHISSRKQTKWWYAKRFLHPHIVAAYEYMFIWDEDIGVENFNADEYVKLAKKYQLDISQPAIESKAGLTWPMTQRRNDVEAHKKSKDQECPNPHSPPCAGFVEIMVPVFSRKSWSCVWHMLQNDLVHGWGLDLNLWRCVEKPNEDIGVIDAQWVEHMAVPSLGDQVII >KZM89811 pep chromosome:ASM162521v1:6:5255143:5266957:1 gene:DCAR_022826 transcript:KZM89811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVEDNEEEKKMESNNSNNTTSIFSSSRRRRAGVATDSSQQDQEGLKLQVYHQILRRLHHHSSSSDFDHQLWAHFNRLPTRYALEVNAERAEDVLTHKTLLQLAHDPSQRPAFHVRLVQVPPSPDSNQTVHSSSQTKDLIVPSIHPPPAFGSSPNLAALASQANDVQNEDSSMNACVSFSRPMHEITFSTDDRPKVLSQLTSLLAEVGLNILEAHAFSTVDGYSLDVFVVEGWPLEETEQLRTALVNQVHKAENQSWPNQLPSSRLDEPAKTSFRCENDHLEIPNDGTDVWEIDSQHLIFDRKVASGSYGDLYRGTYCSQEVAIKVLKAECVTAEMQREFAQEVYIMRKVRHKNVVQFIGACTKPPSLSIVTGLFMVELIVLTLEYMSGGSVYDYLHKQNGTFKLPSMLKVAIDISKGMNYLHHNNIIHRDLKAANLLMDENEVVKVADFGVARVRAQSGVMTAETGTYRWMAPEVIEHKPYDHKADIYSFGVVVWELLTGKLPYENLTPVQAAVGVVQKGLRPTIPKHTLPKLAELLENCWQQDPALRPDFTEIIKILQQIVKESDGRNSEHHHDLSLEHLPILANHRGSSSAPSSPSYSSSSPSSSSEEHGEIIVDIDASSSEPKAPSNDPSLWRQSSYEFWTDQKVGDDTVEEQPMADLEKNQSASAGRPPRIMENNRSKVSFQTPSRKFSEDENDNPRSNTPGAAEDSVSGHCYSRRLPSNAGGDRDEVIKCTSFQKRPSFLLSTKTVSRLSDPPVSDRRTDRVPASGPLKSGKVSGMLEKVPGIDDDDDDDDILLDDVPSDDFKTAKLDALTLLQWISLFTIVSLFICSLVIPKWKRAEFRGLELWKWEVLVLVLICGRLVSGWGIRIIVFFFERNFMLRKRVLYFVYGLRKAVQNCIWLGLVLIAWQCFFDQKIEGNNEFLWFINKLMWCMLTATLLWLLKTLMVKVLASSFHVSTFFDRIQDSLFNQYVIEMLSGPPLIELQNNQDEDERTMIEISKLQSAGATLPPDLRSAIPSPAAKSGRGSQTPGRSETDSKKFSGALTINSKRQEETITIDHLHKLNPKNISAWNMKRLMNLVRHGSLCTLDEQIQDLTNEDDNNKQITSEYEAKLAARKIFKNVARPRSKYIYMSDLLRFLGEEEAMKAMAILEGSSDSDRISKKDLKNWVVNAFRERRALALTLNDTKTAVNKLHHMVNVLVGVIIFIICILILGLASTQFLLAVSSQIVVVTFIFGNTCKTVFEAIIFLFVIHPFDVGDRCEIGGTQMIVEEMNILTTVFLRYDSQKIIYPNSTLSTIPIHNYYRSPDMDDAIDFYLHIATPIEKINLMKQKILSYIENKKDHWYPNAILVTRDTDQLNRIRMSLWPTHKMNHQNMIERYNRRSLLVEEILKTVKELGIEYQLYPLDINVKNMADITSTRMPSTWTSPS >KZM92172 pep chromosome:ASM162521v1:6:31166128:31168983:-1 gene:DCAR_020463 transcript:KZM92172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKGNKRSLSINLSFFNPNDQTQVPVNVPTKAIKKFEGGDNGVVGLGIVAAMTQLDQAHDPFKPTRPGILSVSPRSNPIPIFGNTGDSNFGKTGNDVGSNLEEDMELSEEYTCVISHIGNNLIKKREYFDGNLTRKCETTVVAPNIWVNSGVFYAASPPVGDFAAKAVFGAADFLSSCYLCKKQLHGLDIFMYRGEKAFCSAECRCKQISTDERKEKCRSRVRKPHEYSVSPCSGQMQFFAGVAAA >KZM91474 pep chromosome:ASM162521v1:6:25429515:25433768:1 gene:DCAR_021161 transcript:KZM91474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTNMAALPYQMISNLRPQTTLAWKLKIRVTRLWQAIDSHGDTLGIHCIFVDELGGQIHAWIPAQIMNQIQNLLTEGETYNVHNFVVRQYGAMQTDRCFDNDVFIQLYHLTEISVAEGVDYIQRHVFNFTELSAIIDDTRENKFLIDVVGILQQRQPITSYRNKYNQLKHSIHFTINDMLGSANVIFYDEMAQAFDQGVRDATQHPIIVIISSAKPRFIQEDSFEFQQEWKMVKDKYELLCQKIEDASTTSAPCIDFPEMDKKNHPAIVKNSGFVPEHD >KZM89949 pep chromosome:ASM162521v1:6:7924119:7926927:-1 gene:DCAR_022688 transcript:KZM89949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHIPLIFLSLFLSSVSSDQSSFIIHVSKSQKPHIYTSHHHWYSSILASHPNSKHLYTYTHAVTGFSAALTPSQADSIRRLPGVISVLPDRVQHLHTTHTPTFLGLADNFGLWPNAEYADDVIIGVLDTGIWPERDSFSDEGLTPVPASWKGVCETADDFPASVCNNKIIGARAYYKGYLTNSKLIQSNEKLSVRDTEGHGTHTASTAAGSVYARGEARGMAIKARIAAYKICWTNGCYDSDILAAMDQAIEDGVHIISLSVGATGYAPEYDRDSIAIGAFGAAQNGVVVSCSAGNSGPGPYTAVNIAPWIITVGASTIDREFPADVVLGDGRIFGGVSLYSGDGLGDVQIPLIYGDDCGDRYCYSGYLDPEKVKGKIVICDRGGNARVEKGSAVKIAGGVGMIHANTEENGEELLADSHLIPATMVGQAAGAKIRAYSRSDKNPTATITFKGTVIGNSPSAPRVAAFSSRGPNHLTAEILKPDVIGPGVNILAGWTGYTSPTDLDIDPRRVAFNIISGTSMSCPHVSGLAALLRKAYPKWSPAAIKSALMTTAYNVDNTGANITDLATGVESTPFVHGAGHVEPNRALDPGLVYDIDVSHYVAFLCSIGYTPKRIAVFVGDDKVDCSVENLGGSPGNLNYPSFAVVFDDGNEVKYKRVVKNVGSNVNAVYEVKVSAPPGVGVSVVPSKLEFSATTEALSYEVTFTSTVAAAVGSKAFGSIVWTDGVHLVRSPVAVSWSTNSAASVASM >KZM91401 pep chromosome:ASM162521v1:6:24815227:24816779:-1 gene:DCAR_021234 transcript:KZM91401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVRNPTLRRSQRSGHGFFYAQVDQSREKIGEDGKFVRIASSRRKVINVSKLRTSLSYRRDRARKRQIFLQSYNLGGSSSSEDTSASPDKKRKAKKLKLAADKVKSVAVSVVSFMRISSFRSNSSRFTVRAFFRARIQAPSDSSSVSTQVIRKKLGTLSDHTDAYNQIELHLDMQNEYHQRLPKYNEVKKLLFEMEYKPEIPSYQVKSMDVKSFNVDQKDLTINVDMIVYVEANNPNSHIRFNYGHDSAVAVWYKNTSLCAGKLPSFEQGTKNITIMNIEMTGENEFGQDLQDALKTNQETGQIPLLVTVKAPVSVVLGSLQMREFNVYVECHLVIDSLEPGKKPRVVSSSYDIHASY >KZM89378 pep chromosome:ASM162521v1:6:249466:255725:-1 gene:DCAR_023259 transcript:KZM89378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQFKLPYKFALCIPSTSEYALGHMFICGWPYILLPYSKDIAKELITTQLSINPISTTLVYTTGDPSDEYSIDVKSISVHNKPVTINASLLSINKEGYGGTKFSTITPYTKLETSIYSSLVSAFSKTAALRKMKNVALVVPFGACFNAKNIDKSQTGPVVPFTDIGLAGNKFWRFYGANSMVSYYCLKFARTLHRGVAMMVQEMKGETENTGQNISDMCRHEFPTGGNLQSETLDPKPLSSFENEYMPKVRKPYTITKQREKWTEDEHQRFLEALKLYGRAWRHIEEHIGTKTAVQIRSHAQKFFSKVARDLNSVSASSQILVEIPPPRPKKKPLHPYPRKVADLLMKRSVVSDQPDRSLSTNASLNDMENLSSTSVLPTIGSDTTCPAVSELNTHCLSPISCTSNPPSGNTSSMEKDHEGMTSHSFATENESPPSVQEIPSSKTENLSPSSSIKLFGKMVLIADSKRPSTLTEECNDFFSKRAHDDRLVEQNKKLLEAIPTDNMESQVSYELLCSSTRTCESLYETKGSLNKEKRRTDAVSRTFRAAVNLSLELRLVDEGTGDLSSLYSTSRSYNEIYGIQTYPGTTNGSQYRTNIQPRMNSRGFVPYKRCAEEIDTLSLVNVSEESKTKKIRACS >KZM91468 pep chromosome:ASM162521v1:6:25405828:25409716:1 gene:DCAR_021167 transcript:KZM91468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDVLGKLILLEAAILLALSYKTVVRKPVKRLLEDVEAAHLLLIPLFIGASLFLAFTISALIKNHLRWIDEAPIDHQLVQAHKLLQASLLGMCLYLSMLIYKFNHLMRARTSLNKALKVEEKQLEDWKHIIAVRAYKLECKVGRLEAGLKELKFEYLRKSREAEAKAAVASELKKQCEGFNLKHDRELEYNQTLRNQLLSIDQTLLEDKQKISSWTGLKESILRDGLNLLSPWKILEPSNNVSEECSDNNPVTTNGCYQTLHSGTSAPSSPRHRHRRPVYQTSY >KZM90954 pep chromosome:ASM162521v1:6:20798963:20804519:-1 gene:DCAR_021681 transcript:KZM90954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVTSINTTCTLSPYNNTTLHSPSATPNSNFYTFICRPTSPSLFYLQQLCKNRNPTKPKSCSYSNGILVVKGYREDSTTVSGFVNKVVGALPLVGLVARIFSDVGGVGGDIVDFAEFRRRVGNNSSVNDSRAFVDFQDRRGKAGDPIYVLACCWLAAVGAGLLKSEEILEGVSRLRLSNDIEFEEETFLALMKEAKEVFCTFDCNNSIWSIVYNPKVRVMSLNNSWSGTMTKKRSKSKTPAPSIPIEARAEKAMEAIYICCFGRDPIEEVDEQLLCIMLAVVFPTVGKSEINRIVSDQAKRVAEGIEEKYPEPKPLSKEAVQLQMKDLQFLRQNSET >KZM92202 pep chromosome:ASM162521v1:6:31458946:31460487:-1 gene:DCAR_020433 transcript:KZM92202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWFMIIVSLCISALIKSILSTIQSSKTQKLPPGPTTVPIIGSFIWLRKTFFQLEPILHSLKLKYGPIVTLRIGSRPAIFIASHASAHRALVQAGAVFADRPQELPTGNVINSYQHNISSASYGPNWRLFRRSMAETIMHPVRLKTFSGGRKWVLEVLMQRLLSEASLDGIKVVDHFQYAMFCLLVYMCFGERFEDEKIREIERVQRNLLLSFLRFNVLNFWPKVGRIIFKKKWKELIGIRQDQDTVLIPIIKRRLEKLMKQEVGEDDVVAYVDGLADLKLPEEGNRKLSDEELVSLCGEFLNGGTDTTSTTLQWIMANLVKYPQVQEKLYQEIVSVVGEKGDLAEKAVKEEQLQQMPYLKAVVLETLRRHPPGHFVLPHSVTQEVELDGYVIPKNAMVNFMVAEMGRDGEVWKDPMEFKPERFLSGNEGEEGFDITCSREIKMMPFGAGRRICPGSALALLHLEYFVANLVWNFEWKPAAGNSDVDLSEEQEFTVGMKHPLLVSISPRVKT >KZM91101 pep chromosome:ASM162521v1:6:22138459:22144332:-1 gene:DCAR_021534 transcript:KZM91101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRISYSVEPSASELLVSHNCNIDLRNKPELEKLFASRKFDAVIHFAGLKAVGESVQKPLLYYDNNISGTVTLLEVMAAHDCKRLVFSSSATVYGWPKEVPCTEEFPLSATNPYGRTKLFIEEICRDIYRSDSEWKIILLRYFNPVGAHSSGYIGEDPRGVPNNLMPFVQQVAVGRRPALTVYGKDYSTKDGTGVRDYIHVMDLADGHIAALRKLSDSSIGCEVYNLGTGKGTSVLEMVAAFEKASGMEIPLVMADRRPGDAEIVYGSTAKAEKELNWKAKFDIDDMCRDQWNWASKNPYGYEPPEPDTEPDTCPEPVT >KZM91676 pep chromosome:ASM162521v1:6:27012147:27014211:-1 gene:DCAR_020959 transcript:KZM91676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRELAENSDGKPPVKKQSAGELRLHKVVVMPFRHQLTVVVLFNSVPKALNTRDCLLGSNWAVILASKNMKGQYANISELNLPKTCSISFPNGKDDLMNFEVIIRPDEGYYSGGLFTFTFQISPIYPHEAPKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFIEPNHEDPLNADAAAVLRDNPKLFETNVRRAMTGGYVGNTYFSRCV >KZM90792 pep chromosome:ASM162521v1:6:19272579:19275226:-1 gene:DCAR_021843 transcript:KZM90792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKALTCNFTPLDGVLHPRVSLIKISANYRVGERVFLVVPREGTELLEISEHHRTKVARNVNLERLQKNYLFPEISARELEHLEKYPNAKIISLGIGDTTQPIPDIVAHTMSDFARDLSTRKGYRGYGAEQGNKELRKAVADTFYGDVTVKDTEVFISDGSQCDISRLQLLLGSEVSIAVQDPNFPAYMDSSVIIGQSGEFQDNMSKYKNVEYMTCRPENNFFPDLSTASRTDIIFFCSPNNPTGHAATYKQLQQLVEFAKKNGSIIVYDSAYAAYITDESPRSIYEIPGAKEVAIEISSFSKIAGFTGVRLGWTVVPEELLYSNGFPVIHDFNRIVCTCFNGASSIAQAGGLACLSPDGLKAIYSVVDYYKENANVLLNTFASMGLKAYGGVNAPYVWVHFPGSRSWDVFAEILEKANIITVPGSGFGPGGEEYIRVTAFGHRESILEASARLKRLYC >KZM91038 pep chromosome:ASM162521v1:6:21598497:21603028:-1 gene:DCAR_021597 transcript:KZM91038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLGTLPHRPLLSLSKKYGPLMLLHLGSIPTLIVSSAEAAEEVMKTHDLKFADRVRTYANEKLLYCYKDVGMAPYGEYWRKMKSFCVVQLLSNKRVQDMGTIREEEAALLVNKIVESNSSVVDLSEMLVTLTNNVVSTAALGRKVDQGGSGRKLWMLMKETEELLGRFDVGTFIPWLSWINTVSGFNARVDKVAEENDEFFERILEERMKGEKVEETEDFLDVLLGIDKDAPGGFDIDRDSIKALILDIIAGGTDTTHTALEWTMTELLRHPKVMKQVQIEVRNTLRGKSNITYPDDFENMHYLKAPSEQNTYADIEAAYKCLQESYGAKQEDIILYGQSLGSGPTLDLAARLPQLRGVVLHSALLSGLRVIYPVRRTYWFDIYKNIDKIPLVKCVVLVIHGTSDEVVDCSHGRQLWVLCQEKYEPLWLDGGSHCNLELYPEYFKHLRKFISHITKSPSGQNTSTKSVEGGPRDISTDHVENPQMSTNTREKRKQSASRNEKLKYSERKLDAGDKFATKLNIPFDQIGKSHRSVEYHEKSRKTIERERRTMDWVTTMQAA >KZM91649 pep chromosome:ASM162521v1:6:26804586:26804954:-1 gene:DCAR_020986 transcript:KZM91649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTCGNCDCADKTQCVKKGNSYGMDIVVTEKISAETMVMNMSENDCKCGTSCTNCTCGCH >KZM92106 pep chromosome:ASM162521v1:6:30582807:30583724:1 gene:DCAR_020529 transcript:KZM92106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHSMNLQKAMARKPIFGTVFIGIVLLLLGDFNQALTTESPAPQPQPPQTELPPTEPSPTQPSPTEPSPTEPSPTEPSPTQPSPTEPYPIHPSPTQHHLTHPSPTQHSPDLPMNGGTPGSLRPEDCGPRCMGRCAKTAFKKPCMFFCRKCCAKCLCVPPGTYGNKHMCPCYNNWKTKRGGPKCP >KZM89627 pep chromosome:ASM162521v1:6:2676347:2679023:-1 gene:DCAR_023010 transcript:KZM89627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNRFPHQQPPPPAPRSKRWGGCWGGLSCFGTQKGGKRVVPASRQEANQPNGSQAVGINNQTTAIHPSLLAPPSSPASFSNSALQSTAQSPNCFLSMSANSPGGPSSTMFRTGPYAHETQLVSPPVFSTYTTEPSTAPYTPPPELAHVTNPSSPDVPFAKLLSSSANFRNREKGNYIAANDLHASYSLYPGSPASSLRSPISRTSGLSSPYPDRDLLQWDPSVSQELNHPRSESGRVYGLDTASASKLSHDSNFFCPETFAQFYLDQSSLSQSGGRLSVSKETDVHSNGGNGHQNRHSKASKQDVEEIEAYRASFGFSADELVTTPQYHEISDVMDGSFTIMPYTSDKSYGEETLANFPTEIRPKAGTIHVNLPSVKTCKSNGYINVEKHSNAASPCTDFEDQGSRRLLGEDDNGLSDSEDIFSKMGTSRISRKYKKGLSSSDAEVDYRRGRSLREGRGEFAWQY >KZM91007 pep chromosome:ASM162521v1:6:21327371:21329121:-1 gene:DCAR_021628 transcript:KZM91007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLRTRSPLSISPNSADPAQRSKAIHDLSKACQDWGFFLVINHGVSESLMENIMEGCYEFFNLTDEEKKAYEGSDVLDPIRCGTSFNTAKETIFFWRDFLKVLVHPDFHFPDKPKGFSELALEYVERIREISGELLRGISVSLGLEASYIHDVLNLESGLQVFVANLYPPCPQPELALGMPPHSDHGLLNILIENGVGGLQLLHNAKWVNVRAPPNSFLVNTCDHLEILSNGRYKSVVHRAVVNNATTRLSLAVANGPSLDTIVRPAPGLTDGANNPPAYTPMKYKEYLQLQQGNKLDQKSVLDRIRLQKE >KZM91516 pep chromosome:ASM162521v1:6:25774270:25777615:1 gene:DCAR_021119 transcript:KZM91516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTESRRDFAFDFQSQVSILRPSVHARRANIIVKFQDLYGFTVEGNVDDVNILNEVREKLRQQGKVWWALEASKGSNWYLQTRVSSTIKSSLNFSNLVNAIALKRLIRNGIPLVLRPKVWFSLSGAAKKKSTVPQSYYNDLIFAVEGKVTPATKQIDHDLFRTFPGHPWLDTKERHAALRRVLVAYSFRDSDVGYCQGLNYVGALLLLVMKTEEDAFWMLAVLLENVLANDCYTTNLSGCHVEQRVFKDILAKKCPRIAAHLEALKFDVSLVATEWFLCVFSKSLPSETTFRVWDLLFYEGAKVLFHVALAIFKMKEEELLVAQHVGDVINILQMTTHHLFDPDELLTVAFDKIGSLTINNISKQRKKQEPAVMAELDQRLRRLNSMIE >KZM91727 pep chromosome:ASM162521v1:6:27409465:27409773:1 gene:DCAR_020908 transcript:KZM91727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAGGGIYRSLYNDGCITGHDGGIQRRPYHRNCSCALHNTRGYCGHASQNTKVSYPMRRNSSEGCLSLMASEYASWCVATAVTLKGKNLNCDLHCLFDD >KZM89768 pep chromosome:ASM162521v1:6:4486091:4487549:-1 gene:DCAR_022869 transcript:KZM89768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSITEVFKNDPLHLDSAIPLDFDTLKSVPESHEWALEPSNHSLDSSKMLPVIDLTHPDAPHLVAHAARTWGIFQIVNHGVPLGLMQSVESEARRLFNLPTQDKMKVLRSPENLAGYGVARIAPFYDKCMWHEGFTIHDSCVGHAKILWPHDYESFCNTMMEFRHKMKPLADQLLHYLLKSLNIPGELVQIWAESTDKVSGTIQLNSYPPCPDPRHVLGLAPHTDTLLLTLLNQCQISGLQYFRDDIGWISVPPVPGAFVVNVGDTLEILSNGKFPSAYHRVTVNENKHRISYAYFHGPSMDSPAEPLDKAEKPLYRSMTVREYCAIKAKHNEEALGLVKL >KZM89826 pep chromosome:ASM162521v1:6:5511251:5515363:1 gene:DCAR_022811 transcript:KZM89826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGEEDREEYQSEEEFSVWKKNAPFLYDLILSHSLESPSLTVHWLPCAPCTYADDTYAIHKMILGTHTSEDFPNFLLVADAHLPLHPASVPNTNLEDPILPKVEIKQKIHVDGEVNRARCMLQKPFVVAAKTSNADVYVFDCTKQPMDHQGGSCDPDLRLRGHDKEGYGLSWSPFKEGYLLSGSNDCKVCMWDISAMPQEKVLDACNVYKEHGSLVNDVSWHSENENLFGSVGDDCRLMIWDLRATKPQQSVAVHEKEVNYLSFNPHNDYVLATASSDATVGLFDLRKLEQVFQVEWDPNHETVLASSSADRRLMVWDLSRIGDEQLEGEAEDGPPELLFSHGGHKANLSDFSWNKNEPWVISSVAEDNTLQIWQMAESIYREDDDI >KZM91353 pep chromosome:ASM162521v1:6:24402070:24403656:1 gene:DCAR_021282 transcript:KZM91353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRLKRGDPTRVSSSSPPFPYPQFLSQFLHFIVNLLHLPSFITIFLLGSHKQIYHHHLLFPINGTKSQNLKYNKPFYSIENQNSKRMEYNLAHVKAGKEQGGTTLHASNSNLSKETNESKHISQVKFDIFSHSTSKQLYSSPNPNVTSSNNENLECRQNKDTHQTESQSINYNENVIIKENGTVLVKPPRSFHQNALDYWKNSCMGYFENDVSMKFENIKDYLVRKYNQIGLINVYSHHLGYLVLQFDSPQTAMTAIDRSPLSIFEKKLHVCKWVEQYNTVYPLQKEKVLVELTKIPFCYWSIQGLCYICSAIGTPVAFDKGTLFNAINKKPSDSALVYVETMVGSSRPTVLMTTIPGHSENFMAMVHVSYLDTPRNCLMCLSPDHLTEACKDVLELISCSHDQSKLGENIFDTANEYISITEEESQDIAKTGENSKKISCKQVHIIFLFDLIDHENIYAGSQALEESSKGKKILHEDLTSAAKPSTRKSKRKVQKRGGKQVLSNEPIQRTVVLGLPAQPKFKGNLFP >KZM89484 pep chromosome:ASM162521v1:6:1163259:1166580:1 gene:DCAR_023153 transcript:KZM89484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKDEIERVEEGGQKKRRRWETVMGLKGSRKRIERLRSSVALKSRMKLWMIRATTLVLLGTCFVQLTAVGEVWGPRVLKGWPSSFAQDSSKMDVKLSTSLSDQVLPPKRVYKNNGYLMISCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFISSLRDEVRILKELPPRLKRRVELGMFYTMSPISWSDISYYRNQILPLIQKHKVVHLNRTDARLANNGQPLEIQKLRCRVNFGALRFTSQIEELGRRVIKLLRQKGPFLVLHLRYEMDMLAFSGCTQGCNEEEVEELTKMRYAYPWWKEKIIDSDMKRKDGLCPLSPEETALTLRALDIDRDIQIYIAAGEIYGGARRMADLSAAYPKVVRKETLLGPSDLGYFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRRILVDLIDRYNHGLLNWSEFSIEVKRVHADRMGNPTKRTVISDRPKEEDYFYSNPEECLLSSDPYGELSRLSS >KZM90160 pep chromosome:ASM162521v1:6:11822376:11827027:-1 gene:DCAR_022475 transcript:KZM90160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKSHVVLACVNKSNSELSSHQKKIFKADDHIGVAIAGLTADGRVLSRYMRSECINYSYSYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERKFESFSTSSRENLLKDALFAIRETLQGERLTSSICTVAVLGVGEAFQILDQKTVQALIDAFEIAGEEAPAAEGDAPDQSAAGSGPTTDQGPPAEPDVAPMET >KZM89394 pep chromosome:ASM162521v1:6:393764:407708:-1 gene:DCAR_023243 transcript:KZM89394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIGQETVKLSTVVSRTAEEAYVSLRELVEKCREPELSDSDKKISILKYVVKTQQRMLRLNVLTKWCQQVPLIQYSQQLASTLSSHDTCFTQTADSLFFMHEGLQQARAPIYDVPAATDILLAGGYQRLPSCVEDVGIRSTLTEDQQKPTLKKLETLVRSKLLEVSLPKEISEVKVSDGTALLRVDGEFKVLVTLGYRGHLSLWRILHLELLVGERSGPVKLEEVRRHILGDDLERRMAAADNPFVTLYSVLHELCIVLTMDTVIRQVQALRQGRWKDAIRFELMSDGNLGQGGNAVSSQVSQDGETETVLRTPGLKLLYWLDYDKSPGASDGGSCPFIKIEPGPDLQIKCLHSSFVVDPLMDKEAELSLDQSCIDVEKLLLEAICCNRYTRLLEIYKELGRNGHICRAADDVLLCTQEDTEDDTDDSYKKRDDNSSAKGKEVLRVRAYGSSYFTLGINIRNGRFLLHSSKNILESSTLLECEEALNNGSMSAAAVFVSLKTKSVLHLFARLGRFLGLQVYEHGFSAAKLPKNILSGSTMLVMGFPECGSSYFLLMQLDEDFKPIFKLLETLQDPSGKPQTSVDLNHVTRVKNIDIDMMHLLEDELNLSLLDSLPLNNDMGADQNPEIGLLSELSNRASNFNSVLPSSFSSVVDEVFELERGSSALHSVQSPSSMFTSSPASHSGPLARNLYGMRAGTSPKWDVGSQINNFTKVANINTNYNTSPYMTRLSQSSSSSLLSSGPGKSMPAKKLSASKSDQDIPSLRSPYSAEVGLYTTADEDHLVSRLSQQPDLQVSTSAKVIASTNSLPIGTAAGSLYVSRSKSMAEGPDSAIILRQEKGSGKRKLSDMLNLMPSLCLLEVKERSFKRKKLTESSLIQHSSSQMVTKAISKTDGYSYARLIDEANKGNAASNIYVSALLHVVSHCSLCIKHARLTSQMEALDIPYVEEVGLRNVSSNLWFRLPSSRGDTWKNICLRLGRPGSMYWDVKISDKHFRDLWDLQKGSNDTSWGSGIRIANASDVDSHIRYDVEGVILSYNSVEADSIKKLVADIQRLSNARMFALGMQKLLGVSSEERFEDSSTFYDGKVSAGVKGVGEGNDKSSEQMKRAFRIEAVGLMSLWFSFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARAAPVSGLPGITALNPTVAKQSGYIPSQGPTNSGTNTGQLSSGLGGITGASTNTGTPSTHNPQTAAMLAAVAAAGRSGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKNFAVDMRCFAGDQVWLQPATPPKGGPTAGGSLPCPQFRPFIMEHVAQELNGFDPHLTGVQQAVGLGNSNAASLGTGPGSVAQLSANSGNRLGIPSSAGISRLGNQINGLGRAGNSLPASSPSALSSGLPLRRSPGASVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHSQQQQQNPAVAQEELTQAEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLAQAQSGDAAPSQKSRIELCLENHSGINIHGNPEHVSSSKSNIHYDRPHNSVDFGLTVVLDAAHMPHINAAGGAAWLPYCVSVRLRYSFGEKPNVSFIGMEGSHGGRACWQRLDDWDKCKQRVIRTVEMNGNSTGELNQGRLKIIADSVQRTLHVSLQGLKDASAVPGSTVAVFLCTVVANVADSRAGLATISDDGSLILVQLTLDFKPNIPQEAERVVQCNGRVFCLDDERVHRVWLPNEESPGLAMSRAFGDYCLKDFGLISVPQVTRRQITVRDQFIVLASDGVDVLNAEKMFLTQQLTHEKKMARV >KZM91675 pep chromosome:ASM162521v1:6:27005384:27008667:-1 gene:DCAR_020960 transcript:KZM91675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRELAENSDGKPPVKKQSAGELRLHKDISELNLPKTCSISFPNGKDDLMNFEVTIRPDEGYYSGGLFTFTFQISPIYPHEAPKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNHEDPLNADAAAVLRDNPKLFETNVRRAMTGGYVGNTYFSRCV >KZM91265 pep chromosome:ASM162521v1:6:23665562:23670417:-1 gene:DCAR_021370 transcript:KZM91265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSMAFSLSPLSQPHPSPKAAATTHSLIHSFSIHPFRSACIKSPPSFSKLPLTLSVKCSKSEAPPEVERARGVAVYKPKSYQVIADDAANSLFAALSDGKTRLEIDFPPLPSDISSYKGSSDEFSDANIQLVLAVVQKLQEKKETRACIVFPDKPEKRRASELFKAAFDMIGGISLGSLDDVPAGAVTTFFRSITKTLDFDFEDDNEDSWKSDEPPSLYIFLNCSTRELPFVEKYVDYFASSTPALLFNLELDTLRADLGIIGFPPKDLQYRFLSQFTPIFYIRRREYSKTVAVAPYIVNYTGALFRQYPGPWQVMLRQTDNSYACVAESATRFTLGETKEELLRVLGLQEEQGSSLEFLRRGYRSSTWWEEDVEKELHSAWRS >KZM89581 pep chromosome:ASM162521v1:6:2278246:2279192:-1 gene:DCAR_023056 transcript:KZM89581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGKQGGKAKPLKQPKADKKEYDEDDLAKLQKKKEEEKALKELKAKAQQKGAFGGAGLKKSGKK >KZM91223 pep chromosome:ASM162521v1:6:23314777:23322282:1 gene:DCAR_021412 transcript:KZM91223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYWIVSLPLQASSSASSLWTRLQDSISKNSFDTPLYRFNIPNLRVGTLDSLLSLSDDLIKSNNFIEAASHKIRRQIEDLERVSGVNSTSLTVDGVPVDSYLTRFVWDEARYPTMSPLKEIVDGIHVQVAKIEDDLKVRVAEYNNVRSQLNAINRKQTGSLAVRDLSNIVKPQDLVTSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKLLHEDNEYALYTVTLFNRDADNFRTKARERGFQVRDFEYNSETQESRKQELEKLLRDQDTLRSSLLQWCYASYGEVFTSWMHFCAVRVSVESILRYGLPPTFLSVVLSPSVKSEKKVRSILEGLSDSSNSTFWKVEDEGSMGGFGGDADAYPYVSFTINLI >KZM91478 pep chromosome:ASM162521v1:6:25462043:25466921:-1 gene:DCAR_021157 transcript:KZM91478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQLPTDLINQVQIAARNAAGLNAYNPDDPSLPSLPSFDASVFAVDPSAPEHLRCKNCKAKLLRGEDSVICIYCGKQQINKDLPPHPISFTSTFGYQWLLRSLDLDQSETVGPSTVEHGSSRGHTTPYQETSLRHLLDLQITWPDELEIPEISMPHKRSEESSTFLHLSGADLDNVYLGSKGNIDTDASAQQITEQQLEGVESKGSISGFGSVQENFTSFSDAHSADSAVKSFEDNQGESLSGWAADFQSANKEESSKSYDSFVAPNIDLSSHIDSVFGAGKDVNRRKLSDDLQPAQSASHDWMQDDIWKNVDSKVSQQASHFSSTAETTIAVSPDNYKNTASEGADWFEDDQRQKNITSEPGNKIIDNPDDSFDDWNDFASSSNAVNLSGDEPSSKVIDKLDESFDDWNDFASSSNIANLSGGEPSNKVIDKPDDAFDDWNDFASSSDAVNISSDEPSNKIIDRPDDSFNGWNEFAASSNDVNHSGNEPRSKTIDKFDDSFDDWNDFASTSNYLDLSGNALRNNNHQKAVSSEQTSEKNLFSSTGNTQDDELGSFSQPNLFSALPGGYDGDAVTDNIHKEVYASERCVLFSCKYFISSF >KZM89661 pep chromosome:ASM162521v1:6:2971474:2977236:1 gene:DCAR_022976 transcript:KZM89661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNAFFIMVPLSSYTERVSLLQLRSSLGIRNKQWPLKSEPCANWVGVKCLNGSVVGINISGFRRTRIGSQNPHFSVDSIANFTSLGYFNASRFSLPGSIPDWFGMRLSALQVLDLSGCSIVGVIPDTIGNMTSLSVLNLSDNGLTGVVPESLSQLSNLSLLDLSRNSLVGSFPASFGSLRNLTLLDMSMNNLSGFIPPYLGTLTKLQILNLSHNGITSLVPPQLGDLSSLVALDLSFNSFSGSLGLDLRVLTNLRKMVIGNNRLSGSLPSNLFSELTQLQLIVLSYNDFSGEFPDILWSMPSVRFLDASGNKFTGIFPNSSSAVTASPAVFNLSQNMFYGDLPYVVRRFRVIDLSNNYFKGKVSEYARNNAVLNRNCFRGESSQRSVAACASFYYKRGLPFHYFGLPVKQPPPLKSDEMSQRDIIIFLGVLGGVGLTAIVIILLIFLILCTRKSQTTNQRATGVGPVATSDAIPAIPGEYLKFSSLGKGFTYQQILQATREFSDLNLIKHGHSGDLFLGALEGGVHIVIKKKLSPVKEAYLPELDFYSKVSHPRFVSLLGHCLDDESEGFLIYKYMLNGDLASNLYRTKSSDGDSLQSLDWITRLKIATGVAEALCYLHHECTPPFVHSAVGKPERGLCSRRGYASKQDYQISAVTTLSMQVELRTSKQGSSSGTPTTTCAYDVYCFGKVLLELVTGNMGLSASSEGNMKELLEETLRYISIYDKELLTNIIDPSLIIDEDLLEEVWAMAIVARSCLNPRPAKRPLARYILKALENPLKVVRDESPSSAGHKTVSSRVSWSAGLFGNWRSSSDVPSVPLNKAGGNSFKSSVTQSSQVSVQSSRVDHLSSSKGHQSRDIFPEPLEEDTVRPHGD >KZM90108 pep chromosome:ASM162521v1:6:11277460:11278071:-1 gene:DCAR_022527 transcript:KZM90108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGADRSCCNFCCTFLFTSGLTALFLWLSLRASNPTCSIQQFDVHALNKTANATSNHTIYFDLKLDNKNKDKGIYYDALNLTFYYGTNKSQRIGNFTIKGFYQGHHKNTHRENNVTFDAVSVSGSVFRVDLETKVRFKIMGFKTKRHRIAVWAQVEVNDNGQKKKKKGIKLKSGAPEFQRYRARGLLFLVVFSSTLVLLSIL >KZM90757 pep chromosome:ASM162521v1:6:18908199:18912189:1 gene:DCAR_021878 transcript:KZM90757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLALFTSTIPSFSTSRFSHHLKSPPLSSLHLKPISPLRSPIHQNPSFLFNPHSKPIKTHKPIQPISSSSTPIPIPVTDSKPQGAKLIPLFFSVAVGLIIRFLVPRPIDVTPQAWQLLSIFISTIAGLVLSPLPVGAWAFLGLTTAIITKTLTFSTAFGAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPNDPSSKKLGAFLVQSQFQSAGNSSALFLTAAAQNLLCLKLAEELGVIISNPWVSWFKAASLPAFVSLLATPFILYKLYPPETKDTPEAPAMAAAKLELMGPVTKNEWTMIGTMLLAVSLWICGDALGIPSVVAAMLGLSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMAGQLTSLGIVSWMSNSVAKALQSLSLSWPAAFGVLQASYFLIHYLFASQTGHVGALYSAFFAMHLASGVPGVLAALALAYNTNLFGAITHYSSGQAAVYFGAGYVDLPDVFKMGFVMALVNAVIWGVVGTVWWKFLGLY >KZM90797 pep chromosome:ASM162521v1:6:19337791:19338564:1 gene:DCAR_021838 transcript:KZM90797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNNVNLPPGFRFCPTDEELLVHFLQRKASLLPYHPDIIPDLDLYPYDPWDLEGKALGEGSKWYFYSRRTQNRITSNGYWNPLGGDESIYSSSTSKKVGTKKYYAFYIGASSEGVKTNWIMQEYKLSDAAASSSRSSSSKRKSSKTDHTKWVVCRVYEHDYDEDEDGGTELSCLDEVFLSLDDEFDEISLPN >KZM91520 pep chromosome:ASM162521v1:6:25796055:25796734:1 gene:DCAR_021115 transcript:KZM91520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEGVYVQVVSATSAFHAEKVEEAKTTTEEAAATVEEVVAAPEVPKQTETTTTTTTPAPVVADEPAEATNAEAPAAEEAKVTEEEVKAESVPEETVKEEVVVPAEEPVAEKFSDAPVEIEPEAVVEAKAEAEEVVKEDAVADTNVEEEEEKTTTEQDEDKVEAAVAEAEEETKKTEE >KZM91881 pep chromosome:ASM162521v1:6:28628731:28628901:-1 gene:DCAR_020754 transcript:KZM91881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVIILVASSMCKDCRGKGGQERPKKLKDQSITDIPEVFSHEAVFTGMRWLAVAI >KZM92674 pep chromosome:ASM162521v1:6:35309237:35313194:-1 gene:DCAR_019961 transcript:KZM92674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSRVVISGFVVLMSLALLSGSICSAEEESKESVVDHVLTLDHSNFSEIVGKHEFIVVEFYAPWCGHCKSLAPEYEKAASVLSSHDPAIVLAKVDANEEANKELATQFGVQGFPTLKILRNGGKLSQEYKGPREAEGIVSYLKKQVGPASAEIKSAEDASSLIDEKKITLIGLFPVLSGEEFENFTALAEKLRSDYDFGHTVDAKFIPKGDSSISKPTLRLLKPFDELFVDSQDFHVDAMEKFIAESGVPTVTLFNQDPSNHPFLVKFFDSPDAKAMLFLNFSTDKFDDFKKNYNDVAVLYKGKGLNFLLGDLEASKGAFQDGKLKPYVKSDPIPEVNNEPVKVVVRDSIQDVVFNSGKNVLIEFYAPWCGHCKKLAPILDEVAVSFENDADVIIAKFDATTNDVPSEVFDVQGFPTLYFRSASGTVVPYEGDRTKDDFIEFIQKNRDTNAKPVSVKSEESAAKSESPRDEL >KZM89850 pep chromosome:ASM162521v1:6:6042477:6042926:1 gene:DCAR_022787 transcript:KZM89850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVIGKGNKIRHIVRLRQMLRKWRKNAALSSNSRIIPSDVPVGHVAVTVGSGCRRFVVRATYLNHPVFKKLLAQAAEEYGFTNNGPLAIPCDESAFEEILKLVSKSESGNSVKLVDVKKSCHVDYKTACDARPEILPLLHGLCDELVC >KZM90276 pep chromosome:ASM162521v1:6:13773295:13775245:-1 gene:DCAR_022359 transcript:KZM90276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFLGRFRIKRSPSIPAASPSRDTGEVVQDSNVQIFMASAADEIAADIFDQPSCSTSKYTCQREDESRDFSSIYCKYNAPPLVPNWRRLIQEVAATKIQNAFRGYLARKVARALKGIVRLQATIRGILVRRQARKTLNKLQSIANIQSQVRAKRSQMLDDPSCSKDKDIKIDLKSQRRWDDSLLTKEEENDLCSSKRMAAIKRERIKQFSFRNRKQCHYKESELPATRLVHLQKQHPIGNRYSAGGGSLAVPTYMAATVSAKAKVRSMSSPRLRPMSFNSNSVTFSPYKHKSSPISSINSDLTTISMFNRPSHRYSQRSPCLEGPVSKRNSK >KZM91765 pep chromosome:ASM162521v1:6:27685114:27689930:-1 gene:DCAR_020870 transcript:KZM91765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSAAAAASPADGSNKKIRKPYTITKSRESWTDEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTTAHVPPPRPKRKAAHPYPQKAPKNGLLPLQASMGFPSSLNSFGPGYSPWDESSMLINTQSNGITPQDDYHLHGAEGMHKFNTRTMKCNIFQNYCAADIGSEDTSKMGVSCLSGRASTSQTLPSTELIEEGKQSSVSHGIPDFAEVYGFIGSVFDPDTSGHVDKLKEMDPINFETVLLLMRNLTVNLSSPDFEPMREVLSSYDVNAKTVGVAAGNVCQEPEQ >KZM91083 pep chromosome:ASM162521v1:6:22001878:22003286:1 gene:DCAR_021552 transcript:KZM91083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDSVTNAKDFAKKKKANRSAKLKQCKLDARRHQWLSQVNNQERKDQVDVSGGIQVSPTHGRNERDRVVKISEMNSGNGEHDGSVQHYSDSDSSSHSRISHSCSVGGSHFSGTSFTGSGGSSRSSSSSGRSVSGIMSEEEDDDNNDDDDGCVDDWEAVADAMAASDAKQQQEQNKPFQESPSEHENVNKLNCPPEFPNSQLLEVDIPKPKAENRKAWRPDDAFRPQSLPTLLKQHSFPIKLERHSGSGGVTCAIGISTQSSCPICCEDLDFTDSSFLPCYCGFRLCLFCHKRILEEDGRCPGCRKKYEHDGITCEGNGNFAEGSSPYRFARSYSMITKS >KZM91122 pep chromosome:ASM162521v1:6:22384726:22388174:1 gene:DCAR_021513 transcript:KZM91122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEKLHQLIFFILLAGRYVGGDSTDTDKHVLLDLKSFLEHQNHVDRGKYTEWIPASSSHCSWPGISCNNVSRVTGIDLSNISISGDIFGNFSALTALASLDLSGNSLNGPVPADLGLCRSLKFLNMSHNIIAGELNFTGLDSLEVLDLSENRIEGKIQSILPRICSKLVVANISSNNLTGEIGKSLDGCLNLQHVDFSSNHLTGNIDFGFQRLKMFQASRNNLSGLIQPWVFTEDCRLEGLDLSENFFQGELPKEISHCKNLEVLNLWGDGFTGKIPQEIGSLVNLQALIMGKNHFSRDIPESLINLTKLSFLDLSGNNFRGDVQRIFGRATQVKFLLLHGNAYTGGIYSSGILTLPNISRMDLSNNNFSGQLPVEFSQMRSLKYLFLSSNQFTGNIPSEYGNFPQLQALDLSSNRLNGSIPQSLGKLKSLLWLMLASNELSGEIPPELGNCSSMLWLNLANNRISGKIPPELASIGSNPMPTFLSNRQSDQITAGSGECSAMKRWLPANYPPFSFVYTLLTMKKCRGLWDTLLKGYGLFPICSPGTNVRTYQIAGYIQLGDNQLSGELPHEIKKLQNFGMLHLANNRLEGPFPAEIKNLPLVVLNITGNNFSGEIPAELGSINCLQSLDLSYNNFSGEFPASLSNLHELNKFNISYNRYISGVIPATGQFATFENWSFVGDPLLQISPYLQNRTNNASSITEHSRRTTKSGAFLAFIVILIACLVFGIMSLTVCVVVKTSSKPPSYMMEDSKLRHVSVSSSGGSSPWFSDGVKIIRLDKTAFTHKDILVATGNFSNERIIGRGGFGTVYRGVLPDGREVAVKKQQREGVDGEREFRAEMEVLTGNGFGWPHPNLVTLYGWCLDGSEKLLVYEYMVGGTLEDLIPNRTRLTWRQRIDVALDVARALVFLHHECYPAIVHRDVKASNVLLDKDGKARVTDFGLARVVDAGESHVSTMVAGTVGYVAPEYGQTWQATTKGDVYSYGVLIMELATGRRAVDGGEECLVEWARRVMGYGQQEFSSKKFVPVALLVSNLGEGGEELRELLKIGIKCTAEAPQARPNMKEVLGMLVRIALDSQMDLKHPSPLPSWL >KZM91636 pep chromosome:ASM162521v1:6:26710243:26711210:-1 gene:DCAR_020999 transcript:KZM91636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCNSDPCPSTNFNARPTDQEQSLISIANASSTALIENENTKVVAPKLVRAPSLPSFMAVKTVQVAQQIGKHDSAEIRFRPQAVVPPPRNTLLRATSLSPPCVGRSEDDEEEEDQESEFRLGRLIRQASLKSSDTFLPPRQTPKSSSIARRPRKKIVVDGNKEMGNQNQIKLSNKGKLPSEIQKKEVERLKALSSQFEKQSLENEVPRNKVAGLQEKSQGDQLEDKKFRRPYAMSDPSARPLMRSTTSIPKWAAAAAENDKRSSQDMKAQLKFWARAVASNARAPGVL >KZM89674 pep chromosome:ASM162521v1:6:3155649:3168090:-1 gene:DCAR_022963 transcript:KZM89674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEDSVLIQLQQSVHSNPDDSSLHFNLALYLWEHSKEINSIKEKAAEHFVISAKLDPHNPNAFRYLGDYYSQVSLDSTRALKCYQRALSLLPNDSYIGESICDLLDKEGKESLEIAVCREASEKSPRAFWAFRRLGYLQVYQKKYSEAVQNLQHAIRGYPTSADLWEALGLAYQQLGMLTAAIKSYGRAIELEDSRVFALIESGNIFLMLGSFSKGVEQFQDALRISPQNVAAHYGLASGLLSLSKECINSGAFKWGSSLLEEASETAMASTTLTGNISSLWKLHGDIQLTYAKSYSWMDEKQGLQDDELAFTNSILTWQKTCFSAAVLASRSYQRALHLAPWQANIYIDIGIAVDAMCSLKEKEINNLYALQLPEKMTLGGLFLEGYNDEFWVALGCLSLDAALKQHALIRSLQLDVSLASAWAYLGKLYKKEGDKRLAQEAFDRARSIDPSLALPWAGMSADSYTREIKVDEAYECCLRAVQIMPIADYQIGLANLALLSGNLTSSQVFGAVRQALQRAPHYPESYNLNGLVYEARHEYLTASVFYRLARCAICASEKGSNSRLRDISVNLSRSLCKAGNAVDAIVECENLKKEGLLDSKGLQIYAFSLWQLGKNELVLSAVRTLASSVLSLEKTSVPGCISFICRLLYYISGKESAIKSIMKMPKDLFQSSKVSFVLSAIHALDQRNQLDSVVSSSRCFVVSPAEITGMHILIALGKLVKHGSENCLAIQNGVNHLRKTLHMYPNSGLIRNLLIYLLLCNREWNSIHLATRCLVVCDSDYQKEEDFRSPIEILGAAAVACYSGGNHSDKLSLPTCRGQCLSGHEAIQRLQKHVSRDFPVTFVFCLSV >KZM90474 pep chromosome:ASM162521v1:6:16090858:16091397:-1 gene:DCAR_022161 transcript:KZM90474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADKSEIYSNDSEALEKWITDCFTDHHPPARYYHHQHAVKNIIPISVPKPVVPVPKKEKGFPVMKKEKEKPVVHEKRVMKLDSVSALEETQRFVANFLRVDNAKRMIVSQQPRTTRPGLENNSGVKRKFDDDVNNEVKKIMHRKPEQRKCVADPIGKASSRGQILANKKYKFQPLVC >KZM92281 pep chromosome:ASM162521v1:6:32064291:32066253:1 gene:DCAR_020354 transcript:KZM92281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKGEVNVFNKREDLSVSLAKYIAELSDKFTKERGAFTIVLSGGDLFESLGKLVESPYKESLDWSKWFVFWLDERVVPKNHPDSNYLLAYDHFLSKVPILPGNVYAINDALSAEGAADDYETCIKHLVNDTPIITRSAITGFPKFDLMLVGMGPDGHVASLFPGHPLVQENERWVTFIKDSPKPPPERITFTFPVINSSANIALVIVGEAAAHAVHVTLDDVEDSNVLPIQMVSPEGELTWFLDSGAASKLKRAPDVAK >KZM91726 pep chromosome:ASM162521v1:6:27402009:27406158:1 gene:DCAR_020909 transcript:KZM91726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHFLHLLILISFFTHSFSAHSPPSDATHLLSFKLKADAHNNLNYTSRSFCQWQGVQCARLRVHRLVLQNLNLSGSFAPNTLTNLDQLRVLSLQNNSLTGPIPDLSQLINLKSLFLNHNSFSGSFPPSLLSLHRLKTLDLSNNILTGLIPMALTNLDRLYYLRLELNRLNGSIPPLNQSSLKIFNISHNNLTGPIPVTPTLLRFPRSCFLFNFRLCGEIVHRECKPAAPFFGSPTPKPMNQVIKQQPEQSKSRTNKLAAIIGLCGGVVALCFVICVIARVVKRKRYRKRIMKPTMASDYIAAESAAAVMKMEEDRALEEKVRKLQMAKSGSLVFCAGEAQDYTMEQLMKASAELLGKGSIGTTYKAVLDNRLIVCVKRLDGFKMTGISKEEFDRRMTAVGGLRHPKLVALRGFIQAKEERLLVYDYQPNGSLFSLIHGSKSARARPLHWTSCLKIAEDVAQGLNYIHQAWRFVHGNLKASNVLLDPDFEACLTDYCLSDLVDPALLFEEPDSVAYKAPETHKLDHQPTSKSDIYSFGVLLVELLTGKPPSQHPYLLPDELIHWVRSMRDDDGSEDNRLVMLLEVAIACSATSPEQRPTMWQVLKMIQEIKQTVVAEDGDNAFPATVASLTS >KZM91790 pep chromosome:ASM162521v1:6:27846176:27849246:1 gene:DCAR_020845 transcript:KZM91790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQLLLAAALAGSGLFVKRLRDSNSSKTHSDSSSKPAVQISECEKSSIFRFSSADESPVKTSKKSRKKSGFVSRGGGNDGNEKRGVGGLGLVVDLSKSRRRLVVCFNNKKKRSACRDESAQSSAGSSFALGFGAGLMCMMSAKSEISRLNSVIDETTKVVQQLKTEVASKKTARHPDISFLGADGNANMKKSRKSCSQLLLDKTSSGNILNSRPGSSSRSNEGECGSSCLTEDFQSGLLMDQLEAELQSELQKLPWCTAEASVPERGSDYFETEVTTEEFDKLDNPDLITYEDNRVLPSELDQKLRLLLLEQQESQIAELESELQQSHSELNDKELELQTLKDCIRHLTEVSIGSV >KZM92798 pep chromosome:ASM162521v1:6:36386012:36388342:1 gene:DCAR_019837 transcript:KZM92798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRPQHEDFSLKETKPHLGGGKATGDKLTSTYDLVEQMQYLYVRVVKARDLPAKDLTGSCDPYVEVKLGNYKGTTRHFEKKSNPEWLQIFAFSKDRIQASVLEATVKDKDVVKDDFMGRVLFDLNEVPKRVPPDSPLAAQWYRLEDRNGNKLKGELMLAVWWGTQADEAFPEAWHSDAATVGGADSLSSIRSKVYLSPKLWYLRVNVIEAQDLIPTDKTRFPDVFVKAQLGNQALRTRSSMSKSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCAIPLQYVDRRLDHKPLHTKWYTLEKHVIITEGDKKKEVKFSSRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLEVGILNAQGLLLMKTKDGRATTDAYCVAKYGQKWVRTRTIIDSAAPKWNEQYTWEVFDPCTVVTIGVFDNCHLHGGDKATGARDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPTGVKKMGEIHLAVRFTCSSLLNMMNMYSQPLLPKMHYVNPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMAVFGGLIAVGKWFDQICHWKNPITTVLIHILFLILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSCADNAHPDELDEEFDTFPTSRPADIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYVLRHPRFRYKLPSVPINFFRRLPARTDCML >KZM92808 pep chromosome:ASM162521v1:6:36444207:36446428:-1 gene:DCAR_019827 transcript:KZM92808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANSSSMYIQPHFLSNPDKPFSNTTHFKPLPSSLNHHFALKFQQHRMAHKMLDQMPDSKQSFAWNSLIQTHLRNGQFDRAVTTYQEMLVRGVRPDKHTLPRILSVSRLLGSLRLGKQLHGQAIKYDLASCHYVHSALIELYGRLDCAEAAKWVFDKSPVAKSSVSWTLLAKFYVKEGRPDLAVELFNEMVRSDAKIDSLSLATVIGACGLLKSLQEGRNVHRIAKSCGLEFDVLVSNSLLKMYIDCGSIREACLIFDQMKSRDKISWTAMISGYVQKGEFNEGLKLFRQMISEYLKPDAVAISSVLPACGRMPAYKNGKEIHGYLLRTGIDMNLRVQNALTDMYVKSGHINYASEIYSRMSEKDNISWTVMILGLGLHGKGELGVNLFQEIVKSSTKEIDWITHTAVLYACCTAIMVEEGKSVFKCIRSPKVATCALMVALLARAGLFDEARSFIERKQIARQAEVLRALLDGCRVNRNITVGKRVIEQLCDLEPLNADNYVLLSNLYAYVGKQDMVEKLKETIRDMGLTTKKAYSWIEFRNKVHVFGTGDVTHPSNTSNTAAQFLSRFARV >KZM90996 pep chromosome:ASM162521v1:6:21182945:21187115:1 gene:DCAR_021639 transcript:KZM90996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSDEDDQPPPPPPLTHHQEEEFGNNNNNISIDLQTSTINFESVNLNSTNPNTQPIPLDISDDDEFIDVSDNLSPPEQNDSPPIEVGDCAISGFLAGLGVRLRREWLDSCVSGLESAVNGFSRLDDVAKAKLCFEQVLYSDMNYVGAGVLPGNVVDMHLVDLAGPFVLQVDEIVNISRPLKGRYQTAASGHKRCLKLSMTDGVQRVFGMEYRPIKNLEVLAPAGMKVVVCNVNVRHGILMLVPEVLEVLGGHVEELEAARQRLVQEVNKPARGKRTKSGVVLPLETRATYAAWPRPNGLGAETQTNSSAPHNATPRPNGLGAQTQTNISAPHIATPRPNGFGAQTQTNNSAPHNAAPFQADVPGNTPNNVSINQQTREESTVRIQRQYHEANDSSTVFSTLDDIQMVDSNTSNNVSINQQSREESTLPIQRQVREANSSSTTFSSLDDIQVVDGNISTNVSINRQTGEESTIPLQQHDHEANKSSTAFSSLDDIQMVDVEHLPVLTADGESPFTYLASLLTKWAAMNGQIPNVQGKIKNPGKTKVTPSDANWNTSCFMTSVKSFQFRQRTTYELHAYVDDGSLISEILISHDLVLQAIGHPPEEVNAAYLSPDQKIVDDMKKTLMQYQNFLFNFEGTMVIQISEASPLPVAIEMNQGCSASDAWGLLRRLRPSRSVQQSNAINISP >KZM92054 pep chromosome:ASM162521v1:6:30129200:30134393:1 gene:DCAR_020581 transcript:KZM92054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPIETSQNQTENPDVPSENELVLNSQTGKASKFPFYSPSPLPGSAYKGSPANSSVNSTPLRTPLRLFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGNELEIGLDKNFGYSKQILQHYELGEEVGRGHFGYTCSAKAKKGSLKGQDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYDAYEDEDNVYVVMELCKGGELLDRILSSFNSSLIVLLGSMFYGRLKAFEELQWYTLVQNFLYVSKDEHCQLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDETPWPSLSPDAVDFVKRLLNKDYRKRLTAAQALSHPWLANCHDVKIPLDMIIYKVVKAYICSSSLRKAALGALAKTMTIPQLAYLREQFALLGPSKSGFISLQNFKLAVTKNCTEAIKDSRVLDFANMVGSLQYRKLDFEEFCAAAISVHQLEGMDSWEQHARRAYELFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLVHGVSSRAFQKA >KZM90388 pep chromosome:ASM162521v1:6:14842546:14844842:1 gene:DCAR_022247 transcript:KZM90388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFTLLLPALNSIWYGYVADAADNGFVLQANSPVSVNGNSTRRKKNGYSHAKRRMNSQTSMAQREEIMRTVYVSDIDQQVTEEQLAALFISCGQVIPCFIILISKEEMTADSNTLTKVVDCRVCGDPNSVLRFAFIEFMDEEGARNALGMAGTVLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREICSRTIYCTNIDKKVTQADVKLFFETFCGEVCGCICLKSKVPYFIFRTISSMPLFRRCPL >KZM90713 pep chromosome:ASM162521v1:6:18448574:18451329:-1 gene:DCAR_021922 transcript:KZM90713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEIRKSCIFIVLAIQFSISTALISSPDVNPPYPKAFSDLKEVIVKGLGFQADDFKITGFDLRDTLVGRSVAYEFDVEIDNTLLPVKLIEDVKRWEYVDLPIFRVVKGEVKPDHENALVERRNLDDGLPELAPFQLAGPMELWIQDAKDVRLSLPHDVDAGVLRKVILADGAVVTVKGARSVSLRHPLELPLPFNQSQNGFASGLLALADRLRHASRSEGEPLVSLRIVGPTSLSSPTSSSSSNNRLKLKRLAPGLVELSSASKMKAIETVSNIDLQEDVPTLLTPDRFATLWPLTSINGSNANLLGFESLLSSVLGSKASKKGSFKLTKADVSAQTYVKIGFEVEKKLQEADGLDLSSFPEWRTKPENLRMHFEVLGKIDGDKVVPERVVQIDPLGIEHSVSPDVLTGNTTMSKMPIVHPPPNPFAL >KZM92532 pep chromosome:ASM162521v1:6:34152517:34153105:1 gene:DCAR_020103 transcript:KZM92532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVQSR >KZM92258 pep chromosome:ASM162521v1:6:31883536:31884546:1 gene:DCAR_020377 transcript:KZM92258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSTQESHLRSDTLVTYDSPHTLYAMSISSSPTNRIALGSFLEEFTNRVDVVSFDDQTLTLKRNPNLSFDHPYPPTKLMFHPNPLASGKPNDILASSGDYLRLWEIKENSVEPISLLNNSKSSEFCAPLTSFDWNEVEPRRIGTSSIDTTCTIWDVERGVVETQLIAHDKEVHDIAWGEAGVFASVSADGSVRIFDLRDKEHSTIIYESPHPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTMPVAELERHRGSVNAIGWAPLSCRHICSGGDDGQALIWELPTVAGPSGIDPMSMYTASSEINQLQWSAAKPDWIAIAFANKMQLLKV >KZM89865 pep chromosome:ASM162521v1:6:6375154:6385867:1 gene:DCAR_022772 transcript:KZM89865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEATSSAPNSSSSGPTTRSAKRARTSAAAGSSSVVSANTASPVAPAIVTRSRSLKMDEPSGSGSRGGGRRGKSQDKDSSNKGKEKEHEVRIRERNRESRDIERSLGLNLESTVNGGEGNDDDNDSEDGVGMLQQNLTSASSALQGLLRKLGAGLDDLLPSSAMAAASSSQQSGRLKKILLGLEADGEEGKQVEALTQLCEILSIGTEDSLSTFSVDSFVPVLVNLITYMENPDIMLLAARAITHLCDVLPSSCAAVVHYGAVDSFVNKLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLQYLDFFSTGVQRVALTTAANMCKKLPADAADFVTNAVPLLSQLLQEHDAKVIELAAICLTRIVESFAASPERLDALCDHGLITQVASLISTSSSGGGQASLSTSTYTGLVRLLSTCASGSPLGSKNLLLLGISGILKDILSGSGLVANMSVSPALSSPPEQISELSASAQIFEIVNLANELLPPLPQGTISLPASSNVFVKGSLINVTPVTSEEHEEQDDSIGTEISSREKLLNEQPELLQQFGMDILPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSSADMIQGLLSVTNISSFLAGVLAWKDPQVLVPALQIAEILMNKLPETFSKIFVREGVVHAVDTLIIAGSQGTALPLQTSCQKENDFIPGSSSSRSRRNRRRGGNLNLDGNTAEDTKNSGSAVGSPPNPIEVPTVNSSLRTEVSSSAQAFKDKYFPSDPGSVGAGLSDDLLHLKSLCSRLNAGISSQGTKLKGKSKASGPCITDTSAIKEENLAGIISEILTELSKGDGVSTFEFIGSGVVAALLNYLSCGFSSKDKISKSAISKYHEQAVRRYKSFIAVALPSGPQTKNIPPMSILVQKLQNALSSLERFPVVLSHTARSSNGNVRLSSGLSALVQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLATVEDFLWPRVQRNESGQKPLSSAAKSESRTTPDVGASSPSNSTPASTTRRLSTRSRTSLNIDDSAKKELAPEKNASSSKGKGKAVLRTSQEDGRGPHTRKAARRKAAADKDVQMKAVEVESSSEDEDLEASPVEVDDEMVLGDGSPRVCMMDVVHDVKLGDSADDGLNAPTTSDKQVNAAGVSSSKATTLRSSESSDLRSGSAFGSRGAMSFAAAAMTGLSSSNGRGISAMREQPGRPQASPRLLFYAGGKHLNKHLPIYQAIQRQLVLDEDEDDRYNGSDFISSDGSRLWGDIYTITYKRADSQTERASVGTISSLSTSKSRKPVSASSSSTDSSKQHKSLLDSIFCGELPCDLERSNPTYDILALLRVLEGLNELAPRLRIQSMTDSFAEGKISSLNEFNAAGVWVPGEEFINTKLTPKLARQLQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSMNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYCSQKAVLEVEYFGEVGTGLGPTLEFYTILSHDLQKTGLEMWRSNFSSDKSMMEVDEKGSKPTSNMYQASEDLIHAPLGLFPRPWSTGADVSDGSKFNKVVEHFRLLGRVLAKALQDGRLLDLPLSTAFYKLLLGQDLDLHDIFSFDVELGKTLLELQALVYRKKYLESVGANDQIADLRFHGTLIEDLCLDFTLPGYPDYNLKLGEDNVDINNLEEYLALVVDATVGVGIRRQLEALRAGFSQVFDISSLQIFTAKELDYLLCGRRELWETETLADHIKFDHGYTAKSPPIVNLLEIMGEFTPEQQQAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSTIANTTSSATGASEFADDDLPSVMTCANYLKLPPYSTKEVMYKKLMYAISEGQGSFDLS >KZM91448 pep chromosome:ASM162521v1:6:25246477:25250290:-1 gene:DCAR_021187 transcript:KZM91448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIINHSSLHCVCLSDREHRRRQFDVRNDSGRNLRISDSIEDKANDRKVLSSNSKGNSDEQLNHVRREIQILEDHKTRLKMFLEEKDQAVDTLNSRIQELEMQLFKEKDDCERSEARLQKLGDQLGSDVVEPGPIEEDTRINIVSDGDPIANRVVSPQIEVQNLASSSKKRQRSLITEGEGQLKQAATVAEEGSKRKAMRSEKHLRSDVHHDHLYGNKEPTVDGNDGRRPVTAEAHNRQGKKMPSYISSEVKVKDSEPGLLFPSTSMAANVVDEVVDIETDGNLDEENFSDKIEQGVADEVHRFPFQLPPPPPLPRKFYLQDLGENENVAVDVDGPEEMVDVDII >KZM89526 pep chromosome:ASM162521v1:6:1656012:1657718:1 gene:DCAR_023111 transcript:KZM89526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMNLPPSMLYISVCFSFLYFCLNPNKILSNAEIIRDGNNNVKGMFVFGSSYVDNGNNNFLPTLAKADYLPYGMDFESGPSGRFTNGENVIDLLGEYFGLPAFIPPFLDPSTNVNHSDVGVNYASGGSGILNSTGTIAGVVLSLNEQIRLFEEVTLPNLKNSSRESLSKYLCVIGSGGNDYTLNYFLNISQSNTSVEEFTAILISTLSSQIKKLYSLGLSKFVLMSLYPLGCSPVSIAAQQPPSNACVQYLNDAAQLFNSNLRTLINDIRPQMPGSNLIIVNAYNIIQDILGFPALKGFTNTTKPCCEVPSRAQGGNGISCKRGGSTCQDRDKFVYFDALHPTEAVNVILAKKAYASILTREVYPFNVWILAQI >KZM92630 pep chromosome:ASM162521v1:6:34983410:34991978:1 gene:DCAR_020005 transcript:KZM92630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMESDSQVSPLQRPSAKKALLILSFVGLFIGNCGLPLITRLYFIHGGNRVWLSSCLLSAGWPFILVIMIAAFYHHRVIRGDSSAKLFNMKPRLVLFSAVLGALTGVGNYLYAYGISKLPVSTSVLVIASQLAFTAGFAYLLVKQKFSPFSVNAIVLLTIGSSVLALHTNSDRPEGESNKEYVLGFVMTLAASAVWGFILPLTEYTYQKAKQIIDFPLVIPREARNFDLGETKYYTIIVCTAMLSQFFAIGLVWPFIYLYRTIFTMKPHHNTPPGPPGLPIIGHLHILGKFPHRTLCKLSQKYGPIMSLRLGSVPAIIVSSPSTAELFLKTHDTVFASRPKSHSAYYSFNGTKAMGFSDQYGPYWRSVRKFCTLEFLSASKIDSMAGLRSEELRLAVKSLKQDAEKQVIVDVSEKVTRLIEDMTCGMLLGKSRHKRFNLSEILHQLAEVMGAFNIADYVPFLQALDLQKFSSFSVNAVFLLALGAGVLALNTSTDRPEGESQRDYVRGFIVAVGGTASYGLILPLTELMYQKANQVVNYQLVMEIQMVMCLFATIFCTRGMFINNDFEAISREARSFELGETKYYVILVCTAMMWQLFFLGVNGVIFCGSSLLSSIIITLSLPVVEILAVIFYDEKFQPEKGVALVLSLWVFFSYFYGEFKQSKETKKNCTAEIEPSTDQIVVP >KZM90816 pep chromosome:ASM162521v1:6:19515725:19521112:-1 gene:DCAR_021819 transcript:KZM90816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEPTLVPEWLKSSGSVTGGVSTNHLNPSLHQDNQATLKAARNKSLVNIGDHDIGHRTTSSYFRRSSSNGTSHLRSYGSFGRNNRDRDWDRDIHDIRDKEKSNLGDRKYRQFSDSFESNSLSRFEKDGLRRTQSTISRTGVEPWPRRVPSDLKNIDKSNHNNGNSRLAVSSPISSVHKASFDRDFPSLGAEERQKDPEIGRVPSPGLGTAIQNLPTGSSAGIADGGWTSALAEVPAMIGSNGTTASSVPHSVSSSASVVPSMMTGLNMAETLVQGPPRVQADPQLSVETQRLEELAIKQSRQLIPVTPSLPKALVLNSSDKAKGKVGLQQQSASTNLVHHSPRGAPTKNEIIKTSSLGKLQVLKPARERNGVSNTSKDTLSPTSSSKLANNPLAPALATVGSAPLRSSMNHSILVSAERKSAPPVMVTPMLEKRPSPQAKSRNDFFNSMRKKSMTNSSSAVSNTVSAVSPSDLGKNSEGEASASLDSQGRDVPVVESSDEGKINECRDGSIQNSHGPQNSLDNGVNHSSTDVILSSEEEEAAFLRSLGWEENAGEDEGLTEEEINAFYRDYINSAPPSKTLLGTKQKLFGPINFQMGSNGGVSSGVSSSDSKLDS >KZM91221 pep chromosome:ASM162521v1:6:23284098:23286817:-1 gene:DCAR_021414 transcript:KZM91221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNTPGEGLIREYYFGVAGLLERGGDNEGNTVRNRCKNKILCVEKLSLVLPETPPYLPHQFDRCAVIGNSGDLLKTRFGDEIDSYDAVLRENGAPIQNFTEFVGKKSTFRLLNRGSAKALDKVAELYETGKEVLLVKTTIHDIMSKMIQEIPILNPVYLMLGASFGSAAKGTGLKALEFALSICDTVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRADPKRIVKWLPSRSTVVAAKTASDKLLGRVGAGSKNPLAACSITKKQMKRKPESMFSLRKEAVEHQKYVNGATMYPLEHNPGHGHLCTVP >KZM92753 pep chromosome:ASM162521v1:6:36034026:36037385:-1 gene:DCAR_019882 transcript:KZM92753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLIKAHTQVKPLFNGDGMLIGFEPRDQSITRLRSHKRKVKFNGSSSTKLFASSSSSVSSSSESYKFKQPVDSGDGSSYNVGYDLFFDDPEYVRDDLSCFRGLVLDISYRPINVVCWKRAICLEFMEKADVLEYYDRTVNSPSGSFYIPAVLRVPHLLQVVKRRRIKSTLSRKNVLARDNFTCQYCSSTENLTIDHVIPIALGGEWTWENLVTACARCNSRKGQKTLEEANMKLNKTPKAPKDYNIVAIPLTNAAVQMLKMRKGTPEEWQEYLA >KZM92569 pep chromosome:ASM162521v1:6:34448483:34450634:1 gene:DCAR_020066 transcript:KZM92569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESEQFKVFVGGVSWMTTEESLREHFEKFGTVARVAIARDRYSGAPRGFAFVSFTDQAAFDSALLVEHHHILGRTVDVKRAIPRSEQCQIESQQSRGLGRNNRSNGHCGNSEQLRTRKIFVGGLSANLTEEEFRNYFENFGQITDVVVMHDSLTQRPRGFGFITFDSEDSVENVMRTNFHELGGKKVEVKRAVPKEVTNNGYSGGGSGRSYNGFQQYGYSPRHDLFQGHGHPPPYGVHPGYGGILGYPYGFYGVSFPSGYGGISYGMPPFAPSTWNSPGMSGFRGYSYAGAPNFYLPHPNGGVGGRSPSVNRVDDAQLTVDRKSSSTDGGNGLLAN >KZM92664 pep chromosome:ASM162521v1:6:35222844:35223086:-1 gene:DCAR_019971 transcript:KZM92664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKTKVKKGWIAVQVGLEDEDEIASRFHIPIFYLNNPLFRELLDKAHEVYGYHISGPLRLPCSVDEFVHLRWQIERKER >KZM90804 pep chromosome:ASM162521v1:6:19381012:19381275:1 gene:DCAR_021831 transcript:KZM90804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDPASVNHLMSFRTDISGCKIKQNNPDVSSSLSIKEQNPVMEQYMQEARFSLEDDYIGEENLTLISGLDWKAAEHRYREPGFHTK >KZM91111 pep chromosome:ASM162521v1:6:22272041:22273198:-1 gene:DCAR_021524 transcript:KZM91111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDDHLHRPNFPFQLLEKKEDEACSSSGYPPNHNSLSITTTDTNTNLSRSTSNLQVVSAEPAKKQPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSTYFNPNFSMPQRRSLFPGIGSSSENNASNLLSFQSHNQSPSHMFQPKNETTSFELSETEENLNRKRRTEQDLSQMGTYLMQSSTGSLPASHSSVPANFWMVANSGNQVMSGDPVWTFPTVNNTAAAALYRGTVSSGLHFMNFPTPVALMPSQQLGATSINSGGGGGGGANGGFSEAQLSMLAGLTPYRPIFGPGLTDSQASGSHSHHGGDHGGDDRHDTNSHDHS >KZM92480 pep chromosome:ASM162521v1:6:33704822:33707769:1 gene:DCAR_020155 transcript:KZM92480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQMNTKVRLVRCPRCRQVLPELADVPVYKCGGCGAILQAKNRKRDTQDTGSLVPDADPSQTHGLDHVITPSMNESDSDKSLERHGSGLDRRKEGLGGLNQSHERHDSDVSSCHDNTGSSPETSGHAEVEENKFPLVQYDAENQNKLGDDDSRHPQDTCAPNEILSSPEVDLHEDKSLSPRKEDENRYSSGNISDGGQNDSQISKKELPISTTFSRDISSYDESSENGNFSPASANDRYIDEDSENHSRSRSPAAVAYPDTSPEGRAHKAQSSSTESDSPDNQMFPFSDSMKQAQEETLPDFQRVSSADTLENMPQVYARNDLGVTLRSPTAKNYYAYDVNTSSCEETENQVPSQHFRSARRNFKDTESNSTRGMPKNEELMVNHRMSNETVLPPRPINFSENVSVKKHQSKGSNWNRGELHEPTRHSHPINNTIMERGEYLSRPPIYSKVSQPVRDGSSSSYDQRSLPERTVDPESDKMELLKMVYELQDQLNRAHLSKGRVHDKFSGRVAKEEELLHAHSNLFVPNKERYPEPNYPRYPERRDNDRYWSQQAPHMGFSGDANHYRNEVDCSCSRCYRQEHHYSAQMPRYAYYDERHNMAYHRHNSHSPHHSASGSPHHYIPSEFMWSRESDGKPEKSYEVNKQQQPAKQHFRPIAGGAPIIVCYCCNEILQLPADFLLFKRRCHRLRCSACTAVLKFSLEHRIHLVEYSAEPRTPPTSEVGGYTETMNLASASRASDYQHGDPVSSSDDYGQSYNRSSTDTEHFSPAPFQISNETSDRQKLSASNPFESKKDGKKIIIQDSRNTYKSSRETFELGGPSKVFEPVNVSEIEEVSEGGSPLHRLMGYPSPGRLVYRYSADV >KZM92195 pep chromosome:ASM162521v1:6:31388433:31394780:-1 gene:DCAR_020440 transcript:KZM92195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTGHLFKNHSLRLTSQHHSVRRLSFFSQVATGQHSSPIVFPEKRSKVKTSKRSEISVSIDDLKKSKREEHKIDIGDEKSDLLGYDVFSAKLVLDKRKTNKSNDASTSTDITNQVTVDAKLTSKALVWGTHLLSLADVVSVSYTFGFRHFTVHSYPVTRTSCVLSCFKKSGRSRKDFRFLADTIEEAVQWVSGFADLKCYVNCSRHPLVSSKKEASDFLFSDFPLEPHIKCKSPPTMLVILNPRSGHGRSSKVFYGTVEPIFKLAGFKLEVVKTTAAGHARNLASSIDFSTCPDGIICVGGDGIVNEVLNGLLSRDNQKEAISIPIGIIPAGSDNSLVWTVLGVRDPVSAAIAIVKGGLTATDVFAAEWVHTGAIHFGTTVAYFGFISDVLELSEKYQKRFGPLRYFVAGVLKFLCLPKYGFEVEYLPASKERTDREVVDVSELYTDIMTRSNKDGIPRASSLSSIDSIMTPSQISGAELDTTCSSTEPSDIVRGIDPKSKRLSSGRSNVAAEPEVSHPHLPLSSTPNWPRTRSKSRTDKGWAGLTATHDTTRSSWGTAAANDKEDISSTMSDPGPVWDAEPKWDPETHWDMENPIELPGPAENDDNDEDETSKDDVPRSVENWITRKGQFLGVLVCNHSCKTVQSLSSQVVAPKAEHDDNMLDLLLVHGSGRLKLLRFFLLLQFGRHLSLPYVEYVKVKSVKIKPGKTTQNGCGIDGELFPVAGQVITSLFPEQCRLIGHSAGTSRK >KZM92104 pep chromosome:ASM162521v1:6:30572314:30573266:-1 gene:DCAR_020531 transcript:KZM92104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRYNVPQNIDCFVINFIRPSLVEERVNIVLGSFYIPKDNKSNPKGDDAHFICGQKQTIGIADGVGGWTRQGVNAGEYARELMLNSVIALDAEPNGAVIPSRVLSEAYSKTKLPGSSTACLLSLNGNILCAAILGDSGFVVVRDGNVVYKSPVQQHSFNYPYQLGPASSDLPSSAIEIELKMMAGDVIVAGTDGLFDNMHLEEISAQVSQGISRGSDPQDLAWTIAENALYNSFDRFAVTPFARASRENGGSHSGGKRDDITVLVAFVQPAVVAESPFKPRG >KZM90232 pep chromosome:ASM162521v1:6:12889750:12891024:1 gene:DCAR_022403 transcript:KZM90232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHKTTESEEESLFRSYPYAVYFVQSPSTLSNANSSHDLPNPNLETARATLSHYSSSRGSNNSFLHDKKSVLVPYDDKIHVKNLRVCDVLGNDKEVVDEEEDEEYYGSSHGREMGWWRYFTFRHSSSCAWICLQIVLRLLVSLGLALLVFYFATKPPTPKLSIKIAGIREFGLGEGVDASGVTTKLLTSNFSIQLVIDNKSKLFGLHIRPPILSISYTNLPPFAISVGQELYAYSDGKTRFQLSIGTKNKPMYGAGRSMQDMLESGKGLPLDIRMSITSSFHVISSFVKPTFHQQARCLLVLNSSYDKKRRTTKYKSSCVVH >KZM90107 pep chromosome:ASM162521v1:6:11273714:11276439:1 gene:DCAR_022528 transcript:KZM90107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTPPLESICNKFPAKLHQLEERNKPSEESLNTTCRKDCQLPLIDFSNLDHKDYRNIETCKRRISDAAKEWGFFQIINHGISTEVLIRMQSEQRKLFDKPFEEKANHKISSSSPSCYRWGNPTAMSLQDFSWSEAFQLPLCDISSLSSSGVLQEFSEQVSKIAKRIAETLAESLGCNSTLFAENYGENSSYVRLNRYPPCPNFRKAYGLVPHTDSDFLTVLYQDQLGGLQLLKNGEWISVNPNQDALIVNVGDLFEAWSNGVYKSITHKVVTNPAAERLSIAYFLCPTYETVIQSYCKPSLYREFSFGEYRKQIQKDVESTRIKFGLSRFLK >KZM89657 pep chromosome:ASM162521v1:6:2926502:2928919:1 gene:DCAR_022980 transcript:KZM89657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMETLEEDVEYNWSDVDLSPLERKPELHKETRERRRGRDIVIAVDHGPESKYAFDWAIVHLCRLADTLHLVHAVSNLKNEVLYSAAEARIEKLAVEAINVAMVETKARIVEGDAGKAICKEVDRLKPAALVMGTRGRTYLQSVFQGSVSEYCHHNCKATAIIIVPGQCIYD >KZM90118 pep chromosome:ASM162521v1:6:11345406:11345928:1 gene:DCAR_022517 transcript:KZM90118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGSSKPSVDED >KZM89776 pep chromosome:ASM162521v1:6:4653586:4653906:1 gene:DCAR_022861 transcript:KZM89776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTHKMSPEEVRKAGVEASKRPPGQNPGGVLHQRRNLPYSPYAMAVGGLLIIGGIGYATLYTKKKPEASAGDVAKVAAGVGSVEDTHPRNTTSTSSVSGSTGARK >KZM90536 pep chromosome:ASM162521v1:6:16662096:16663223:1 gene:DCAR_022099 transcript:KZM90536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHLSKKPAETSAASAINFNNNLQFTTELHSYEAACRLDTDLQSFDTNVQAKTSHVINTLAVGVEVRALSFDSLKEVTECLLEMNQEVVKVILECKKDIWKNQELFELVEDYFDTSLQTLDFCAALEKCLKRARDSQLLILVALQQFEEENGVEGNKYVRTLEELKNFKEAGDPFTDEFFQMFQSVYRQQMVMLEKLQLKKNKLDKKLKYMHAWRKVSSIIFVATFASVLICSVVAAAMAAPPVAAALAAATAIPLGSMGKWIDNLFKNYENAVKGQKEVISSMQVGTYVAIKDLDNIRVLVDRLEIEIQSLLQDAEFAITKEAVMIGIEEIKKKLGVFMKNVDDLGVQADVCSRDIRRARTVVLQRIIKHPNH >KZM92677 pep chromosome:ASM162521v1:6:35336139:35336429:1 gene:DCAR_019958 transcript:KZM92677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRGPVALPKFGEWDLKNPGQAEFSVIFEKARNAKKEGNRHKFNPDSPVFKKEPEQNPFADQNGKGRQSTKRRRSSCARRWFCCCCSGPKHDVES >KZM91763 pep chromosome:ASM162521v1:6:27657333:27666713:1 gene:DCAR_020872 transcript:KZM91763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAELSQSCYDLAGKQWTDNRSSPSDEIKSKIIAKHFPKIIDEAAAGESKFRLISDLFNFFSTPPGQHMLAQVKNDDVSFLAIDFQHFQKVCGVEDFYKTLEEKPKEALLCISAAVAMHKVQLFKGDDSCIDDFTKINIRLHNHPKSMIALKNLKAAYIDRLVSVRGTVVKVSTVRPLVMHMNFSCTKCGTNISCNFPDGKFSPPSICVVAGCKSRTFSPIRSTAIPIDFQKIRLQELLRSEHHEEGRVPRTVDCELTEDLVDACIPGDIVTITGIIRVINNYMDIGGGKSKGKSQGLYYLYLEAVSIKNSKSLSMPNNLQDSNDDVRATELFDIFSFSPRDLEFVVKFSQEHGSDVFCQILHSICPSIYGHELVKAGITLSLFGGVRKHSMNQNKVPVRGDIHVIVVGDPGLGKSQLLQAAATVSPRGIYVCGNATTKAGLTVAVVKDSMTGDFAFEAGAMVLADRGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTTVLAAANPVGGHYNRAKTVNENLKMNGALLSRFDLVFILLDKPDELLDKRVSDHIMSLHAGYAQQSPAAKKQRAASINTINLDLHERSGSLISRMRLDPKEDSDFVPLPGPLLRKYVAYAKTYVIPRMSKPAAEILQKFYLNLRNHNTSTDGTPITARQLESLVRLAEARARVDLREEITAQDALDVVEIMKQSLHDKYVDEHGVVDFTRGGGMSQHKEAKRFLSSLNTQSESQQKDCFSISVGKLSLFIGHFCTPKLCLT >KZM92511 pep chromosome:ASM162521v1:6:33994779:33999921:1 gene:DCAR_020124 transcript:KZM92511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSESMEVSPVDLLTSILKIDSVESMTMLLENREMMMLLTTSFALLVGFGLVLMWRRSTTKSVKSFEPAKIVIPKFEMEEELDDGKKKVSIFYGTQTGTAEGFAKALAEEAKARYQNATFRTIDLDDYAAEDDEYETKLKKESLVFFFLATYGDGEPTDNAARFYKWFCEGKERGEWLKNLEYGVFGLGNRQYEHFNKIAKVVDDGLAEQGAKRLVPVGMGDDDQCIEDDFTAWRELLWPELDQLLLDDDAMAVATPYTAAVLEYRVQLYNQSDTSSPLDRSMSKLNGHAVYDAQHPCRANVAVRRELHTPASDRSCTHLEFDISSTGLAYETGDHVGVYTENLIEVVEEAERLIDISPDTYFSIHTDNEDGTPLSGGSLPPPFPPCSFRTALTRYADLLSSPKKSALVALAAHASDPSEAERLRFLASPVGKDEYAQWIVANQRSLLEVLAEFPSAKPPLGVFFASVAPRLQPRYYSISSSPRLAPSRIHVTCALVHETTPAGRIHKGICSTWMKNAVSLEDSHVSSWAPIFVRQSNFRLPSDSKVPIIMIGPGTGLAPFRGFMQERLALKETGAELGSAVLYFGCRNRKLDFIYEDELNQFVETGAISELVVAFSREGPAKEYVQHKMSQKASEIWDMISQGAYVYVCGDAKGMARDVHRMLHTIAQEQGALDSSKAESFVKNLQMSGRYLRDVW >KZM89795 pep chromosome:ASM162521v1:6:4953508:4954254:-1 gene:DCAR_022842 transcript:KZM89795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVEAKKHKKSSDRKEHGKKQKKSKHKKSSSSGHHSHSGDSAVEREEKVVYKKHERKYNECVNKGSSDSEYELSKDAHRERRSHREPKYKETSSSNYAGGTTERSYRQDKSAKYSPKGLKHSEYARREADVRNRNVSDNGSRHDSNSRNRRKPVKLSEEERAARLQEMQMNAELHEEQRWKRLKKAEEDDAREIVQGHDKRNFLDDVTKSVYGAEKGGSSSIGESVRRRAHYSQGRSQVGEGNAFRR >KZM91600 pep chromosome:ASM162521v1:6:26376852:26385149:-1 gene:DCAR_021035 transcript:KZM91600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVESEKSVQLFLSIGLDERTAKNTVANTKVTKNLLAVIDEAGVAEGCERAIGNLIYTVATKFPANALVHRPTLLQYIVTSKIKTPAQLEAAFAFLSNTASETLKVKEFEEACGVGIEVSLEDMEKTVNDIFEENKNTIIEQRYRTNVGELFGHVRKRQPWADPKVVKQLIDTKLYALLGEKTAADNEKPAKKKKEKPVKVEEKKAVEESTVPKPPEEDMNPYAVFPRPDENFKVHTEVFFSDRPVLRACNSKEILEKHLKKTGGKVLTRFPPEPNGYLHIGHAKAMFVDFGLAKERNGGCYLRYDDTNPEAEKKEYIDHIEEIVKWMGWEPYKITYTSDYFQDLYDLAVKLIQKGHAYVDHQTAEEIKEYREKKMNSPYRDRPIEQSLKLFDEMKRGMIEEGKATLRMKQDMQSDNFNMYDLIAYRIKARDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLDALELYQPFVWEYSRLNITNTVMSKRKLNRLVTEKWVDGWDDPRLMTLSGLRRRGVTSTSINAFVRGIGITRSDCSIIRLDRLEHHIREELNKSAPRKMVVLNPLKVVITNMEGGTSMDLDAKKWPDAQTDDPSSFYKVPFSNVVYIEHTDFRVKDSKDYYGLAPGKTVLLRYAYPIKCTDVILGDDKETVLEIRAEYDPLKKTKPKGVLHWVGEPSPGVEPLKVEVRLFDKLFLSENPSELDDWLGDLNPQSKVVMPCAYAVPTLRNATVGESFQFERLGYFTVDKDSTSDKLVFNRTVTLRDSYAKVGK >KZM90774 pep chromosome:ASM162521v1:6:19066594:19087276:1 gene:DCAR_021861 transcript:KZM90774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDSGQDIGFLNEQEEWHKAIFYALCAAYALVALVALVQLIRIQLRVPEFGWTTQKVFHFMNFIVNGIRAVQFGVYKSVFSTRPKARSLPIDKLKPSYFIINGIIYFAQFTYYNLNRLFIMLRRFPIESRGRQKKLHEVGFVTGICCTCFLIRCFVVGLSAFDEHVDVDVLGHPILDLIFYALRRFLVEEQGEQGATLSECESIVQQVLDRRHHIARFTRHSLSLDDFHHFLSSTDLNPPMLSKVNHDMTAPLSHYFIYTGHNSYLTENQLNSDCSNVPIIEALKRGVRVIELDLWLDSNSRKGAIHVKHGGWNSVNAAVGSTGMLAVALGIAGDTAMFYGVRIALYFCVPFRDQLLEYYANNKSSSDAEENLLTCLAELFSQIGSQKKKTGVIAPKRFVQRVKKQNELFRGYAHQDAHEFLNFLLNELVDIVEKEHQAAKDPLGTSPPDKIANGVKTSQANGVKKEPLVTWVHENFQRHISSNVCKVHVLFGEMTITYALFDQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKTPQILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLNNTVENSDSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVDESAVQTSFGSAQEYSSNTDHGYILFYERAPSNGLIKNV >KZM91323 pep chromosome:ASM162521v1:6:24137777:24139225:1 gene:DCAR_021312 transcript:KZM91323 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MKAELIIIPAPGAGHLLSMVELAKLIASRDERISVSVLIIRLPFESSIDGFDKDAPGNLAFLDVPALDDIALAELMSLPRDGCPVVIAAARRLRETKAILINTVWELESHAIKSLSDDGNAPGIYHVGPVINFKRGEAGEDVIVTWLDRQPPSSVVYLCFGSSGSFDGEQVGEIARALELSGQRYLWSLRRPSQGKDQVEVLKDYDDYNEVLPQGFLERTSGKGKIIGWAPQLSILAHPSVGGFVSHCGWNSILESIWCGVPIATWPMYAEQQVNAFQLVVDLGLAAEIKMDYRKDRAAHMESTGVVSAEEIERGIRRVMDGESEMRKKMKEMKDACRKATQLGGSSYTSLGEFIQDVTNSIREGAPN >KZM89542 pep chromosome:ASM162521v1:6:1814464:1821753:1 gene:DCAR_023095 transcript:KZM89542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYQDFPAIVVSYNCICAATLVYLNVNVLYGYRRLVTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHRKRKRKGIDYNAEIPFEKKPPPGFYDVTDESRTVEQPKFPTTIEELEGEKRSDKEARLRKQDAARNKIAQRQDAPAAILQANKLNDPEAVRKRPKMNLPTPQIPDHELEHIAKIRLPASNEELTEGSGATRALLADYAHTPRQGATSLRTPQRTPAGKHDAIMMEAENQARLRLSQTPLLGGENPELHPSDFSGVTPRKMEIQTPNVMMTPSVTPSPGGAGLTPRIGMTPTRDGPSYGMTPKGTPIRDELHINDEMDMHDSAKLELRRQADLRRNLRSGLTSLPQPKNEYQIVVQPIPEDNEEPEEKMEEDMSDRIAREKAEEEARMQALLKKRSKVLQRELPRPPSASLELLKNSLLRADEGKSSFVPPTLIEQADEMVRKELVSLLEHDNIKYPIDEKVQKEKKKVTKRTTKGNSALVPLIDQYEEDELKEADLLIKEESQFLCMAMGHESESFDEFVEAHNTCVNDIMYFPTRNAYGLSSVAGNMEKVAALQDEFENVRSRMDDDTKKAQRLEQKIKLLTNGYQHRATNLWSQVEATFKLMDDAGTELNCFQALRNQEQLAASHRVNTLWEEVQKQKELEQTLQKRYGNLLSEQERIQGMMNEFRAKAREENAAKQHALELAEAAEKQHALELAEAAEKQHALEVAEGAEKQHALELAEVEEKQRAVELAEVVETQHGVVVAEMEEKQNAGELTEPESERQLPGMKSAEDHTPELAKGEEEILGNQLSFEGNEAVALSEAIDFADAKDGESIQDGITVTTITTSVSQTVTTVTTSVSQTGTDEEVKQE >KZM92338 pep chromosome:ASM162521v1:6:32614297:32617072:-1 gene:DCAR_020297 transcript:KZM92338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMKFMLFLIFLFQDVILLAISEPVEDKQALLDFSQVIYHFRALNWHGDTSACRNWDGVTCSEDESRVIALRLPAVGFRGSIPENTLSRLSALEVLSLRLNFLSGPFPSDIAKLGNLTSLNLQSNNFSGSLPLDFSVWPNLVIFNVSNNRFTGSVPSSISNLTHLVALNLSNNFLSGELPDLILPSLRLLDVSYNNLTGKVPESLRKFPSSAFLGNKFTLENITIPPSSDLPPNDHHSKKSSKLSGIAITGIVIGSCVLGFAVLALFLIVCYSNREGKNDVLGRSQPKEKALMKVVSGSQNRNSSLVFFEGCVLAFDLEDLLRASAEVLGKGTFGTTYKAALEDSTTVVVKRLREGSVGRREFEQQMEVVGSIKHENVAALRAYYYSKDEKLMVYDYYREGSLSAMLHANRDQKRTPLGWEARLRIAVGAARGITHIHTQNNGRLVHGNIKASNIFLNSQKHGCISDLGPATLMSPMATPVIKTSGYRAPEVTDTRKVSQASDVYSFGVLLLELLTGKSPIHTTSSDEVIHLVRWVHSVVREEWTAEVFDLELMRYPNIEEEMLGMLQVAMSCVERVPEQRPKMMDVLKMLEDIRKISTGQLSSENKSDDSTPTLITPPAADMGTSYI >KZM90182 pep chromosome:ASM162521v1:6:12176476:12181685:-1 gene:DCAR_022453 transcript:KZM90182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASASSRKALSKIAANRLQKELLEWQVNPPSGFKPKVTDNLQRWVIEVIGAPGTLYSNETYQLQVDFPEHYPMEAPQVVFIPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCLSILSMLSSSTEKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >KZM92165 pep chromosome:ASM162521v1:6:31120113:31120298:-1 gene:DCAR_020470 transcript:KZM92165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSSFVVKAILVVVLAAVAVSGQEAPAPSPDVGAGFSLPVSTAVVGTSLILSLLALVRN >KZM91176 pep chromosome:ASM162521v1:6:22791779:22793871:-1 gene:DCAR_021459 transcript:KZM91176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIVRSALRNVQLRRSPASSARTFSSSKGVDDAHESAKWEKITYAGITGCTIYACYVLSKGHAHFEEPPPYSYLHIRSKEFPWGPDGLFEVKHH >KZM92309 pep chromosome:ASM162521v1:6:32316349:32317098:-1 gene:DCAR_020326 transcript:KZM92309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDKKQNSSTPKLCLNNKFPSKKREPLGMLTPPLHSFVSIPFEWEEAPGKPRTTAAVNTNGKGCGLPKSKTVRCLVPPPRLTNVSTTSKVTNMPSPTTVLDEPYSIGRSFSSSGGRSFWSPEGQKGSQGRSSFSSSCRRGRSNKDSRVSGVEGSMDFSSVFENSVDKGKGTKTRRLRRRSNSFLIVSSASSKVLSSIYESIKQVPWKRIPEKIRRMSS >KZM90745 pep chromosome:ASM162521v1:6:18811742:18812245:-1 gene:DCAR_021890 transcript:KZM90745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYHFSALLVLAVVTLTSMCGTELFADARHLLETTLPEMPKVELPKPAELPKPELPKVELPKPVELPKPELPKVELPKPVELPKPELPKVELPHVPALPKPELPKVELPHVPALPKPELPKVELPHVPALPKPELPTIPKPELPHVPELPKPTLPSIPVPHSNTP >KZM90718 pep chromosome:ASM162521v1:6:18501433:18505131:-1 gene:DCAR_021917 transcript:KZM90718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRELGNTGLKLSSVGFGASPLGSVFGPVSDEVAIATVAQAFRRGINFFDTSPYYGGTLSEKVLGKALKASGIPRNEYIVSTKCGRYKEGFDFSADRVTRSIDESLARLQLDYVDILQCHDIEFGSLDQIINETIPALQKLKQTGKIRFIGITGLPLEIFTYVLDRVPPGTVDVILSYCHYGINDSALEDLLPYLKSKGVGVISASPLAMGLLTENGPPEWHPASNELKAACQAAAVFCKEKGKNISKLAMQYSLSNKDISSVLVGMNSVQQVEENVAAATELATVGKDEETLLEVEEILKPVKNQTWPSGIQS >KZM91334 pep chromosome:ASM162521v1:6:24258210:24259340:1 gene:DCAR_021301 transcript:KZM91334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFWEVADKDYIKINVHCVVSEVPLPNGNTLAVAAIIRDDNGDMLWGVLGVLPGLNEEQAILAAVQAACIHANEKELHKIHIETDNERVYDTLRLQEQILLEEEQLDAYRAFNTLHANNYKVGTTKKSILHVPLHMNSSAEYMARYALENLKVFVETSKPFGNLDFFLQRDMGKVLPHPIYEFLGDGEVIDGPPPPPVKKRRLSPFQNLHFGHSLDFSGSSVFDGFSPFQGLERCVRLAKKQEGWEREKQRKGKGKLLEDYSFNKNGLLSKEAISLLNDQKLSALDSIFRGSEVDMESVVFGGVAVKEILHKALTGSLADLLPLWSISRTELEQASGIVDFIAVYNVMKVMGFGNQEAEFRYNASQPSTSSQHFD >KZM89488 pep chromosome:ASM162521v1:6:1208386:1213176:1 gene:DCAR_023149 transcript:KZM89488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEQLPSSSSIQSLYELCNQTFKRSANSPPSSQAIQRVSALLDTITSTDVGLKENEEDDRGHGLFGFNVFSRANRWAQPITYIDVHECDGFTMCIFCFPTSSVIPLHDHPGMTVLSKVLYGSLHVKAYDWVEPTRIEKSNGTRVRLAKLAVDKVVTAPSNTSILYPNTGGNLHCFTAITPCAVLDILAPPYSEAAGRICTYYHDYPYSSFPTAHNGEVGDSKEEEYAWLAEIETPADLYMRNGRYTGPAIHSID >KZM91317 pep chromosome:ASM162521v1:6:24084090:24094171:-1 gene:DCAR_021318 transcript:KZM91317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLPPKEATLFKLIVKSYETKQYKKGLKAADSILKKFPDHGETLSMKGLTLNCMDRKSEAYDLVRLGLKNDLKSHVCWHVFGLLYRSDRNYREAIKCYRNALRIDPDNLEILRDLSLLQAQMRDLEGFVETRQQLLTLKPSQRMNWIGFAVAHHLNSNASKAFDILEAYEGTLDNNHPPENERCEHGEMLLYKISLLEECNLLEKALEELHRKKSKIVDKLSYKEVEVSLLVKLGQLEEGEKLYRLLLSMNPDNYRYYEGLHKCLGLHSENAQYSSNEVEQLEALYKSLALQYSWSSAAKRIPLDFLSGEKFREAADNYMRPLLTKGVPSLFSDLSPLYDQPGKANILEELALRFEHSLKTAGGYPGRVDKEPPSTLMWTFLYLAQNYDRRGNYAIALAKIDEAIKHTPTVIELYTIKSRILKHGGDLEAAAALADEARCMDLSDRYANSYCVKRMLQADQVILAEKTAALFTKDWDQSLQDMQCMWYELASGESFFRQGDLGRALKKFLAVEKHYADITEDQFDFHTYCLRKMTLRGYIEMLKFQDRLHSHTYFRKAAVGAIRWCYIKLYDAPLETVAEEDDMSKLPASQKKKNRQKQRKAEARAKKEAEVRSEESSVNVSKAGMRHVKPVDPDPHGEKLLQVEDPLLEAAKYLKLLQKHSLDSLETHLLSFEVYMRKQKILLALQVAQC >KZM91238 pep chromosome:ASM162521v1:6:23456204:23458588:1 gene:DCAR_021397 transcript:KZM91238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTVDDINVDGFELKPGPSDTSFHILDRISSIAGGVVNGDYNTINVLAKHARRVLESQYSRGLRQDIPADDSFIDKGPEEVKMKRVGHKGGRGGVNAPKKRRMQNDDKRYDNPNDDITVPLNETTISISHEVEDHGLDHRGFDPTPTSWFPNFDLVPDWSQLREQTTEVYPAPLVQDQQATGQLTHVPQHQLVEQQQQPIEEQQPLHVPQENLPMEEQNLAEQELQQPQEEEEEVWPPIPEEPQQHLQHAHGHAMQLRPRKKKGPKCGTHGYKQYQRLR >KZM89600 pep chromosome:ASM162521v1:6:2413928:2419224:-1 gene:DCAR_023037 transcript:KZM89600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSDAKQLDQFSASSTSDAALFDASQYAFFGQDGAEDVELGGLEDEVNDVPALGFGDDEYHLFDKEEGSVIGSLSDVDDLATTFSKLNRVVTGPRHPGVIGDRGSGSFSRESSSAAEWSQDIDFPDWLDQHISDTESCQESKRWSSQPHLASVLLSESKPIYRTSSYPLQQHQQQFPSDTNLEPKSAFTSYPPPGSIPQLSSPRQHSRHLNVSSLGSGSQIPFSAPNLSPMSNSNIHMSSMPHGYRYSGNMSHLVSPGISLGSGSQNNWHNHAGLLHGDHSILLNNILQQQLSHQNNLVSPHLISQQQQLQQHRLNLPIQPSLAHFSSLQSQFYNTLPSPTSHLRKHRSAEMRDQRPKVSQRGKHARLSQQNSDASQQSDYNWPQFRSKHMTADEIESILRMQHAATHSSDPYIDDYYHQARLAKKSTESRSKIRFCPAHLKEPSSRSRNNSESQPHLQVDSHGRVSFSSIRTPQPLLEVDLPFSASGEGSADQKMSERPLEQEPMLAARITIEDGLRVLLDVEDIDRFLQYSQPQDGGSQLRRRRQILLDGLAASLQLADPLGKSGKSVGLNPKDDIVFLRLVSLPKGRKLISKYLQLLFPGGELARIVCMTIFRHLRFLFGGLPSDPEAAKTITSLAKTVTECVTGMDLNSLSACIAAVVCSSEQPPLRPLGSSAGDGASIILKCVLERATLLLTNPQASSNRILPNPVLWQASFDAFFGLLTKYCLGKYDSIMQSIYAQNTQPSSDVINTEAARAINKEMPVELLRASLPHTNDNQRKLLLDFAQRSMPVSGINAHGGDGGHVTPEFVRG >KZM90467 pep chromosome:ASM162521v1:6:16038955:16040650:1 gene:DCAR_022168 transcript:KZM90467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNDSLRIASLWHSMHAISQQLSPVAGCLGIELLEADTFELHCFQSLTGTKFFVVAEPGTQNMESLLKHIYKQYTDFVLKNPFYEMEMPIRCELFDVNLSQAVQKDRVAFLG >KZM90334 pep chromosome:ASM162521v1:6:14416906:14420409:1 gene:DCAR_022301 transcript:KZM90334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSNREHHFEHEESHNHPRQPSYGMILDYRYLELPLNHPSFGQVTNDEPEQMGRSGDREAEVLLLSNEMQNPHARDAADTISTPVPPVPDLNMHPFDLNMLPTEEEVEEEEHGDHTETHESEIQNPCPHAASTVSELCNGFETSKMKNEELRKQVIDLQGQIRKWKGQKASWDEMKKELERERDDVRKELHKAASEKRDLEVKLEKTVKKAEDEKVYAKRAIEEAALKAFSEKRHLEEKLEMAVKQAEDVKAAAKKAIYEAVASTKKCYKIGLSNFVAYLATGEGRSLGDYVNELIEEIPHDNRAPVDAAVDMAGLKGDRAIKDEPRDYHLAGFAQNVALQGNYS >KZM92465 pep chromosome:ASM162521v1:6:33613823:33616850:1 gene:DCAR_020170 transcript:KZM92465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGDNGSGKGGGGFKLFGVNINLQEDLYNTSPESSSKRKRIRKDCNRDLCNRGRQHHGIARDDPGYHSDNSLHVHSGRPARVRNKAIPWTQSEHISFLIGLEKLGKGHWKGISKDYVPTKTPTQVASHAQKYFIRISGVEKKRASPFDNMFSNSPPRLSTAASFNASEGSQQAMTTAVNSSKKASRKRGLDGLSANIAANKRIPPVSATRANASDVCHISNKTGDPGQSFAAALVTQQTELRPMLTDKFPSYIYDSSRHAIFPAQGLFRHPQPITNGTSQAQPATTVAENSAILHHY >KZM90433 pep chromosome:ASM162521v1:6:15789848:15790208:1 gene:DCAR_022202 transcript:KZM90433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM92470 pep chromosome:ASM162521v1:6:33635066:33636809:1 gene:DCAR_020165 transcript:KZM92470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALMASYGGDTSSDSDSDETLPESTSSAPEPSKPPRLPPPPVSLLKSPKSLGILNQLETVQVSRVRSFAHVDGNYALHVYIPVRIPSGSKEKLARFIDKVSSLVPGLNVVDADVPLNILLKNNEKLEEIALGREFHISLGRTVAIRVHQIESVLSMLRQKPQLRRRDRYLINFDKWEVFVNDDHTRSFLSIEVLTGGLAEIARQIQAVNEVYKLHSLPEFYKDPRPHISIAWALGDISESLKRVVEAQQKSFLSVGELSRKPLFTCNFGEIECKIGSKTYKI >KZM91642 pep chromosome:ASM162521v1:6:26754607:26755576:-1 gene:DCAR_020993 transcript:KZM91642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKIHSKPADNNNLPSITLAFTDVEEGEDVSSLGFEKGSCRKKESVFLNAAYGNVVPPRCQVESCCVDLSDEKYYRRHKVCQLHAKALVVGLAGKQQRFCQQCSRFHELTEFDNAKRSCRRRLAGHNERRRKCSSESHKEGSNSQLKDGHRQADERGSALLEKPSSEKYLRIC >KZM91951 pep chromosome:ASM162521v1:6:29278659:29289486:1 gene:DCAR_020684 transcript:KZM91951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLLSLGPLSSPVMCTATGGSHSQDAFYIKRAADLADKSAGFTAPHPNFGCVIAVKSGSRVVGEGYLHAQGTKPAELQAVEAAGEYCKGATAYVNMEPGDCHGDSTAVSSFIKGGITRVVVGIRHPLQHLRGSAIRTLRSEGLQVDVLGEDLHGKTIEEALKPCLLVNAPLLYRAACRMPYSVLKYAMTLDGKIAASSGHASWISSRQSRGQVLALRGRSDAIIVGGNTVRKDNPRLTARNGGGHMPLRLVMSQSLDLPEVANLWDVAEVPTIVATQRGARKSFQKLLASKGVEVVEFDILNPRDVTDYLYDRGYLSLFWECGGSLAASAISSSVIHKVYAFVAPKIIGGKNAPSPVGELGMVEMTQALELSDVCYEQIGPDMLISGFLQPVPDLSPSVPLMDETSAIDPSISPYDPSVIFFYKAWDPYGAFSNFSHHPIHVPDDNGDYITWSSVEHYYQAHKFMGMNDSEVGLHIEDIVHAKSPEEAARKGRKLQRQRPDLVRPDWENVKVDIMYKALKYKFSIYPHLNSILLSTAGSVLVEASPHDLFWGGGRDGEGMNYLGRLLMQLRSEFLGEIPSSVSTMVQAESKNEART >KZM90701 pep chromosome:ASM162521v1:6:18312546:18321697:1 gene:DCAR_021934 transcript:KZM90701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYLRALPSLSLKLRPFYLKPPLPLFYLNKFFKPISVLPKRSLSNSAAATPDTLIPLPKQPTEDTLLWVSRTGLCGDLSQNDVGKRVCLCGWVALHRIHGGLSFLNLRDHSGIIQVTTLPDEFPEAHSIINDLRLEYVISVEGVVRNRPAESMNKKMKTGMIEIAAENVKILNAVKCKLPFLVTTSDDAKDSYKEEIGLRYRCLTLRRPQMNANIMLRHQVIETPILCRSTPEGARDYLVPSRVQDCCTNLYPILVLYCLSYMTLTINRTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEDILKLNEDLIRQVFLEIKGVQLPNPFPRLTYDEAMSRYGSDRPDIRFDLELKDVSDVFVESPFKVFADAVSSGGVIKALCVPSGGKTYSNTALKKGELYSEAMKSGAKGLPYLKVVSDGEVEGISALVSSMDQSRKQQLLERCNAESGDLILFAVGNHSSVNKTLDRLRIFVAHQLGLVDNTRHSILWVTDFPMFEWNSLEERFEALHHPFTAPHPEDMKDLSSARALAYDMVYNGVEIGGGSLRIYKREVQEKVLKIIGISREQAEAKFGYLLEALDMGAPPHGGIAFGLDRLVMLLAGANSIRDVIAFPKTMSAQCALTSAPSGVDPQQLKDLLYQA >KZM92556 pep chromosome:ASM162521v1:6:34309177:34311468:-1 gene:DCAR_020079 transcript:KZM92556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIHAPVVMLLLCCYAYAATADLGKQKKTYIVHMDKSSMPLSFNDHLHWYDSSLKSVSDTANIIYTYTDIIHGFSTRLTIEEAQSLEQHPGALVPLVYEINTNNTSGNLCLPGNLHPKDVAGKIVVCDRGMSSRVQKGVVVRDAGGVGMILANTISFGEEIVADAHLIPSVAVGQIAGDAIKKYISSDSNPTATIASGGTHLGIQPSPLVAAFSSRGPNPITPDLLKPDIIAPGVNIIAGWTGKVGPSGLTNDSRHVDFNIVSGTSMSCPHMSGLAALIKAAHPEWSPAAIRSSLMTTAYNTYKNGETIQDTATGKPSTPFDIGSGHVDPISALDPGLVYDATVDDYLGFLCALNYSSSQIKLITKRNDTCQADKKYTVGDLNYPSFAVTLQTALRKDNDDSQPTIVKYTRTLTNVGKPSAYKVSVSSDISNVKIVVEPEALVFSKPNEKKNYTVTFSATSMPSGTTKFARVEWLGGKYTVSSPIAFSWI >KZM90306 pep chromosome:ASM162521v1:6:14029561:14039176:-1 gene:DCAR_022329 transcript:KZM90306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPIVKNFKDFEDDDTPSSTRNHMLRLTAEPDIEVQPQVPTKKHASEIPTPEFVIVGTYERDYSRTFNQPTSYLRARGARAEIGEFVEYDLDNEDEDWLQEYNRDRKTLEAEKYKASPKIPLISVCTDAGLLIYVGVIAPTLGSPVSVLLTFDAAVEALQALSINFGVFRSIYNYWKEKRDRWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKILLEALIKREEKKREVMESEVSLQRIQLKYKDEPEFLEDSLALPGFSTFSSKFVSSEDELVDSDDVSNSLPQSRPSMLHTPFTDSKSVIGSAGIMKRDPRRRVPYGWLHKLDPHEPVLLFTKPLENVKLAASGINPPPDSAVSNGASSKSFSIRGRIGRGGRIIFDRWNPLVHNSVECGDSLYIPPKPRPSTIN >KZM91619 pep chromosome:ASM162521v1:6:26583885:26585321:1 gene:DCAR_021016 transcript:KZM91619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTKKRKLEDNGLLQPIFDLPTADVRKIISSFTTDQLLDIVQNAAVRHLDVLDAVRSIADQDLSQRKLFIRGLGWDTTNENLAAIFSAYGELDEAVVILDKATGKSKGFGFLTYKHIDGAIRALKEPSKKIDGRMTVTNLASAGPGGGPVMQQPVDVSNRKIYVANVPFEMPADRLLGFFLSYGEIEEGPLGFDKLTGKSKGFALFVYKSEDGAKAALVEPIKNIDGVQLNCKLAIEGKKGKGGGGVQGEVHGIGVGVGLQQGGVVQGSGVVGQYGGAGGVTGYGGVVYPGGLQGPSPQVQHSQNPSFAGVGGPGYSSLPSQVPTSIGYNGSYGSVAGVGAPYGSSQYGGPGATGYGGLGGSATRMGAGVGGLGGAGLGAGGLGGAATGLGRSGAASGLGGSASALGGSAAGLGGPGRMSSLYGLQPNSAGIAAGTYSDSAHYSLSGGSAYQNQNNPTAGASPAARGPYSGMYPPRY >KZM91869 pep chromosome:ASM162521v1:6:28531665:28534106:1 gene:DCAR_020766 transcript:KZM91869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSASDDFSVNGQQHAEKKFHVTVTKPRQAEEDTNFQPNCGKSSAPRVGCKARRKLNLLTEDEKKERRFLRMLANRESAKLTILRRQARRVELERKAAELEYENEKLRKIKEVAMKEHEFLKRTNESLKAQLNLNRVTMNVEVEETPEGSKFDNAHIPTSTSTRTQPSSLPHITPGSSIVQSAPQNGIACTSKIRVLTEGKTSLSEHENPARTNVPGIPFALPYTWLFPPYYANGVPPWSSDLAHEHNITKASGTSSFQKPLADVKNDQRYPLKKTRGEASTSTVVTGPSAQVHCGKASGKELAHKHKVTSAAVTVSSSEGNEESVIGLHASPEDAIKRRNEFITSAGTTSSSSEEDEDQNDGRLLRRQMDAFAAAAARKRRKDIVKMKNFHYSRQSHVKT >KZM91615 pep chromosome:ASM162521v1:6:26540956:26544093:1 gene:DCAR_021020 transcript:KZM91615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNETEAVETDLNFAAKEWTRLYASGA >KZM90836 pep chromosome:ASM162521v1:6:19717413:19717682:-1 gene:DCAR_021799 transcript:KZM90836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCNTVQTKNYSCQFIPKERRKYRVKNYSDSRYITGNVYEFLQQQSRLCIYKNTTLHEPRSKSHWCTYFQTPSLFSALGTGKETPVRAI >KZM90827 pep chromosome:ASM162521v1:6:19628292:19629611:-1 gene:DCAR_021808 transcript:KZM90827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVLDKESIPVIDMSNLDDPNVADQIANAAEKWGFFQIVNHGVPIEVLEDVREAARRFFQLPAEEKIKFSQENSPTKNVRFGTSFIPKAEKALEWKDYLSLFYVSDEESSAFWPAACKNEAIQFMKKSEFVVKWLLQALMQKLNVDDMDSKQSLLMGSKRINLNYYPICPNPELTVGVGRHSDVSTLTFLLQDNIGGLFVGKMETDAWIHVPPISGSIVINVGDALQIMSNGKYKSVEHRVAANGSNNRVSVPIFVNPRPGDIIGPLAEVLKNGEKAIYKQVLYSDYVKHFFRKGHDGKETIDFAKI >KZM92004 pep chromosome:ASM162521v1:6:29732704:29737336:-1 gene:DCAR_020631 transcript:KZM92004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSRGPCSVLVCILVGLCSFYPCSGTDITAQLFVNASKQSARTIPDTLFGIFFEEINHAGAGGLWAELVDNRGFEAGGQATPSDIAPWFIIGDESLVVLSTDRSSCFDRNKIALRMDVLCDSKGPLICPAGGVGVYNPGFWGMNIEQGKSYKLILYLRSYNPINVSVSLTDSTGSQPLATSNIIASSVSNWTRMEFLFVAKATNPNSRLQFTTTQAGVIWFDQVSLMPVDTYKGLGFRKDLFQLLADLKPAFLRFPGGCFVEGGRLPNAVRWKDTIGPWEERPGHYGDVWDYWTDDGLGHFEFFQLAEDLGALPIWVFNNGISLHGEVNMSVILPFIHEVLDGLEFAKGDASTTWGSVRAALGHPEPFDLRYVAIGNEECPIQGYRGNYLKFYQAIKHYYPEIKIISNCDGSSQKLDHPADLYDYHIYTSANNMFSKYNAFDYVARDGPKAFVSEYAVTPKEDAGTGSLLAALAEAGFLLGLERNSDIVEMASYAPLFVNTNDRRWNPDAIVLNSAKAYGTPSYWMQQFFIESNGATLLNSTLQASSTNSLHASAIIWKNTDDVTYYLRLKFVNIGSVPVSMKIGIDGVKINTKSAVSTVLTSDNVMDENSFDKPAKVTPVKAPLTADVEADDTGMTFTLAQHSLTCIDVLTT >KZM92121 pep chromosome:ASM162521v1:6:30704406:30704783:-1 gene:DCAR_020514 transcript:KZM92121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQERISGRPEFKDNQDRKEHLTDPRDIGDTAQLEKVHPGGSKNAVSDVNPEKKVLADMNMEAPITSDDVMRAGGFGARDDIGCFLPVASDSTDFEASLRGAREYEDPQEGIGKPGLGWKEDGK >KZM89859 pep chromosome:ASM162521v1:6:6198406:6198717:1 gene:DCAR_022778 transcript:KZM89859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGEEAQNGSVVKPKGSNPFGDARPREEVLKEKGQDWKEVDEKLESLKLKEKEAISDGPGFGKRSFGSGNGQSGGNGDRSERSWRKLVDVDVRPQRLVNCIF >KZM89883 pep chromosome:ASM162521v1:6:6834157:6834655:-1 gene:DCAR_022754 transcript:KZM89883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDQAESSASVGKVEADAGSGKRKRSPVLHAVPRAKMRHLDVWVTACCAITSCKMLYYCQDPTVN >KZM89479 pep chromosome:ASM162521v1:6:1128778:1130966:-1 gene:DCAR_023158 transcript:KZM89479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNHCQPFQCFLCANLKFTIWTTLMIIMFSQAAAFDPLDPFGNITIKWDVISWTPDGYVAVVTMNNFQMYRHIMSPGWTLGWGWAKKEVIWSMVGAQTTEQGDCSKFKGDTPHCCKRNPTVVDLLPGVPYKQQIANCCKAGVVASWGQDPSAAVSSFQVSVGLSGTTNKTVKLPKNFTLLGPGPGYTCGPAKIVPSTVYLTPDKRRKTQALMTWNVTCTYSQFLANRNPACCVSFSSFYNKTITPCPSCSCGCHNKRHGCIPSNSERLNAVGINTPRKDNAPLLQCTHHMCPIRVHWHVKVNYKDYWRVKIAVTNFNYRMNYTQWTLVAQHPNLNNVTQVFSFDYKPLVPYQSINDTGMFYGMKFYNDLIMEAGDFGNVQSEVLLQKDKNTFTLKQGWAFPRRVYFNGDECKLPPPDAYPVLPNSFHANQIHVFCTALPSLMCIILFLFL >KZM92052 pep chromosome:ASM162521v1:6:30111329:30112618:-1 gene:DCAR_020583 transcript:KZM92052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTTTQVSNQLEPWLDLDGKVVLVTGASSGLGWDFCINLAQANCKVIAAARRVDRLKSLCDQINGNSASPRAVAIAIDVTADPASIEAAVGKAWGIFGRVDCLINNAGIRGSTSSTIELTKEEWDNVFKTNLDGAWLCSKYIGIRMRDAGIKGSIINISSIFGLTRVQSNGSLAYSSSKAGMHAMTTVMALDFGPYDIRVNAIAPSIFQSEITKDLFQQQWLKDVVKKILPLQFTATVDPALTEVIRYLMHDSSKYITGNIFIVDSGTTLPGVPIFSRL >KZM91320 pep chromosome:ASM162521v1:6:24124171:24127509:-1 gene:DCAR_021315 transcript:KZM91320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTMVFLKLVEWLIYQLLANSCYRAAMKVKNYGFFSRNPPLRSQQHQASPSIYPGVTKCRVQNRGSETLVCDIDSTLLRSHSIFPYFMLVAFEGGNLLRAFLLLLSYPFLCFLNYELKLRVMIFITFCGLRLKDMDSVGRAVLPKFFLENLNLQVYEVWAAAGCRVVCTSVPRVMVEGFLKEYLSADNVVGTELHTSGKRFTGLLTSSGLLVIHIIFPLQSMVFTMVFLKLVEWLIYQLLANSCYRAAMKVKNYGFFSRNPPLRSQQHQASPSIYPGVTKCRVQNRGSETLVCDIDSTLLRSHSIFPYFMLVAFEGGNLLRAFLLLLSYPFLCFLNYELKLRVMIFITFCGLRLKDMDSVGRAVLPKFFLENLNLQVYEVWAAAGCRVVCTSVPRVMVEGFLKEYLSADNVVGTELHTSGKRFTGLLTSSGLLVKHKAVKELFAETRPDVGIGGPNSRDQHFMSLCKEAYVVSKEDLKNRATIMPKNKYPKPLIFHDGRLAFLPTPLATLAMFMWLPPGIILAIFRLLVGIYLPYKLAILFGCVSGVTIQAKGCDPGQKPGKGVLYVCTHRTLLDPVFLSTSLGKSLTAVTYSLSKVSEIIAPIKTVRLTRDRKIDGETMERLLSEGDLVVCPEGTTCREPYLLRFSSLFAELTEEIVPVAIDTKVGMFYGTTASGLKCLDPIFFLMNPRPAYYIHVLGKVPREMTCAGGKTSHEVANNIQRQLADALGFECTTLTRRDKYLMLAGNEGVVKDNKR >KZM92071 pep chromosome:ASM162521v1:6:30294315:30306782:1 gene:DCAR_020564 transcript:KZM92071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEINLGKRRNILEVGPENASQVSLSSPVLNEGELESLLADPSLKPQILPTFFDIRKGVDGSLEKTLYKLCEAADEAVRNGSQLLILSDRSDELEPTRPAIPILLAVGAVHQHLIQNGLRMSASIVVDTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQKNYCKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGKEIVDLAFYGSVSRAGGLTLDELARETLSFWVKAFSEDTAKRLENFGFIQNRPGGEYHGNNPEMSKLLHKAVRQKVESAYSVYQQHLANRPVNVFRDLLEFKSDRSPISVGKVESAASIVQRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLTDVVDGYSPTLPHLKGLQNGDIATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADVIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIENGLRERVILRVDGGFKSGVDVMMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRGMMAQLGYEKLDDIIGRTDLLRPRDVSLVKTQHLDLSYVLSNVGLPKLSSTEIRKQDAHSNGPVLDDILLADAELSDAIENEKVVNKTVHIYNVDRAVCGRIAGVVAKKYGDTGFAGQLNITFLGSAGQSFSCFLIPGMNIRLVGEANDYVGKGMAGGELVVTPVENTGFIPEDAAIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAQAVVEGAGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYLLDEDDTLIPKVNKEIVKIQRVVAPVGQMQLKTLIEAHVEKTGSSKGSSILKEWDRYLPLFWQLVPPSEEDTPEACAEYEQTSAGRVTVQST >KZM90097 pep chromosome:ASM162521v1:6:11128344:11129848:1 gene:DCAR_022538 transcript:KZM90097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTLLSLAIIYVIMWSMWLIITAVMRYLKSNTSTGSDQSAVLQSNTSSSRCSSSFGLNARRRPVVMQNYQTFKTFDIIDDFKDHHYREFSHSVMHSDYLNVFRLSDKNAKKSYTIYVRVSESRMDLLRAVIIGPAGTPYHDGLFIFDVHFPPKYPAVPPEVHYHSRGLGINPNLYSCGRVCLSLLNTWTGRGNEKWLPNRSTVLQILVSIQALVLNEKPFFNEPGYDSTYTGSEGARRSKKYNEEIFILSLKTMVYTLRSPPRHFEDFVSGHFLARGRAILLACRAYMEGADIGCIVKDEIQGCRKVEKRNLQGFKRGIANLMNSLIVNFTMNGSEDCAEFHLTE >KZM89490 pep chromosome:ASM162521v1:6:1219032:1221099:1 gene:DCAR_023147 transcript:KZM89490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGTSQKLESKEFKMECSSVVKEKEKKALEFIEYVTTNADEVQKQVLAEILAQNAGVEYLQRHGLGGRTDADSFKKLVPAITHEDIRPDISRIANGDKSPILCSYPISEFLTSSGTSGGERKLIPTIEEEFDRRSFFYSLLMPVMNKHIPGLDQGKAMYFLFIKSESATPGGLRARTAITSYFKSTHFINRTIEEDPYMNYTSPNDTILCLDNYQSMYSQILCGLCLHKEVVRVGATFGSVFIRAIQFLENNWPILCNDIRTGTLNPEITDQLVRDSVMKILKPDPVLADFIEAECRKKPWKGIITRLWPNTMCIEAIVTGTLSSYVPTLEYYGHGLPLVSSFYASSEAYFGINLNPLCKPSEVSYTLIPTMAFFEFLPVKQSDIVGDTSPYPGARSPLNEKEREELVDLIDVKLGEEYELVVTTYAGLYRYKVGDILQVAGFKNKAPHFHFIGRKNVALSIEADKTDEAELKRAVEKAVINHLRPLNASLVDYTAYADKSTVPGHYVIFWEYIVHNEGSKSTNQVIPPSVFEDCCLTMEESLDSVYRQGRVADKSIGPLEIRIVERGTFDSLMDYAISSGASMSQYKTPRSVKTAPILDLLNSKVVSNHYSPKCPTWAPKG >KZM89591 pep chromosome:ASM162521v1:6:2362749:2363048:-1 gene:DCAR_023046 transcript:KZM89591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMKKIQMSPPSSPNYRRLSEGENENRVAKGRIPVLVGLDMEAMERVSLPAKLIKHPYIVGLLELSAHEFGYSQQGTLRLQCEVCSFKRMIRLISKGK >KZM92118 pep chromosome:ASM162521v1:6:30690621:30692243:1 gene:DCAR_020517 transcript:KZM92118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPAGVFRQNDVLGRLLVALLLSCNCNKNDFCSSLPAETPTASPNSNLSILSSLQALKLDGQIFFDNIDYASKDFGDRYHFLPAAVLHPKTVQDISSIIKHIYSLGTTSALTVAARGHGHSLEGQSQAHNGLVINMESFREQDMHFHTGELPYVDVSGGELWINILQEGLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQIDNVYQLEVVTGTGEIVTCTSKQNADLYYGVLGGLGQFGIITRARISLEPAPARVKWIRMLYKDFSMFCRDQEHLISSRNSFDYVEGFVIINRTGLLNNWRSSFNPRDPLEASEFHSEGKTLYCLEIAKYFNPQENDIIEQIIDSLLLELSYIQSTLFLSEVSYLEFLDRVHAEEKKLRQMGLWEVPHPWLNLLIPKSKIHDFSQEVFGKILVDTSNGPVLIYPVNKSRY >KZM92754 pep chromosome:ASM162521v1:6:36038574:36039530:-1 gene:DCAR_019881 transcript:KZM92754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFDPYEHLNVSLNEDGTLTRHLNLPKLPATGEDQLLPGQTVVSKDVTLNEKNKTWIRIFRPTKLPSNDKSVAKLPIIVFLHAGGWIDLSVSNVFCHETCNKMSHEIPSIVIGLEFRLAPEHRLPSQYDDAVETINWIKNQASDPKGDQWLRDYADYSRCYLYGASCGANIAYNAMLRLLETKIEPLKIVGMIMNQPFIGGKNRTKSELELATDQYFPLPVIDLLWELALPQGMDRDHRFCNPLTEHNKEKLKFIGRCLVIGFGGDPLIDRQQEFVKMLVMSGVMVEAKFDDVGFHNIDMIDPRRAAAILSFIKEFV >KZM92523 pep chromosome:ASM162521v1:6:34081324:34083154:-1 gene:DCAR_020112 transcript:KZM92523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLCADLSYLKFVKDATTFLPEDNHYDYIVVGGGTAGCPLAATLSENYTVLLIERGGDAHTNPYVMREENLLDNALQINDKDSPAESFTSEDGIPNIRGRVLGGSSMVNFGFYSRGDDDFYNRSGIDWDFDMQKTAYEWIEETVVFRKNELNLFQSSVREALLHADLKPDNGFTLDHEGGTKTTGSTFDATGRRYGAVELLNKGKPGNLQVIINATVERVLFNSYSTDISAAGVIYKDSNGRSQQVQVRRNGEVILSAGALGSPQLLLLSGVGPSSDLASMNIPLVHHQPFVGQFMIDPPLNQVSFISPFPIPIKGSSDRTVGIQKNLYIIEAVSAIASFFSPASRIFFPYPYAHLNITALSIVTKTSRPMSSGSLKLASAKSASITPRVRFNYFADSRDISACISGLQTIGKMIRSPSMDQYKFVDKDGNKYYQFLGLSLPENISDRSKMESYCRRTVAPIYHYHGGCTMKKVTDGDFKVTGIKALRVVDGSTFVASPGTNPQATLLMMGRYAGLKIREERIRQG >KZM92681 pep chromosome:ASM162521v1:6:35364155:35366488:1 gene:DCAR_019954 transcript:KZM92681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKCRKLPSAPADFLRPFEFDKSSLCGFGYDAANDDYKVLRIVQPDGHDLCGSKATMYSLKANSWRRLQNISGYFQFWGAWGIFIGGALHWITVTPLGSPSILAFDLGVENYREVLMPRLQNNYCENLNMVIFADSLCMLEYHPLIRIDVWVMKEYGVGNSWCKLFSVEQPKVTRCCMSITPIFYSKNRKDVLLEVDSKKLMWYNLKKKRARTVKITNLPEIFDLEVYTESLVSPDYNISCGGTQVLSRPQEKKKQQQQRNKRDGPQSQRLNVTPYLTEFLVSEILSRLPVKTLLQYRCVSKPWCSLIDSPRFVKTHLKRSIEHNTNTGLIIRGDNFHLVDFDCLEQATPVEIDDPLRIRLYGCSIVSNIDGLHCLYNPKPDIFIWNPATRKCRHLPDAPADFNRPFGFGGTSLCGFGYDAVNDDYKVMRIRTDDHGLAGSKVIVYGLKTHSWKRLKNIPYQFQHFGVWGMFMGGALHWITIKSGGCPSILAVDLGVENQREIPLPRLQTKNVNQLSIITFEKSLCILEYLFGVRLNVWVMKDYGVGNSWCKLFSVDQPKVIRSFVSITPLAFSKSRKDVLLEVDNKKVMWYDLKKKKVKTAKIANLPSIFDLEVYTQSLVPLDYNMTSDEKQLQKQPKEKKIQQQVQKRDVRDKFLSTGFKLVL >KZM89734 pep chromosome:ASM162521v1:6:3944277:3945754:1 gene:DCAR_022903 transcript:KZM89734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAGIGYALIALGPALALFTALISTKPFLILTLVSSTLLWLITLIVLSALWRAFLPFQATQFGWSYFILILTCIVFQEAVRFLLWTAYRKLEHVLNDFADRVSKPRLYLTDKMQIALVH >KZM91740 pep chromosome:ASM162521v1:6:27465431:27466048:-1 gene:DCAR_020895 transcript:KZM91740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSNTVPQEMPYDFPTCLEAVKGCFYEIHQFKRGFLSGVVPEPGSGLIGPACCAAIMEIHEKCWFFICPDPFFTPQLQNYCTRQSQMAPGPNPDTPLAPGPDPDPDTPSQAPSVDPPAPAPKDDPPAPAPEDDPPAPSVDPPAPAPAPAPKDDPPAPAPAPKDDPPAPAPAPKDDPPVPAPSCIPRRHHHHGGGDLSEKWFCT >KZM91901 pep chromosome:ASM162521v1:6:28783888:28784258:-1 gene:DCAR_020734 transcript:KZM91901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTVIGIPHQKQTTQTWKLRYIKQSSLGKMVTLLSIGRQALRVMHHRTAAIGAYPEFQTLGMKFSRLIFCQETSKSGQSERNSPYQGEPRAFVAP >KZM89461 pep chromosome:ASM162521v1:6:938773:938967:-1 gene:DCAR_023176 transcript:KZM89461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGLGYLAIFFTAMGNEFDITDLFPYYSFFRNISGLKSKLLNIQSQMHEIICTSLRSMMKGGL >KZM89750 pep chromosome:ASM162521v1:6:4251908:4260818:1 gene:DCAR_022887 transcript:KZM89750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DXS-2 description:1-deoxy-D-xylulose-5-phosphate synthase MQGSSSRICSFPGVGDFFTEKRPTPMLDTVENPMHLKNLSSKELKQLSEDVRSELSFIMSKTQKSMTASLAVVELTIAIHHIFHAPMDKILWDSGLQTYAHKILTGRRSLMHTLREKDGLSSDTSRSESEFDPFGAAHGCNSISAGLGMAVARDIKGKRDRIVTVINNGTTMTGQVYEAMSNAGYLDSDMVVILNDSRHSLNLKLEETPKTSINALSSTLSKLQSSKLFRRLRQFAKKLAKRLGKGMYEWAAKVDEYARGMMGPTGSTLFEELGLYYIGPVDGHNIDDLICVLREVASLDSTGPVLVHVITKEEQIVQKYQKSEMMTKKQEGSSDSDSSPSCRRPQTYSDCFVDALAMEAQRDKDIVVIHAGMGMEPALHLFQEKFPDKFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRGYDQVVHDVDRQKIPVRFVISSAGLVGSDGPAHCGAFDITFMSCLPNMIVMAPSDEVELVHMVATASCIDDRPVCFRYPRGAIAQMSNSICHGVPIEIGKGRVLAEGKDIALLGYGAMVQNCLSAQSLLSKLGIEVTVADARFCKPLDIQLVRKLCDNHSFLITVEEGTVGGFGSHVAQFLTLDGQLDGKIKWRPIVLPDQYIEHASPKEQLALAGLTGHHIAATALNLLGRTREALLLMC >KZM90808 pep chromosome:ASM162521v1:6:19419769:19420849:1 gene:DCAR_021827 transcript:KZM90808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSALKLELSIKSVSEKKSYTTSKLRTGINKSVYPAIKFPSGTAAHMGDAGCDDGDVPGGEHQGINIQWHQQVFRSLFMTFERVVRA >KZM92733 pep chromosome:ASM162521v1:6:35887473:35898595:1 gene:DCAR_019902 transcript:KZM92733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHLFGGYNSGNYGGAGSNLSSLYSSAADQYHPGDSASLLGATTARYLPSDPYSSSFSSSLSSLADRSSLYSDYLAGGYSRAGWPGPPGVEAGSSFPSVDAFAGYKRTSAAEALYRQTLLGTNSTIGQSDAWYATNALAKRPRYDLGSSLPIYPQRPGEKDCAHYMLTRTCKFGESCKFDHPVWVPEGGIPDWKEVPLAVTSEAPPERPGEPDCPYFIKTQKCKFGPRCKYNHPKERVAPLGVAENADPSVLPQRPSEPPCAFYTKTGNCKFGASCKFHHPKDIVIPSSGQVNGSGNEGALKNGSVMPVQPIATPASLHNSKGLPVRPGEVDCPFYLKTGSCKYGATCRYNHPDIYATNPPAGAASFATTPAAHLNFGVVNPAASMIQSIDPRLTQSTLGLGPAIYPQRPGQIECDFYMKSGECKFGERCKFHHPINRFAHTQLAKESQQESVKLTLAGLPRREGAVHCPYYMKTGACKYGATCKFDHPPPGEVMTSAATGSSTVGSPIVKLAGKSRFPTELQMGAIKLAIGDAILTFMWVFLASTLGTITFMIGTYLGVEGLFFDLFCMAFLVLIMLFLFGFLAEALGGASFNPTDFAVFYAAGVRNESLFSASLRLPAQIGMHSGFQYLVNGGPVSFYMGYAMFKYALLLEYLHLYQLVPYFTFAAGAAGGALAIMELMPAQYKHMVMGPSLKVELHTGAIAEGVLTFVITFFVLCIILRGPKNALLKNWLLAMTTVVIVFAGAGYTGPSMNPAIAFGWAYADNRHNTWEQFYVYWICPFVGAILSASLFRVIFPPQIVKKKKA >KZM90431 pep chromosome:ASM162521v1:6:15785904:15786264:1 gene:DCAR_022204 transcript:KZM90431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMNAQPSNYKEPRRPSYEEMICQAISSLNNKNGSSSTAIAKFIQKHYGRLPNNSRKKLLGRLKKLVASNKLVRVKNSFKLPP >KZM90820 pep chromosome:ASM162521v1:6:19566397:19570183:1 gene:DCAR_021815 transcript:KZM90820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPNENKDKQSSVLMDIIDIEDVAPIELPKKANDINWKVLKNFQTALKCFDSTEQAEKAIQHVFNSLPSTETETENTSIARTVPVAADVLLRMMSDAELWASSLVHIVHLLSSSVPPNLYRQMKSDRRIKEILMINADFQLPSPLVQRQNFHFYRFIKEVDENTWIMFDISTDYFLDSSDGSVGQKVWRRRSGVIVQKSNDSSKDCRVHWVENVQSPGTNLQDNHSAVINSKGWFSANRWLSTLIWSLRRSQSSFTINKMNVNAPAQSLTLCVEIAAQNLLLTLTVKMKMVFLDIVSVTPDDRNWVTLSNDNNVRVMRSRYDTVSIPGTYSYIAVTTFQLKTTPSKAYNFLMQHLVEIQWPWPMTPLEAKSFKEREEMIKYESESNHITVLKRSGPNEDEYLLQEASMDGLFSYVIAAPMTEREIHSSLLRGIEGDGILHPAGFSILPENPNSESSLVTFAVRQPVNVSKTENDAVEPMEIVIGCMIQDIQELLQSTETDDSE >KZM91218 pep chromosome:ASM162521v1:6:23271520:23271783:-1 gene:DCAR_021417 transcript:KZM91218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRIDGRVFGENPSWLEVPVEFWSKRLPAGSDAPVIPEPILAPGDETPKYGGTSSPKFPLFPPLYMHALCSVISLYDSCGYARN >KZM89886 pep chromosome:ASM162521v1:6:6879514:6884551:-1 gene:DCAR_022751 transcript:KZM89886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPFNAPRVLTALHTDSFFRKITLRPQPRLQDPRSTSKHCKWKKQLHSGRVNMDFNHHWHRQSPNTAGILMILCYDVPEEAAEKEGQEHNFSYKNGQMADIMKDKAQAAKDKASEMVGSGKDRTVESKDQTSSYVSHKAGAVKDMTCETVQAAKEFRLREPFIPRGIG >KZM92628 pep chromosome:ASM162521v1:6:34956059:34972484:1 gene:DCAR_020007 transcript:KZM92628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRTAAKEPMSLNQRHNRLLSDLSSAPHPKPPFHFSRDREDEAKVNLTKAFSSSLNLNDDDDAPTFAGISDFDPPPLSSPGGKEEAKMNVTREFLPSVTVNDDAPTFSRIGNPPAVEHHVECWSDAHNSFEEEDSQLSLSRALSSKDTQKDDAPHFSGIVGFDSPSPPCELESDVGIKVSSSLTEGEYMPSLNKVEKAEFHKFGHPPEERKPLKVKLEGRRRLCKTVSSINDCEEDDSSTFLNDEPDNSGVADFKSPPPLKKDLNSGDEIMDILHDLSAKFEVLSMEKTKRPFSTKTGLVEDLSASRMNKGTAFDKENELHKNAGPSPRPDSFGSSSGYDFSKAKVYDDVSEIRRDGGMLVNDMKNCAGDKKKNGTRHMNNHISNAGQSNNLKHAHRRKDRNDDDCVVISNHNFLKSLNARESTVKWEPDDRDNINKLDKAGENNFGNEDSIVLSDPISQFKLNGKIAKMLYPHQRDGLKWLWSLHCQGKGGILGDDMGLGKTMQICGFLAGLFHSRLIKRVLVVAPKTLLPHWIKELSAVDLSHKTREYYGTCAKAREYELQSVFQDKGILLTTYDIVRNNTKSLRGDHHFFDDEDEETWDYMILDEGHLIKNPSELWALFSFCCPDLLGDKKWFKEKYENAILRGNEKNASDRDKRIGSAVAKELRERIQPYFLRRLKSEVFCDDDGTNTASLSQKHEIIVWLRLTKCQRQLYEAFLKTELVVSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMDSMLNPEEHGVAEKLAMHIADVTERLDFAEKHDYVSCKISFILSLLPSLIPRGHNVLIFSQTRKMLDLIQDSLISNGYKFLRIDGTTKANDRLKIVDDFQAGGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVLVYRLMTCGTVEEKIYRKQVFKGGLFKSATEHKEQIRYFSQQDLRELFSIPEQGFDVSLTQQQLHDEHDGQLKMDESLEKHLKFVESLGIAGVSHHSLLFSKTAPVAAVQEEEITRVNPNRFVSSSASFSSNERDIDGAKYAFNPKDVKLHTKVSSSNNSCSPSERDIQDRIKRLSQVFANKVMISNLPDKGDKIRKQIAQLHSELENISSEARNKNNIIDLDEISG >KZM86344 pep chromosome:ASM162521v1:7:1871303:1871653:1 gene:DCAR_023478 transcript:KZM86344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHSTKKIPRTNFKLKISLNYHKLLPDYLITFNLAQHQKRLPGFLEVRDVRIAALLLHSQNTSYSAIDKCGEAYSVMNPSFFDISIVYIHQQLAVGTDEVPRPLPAQISVQSFYC >KZM88428 pep chromosome:ASM162521v1:7:27813127:27817000:-1 gene:DCAR_025503 transcript:KZM88428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKIHKDLLKNECPHVVVGTPGRILGLARDKNLGLKNVRHFILDECDKMLESLDMRKDVQDIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDDSKLTLHGLVQHYIKLTEMEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITCVSSTSDSEVLNQVQERFEVDIKMLPEQIDTSTYSMFVLHCL >KZM89148 pep chromosome:ASM162521v1:7:34341624:34343703:-1 gene:DCAR_026223 transcript:KZM89148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSKEPDNPTKSCKSRGSGLRVHFKNTRETAHAIRKLPLIKAKRYLEDVLAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDSLYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEPDTQLASRKNKGSQVLRSGASS >KZM88227 pep chromosome:ASM162521v1:7:25798163:25801980:-1 gene:DCAR_025302 transcript:KZM88227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGLNKGLSKESILGLEVWEIIGIVVGIFIVVILLALAYYLTSRKKLKRTRESIPVSQIPTISKEIQEVKVEQVAANDFVPREGILLTIHDKTNNKEADKVLVHLGLGKAKNGDNNSSHGSFNHLEKDGRSQSGEEGSSGTFAAYTRTSSHPITAPSPLSGLPEFSHLGWGHWFTLRDLEVATNRFSKENVLGEGGYGVVYKGHLINGSPVAIKKLFNNLGQAEKEFSVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLHGSMRQHGYLTWEARTKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIEDDFSSKVSDFGLAKLLGAGESHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGREPVDYGRPAQEDRRHHRRSVASSVDTESQKDNYDTDKSDNPDSRSESKRN >KZM87428 pep chromosome:ASM162521v1:7:16301871:16302290:1 gene:DCAR_024562 transcript:KZM87428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNKVSSQEKTPLTVSGEAGHPKTSSSARGLGTRNSSLTARILEAMANGYIKGDIRRKKEEMAAVDGVRGIRLVVDLTMYPAQTSDLQALHESDATTSEQLAVRRRSARKERPTLKALEAIVDSQSRANKTNKKDREQ >KZM89025 pep chromosome:ASM162521v1:7:33160338:33162779:-1 gene:DCAR_026100 transcript:KZM89025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHRLKEIGVSDEFIKRAWSASTKAHRLSGKHYCRDKLSGSPDVVYAFAGSWTVNDYFNNNSFGEKNIDLQMFRSMRSIGNNETAKVNAAFAEKFEIIIRTLRTEVEKDRKKGKQIVFAGHSSGGPTAILATLWFLENTPKLGNNQIPFRCLTFGSPLIGDKIFGHAIRRENWSRNFTHFVMKYDIVPRIMLAPVSHMEEELQKVLNVLKNSKTTISQTESVQAQGLYRKVMKNASSVASYAASVLMGCPNLLLETFSTFIKISPYRPFGRYVFCTGNGKLVVVEDSEAVLQMLFYSSQLDSEAEDVGIAWKSLHEHMSYGTELKESLEMRNIIYLNHLQNLPLSSGNSLDEDSTADIALNDLGLSTRARLCLRAAGELEEQKKRNQDKIESNEAAIEKALTDIKEYKIKCEGREMNFYDAFKRQNNPEDFKANVKRIELAGIWDEIIELLKRSELPDEFEVSKKWINLGTDFRLHVEPLDIANYYRHLKNDDTGPYMAKGRPRRYKYTQRWSEHESRTEFRPSSSSCFWAEVEELRNGRYEDIKQKLDTLEKDIKDWHDKKKIGDHEFSAGSTFAEWWKTLPEHHRSASCLADCMNKRK >KZM88585 pep chromosome:ASM162521v1:7:29472992:29474362:1 gene:DCAR_025660 transcript:KZM88585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAQAPLTIQLFAAKFGYCANSSMSEDYRQHQGSGAGIFGFSNGLDRSQLMEQQIRRDKHRIQGLEAPPMLVTMEQDSTGNNFESAGMLSEMFNYSSGPASATELLEHQMMAANYHQSHRNIVARPPTQDWYGSIRQDGIANDRDSSSSAIAAASHHHQGQGREMSTNNMNASSEAAMQLFLMNPSSARSHSPSHSMANSTLHMLLPNSASSVHQQVFQGPPAHFGPSSQQFGSHVVSNDISHLGGHVVDHGHGQGQGHGQGLSLSLSSSLQHLEAAKAEEFRMGDGSGMIYFNQVGSGGGSNASNVQYPYKNNMNSLGVGHNQGMHLQGEVVMGQNQFGFGSNSALGVVNLLRGSKYLKAAQELLEEFCSVGRGQFKTNKQLGRQNSINPNSSSTSGTAANGSAASSSKDPPPLSASDRIDHQRRKVKLLSMLDEACFYQSPFSISLFFFHLIN >KZM87236 pep chromosome:ASM162521v1:7:12928094:12930515:1 gene:DCAR_024370 transcript:KZM87236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGGVFGARRNGGLPRISSLSSSLFCRLKHSSTNLFVGGLSYDTNETILNDAFDCHGEIIEVKVICDHVSGRSRGYGFVRFTSEDAANKALGEMNNKLLDGRNIRVHYALKR >KZM88676 pep chromosome:ASM162521v1:7:30297313:30309193:1 gene:DCAR_025751 transcript:KZM88676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASSENCNVKVAVHIRPLIGDEKLQRCRECVAVTPGKPQLHIGTRSFTFDYIYGEKGSPPASMFKDCIAPLVDGLFQGYNGTVLAYGQTGSGKTYTMGTGLREQGQTGLIPQVMTALFDKIDKLKHQAEYQLHVSFIEILKEEVKDLLDSTSLGKYDTSHAISRHVTKVTVPGKAPIQIREASNGVITLAGSTEISVSTLNDMAACLEQGLLNRATGSTNMNTESSRSHAIFTITLEQMCKLPPVNCDEINLRGDMDGDYLCAKLHLVDLAGSERAKRTGSDGLRMLEGIHINKGLLALGNVISALGDEKKRKDGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETSNTLKYANRARNIQNKPIVNREMFSGEMHTLRKQIEYLQEELTISRKRDSSDEVQALKEHISWLETTNRDLSQKLHEYHSREGDPEQFEQESPEGCSYIVKKEGLKRGLQSMNSSYPMDESREGTNHRRTEDFAVKEWEHTLIQNTMGTELNELNKRLEQKESEMKIFGWYDTEALKKHYGKKIRELEEEKRIMQEERDSLLAEIERLAACDVPSAVQNNQKQKVEALEVQISDLQKKQDSQLLLLKQKQRNEEATKRRQTEIHSIKVQKVQLQHKIKQEAERFRQLKAFHEKELSQLRKEGRRNEFERHKLQALNQRQKLGNDRALQVWLDHELEVMVNMHVVRFEYGKQQKLRASLAEELSLLKQTDQPSFRGISSGGEECSSLPLMSPHTRLDRISSLEKMLTTSSDALSSMASQLSEAERRGRGSNSCRRWSLLRSMGDAKKLLQHMFSVATDSRSRLWEMELEMKEMKIKLTELVTELQQIEAQRKETLKEQKLKDQAVAIALAISSLASGKCKENDTPSPRFLPAEKLSMFKAGTANMAIRDLAALTDSKKMRPLKSLSLERMRYIRQGGKLWRWKRSHQQWLLQFKWRWQKPWKLLQWLKESEEILLSSGHSVVVR >KZM87919 pep chromosome:ASM162521v1:7:22056445:22058300:1 gene:DCAR_025020 transcript:KZM87919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGCSFRYTSSQLIHAPLEAILFDIDGTLCDSDPIHYHAFVEMLQEVGFNGGVPISEEFFVENISGKHNEELSHLLLPDWEFGKAMQFMDDKEALFRKLAGTELKRLDGLDKLLKWIEDRGLKRAAVTNAPKPNAEMMITNLGLSDFFETVVLAENCERAKPYPDPYLKGLETLNASPKNTIVFEDSISGTKAGVAAGMAVVAVGIRNPEKLLIEAGATYVIKDYNDQKLWNSLEEMSTKAQ >KZM89094 pep chromosome:ASM162521v1:7:33813711:33815531:-1 gene:DCAR_026169 transcript:KZM89094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAATDQDENSIQDELSHQILLAERVIKLAQEAESSKLECSDLAKQSTQLAHLLRSVVRLTSSTQSLYERPLRRIITDSSMNLDRALKLVRKCKHGGVLRLVVAITTTADFRKVSNLLESSIADIKWLISIYDSESGTNLSLPPIASNDPILAWVWSYVATIQMGQLKDRVDAANELASLARDNNRNMKIIVQEGGVAPLLKLLKDGASAEAQMAAATALYNLGTDQEKVQAIAGEVSAVPIVVKAIGESPMRVQIVLVNLVARMAELDEGVREDLGRENVTRPLVTLLGMDIVVEEAKAPSGKPSIHSLVQINKEVTKKTFHSNSSSSLSLYSDGSSRGGRKEREAESPDMKHRLKVSCAGALWKLAQGSLFNSRKITETKALVCLAKLIEKEHGELQLNCLMTVMELAAVAEANADLRQVAFKPNSPAARVILEQLLRVINEENSVHLLIPTIKSIGSLARTFPAKETRILGPLVTQLGHKSVDVATEAAIALCKFVAQDNFNCVEHSKAIIEANGVPRLMNLLKSNDRSQKHEFILLCCLAIHVSNSPALEQARALSVIEGAARSMLAHHPELRELFAKAVDHLTLYQPGAHSHHKHSYVP >KZM88983 pep chromosome:ASM162521v1:7:32788260:32790443:1 gene:DCAR_026058 transcript:KZM88983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKDLGIKLFGKKIVLTEAEKVPAGSGQDSGGVRSGEGKGGSLGGEDGSDGEKALVEEKGEEKSQDKEASPITNESKGSTGGGDSPKTPSINEENATLKPPNTDNEQSDATDTQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVSQPRHFCKSCQRYWTAGGTMRNMPVGAGRRKNKSSDSHCRHMTVSVALRAAGIDPSNGIHNGAIKNNETVLSFGADSSLGESMSSVFIAEKKVPNSIQNGLQKPGVAIPCKGGEHVDDCSSRSSNKKLNSNMERKKDGTAEPEVHGIQGFPTQVPFHPTLPWPCPWNSTVPMQAIYPPGVPFPYYPTPYWNYNVPASWSIPWLQPPPQVAHQDASIYDSKSQVLGKHSREGDLLRPINVEDSEHCKQNDSERSVLVPKSLRIDDLDETAKGSIFASLGIKNDSISKAFQPKKDEKNNVLKPSPILNANPAAMSRSLRFQERV >KZM86698 pep chromosome:ASM162521v1:7:5878972:5880510:-1 gene:DCAR_023832 transcript:KZM86698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGFKKSGIAKHSTLKRVDHEIERHFAEEAYMEGFKKNLEKLDSIYRKCLANYIEAFALYPDNEKLQDLKLEYAYFFKIFEESSPSTRSLYSAHCSGSNSRSCTEEDNENKEKGT >KZM86154 pep chromosome:ASM162521v1:7:161884:165785:-1 gene:DCAR_023288 transcript:KZM86154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFMEKTTFTWILLLLLVPENLFNPALSQAVVETLPGFPGKLPFKLETGYLGLGKNDEDLQLFYYFVESERNPKHDPIIIWINGGPGCSALWPFFYQIGPLQFDYANVIDGLPQLEINPYAWTKVASVIFVDASGAGFSYATTEEAYKTSDTATAASLDQFLRKGYMGGNPLTDIETDFNSRIPYAYQFALLSQELYESAELHCDGDFANVNPTNGLCQNSLALVDECLKNINEHQILEPMCESEMTSKKRMLLQMSDSPDKKLVKSLRLSRPPESWCRVISLSLPLPRLPSLPPSLPTFHGDNYDYAPLWASDKTVQKALHVREGTIKEWLLCNDDHYELGRNNTDTFLYDITSSIAYHRIYTIMQNEYSGDHDLVFPYVGTQNWIHGMELPMTKPWAPWFVNKQVAGYTEIFSYKDYSLTFATVKGAGHASPEYKPEECLSMLDRWLSNDFM >KZM88876 pep chromosome:ASM162521v1:7:31972334:31973236:-1 gene:DCAR_025951 transcript:KZM88876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMSKSFLSYVELKKQAQMDDGLQSPKDVEKGQLSPKDEDNLSAFFQEVEAIKKAMEEISNLLLDLQNLNQEAKSTHSAKLLRGIRDRMDSDIVSVLQVAKIVKLRLESLDKSNAANRKISVAFKEGSAVDRTRGMVTNGLRIKLRDIMLDFQALREKIMLDYKEDLKRKYYNTTGEAPSEDVIEKMVSGSGNVQMFAGETGVYMENKERHEAVLDIQRSLNKLHQVFLDMAVLVEAQGEKIDDIEHNVAIAGSYIGGGTNSLFYAKQMKKKRNKWVYCLWIVVVLILLVCIIAMLSS >KZM87609 pep chromosome:ASM162521v1:7:18582354:18590034:1 gene:DCAR_024725 transcript:KZM87609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCMPRSKQPTTTPHDEGEHSPDDHHIKFSDRIPPLHPNTKLQQSTRTITSQIKDMALKASGAYRNCAPCTGPMTQPQRQKSFSESDSGSDKFRWSYRRTGSSNSGKVWGKEMEARLKGISSGEATPASTLFSASGRRVEPVVLVEENEPKEWVAQVEPGVLITFVSLPPAGNHLKRIRFSREIFNKWQAQKWWVENYDKVMELYNVQRLNKQAFPLPSPPRSEDDQSLKIESLQGSPVTPPLNKERLPRTLYRPMGMGYSSSDSFEQHPMHSRHNNDSCGLTATPKLSSISGTKTDTSSMDASIRTSSSRDADCSGDLSISNASDLETEWVEQDEPGVYITIRALAGGARELRRPRKVWGDACKTLVGGKPIQDTQAVFMITFNSGFEQVKLGAFVKLFFLGYTTLVSYSESCEDEFRILYSLWIYMGLSGIPYLSILDLYMREDLRFVTVSKYLKKKGRKRRGSGSALDDFLHDRLAKMQQRGDTTSGVNQKAGHDLQEKTGTPLLSLHNNSFIKTPSDRNDLQKTTRPPLSSLGSNSLFNKASDYNDTNKTFKRPFHHNAVPSQNHVESTADVDFQDLNDKENTPVSTAQQKPGSSRKCRGPSIQTILDGKSCGLSASTNLQSDVKKRGRGPGVNKLFNSLHEEIGSSGVIKQGSATPKSALTFQGCASDGPKSTPELYRSHTFQNTVGHAQTSTISSCVVEKIDRDDCRRDFRPHSGASTSGAKDLLGEFDDALEQSDFIEDVYMQGTVVQPCISV >KZM88635 pep chromosome:ASM162521v1:7:29834909:29840623:1 gene:DCAR_025710 transcript:KZM88635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRCFMDISIGGDIEGRIVIELYKDVVPKTAENFRALCTGEKGIGPVTGVPLHFKGSCFHRIIRGFMVQGGDLSARNGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTTHLDGKHVVFGRVIKGMGVVRSMEHVITGDNDTPTLDVIVEDCGELPEGADDGVCNFFKDGDMYPDWPADLEEKSDDVSWWMSTADLIKSFGNEQFKKQDYKMALKKYHKALRYVDVCWEKEEIDQDKSNSLRKTKSQIFTNSSACKLKLGDLQGALLDADFAIRDAEDNVKAYFRQGQAYMALNDIDAAVESFKKALALEPNDGGIKKELAAANRKIADRRDREKKAYSRMFK >KZM86595 pep chromosome:ASM162521v1:7:4683249:4684976:1 gene:DCAR_023729 transcript:KZM86595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSVEDFVGDGCLKELLPKLLEEGWDDVPTLKIMNSDDMKVINMTQQQKDALELRSYVHDRALMQYADKLEASQKCLPELLNLSSADLYLQFGMKRGHLARFLQRTSNEYAADPLPASMTRPSRQRTITPSRNDSIYKSGLMSINSRKLQSMVRSGPAGNSVAYDVTIEQSLSDFKMKDGQIFKGIVAAVPDEPRGCGCVQSPPIIETVAPYSVIDNISVQKLTPEYKIGMERLVKTKTPPMKASELWRSKPAVLLCLRRPGCIMCRAEAHKLYARKPIFDALGIQLYAVLHEHIEAEVKDFWPRYWGGVVLLDKSREFFKALGGGRLLKDKFVSGFLLNPRAIANYKRAKAMGMDSNFRGEGEIKGGLFIVGKGKSGIAYQFIERNFGDWAPIAEVIEICARLQVRPVSFFSP >KZM88416 pep chromosome:ASM162521v1:7:27708618:27710586:-1 gene:DCAR_025491 transcript:KZM88416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECIQRLTHRLFPSTVTGICFKTVSTRSLRVNPLAHTRNPLRNPLKNPRPVALIPGIRRQESYSSSSSVARAGWFLGLKDKKDDMPDIVKAGDPVLHEPAREVSVEEIGSDRIQKIIDDMVKVMRKAPGVGLAAPQIGIPLKIVVLEDTKEYIGYAPKEEIKEQDRRPFDLLVIINPELRKKSNKSALFFEGCLSVDGFRAVVERHLEIEVTGLDRDGQPIKVDASGWQARILQHECDHLDGTLYVDKMVPRTFRTVENLDLPLATGCSKLGVR >KZM87439 pep chromosome:ASM162521v1:7:16413363:16422773:1 gene:DCAR_024573 transcript:KZM87439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSCQINWDNVLEFTKIAQHKGDDPMLWAIQLSSNLNSAGVSLPSPQLATLLVSHICWDNNVPVAWKFLEKALVFNIVPPILVLALLSKSIIPERHSQPAAFRLYMELLKRHAFTLISQLKWPNSHMIINSVDKVLQLSELFGVPAGEPGILVVEFIFSIAWQLLDAALDDEGLLELAPKKKFGWIFKPENMDIDGQGNYNEKRTTHQERLKSVNTVMAIELFGQFLQNKATFGMLHLARRNMPAHWRDFIEHVQLLIVNSSALRNSKVMTPELLVQLISATRKVMSRECKTSYLQEFQEILACDPLASSAGLCHGTSRSAIWLPLDLVLEDAMDASQVNATSAIEIVTGSVKALQAMSGSTWYDCFLGLWMAALRLVQRERDPIEGPVPHLVTRLSMLLSIATLVIVNLIKEEEIALTAEAEVHNEKHSKERVPGKRRRDLVTCLQKLGDFKTLLTPPESVIPAANQAAAKAMMFIATINAGNAYSDCASIKDTSIKCSGNLHHLIIEACIARKLLDTSVYFWPGYVNGLTDQISHNVPVQVPGWSSFMKGGQLTPVVINALVSSPASSFAELDKIFEIAVQGSDDDKTTAATILCGASLNCGWNVQEHTVYFITRLLCLPVPADYSGTDSHLIRYAPMLNVLLVGIATVDCVQIFSLHGLVPQLAGALMPICEVFGSCVPNVSWTSATGEEISAHAVFSNAFALLLMLWRFNHPPLEHGVGEVPPVGTQLTPEYLLQVRNSQLVCNENVYWDQNRRRLAADANSSLSEPIFVDTFPKLKVWYRQHLACIASPLSGLVHGTPVHQIVNDLLTMMFKKIINNGNQTMTSAISGSTASSEIGKETGSRPMLAGWDILEAVPFVVDAALTACAHGRLSPRELCTGLKDLADFLPASLASIVSYFSAEVSRGVWKPVFMNGVDWPSPAANLANVEEQIKKILAATGVDVPSLVAGGSSPATLPLPLAAFVSLTITYKLDKDSQRFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWSDFLIFSASRTVFLHDNGAVIQLLKSCFTAALGLKSSLTSSSGGVGPLLGHGFESQSHGELSPVAPGILYLRSYRSIRDIIFMREEIISLLMQSVKDIVCNGFRRERIAKLKKAKNGMKYGQVSLATVMTKVKLAASLAATLAWFGGIQLVQSLIKETLPSWFISVHRSQHEDDAGGAVAMLGGYALAHFALLCGALAWGVDTSSSASKRRPKILGYHMEFLASALDGKISLGCDPATWRAYVSGFSSLMVGCTPNWLSELDVQVLKRISKGLMHWNEELALSLLAAGGVGTMGAAAELIGQSSL >KZM87285 pep chromosome:ASM162521v1:7:13760104:13761675:1 gene:DCAR_024419 transcript:KZM87285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFFSSAVTTLIEKLNLSDVAFSLFCLFVFSSLVQKLTSKGPMLWPLVGIFPTMIMHCTCLHEWITKALIDHRGTVPFRGLCVGGICGIVTADPVKIEYMLKSKQAAATVMHSVRFVEYSTQSMQDLVHKKLLKVLENLVESKVCIDLQELLLRFTFDNICVAAFGVDPGCLSIGLPDVPFAKAFEEATESTLCRFIVPPFVWKTLKFFDLGFERRLKNSVKVVHDFADKTVQERKEELAQDQDINLDEKFDLLSRLINLEKGNKNGYFSDKLLEDFCISFILAGRDTSSVGLAWFFWLVSTHPHVEKNILQEIHDILSPRQQNIQTELNSNIIFTTDELHKMVYLQAALSESLRLYPPVPFDFKEVLEDDVFPDGTRVKRGEKVLYSIFSMARMESVWGTDCREFKPERWIKEGAFVSENPFKYAAFNGGPRLWVGKKFAYTQMKMVTASILARYSIQVVEGFEVAPKTTTTLYMKNGLMVKLVPRN >KZM89213 pep chromosome:ASM162521v1:7:35014584:35017600:1 gene:DCAR_026288 transcript:KZM89213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKIEAVFWFLTFFWLCCASNGLLSPKGVNFEVQALMGIKAFLVDPHGVLDNWDGDAVDPCSWTMVTCSAESLVIGLGTPSQKLSGILSPSIGNLTNLQIILLQNNNISGVIPVDLGRLRKLHTLDLSDNHFSGEIPYSLSHLKSLQYMRLNNNTLSGEIPGSLANMTRLTVVDLSFNNLSAPVPRFPSKKFNILGNPLICPTGTEPECYGTTLMPMSMPLNKTRTYSNRSKSHKLSIAIGSSVGCILVFIFAVGLLLWWRQRHNQRTFSDEKERHHEEACLGNLKKFSFRELQIATNNFSSKNILGKGGFGHVYKGCLQDGTLVAVKRLNDGSTAGGERQFQTEVEMISLAVHRNLLGLYGFCMTSTEKLLVYPFMSNGSVASRLKGHGALEFGKAANQKGAILDWVKKIYQEKKLDVLVDKDLKNNYDTVELEELVKVAFLCTQYLPGLRPKMSEVVRMLEGDGLVEKWETSHNIDSTKCRTQELSSSERFSDLTNDSLVIVQAIELSGPR >KZM87123 pep chromosome:ASM162521v1:7:11199876:11200295:1 gene:DCAR_024257 transcript:KZM87123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLAPLILLWFLAFTSASTKISDSKVKIYVMNGLPPNSDPLIVHCESMGGDFSLSEGRSFSWRFSPGYFATTFYCEFWWNSKIQNVKVFSYLEPHQCQRLKPNYSNICYWQAREDGIYFNKWNDTFSAASWQNKFPW >KZM87988 pep chromosome:ASM162521v1:7:22979001:22980023:1 gene:DCAR_025089 transcript:KZM87988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFIIFFSLLFLILLPPASITATHHRHTWRDFKRLQDAEKGSHHSSIVDLKQYLHHFGYLALQETNFTDTFDDDFEQAINVYQSKLGLSVTGNLNYETISHIVSPRCGVPDTLSRWHGKNNYAYFRGQPRWWRKIPMKLSYAFSEVYMIRSLNLATIREVFRRSFDHWSSVIPVTFVEINEYEYADVKIGFYTGDHGDGEAFDGVLGVLAHAFSPEIGKFHLDAAETWAVDFGAEESRVAIDLESVVTHEIGHVLGLAHSSVRDSIMYPSLKPRHKKVDLKLDDIRGVQALYGSNPNFKYGHELSESDISSGNGNEFTTATWNLGTYLLILMIIHKLCM >KZM87153 pep chromosome:ASM162521v1:7:11718349:11718981:1 gene:DCAR_024287 transcript:KZM87153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDVAHKKHPLTLLLDTPNCNGEFVCSACGDTGKGFHYNCATCQFSIDVHCALIPESLKHHSHSHPLSLKASTSSSHCAACGEPNEGISFGCLDCKKKRSFELHVYCVTLPITIKHKGHDHALSLRSSYELNEEQKSVSCTICSKRINQKLWYYGCNTCNKVVAHTECAASMVLYGAQNGVEKMQKPHRIHLMKRNILAGRIEECGQWYP >KZM87812 pep chromosome:ASM162521v1:7:20719014:20721870:-1 gene:DCAR_024913 transcript:KZM87812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVEHKYIQVNGSKLHIAELGSGSKTILFLHGFPEIWYTWRYQMTCVAEAGYRAIAIDLRGYGLSDPKPQPETTTYADCLSDLLALLDALAIPKVILIAKDFGAFPAYLFTLLHPTRVSGVISVGIVFTPPGPRTFLKQLPEGFYINRWQVPGRAEADFGRFDAKTVVRNVYILFSKSELPIAAENQEIMDLVDPSTPLPPWFTEEDFAVYGSLYEKSGFTTALKFPYRSFTEEFSMSNPRIDVPAMLIMGEKDYVLKFPGTEDYIRSGKVKHFAPNYEITFLPEGTHFAPEQLPDQVNQLILAFLGKHNL >KZM88719 pep chromosome:ASM162521v1:7:30677474:30679657:-1 gene:DCAR_025794 transcript:KZM88719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNYSSTLKSAKEIPFPPPLPGRVMASYRSKQTTMGCSSRNNFVCTAKPSMWDRLFDEGYRADILIHTDGGNIIYAHASILGMASPVLRQMLKESPGRGRWKTISRKGRGQQKAISVHGVAHEAVRVFIRFLYSSCYERKELLEHILPLLVLSHTYMVPQLKHICERQLENGFLTIDNAVDIFQLALLCDSPRLTLICNRFIIKNLKAVAATEGWQVMKESHPILESELLESMIYDDAAQKERRIRMNNRKIFSQLYEAMEALVHICRDGCQTIGPYDKVIKKNQLPCKYESCKGLEALFRHLSGCKLRVPGGCKHCKRMWQLLELHSRLCADSDRCRVPLCSNFKQRIKLGKNKKDDIKWQILVRKIVRTRSITGAPYFSLACK >KZM86191 pep chromosome:ASM162521v1:7:499863:507405:-1 gene:DCAR_023325 transcript:KZM86191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITTIILLFFSTVTSTLINSIAIDSIRANETIRDGETITSAGGEFELGFFSAGRSTNRYLGIWYKKISNGTVVWVANRDTPISSTLGVVRVNDKGITLQADDEMKWSTNTSISVKNPVVQLLDSGNLVLRNEDGDITTSKDFIWQSFDFPGDNLLPGMKLGLDLVTGLDRYITSWKSVDDPSTGSFTDRLDPNGFPQFFLSKGSIPWVRTGPWNGRQFSCNPESNPNGIYTDEFVFNEKEIYYKFDLINKTSAVIRFTWTPYGELKMLVWNFHDQVWIVYMTLKVGDCDHYGLCGAYGICNINSSPRCQCLRGFVPKFPEKWSRVDWSSGCVHETKLDCTTETGFLKYPGIKLPDTRESWYNMRVDLAECGRLCLKNCSCTAYANADIRKGGSGCILWFNDLIDIKGYSEDGQDIYVRMPASELGSIDFPGDIWRSRTKKHIWITIIPIVPAGVVLALIVLYVLRNRKLKREVNSIALDTIRAYQSIRDGETITSARGDFQLGFFSPGSSTNRYLGIWFNKISYGTVVWVANRDSPVKNKLGVVRVTDKGITLETDDKILWSSNASRTMKNPVARLLDSGNLVVSDGDHEKNNARDIIWQSFDYPGDTLIAGMKAGIDLVTGLDRYYTSWKSVDDPSTGSFIGRLDPNGFPQFFRSKGYDKWSRTGPWNGRQFSGSPKLNPDGIYTEKFVFNEKEIYYMFDPINSTSANVRLKITPNGDIKHLIWNHQKKIWMVYFTEMVSDCDIYGLCGAAGICNTNNSPRCECLSGFVPKSPEKWKAVDWSSGCVRETELDCGTEVGFLKYTGVKLPDTQHSKYDLKISLEECRRSCLENCNCTAYANADIRNGGSGCILWFNDLMDIKGYTTDGQDIYAWKCYNEDALSGLVDEAILDSKNQMEVVRVIQTGLLCVQEDPIDRPVMSHVVLMLSSTMKLPHPKKPGFFMERKLRDADCLLSNSNFSSGNQLAITTILPRE >KZM86436 pep chromosome:ASM162521v1:7:2788868:2789035:1 gene:DCAR_023570 transcript:KZM86436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMISKPLRRVEMIYPLNRNNSPIPSEHQNRQLTYANDIPIHLITFAMIQESHSP >KZM86475 pep chromosome:ASM162521v1:7:3147658:3154921:1 gene:DCAR_023609 transcript:KZM86475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKICCDCMMTIADSCISYHCQRCGRMLCDNCVQSNGCFGGVALEDSDNTYDAGVIGYCKFCSHMSNRKKVGKRLIEKVHPAESPRQSFEPPSPCFNERCDDCANQDFARCRTHYSPSRSDGYDREDSTKTFFSSFFDDTSDIDSSSMSARHEFYNNKSVGSSPMDSPCRIHNFDKTGQSAEQEQVRTGMSQNDGPFDQDTSAVPERPDTGTEDIDHTCENEDLLLAFQDQQHLNLENNGLIWFPPPAVDENDEREDNFFAYEEDEDDIGEVTPMLSSGELNSMLPEKERDCGQKESLKALVQGHFRALVLQLLLGEGIKVDKENSEDNWLDVVTAISWQAANFVKPDTSSGGSMDPVDYIKVKCISSGVPSESKFIKGVVCTKNIKHKRMISQFRNARLLILEGALEYQRVPNELASFDMLLQQEIDHLKMIVSRIEAHRPNVLLVEKSVSSYAQEYLLAKEISLVLNVKKPLLQRIARCTGASVTPSIDKLSTARLGQCELFRIEKVSEDLEQAKHPQKKPSKTLMFFEGCPRRLGCTVLLKGSSREELKKVKHVVQYAVFAAYHLSLETSFLADEGASLPKPTSRSSFSILERTSIDSAISVVPTPTSYSEIADLPSFHEESAGLKLELEARESVIEPFNSQFISSPASVKYGVETSDVLNNGLPATFISEEYRPGVVSTGSRNFDREDSQLTINYMQPSEPFNQKKHEQLKDIDGSSEYNSAGDSHQSILVSFSSRRVLDGTVCERSRLLRIKFYGCFDKPLGRYLQEDLFDQTSCCESCKEPADGHIVCYTHQQGNLTISVRRLPTVRLPGERDGKIWMWHRCLRCTHVDGVPPATRRVVMSDAAWGLSLGKFLELSFSNHATANRVASCGHSLQRDCLRYYGFGSMVAFFRYSHIDIYSVCLPPLALEFIPHSQQDWIKKEMAEILNKQEALYEEILGLLYKIEQRSTSLGQESSTRNELHKHIIELKDQLIKERDDSQCIYQQFSKDSSELVPATMDVFDLNQLRQSLLIVSHDWEHRLSSLDSLISSNAGLQDLRSVSCINDISLSCDRDNDGVSDLVLKLPDFLQKDIPYTQDREPEKSLVDSGVPKVNMPMSCKTKNETLTHLDGEPTVNKTLLDRAPSAASELSDKIDSVWNGTADSPLKLPSWRLLSPARVQSIDSAISIQEKLMKGLPPSSFHLLAIRSSHASGDYANMSRDPLLNVQRTYSQMSPLEAQKFNLWNTSHSFNSSASLLPEGARLVRRQDGQTDLTIVVYDNEPTSVISYALSSKEHKDWIADEPCEFEEAWNAAQLKRENSVASTIPAWQSFGSVDLDYIHYGSYGSEDVSTTISTMLTDTTNSSSHFKIAFEDDNPSAGGRVKFSVTCYFPKQFDVLRKKCSCSQIDLVRSLSRCKRWSAQGGKSNVYFAKSLDERFIIKQVTKTELESFEEFAPEYFNYMKDSLDSRSPTCLAKILGIFQVTVKHLKGGKETKMDLMVMENLFFRRNISRIYDLKGSLRSRYNSDTTGVNKVLLDMNLLEALRTNPMFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDEEKKELVVGIIDYMRQYTWDKHLETWVKSSGILGGPKNAAPTIVSPKQYKKRFRKAMTAYFLTVPDQWSA >KZM89019 pep chromosome:ASM162521v1:7:33119674:33124956:1 gene:DCAR_026094 transcript:KZM89019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSQKKSYHSMLASKLNKLSIPSSDDQDFDFSDVFGPQSSTSSSPPSNSTNRSSFDQPQVIHNRSHSFIGPSPRFTLSKSLPFHSTRPVPESEPESEPESEAEGENLEEGCGKGGDLVAKIGPGDFEILRLVGKGSFGKVFQVRKKDRAGEEFGDGILAMKVMRKDTIIKNNHVDYMNAERNILTKVVHPFIVPLRYSFQTKSKLYLILDFINGGHLFYHLYRQRIFSEDQALLYTAEIVSAVSHLHNRGIVHRDLKPENILMDADGHPPYTHANRKKLQERIIKEKMKLPPHLTTDAHSLLKGLLQKDPTKRLGSGPRGGDEIKGHKWFRTVNWKKLEAKELIPKFKPDVSGRDCTANFDSCWTAMPADDSPAPTPTAGAHFHGYTYIAPNPWLSSEQAEE >KZM87263 pep chromosome:ASM162521v1:7:13297883:13300294:-1 gene:DCAR_024397 transcript:KZM87263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLLEVASMPILQVLLISILGVLMATNYLNLLPPDTRKNLNKIVFVVFTPALLYTSLAETVTLQDIMSWWFMPINAALTFLIGGILGWIAVKLLHPEPHLEGLIIAMCSSGNLGNIVIIIVPAICTEEGSPFGDHQICSSKGLSYSSFSMALGGIIVWTYAYQLIRSSSMKFNASKASKEAVMSPNKDLEANESTQLLNGQVQESAAEETKSNAIVYQGSDSNQTNKQESIWNIFTGVMYQILEEMMAPPTLSMIIGFLVATVPWLKYLMVGEEAPLRVLQDTVQLLGTATIPCITLILGGNLAQGLQDANIKPLIIVAILCVRYLILPVVGIGVVKVVSSFGWLPSDPLFHFVLMLQFSVPPAMNIGTITELFNVGQAECSVIYLWTYIAAAFAITIWSTLYMWILS >KZM86869 pep chromosome:ASM162521v1:7:7853609:7855127:1 gene:DCAR_024003 transcript:KZM86869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSHSSPTNFTKVNVSLYYESLCPFCGNFIVNQLRKVFETDLISIVNLRLIPWGNAHRVNNSSWTCQHGPNECLLNTVEACAISLWPDPKVHYKLIQCIERLSLAGKQNLWPSCLGEQRLNQPHMDQCYKSATGNDLQRRDAYETERLQPPHKFVPWVIVNNKTLEQDYQNYVTHICKAYTGPNRPEACSRPPEIIPSNIENSDPQGAPFDVLD >KZM87842 pep chromosome:ASM162521v1:7:21069424:21074379:-1 gene:DCAR_024943 transcript:KZM87842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLGDIGVSAFINILGAFAFLLAFALLRIQPINDRVYFPKWYINGERTSPRNSGYFVGKFVNLNFKTYLTFLNWMPQALRMSESQIIQHAGLDSAVFLRIYLLGLKIFGPMAAIALLVLIPVNVSGGTLFFLSKELVVSDIDKLSISNIRPKSIKFFAHISLEYLFTFWTCYMLYKEYERVAAMRLKFLASQQRRAEQFTVLVKNVPYASGRSISDSVEIYFKKNHPDHYLCHQAMLLTAVYNANKFAKLVRKRERIQNWLDYNQLKFERHPEKKRPTSNKGFLGLWGEQVDSIDYYRKLLTEFDRRLTMERQRVLKDPKYIMPAAFVSFNSRWGASVCAQTTQSKNPTLWLTNWAPEPRDVYWKNLAIPFISLTVRKLVISLLVFALVFFYMIPIAFVQSLANLDGLEKVAPFLRPVIELKFVKSFLQGFLPGLALKIFLYILPSVLMAMSKFEGHVAFSVLERRTAAKYYYFMLVNVFLGSIATGTAFEQLHSFLHQSPTQ >KZM89354 pep chromosome:ASM162521v1:7:36232070:36243551:-1 gene:DCAR_026429 transcript:KZM89354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKSESQGDGGGSDKQEHNALISDVASFASSLGLSSKTGPLKQSKDELKRDNPVKKMVTKKKKKRRDDPPLLPKHQPNNHKYPKDQPKPRAQPPLAPIDNKNHTFDKFKNLPKLPLVKASALGVWYVDAAELEDKILGSADKKNVAYKNVDEWKSLVSKKRDLGERLMAQYAQDFEASRGKSGDIKMLTATQRSGTAADKVSAFSVMVGENPVANIKSLDALIGMVTSKVGKRHALTGFEALKEMFISSLLPERKLKILFQRPVNHLPESKDGYSLLLFWYWEDCLKQRYERFVFALEEASRDVLAVLKDKALKTMYTLLSSKSEQERRLLAALVNKAVVIDEVDNFLFRPHLGLRSKYHAIQYVIWIRDYTDAVYIQYAYEIYADAVYIQVNFLSQVRLSHRGDGPQVAKRLVDVYFALFKVLISMAAGGHKADSNSKEEHKKLSTSKEKEKPNSSESHVEMDSRLLTALLTGVNRAFPYVSSNEADDILEVQTPMLFQLVHSKNFNVGVQALLLLDKISSKNQLVSDRFYRALYSKLLLPAAMNSSKEEMFIGLLLRAMKSDVNLKRVAAFAKRLLQVALQQPSQYACGCLFLLSEVLKARPPLWNMILQNESADDDNEHFEDVLDDAENDVEAIPDKPNVCEVASSRNACETNDSSNSSSDERDCLTFGSENEVSDEGDDIFAAGVLNDPGEPKVGSEHTGPQSLVLNENKLKASLPGGYDPRHREPSYCKADCVSWWELMVLAAHAHPSVATMAKTLLSGANIVYNGNPLHDLSLSSFLDKFMEKKPKQNKWHGGSDIEPAKKLDMSNHLIGPEIISLAEMDVAPEDLVFHKFYMNKMNSSKKQKKKKKTTDDEAAEELYGGNDDDDDERDNEEIDNMLDSGDPSLEADGDYDYDDLDRIAKEDDDDLVGNDSDEGMEFPSDIADGEDGDTDDNYDSNDDDVDIGDADDGSDEKDIFEEKNRKRKPNQQNKASPFASIEEYEHLLNESPSEDEEKKHNKGKKSKSKKKRKTSK >KZM88378 pep chromosome:ASM162521v1:7:27428498:27433047:1 gene:DCAR_025453 transcript:KZM88378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTSNMLKTLERYQNCSHGTLEVNRTAKDLEQNSYREYLKLKGKYDSLQQYQRHLLGEDLGPLNIKDLEHLELQLDESLMHIRSTKTQNMLDQLSELQNKERILLETNTALEEKLREYHSETQLRQLWPGGEVSASYGQQQHHQHQQQQGQSQGFFQPLNCNSTLQIGYNAVGSDQMNGTNGQNVNGLVPDWML >KZM88959 pep chromosome:ASM162521v1:7:32592964:32608908:1 gene:DCAR_026034 transcript:KZM88959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEYKKYLGVVVSQLRDYYQDAAYMVFNFKEVEQRSLVSDFLSQQNMTVMEYPREFEGSPLLPLEMFQHFLHSSDGWLNLGGQNVVLMHCEKGSWPILAFMIAGLLIYRKQYSDEKKTLEMLYKQASKELLYLLSPLNPQSSQLRYLEYISRKSVGSHWPPEDTPFALDCIIFRVLPVFDGGAGCRPVVRVYGRVPSSTSGNKSSKLLFSTFKTRKHARLYSKEECHLVKLDLHVRVQGDVILECVHLGEDLIREEMMFRVMFHTAFIRLNVLMLNRDQVDVLWDVKVRFPEDFVAEVLFADPDALPSIIHTAAPSDDAPSDDGTETQKSSPDEFFEAEEIFSNLVDAHLGKEQDNEVDSESFHELKPITLNNIDGEEHTTTHVVSNSETKDEQENKLNRSLRLERQNSPPPLLDMITPPTQSPSSSPPEAHTTAHDVSGSETKNLQENKLNRSLRLKRQNSPPPLLDMITPPTQSPPSSPPEAHTTAHVVSGSETKNVQENKLNRTVRLKRQNSPPPLLEMITPPTQSPPSSPLEAHTTAHVVSGSETKKVRANKLNRTVRLKRQNSPPPLLEMITPPTQSPPSSPPETHTTAHAVSGSETKNEQENKLNRSMRLKRQISPPPLLDIISPPTPSPPLIQTSHQKFSEPTVPPGPPPKIDPKPQILPPPPVNDPSPLPDSSAHTPTLSVPVPPSPAPSVRIAPPPPPPPLPPGASESEPALPPPPPPHPPLPPGASESELALPPPPPPPPPPPLPPGADEPGPPLPPPPPIANGPGPPPPLPPPIANGPGPPPPPPPPPLPPGADEPGPPPPPPPPMGGNVPGPPPPPPPMGGNMPGPPPPPPPMGGNVPGPPPPPPLMGGNMPGPPPPPPLMGGNMPGPPPPPPPMGGNVPGPPPPPGLGPPPPPGPGPPPPPGAGPGPPPPPGPGGGPPPPPGSGHSSGGPIGFLTRGLQVGRRALGPKKSNLKPLHWSKVTRALQGSVWDELQRRGDPQNAREFDMSEIETLFSAATKPKETKKSEAKAAAPKSEVITLVDHKRAYNTEIMLTKIKMPLPEMTAAALAMDESILDCDQVENLIKFCPAKEEIELLKGYKGDKEKLGKCEQFLLELMKVPRVESKLKVFLFKIQFNSQIADFKKSLNAVIIACDEVRNSQKLKEIMSKILFLGNTLNQGTARGSAVGFKLESLLKLSDTRATNNKMTLMHYLCKVFSDKLPHLLDFHEDLGTLEAASKIQLKFLAEEMKSINKGLDQIRQELGACGNDGAVSQIFHKTLKGFIGVAEAEVMAVQNLYAVSGRNADALALYFNEDPAKCPFEQVTATLLNFVRMFKKAYEENCKMEELERKKKEKEQKEKAQEKAKK >KZM89088 pep chromosome:ASM162521v1:7:33776852:33777241:-1 gene:DCAR_026163 transcript:KZM89088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQAQPNYHHAPHRTISSSQIMTILTVFPLGGLLLLLSCITLTGTIIALVVATPLFVIFSPVLVPAVVALALAVTGLLTSGAFGVTALSSLAWLVKYLRRGGRDGGGHAAEMGKRRVRDTAGYGGRRS >KZM86722 pep chromosome:ASM162521v1:7:6200916:6201406:-1 gene:DCAR_023856 transcript:KZM86722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGKAIENAITVPMTVGDVIGLETIRQLTFIQQQGSSYIPPPAVLMPVPGRLNMFGCVFSAVRPFVPATLLKRQYTMYRGREILPYLKPARYKFAVWSPILQLPRSRYTRL >KZM88248 pep chromosome:ASM162521v1:7:26046391:26047611:-1 gene:DCAR_025323 transcript:KZM88248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTETVNVTTVTANGNANVNTATTMTTTTTTSLGKNTETQKKNRIQVSNTKKPLFFYVNLAKKYIQQYEEVELSALGMAITTVVTIAEILKNNGLAIEKKVVTSTVAMKDETRNKQVQKAKIEIVLGKTDKFDSLMTNNTASAAAAATDQPTAAGTQKDQKNQ >KZM88935 pep chromosome:ASM162521v1:7:32431883:32439390:1 gene:DCAR_026010 transcript:KZM88935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDREPGFPSHMVESRLFTVSCFIAGLIGVLTIAYTAFQWRRNINLGWMKAIARSKKNPKTRSKAPVAPHTWVLESLSRGKSLKCCVCLKSMSASQNHGPMASDNHIHYCSICGAASHLSCSSKTLKDCKRVSMSGYEHVMHQWAVRWTEVADQTEETSYCSHCEEPCNGSFLGGSPIWCCLWCQRLVHVDCHGSMSNETGDICDLGPYKRLILSPLYVREVNRALQGRLLSSITQGANEIASSVRASISRSQSKKSKQENVASADTVNNCSVGNSSTESTDNNENRNASLGVEENCNSTVSIEGTDQNQNGGIVKILDSKPSFKRNSSINEKNEFHLTGTEQRYELTDLPSDARPLLVFINKKSGAQRGESLKLRLNLLLNPIQVFELSSSQGPEVGLFLFRKIPHFRILVCGGDGTVGWVLDAIDKQNYVSPPPVAILPTGTGNDLARVLSWGGGLGSVERQGGLCTLLHHIEHAAVTILDRWKVSFNQKEKQLRPPKFLNNYLGVGCDAKVALEIHNLREENPEKFYNQFMNKVLYAREGAKTLMDRSFADYPWQVRVEVDGAEVEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFDPQSMHDKMLEVVSIAGTWHLGKLQVGLSRARRLAQGQSIKIQLFAALPVQIDGEPWLQSPCTLTISHHGQVASLSYYQAYFEGRSDLVLDQKGVF >KZM88975 pep chromosome:ASM162521v1:7:32708253:32709878:1 gene:DCAR_026050 transcript:KZM88975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICVCILYTIEKRRHVHPTGFDVQYRYTDTENSNPNIMISGYSRTKTAASHTSLFTCAHVNVTSPAVERDNCSPSGFNATTTIEGCCGNAGCFQIDDFRSSKVTISSYPDHYIS >KZM86712 pep chromosome:ASM162521v1:7:6100175:6104769:-1 gene:DCAR_023846 transcript:KZM86712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVELDCSTTDETQQDNIEALLQAARYDDMENVITLASAGVSLDSKDSEGRTALHMASANGHLDIVNYLISNRVDVNATNVEKNTPLHWACLNGHIEVVKSLILSGASLSLLNSHEKTPVDEAVIGGKLDVIDAISTLEAQLELSNARVS >KZM88014 pep chromosome:ASM162521v1:7:23293498:23294566:-1 gene:DCAR_031523 transcript:KZM88014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWSPETSAKAYLDTIKACKLVSGSGEAELISAMAGGWNPKLIAEAWSYGNSITTSIGLAIAANHLGARHVCIVPDEQSRLAYTAAMQSKGSAEYLPEIAVGKAEEVVEELTGIEFLVVDGQRKEFCRVVRASKLSHRGAVLVCRNCSLCNMAGFRWSRVLSNATRVVRSLIVPVGKGLDIAYVSSTGGSKKGQRRWIVHVDRKSGEEHVFRG >KZM87581 pep chromosome:ASM162521v1:7:18338506:18341076:-1 gene:DCAR_024707 transcript:KZM87581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTESVNDRYAKLIGDSWWAQFRRGSNPWMARYVYGLMFLIVNLLAWGIRDYGRSAFSEMKRLKECQDGEDCLGAEGVLRVSLGCFIFYFVMFLCTAGAKKLNERQDSWHSGRWPVKVVLLISLMVLPFFVPSEVIQVYGDIAHFGAGVFLMIQLVSIISFITWLNDSFQSDKLAERCHIHVMLVATSAYVICICGIILMFIWYAPQPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGFLTPGLMGLYVVFLCCEPPEGRCTKDTNAGPKGDWLSIISFVIAVIAMVIATFSTGIDSKCFQFKKDEPEEEDEVPYGYGFFHFVFATGAMYFAMLLISWNTKHVSQKWTIDVGWTSTWVRIVNEWLAACIYIWMVVAPVIFKHTRAAELPGAV >KZM89154 pep chromosome:ASM162521v1:7:34385036:34387382:1 gene:DCAR_026229 transcript:KZM89154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLHDLLFTKNRDYLVKYNDDQQVKAAQLAGKVIILYFVRLNSDPLESVYILSLVETYTYLLPDHCLEVVLVAYGSEEDIFSISCDSHTSSAEKFEAVFSLMPWTAIPFSDIASRVRLSGRFGFDILRKPSTSFVIDSSGIVLQSDSCDLFDKYGGLGYPFTDERIKFLKAQVAATVQQPSIKSLLASPKRDYVISNTRDKVPVDTLEEKVVALYFFEEGITDNWHTESIKMAYEEFRQNKSSFEVVLIYLYDTISTYDCTSEESFWNTFKTMPWLALPFKDLKCRELERYLGYPFNPLDAKSPTLVIVGPRGRYIEPWGALIIDQFKLSVYPFTREQAAKLDTEKVRELKLEMLWDQNTIFRGKDGRKVSFFDV >KZM87273 pep chromosome:ASM162521v1:7:13377416:13379323:1 gene:DCAR_024407 transcript:KZM87273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRVFTRMTHVGVLPNFLSLGAMIEFYVQEGKPELAVGIVGLILKRNYVMNGYLANVILKGFCRNDAVKAREFFDDFSKDFGCLDMVGYNTLIKGLCKAKKLKEAFELKAEMELQKLKPGLVTYTTLIKGLCKEGRMDEVTELLDEMKVRGLAADSILYDEVICGHCHKGNIERGKEILNEMVSEGCSPNVNMYNNLIHGLGMMGKLKEVSAMVTIMMEHGIRPNVRTYTTLINGLCKDGKVTEARKILDLMVEKGVKPSNMTYNVLINGLCKHGSVLNALKLLEFMVEKGSKPDVVTYNTLVKGLCEDGRVNDALTLFNWMLEDRNNVNPDVITYNLLIQRLCREDRLVEAANIYNKMVECKICGNIVTYNVLIGAYLIAGNVQKAMGLWKQMLHLKLIPNSYTYSLMINGFCKLNLVNIAKGIFSRMRSLGPNPNVYDYNTIVATLCSEGSIVQAKKLFQEMKISKCDPDVTTFNTIIDASFVARDIQFAEQLLVDMHQRKIAPDALSFSILINRLSKLGRLEEAKGIFDRMVASGFTPDNCVYDSLLKGLGEEGKTEEIVNLLRQMADKGMVLDKEIVTTILTYLSHISADSDVINLLPSFPKETPKGSSFSCRELLMKLKLDPRLQSQGP >KZM86911 pep chromosome:ASM162521v1:7:8347478:8352030:1 gene:DCAR_024045 transcript:KZM86911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDNVPEMRDVVGVDEEDDTGEEESGESIGSKKQRVKGPWSENEDAILSHIVGSFGARNWSLIAKGIPGRSGKSCRLRWCNQLHPALKRKPFTDEEDRLILQAHSIHGNKWASIARLLPGRTDNAIKNHWNSTLRRRGIELGKSMFERGNWLEDASGDESKVSSEETLSCGDVNSSKSLEGKNVNSLETDGKSADDVQTEYQLCNEARYPRTVFQPVPRISAFSIYNPLDGPEPLVQHRRVTCSQKVILPPKPDAVIGKLLEGSYGERLVPRQCGYGCCGTSTKKMSKSSFLGPEFSDYEEPISFPSHELAGLAAEISNIAWLKSGLDNSNIKAPDHESGWSMISRGYHVQRGHIDKSKNNVHSQT >KZM88401 pep chromosome:ASM162521v1:7:27619060:27619701:1 gene:DCAR_025476 transcript:KZM88401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLVGFLCTNSSGVIGLAVALGIVNWLIFFGLLWYVIRGGCPQTWTGLSLEAFSDLWDFLRLLVSCSGMAVGSGCCYYLICIFLGIVFDWTYNYGVEMNSMSP >KZM88009 pep chromosome:ASM162521v1:7:23258511:23261927:-1 gene:DCAR_031532 transcript:KZM88009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEIVAGLAKVLVTKLVSLAAEELIQAWNVRDDLETLCEKLESIHDLLSDAAGKKLSMTTVQKWFNKLQVVARRADALMNELEYEVTRRKVEDRRKVLDFFLPSRNPVLDRFKVAHKIKSVDNSFDKIFKWGRDLGLQPIASLNSAVQTTEIRVTPPFEDESLIVGRDNDLSCLVQELCRTHESDLPVIGIVGMGGQGKTTLARMVFNKDEVINMFPNRMWVTVSDDFDFISILNQIVESLTSTNLGLQNTHGIINKLQKNLKGERFLLVLDDVWNERPENWDNLVNSLIGVGGAIGSSILVTTRNQKVIDTMRCSASYQLEKLSDEDSWKLFKRRAFSYGGVLETEALTALGTRMVNMCGGLPLAIKTLGGLLYSKKSEKEWLQIQNSEIWKSKGVLSSLRLSYDNLPYLSLKQCFAYCSIIPKDSYIHKDELVQIWMSLGFLSPPKGSKELMEDIGNEYFNILLWNSLLQDVHRDEFGDIDGCKMHDLVHDLALDLSKDYSVTLKADHEPNHIFALHLRLNKGVSNVKSSILKRNFESVQVLYAGAHILGDVLPYLSRCLTVLVLKSNKVTAYEMPSSLTSLKYLKYLDVSCFGGSYRLPNEITRLYNLQTLRVWNLEELPKMFCNLINLRHIVIENIHAKTRCIFSGIDKLTCLQSLPHFVVSRVQNCLIEQLGGLNDLRGKLDLYGLGNVTNVEARKANLCEKTNIHHLLLDWSTDEDEMERREFNDEDVMEGLEPDANLKELTIVKFEGKNFASWMTMMTNLVKITIKYCRRCEVLPPLGHLLKLREIKISHMENFKVIRSFSGEGLVSGCIELSDSSTAKSVTTMYPSLIKLTLKDLPRLKEVLESVMTLGSEESALKIFPKLEELKIVHSSQLKEIPSHCFLSLRKLEMAHLESSMIQDMDSVIEKLLKNNSISLKSLSLRRCKGLTCLNLGAGIDELKVYDCLDLISISVDDESSGLKTLKIGECPGLSQWEFVHTMKSTLVTLTLHPFNAREYEFPWAFSPFISFPNLKLLQLEGWEKIKSILPAEKLDDFCSTFPALTELDIIDFKECKALPDSLAKIPSLQGIYIWGCGKLEILPLFEESHGLQWLTIRKCPIITERCKKGSGPEWFKIQHIPEVKIDRIWYNIDA >KZM87442 pep chromosome:ASM162521v1:7:16445797:16447383:1 gene:DCAR_024576 transcript:KZM87442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIITHQLPATSNIATTLRFNTTSQQFIATQINTTLLLHHLFSDRLKPFSLKCKHPNTEISDSAILSSDSHLLYRRCFGTAPPAMAVFIDKGITGKTDTLEASC >KZM88729 pep chromosome:ASM162521v1:7:30788974:30789174:1 gene:DCAR_025804 transcript:KZM88729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDATASAGSLQDGVCAEPIRARRMSSGEDSAAVAAALKKAKTATASGEEAEKEAVKVRKQKRRL >KZM86141 pep chromosome:ASM162521v1:7:45437:49281:1 gene:DCAR_023275 transcript:KZM86141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNDDDDQHLPAASSSLWDWTDFLDFDQFTSTAVPPSLPPPPPAIAPEPKSTDKVRKRDPRLVCSNYLAGRVPCACPEMDAKLAEMEEEEEIGPGKKKARTVRIGPGGFRCQVPGCGVDISELKGYHKRHKVCLGCANAISVFIDGENKRYCQQCGKFHILSDFDEGKRSCRRKLERHNNRRRRKSTDSKGLTERDTQQLSSAEDAGFDDVTAGVFLNNQEVEREPLVDVERPTSLLCSAPSSQNIQSDSGVSLAGSGETQGDEEKEKCNQSPSYYENKNVFSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLANMPVELEGYVRPGCTILTVFVAMPNSMWVKVCGDPVASLQSLVAPGSMLFGKGSFYVYLNNVIFHVLKDGTSVVKVRVKKAAPKLHYVHPTCFEAGKPMELLVCGSNLLQPKFRYYSCCIIMKEIVFYLNTNICARNICSPGFELGA >KZM87893 pep chromosome:ASM162521v1:7:21795061:21796915:-1 gene:DCAR_024994 transcript:KZM87893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAVEALTNQKEVELCSKIKAHGFSESRKHLDELEIAKELDQLSAKLDEADELISSAIAADPQVNLSSTANVCIPVLAATSDEMRNELRRSAASVEDINLRVE >KZM87702 pep chromosome:ASM162521v1:7:19559399:19562643:-1 gene:DCAR_024803 transcript:KZM87702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSRFADSVSAAVSRLAATKSATASYFQQGLTPLTGSPRGSGFSRFGKKKFLRLSSSLQDFSEYSQLDTEDGNLNSEINRSSIYTKPLSSSQRENGGASFSKEKPNPGTPSTQKKWVRVILVLSCLVFLAVLLIMAQFFYFKWSRASPQYYVIFDCGSTGTRAFLYRASANHKKAGGLPILLSSLPEALPSKPNSQSGRAYNRMETEPGFDKLVHNVSGLRGAIKPLIKWAEKQIPKHAHKTTSLFLYATAGVRRLPSADSEWLLNNAWFIMKNSSFLCRREWVKIISGMEEAYYGWIALNLHKGVLGAIPKRETFGALDLGGSSLQVTFESEEDFHNETSLQLSIGPVNHYLNAYSLSGYGLNDAFEKSVVHLLKRTPKISYADLASGKIVIKHPCLHSGYKEKYSCSQCTSAIQNVGSPTIEEKKIGKSGKSGVSVQLVGAPKWEECSRLGKIAVNLSVWSDQSPGIDCEMHPCALPDNLPRPHGQFYGISGFYVVYRFFNLTSDAALDDVLEKGREFCEKPWDTAKKSVAPQPYIEQYCFRAPYIVFLLREGLHITDSNVIIGSGSITWTLGVALMEAGKAFTTKIDFQSYEVLRRMINPSIFFAILFASIFVFACAWLCVSNWTVRFFRRSYLPIFRQNNSSSTSILNIPATFRFQRWNAMNSGDGKVKMPLSPVASGQYRPFGSPYDFGGGIELTESSLYSSSSSVAHSYSSGSLGQMQFDSGSMGSFWSPHRSQMRLQSRRSQSREDLSSSLAEAHLGKA >KZM89141 pep chromosome:ASM162521v1:7:34258386:34259309:1 gene:DCAR_026216 transcript:KZM89141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPAHIVAEAISTLHGLDLRWSGPITPTEMNYVEQYVLAKYPEYSNALVEGGEKTELYDLCIKEEPAHLSPDDKRKSPRGGFRDSVAPTFGSNHPDLDKTQLEPSRLQDVLTKKSSFLGSFISIPEIQARNKVLKQCGLPDEEYLVIFTSNYKNAMMLVGESYPFFRGNYYMTIIKEGDDYIREFASYKESKVIAPPETWLDLRIRGSQLSQYFRRKSKHTPKGLFSYPADVDGTKYSMHWVSEAHRNSWHVLLDATAINVGEERMNLSLHRPDFVLCKPDNTNAHPSDITCLLIRRRSFDTGASV >KZM86481 pep chromosome:ASM162521v1:7:3213676:3214863:-1 gene:DCAR_023615 transcript:KZM86481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASLTLSTISLLFLSLVSLAASFPAQTVVNAVDTLSNSGYIAMSLTLQLTSNTLLTPQRRSATVFSPPDAAFSSSGQPSISLLQLHFVPVAFSIDGLKSLPYGTKIPTFSSSHSLTITTPASDNSGNVSLNNVNVTGSPIYDDGALVIFGVERFFDAEFTPLSPIQSPNSDLGCVMMKDYPRLSSGGYSFREASGMLRSRGYAVMASFLDLQLLGFLGEPKLTVFAPVDELMIEKANNFADYYLLFLRHVVPCKLSWTDLANVENGTELHTYLEGFNLNVTRSDDLFMVNEVEVTFPDMYYSDWLVVHGVRQILSLRTNSDEEGNVPGDERISGDQNPSIVPHDERFGSDQNPSNVSDHARVASDQSSSIAPESARVGSDQHSPMAPGSSLSS >KZM87006 pep chromosome:ASM162521v1:7:9869324:9871346:1 gene:DCAR_024140 transcript:KZM87006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERELEDEFRVYGVLRSVWVARRPPGYAFVEFDDSRDAHDAIKELDGKNGWRVELSHNSKGGGGGRGGGRGGRGGGEDSKCYECGEPGHFARECRLRIGSRGLGSGRRRSISPRRRRSPSYGRRSYSPRYSPRGRRSPRRYSISPPPRRGRSISRSPPPYRRARRDSPYANG >KZM87694 pep chromosome:ASM162521v1:7:19482323:19498054:1 gene:DCAR_024795 transcript:KZM87694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDGVRGVLLDSSAVVSAADTQNDDVLLAPGADYLFRKLRYSNIPTGITYALGLSTPKVKLLETISKQFSFDIFILNSSSIEVTEKEVARAWGDYGGRILHVASDINTEPFVKLSNSWLCIILNGGTSEESSVLYIDKLEQLPMTICSFNRKAMGKDGLVVGYVMKPSREDDFAKRGAFPLSPTGNGLIFMPLTFELPISKQLQQVDVIIHKATDEIISVELSSSLEISNNIIYSKGMLELQRCLEERSDCCVIDPFGNIDPVVDRFKIQNLLLGLEKLNTEGRYKVRAPRCIKVENFDVPDLRQRLLEAALSPPSIVKAQVACGVSDAHSMAIVYKVDDYKGLNVPVPAIVQEYVNHSSTLFKFYVLGEKIFHTVKKSTPNADILIKLSEQTGLEPLLFDSLKSLPTAQNEQQSGDASYQEAEKHQMDLDLVTVAAKWLSEMLNLTIFGFDVVVQDGTGDHVIVDVNYLPSFKEVPDDKAIPAFWEAIKKKYKLRQNANQE >KZM88630 pep chromosome:ASM162521v1:7:29810407:29811864:-1 gene:DCAR_025705 transcript:KZM88630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTPSNFSPCAACKLLRRKCAVDCVFAPYFRADQPQKFSSVHKVFGASNVAKLLNELPEEQRKDAANSLVYEANARLKDPIHGCMGLISILKRKLKQLQMDLYNAKKELATYIGPSAMLPIFQGTENFLPQYQQEQALQPQMMPYNMQPAQVMQPHLQQLQKLAPYSMQQGQMRPYNVQQAHQMRPYNMQQAHQMRPCNIQQAQMGTCNEQQAEIMGGLQQTVANLSPLEIHQQHQYQQMMEAEKVAAALAAVREQERLRRYESQKVFAAKEQELLRDNELKELEILREQEYYKNHMAQHIAKREEEVILRTYREKQQVAFAQQAALEQQAALEQQATFAQQAALEQQAALVQQAAFAQQQGPQSDLLGGYDITGQGQGQILSDELTQMSVPAGVTLESTLASLEGPYQIQQDTQDDHVRDHHQQLDMASRLFLEEQELQALALQQPLQFDLQLEELLLQFQQHQDGGEEEVGSFDPLSMAPLE >KZM88737 pep chromosome:ASM162521v1:7:30876428:30887140:-1 gene:DCAR_025812 transcript:KZM88737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLLLTILLTFFVCSPVATASNIVDQIPSLNLSPSLLVDKSPAFKPGTKLACERVDITGLSRLKNIRKFANSVKVNVSYVLPSSRLPIVHICFHRNASLGVGMCPQGPWGKFSKDSWSRTMSPFDHKLLDICIPGSSSVDLKVSIDEDFSYSRIMFLICGSALMTIAWFVSKSLIFYYSSAMAVGVLLVILMILFQGMKLLPTGRKNSLAMFIYASMLGFGAIIFRDVPRLLLHSLFGEAGIGEEYNPVSWLGFWAVRKLVLADDGSIDKGVANFVAWSIWILGAVMVLQSSVDPLLSAVNLVSGILVSIVLRNTNLYMILLHLYESALEVMLQLYASVSESLFYLYESALELLLRFYEFALDIPIYFRNFFRKGGSRHRRSRNPEAISFRDAYRPTVEQSISDSDPFYSTFHTTPERRKFSKEEWEKFTRETTKTALEGLVSSPDFSRWAVAHADRITLTPTKDSAKQPRRRLPCRLKTSSSDKLLIKLPPPRTIGLALPTELKCCVRKHEVSVGCSVWSESESGDESSTSAAAALKDELFVQFFRESWPYFLAHRGSTFVVLISAELVDHSSLLDSILMDIALLRGLGIKFVLVPGTHVQIDKLLHERESEPKYVGRYRITDPKSLEAAMDAAGRIRILIEAKLSAGPSLCSIRRHGENRRWHDGVSVVSGNFLAAKRKGVVEGIDYGATGEVKKVDVARIRERLDQDSIVILSNIGYSSSGEALNCNTYEVATACALALGAEKLVCVIDGPILDEGGRLIRFLTLQDADTLIRKRAKQSEIAANYVKAVGQEDINSLSMNSNGNGFSGHYNATFQNGVGFDHGNGLWPGEQGFAIGGQERLSRLNGYLSELAAAAFVCRGGVQRVHLLDGNIGGVLLKELFQRDGVGTMVASDLYEGTRMAKVGDFSAIRQIIQPLEDSGTLVKRTDEELLKALDYFIVVEREGHIIACAALFPFFKEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASSLGLQMLFLLTTRTADWFVRRGFSECTIDHIPENRRKKINLSRRSKYYMKQLLPDTSGIRFDSMFY >KZM86559 pep chromosome:ASM162521v1:7:4209112:4216002:-1 gene:DCAR_023693 transcript:KZM86559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESSRSNSAADSYVGSLISLTSKSEIRYEGILYNINTDESSIGLRNVRSFGTEGRKKDGPQVMPSDKVYEYILFRGSDIKDLQVKSSPPVQQPIQTVPPINGDPAIIQSHYPHQLPTSSNLPPASTMSLTDPGSHTLQTGQPGPNYQGNLPLYQPGGNMPWGNSPPLPSSTGGGLAMPMYWPGYYAPPNGLPQMHQQSLLRPPPGLSVPPSMQQPMQFTGFNPSMPAGGLTLPEYPSTLLPASNSLLNLNSASLPSGLSPFPPLNLSSEPLPSFMQNKVPGAAIPLGSVSDSLPSAPPLTSLKLDSSAVAPPISDKPIESPELPYQTISQPMASIGISASSVQTEKPSLVTPGQLLHHSGTGVSSSQFLQTAHNPVPSSHSSQVAHKDVEVVQPSPRASLELPVPASNEAQPPLLPLPQPHRTTQKPNGATYQNRQTNYRGRERGRGTRVSRPVMKFNEEFDFMAMNEKFNKDEVWGHLGESSKSNLTEKEGDGNAGEESHFKDEDDTELPKSDVKPVYNKDDFFDTLSCKALDNQSNNGRTRFSEQIKLDTETFGEYSSRYRGWRGGRGPNRGGRSRGGYYGRNGGNAGRGRGQNMSSQ >KZM88823 pep chromosome:ASM162521v1:7:31548509:31551041:1 gene:DCAR_025898 transcript:KZM88823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLLATANNGLKSRVPRRWEVIAKEAKKVSYIALPMVVTTVSQYMVRVISMMMIGHLGELSLSGAAIATSLTNVTGFSLLNLLCMHANQFGMASALETLCGQAYGAGQYHMLGTYTYGAIISLLLVCIPISILWIFTEELLIMIGQDPLISHEAGIYTIWLIPTLFPYAILQLLVRFLLAQSLLYPMLLSSVAALVFHIPLSWVLIFKLKFGSGGAALGIGLSYCLNVILLGVYVKYSSSCAKTRITFSRDVFPSIREFFRFGIPSVVMICLEWWSYEIAILLSGLLPNPQLETSVLSICLTVSSMHYNIPYSFGTGASTRVSNELGAGNAQAAKLAAWVATFLAVLEGAVASTILFSCRSVLGFAFGNVKEVVDYVKELTPLLSLSIMVDCFAAMFCAVARGTGWQHLGAYVNLGAYYLCGNPMAYVLAFVLHWRGKGLWIGLTTASLLQGLMLMIITFFTDWKKQTREARERIFEGKPQLTVE >KZM89069 pep chromosome:ASM162521v1:7:33580303:33580458:1 gene:DCAR_026144 transcript:KZM89069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYTSKKRATINTCESVIEVERSEDARNDKTHTAPLKPYVQLEKKKKGFAR >KZM88798 pep chromosome:ASM162521v1:7:31390211:31393530:1 gene:DCAR_025873 transcript:KZM88798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKYSSDSYTLAAGTSSDNDSPRTPYAVNETLRLLQSDDLSSKIEAAKQIRRLSKTSHRNRRHFSSAIPQLVDMLRSDSVESAQSALLALLNLAVKDETNKISIVDAGALEPIIGFLHSDSNMQEHATATLLTLSAASVNKPAIVASGVIPFLADILRDGSPQAKVDALMALYNLSTHPDYCKLIIHAEPVPSIVYLLKTCKKSSKLAEKCSALIESLVEFEEARIALTSEEGGVLAIVEVLESGSLQSREHALGTLLTMCQIDRCKYREPILREGVIPGLLELTVLGSQRSQFKAQTLLRLLRDSPNPRTDIQPETLENIVCNLISQIDVDEQSGKAKQMLTEMVQISMEQSLRHLQQRAMIFFCFCFETFTGMGFWGTTVQMNKEEIGRGKPVQAYVMVSSDWCSWGTSSKLPQMQLGNFFNASSDLPIVHVLIQLAKDQIHFQAFVRDKH >KZM87945 pep chromosome:ASM162521v1:7:22346084:22346326:-1 gene:DCAR_025046 transcript:KZM87945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRCATKQYLDSVFHQAKLARRARSQGRRAVRQGWGNWIVEQEPDHVHVIYNIPGPIPHNLNEIATDNSLVRGFLLDD >KZM87605 pep chromosome:ASM162521v1:7:18565867:18570316:-1 gene:DCAR_024723 transcript:KZM87605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNVPRTVEEVFTDFKARRTALIKALTTDVAEFYQLCDPEKENLCLYGYPNKQWEVNLPAEEVPPELPEPALGINFARDGMKEKDWLALVAVHSDTWLLSVAFYFGSRFGFDRADRNRLFGMINDMATVYEVVAGIGKKNPREKSAVSNQSSTKAKSNTRGRGSESQGKYSKMQGKDSDEEGQEEEEEHGDTLCGACGGDYAADEFWICCDVCEKWFHGKCVKITPARAEHIKQYKCPSCSSSKKLRP >KZM88286 pep chromosome:ASM162521v1:7:26490080:26495483:1 gene:DCAR_025361 transcript:KZM88286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHRTPGKGGALIQVELRDVDSGNKVNERLRTDEAIERVYVEQKRYTYLYTDEETDSVVLMEPSTYNQVEVPKELFGGALAYLKDDMSVTVEMYDDKPMSASVPPRVTCTVVETPAPVRGIGATPHTKKALLDNGLTIQVPAHILTGDQLLIDTTDNSYMSR >KZM89197 pep chromosome:ASM162521v1:7:34899550:34899816:-1 gene:DCAR_026272 transcript:KZM89197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPRPFKRLNQFFREHRTLDRTLSIGYYVAIGSAFWIGAGPDKTEDVETRSRP >KZM86416 pep chromosome:ASM162521v1:7:2623147:2624481:1 gene:DCAR_023550 transcript:KZM86416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSWRKKRASRQANKRDGLDDLSNFEISIPSHFRCPISLDLMKDPVTLSSGITYDRCSIETWIEAGNNTCPTTKQALNTLEPTPNHALRKMIQDWCVVNKSYGIERIPTPRIPLSSHQVTEMLSRLVAACRREEASACGEVVGRIKRLAKESEKNKRCFVANGTVGVLASVFETFSKTNFDKNALVLEEVLLVISMMTPLDGEAKSFLGSNSSLNCMVRFLSGGDLSVRTNSVLVLKHVLSTDQKKMEEFAKIEGSLEGLVKLIEEPVSTTTTKASLIIIYYMVSTSSSSRSVEKIVTRFTDMGLVERLLEMLMDCEKSICEKALGVLDGLCLYKQGREKAYANALTMPVLVKKILRVSDLATEFSVSIVWKLSKNEKREDGGVIVEALQVGAFQKLLLLLQFGCSDRIKGKATDLLKMLNLHREKLEECIDSMDFKNLKRPS >KZM88956 pep chromosome:ASM162521v1:7:32571323:32572839:1 gene:DCAR_026031 transcript:KZM88956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHQFESKADAGASKTYPQQAGTIRKGGYIVIKGRACKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVTRTDYQLIDIAEDGFVSLLTDNGNTKDDLKLPTDETLLGQIKDGFADGKDLVVSVMSAMGEEQINALKDISK >KZM86221 pep chromosome:ASM162521v1:7:726990:732812:-1 gene:DCAR_023355 transcript:KZM86221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGDIPCVGKFVERLSEYTVDAVFHSLKYMLCYKSLVNDLNSEIEKLNIEKDKMCRKVKEEEKNGKVIQDYVSKWQKEVEEIQEISEELSPSCSCIQSLPIPDPISSFRIGKHAVKKAETVTKLTISGMKHLAGDIAYLPEVIYMPNCDTTFEDFQSRKDVYEKLWDALVDQDSPLIHGIYGMPGVGKTRTMEKFWEDAMKKKIFDKVVRVNVGNEKLDKLKLQDQIAGCLDCNLQSQDVDRRASQLEISLRSCGKILLILDDVWREIPLGNIIGNSLFDGSSSRGSKILLTSREQDVCLRNKCKHLVEIQTLSPREALSLFKNTVGSDTINSLQDESLVQKVCNECAQLPLLIHAVGKALTGKPQISWKDALVQLEKGKFEKIVGVDPQVYACIKLSIDNLRDDDAKSCLFLCSLFPEDDEIDMKMLIQLATGSQLIPDGEPRVLAMVHYLKVSSLLLDCGVDYITKVHDIIRDVARSIASTDSKYALLQVTCNSGYFPSDASYCTGKFLRLDAETDDVHFKEREVCPDLHTLWLQCNNHPQQFSGGFYKMFVNLNFLMLQKVNISLKQFSLQPLDNLGTLTLSRCDTRKTDGSLFPKSLKTLCIWYCDLPRPLDVANLKYLRKLEIQQKREPELVMALNVISTLSSLEELHIPNGFIIDREEYHTEPIVMEICELTHLASLQFHFYADHTFRVKNVFSELDRYSICVGAPKDIDLSERYWRVSAMRSTRLYGHHSQSWEGLMTRAEEVRLRYSNVEVSSICNGQRRAFEDLNKLYIENCDMEHLASMSCISHDEIQYSLRPATCFSKLTILKISYCSEMKYLFCNNIAKNLGQLQELTVNNCKSMEAIILNEGTSDRKVINFSKLKLLKILFLSRLKSFYVRKKYMISGSTLSTDLPAEYQSLFDGHVAYSLLRLSAVFVKDLPNLKSFFHGANFEFHMPALEKVQVCNCGLSDLFTFSMFRKFQLIKSLLVNSCDLLENLVEDSRVDFQNLPKLRSAFHNANYEFHMPVLTNVFIRTCGFSDTLFNLSVIRNLKQLKTLEVSFCSSLEGLYEDVRGDEHSDSGDQIITLPQLSSLLLENLPSLKSIFYSVNYECKMPALMKLKVVNCGISTLFTWSICRELQQLKKLVVVNCWLLKHIVENEKCDETGDMNDILFPELKILALLNLPSLTDFWCYQRGKTNICKVAFTLLQLSAIVLNYLPDLESFFHGANFEFHMPVLKKVIVRDSGLSSTLFTRSVFKNLRQLEYLKVSDCRLLEGIFEDNETTDTSEKIITLDRVSTVHLQGLPKLKSIFCGATYECYMPALKKVKIVGCGPSILFTCSVFREIPRLQELHVSDCELLEHVVEEAGSDETSETNSKSIRSSKLSSITLESLPNLRSFSCTSSYVLNILKLQNLRVIKCPQMEYFSSSTTTSLVNLAIDWYCEEGFHDLNDFIRQYHKSGSCLSYCSEESSYRIQDLGTQSEIIIEEEEEEEEEEEEEEELLESETETEIGTETEGGTETDSSG >KZM86536 pep chromosome:ASM162521v1:7:3927348:3927737:-1 gene:DCAR_023670 transcript:KZM86536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPYSILAFVVIVNIVFSSASAGRDMPKDADEKQPETFTQEGSVLIPGIGRVMVPPKKKCFHKGVKPFTYNPVTGTNTGHGVSIPTLPAPTTGGSVPSYIPGGHDTTVPNPGFEVPTGALPSPPARH >KZM87549 pep chromosome:ASM162521v1:7:18076599:18079114:-1 gene:DCAR_031970 transcript:KZM87549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRGLSLDEKREKMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVIQSLVDDDLVFKDKIGTSVYFWSLPSCAGNQLRNVYQKLEFDLRGSKKRMTELVEQCNDLKKGREDSDEREEALSKLKAAEQKHKELKDEMAQFADNDPAAFEAMKSAIEVAHAAANRWTDNTFTLQQWCSKNFPNAKEQLEHLYEEVGINEDFDYLELPAIPVSEVCEQEEANP >KZM88229 pep chromosome:ASM162521v1:7:25812350:25812502:1 gene:DCAR_025304 transcript:KZM88229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSTAIPQPNTSSVNSLLPSTNLVAMPGHKYSWSCHPASHNSRDTSCKR >KZM86973 pep chromosome:ASM162521v1:7:9245458:9247374:1 gene:DCAR_024107 transcript:KZM86973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTAAGREYKVKDMSLADFGRLELELAEVEMPGLMSCRTEFGPSQPFKGARITGSLHMTIQTGVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSCAVFAWKGETLQEYWWCTERALDWGPDGGPDLIVDDGGDATLLIHEGVKAEEEYKKTGAVPDPASTDNAEFQIVLSIIRDGLKSDPMKYHKMKDRLVGVSEETTTGVKRLYQMQQNGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVALIAGYGDVGKGCAAAMKQAGARVIVTEIDPICALQATMEGLQVLPLEDVVSEVDIFVTTTGNKDIIMVSDMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPDTGKGIIILAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSKDQADYISVPVEGPYKPAHYRY >KZM87639 pep chromosome:ASM162521v1:7:18852387:18854792:-1 gene:DCAR_031922 transcript:KZM87639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTIIGNRPAVLISYSRRLKNDQRFYKASASWTMTMDSKPLQKGNKENISSDIPKGLISSPETSKTSLQFNRLQPPKEACREDSRLDFGQFTAREAVLDEEYWTAAWLRAESHWEDKASDRYVENYKRKFTEQEFNSIKRNCKSQIGHKSTCILAVKNEPRNVILKNVVGTLDLSMRRLLHGETFPAERVKIPLFCSIQKEGSKYGYISNLCVAKSARRQGIASNMLSFAIKSAIYYGAEQVFVHVHRNNKPAQLLYQKMGFEVVEIASSQLSAEQTYLLCLRAQGLEEKYL >KZM86311 pep chromosome:ASM162521v1:7:1602279:1604958:-1 gene:DCAR_023445 transcript:KZM86311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIREDEATGNGYFEGLRQPEEQVLNQRMKGMVQQKNGLEDEYGSEKKEVLSIEMIFKDKEVPTWRSQLTIRAFVVSFVLGILFSVIVMKLNLTTGIIPSLNVSAGLLGFFFIKVWTAFVDKSGLLKVPFTRQENTVIQTCVVATSGIPFSGGFGSYLLAMTEIISKQSTEATDPQNTKSLSLGWIIGFLFIVSFVGLFSVVPLRKIMIIDYPSGTATAHLINSFHTPQGAKQAKKQVKELGKFLSFSFLWGFFQWFFTAGNDCATVGAILSWGLMWPRIENQEGHWYKSRLSSSNLHGIQGYRVFIAISLILGDGLYNFIKVLTKTSVELAKQLQNKDPVTILPLADKGSPEAKAASFDDQRRTQLFLKDQIPTWLALGGYVIIAAIAAGTLPQIFSPLKWYHVLVIYIFAPVLAFCNAYGCGLTDCSLASTYGKLAIFAIGAWAGKDHGGVLAGLAACGVMMNIVSTASDLSQDFKTGYLTLASPRSMFVSQVIGTAMGCVISPCVFWLFYKAFDDIGIPDSEYSAPYALVYRNIAILGVEGFSSLPKNCLTICYILFAGAIFINAIRDFGPQRWTKYIALPMAMAIPFYLGPYFAIDMCVGSLILFIWEKIDKVKADAFGPAVASGLICGDGIWALPSSILALAGVKPPICMKFLSRATNVKVDKFLNS >KZM87796 pep chromosome:ASM162521v1:7:20557810:20558133:-1 gene:DCAR_024897 transcript:KZM87796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRHAAIMTTFILVALASAILADSSFIKFHENAELGMNLRTGTDELEELLSSRRVLAGKARISYDALNKNKVPCNTRGSAYYNCQATGRANPYRRGCTAATRCARH >KZM86776 pep chromosome:ASM162521v1:7:6757397:6764098:1 gene:DCAR_023910 transcript:KZM86776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFVMFVYDCNKYDKDNYNEWYHNTSMGGMYKPGSYPDMGGYGERYDDERYEGRYGGRDEDWNGYGRERDRGSRENDRYGKYGNSNSHDRDRYVGDHEGRYSRDVYRDDYSRGRSPSMDDYNYGRSRSSDRYRDRSYDDDGQYSSRGSVGRTDGSQDGRSHDKKYSEQEPAAPPSYEDAVGEYGSSAHTERYEETSTASAPKSSSTPSTTNQETTTSSSPAVTPPASVPPSVHPSVPVSPAAHPSGPVSPAEHPSASVPATKKQFGGFDKFDPRDSFTAATHSSNGADVDFFGSSSDPYNLSSLTIVPTATATTATSEANAFASSGSGNGFVSDSTSSNQPFEDPFGDGPFKAIPSPESFSNQQQNVSPSFHPSSSQSELQQPNFQDNNSGFGETDILADILPPPGSSPGGFSAQTVQSASQTGFASQTKEPETGFEGEISQPAASTAGFQSQPGQSLSLTGFPAQVTYAAFMREYPEQAGQPSQQAGFPYQSGQYSSFTGFPSQASSAQPAWFPPSNQPSQQAGFPHQSGQYSSVTGFPSQASSTQPASFSPPNRPSQPGPNYNVNFNQQPEFAASAVESMAAQSPSRPPGPAPQMQPAESNPVMASASSEPTNYLAIVPQPAKEKFETKSTIWKDTLNRGLVDLNISGPKTNPTADIGVDFDAINRREKRMEKPSQTPFMSTVTMGKAMGSGTGIGRAGASALRGGLNPVTASGINIGMGGVSGAGMGMGGVPGVGIGMGGVPGVGIGMGGGPSAGMGMGMPFGGGYGVNQPMGGMGMGMGMGMGMNSGAAMNMGMGRGVPMQQQPTGFSQVPSMPGGYNPMMGTGNFGQQPYNGGH >KZM87348 pep chromosome:ASM162521v1:7:14929424:14929936:1 gene:DCAR_024482 transcript:KZM87348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKIKEFGVGALVVLLVFNGVGVFGDEKNGVLGRKGGGGGVGRGLSGGGGGFGGGSGGGGGGEGLGGGVFGGFSGFGGGFGQGGGFGPFVGGGGSGGGYGFGQGGGFGAGGGGGGGGGGGGGGGGSGSGFGFGQGGGFGAGGGGGGGGGGGGGGGGGFGGFGGASGGHL >KZM88284 pep chromosome:ASM162521v1:7:26457269:26460330:-1 gene:DCAR_025359 transcript:KZM88284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTRKISFASYSSYIKHMGKSLDPVKALEIYDSITDESTRNHVSVCNSVLSCLVRSGKYDSSVKLFHQMKRDGLSPDIVTYSTLLAGCSKIQNGYSKALDLVQELKYNGLVMDSITYGTLLDVCASNNQCEEAEKYFELMKDEGHTPNVFHYGSLINAYSVKGNYKKADELIVNMKDVGLVPNKIYVAWIFMLLMLCFPSVWQVILTSLLKVYVKGGLFDKARELLNDLDAAGHAQNEIPYCILMDGLAKAGQIQEAKSVFDEMNEKNVKSGMASDRCSS >KZM87532 pep chromosome:ASM162521v1:7:17887893:17890257:-1 gene:DCAR_024666 transcript:KZM87532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRLMERDEERWKKFKEEQRKRLQDIEEQSVPKMTDLEILAGKRRIKLQAGNGQNIFKSYSHLVADYSPLTPVPVMSETLEKTASEITKKLDQHTQKARARSKAQKKAAEKDDLNLNTSLSNSEALKKIKAEAREKRDERNKKRRAKYKAQKKAAEKDDLNLNTSLSNSEALRKIEAEAREKQDERNKKRREKYKAQKEACKKDGLNLDFEVREKQDQLNQKRRARYKAHKEATLRKIELEAKEKHDQLNQKQREVKNKKRRSRYQNENEEQNVKCDAPKVIVRSPEKFAGSLCNLNI >KZM87939 pep chromosome:ASM162521v1:7:22236235:22238660:-1 gene:DCAR_025040 transcript:KZM87939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNELSEESESDSDESDVSGSDGEDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHVRYILTSKGMAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKSNQSYVQRVFGFKLHKP >KZM88707 pep chromosome:ASM162521v1:7:30548801:30551801:1 gene:DCAR_025782 transcript:KZM88707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTTNRLAGKRLLISTNLNRNYSPVTTMLDLDQDSTARTTYATMFTKKEGSPTDAMKRTGDWIFSQEIPSDVTVRAGGTSFALHKFPLVSKCGFIRKLFSESSDPDLSDIEIPDVPGGAEAFELAAKFCYGINFELGTENIAALRCVSEYLEMTEDYAVGNLVGRTEAYLNEVALKSLGGAISILQSTEEFLSMAEKVKLVNQCVDAIALMASKESQLNVSGGSENSCDGVYSSSPHSKPIDDWWAEDLTVLRIDIFQRVLIAMIARGFKQYALGPILMLYAQKSLRGLEMFGKGRKNMEPKQEHEKRVVLETIVSLLPREKNAISAHPALSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLREVMDSTTSHSTAESTAPAPAPKANANSAIVRSKSADEYASLRRENQDLKLELAKLKMQLKELKKPTSERSSPSTPSADKPPLPRKSLISSVSKKLGRLGALVRPDTLASSSRGRSKAPKDRRHSVS >KZM86931 pep chromosome:ASM162521v1:7:8496340:8506439:1 gene:DCAR_024065 transcript:KZM86931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASSLTPPPEVPMKLHVLNRNKLINALRDQLSASSRPHLGFVLLQGGEEQTRYCTDHAELFRQESYFAYLFGVQEPGFYGAIDIATGKSFLFAPRLPTEYAVWLGEIKPLSYFMERYMVDSAFYTDEIVTILHNQSQGVAQPLLFLLHGLNTDSNNYSKPAEFGGIEKFEIDRNTLHPILTECRVLKTNLELAVIQYANDISSEAHVEVMKNVKAGMKEYQLESIFLHHTYMYGGCRHCSYTCICATGGNSAVLHYGHAASPNDRAFEDGDMALLDMGAEFKFYGSDITCSFPVNGKFTNDQRLIYQAVLDAHDAVISSMKPGVSWVDMHKLAEKVILESLKKGGLLAGDVHDMMAERVGAVFMPHGLGHLLGIDTHDPGGYLKGAERPKEPGLKSLRTSRELLEGMVVTVEPGCYFIDALLVPAMKSPNTSKFFSSEINRFRGFGGVRIESDVYVNDHGCLNMTKCPREISEIEAVMAGGHWPIKKSIYFE >KZM87527 pep chromosome:ASM162521v1:7:17775697:17779022:1 gene:DCAR_024661 transcript:KZM87527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRACSPFECIISIYIRKVQLLISIKGIKHTPLEAKTDYEGWMHQKLRQTSGETLKEYSNIVFRPESAGEKKDLGEEPSSFSELFHGFLSIGTLGTDPIIVDPSTPISSISVEKLADERTEVTESELRLINEELVKVLGADRNDGSNDSSVTDRNSHATAGRRNQESTIGLIGKPMEGAESSMKEPIICPLQGYLLSAEMGVPDTTVSKKEKRTSLGELFQKTKVAEENSGFSYEKTEKESDKSGMKFMKRLLKKKMIHDSSRSSTSSKGNSDSAKAYKKRPKILRMFHRKVHPEISVELDKLQTWCWSCKRQNGELKLRSKLVGIGQLVGIGHLVKHYCM >KZM88332 pep chromosome:ASM162521v1:7:26885751:26891778:1 gene:DCAR_025407 transcript:KZM88332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASKYWLQRKTSKAEKGNQRNKSRNVKGNSSGTSSASTHLRAPDGSCVDVCTCKKGHFSPLKAWKPERITSPSDTQKYLGSEVLFQKLKNSIIQLKPSLNGRTKSSQQDIKGTTPALKNTSSAVKLRPSLDLNRSSEPPGITKTEIPLLSKKFQVINYYPSDIRIFKYNESVISSPNSSQGSTGQLVAGSLFLSPTSSISLYSTTKTDSPSIKPFERGVVSPFRRKFSTGGLFSAAQNHKKGKAESKKFLDEKEQVSVKNLDIVDYPIEDDILKQVRNDSITKVFPSSSPLSKLEHTFDIDETKFLIVDDPVAEQRLDSMQTDIRSDIPPSIYPMSPSMMKLIDDNDQMKILYVSDAENKMSEEQTQTNIQSKISASTTSLSQCTMPLTLDMTSPNKILEPIQIASQSQLLTSTSPLSKLAMKSNHDNDSTKNLQDDVTSEKRLLEPMQISVHAQISPSTSPLSQSATEFTHDDDHAKILYVVDDAPKNEMLTSIQTDVHVQNSPSSSPLSQYVVRSTHENEPIIILNESNAVDESEMLEPMHTDGHNKFSTSTTPSSNCTDDYSFSPTTPLFRSIVTYSRDNNQSKIMNVNDTTTENKILKPVQTDGHSRISPSTSPFSKLNIKIPSEKELAINPKVDVEITNKASEPIQTDDHMQVASSTFSLSRSNEKYNYDYGQANDFYIVDSVFKNTMLETPVDSLSKNEVFNSRDESVEKIDDRDYNLSSASPSTLPVSKSSIKLTCNTNPTRNLHMDDVIMVNAVCDPIRNDYQIQVSPSSSRISRSYEKLTHDNDQTKFSYMVDMPSKNEMLEPMQTDGYNEILPSPSYMPPHGVTSTHDNEREKFLYVNDAIVGSETLKVMQNDGDDQKISSTSPVPMPIVKLTHDNDKAPILHLNGATTMKNISELEQIDNFSQISPCTSFLSPSFMTLTHDNSQAKFLYENDTISEDENLELMQNDDYTKVLPSTSHLSQSVLKLTHDDDHTKNVHVHNAATQNEILKPVQIGGHGQISSSTSPLSNSVMKNNLDNDETEYLHLDDVTIKTKMLRPVQIDDHIEIFPPTFSLSPSEERLTNDTNQAEFLYMIDAESKNKQLKLMQIDGNIQNSPSTNSFSQNEVTITQNNEEKILHVNHTTDENEMLQLMQTNDQSQILISPSSQSRSAMRLAHNCDGKQILNMNGTIIEKEMLELMQNDGHDYNREQILHVNDATIEKERLELLQNDGHGQNVPSTSKVFQPTLKPTHEKDQTKSWHVDNIAVETDILEPVRIDDHSQISPSMSSSSQTKMIMKYTHDNDQMKILHLLDVATENNVSELVQTHNHSQSSQHSSSLSQSTEKHTHDNDKTKILHMVDASTTNDMLESNNHSQISASISPLSILNIELTHDDDHAKILHMVEIPENEMLESDQTDGHIQFSHSASHADDDESLESECSDIKDDDIYKDIDGRINKDFEPTGIYDSEDSQYAVVTNDINLENVDFENLNKVDTPIYMHDRNPKKDNQYTSEDKDGMSKFKRENIVDLQNKNDVPEKPEFIQEKVVKNDNGIKKFCENRRLQNREMTDNTNATSPNSIYNDNYYSEDSNYTCGEMDRFMTRSGDVVDISRVDSLKGKHYRNGRSNKQFPPEEDHLVVKRKFRRDITVELESENEVLDILEFRQGRVVEDGDGMEREIGGNTYTADHGFEYIDDYYSEDSKCGCPENEIVEFNSENDDFVNVRRVDIKGKHHRHLKKGKNFNFEGFSPASKCKFRRGRILDMQTQDDIPRWLNFSRGKVIENDIKTYVDRTRLKSREIGDNTNTTSPDSEYTESYYSEDSEYAQSEHEFIPQNEDVASINKADLLQAKHRKNSRKGRQFILQEDSPAYRCKFMKGRIMDLQNDNDVARRLAFRRVRGIENDDDTGTYVRRRKFKNSESVDNVSTTNHDSKKVVLKHQEMHEKKDELCLFNDVIEETVTMLSKNRKSKVKALVGAFETVISLQDRSLARITPH >KZM87955 pep chromosome:ASM162521v1:7:22459106:22459564:-1 gene:DCAR_025056 transcript:KZM87955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSRKWLLVERNDDYESLKYSDPREYFAGVRREWAHRLEESDQLRNDLIALGAKLPARDSLAIYPEYRFQGSWGEYRMKVIAGVEQIREENNRMLLRRCRMYMYQLARDSATASGREMSFEEECALLQNPNYISDFYMSDEDSTGANDSD >KZM86887 pep chromosome:ASM162521v1:7:8042248:8050905:-1 gene:DCAR_024021 transcript:KZM86887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESYGVCWCFRRKFKLGTAEPPQEIKELFEVYSENGIMSTDNLVKFMTEVQGEDEITGEHVESIMEEANHKHRHLHLHHRKTMTLETFFRYLISDTNSPLLPPRVHHDMTAPVSHYYIYTGHNSYLTGNQLSSNCSDAPIIDALHRGVRVIELDMWPNSTKDNVHILHGGTLTTPVELLKCLKSIKEHAFVASEYPVVLTLEDHLTPKLQAVVAKMVTETFGDLLFTSKSESFPEFPSPESLKKKIIISTKPPKEYLASDSVKVAQSDIQKGDNSFEEKGWGTEVSDMKSEFEGFDRQKYEVEDAESPLEDDPKDRNANTKQNADPEYKHLIAIRARKRKGGIKDWFHDDPSSATRISLREHNLEHAVINHGADVIRFTRRNLLRIFPKGSRVDSSNYNPLIGWMHGTQMVAFNMQGHGRPLWLMQGLFRANGGCGYVKKPEILLKDGPDNEVFDPKKKLQVKQTLKVKIFMGEGWHLDFKRTHFDLYSPPDFYVKIGIAGVPADSVMKKTKIIEDNWIPTWNEEFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPMSELKTGIRAVALHDEKGDKYKSVKLLARFEYV >KZM88900 pep chromosome:ASM162521v1:7:32154180:32154764:1 gene:DCAR_025975 transcript:KZM88900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSRLISQTLTLISKNPNPNLPKSIPNLPLFKTPPCSSRTVFSPRGYSTEAQFSPTERVSAIVDELSGLTLLEVSDLTDILVKKLDVQDIPVMGVMMPGMGFGTRGGGPKGGVAKAEEVAEKTAFDLKLEGGFDASVKIKIIKEVRACTDLGLKEAKDLVEKAPTLLKKGVTKEEGEKIIAKLKEIGAKVSME >KZM88112 pep chromosome:ASM162521v1:7:24614912:24616670:-1 gene:DCAR_025187 transcript:KZM88112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTDSGLGTNQTDPERSSILYGLPDDIAILCLARIPRKYHASLKCVSKRWRELVCGQEWQSYRQKHNLAETWIYALCRDKSENLSFYMLDPNMSKKGWKRVQNLPPRSLRRKGMGFDVLGSKIYLMGGCGWIEDATDEVYCYDAATNTWNEAPPLSTARCYFACEALDDKMYAIGGIGSGSSDPHSWDTFDSCTNCWKSHVDPNIVPDIEDSVVLDGKIYIRCGISAVSSHVYAVVYEPLNGTWQHVDSDMASGWRGPGVVVDGNFYVLDQSSGTRLMLWKKDTREWVAVGRLSPLLTRPPCQLVAIGKSIYVVGKGLSTVVFNVGNAAGSMDGLLCPDVGILSPSSATCALLSIPRVKTDAACRHNTMCKTGKFTLFQLQSEIPCDLKAISPFFFDSSDSCIIASDLATSE >KZM89016 pep chromosome:ASM162521v1:7:33104726:33106511:-1 gene:DCAR_026091 transcript:KZM89016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYKQFVEDNLGEIPQFIIYAVLEMVIICLLYVEAGLAFVSYEFARFFDLQIPCLLCSRIDHVLLNKDSHMFHNESFCESHKKAVSSLAYCHFHRKISDIKNMCEGCLLSFATDRDSDTDNPKPLVGMLHKDADVFVEDDHKIFVDAKLAEKGSINRCVCCGEPLSNKSSKKFVKNPSMKAATISSTPSSPRVPPLMKEEGRNLELPLSRFSELKFTTNAEPEVQEDATGNNTEQQQIKEDLKQFLPDAEDMPEDVIRTPSTVKGNKFFGIPLTDATQAAGTPKWANRLAKRVQLEKDLFAEPTDLNPMNEPESEALNRLKKQVRADRKSLVELSMELDEERSASAIAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEAVQIMKDILVKREGEIRILEADLETYKEKYGELKKAGSVECEVDADEDYQDMQSQSVSPSGFKSEFGSPSGHYGEHEHTTERSRDLRGGTAEASFDNEGDKSYLLGKLTNLEDKIQSASDEAAGSLSNVPDMVVAEDESRGRLSNSSP >KZM88976 pep chromosome:ASM162521v1:7:32718048:32718347:1 gene:DCAR_026051 transcript:KZM88976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRQVVVRSRGGSGRSNSTSMARGVRYAECQKNHAANIGGYAVDGCREFMANGDEGSAEALNCAACGCHRNFHRREVDEVVSDESFSTNSYSRTTTGA >KZM86478 pep chromosome:ASM162521v1:7:3172489:3175350:-1 gene:DCAR_023612 transcript:KZM86478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLSLVTLCLAVFGFCVDKTWGVGLLDPSKLPMFVDELPDMPRLSGFEVVNGVPVSKSLKIGMFRKKWKFHRDLPPTPVFAYGTSAKAATVPGPTIEAIHGVPVNVTWQNHLPRKHILPWDPTIPTAMTMVKTGIPAVVHLHGAIDEPESDGNAYAWFTPKFKERGSTWTKKTYHYSNAQHPGNLWYHDHAMGLTRVNLLAGLIGSYIIRHPAVEEPFRLPHGNQYDRPLVVFDRAFRRDGSLYMNSTGNNPSIHPQWQPEYFGDAIIVNGKAWPRMVVRRRKYRFRILNASNARFFRFYFTNGLKFIHVGSDSTYNQHPVTVNKLLVAPSEIADVVVDFSGSKSNSVVLANDAEYPYPSGDPVNKINSRVMKFLITRKKELDTSRVPAKLVHYPSPDASSASQTRFITMYEYTTKSDEPTHLNLNGKSPDDPVTEQPKVGTSEIWYVINLTDDNHPLHIHLGLFSVLDQTELIKLDEFKECMMKLKDATKCHVDKYARGRNTAVVAHEKGWKNVYKMLPGYVTKILVRFSYVHTNASYPFDATSEPGYVYHCHILDHEDNVMMRPLKLVN >KZM87969 pep chromosome:ASM162521v1:7:22648170:22662340:-1 gene:DCAR_025070 transcript:KZM87969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGVCKKVSRTAKEMLDLPDDIVIQFFSHLNYRDRAYLATTCSRLRLLGRSQYLWQSLDLRMPEFDVKVAKLFASRCADLRKVQFHGGISAHALIELKARELKEISGENCSNISDDTLKLIASNYTKLESLQLGWDIFGRVTSDAITEVAGCCTKLQKLRLSGIEDIGGVAVNALARNCPHLTEIGFIHCLNVDMKTLGNVLSLRFLSVAGSSNIDWLSASKYLIGLPNLKCLDVSRTSICYDALTRLLSSLENLTILCALGCPVLDHDPRTDKHKSIKDKLLLTLSTNIFEGLSSLFVDTTMEDKNIFSSWRKITMKNQNLNEVMTWLEWILSQSLLWFAKSNQEGMHRYWSSQGVALLLCLTQSTQADIQEMAVAALSSFATADYGYARAVAQEGGILLLLNLAKSWREGLQIKAAVAIKNLSYCREVKLIVEEVGGIEILANLARSKNRWLVKEAVAVLRNLSTGEIHKDAYVGVVQVLVNVIKKWTTDGDGILKCAAGALANLVADNKYSMLVVEVGGLTALVGLAQMCTCKGVQKQVAQALANLASHGDTNACNAAIGEEFGAVEVLVKFLYSPSNGVREVAVIAVSNLCFYARNREAIAAGGGVDALVSLADTCTYDAQASNDLQEKVVRALREFCVSESDSIRIGTRGGIASLLALGLSMAKGVHESAAEALWTLSFHHINAMQIVDEEGLPYIAHHCTSSLSKMVRFVSALTLTYIFDTRMDEFCLSGGSTGRNLKRKIDAFRSSAMKSIDDFIFAFSDPQLLSAVATSSSPALLTEVALSAYIVGTAHLRCSGAEIERFTYMLRSSCMEIRTCAAFALLQFTFRGNLHAAYHASLLQNTRARAALRAAAASATGSVQSKIYAKIVLRNLEHHDTKTAENDV >KZM87872 pep chromosome:ASM162521v1:7:21507897:21510228:1 gene:DCAR_024973 transcript:KZM87872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLMVNELESVKKYLYVLMLKAKRFPGMAWRSMWKVGKEDPRRVIHSLKVGLSLTLVSLLYLMEPLFKGIGSNAIWAVMTVVVVLEYTAGATLCKGLNRGLGTLAAGSLAFLMEFIATEYGRVFRAIFIGVAVFVIGAAATYLRFLPYIKKNYDYGVVIFLLTFNLITVSSYRVDNVLKIAHDRFYTIAIGCGICLLMSLLVFPNWSGEDLHNSSVSKLEGLAMSIEACVSKYFKDHEIEPDISKDNTEEDPIYLGYKAVVDSKAYDETLALHASWEPRHSRHCYRYPWQQYVKLGAVLRSFGYTVVALHGCLETEIQTPRSVRALFKDPCTRLAGEVSKALRELADSIRNRRQCSPEVLSDHLHEALQDLNAALKSQPRLFIGSKTNQTSNMLALAAATARQTIDKHLSSVKTDSSALNEWKLSKRVSEKTKEAERKALRPTLSKIAITSLEFSEALPFAAFASLLVETVARLDIVIEEVEELGRMASFKEFDPDDEITVTCENPKVEAASTYNNNLPSHAAD >KZM89315 pep chromosome:ASM162521v1:7:35904707:35907013:-1 gene:DCAR_026390 transcript:KZM89315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDCFSKKPCLEESPSFKNRDSKRLSLKRTVSFKKRIQCDGDHEFMHHINSPTDRPKTPILFSPGPVSGLDAAALKVQKVYKSYRTRRNLADCAVVVEELWWKALDFAALERSSVSFFSIEKPESAVSRWSRARTRVAKVGKGLCKDEKATKLDLKHWLEAIDPRHRYGHNLSLYYDAWFISKSWQPFFYWLDVGDGKEINLEKCSRTVLQKQCIRYLGPIERAEFEVIIENGKLLYKQSGKSLETVNGCKYIFVLSTSKILYVGAKKKGQFQHSSFLSGGAATAAGRLVAHNGVLEAIWPYSGHYHPTEENFMEFISFLEDHRVDLSNVKKFAVDEDVPPLKVIANDRPKSDVVKALCSDNIPSANEPSADSINVETSRSTVKEPHFELRRALSSKWTTGTGPRIGCVREYPAELQFQALEQVNLSPRVLMAGSSTACCPIPSPRPYPRIHLSPKVSNIGLTSPRITLHKSN >KZM87544 pep chromosome:ASM162521v1:7:18032408:18033032:-1 gene:DCAR_024677 transcript:KZM87544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVEHYYSTFDNNRSNLGNLYQEASMLTFEGQKIQGSVNIVAKLTSLPFQQCKHSISTVDCQPSGPAGDHLDV >KZM86150 pep chromosome:ASM162521v1:7:127697:136014:1 gene:DCAR_023284 transcript:KZM86150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVARNPIEQEFTIASLSHLPSSSSSSSPALSPIIQFFSDSGVSELRFEQGSEPLNFNLCVSQVFKLGPTESICVCQGSETDKEKIYSRGLINIQFRNEEESRNFHFAFKEFKKEFITQGLNLPNGAQLDSKSSYYAAVIENRVDFAGQVVVDVGAGSGILSLFAAQAGEKHVYAVEASEMADYARKLIAENPLLSQKIKLVVKGKVEDVECPEKADILISEPMGKLSNRMVCLTLLINERMLESYVIARDRFLFSNGKMFPTLGRIHMAPFSDEYVYIEIANKPLFWQQQNYYGIDLTALHGTAFHGYFSQEEDLYKINVPLKFTSTVGTRIHRLACWFDVLFDGSTVQRWLTTAPGAPTTHWYQLRCVLSQPFFVMPGQEVVGHLHMIAYSAQSYTINLTMSAKMWGPGAEQGGILQTSSFKFDLKKSYYRMSQMQAYSIA >KZM87060 pep chromosome:ASM162521v1:7:10573859:10577221:-1 gene:DCAR_024194 transcript:KZM87060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEQNLYIAYTYESLEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKRNEHMPEEMFCHEFHLVDLRVMDNCLKVSENVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGIKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILSFEDKKLPIQHIPGPEGVRGRNSDNTLIKEKLDWAPTMKLKDGLNITYFWIKEQIEKEKAKGGDLSVYGSSKVVGTQAPVQLGSLRAADGKE >KZM86480 pep chromosome:ASM162521v1:7:3209499:3212755:1 gene:DCAR_023614 transcript:KZM86480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADKKWLFTLFITAFLSLILLLSILSSFSSHTPYPLILRRGLNYPPAFAYYISGSSGDADRVFRLLLAVYHPRNRYLLHLGSESSDFERRKLGYLVRRVGVMRAFGNVDVVGKPDAMTYMGSSNIAAILRGASILLKVDGGWSWFVYLTALDYPLLTQDGSPWVTLSRSFLEYCVLGWDNLPRTLLMFSTNVVLSQEVYFHSVICNSPEFRNTTVNSDLRYMVWDDPPKMEPVYLNISDYKQMVESGAAFARQFTKDEAVLDMIDKNILNRGSNRVTPGAWCTGRSSWFSDPCSQWGDVNILKPEIHATKFKESIENLLDDLESQSNQCIIQQSK >KZM87928 pep chromosome:ASM162521v1:7:22128911:22129879:-1 gene:DCAR_025029 transcript:KZM87928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPPPPLLPFINPNQQINLLSTTNPPPYSSPLSSSSTSTSIIIVIIIIASAIIISASLYLLLRFLSRRFHSTATPPPIFHRPNPSFRTPKTHATSSLPLFTFNSLPAKISGGDCAVCLSKFEPNDQLRLLPLCCHAFHAECIDTWLVSNLTCPLCRSTVHPSQSDALDKILPDRTNRSNSFRVEIGSVSRRRSDDEVSGDAVRSYTIGSFEYVVDEEFEVPIGDARTHRRDVSDKDFTGIEVTVPVAPGDEVATEVAGERGSWLRDYVDRIASVSSRSFRSSGRFFGGSSRRSNAVVVDDLEANNRFGEEISELFQWLSRV >KZM87068 pep chromosome:ASM162521v1:7:10711047:10712017:-1 gene:DCAR_024202 transcript:KZM87068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVITHEEGLNLKETELRLGLPGSDESSEKESISISSSRNKRAVPDSVEDRESVSDTKHATAPPAKAQVVGWPPIRSYRKNCFQPKKVETETGSYVKVSMDGAPYLRKVDLKVYKCYPELLEALENMFKLTIGEYSEREGYKGSEYAPTYEDKDGDWMLVGDVPWEMFMSTCKRLRIMKGSEARGLGHGA >KZM86743 pep chromosome:ASM162521v1:7:6393392:6403157:1 gene:DCAR_023877 transcript:KZM86743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSVSRSGFVLFLVLVFVCNCRNGLGSSDEAYVTLLYGDEFVLGVRVLGKSIKDTKSTNKDMVVLVSDGVSDYSKKLLQADGWIVDHISLLANPNQVRPTRFWGVYTKLKIFNMTKYRKVVYLDADTIVVQSIEDLFKCGKFCANLKHSERLNSGVMVVEPSEAVFNDMMSKVKTLASYTGGDQGFLNSYYVGFESARVFNPFLPDDVIKSRPVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNVRDQLEETLPGTGGGRNSNETLLVQFLFLLPILTWIFLYYKSFLQTRSLCDHLRQLYFKFRSGGALAYTTVSSSTSSSNKEFSNGAHSKQVTPWTGLLLMYEWTFTIFLLVFGGYLHLVYQWGKIVANQGGSVSSRPESADYSTGKGHQRQTSCDVAMWYYGLGMAILAVAAPSLPCVFGITALFLRLGLMVVGAIILASFMTYASEHLAIRSFIRGLEDRDNSRSGRSICLVC >KZM86503 pep chromosome:ASM162521v1:7:3538835:3539158:-1 gene:DCAR_023637 transcript:KZM86503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEITLTFTILSLVLISTISVQACTNNTDCASMCQIGLPACLNGKCVCFPPTAEVETTPLTQVLTPEECISDCDCKIQCQFGHKICHKNICGCIDHPKYTPPICTNS >KZM88139 pep chromosome:ASM162521v1:7:24879248:24882877:-1 gene:DCAR_025214 transcript:KZM88139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLVSKPRKALSLVSLAARCMSNIPENTVYGGPKAQTPNQRVTLTQLRQKHRKGEPISMVTAYDYPSAVHLDTAGIDIALVGDSAAMVVHGHDTTLPITVDQMLVHCRAVARGAKRPLLVGDLPFGSYESSTQQAVDTAVRMLKEGEMDAIKLEGGSPSRITAAKAIVDAGIAVMGHVGLTPQAISVLGGFRPQGKNIVSAVKVVETAMALQEAGCFSVVLECVPPPVAAAATSALKIFTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYGQIGDAINKALTEYKEEVSKGLFPGPAHSPYKISSDDVNGFLKELQKLGLDEAASAASEAAEKMEVTK >KZM87076 pep chromosome:ASM162521v1:7:10771275:10771520:1 gene:DCAR_024210 transcript:KZM87076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKWTSPTIVVWVDPETQLTRLMERDGTSMEDAKSRIDAQMPLDVKKTKADIVIDNTGSFDWRIPHNKTSIVHTPRYNEIR >KZM86907 pep chromosome:ASM162521v1:7:8268259:8270962:1 gene:DCAR_024041 transcript:KZM86907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGGSTCPSPRGGVTAHRRRVVDLIPDGEKTPTTELGDDACCTSHHKNFAGALLNSNNIVNRIFHFSNSMKSRKNMGRTILGGLILLLVVSTLIKISFVLSSIHYNLDIISGNNNNGRRLNRLFIPNAWAPPLHQTQLVMAAQEDEFSSMPKRVPENYPPPNIWTKPYSEDYYQCIGRPKIQIRSPTNGYLLINANGGLNQMRTGICDMVAIAKLMNATLVLPSLDHESFWSDPSGFKDIFDWNHFTEVLKHDIDVVESLPPQYAAITPYQKTPISWSKASYYRQEIASLLKEHKVVQFTHTDSRLANNGLPGSIQRLRCRANYEATRYTEEIEELGKKLVNRLKSEGQPYVALHLRYEKDMLAFTGCNHNHTVDEVEELRMMRYNVSHWKEKEIDGNEKRLQGGCPMSPREAALFLKAMGYPASTTIYIVAGEIYGRSSMDAFKSEFPNVFTHNTLATKQELEPFQQYQNRLAALDYIVALESDVFIYTYDGNMAKAVQGHRRFQGFRKTINPDRLKFVRAIDELDAGTISWEEFSSQVKRLHADRLGAPYLRLAGETPKEEENFYANPLPGCVCNRSH >KZM87075 pep chromosome:ASM162521v1:7:10764094:10765605:-1 gene:DCAR_024209 transcript:KZM87075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVGLTGGIGSGKSTVSNLFKSHSIPVVDADLVARDVLKKGTGGWKKVVEAFGEDILQANGEVDRPKLGQIVFTDQGKRQVLNRLLAPYISQGIFQEIIKLWLKGYKVIVLDIPLLFEVKMDKWTSPTIVVWVDPETQLTRLMERDGTSMEDAKSRIDAQMPLDVKKTKADIVIDNTGSFEELKEHFLRVLKQVTKPLTWTEFWLSRQGAFLAFGSIFIGVLVCRKAL >KZM89235 pep chromosome:ASM162521v1:7:35212121:35213467:-1 gene:DCAR_026310 transcript:KZM89235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAENTLPASKKRTAGRQITKETLGVDDDEDNAEQETGTFKKASDDVLASRRIVKVRRQQPSAATAAASNPFAGIRLVPPTNSSDTPAVVTSAGGNVNSVSEDTGVKNGVEQDSGKETADGDKKSESETVVVKNGVNQDSEKGKVEEAGTESIVEDEKPKSSEGKVDDKNSECSAGEPKTESKVAKEIDNTVTETTNAESTGVKTDGVEDTNNEAKTNAEDNKSENEKRDNGESVNVQKDGANPFGSFHQLSSSQNAFTGVAGSGFSSSTFSFGPISKDGSAVDSGFGKSSFLSNLGASVANKGEGSRIMQEVTVETGEENEKSVFNADSVLFEFLDGGWKERGKGEIKVNVSSTGTGKARLVMRARGNYRLILNAGLYSEMKLTAMEKKGVTFACMNSNGEGKKDGLSTFALKFKDPSIAEEFRAVVTEHKGKTTTAGLKTPENSP >KZM88680 pep chromosome:ASM162521v1:7:30345704:30346045:-1 gene:DCAR_025755 transcript:KZM88680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNPSTNNQAAAAEKVETVDYRTSAGGEQQQKPVQVTHQPHPPAKKSNTSGGVLENAAASATATLQSARKAISNLPS >KZM87528 pep chromosome:ASM162521v1:7:17780339:17786830:-1 gene:DCAR_024662 transcript:KZM87528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSWLCSYVDEFRASANQYLSNFEPLVLLVFPIFSLVLAQYVRFLLGVVNDKGLNATVLGFFMSFIKLVPGVQQYIDAEKQKVVDQLQSSDKSKRDGWASELPKTGLGFGVIDRLKDAKANDVPWQGKCSGTVYIGGTESEGHFSLINEACAMFAHTNPLHLDVFQSVVRFESEVVAMTAALLGSKEICSGGKICGNMTSGGTESILMAVKSSRDYMKAKKGITSPEMIIPVSAHSAYDKAAQYFNIKLWRVPVNKEFQADSRAIKRFINKNTIMIVASAPGFPHGIIDPIEELGELASKFGICLHVDLCLGGFVLPFARKLGYPIPPFDFTVQGVTSISADVHKYGLAPKGTSVVLYRNHDIRKCQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAALMSLGMEGYLEHTREIMEASKRLQKGLKEISELYIIGRPDMTVVAFGSNNLDIFEVNDIMSSKGWHLNALQRPNSIHICITLQHVPVIDGFLKDLKDSVQTVKKNPGPVSGGLAPIYGAAGKMPDRGMVGDLLVDFMDSSC >KZM88869 pep chromosome:ASM162521v1:7:31911992:31913144:1 gene:DCAR_025944 transcript:KZM88869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMHRCSSNHHGQCTCGLFHTSNQSTNSFSMLFNNNHKPYDDYCPFAASSSYSSAVDCTLSLGTPSTRLSNDNYYNNNNNSNNNSNAKAARSSSYMPNFCWDILQPKNNSSKPSRGSNSGSNGSPSIDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATAAAATTSSGAGMDSNSWIHHQYSQSSNQKIPCLSPTSFGNEFRFVDHEDDRDSETGIPFLSWRLNVTDRPGLVHDFTR >KZM87080 pep chromosome:ASM162521v1:7:10803994:10805179:1 gene:DCAR_024214 transcript:KZM87080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSVFKCPKRTHDPPVSSKKRKRIDVDSTLMTSNADSLDAHAFSSCFGCARPTYSTPSSPPHAECRSETSVHGTQTTAGAAYTYNGGLSIGECPATTTTSMPNKMKHSKASKWQREKRRKLLASDEACNVCPEVKSFSNKDNNGKRSRQYRWQRHQKRRLESSQEASNLSQCPKQNTNGDLLTDPKKVGYEYSMSEFPIDIGFWGRGVGNTLAYIHMVYITNAGYVLLLFRLPMHA >KZM87164 pep chromosome:ASM162521v1:7:11896820:11907925:1 gene:DCAR_024298 transcript:KZM87164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQSKTLTKRKKPRRVSRVDSEQLDRIDSLPWHSSLPEFTSNDDTFSIVAGTNELDGGFLSLEEIDESAYASEIAKFEGGGGFKNEVKPKKRKASEGDNVGGDVNEGFADVSNEDESGGEDEIEDKEVKITNKKTKKRNRKKKKVEEKKEIEDVVAVTDVPEEKDTFDDGEFYAWNELRLHPLIMKSIYRLKFKEPTPIQKACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKADNISEENGDAGSKIAARGFMRALIITPTRELALQVHDHLKEVAKDTNIRVVPIVGGMSTQKQERLLKARPEIVVGTPGRLWELMSGGQIHLVEMHSLSFFVLDEADRMIENGHFHELQSIIDMLPGVMESAEGRSSHTQSCVTVSSFQRKKRQTLVFSATIALSADFRKKLKRGSVKSKLNDDLNSIENLSQRAGMRPTAAIFDLTNASIMAGRLEESFIECREEDKDAYLYYILAVHGHGQTIVFCTSISALRHISSILLILGVNVYTLHGDMQQRARLKAIDRFRENKDGILVATDIAARGIDIPGVRTVVHYQLPHSAEVYVHRSGRTARASADGCSIGLISPNDTTKFAAMCKSFSKESFKRFPVELSYMPEVLKRMSIARQIDKVMRKESQEKASKGWLQRNAESVEMVVEEDDSEEERVNICRQKKATSVHLKKLQQELKVLLSRPLQPKTFSHRYLAGAGISSLLQDQFEELARHKQDDTKNSGHGNSKMVVIGQSCVEPLEALRSSGHEVHMDFKGGAERRKRLENLRKKRKDEKRRKHDQKRKEKKRLKGLE >KZM87740 pep chromosome:ASM162521v1:7:20002425:20003121:-1 gene:DCAR_024841 transcript:KZM87740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLVQIEGVNTKEEVSWYQGKRVAYIYKAKVKVSGSHYRCIWGKVIRPHGNTGIVRAKFTSNLPPKSMGQGYDVPQQYIRLPAFRNFDVNSN >KZM89270 pep chromosome:ASM162521v1:7:35537349:35539685:1 gene:DCAR_026345 transcript:KZM89270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIRYTYSGLEIAQQTPDSDLQALEQHIQTPKLDPQKGNQHMYARHLQESSDSKPPVTFKNFYRDGVPNLHSKVTPLFEVGSVHIDNNQSNEFSSIEIYGTIVLIDDHGNEFYIFDCKHRNDAKSHVLDPKTPTSLILQNFQPRGVPSKFCLLFQLKRRLCGAAEEDIVIFDDYKYIDCTDEVLYDKPQVHVFDSDKGGSIITVTCTIFQCALLAEVGIVVEKTGKCGSGVDESGVAEITGSIVAVTRGLSDDMVPNVRTLLAVSCEKFNFGNYTPLSSLAVPAYSSVEFRGQLSVNRQDLSSVLTFEPCNEINIFHEKQIGRRPYRIRMIVFWKHGATSLPEGNVLEYYAKASETPFTDQRPTSKILETENVVVRQYFKDLKWDRDDFFPTELLEIFSISVCAYDQGDSAFSLRGKVKCYDCRGCLSIFDSDTGGIFTSTSSDIVKLVPDLGRGFVGSHLGIVTELMDNQGREISFGSATYDFDTIKVWRDKRICSVIRGQNGFAAVHYTIFSDAVLVKLSFEAMYNFQSSVAIGCKVHGSINTWYINFNYSTHYAKKYYRSTLFEKEECDAVNLAGGEKIPLSKSVVAVPVYGLLVVEAIVYAQNGKHREELKFKKTFSPAEDQRVIAESRLGKSFGLFMDVEWVRNIPLI >KZM88026 pep chromosome:ASM162521v1:7:23546249:23546455:-1 gene:DCAR_031511 transcript:KZM88026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIMFTQLDHILEEERLEAERIAAIRRQEWLVRFAGMMAGKLKQQKEEEAGKGKVKAGNDEAGPSEV >KZM89273 pep chromosome:ASM162521v1:7:35569331:35570735:-1 gene:DCAR_026348 transcript:KZM89273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDSGIHAKGTAAGMSVQARGICVNVVLRLVCLISTVAALSLMVTAKQDSTISVFGLTVPVRSKWSFSDSFQYLVGVTAAVAFHSLVQLLYNMSMILRKSLVISSRKYLWLLFAVDQVLAYALMSAGSAASGVTNLNRTGIRHSALPNFCKPLSRFCNRVAVSIGLTFFSWLLLATSTVADVVWLFKH >KZM88293 pep chromosome:ASM162521v1:7:26532046:26534012:1 gene:DCAR_025368 transcript:KZM88293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFIVYPWLIVIFVAADIGAFNCHDNSVTEEQTLLGCGWWTRHNTSQMHYCSWEGISCNAAGNVTSIKLRRHVGGELKALNFASLPNLESLDLRNCGLKGNIPYQVGMLSKATNLVLSRNSLRGNLPESLTNLTQLTWLDVSSNYLSGSIPLNMNKNLIYLNLGANNFGGLIPSSLGYLPRLQVLQLDRNYFTGAIPSSFRDLNLTRLDLSRNNLSGFIPSALGNLAYLRYLNLSRNNLSGTIPSCLCNFCQTSAIDLSNNSLNGSRTCSRVFIHSTVTNKNDSTTTNNKDLIVLCAAVSVSVFFIVLLLGFVFIRLYKSKGVQSKIEIRKNGDFFSIWDYNGTIAYEDLIGATNNFDIRNCIGTGGYGSVYAAALPGGKVVALKKLHQMEREDPDFEESFRNEAKVLSNIRHKNIIKLYGFCLHDRFMFLVYEYMERGSLFCVLRDEIGAVHLSWERRINIVKSVAYALSYMHHDCNPSIIHRDISSNNILLNSKLEGFVGDFGAARLLPDSSNYYTAAAGTLGYMAPELAYTMVATQNCDVYSFGIVALETIMGRHPGELLSSLSSFKSGHDLMLKDVLDSRLPRPTDVLVERHIALVLELALGCLSSNPKSRPTMQRVSMQFQK >KZM86533 pep chromosome:ASM162521v1:7:3869427:3874007:-1 gene:DCAR_023667 transcript:KZM86533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLLLQATITPSISFSSSVSNSVKLSRVGFNPTRNARRVGPIQAKIREIFMPALSSTMTEGKIVSWIKSEGDLLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGETAPVGAPIGLLAESEAEIEEAKAKAKNSGGPAKISEVPATKSEPAPVSAPVAEVSAPPADGPRKIVLTPFAKKLAKQHKVDVNSVVGSGPFGRITPGDIEAAAGIVSKSVSVAAAPVASPVAAAAEPVKAAGKSSFAEIPGSTVVPFTTMQAAVSKNMVESLNVPTFRVGYPVSTDALDALYEKVKPKGVTMTALLAKAAAMALAQHPVVNSSCKDGKTFTYNSSINIAVAVAINGGLITPVLPDADKLDLYLLSQKWKELVEKARAKTLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKNKMLVNVTADHRVIYGADLAAFLQTFAKIIENPDSLTL >KZM86342 pep chromosome:ASM162521v1:7:1847696:1849808:1 gene:DCAR_023476 transcript:KZM86342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVQMSFSAMNIFYKLAAMDGMNLNILVAYRFLFAAAFMLPLALFVEGNKLMKLTWTILSQTFVSGLVGASLAQTFYLRGLAMSSATFAMATTNLVPVMTFILAVSLRSEKLGWDRAAGKVKVLGTIVGVTGAMILTFYKGPCIDIWNTHIDLLKNGASGVAFTMAAWCVQMRGPIFVSAFNPLTVVVGVFAASLLLDEKLHLGSVLGGVIIICGLYAVLWGKSNEMRKVDQLHALPVKSCNNQTKPVTG >KZM87844 pep chromosome:ASM162521v1:7:21096169:21098299:-1 gene:DCAR_024945 transcript:KZM87844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPDLFHHVFDYIKDMLMEDEEDVGMFREEDIKAKEQSFKEVLVREDPDFTGTSQARQVNTSAAAVLETLVESSPTQNPGNILAPPTVLVASSNVEVDYSLKDSKGKKHCHEEASTAEYLEETQSKKQVTRYSEEASNLSEILDKVTLCPPEEDIASHTEESRLQESVAGGSSGKKVQDQRKHVVGLKRLLHQCALAASSGDDLAAKEILNSIRLQSSPHGDASQRLGSSEAGDRRHDCGMPVGTRAGRLILGVPSLVGVPGRPPSWVRPS >KZM87399 pep chromosome:ASM162521v1:7:15926105:15928485:1 gene:DCAR_024533 transcript:KZM87399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVNAWGNTPLITIDPEMHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENLCRSRALQAYRLDSAKWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTSNGKKISATSIYFESLPYKVDPKTGYIDYDKLEEKALDFRPKMLICGGSSYPRDWDYKRFREVADKCGALLLCDMAHISGLVAAQEAADPFEYCDIVTTTTHKSLRGPRSGMIFYRKGPKPAKKGQPEGAVYDFEDRINFAVFPSLQGGPHNHQIGALAVGLKQAASPAFKAYAKQVRANAVAVGKYLMSKDYTIVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCSITLNKNAVFGDNSALSPGGVRVGTPAMTSRGLLEKDFEQIAEFLHRAVTVTLKIQKEHGKLLKDFNKGLDNNKEIEQLKTDVEKFASSFDMPGFSVADMKYKD >KZM86886 pep chromosome:ASM162521v1:7:8040780:8041645:1 gene:DCAR_024020 transcript:KZM86886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQLKHLHPFTLHQLRSSGPPTHLRASGFRVEAVSGKELIQSGKVRAVEAKEAAKLMKTEEYMMLDIRPEWEREKARVTGSLHAPLFVQDMDNSIVTLLKKWVHFGYIGLWTGQYFTMINPGFLAQVEGLVSDKDTKLLVSCGEGLRSLMAVSKLYGAGYKNLGWLVGGFNRSVDGDFADIQGTEKLQYATIGGVSYYFLQILILLQAVGKDN >KZM88557 pep chromosome:ASM162521v1:7:29180255:29182579:-1 gene:DCAR_025632 transcript:KZM88557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRAGMKAILQPWRILASRPASTKSTANVSSAVNSMILQSLKEHYFEVSKMTPPPKVNPPTPFKLVKGSLQSSGPVLTRSFGNEEISISVMRLRNIIAAFEDDGDDVTSQLFLHVDVSKPGQSHSLLFLCGLYPDAIGIQSISTRAKSEDSGFAMVPTKYNGPTFQDLDDKMKNAIHGYLEERGINESLFSFLQAWLYVKDHRQLMEWFKSVGTFITEKKEA >KZM89300 pep chromosome:ASM162521v1:7:35767323:35777272:-1 gene:DCAR_026375 transcript:KZM89300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPSGKSGRGGRGGGSSGAKRKLQSNFHSASLNRPSGRRPSAGAAAGGSSASRNSRKTTTTPPSPSAAAPSAAVEESFSLVTGNPLDFAMIIRLTPDLVDEIKRVEVQGGSARIKFDANAKNTSGNVIDVGGKDFRFTWSREMGDLCDIYEERQSGEDGNGLLVESGCAWRKLNVQRVLDESTKNHVKMRSEEAERKSKSRQAIILDHGNPSMKNQMKALAAAEANTWKMPFKQKIEPPYKKRKSEPPPGPPKSAHKHGFSLSSSKGRMSRSPLPSTPEQPPASASPLGPSSITRGYASVEDTMPTQATSKEKASSSEKGTPSRVASTALLDKSARKGSLVVKPTDLRSMLISFLTENPRGMSLKALEKSVGEYFPNSARQIEPIIRKIATYQTPGRYILKSEAELESLKNPVPGSSPENNQQAPVPGNNRGDTSDPKNSASPKSRAQIDEPVNLNCEPGEVTVSEKNDLPSPDHYVEEKVPDNSEGHVATSTDSGSDSDSESDSSDSESDSGSNSRGRSKSKSPVGSASGSSSDSESDASSNSKEGSDEEVDIMTSDDDKEPKDNLQAHRPESHVSPLPWRPDGLMVQNIPDEMEDFHASEVVEIMENSPVYAQKSEIDASNGVVSNKEGEKHAPVIKASPGNSYVHPESRVYTENLHRGRDKTARDDLRHEESDRYQRKSEGKSKRRSDDKHIDGYAVHSDKVKAGSATQAPMSEDTSFIFSGSPGKCSPDSYKSLHPQITPKAVKERTDSGVHRSYNQSHPGKSISDSQLSGPRPDDISGRTKAPSGIYAENLGSNAKSTERSLQTPEAIPLHKEKIKRDIQSEDGYNNEKRPPKNPREGAVDKNLTPTDSHSRKRGELPGKIREVGSFPNSHAGYPSKGGNRSDMDRSPIVNERGPYLRREPSELELGELRDPLPEETPGSTKQFDRKGSFKQSENKVTSFDYWNSDLGKGRPAGRTGVDPMKPSPPNSDFEVVGNLKGSSKKRSPGHYEDLAKAQHKVVQSHPSHLARVNHAEFRSQPTDPADVNTKSKWNELVACQGIGPEGSGDTQRKVLVLTEQHDAVRGRPHSAKGSKRRKTDISADLGDKCKDPRLIDDHAGGKKTREFFSNDNSFEYSKYEKDEPELKGPIKDHLQYKEYVQEYQEKYDSYLSVNKILESLRNEFLQYERDLEAAKGRDLAKCKSIEEQIKKSYSQCGARHKRLKNVFIVLHKELERLKEMIKDYANSYTRD >KZM89347 pep chromosome:ASM162521v1:7:36160928:36165523:1 gene:DCAR_026422 transcript:KZM89347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRLKQQQEAALMQQQAALLQQHSLYHPGFLAPPPPQIEPIPSGNLPPGFDPTTCRSVYVGNIHTQVTEPLLQEVFVSTGPVEGCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYAGGQREDTSSHYNIFVGDLSPEVTDATLYACFSVYQSCSDARVMWDQKTGRSRGFGFVSFKNQADAQSAINDLTGKWLGNKQIRCNWATKGAGTNDDRQTLDNKSVVELTSGSSEDGKEGVNSDAPENNPQYTTVYVGNLSPEARVNQADLHRHFHALNAGTIEEVRIQRDKGFGFVRYSNHSEAALAIQMGNNHSILYGKIIKCLWGSKPTPPGTSSNPLPPPAPAPMQGLSPSDLLAYERQIAMSKMGGVHPLLHPQMQLPIKQGSMGMGAAGASQAIYDGGFQNAAAAHQLMYYQ >KZM86415 pep chromosome:ASM162521v1:7:2618507:2620260:1 gene:DCAR_023549 transcript:KZM86415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRILRLSSSPSLHHCGRAFSTALNHIPVVFPDTINRGSKTCSKRLIGPFLRNFSSSPEVSDEYLQSSVTEDLICSVKSLRRDVESLRTEVILLSAAVSSIQKCNARSVAGDKDDGNDFVGDSNEKETAKKSDFSEAMATHFNARFVINPEKKVVENMSDFSCNRDEKEKKTEKISDFSEAMATHFNTKIVISQDDKAAEKMSDASEAIRIRDTGDNNEKLAEKTSGFSGEMPKSDIEGNNENTTENLQKSDAEEYNNDTVSNCKEKDPLLKKIESEISHAEEFSRVSSQGVNFPDDFPFNVENDIPGSDSITLKRRYKSKDIIVQAGKPSVFTPITAEYYQFHKNISFRMPIHVEIRCANSEENDIAFDCAAYGTGYSIESVYSGECVYTNKLDFSELNDSLKTEFRKYLENRGITPSAANIIFGYMLDKANREKLRSLYNLKKLLEAGKTS >KZM87020 pep chromosome:ASM162521v1:7:10211080:10212352:-1 gene:DCAR_024154 transcript:KZM87020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEELEQLEVLMANEEDGPLAVGLAANFLNMAGFQLAPLVPANQEPDLQDIVYEDDLDAEDGACHDISWRYGWRLAGVSFGSPPRESSTASLAAILASLWRFEARRQATWLRDYQVYLASTLHVSSVFEGSGGYSAAESQSNWYSGKIITNNSSLCSITAAFSLLILFLHTMMMMMMMMIAFKFLLKKEANRWRPVVGFKFSCFLV >KZM88116 pep chromosome:ASM162521v1:7:24701776:24706464:-1 gene:DCAR_025191 transcript:KZM88116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSPPLSTRRSLLPSPALNFGPADQLTFRKAKLISNSARSLSRVKCKDEKDEDHDVVSVSDTTHHQERDFSGTPYVPVYVMLPLEIVNMECELVNADDLLNQLKILKSQNVDGVMVDCWWGIVEAHTPQQYKWNGYKKLFQIVRDLNLKIQAVMSFHECGGNVGDNVHIPLPQWVTEIGQGNPDIYFRNREGTSNSECLTWGVDKERVLRGRTAVEVYFDYMRSFRVEFDEFFAGGIITEIEIGLGPCGELRYPSYPAKHGWRYPGIGEFQCYDQYLMKSLEQTAEARKLAFWGKGPENAGSYNSSPHETRFFCDGGDYDSYYGRFFLNWYSQVLVDHGDRVLALANLAFEGTPIAAKLSGIHWWYKTASHAAELTAGFYNPCNRDGYAPIASMLKKHGAALNFTCVELRTLDQHEDFPEALADPEGLVWQVLNAAWDVDIPVASENALSCHDREGYNKILENAKPLNDPYGRHLSAFTYLRLSPLLLEKHNLTEFERFVRGMHGEAVLDVQSATD >KZM87313 pep chromosome:ASM162521v1:7:14181783:14184313:-1 gene:DCAR_024447 transcript:KZM87313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVISFVVLFLFVSCSVSPVFSSANNDLRQANQTFRPHEELQKLKILMKINKPSVKTIQSPDGDLIECVPAHQQPAFDHPQLKGQKPVDPPERPKGHNPKGTLSDVYQLWHMSGEACPEGTVPIRRTTESDLLRAGSFEKFGRKFTKPVQRDSTSGGHEHAVGYVTGEEYYGAKASINVWAPKVASQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTSDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSDYNGGQFDISILVWKDPKHGNWWLEFGSGVLVGYWPSFLFTHLRDHAGMIQYGGEVVNSRTSGFHTPTQMGSGYFAGEGFGKASYFRNLQVVDWDNNLVPSSNLRVLADNPNCYDIQGGTNTAWGTYFYYGGPGRNSKCP >KZM89258 pep chromosome:ASM162521v1:7:35436355:35439633:-1 gene:DCAR_026333 transcript:KZM89258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKAGIFRHGGGTSKNYMEVEEGDEAHGGGAGSNTKREDITEISSENSAQVRSYDDINVAVEHEDDDVEDDNKNKKRKKYHRHTLDQIREMEAKRFINFQFKDGAIQERHENSLLRREMNRLREENKALREIVAKGACLNCGNEKLLAVIGKYASSESPPARSTCSPGSDQAGNMKCSSDAFSDIFGLERSQIVEIVNKATEELIKMATKGEPLWIKSFETGREILNYDEYLKILPVKNFTKKWPNGSSVEASRDSGVVFMDLPTLVQYFMDAKQWQELFPCMISKGSIVDVINNGEGAGKNGAIQLMFAELQMLTPVVATREVYFVRHCKQLAADKWAIVDVSLDKLENNIDSRCYKRPSGCIIEDKSNGHSKVIWVEHMECQKSLVPSLYRTIVNSGLAFGAGHWMKTLQLQCERLVFFMATNVPTSNSYGVATFAGRQSILKLAQRMTKSFYRALGASNYHTWNKTTSKTGEDIRIAWRKNLSDPGEPLGVVLCAVLSVWLPVSHQNLFDFLRDETRRNEWEISVNEGPAQNIANLVKGQDHRNAVTIQAIKQKQKSVWILQDSCTNAYESTIVYAPVDISSMESAMTGCDPSDIAILPSGFSILPDGVESRPLLISSRSEDKSTEGGSLLTIAFQILTTNSPTENLSMESFESVNTLVSCTLQNIKTALQCEE >KZM88189 pep chromosome:ASM162521v1:7:25306435:25308767:-1 gene:DCAR_025264 transcript:KZM88189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMKTLRIFVAQEPVVAASCLIAGFGLFLPAVVRPILDSFESSKQVPQPALSDVVAGMTGKKQG >KZM86902 pep chromosome:ASM162521v1:7:8199351:8199689:1 gene:DCAR_024036 transcript:KZM86902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRNMDEFWPFYMTQHSKAATRRWHFAGTLASLLCLIYSLVFNWWFLFLAPLLGYGMAWYSHFFVERNVPATFGHPVWSFLCDYKMFGLMLTGQMDREIKRLGKRPVLQAY >KZM86296 pep chromosome:ASM162521v1:7:1421628:1425384:1 gene:DCAR_023430 transcript:KZM86296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFADLDCWSLGHFMLCPEIKRLTLPLVFMPRLIPEATMRNLTARTQNLMMSQLKLNLIGYIYKIIFDFCFSVDMLFGVFCECAELNPDPIESEGEQEHNWIFSAEQMVTDSAEVDDSEWNDVLAPTSSIGYSNGDNVLAHTVLQSVIEISQEPIVETSIHKSSPKTKSDGKHILDSQGLRRRSSSFKERSHDNVVTDQKVPVKLDTAAQTHIATHRKLQEDLTDDMVVLARRE >KZM88343 pep chromosome:ASM162521v1:7:26997007:26999559:-1 gene:DCAR_025418 transcript:KZM88343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFSLYLSFLLLPQFFAISSAVLPNYTPTDNILLNCGASFKTNSSDGRQWNTDSPYSSNTSTTSSTQSEPPPSVDQIPYSTARVFPSKFTYKIPVSGAGQKFLRLYFYPTTYSQSLDMNNSFFSVHTANHSLLSNFSVSLNLQKDFVTLVKEYIITVDESRSLEVTFTPNPKSFAFVNGIEVVSIPDKLYSGVIKLLGNYNGYPIDDSIALENLYRLNVGETGDISSTDDTGMFRSWDRDEPYLLGGTLGLTPTLKIPIKYTSDTPPYTAPEIVYYSARSMNLESYGSNLTWAFPVDSGFYYLLRLHFCELVMLINGPHLRVFNIFINNQTAEKHADVFYWTGGRGIPVYKDYVAYVSDNLDGSNSKTDLWLALHPSIDDPVCQNAILNGLEIFKLSKGDTLAAPNPESLEPALPPETVKSRHVQKRGEIAVESLNKFGEVGYSCLREQGTDRPSMSDVVWNLEFALQLQESSEMLDKNVHSNLRDHQNVHLLSDAKDYIAKIYHKLFSSTATVTSSAKSGGFKSGIGSVFSEIFNLKAR >KZM86285 pep chromosome:ASM162521v1:7:1294205:1294417:1 gene:DCAR_023419 transcript:KZM86285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSQRKTSSLLSMLSACCSGGSEYESWDDGYTRRACASDEDRGRWIGDRRIDSKASAFIARFHQSRLEL >KZM88125 pep chromosome:ASM162521v1:7:24764918:24766936:-1 gene:DCAR_025200 transcript:KZM88125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTAGKWLTSWKSSNDPAPGNYSLRLSQDYGEIVLTYNGDYVYWDTGKWSMNTFSGVPEMSIPYIYRFHFVSPWTPNASFGYTETALEGGKPPLTRFSLDYTGQLKQFTWSPQTANWNMFWSQPENENICKVYGLCGNFGFCNARMLNPCKCLIGFRPVDGVSWDAGGFSSGCRRDSDEDCNVKDGFKEVGVVGFDGAKWESFEASRDDCEKRCLNNCSCIGLFHNVKSNLCKNLFGSMLNIRNLTLSSTTDEVLHVRVPKEGIDRKRRKKTLVYVVTTCGIIVIFTLMGVLLFITRKRVMKRRKEKKDTIYAVTNLKVFTYKELHAATKGFSEKLGHGGFGVVFLGQLSDSSLPVAVKRLERPGSGEKEFRAEVCTIGNIQHVNLVRLRGFCSEETHRLLVYDYMPNGPLSGYMKKDGQHLSWDVRFRVAMGTARGIAYLHEECRNCIIHCDIKPENILLDEDFSAKVSDFGLAKLIGRDFSRVLVTMRGTWGYVAPEWISGVAITTKADVYSYGMTLLELIGGRRNVEGPPSFGKANGTGEKWFFPPWAAQHIIAGNVAAVIDERLGGVYNTVEAERLGMVAIWCIQDEEEQRPTMGMVVKMLEGVVEVTVPPPPKLLQALVSGESFHGVGVDSGNRASTTAGSQSDSDHVQLSEASRDAASSPKLSLG >KZM86724 pep chromosome:ASM162521v1:7:6216810:6218298:1 gene:DCAR_023858 transcript:KZM86724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGVVYLNRWLKGASSPNKIVMSGFRTCGSSKLGTSVIGGEYDARDEQSASLSPDIKECLASSVQCTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVATFLNSLAPGSLVLDAGCGNGKYLGFTPDSFFIGCDISAPLVGICADRGHEVVVADAVNLPYRTGYGDAAISIAVLHHLSTEIRRKKAIDELVRVVKCGGLVLITVWAVEQEDKTLLNKWTPLTDKYVDEWIGPGSPRTRSSSPFAVSSPSSSTLESIPEAEENGSKEKLNKFRDSSSVMEGSENGQVASEAKDHFMDFEAGEKSESHQEYFVPWHLPYHRAEVSGASVGAVANGLAKKDDKKGAIVYNRYYHVFSQGELERLVSGMKNAVVVDRFYDKSNWCIILRRT >KZM86839 pep chromosome:ASM162521v1:7:7503215:7513396:1 gene:DCAR_023973 transcript:KZM86839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFVVESNSLELTSPDNLRDTYECAIGNFGVPASGGGSLVGAVIFPPANQKACKSFDHIISFKSESAAGLPVFLLADRGDCYLSLKAWNAQNAGAAAILVADDIVEPLILMASPEEESSDADYLQNITIPSALISKYLGDSIKEALSEGGVVTINLSWKEAMTHPDERVEYEFWMNSNYECGKCESQIKFVKKFKKVAQKLERKGYTKFTPHYMTWYCPAAFVLSTQCKSQCINHGRYCAPDPDQDFYKGYEGKDVIVQNLLQACVYKVANESGRSWLWWDYVAEFAFRCPMKDKKYTKECADQVMHSLGMDVKQIDKCIGDPTADMDNPILKAEQAAQTGNGSRGSITSVPTLVINNKQYRGTLDKGAVLGTICSYFNESRKQSACLAQDLIYLSANQYMWWLVNQLCFKRIRTPLRKSFEEATGLVIKFIIGRIGDKAKVSELVKEVTKFDDFMLLVIKDELTFFKAAYALYDSEFYVKLEADLRLGLGEAAAVGFQSGDLKDEAERRRLGIHDCEAEAVTRTELEDIAEVEQLEEDNELGDEDDLGDFIAEEDLDGAWCSYERHALSLSVLHIRMRVISPIAVDKADVETKAYRFLYAGVFQAKCDTYKFIALLSVLSLVFRFHNSGLKRGLQRFFTMSDHGLLLKPSPES >KZM88891 pep chromosome:ASM162521v1:7:32082219:32083043:1 gene:DCAR_025966 transcript:KZM88891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKTVLKVDISDERWKKKILKAVSGIPGVDIVEADVAKGILTVTGAADPYEIIRKTRKTGKCVEVVSIGPPAAPPKPTDQKKPEEKKAQPEKKPPEKKQDEKKPDQKGQAQNQPQLQLQPYFPQPYIGNNYPPQYMGPNYPTCDRVAVVYIGRHDEPSPACSIM >KZM88039 pep chromosome:ASM162521v1:7:23861661:23863475:-1 gene:DCAR_025114 transcript:KZM88039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSKLEDDDLFNLDPNQAHPSILDPFSLPPISPIPLFPDADSDADSSDGVLAGNSRSLHFINPDPHISTQFYTFNQQSHALMINCILSSRVANPEEIRVATPASVLSSWRSVWKDRNEDTAYVTAWKRIQDKLNVHVDDKNGNSFLCFKNNCNQFVSYTDQWQDIVMSSHSGSDFKHVGLRETIERIKQVWTVGAKFYGIPESFIRVCVTECPVCCESAPRNKRRRFEYTESFEVPANEVASKLHELAAKHKVVLCIRQKYIRCKPFMAEVKDYACHRAGEPASTSKKSKIMKREPYASKRCGCGFRIRAIVPISKYNEKDKTFVYEEEGVAVFKLYAVHSGHEPGPLDGNARIMHRVVGNKGGFMDHDVVYGMSEEVDNENFSVRGKDDGDMQLFFLQQAKELKTEIGLLEGKIGDIPSEVLGSLSQALFETVNKFRSLREHGANIVSFLSDKRQSDDLLVGESDLADWGSHHQQIYGNGKNTELIDDDEDSFERTLGDVSTWDQIRTECRVERDLLGETCKHEKWLKCSDFDEKSILDCEESKVIKPMRDGVTIESDGGLTGLQVDSFYVPENPKWFDSTCGLEDSTGDCGNSEFRPGETV >KZM86149 pep chromosome:ASM162521v1:7:115136:122914:-1 gene:DCAR_023283 transcript:KZM86149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYGSSMLSRCIRNTGDVYTQRLFKEKYADQQIDSVGPLPIPVGQRTSRVRLSCCHSPNSRETPARGPNRSQAILTPISDPAKVSKKRVFTFGKGNCDGNKAMKSLLGGKGANLAEMASIGLSVPPGFTISTEACQEYQKNGKSLPQGLWEEILEGLEFVQEDMGAFLGDSSKPLLLSVRSGAAISMPGMMDTVLNLGLNDDVVAGLASKSGERFAYDSYRRFLDMFGNVVMGIPHSSFEEELENLKAVKGVKEDTDLTAADLQELVEQYKKVYIKATGGKFPSDPKKQMQLAINAVFDSWDSPRANKYRSINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVAGIRTPEDLNTMKNCMPDAYKELVENCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGQGAVKIAVDMVNEGLVDARTAIKMVEPQHLDQLLHPQFENPSAYKDKVVATGLPASPGAAIGQVVFSAEDAEAWHAQGKSAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCADIRVNDSEKLVVIGDLVIYEGDWISLNGSTGEVIMGKQPLSPPALSSDLETFMSWADEIRQLKVMANADTPDDALAARKNGAEGIGLCRTEHMFFASDERIKAVRKMIMAVTHEQRKAALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEEIVGELTSETGMSEDEVYSRIEKLSEVNPMLGFRGCRCCCLSLKLLNPKFHQTTIMANLINDCPSKLQELRHQVNLVHTVAKTVFSEMGSSLSYKVGTMIEIPRAALVADEIAMEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQSDPFEVLDQKGVGQLVKMATERGRAARPNLKVGICGEHGGEPSSVAFFAQAGLDYVSCSPFRVPIARLAAAQVAV >KZM86703 pep chromosome:ASM162521v1:7:5977557:5978176:-1 gene:DCAR_023837 transcript:KZM86703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPERSAKKQAHVVCIPSPSQGHIKPLLKLAKLLHYNGICITFVNTEFNHKRFLKSGGLYSLDGLPGFRFESIPDGIPLSDPDSTQDPASLVHAIVNNLLPPFQDLLTKLSTQTPPVTSILSDGFMPFTADAAQSIGIPIVLVWTFAACAFMAFYHFKSFLEKGLVPLKELQKQIYHYWCDYGLQMKVV >KZM88521 pep chromosome:ASM162521v1:7:28727516:28731609:-1 gene:DCAR_025596 transcript:KZM88521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPDYCLGPHLRQMLSYGCTRLEIGGQSTYENVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPLFRTDGLKIYPTLVIRGIGLYELWKTGRHSPHIKPGEVELVRRDYTANEGWETFRMKILASAIMLKLPNSLPKRYFLRILPAVLGTCFGIGGDILVGLLRLRKCGRNTTCPELLGKCSIVRELHVYGTAVPVHGRDANNLQHQGYGTLLMEEAERIARREHRTKKIAVISGVGTRHYYRKLGYELEGPYMTNSDIPLNEIKKANGRANIFVAATRPVIAVKLEHFPVIAVSSED >KZM87339 pep chromosome:ASM162521v1:7:14789550:14792751:-1 gene:DCAR_024473 transcript:KZM87339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQGKMAMPPSSSVAATETSLPLSYHPYPQPLARYEDVVVSRELFMDTLGKLHATMGTKFMIPVIGGKNLDLHRLFKEVTSRGGIWRILNEKRWKEVTTVFSFPSSATNASFILRKYYLSLLQHYEQIYYFKAKGWTESADALHNLPTASASSNRLDASVSQTIVPEASSQSQRDTEQPLPGGTPAPFTVVGVIDGKFESGYLVTVTMGSETLKGVLYQTAKNSADQLTPNHGTEKISDNMATSTGVQRRRRRKKCEMKKRDPARPKPNRSGYNFFFAEQHARLKPLYPGKDRDISRMIGDLWNKLNEAGKAVYQEKAIKDKERYRVEMLDYREMLKTGQVLTDVLPIQQQPRELEINMIDADVNIETELSSGKGDRSRLDYITEDQNNVGIGSLVEKKALESPNRVAKNGQEGEGFMQADDQVVSQKKSPPGEERESEEPAQRETVANEAIIEEKIISREDETETELALGTY >KZM86643 pep chromosome:ASM162521v1:7:5250789:5254733:1 gene:DCAR_023777 transcript:KZM86643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTNTFFILLTLVTTTTPTLETQHPQLSHARKLLHQPFFLPLSPPISPSFSPISPSVSPTSQPQPKYPFSSLSPPTPTTFFPSYTTPPPPPTLTTTPTFPANISSLILTNPSHSSKPISKKLIAIAISLSLLSALLVAIVATLLLHRHTRKPEIIKSDSLRLYPSHTSPSDEPGTSTSTTTTTTTTTSHVNFYATMSSSGYHKLGSPELRPLPPLPKQNPSGEQLYYKDSGKDKELEDDEFFSPVASPNGDVLGSGGVDSGSRSFNSKTASYPSSQSHSPMSTSPSIAFNSSPRSSILKSPDSLVNFPMPPPPTRQRKVSLSTESTPVRVSDVSECGDVSLPPMPPPMPAPRGWEVGGGFEKEPPVLVMPSRRDVERRGDVGEKNEEVLKLKLKPLHWDKVKASSDHAMVWDQLKSSSFQLNEEMIETLFMVNTSKSTPKDANTPRPLFPLMNQDTQVLDPKKSQNIAILLRALNVTVDEVCEALLEGKYVAASSMLRGVGNSDTLGTELLESLLKMAPTKEEEYKLKEFEETPPFKLGPAEKFLKAVLDIPFAFQRINAMLYIANFDSEVEYLKRSFETIEGACEELRNSRMFAKLLEAVLKTGNRMNIGTNRGEARAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRAEGSRLSDDQSLSAEYQQHPDLRDDIEFMKLGLQVVSSLSGELTSVKRSASMDADVLGNEVEKLCTGITKVLEVLKLNEELPLSENSRKLSESMSAFFKKAEEQLIKVQSQQSAALSMVKEITEYFHGNSVKEEARPFRIFMVVRDFLSNLDQVCKEVGRINERTKVSSACQFTAPIYTSLPPLFPELSGSQTYSTSDDESSFSS >KZM88358 pep chromosome:ASM162521v1:7:27178572:27179665:1 gene:DCAR_025433 transcript:KZM88358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENGSGINKHQTKDDGDQNTQDRSFNHSNSSASSFSASSSISNPLETDNLNDSDPQTKSEHYDLKSTPGDWSMMSLSPRSTYSQTDFSAYNSSPSLPPQPQGAGKPPEYPGFDPNRIPSSVFATSKPASGVEWSVASNDSLFSIQMGNMSFTRDHLNWMKSGELKSPDSEFTNNPSNLPPITENSSYNFHNLEVVNEHELDDGRNSTSSTQANETNKETHTSAERTRISDRASNASDGHGMHPALEPPTPARVSQQSDNSRISNSSFAFPVLGGETPAKNPTQNPEPQKKPEAPEVVAEKPAETGWFSCAGFTCSCCAWPFPLGFCK >KZM87832 pep chromosome:ASM162521v1:7:20959326:20961635:-1 gene:DCAR_024933 transcript:KZM87832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLRNWVELPPELTSSILVRLGAVEVLMSARKVCKKWRQICSDPEMWRVVDIRYSDCERSPQLFKHVFKKAVELSCGQLLHLHLQISGDHLLLPCVFDRLIQLRYLYLSCSSKIMSEGLSKMLERLPLLEELHLDCGCNIKKAIEIAGRCCPHLNSLKLINKSYLFAKVGCDEDALAIAENMPGLRRLQLYGPNKMTSDGLLAILDNCPHLESLDVCHNVANIKPDLVRRLSQQIKDLRLSYDSVKRCRIDLDYLHFLNEHLSPSAISATDTDDLNTVDEDDCSGLSGTNTVSNDYNDISWRSD >KZM88049 pep chromosome:ASM162521v1:7:23967304:23969786:-1 gene:DCAR_025124 transcript:KZM88049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKHSNAQIPIVNRTNIIIVVLLLLLAEIDEAQVTIPDKYDGFVYSLPKGPDFISIEAFLDPVCSDSRDSWLPLKQLVHHYPSSIFLIVHPFPLPYHDNAFITSRALHVVDKINSSATFGLLEKFFENQERFYNAQTFNWSRASVVDLVAKFAAKATGLSNKSAIQAGFEDSTTDQATRISFKYGCSRGVFGAPVFFVNGFVLPNQGTPVDYETWRSIIDPLLDKKGITRQDLVQFS >KZM89238 pep chromosome:ASM162521v1:7:35259291:35261308:-1 gene:DCAR_026313 transcript:KZM89238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPGKIGLCRSLSTTRYLCQRIKQTESDIVKMFRLSTPSEETQNFSRNRTSVKRNSSARVLDERFIRILKIFKWGPDAEKALEVLKMRVDHRLVREVLNIDVDINVKIHFFRWAGKRRNFEHDSTTYMALIRCLDDVGMVGEMGKTIQEMVRGTCLIDPTDLSEIVRILGKAKMVNKALSVFYQIKSRKCNPNISTYNSIILMLMQQGHHEKVHELYNEMCNEHNCFPDTFTYSALISSFGKLGRDDSALRLFYEMKDYGLHPTPKIYTTLLAIHFKSGRVEEALALVKEMRESGCVLTVYTYTELIKGLWKAGKPEEGYNIFLNMLKEGRKPDVVLMNNVINLLGRSGRLVDALKLFEDMKSFNCEPNVVTYNTVIKVLFESKAPASEASLWFERMKTNGFVPSSFTYSILIDGFCKANRIEKALLLLEEMDEKGFPPCPAAYCSLINALGKSRRYEAANELFQELKENCGSSSARVYAVMIKHFGKCGRMGEAVNMFNEMKNLGCCPDIYAYNALMSGMVRAGLIDDAHSLLRTMEENGCTPDLNSHNIILNGLARSGGPEQAVQMFMKMKHSNLKPDAVTYNTVLSCFSRAGMFEEAAKLMKEMRSNGFEYDMITYSSILEAVGKIDIDQTHAI >KZM88097 pep chromosome:ASM162521v1:7:24413798:24414871:-1 gene:DCAR_025172 transcript:KZM88097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNTEKQSTEELKDTTKTKVKWTMNTTHIFCDVCRAAINKGLRPSTHFSTEGWKFVVSNFQKLSGLNYEKPKLKNKWDLMRSEWKLWKELIGKETGLGWDPRLETVDASPDWWKTEIQMNKEYIRFQKKGIDPDIVSKNDFMFGSTVATGEFAWAPSSDADAKKDQNEDDIISLNDSADDPLQKSMDRFIEEEDIILDDNSNIVEKRSGTSEVGYTIKEVMDDLHAIPDIPKNTELYYFAVTMFEQKAKRELWKHLETTEAKVGWLKYQKEQQDMRNSKY >KZM87042 pep chromosome:ASM162521v1:7:10335843:10340838:-1 gene:DCAR_024176 transcript:KZM87042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETVTPYASANIFSILTFSWIGSLVALGYKKPLDIEDVPQLAPIDRVKVAFPLLRDELGYQGGDNSSLTTLKLVKALFYSMWRDILLTAFLAMINTVASYVGPYLIDSFVQYLNGRQNLKEGYVLVSAFVISKIIECLSRRHWFFKVEQIANRGKAALVALIYHKGLTLSCQSKQDHTSGEIINIMTVDAERIGVFGWYMHDLWLAILQVGLALMILYKNLGQASIASLVTIIIVMLLNLPLGRLQENYQTKLMESKDYRMKATSEILKNMRILKLQGWEMRFLSKILDLRNIEAGWLKKFVYPNAVVSFVFWGTPTFVAVVTFSTCMLLGIPLESGKVLSALATFRILQEPIYNLPDTISVMIQTKVSLDRIASFLCLEDLLTDVIKMFERGSSDIAVEIVNGNFSWDVCSLNPTLKDINFRVSPGMRVAVCGMVGSGKSSLLSCILGEVPKISGVIKMSGTKAYVAQTPWIQSGTIMENILFGKEMDRVWYEKVLEACCLKPDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYRDADIYLFDDPFSAVDAHTGSKLFKECLLGILGSKTVIYVTHQVEFLPAADLILVMKDGRITQSGKYDDILDLGSDFIELVGAHKTALATLDSLDTEPVSKSSILAENSSIGSLQASEQTLDVQSGEPPDEIVASVRQLVKEEEREKGRGLQIGSNYWMAWATPVSRTVADPVEVSTLIMVYVELAIGSAFCILGRSLSLATAGFKTATLLFYNMHACIFRAPMSFFDSTPSGRILNRASTDQSTVDLDLPNQVGTFAFSVIQLLGIIAVMSQVAWQVFLIFVPVIAICIWLQQYYLPSARELARLVGVHQAPVIQHFAETISGSTTIRSFDQVYRFRETNMMLIDERSRPKFHSFGVKEWLTVRLDFLSSLTFAFLLVFLISVPNGTIDPSIAGLAVTYGLNLNQIQAWVIWSLCNLENKIISVERMFQYTSIASEPPLVLESNRPPHNWPLCGEVDICNLQVRYAPHMPLVLRGLTCTFEGGKKTGLVGRTGSGKSTLIQTIFRIVEPAAGKILIDGIDISWLGLHDLRSRLSIIPQDPTMFEGTLRSNLDPLGDHTDEQIWEVLNKCQLGDEASKKEGKLDSLVSENGENWSVGQRQLVCLGRVLLKKNKVLVLDEATASVDTATDNMIQQTLREHFSDSTVLTIAHRITSVLDSDMVLLLNNGLLEEYDPPTKLLMNKTSAFAKLVAEYSVRSSSSFGVASGS >KZM87073 pep chromosome:ASM162521v1:7:10744626:10753120:1 gene:DCAR_024207 transcript:KZM87073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDVVPVDPSQPAVGLKKTKARGWIRLDSSGQDTILDVDKYAIMHRVHIHARDLRILDPLLSYPSTILGRENAIVVNLEHIKAIITAEEVLLRDPSDDNVIPVVEELRRRLPLLNNTREDHGEGKELGGQNDGEAGEEDESPFEFRALEVALEAICSFLAARTTELETSAYPALDELTSKISSRNLDRVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLSSASPLSGSAAASWFISSPNISSKISRASRASMATIRGDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVAAIFGMNIPYTWNDNHGYMFKWVVSLSGIASALREYIDDTEDYINIQLDNHRNQLIQVPRESLL >KZM88522 pep chromosome:ASM162521v1:7:28806921:28808349:1 gene:DCAR_025597 transcript:KZM88522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILHAFKSSQNAVYSDGEWISLESRKVPEKLSGKCFSTWFLSKDLLQVGDTVRSRKAPDSCKPQTMVVPEGTVVGLEKDTDRDGLVLVRVPRVHDPLRINVSTLERVTTGLAVGDWVRLMEATNKHSSIGIIHSIHRDGNTAVGFLGLETLWEGHSSKLQIAEPYFVGNFVRLKANLFTPCFEWPRKNGGTWATGRISQIHPNGCLVVRFPGRFVFGNEPNSFLADPADVETVTFDTYPGLVGKYQHIEDYHWAARPLTIAFSLFTAMKFGIFVGKSMGAGKKKRGHTQIHRDDHAQDAQTAANSSWLSPPVANILFGEGPTATAR >KZM86188 pep chromosome:ASM162521v1:7:473209:476666:1 gene:DCAR_023322 transcript:KZM86188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTSLILLLCSTLSSTLINSIAIDSIRANQSIRDGETITSAGGEFQLGFFSPGRSTNRYLGIWFKKISNGTVVWVANRDTPISNFAGVVRVNQKGITLHTDDGIIWSTNTSVFLKNPVVKLLDSGNLVVWDEDHNLEKAEKIIWQSFDYPGDTFLPGMKIGIDLVTGLNSYYTSWKSVDDPSTGIFSGGLDINGFPQFFRYKGSVKWVRFGPWNGRQFSGSTKTNPNGMYTEEFVFNDKEICFRLHPINRTSADIKLTLTPNGDVKHLVWNYQNKVWMVFVTDMISDCDVYGLCGAYGICHINSSPRCECLSGFVPKFPDKWKAVDWSGGCVREMGLNCTMEAGFLKYSGMKLPDTGQSWYDMKINLEECKRWCLNNCNCTAYANADIRNGGSGCILWFNDLTDMVSNTADGQDIYAWKSYNEDRLLGFIDEVILESSNQIEVFRFIQIGLLCVQEDPTDRPLMSQVVSMLSSNMKLHHPKKPGFFMDRILQDRDPLLEKPEFSLGNDLTMSCVIPRQ >KZM87390 pep chromosome:ASM162521v1:7:15415627:15416633:-1 gene:DCAR_024524 transcript:KZM87390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSGIRTKAKPRVLWNNENVMKTFLEACIHEAADSGKQGGNLGQQSWKRVVKLLKESHSFVVDIKQARNRFDYIRYKYQAWCRLKNRSQNIYDASTNTFNLSEEEWDQEIQTNPKAKTLKTAPLLFPNLCIQLFDGVSAGVTGSEPPSTRNRVYSTNESEVHEVDYSLVPVQDQGSYEESNACNPNLNHDEGTPQVQANKDTPNVESQRPRKKSKQPVNQSNNSQFEENLSKALEIIIQKQNGPTNMECRDRLRSLGWSSKNPLYQLALGIFCESANHREAWMNLEEEECEIWVKMISRKLGLSI >KZM86380 pep chromosome:ASM162521v1:7:2161836:2162714:1 gene:DCAR_023514 transcript:KZM86380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSSLIMKFHSSLVHVKPKSIVAPPLNCNFLISRPCKITHFTAKTSSLDPIIRNLRNTAVVILFATSMIGRFQTWSARADSQPIVTEENTSQDDLIGMLKKSRDQKFESGDYEGSLRVSKEMVSADPDFPDWKISSAMILKQMGETEKAFTVFHQMLSENPLQPDALFHNALLMISNGQEVEAMKGLEKALELAKVTSNGPVIRDVRLVIARVISAQQRFDEALERFNELEKEDPNDWRIYFCKCTLFHSSDRNAEAAEEFHKSDLLFSRSFQEDGFMSNFYPRRRPRAT >KZM88386 pep chromosome:ASM162521v1:7:27496993:27498294:-1 gene:DCAR_025461 transcript:KZM88386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFEEASNTGARLVRTGSFREDDGFHRPVTHFGGGGSRNTSPLSRIGSRNTSPSRQKVIKTKPRGLDEETVSTFSKAIMPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDSGFLKFHSQLPSHGPCLLTFWKNPQTPQCSVFSLPLKQWYRIPFNFLPQWAFWLVGSSAGLVCFSGLDGLIFKILVCNPLTQSWRTLPSMHNNQQRQLIMVVDRKDRAFKIIATSDIYGDKSLPTEVYDSKLDNWSLHQIMPAVNLCSSKMAFCDSRLYLETLSPLGLMMYRLDSGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHAKVVWVEVSRMPPRYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDALIY >KZM86192 pep chromosome:ASM162521v1:7:510709:511989:-1 gene:DCAR_023326 transcript:KZM86192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTLILLLCSILSPNLMKSMAHQIIRDGETITSAGEEFELGFFSPSGSTKRYLGIWYKKISNGTVIWVANRDAPVMNTSGILRVSDQGLSLQTGNEIIWSAKTSILMKNPVARLLGSGNLVVRDDDHHMNNTEDFVWQSFDYPADNLLPGMKMGIDLVTGLERYLTSWKSANDPSTGSFTDRLDPHGFPQFFRLKDSAKWARTGPWNGRQFPGAPKSNQNGFYTENFVFNEKEIYYKLDPVRGTSADVRFQLTPNGEIKFLVWKYQEKNWLVYVTLIVSNCEQYKLCGANGLCKVSNSPRCVCLTGFIPKFPEKWKEGDWSGGCVRKEKLDCGTKAGFLKYTRVKLPDTRYSWYDMRMTLEQCRRLCLKNCSCIAYTNTDIRSGGSGCLLWFNELSDIKGYTDEGEDIYVKMALSELGNFTSHT >KZM86365 pep chromosome:ASM162521v1:7:2040288:2044827:-1 gene:DCAR_023499 transcript:KZM86365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSRFVYEDEDDDDDSMIRLFLNRGADPNVRSVDAARISPMEFAVESLSCDTNLINWSPKKSVYKLFITLCLPQMREALETIDLLVGVSDSTTVISDTIFSAVKRGQVVPVAILLLLARDRVMQSFYQCNKVTSGRNLQFPEAILNELGMLINQEYALVGSKEHAKILQLCRGKKELMIYCLQMIEIVNRVGPALKSYLEYRISDVTNEQVSSDVAELLEGAGFGLSTNDRDISDIMSSPKPDLMPFDSTLKQQEYDEEEDRQGIFFKPCREQLCRCNMRSALEEKGPKKQAEEKCLTPRLSLPCCLAAAFLSHSLSEVFEHLDVKKISFTIFSAVKKGKA >KZM87424 pep chromosome:ASM162521v1:7:16250963:16254405:-1 gene:DCAR_024558 transcript:KZM87424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSEEDKKALRGSKFAPLPPPSRSTSSLSAPRLAHPGGPLKTNKGAALAKFLERKLQDPNALASINPQLLELAVKNAKETIRGGSSSSGRIVQHVNSFGDSEETSEMDYEPQVHTKKKENKKKSKNKIKNKKKKNKRQKIVDES >KZM87983 pep chromosome:ASM162521v1:7:22942912:22943709:1 gene:DCAR_025084 transcript:KZM87983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQSFMNVHAEVISLIFSYLILDASDFSSFAKLLMIWERERPSAQIKFVLEKLDWDGLYRFHNHPMEVTHDQFHGFVGYSVGHNVVQSLFFNSSQKLFLMEDVQLNLGILSSLASTHLPSSFTFLFFKSIYIRSDIDSTAREIFGIVNTVHLRGKVEELMDLLQSMYEHLFEMDYLLPQSKVCPNAGDLNPQLKIDGFPNEESLWNSLCSNTVKGTYREPGEMFGKPLNLSHIWNSTCERCTLHVSCSCTCVSCVMSRHKLVMT >KZM89269 pep chromosome:ASM162521v1:7:35525385:35532331:-1 gene:DCAR_026344 transcript:KZM89269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTCNFTSTFLSSTEYLRNTKTRFTKHSVCVRPRISCNLGSARSDEDDNWEKNVAKLVNLSVTLTVVSAALPQAVNAAKVAKRGKKTKTVEVFTPEQLKVWAEGLPVVANRIAYSEVLSLKREGRLKHIIKPAEAGLKQQAEVVLAVLDDSRVLRIVLPSFEVDPKFWVEWDELGIDSVCVNAFSPPVKKPEVPAPYLGFLLKIPEKMFTAVKPKPLSKKAMELKRQREELKRQRDEDLRRTKLEQEMMEKAIKMQRKTEERNRRREQQKIRKEEALLRVQIERMRTSSVWDDLAQDKNVTTALGLLFFYIFYRTVVLSYKKQKKDYEDRLKIEKADAEEKRKMRELEREMLGIEAGDIEPEAEEGAQTEENPYMKMAEQFMKSGARVRKARNGRLPQYMERGMDVKFTDVAGLGKIRLELEEIVKFFTHGEMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVSLDGFEGRGNVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRVEILKVHARKKPMAPDVDYMAVASMTDGMVGADLANIIEVGAINMMREGRTEITTDDLLQAAQVEDRGMLDRKDRSPEQWKQVAINEAAMAVVAVNFPDLRNIEFCKQYLHHDYIQLLNPIFSNSCMLTLSDALRQSLLDHITVQLAPRAADELWYGEGQLSTIWAETMDNARSEARTFVLGGLSEKHYGLSNFWDAERINEIDTEALQILNMCYEHAKEILQQNRKLMDAVVDELVLKKNITKEEFSKLVELHGSLRPAPPSILDIRVRKRLEFEDMMLKQRETAVQNSV >KZM88047 pep chromosome:ASM162521v1:7:23958763:23959743:1 gene:DCAR_025122 transcript:KZM88047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDSSAVNAIDEAYNFLQAVPNPDGSLTRSEFFPSVPPSPELVAGSASENQLALSKDIQLNTKNQTFLRLYRPTNHTEKLPIIIDFHGGGFVIGSAASAPFHEIWNGASAFAPSLVISVDYRLAPEHRLPAAYDDALEAIMWVRDQAREVNTRDPWMKDLADYSNVYIMGTSAGGNIAYHANLLALDADMSPVQIKGLILNQPFFGGVQRTQSEERLSTNPNLPLNVSDLMWQLALPLGSDRDHEYSNPLISCNPKIKQLTRCLMRGFEGDPLVDRIKGLVKMLEASGVQVVAKIEDGGCHGAELNDQSKVQELCLQIKDFIYSS >KZM89169 pep chromosome:ASM162521v1:7:34610141:34610302:-1 gene:DCAR_026244 transcript:KZM89169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNMQDPVSHGSSNQIFENHMQQLKLCIISGSIISNFKNHLSGLPAYILRHV >KZM87192 pep chromosome:ASM162521v1:7:12259854:12260204:-1 gene:DCAR_024326 transcript:KZM87192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNRKNKRRMLAAEKKDESEYSPPKTNSSSSTTSRKMLLPSEKNNEVGESSKTLSDKIDKLIEAIEKLTAAIKKNQRRKFKCSITS >KZM87276 pep chromosome:ASM162521v1:7:13579975:13580241:-1 gene:DCAR_024410 transcript:KZM87276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFRHQEEDCIPRDVPKGHLVVYVGKEYKRFVIRISLLENPLFMALLDQARKEYDFTATSSSGYPAMRMSFSVLFDVQSPGNIKMSY >KZM86454 pep chromosome:ASM162521v1:7:2945870:2948008:1 gene:DCAR_023588 transcript:KZM86454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKIFVFPALLALKSLLLFTLCNAVVHGATTSICNQTPYPELCNSFMVTTTTSNENQFTGLRESAISATLDRAKQTYDAISAMDVGSFEARAKSAWADCLELYEDSVHQINRSLNSDNSKNENDIQTWLSAALTDHQTCKNGFLDFNLNSYLNSFPFAETNISKFLSNSLAIHKATAATSLSNVKNIKGRRLLNDNEFPEWLTAADRRLLQAAPEADLVVAQDGSGDYTTISEAVASSAQKSGSGRFVIYVKSGVYKENVEITMKNLMLVGDGMDATVVTGSKNSQDGITTFKTATFATTGDGFIAMDMTFENTAGPQKHQAVALRSGADFSVFYRCSFKGYQDTLYVFSLRQFYRNCDIYGTIDFIFGNAVAVMQSCNIYVRKPMSNQFNTVTAQHRSDPNENTGIIIQNSVVTPASDLEPEQGSFKTYLGRPWGEYSRTVVMKSSLDGFISPQGWFPWDGDFALSTLYYGEYMNTGSGASTSGRVKWPGYHVITSAAEAGKFSVGNFLNGNSWIPATGVPFSSGL >KZM86969 pep chromosome:ASM162521v1:7:9118032:9119906:-1 gene:DCAR_024103 transcript:KZM86969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNGGTTGVAATPGRGGGVGRGNFGTPRVGNGRGRGFANRENTPARSVRRTPRGGGGSRSILPSWYPRKPLQDITPIVRAIERRRARLREAEGLQLSSLLPQGQSVHSPSVPVSVAPLEHELSLSSPSPTIKIRRCPPTVGRVPKILLDITEQSDGTSDFLTPEKKLLNSIDKVEKVVMQELNRLKRTPAAKRAEREKKVRTLMSMR >KZM87889 pep chromosome:ASM162521v1:7:21739796:21741953:1 gene:DCAR_024990 transcript:KZM87889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLGTAFLRDPSLPHSSKNYFEMGIVALKRDLHPNHPVSVPIINWFLFEYKPILYMTICIASLGKITSGCQFGEGCHFLHYVPGGYSAVGQMTNHGGPTARNPGLPSFADAPSPTLKTKICTKYNSPEGCKFGDKCRFAHKDEFGRPGFEDRRSFGSMPQYGRRAEAPSPGLAAAANFGSSATAKISIDASLAGPIIGKGGVNSKQICRETGVKLAIRDHDTDPNQRNIELEGNFEQIKIASDMVHELIMNLRAATGGPARNPRSMPMQAPPANNFKTKMCENFAKGSCTFGDRCHFAHGPNELRKTAP >KZM88820 pep chromosome:ASM162521v1:7:31535416:31537410:1 gene:DCAR_025895 transcript:KZM88820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMKKVSYIAMPMVVTTVSQYMLRVISMMMIGHLGELSLSGASIATSLTNVTGFSLLFGMSSALETLCGQAYGAERYQMLGIYTYGAIISLLLVCIPISVLWIFTERLLILIGQDPLISHEAGNYSIWLIPTLFPYAILQLLIRFLLAQSLIYPMLLSSVAALVFHIPISWLLVFKFKIGSAGAALGIGLSYWLNVVLLGIYVKYASSCEKTRISFSQHVFPSIREFFRLGIPSAIMICLEWWSYELVILLSGLLPNPQLETSVLSICLVVSSLHYFIPYSFGAAASTRVSNELGAGHPEAASLAAWVASFLAVIEGVTASAILFSCRSVLGYAFGEEKELVDYVKDMVPLLSLSVLMDCLAALFSGVARGVGWQHLGAYVNLGAFFLCGIPMACVLAFVFHWRGKGLWTGLTTASLLQGLMLMMITFFTNWKKQKYAEADELNILTYGSVGKSGKAEDN >KZM89358 pep chromosome:ASM162521v1:7:36278319:36288175:-1 gene:DCAR_026433 transcript:KZM89358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEVFLVVFLSSIGGGNSVSSSSLSPDGQTLLALAARWTLVPSSITTTWIHHDNNTQTPCSWVGVVCNKRQNVKALNLSSFGISGTLGPEFESLSYLTTIDLSFNYFFGTIPPQLGNCSLLYALDLSSNSLSGQIPPTLSNLNRLVYLAADSNSLNASIPDSLFQIRTLQIIYLYDNKLSGPIPSSVGNMTDLIYLYLDTNNLSGTIPSSIANCTKLQNLVLSANQLSGTLPSSFYYLRGLVLVDFNSNNIQGSIPFGSGSSCRLLEVLDLSFNSFAGLIPPQLGNCTSLTMFSAVSSGITGHIPSSIGQLSVLNTLVLSQNLLSGNIPPQLGQCRSLIDLELYSNKLEGELPSELGMLSALERLYLFENQLSGEIPFGIWKIETLVELHLYSNLFTGEIPLLVTELKNLRNFTIYNNQFSGIVPQGLGINSSLEVVDFTNNTLTGQIPPNLCFKKKLRRLTLGSNYFEGSIPSDIGSCTSLERLILEQNNLSGILPNFVKNAGLVYIDLNNNRISGEIPASLRNLTNITSINFSLNKLTGVIPQELGDLIHLQSLNLSQNNLKGPLPSQLSNCKMLLQFDVSYNHLNGSISSSFKNMVRLASLVLSGNQFTNGLPPFLFDLESLLDLQLGENLLGGEFPALIRSVAAVQVLKALNLSSNRLSGNVPAEVGNFLTLEILDVSSNNLTGTLDALSSLRSLISVNVSYNLFTGAVPTTLMKLLSSSPSSFMGNLGLCANCLPSDSLTCNISRNLRPCYKKSSGRKGIGKVQIVVIALATSLFAVFAFLLLCYLFSRRRILEQEAVISAEEGASSLLQKVMEATENLNEKYIIGTGAHGTVYKVSLDPEKVYAVKKLTFTGMNEGHTSMVREIQTIGKVKHRNLVKLEQFWLRKDYGLILYSYMQNGSLHDVLHVRCPPAALDWNTRYQIALGTAHGLAYLHFDTDPAIVHRDIKPMNILLDFDMEPHISDFGIAKILDQSSASMPTTSVQGTIGYIAPENAFTTTKSKESDVYSYGVVLLELLTRKKVLDPLFTEGHDIVSWVAASWSNTKEITGIIDPGLLNEVLSSGIIEQVTDVLLVALRCTEKEASKRLSMRQVLHLLLFVNFNGYDDIPYHPYRNPVLKCEYAVRGEIVLLAQRLQQDLQEKPGSHPFDEEDNVLIIPRWQILYCNIGNPQSLNQRPITFFREGIKGLRDTIAAGIEARDSFPADPNDIFLTDGASPGVHMMMQLLLSSENDGILCPIPQYPLYSASITLHGGTLVPYYLDEATGWGLEMSELKKQLEDAKSKGITVKALVVINPGNPTGQVLSEENQRDIVKFCKNEGLVLLADEVYQGNVYVPEKKFHSFKKVARLMGYGETDIPVVSFQSVSKGYHGECGKRGGYMEVTGLRHEIREQIYKLASVNLCANISGQILASLVMYPPKLGDESYESYIAEKEQILSSLARRAKTLEDGFNNLEGITCNKAEGAMYLFPQLHLPQKAIKAAEAAKKAPDAFYARRLLDATGVVVVPGSGFRQVPGTWHFRCTILPPEDKIPAVVHRLTEFHTAFMDEFRD >KZM88127 pep chromosome:ASM162521v1:7:24783646:24784146:-1 gene:DCAR_025202 transcript:KZM88127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPTAYTQVFFPKLFIHLLSLLGLIRALISAILAHLGLRHFLQQDHFPYHENPTILYPPVSATLIRELLPVVKFEEARGESPQGCAVCLYEVEEGEEIRWLSNCKHIFHMGCLDRWMDHDQKTCPLCRTNFVPFGLQDVFNQRLWDACGINDDYNNSEYNSVPVF >KZM89176 pep chromosome:ASM162521v1:7:34667467:34667706:-1 gene:DCAR_026251 transcript:KZM89176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVRFGSHASGHQLTHEEACAFWEFVIKNWSSRTGEILAQRLLKLPVYSGSSSINLVNKHDVFIADDLQLKDLFKGLL >KZM88922 pep chromosome:ASM162521v1:7:32319968:32343994:1 gene:DCAR_025997 transcript:KZM88922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIQNFEQHSRHLVEPDLAIQMRLQMAMEVRDSLEITHTGEYLNFLKCYFRAFSVILYQITKPQFTDNPEHKLRNVVVEILNRLPHSEVLRPFVQDLLKVAMHVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVTHFFESGAVPPPAPAISGSNSTTSALSTIEDVKPLAMDISDQMSPTAGAGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQINIPHLLPQMVAAISIPGPEKVPPHLKGHFVELKGAQVKTVSFLTYLLKSFADWIRPHEESICKSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRGDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDLPSMDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEEGKDQVTLRSKLELPVQVSPSIHGTPPQPPQANLSIPQPFKGMREDEVWKASGVLKSGVHCLALFKEKDEEREMVHLFSQILAIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSTLLQAPKVFRPFADVLVNFLVSSKLDVLKHPDSPAAKLVLHLFRFLFGAVAKAPSDCERILQPHVPVIMETCMKNAAEVERPLGYLQLLRTMFRALGGGKFELLLRDLIPTLQPCLNMLLAMLEGPVGEDMRDLLLELCLTLPARLSSLLPHLPRLMKPLVMCLNGSGDLVSLGLRTLEFWIDSLNPDFLEPSMASVMSEVNLALWSHLRPAPYLWGGKSLQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFQPSTPFLVPLDRCINLAVTAVMHKNNGVDAFYRKQALKFLRVCLSSQLNLPGNIVDESFTCGHLSTILNSTVDLRGTETPDIKADLGVKTKTQLMAEKSVFKILLMTIIATSSEPELHDPKDESVVNICRHFAILFHIENASASTSSSSATLGGSLLSSSSKLRNNTSTNLKELDPMIFLDALVEVLADENRTHAKAALAALNIFAETLLFLARSKHNDTLLSRGGPGTPMIVSSPSMSPVYSPPASIRIPVFEQLLPRLLHCCYGSTWQAQIGGVMGLGALVGKVTVETLCIFQVRVIRGLVYVLKRLPVFATKEQEETSQVLTQVLRVVNNVDEANSEARRQSFQGVVEFLASELFNANASLNVRKIVQSCLALLASRTGSEVSELLEPLYQPLLQPLIVRSLRAKTVDQQVGTVTALNFCLALRPPLLKLTQELVSFLQDALQIAEADESVWVVKFMNPKVVTSLNKLRTACIELLCTAMAWADFKTQNHSELRAKVISMFFKSLTSRTPEIVAVAKEGLRQVILQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQCQKSWKPGEEPKIAAAIIELFHLLPNAAGKFLDELVTLTIDLETALPPGQFYSEINSPYRLPLTKFLNKYPTAGVDYFLARLNQPKYFRRFMYIIRSDAGQPLREELAKSPDKILANAFSEFLPKSEASAAQATFASSSVGDEALVAPPPEPSNQTTAPPAGTTDAYFQGLALVKTLVKLMPGWLHSNRAVFDILVLLWKSPARIARLQNEQELNLVQVKESKWLVKCFLNYLRNDKTEVNVLFDILSIFLFHTRIDFTFLKEFYIIEVAEGYETNMKKTLLLHFLDIFQSKQLGHDHLVVIMQMLILPMLAHTFQNNQTWDVIDTNIIKTIVDSLLDPPEEVSADYDEPLRIELLQLATLLLKYLQTDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPMGDSRMPIWIRYTKKILVEEGHSVPNLIHIFQLIVRHSDLFYNCRAQFVPQMVNSLSRLGLPYNTTTENRRLAIELAGLVVSWERQRQKETIGMADGDLLSQNSENINHSSGATEPKRPGDASTFSEDLTKRLKVEPGLQSLSVMSPGGASSVPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASLMYKQALELLSHALDVWPNANVKFNYLEKLLSSIQPTQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNISQISQILEPCFKYKMLDAGKSLCSLLKMVFLAFPSESPSTPPDVKTLYMKVNDLIQKHLGAVAAPPTAGEDNSAQMISFVLFVMKTLAEVQENIIDPYNLVRVFQRLARDMGSATGSYAKQGQRTDPDSAVSSSRQGADLGVIIDNLKSVLKLISQRVMLVPDCKRLVTQILNSLLSEKGIDQTVLLCVLDVVKGWIEDNIGLSGMAAASSNFLTPKEVVAFLQKLSQVDKLNFSTISIEEWDSKYLQLLYGLCADSNKFPLSLRQEVFQKIERQYLLGLRAKDPEIRMKFFCLYHDSLGKTLFTRLQYIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSAKLPSLLVSNSLSDISVKQPMITDATESGEDVPLTFDALVLKHGQFLSQMSRLQVADLVVPLRELAHTDANVAYHLWVLVFPIVWVTLHKEEQVALAKPMINLLSKDYHKKQQSSRPNVVQALMEGLQLSHPQPRMPSELIKYIGKTYNAWHIALGLLESHVMLFLNDSKCSESLAELYRLLNEEDMRCGLWKKRSMTAETRAGLSLVQHGYWQRAQSLFYQAMLKATQGTYSNTVPKAEMCLWEEQWLYCASQLSQWDVLVDFGKLVENYEILLDSLWKQPDWTYLKDHVIPKAQVEETPKLRIIQAYFSLHENKTNGVADAENIVGKGVDLALEQWWQLPEMSIHSRIPLLHQFQQLVEIQESARVIVDIANGNKPAGTSAVGMHGGLYADLKDILETWRLRTPNEWDNSSVWYDLLQWRNEMYNSVIDAFKDFGSTNSQLHHLGYRDKAWNVNKLAHIARKQGLHDVCVSILDKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTNGLNLINSTNLEYFPVKHKAEIFRLKGDFLLKLNDCEGANLAYSNAISLFKNLPKGWISWGNYCDMAYKETNEEIWLEYAVSCFLQGIKFGISNSRSHLARVLYLLSFDTPNEPVGRAFDKYLEQIPHWVWLSWIPQLLLSLQRTEASHCKLVLLKIATVFPQALYYWLRTYLLERRDVANKSEFGRMAMAQQRMQQNASMSGSVGLADSNARLASHGGSSLTSDNQVHQGNQASGTAVSNDGGNTQMQDSERSSAVEGGGNDQTLQQTSSNVNDSGQNGLRRTGALGLVASAASAFDAAKDVMEALRSKHNNLASELEEVAPDHTIKLDRVGADVPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPDAVVDLRLQAYNDITKNVVTDSIFSQYMYKTLLNGNHMWAFKKQFAIQLALSSFVSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNLQAFFSHFGVEGLIVSAMSSAAQAVVSPKQSQHLWHHLAMFFRDELLSWSWRRPLGINLGPVVGGSTLNPTDFRQKITTNVEHVIDRINSIAPQYISEEEENAVDPPQSVQRGVTELVEAALTPRNLCMMDPTWHPWF >KZM87347 pep chromosome:ASM162521v1:7:14877420:14877695:-1 gene:DCAR_024481 transcript:KZM87347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKTDPNGYPTVSTRIDLTEPDILDLDLIFRPERVWIWIWILGIPFRNPTRNPNPIQTRNPKKNPNIYLYSICTNILFFNFKSTIIYIYR >KZM86175 pep chromosome:ASM162521v1:7:330869:332280:-1 gene:DCAR_023309 transcript:KZM86175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPELEKPRVTEILVRMDCNGCVQKIKRALHGINGIYDTYIDFPQQKLTIIGWADPEKIVKAIRKCRKSATICSHSEQAQPTDAPPEGGQPPTEPANPPPPEAPPAETSPSAEPPKDLPPPENPPPEVLQPPPATEAAPMGSQPGQPGGPVEEVHVIYHHPPSYGSRYSYGPHVQGYGGQGSSVVTAPGTQTSNYPTPGPEIRQHTPSPIYVAHSYNTYKPSPYVTEYVSPPQYSQQPSKPEAPQNPQHVHYSEPEPPQVYSRPGPPQVVNYSRQEPPQQMNYSRPEPPQVVNYSRHEPPQQMNYSRPEPQQNINYSRQEVPQVINYSRQEPPQYTHYSRQEPPQYSYYSAPEPQPYTYYSRLDQYGEDYHNEYQGNRNGNITSVFSDENPNACRIA >KZM87734 pep chromosome:ASM162521v1:7:19931299:19931502:-1 gene:DCAR_024835 transcript:KZM87734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHILNNHLLCEVSSRDNHNSRFEAGCPDLPIHRRNHSSRNMTTNQKRNKTYTQKLGTINNPKRWVR >KZM88235 pep chromosome:ASM162521v1:7:25849564:25855570:1 gene:DCAR_025310 transcript:KZM88235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYNSVLGDNGTKRNVSAGSAFQLRPAFGQSGSCYGGGFSGGIAVPPYGQSSIQRYPYGYSDPSLFSGINGYAPLFGLNSYPFGLNSYPFGFTPSNGSTFAPVSTSMPTFGHNSSPPFAYALPNGGTSMPTFGQTCSAFGSSLANRGTLMPTHGQNDATFGSALANGGTSMPTYGQTSSGFGCPLANRGSLMPTHGQSNATFGSPIANDGTSIPLFGQNSATFGFAPANGGTSIPTFGQSNTPFGCVSADWGTTVPAFGRSTNSFWFNPSNTATSVPAWQCSYPRGPVTTNGGTPATTFGVGISHFPPAPTDGTTSVSSPTNGSFSVPACGAPLFSTLTQAALSSPICGLYSGSTTHFPTPTINASSSPGFTSGQTTTQFGTISNLNETSTCSDEIQSPYPGCQVETPLFRDSSSRPLTPKVNCGGSRVAPYTETVEMDHVSQQSTRFMSISAMPVFRDKCHEELRWEDKQLGDNGDIPLFHSARSGPPASNVMRCSKTQLPDFSTAIPISVMPEYPNKSFEELRWEDYQFNNAGGRVDHDLAQLNYFRSHVSGTGSSFQNAMPSVPPNIFASRPTCFTFPTCSTPVSCNPFLNGSHEGAYTLITQPPSIFGATIPFSHPNPSLISTSASKASTSGPSYFNYTSSAPSTSICSTSVTTPVTAYVPCNFAPSISTLDDPSPSRSRAETPLAVEGPKTTSVRYGIYSVPANNLANASATCSEVKVNKSANEEQKLLLPPKGIREGNGTEVVFSADTQETPTARTKVPLLLKDDSGISISGPSEEWFIKIGFENISRLKHTSIYVHENGKVSIELSKSLEDGFIYEDKAGNPGEAFAAEHSRGTNSVNSDHPSENLVSSNVYGLMPKLKHSDYFIEPRVEELAIKESFEPGFCSHVKDFVVGHQRYGRIKFFGETDVRQLDVNSHIVFRNHEVILSMDENKKLPVGQGLNKPAEITLCNIKCFDKAGNQHINGPKVDKYREKLMKKAAEQGAEFVSYDPVQGEWKFRVKHF >KZM89028 pep chromosome:ASM162521v1:7:33177848:33178075:-1 gene:DCAR_026103 transcript:KZM89028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGNNYNNSYGTSWADQWDPLPEYSQKTSQKSGTSAVASKGLEKTKTVASTGAKKVKGGFMWIKDKYQKTTHKK >KZM86947 pep chromosome:ASM162521v1:7:8745632:8746287:-1 gene:DCAR_024081 transcript:KZM86947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTSFSGTRHSDLSLKEQVAADMGSDKISFKKFDADSCTYGFRESSVIQNTSESGAAANYMALSSFVPSQYSLSRNGPGIETKQERRPHLEMKNDLSHLQYPNVQNHILLNIVQFAPTICQTPITEIDNPPHVEFKMPYSRRNIPKQSPERVEYELCPVQSDSSHRTCFYSEPYTRVQKQLEHER >KZM89311 pep chromosome:ASM162521v1:7:35889003:35889771:-1 gene:DCAR_026386 transcript:KZM89311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKNNNLETREITSNTPTPPPAATNNNIPRCNASQACAACKYQRRKCAPDCILAPYFPHLRQRQFLNAHKLFGVSNITKIIRNLEKYDKDEAMRTIIYQADVRASDPVGGCYRIIRDDQDRGQYILHGDDQVNFEEINSWGATENSATTLEVKRSYECEENWEEDVNPKLLPYDRREEFKIEAEEKSFDSVDDFRLKTTDAI >KZM87838 pep chromosome:ASM162521v1:7:21003970:21005241:1 gene:DCAR_024939 transcript:KZM87838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNPSSHNSRQPNHHTPSQNTHVPRQINTPYAKPTVTAPKSILVDLSNLGKLDNMLLNLQPGMMICVPQNGVVHNLFLAAKWENMVFYRGQNYFVHLIGEFYANMIVQKGLDDALKISTVVHNKNLLVDVNTLNRCLKLGENVPHQPCINIYEKFVFDKKEFELFVGHFCDADVPLGLCEENCAIEYHHFTPLYQQVAIVVRSNLLPKPKNAHYFDFVDLKVMFQLVTNQIEFNINYVILLNMIMAFEVEYLPYGLLLTSLFELYHIAMPRILAEKIEYCDIINLVKPQVPLRNCKPFAVSPVCISPTVMITGNTHASVKNGAEINKLKGEIEILKEMTTSIVARLDQLEGKNKDDSTVGNVEGIDEKMDRLFSEEMVNEMVDKNDKMAIDEAKKSDKEMLPGMIDLTDDMGFVSVDGPEKA >KZM89167 pep chromosome:ASM162521v1:7:34598669:34599945:1 gene:DCAR_026242 transcript:KZM89167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGCEHIDLFEKLPQECIEEVVSRVGPMEVCRLSAVCKRFLSAAESDLVWDRFLPSDYQQLRDRADAFPNIKFAHKKDLFLFLIGNHVSMDGNTMCFWLSKRTGKKCFLTAISIVGDVEEVYFEDDGPYGWSRVKDERSRIQGCFTLCEHVELFGIQGKMSTSVLSPNTTYTIYLVCHSRKYVLINNFDEPVEVSIAIDGVESIKHIVYLDRRKMRSKGDNDLRYPQQRKDDRFEVELGEYFNKGGGEVSLEITMTEVRSGKFKSGPTMEGFEFRPIRSTNALS >KZM86324 pep chromosome:ASM162521v1:7:1703576:1705001:-1 gene:DCAR_023458 transcript:KZM86324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLISRSLCHVSAGIGKTITGGHTSTFTHRRCYIPMVIEHSSRGERAYDIFSRLLKERIVYINGPISDDTSHVVVAQLLFLESENPSKPIHMYINSPGGAVTAGLAIYDTMQYIRSPISTICIGQAASMGSLLLAAGAKGERRSLPNARVMIHQPSGGYSGQAKDITIHTKEIVRIWDALNTLYVKHTGQPIEVIQKNMDRDYFMTPEEAKEFGIIDEVVDERPMALVTDAVRTEGKDKTST >KZM86893 pep chromosome:ASM162521v1:7:8103937:8111239:-1 gene:DCAR_024027 transcript:KZM86893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISELSWEQCQAEKLPLFAETQMSSKPLRIALFIEPSPFAYVSGYKTRFQNFIKYLREMGDEVMVVTTHGGIPDEFHGAQLVGSLSFPCPRYEKVPLSLALSPRIISKVSEFKPDIIHATSPGFMVFGALTIAKLLSVPILMSYHTHLPAYIPRYTFSWLVPPMWWIIRFLHRAADLTLVPSAAIAEELEKARVTAANKIRLWNKGVDSITFNPKHRSHKMRSRLSNGEVDRPLIVHVGRLGVEKNLEFLKRVMDRLPEARIAFIGDGPYRAELEKLFSGMPAVFTGILQGEELSQAYASGDVFIMPSESETLGNVVLEAMSSGLPVVAARAGGIPEIIPSDQQDKTGYLFNPGDIDDCLSKLEPLLKNSVLRQTIGKAAREEMEKCDWKAATAKIRNEDYDDAIGFWRKKRAQPLSTMQWFTSIFRSRTVDICVVEGRLAEFTSEFGKDKGDRTKQLNDMTKHIERLESVSLRATDDLTSKSTLDSRSIVIDIVSPPTYISHNPLPFTTPPSPSPHTHFNLLLKIEFPKFDGTIRKGAVKMFRPQSSGDAVFLAKQEESKSKRPFVSVVEPFTKPASTVGIDVKKIPPTPYTVGTQKFQPSPKKILEEIQRIVLPL >KZM89138 pep chromosome:ASM162521v1:7:34233240:34234013:-1 gene:DCAR_026213 transcript:KZM89138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGGCCLARYNDMSEVDRIMLKYRPIAPKPVGVSGGGAGGSVPDISGTTRPKRRYVKNGNKRVGTGRRKVSPEKSSSGGSSSSGETVVTLPLLPETPVKGKNSPIWLSFDRGDMGHVAFTERPARLVESCVTVESVMDTWLDRYGLGRTDEEKVMTLENDTCPGFVSDGYNSVRWTNKAYREMNGGDSTRVWLVMKDLVVLPLMSEAFTCRVRVVTCRNDGGSPCAMTVPCDVWRMDNGGFAWRLDINAALCLGR >KZM87149 pep chromosome:ASM162521v1:7:11610627:11613117:1 gene:DCAR_024283 transcript:KZM87149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDKASPALKQILLKIYRAEYPIEVDHCLHEFGSVEYRIQSSASDPQHTYLSISTPLLSQGILLSYGLPRYTTELLNRIYSDVVELEEPAREGFQLTLRINLGKIPKKKDAEKVITEISSVQAIILGSQLKEMLKNVNSQEVSRGPYRPIKLVYHPREPFFVVKQETSVITIFPIRFKEDSDVIIATSFFQELMDVGSSGAFLKAPPCHWSPIPPHELRGEPIDELSTNGGFVSFEISSRHVEGKKLEKMVWCLLNFYAFLKNHVKSTRGFIQRRMRRRLESLVEVLQKASKEEDHIKKPQGMPHSKCYTS >KZM88406 pep chromosome:ASM162521v1:7:27645827:27649204:1 gene:DCAR_025481 transcript:KZM88406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSRTGRRAFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLENLPLPNIKWICPTAPTRPVALLGGFPCSAWFDVGELSEDAPDDFEGLDGSAAHIANLLSTEPADIKLGIGGFSMGAATALYSATCFAQGKYGNGIPYPINLRTIIGLSGWLPGSRSLRSKIEGSNEAVRRAASLPILLCHGICDEVVPYKYGESSSHCLSSSGFRYLSFKTYEGLGHYTVPKEMDEVCNWLQARLGLEGSR >KZM86719 pep chromosome:ASM162521v1:7:6182720:6188477:-1 gene:DCAR_023853 transcript:KZM86719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEYSNPNKILLCFFFISLGITCVLAQEDDSNASVKDLGWASVKEKVLDRRSKIVLNNGGNTKRGTTDLESIVTDLNLDTGLGVFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTILSTGLGRIVPNLISRKHTNILYAFFGLRLLYIAWRSTDSKASSKKEMEEVEEKLEAGQGKTTVRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNALGVAVGASLGHTICTSVAVVGGSMLASKISQRTVATVGGLLFLGFSLTSYFYPPL >KZM86745 pep chromosome:ASM162521v1:7:6408672:6409148:1 gene:DCAR_023879 transcript:KZM86745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTISQAASLPWSEAPSRYEKQKRRDWNTFCHYIRSHKPTDVHQPVWTSSHILEFLRYLDQFGKTKIHNQTCPYFGVPKPPVPCPCPLRQAWGSLDALVGRLRAAYEENGGAPESNPFGARAVRVFLREVRRFQAKSRGITYDKKKRKRGCGFQKVM >KZM87932 pep chromosome:ASM162521v1:7:22153914:22161125:-1 gene:DCAR_025033 transcript:KZM87932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFPYLKEKIRSKQQRSAPELKTQNHSSTSNVSTSGRVTQSSSSTISSRGIPELFEEKAHNLRIFSYSELRRATNDFSRLLKIGEGGFGVVYKGTIKAVDGRGDRIVVAIKKLKQDGFQKLVTATRQCFDRALCALPVTQHGRVWEIYLAFVRQRGVPIETALRVYRRYLEYDPSQIEELIEFLVDSELWQEAAERLAGVLNDDTFCSIKGKTKHELWLELCDLLTQRANEISGLNVDGIIRGGIRRFTDEVGRLWISLADYYIRRKLLMKARDVFEEGMTMVMTVRDFSVFFDAYLQFEESMLALKMEDMSESEEEEGEGSMVEEEDDDEGDRLNVGDIKLKIKKLWLSDDRDLNLRLARLEDLMDRRPELANSVLLRQNPHNVEQWHRRVKIFEGNLARQLETYTQAVRTIDPMKAVGKPHTLWVALAKLFETHNGINDARVIFDEAVQVGYKAVDNLASVWCEWAEMELRHKNFKVALDVMRRATAEPSVEVKRRVTADGNEPVQIKLHKSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYAMLLEDHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKSKLERARELFEHAVEMAPAESVKPLYLQYAKLEEDYGLAKRAMSVYDQATKAVPACEKLSMYQIYIARVAEIFGVPKTREIYEQAIESGLPDKDAKTMCIKYAELEKSLGERDRSRGVYKHASEFADPRCDADFWCKWHEFEVQHGNEDTFREMLRIKRSVTAKYSQSHILLPEYMMQKDLKPNSEEAMDPQKRNGILGDDMAALERQLAPPTNDAAAKDSTRMLGFVSAGVESQTEGGLMVAANKEDIELPDESDSEDEGNIEIPQKDIPETVYGGIRKRSAEDEDGDKGKEEEDKLGALGHKEWISEVLFLSVVEHPNLVKLIGYCAVDGERGIQRLLVYEYMPNKSLGDHMFNKAYVALSWDKRLKIVLGAAQGLAYLHEELEVQVIYRDFKSSNVLLDEDFNPKLSDFGLAREGPTEGNTHVSTAVMGTHGYAAPDYIETGHLTSKSDVWSFGVVLYEILTGRQSFERNRPRAEQKLLDWVKKFPFDSKKFDLIMDPRLESQYSSPAARKIAKLADSCLMKSAKDRPTMSRVVEILKHIIQVSGEYDSSTETFVFSDDESVEQNKSPEEERVSKSAKRRMLHLATLGDHVNGLSKRGFMIMQRTKVPQKIASLQY >KZM89172 pep chromosome:ASM162521v1:7:34638361:34641141:1 gene:DCAR_026247 transcript:KZM89172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARSLLLYFTLLVFFALGLQASAETDVLDAKALQDLYRTLNTPSQLKGWRTDGWDPCAESWTGVRCNGPSVIQLIIPGLQLGGNLGFQLSNLRNLKQLDISSNYIQGEIPYHLPANVTHLNLANNNFSQKIPYSLTNMKHLRHLNLSHNSLSGPIGNVLTGLTNLKEMDLSFNNFTGDLPSSFEDLKNLTSLFLESNGFTGSVNILSNLPLSDLDIRDNHFSGVIPQTFQSILNLWIGGNRFHRGEGLVPWLFPWESTPSPPSIESSKIDNHPSHHEHKHKKKGFGPGGIAS >KZM86199 pep chromosome:ASM162521v1:7:547517:548678:-1 gene:DCAR_023333 transcript:KZM86199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFIAKHSLTILALTHIFFFPCFLLGKADDYFPQTGAANGYVPQTGGANGYGPQTGANGYGPQTGSVGVDDPAEVVSKALLCFNNNYVYSSCEQSYRLTESGDINVPPEYADQYCRGPCFSETNLVLNCIDNILSHFLFYNRASIQDVRETIKAGCSYGPERGNFNVAEHIQARENSASKSSKSAMLGLLLMTVLMGYYLLY >KZM86648 pep chromosome:ASM162521v1:7:5298582:5302664:-1 gene:DCAR_023782 transcript:KZM86648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPSQLKRGISRQFSTGSMKNVKFSLKRQNSLDPRAKTLRFSFGRQSSLDPIRRSSAGDDELMSVPENLDSTMQLLFMASQGDVDGVAELLDDDVDVNSIDLDGRTALHIAACEGHTDVVELLLSRKANIDARDRWGSTAAADAKYYGNFEVYNILRARGAKTPKIRKTPMTVANPREVPEYELNPLELHVRKSDVKVYGFVDYVYANCHRINAFKNELTILEKGDLETYLKRKGRLSLSKALKFALDIARQVNLRGMSYLHECKPDPVIHCDLKPKNILLDSAGHLKVSGFGVFRMSTISPDKAKLLQPEVIDHCNLYMAPEIYNDEIFEKRADVYSFGLIAYEMVEGVQPFQPKSPEEAVRLMCVEGKRPKHKSKSYPSDLIELIEQCWSPKYDSRPSFAEVIVRLDKIILNCNKQGWKDTFKLPW >KZM87056 pep chromosome:ASM162521v1:7:10535621:10536908:-1 gene:DCAR_024190 transcript:KZM87056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASDKSGSSVFETGKYTTALVKVEAETSCLGKNKASSLTVAPPKQLLIATPSDAEAGEFPVLLLLHGYLLYNSFYSQLMQHIASHGFIVIAPQLYTVAGPDTTEEINTTAAITKWLSEGLQGFLPSSVKANLRKLGLAGHSRGGKVAFALALKKSLASKFSAIIGIDPVDGTDKGKQTNPPVLTYVPRSFDLNMAALVIGSGLGEIKRNALFPPCAPSGVNHKDFYNECREPACYFVVKDYGHTDMLDDETPGIRGKASHCLCKNGESREPMRTFVGGIMVAFCNAYFKGDFGALTLIRDGDETLPVMLQTIEFLM >KZM87047 pep chromosome:ASM162521v1:7:10411600:10417496:-1 gene:DCAR_024181 transcript:KZM87047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKYESRKHVEMPPSKPFSNSLQSSLKETFFPDDPFRRFRNQPISRKIVLGLQYFVPVLEWAPRYSFDFFKSDLLAGITIASLAVPQGISYANLANLPPVIGLYSSFVPPLVYAMLGSSKDVAVGPVAVGSLLIASMLGKEVSPIDNPKLYVDLVFTATFFAGVFEAALGFLRLGFIVDYLSHATIIGFMGGAATVVCLQQLKGILGLVHFTHSTDLLSVTRSIFTQTRQWRWESGVLGCCFLFFLVLTRYMSKRKASFFWINAMAPLASVILGSILVYFTHAEQHGVQVIGHLKKGLNPVSGSELAFGSPHMGLAIKTGIITGIIVLAEGIAVGRSFAMFKNYHIDGNKEMIAFGVMNIAGSFTSCYLTSGTFSRTAVNYNAGCKSAVSNIVMATAVMITLLFLMPLFHYTPLVVLSSIIMAAMLGLIDYNGAIHLWNVDKFDFVICMSAYVGVVFGSVEIGLVIAVVISLLRVLLFLTRPRTLLLGKVPGSMAYRSTEQYPEANNVPGILILRIESPIYFTNSNYLRERIWRWINEEEDKLKDSSATELQYVVLDLSAVGSVDTSGISMLDEVEKSTSRRGIKLVLVNPGSEVMRKLGKSGFTERIGRECMYLTVGDAVDGISFVLHSHKSKAVEAEDVNINNIV >KZM86604 pep chromosome:ASM162521v1:7:4827226:4830309:-1 gene:DCAR_023738 transcript:KZM86604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGAYGEKIETFSHPSEDSVFGLMSSLSFFGPGRFLGDNKFKDLILRLDSGQVDYIFDYLRIRNPVFAVDFFFLLRNEYGFRVSKSCVFGVSHVLAGMRRLRELRVLMSELVHEEGSDSAPSLCELLWMFRDWDSNGLVWDMLTFTYSRFEMIHDALFVLSNMKKLNIRASILTYNSMLYNLRHFDIMWGLYNEIRDGKVHPSGQTDSILTDGLWRQSLFEEAVAFLRETERKYTSTNVVSFNILMSGFSKLGYVDISKCFFCLMFKCGLLPDAYSYNILIHGLCVAGSVEEALEFANDMEKHGVRPDLVTHNIIVKGFRLLGMMSGAGKIVQRMLQKGLNPDIITYNILVCGHCQVGNVDEALKLHKEMLSQGMRLSNVSYSLLLSGLCKSGRVEEGLRLFYRVENIFLKPDTMIYTILIHGLCKQGEVRRAIQLYQEMCRKGVCPDAFSQRAILLALCEKGTISDARFFFDRLVNCDFVEDIVLYNMMIYRYAKIGNIQEAKELYIKLIEKQITPSLVTFNSLIYGFCKAKILADARRWLGVIKVHGLVPNAITYTTLMNAYCEEGNTQAMFELLGDMEARDVLPTHVTHTVILKGLCKQKKLHLCVRLLKQMFCKGLPPDQIAYNTVIQSFCEARLLKIAILLHNQMFLHSLQPSSATYNIIIDGICKYGNLRDVDVLFSFLQDQKVQLSKVAYTTLIKAHSAKGNVQKAAILFGKMMDMGFEISIRDYSAVINRLCKHCLTNDAKLFVRAMLYTGVPLDHQICSVVLHSFAHVGDICSICELLPYMIKCGLHSG >KZM87773 pep chromosome:ASM162521v1:7:20283150:20283961:1 gene:DCAR_024874 transcript:KZM87773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDFCYVQRETVHHRSEVHAAPGTSRGVEIVDNDDLSDASPNNNYGCLSTPEVAKLQENLRSSTLELQKKVKDPLPEALAMAKNVVPKLDCVDKRSDVIPAENNNLENEGRNAAKASLMERNKTACTYEWDESSDVSADESRPHLSSHKTRLVTLEKHKNPKPLRRKRKFWSNLEEDTLRAGVQKYGIGNWKLNLDMYRDILNERTDGDLKDKWRNMTA >KZM86367 pep chromosome:ASM162521v1:7:2054931:2057108:1 gene:DCAR_023501 transcript:KZM86367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDIMGILKDLPNDGGVPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGTHEYHQETLNNLRIGMQNTEIMCAVMLDTKGPEIRTGFLRDEKPIQLKEGQEITISTDYSIKGDEKTISMSYKKLPLDLKPGNTILCADGTITFSVLSCDPAAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTEKDKEDIMNWGVPNNIDMIALSFVRKGSDLVNVRKLLGPHAKNIQLMSKVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPETAVKIMARICIEAESSLDYRTIFKEMIRATPLPMSPLESLASSAVRTANKSHAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPELTTDSFEWVCSAETPARQSLIYRGLIPLLAEGSSKATDTESTDVILQAALKSATKKGLCKVGDAIVALHRIGSASVIKICIVK >KZM89018 pep chromosome:ASM162521v1:7:33113199:33116855:-1 gene:DCAR_026093 transcript:KZM89018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGGAETSMMNIIIPEEWSEAARTVANAASPPVTFVCGPKNSGKTIFSRHLVNVLLQSYERVAYLDTDVGQTEFTPPGFLSLTVIDQITPDLTIPCLKTPERCYFFGDISPKSDPTMYLKYISALYDYYEKEFNMSDLSGLPKDTAVPLVVNTSGWVKGIGFVILVDMLKYISPTHMVQIRISADHKNLPTGGFWLDERDDASATLIEISSARQDSLKRSVLVQKDARLLRDLRIMAYFRQCFPSETNITTIKELARSLAAHPPYEVPLSSVKIQHLHSQVPSSEVFYSLNATIVGLAVSSEDNDRLPSCVGLGIVRSIDTQKKIIYVITPAPHNTLEKVNLLLQGFIQIPNCLLQVQGCFSPYMASNVLPVN >KZM89182 pep chromosome:ASM162521v1:7:34718678:34719454:-1 gene:DCAR_026257 transcript:KZM89182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELQLPEPQLNGAAAATLAVTSAENVGAKRQRRPSVRLGDIGGDQSFDSHLNLRRSSKFQWRNQVNRATEGSKTRALLNLSGFEGQETLDGDDREGNLDGVAIGSWKVGDFMSKKRSGGGIKRIRSNWVSKMGDDGGEGDELDKFSDDGGEGFRDVDDSESPRKGISRGDGGGDSMDEVRRQEEENWGNCNGERNGVRVWLNELGLGRYAPVFEIHEVDDEVLPMLTLEDLKDMGINAVGSRRKMFSSIQRLGKNFS >KZM87700 pep chromosome:ASM162521v1:7:19552915:19554138:-1 gene:DCAR_024801 transcript:KZM87700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFFRIAAISLTDDYNNLFWLRVDHPDYWRVDKTDYCEHSHTNSGRTCSFFTNSVDLKHNGISFCNYPRFCPSVSIGSTVYCVGGDEDIDADVNAIFSELIGPPITYNRHNFSFPVPRNNLSHKIGSLDFLNPRREEIDWKLDNAPALFSPRYLPRVVAVGEKIYLFGGNRLPVNNLDYVPFAEVFDPEAATTKCFPICDPPFPCRIGRGILFLAPFLGRDDEQKILVMSRAIYIDPPLGADAAAIYDIPTDTWEPFDDPDRRQLLHNTHDIIRTPIPVAHQDSIYWLRGRYVKSPLLIASYNWKTKHFWKGTISGLQHESPFHYINDSSKMLLHLHQDLFCLVWDDLVSASTSDDDDDDHTHIHFTLLRVCREDPPSTSLSAFVVGCFSHILPLSCEVQQAYLLR >KZM88389 pep chromosome:ASM162521v1:7:27516965:27519583:-1 gene:DCAR_025464 transcript:KZM88389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSPKTPQIPLNSDIHLSPISNTLKSKTLNFSRIIQRHHFSLKKTQEVSVFSTNSTDNKDPNSQICELCLQGNLEEALTHLESLQELQVCVDEDAYIAVLKLCEWRRAANEGSRVYGYVCKNGSNLSLRLGNALLSLFVRLGNLVDAWYVFGRMSERDVFSWNVLVGGYAKGGFFDEALDLYHKMLWAGIRPDVYTFPCVLRMCGGVPDLGRGREVHVHVLRFGYALDVDVLNSLITMYVKCGDVCSARMVFDRMVNRDRISWNAMISGYFENDRCLEGFRMFFMMRECYVDPDLMTITSLISACQSLGYQRLGKAIQGYAMRAEGGMDVSVGNALVQMYSSVGNWEEAEKVFSRIESKDVVSWTSMISAYENNGMPEKAVDTYKMMELEGIRPDEIALASVLSACASLGLLDMGTKLHKFAKRSGLISYVIVANTLVDFYSKCGCMDQALQVFDNIREKNVISWTSIILGLRINSRSFEALIFFRNMQLILKPNSITLISVLSACGRVGALMCGKEIHAQALRNGLVVDGFFPNALLDMYVRCGKINLAWNQFNTQKRDLASWNILLTGYAQRGQGALAVNLFNRMIETEVHPDEITFISLLCACSRSGMVAEGLQYFKSMEHQYYITPNLKHYACVVDLLGRAEKLEDAYKFILDMPIEPDKAIWGALLNTCRIHKRLELGKLAAEHLFKLDDSTLGYYTLLCNFYADNGKWDEVARLRKFMKEKGLSVDPGCSWVEVKGKVHAFLSGDDFHPQIKEITAVLEGFYDKMAAVDANGPEMSSITEVESSKADVLCGHSERLAIAFGLLNTAPGMPILVTKNLYMCKGCHNTIKFISKIVRREISVRDTDHFHQFNDGICSCRDEGYWDD >KZM87589 pep chromosome:ASM162521v1:7:18412421:18416802:1 gene:DCAR_024712 transcript:KZM87589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDFKETGLKKLEYLSLVSKVCTELESHLGFGDKVLAEFIIELGKNCVSVDDFYVKLKENGAEMPDYFVRTLLTIIHAILPPELKLGDDKEEVGEGSSCKSSFPGLNIGDSKDRVRELEREIAFEAEERRRGKGPRECMYRDGGRGKSKSRHGGERERCRDRDVGDERGDYRKASGNERDGGNEGDGELKCVEPELYRIYRGRVSRVMDSGCFVRLDDFRNKEGLVHVSQIASRRIPNAKDVVKRDQEVYVKVISISGHKLSLSMRDVDQNTGDDLLPLKKSSGDVDVVRANPSASHKGSATRTSLSGIRITEEDDAGPSRRPLKRMSSPERWEAKQLIASGVLSVNEYPMFDDETDGLLYQEEGAEEELEIELNEDEPAFLNGQTRFSMDLSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRAMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYSVPEWKKDTDSKILTYGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTHGKIGCTQPRRVAATSVAKRVAEEFGCRIGEEVGYAIRFEDTTGPDTVIKYMTDGMLMREILIDENLSQYSVIMLDEAHERTIYTDVLFGLLKKLVQKRRDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPAGDILLFLTGQEEIDYACQCLYERMKGLGKNVAQLIPLPVYSALPSEMQSRIFEPAPPGKRKVVIATNIAEASLTIDGIYYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHNEMPPTTIPEIQRINLGFTTLSMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMITTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCYENFVQLRSLRRAQDVRKQLVAIMDKYKLDVVSAGNNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVIVVDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >KZM88313 pep chromosome:ASM162521v1:7:26735920:26742115:-1 gene:DCAR_025388 transcript:KZM88313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAGQGGSSVDMFLRNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPDIRTHPWFQAHLPRYLAVPPPDTLKQAKKIDEEILLEVLKMGFDRSSLVESLRNRIQNEGTVAYYLLLDNRFRPSSGYLGAEFQESTSETSPTLGQRMPGYMDYQGTGARPQVLVDRKWALGLQSRAHPREIMTEVLKALQELNVSWKKIGHYNMKCRWIPGIAPHEGMVNNSMHSNHYFGDDSTIIESDSAARLLNVVKFEVQLYKTREDKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >KZM86720 pep chromosome:ASM162521v1:7:6192517:6192825:-1 gene:DCAR_023854 transcript:KZM86720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNTKLVVSVAKASADAWQYLACLPETINNQHLLDLVLCFPLEQLGRFALCFWSFFCVPSSPDSYYLYHSYNRSDSSSSDSDSDSVHFRRRFYRNDPQYSD >KZM87441 pep chromosome:ASM162521v1:7:16429290:16431969:1 gene:DCAR_024575 transcript:KZM87441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSPMFEDEWWWQGEDDGGRLLGLGFDGCLGMVVVVVVKEEERWWWWGWRMLVQMGWVEFEEVDGPAALGKRNTDSFMHTPLGSGNIAQLVELRSCNWVVAITDWMSNCPGGNDGTRRLDLAVRRMLGINNSLLGLRPPQSLRAPRSVQWDVSIYLSLDSKWEPGLKKDLRVSRVGPGGSLNAFFFLLIGVLSQRLAMVRKKGGTSTLRERSTTESCMLRSGRMNRSRKGIY >KZM88739 pep chromosome:ASM162521v1:7:30894142:30897656:-1 gene:DCAR_025814 transcript:KZM88739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLSESRDLTRIERIGAHSHIRGLGLDSALEPRVVSEGMVGQTSARKAAGVIVQMVKDGKIAGRAVLLAGQPGTGKTAIAMGMAKSIGLETPFAMLAGSELFSLEMSKTEALMQAFRKAIGVRIKEDSEVIEGEVVEIQIDRPAVAGVAAKTGKMTLKTTEMETVYDLGAKMIEALSKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILIVATNRGITTIRGTSYKSPHGIPIDFLDRLLIISTQPYTEEDIRKILDIRIQEEEVEMSEEAKTLLTKIGVSASLRYAIHLITSAALACQKRKGKIVEMEDVSRVYNLFMDVKRSTQYLMEYQSEYMFSELQTSAADDDEAIAMSS >KZM88320 pep chromosome:ASM162521v1:7:26790269:26790514:-1 gene:DCAR_025395 transcript:KZM88320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLCLYIFLLLSHGVMSDISPAPAYIPADNILINCGEASKDYNTSLDGREWDTDYLPKFTPLPIITSIAPQLRLHHKELM >KZM87904 pep chromosome:ASM162521v1:7:21879226:21880236:-1 gene:DCAR_025005 transcript:KZM87904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFRVRKRSFILDSLARSFSTRGGDFVPNYSKDGARSSSTNQEGRRMPPDPIPNRPLRSEKPNHQRKTFNQGRGGADQGTFNQGRGGTGPTRMDSNKAGNAFNSRSPQQPSKPQAANLDFLEKFKLGFDKVENTSPPKIETNNNDQAMELSQPEDADEIFKKMKETGLIPNAVSMLHGLCQDGLVQEAMKLFSLMHEKGTIPEVIIYTAVIEGFCKAHKLDDAKRIFRKMQGNGITPNAITYGVLIQGLIKTKNLDDALQFSVEMLEAGHSPNLATFTGLVDCFCLEKGLEEAQNMIRMLKEKSFFFEDKAVREYLDKKGPFSQLVWDAILGKSC >KZM86769 pep chromosome:ASM162521v1:7:6674124:6676103:1 gene:DCAR_023903 transcript:KZM86769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNNFPNSANPNPKLNDSQMASDPINNSPPHIIDPDAALSQSEYLTRREVLSRRSRKLHELTNIYKDQYWAMMEELKNQFGEYYWEYGKSPFVEDEDGVENNGNANVNAEGENNNNNNLEIGEGEEDGDKGAGKGSGSGSGVLSNNRCAVQGCKMKAMPLTQYCHMHILRDEKQVLYKGCTYVIKSSQAGPILCGKPILRTSVPSLCTPHMQKAEKHVARALKKAGLSGHSTSKLAPQFHVIVAEFVNQIQAKRRAARDVKKEPGEVKEPCEVNEDDDISL >KZM86699 pep chromosome:ASM162521v1:7:5882610:5885155:1 gene:DCAR_023833 transcript:KZM86699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGESDTPKSIYNFTVKDIQGNDVALSNYKGKVLLVVNVASKCGFTQSNYKELNILYEKYKDQGLEILAFPCNQFGWQEPGTNEEIQDTVCTNFKAEFPIFDKVEVNGNNAAPLYKFLKAEKGGLLIDAIKWNFTKFLVNKEGKVMGRYAPSTSPLKIEVVHPIQDM >KZM88461 pep chromosome:ASM162521v1:7:28112729:28115538:1 gene:DCAR_025536 transcript:KZM88461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTHKSSVLSQIPYNSLYVNPLTDLKHNRSFSEGCRGKPDIVPLGVHIRRGSDSNKENVVVPSKTESLGNVDKENAEPNSGNLAVAKKVSTEKFHKKPRALKPTSLQLCMQMNEPDFLLGSGNLDNSEHSSSLKIWDYSDSESAPASSWSTIPNRTLLCRPLPVDIGRCTCVIVKEKCLEGLNRGSLYTLYTNEGHGRQNRKLAVAHHKRHNGRSEFIVAQSAKGILSPGDDSFVGTVTSNLMGSKYNIWDQGRGPNSMIKQSKLLAAVTFIPTIASWSGSHRSMKAWVPKHQSMQLKSTSQVQHINGLPKDWEEKMDKVHQLFSRIPHYNSNSKQYELDFRERRGKNGLKIKSSVKNFQLTLEKYGRQAILQLGRVGKSTYVMDYRYPMTGYQAFCICLASIDSKLCCTM >KZM87800 pep chromosome:ASM162521v1:7:20621165:20622712:1 gene:DCAR_024901 transcript:KZM87800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNQSSSSKADRKTIEKKRRNHMKGLCTELNSLVPRQNLREVKSLTDQVEEAEKYIKKLQVKLEKMKEKKERLMRDNPNARFYGGLATQPQIEIHESGDALVLNLVTGLEFEFMFNETIRMCHEEGLDIVNASFSVTENSVLHNIHSKIGESAEEGAIARVTEKLNTFVAGSASY >KZM87014 pep chromosome:ASM162521v1:7:10028217:10029944:1 gene:DCAR_024148 transcript:KZM87014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESVLPFMGMVIVQVAMVGQLVTGKEAMLDGMTNFTFVFYFNALSFLILITSLFFFRKWTHLPALTFALVWRCVVFGLLGFAIQITGYKGIQYASPTLASSMLNLIPGFTFILTIIFRLEKAEIRSSSARAKIIGTLVSVLGAFIVTLYNGPRILKSTSSLNATQKLTQSQDWVIGGLLLAMTSVFASLFVIAQALILKKHTSKLVVSLFYSSTIAILSAILSLIIDKDLSAWSLQSNVRIMAVVYTGLFGATFQVTISSWCMQRTGPLFVVMFQPLGIVISSIVGVMFLGDAFYLGSLVGSVVIIVGVYTVIWGISEERKISEAKSISSPLLQDNSKFEDTTLLGP >KZM87464 pep chromosome:ASM162521v1:7:16633579:16636386:1 gene:DCAR_024598 transcript:KZM87464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLILHLCATINICLLCFPYKSFCADNKFKECKKARYCGNQTIRFPFYTDDIPQYCGFPGFELTCINKDVLLLNLFDDQYKITQVFYANNSFRVSNVLSLRSGFCSLSKIRNLELPGGDERFELHSSSTLILFSNCTSQSGDRFSKNKVGCDLKKDGADWVLAMKTDDPDVDFAYEACESVVLAPVHDYGEDGDTDYLNLIRNGFDLKWTVTGCRECKDSASSKGKLGVKVGLAGALAAGVLISAVLLFFFWSKCSSCRYLISSKSVRKDREKVEAFLKLQGNNAPKRFRYRDIKKMTQSFKSKLGQGGYGGVYKGKLPDERAVAVKILNTSKSDGEEFLNEVASISRTSHVNIVSLLGFCFEGPKRALVYEFMPNGSLEKFQSDGKSSAAQQLRWQTLNEIALGIARGLEYLHRGCSTRILHFDIKPHNILLDEKFCPKISDFGLAKLCLGEESIISMQDMRGTPGYIAPEIFSRNFGGVSHKSDVYSYGMMILEMIGAKNNINEEVDNCSSKYFPDWIYDRLELNADQIELADISDEVEEESTRKMLIVGLWCIQTHPSDRPSIKRVLEMLEGNVKSLRIPTRPLLKSTEEPLETFSTT >KZM88479 pep chromosome:ASM162521v1:7:28218092:28220172:1 gene:DCAR_025554 transcript:KZM88479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDIKERLLPPRPASAVNLRDAAYRPSGTGRQPYQAVDVLGLKKRGQGLRSWIRVDTSGDSQEIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTSGAGEVWHPEGAELTQRRGGNRYIENMHDSNSPDYLPFEFKALEIALEAACTFLDTQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKSRMESASLYGDQAMSGYRSNDVAFSVSAPVTPVSSPPDGRRLEKSLSLARSRHESSRSSESATESIDELEMLLEAYFVDLTS >KZM86995 pep chromosome:ASM162521v1:7:9552636:9558802:-1 gene:DCAR_024129 transcript:KZM86995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEEEKNWGGGGFEGVGAATRTPVTGATTSQVTQHGSPVWQLNLPLFASIQFVQPQDIRLRSRCVPTALSKKNLSESLVGDGSDGEGEVVEKKVRKTSKRAPARGRRKAKVEIPEDSLLPEKADDVTDDKALFPVSTEKPQRRTRKKALVAAESTSDSIVEEKPVKKVTRRRTKKKIEDPVDQVSETEVSDSEGEAVDTDVEDDNEKGLEFEYDDGEDDISFTYGWPPLVCCFGSAQHSFVPSGRPANRLLDYEMHERMKDALWAPEKYIRAPGSCSSNVALALANLGGKVAFMGKIGDDDYGQALLLHLNESRVQTRSVRIDSKRVTAISQMKIGKRGGLRMTCVKPCAEDSLLKSEINIDVLKEAKMFYFNTFSLLDKKMRSTALQAIKISKKLGSLIFYDVNLPLPLWQSGEETKMFIQQAWDLADVIEVTTQELEFLCGIEPFENFDTKNNDKSKFPHYAPEVLAPLWHENLKLLFVTNGTSKIHYYTKEHDGAVLGMEDAPVTPFSCDMSAAGDGIVAALMRKLTIQPNLITDKGYLEHAIKYAINCGVTDQWLQARIRGFPPKEEMEGDIVPDTNGIMSITEKQYRTLVPVSSTI >KZM87270 pep chromosome:ASM162521v1:7:13353164:13353755:-1 gene:DCAR_024404 transcript:KZM87270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAYYRRITAGAAHFRQPTIPTPPPPVHLSEKLLFPSPRQISLRSSHTRQWLIEAAPVGPRQLQSSQSSSSDDEVNKAAETQKRGQVKEATRAEVVAAAAVTVALGVGNRVLYKLALVPLKHYPFFLAQLATFGC >KZM87513 pep chromosome:ASM162521v1:7:17618220:17619232:1 gene:DCAR_024647 transcript:KZM87513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPMLQLVSLFLISLVLQASAQPTPSSDITIVGAVYCDACYNNSFSKQSYFLPGVDVHIQCNFRTNSPGTREEVSLSVDRSTDAYGIYRLEIQSVEGMDCTAASMVQSSCQASLISLPINTACTYPGITTTSREITLRSKQNNNLCTYSLSAMTYRPPTKNATLCGNDHIEAKNLATDSFQSSKFFFSPFNWPPLPQLPPLPQLPPLPPLPSFPQFPFQPPSSNTPAPPPPPPPSLPFPFAPSIFPPNPFLPSAPPPPPPAFNLADPRTWFLNNPFISRSPPPPFYRDPRTWIPINSQELKHNAQNQNP >KZM86737 pep chromosome:ASM162521v1:7:6329514:6332778:-1 gene:DCAR_023871 transcript:KZM86737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSSQSTSEESLAMPPDIESIVYKKTSPGSPNSVVDGRNQGNMQQQQQQLHRIRDRMFLNGSTQCASLFSRQGNKGTNQDAMIVWENFGLKSDAVFCGIFDGHGPSGHLVAKSVRDSLPVKISTQWEVDTKKNNDLRGINLNTSGSMKCKSTSFLIADELARESVHIDETDKQSDFVQTLKDSFMKSYEAMDRELKMYTNIDCFCSGTTAVTLVKQGQNLVIGNVGDSRAIMGTRAEDNSITAIQLTVDLKPNLQEEAKRIQGCKGRVFARPDEPEVLRVWLPNNDFPGLAMSRALGDFCLKTFGLISVPEMFYRRLTRKDEFVVLATDGVWDVLSNDEVVDIVASVPLHSQAAQALVESAVHAWKDKYPTSKVDDCAVVCLFFNSNNLYSASATLSPEKMMQKCVASGTKKDPVGTI >KZM86507 pep chromosome:ASM162521v1:7:3569922:3571745:-1 gene:DCAR_023641 transcript:KZM86507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAEDEEQIRYHPRSLFYIVKRQLYGAPRTTLQRNVLTIRDEGKRQNAQTSGDRDPWYQNRHEERPLYGRSFCAGIDHREQKENAKKAVKRVGYKTSSPIQMAAIPLGLQQGDVIGVAETGSGKTAVFVIPMLAYIDRLLPISEKNEAEGPYDVVMAPNRELAQQIEEETVASPLKSKRSRLGKGVRL >KZM87223 pep chromosome:ASM162521v1:7:12697205:12697429:1 gene:DCAR_024357 transcript:KZM87223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAEHGRTEMTAGCDSKARSQARKGKMTKSLALVLYQALLGRAKQSFHAELICSEKKACSRIIDIVDFEYCLV >KZM88634 pep chromosome:ASM162521v1:7:29832584:29834074:1 gene:DCAR_025709 transcript:KZM88634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRAYNLSAVPFIFLLFLGHLAKSQLDYRFYDSTCPNLTRIVRYSIWPVIANDTRMAASLLRLHFHDCIVNGCDGSVLLDPTSTSTGEKNARPNRNSARGFEVIDKVKADVEKVCPSTVSCTDILTLATNVALSLVGGPSYYIPLGRRDGVTASESAANSDIPSPFEPLKNITAKFTSKGLNLQDMVVLSGAHTIGFAHCSTFKERLFDFDNTGSPDPNLDTSLLSNLQSVCPNQASSDTNLAPLDPVTINKFDNIYYKNLMNKTGLLRSDQALMGDNTTAAMVFNYSKYPYLFSRDFGASMVKLGNVGILTGQDGEIRKNCRVVN >KZM86651 pep chromosome:ASM162521v1:7:5317981:5319743:-1 gene:DCAR_023785 transcript:KZM86651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAMVYYLLLTFSIMFLMNSSSASRLSINVKRLGAKADGKTDVSKVLLRAWNSACASRKEVRIYVPQGRYLIRSPIVFNGRRCKRSMFMRIEGTIVASRDYNLIGSGETWIKFERINGLFISGGTVDAKGAALWDCKKSGKNCPIGATVWFYSSTNVVVSRLTSINSQMFHMIVYKCKNLVFRGIKISALGRSPNTDGIHIQYSSGVSILRSRISTGDDCVSIGPGTNNTWIENVSCGPGHGISIGSLGWDLQEPGVQNLTVKSVTFRNTDNGVRIKTWARPSKGFVRNVLFQHIFMNNVANPIIIDQNYCPDVKNCPGKVSGVQISNVRYEDIRGSSATPVAVQFECSKKYPCTGIRLKDVALTYKNRAANASCAYARGTASGVMKPPSCLYR >KZM87085 pep chromosome:ASM162521v1:7:10828565:10830139:-1 gene:DCAR_024219 transcript:KZM87085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLLPRLDTLLKMAFPSELLDEILYRLPVKHLLRCKCVSKEWCSLIDSTPFAKKHLKRALEGNEDGLIINERGKFYLADDFKPNLDGAHNTRFFGDWGMFASGALHWLAIDSPISGSHIIVGFDLGLEQFKELPSPVLEGPINTRCVVSVGSSLWILDEYPDSHRDMWVMLDSSGEGISWSKILSKRSALTYLRSVRPVYISMSDQGILFEVDSSRLVWYDLERKMLKNVRIRGPADKFDSHVYFQSLIQLNKDSPPLKPSQEKPHKEHQKRR >KZM87277 pep chromosome:ASM162521v1:7:13608297:13608479:1 gene:DCAR_024411 transcript:KZM87277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLFEIYSAQSHRMKVYEFLKIKEVLHAGSHGMDIIGPPQQANTSYGGKYETITLDENL >KZM89184 pep chromosome:ASM162521v1:7:34738989:34741653:-1 gene:DCAR_026259 transcript:KZM89184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIPDTTSCFPEQSIHDIYKPFSPHQHHSFYDQADPTVQEALPSANLSTDSTGITKISTCINNSSSSAASAQYDQVTNPAEKRKIKCQPHSMMKGKKPRKETASSSIEKPNNKRSRRSEANDKKKKRTIRDEAERNGAPTDYVHVRARRGQATDSHSLAERVRREKISKRMNILKALVPGCDQITSKALMLDEIINYVQSLQKQVEILSLKIASINTLFYNCGMNLDAYMVEPEVQNQGTSSLEATNAPDVQLENRNQATNDIFNTSDGYSLIEEQNHTQATNGIFNTSGGYSLIDTSTLLQLQQAQMSYIMSQSQRSKSSFFTSELMQASQWIRMHA >KZM88637 pep chromosome:ASM162521v1:7:29848607:29850396:-1 gene:DCAR_025712 transcript:KZM88637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSTSIFLISLLLLFTTHGCLGITAPSPSPVAAPTKAKIVTVLSIDGGGIRGIIPGRILAFLESKLQELDGPSARIADYFDVISGTSTGGLVTAMLTAPNKENRPIIPAKDIYTNPGFLKAVRNLIVSAKGPKYDGKYLRSIVKEILGDTTMNQTLTDVIIPTFDIKRLQPIIFSTDDARKDTSKNAYLSDVCIATSAAPTYLPAHFFETKYEDGRNRSYDLIDGGIAANNPTQAAITHIANEILKGNFQFVDSKPMDSTNMLVLSLGTGTAKHEEKYNATMASDWGLVSWVYDKGNTPLIDSYGAAGGDMVDIQVSSGFKSLRAEKNYLRIQNDNLTGDASSVDIATTKNMETLAAIGDELLKKPVSRVNLDTGFFEPVVGEGTNADALTRFAKLLSDERKIRLHN >KZM88802 pep chromosome:ASM162521v1:7:31401532:31409846:1 gene:DCAR_025877 transcript:KZM88802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGYIGSVSFLTAVLEVVDKLRSINPDLKYVCDPVMGDEGKLYVPPELVSVYREKVVPVASMLTPNHFEAEQLTGSRILSEQDGREACNILHAAGPSKVVITSMSVDGNLILIGSHQKEKGQTPEQFKILIPKIHAYFTGTGDLTTALLLGWSNKYPDNLDKAAELAVSSVQSLLARTLDDYRRVGYDPQSSSLEIRLIQSQDDIRSPEVKYKAERYS >KZM89276 pep chromosome:ASM162521v1:7:35583257:35584507:1 gene:DCAR_026351 transcript:KZM89276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRLASMRKSLLDQGFLDEQFIQLEELQDDSNPNFVEEIAASYYRDSARQMLNIDQALDKYPLDFAKLDNLMHQFRGSSSSIGAKKVKNESTQFQEHCRTGNAEGCRRTYRQLKKEYATLKKKLEAYFQLARQAGPLETASRPK >KZM87979 pep chromosome:ASM162521v1:7:22855648:22856463:-1 gene:DCAR_025080 transcript:KZM87979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPRMSEKSTADEYDWDTIKKNTFAGKEWNTTGVHQNQGKEWNTTDMHQNQGRISDHATKDQHVLSGEENIIKNDMIKDKYSLLESLLDSYHSIQSTLMSHIISAEKESNKDEKIEDIKFAFVELNDEANHFILNSGITND >KZM89229 pep chromosome:ASM162521v1:7:35175592:35179525:1 gene:DCAR_026304 transcript:KZM89229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGAPTSNKSDPTYSLQSQEQRSLNDALRKANGILHGGSGALNSFLLSMLALENNDEADASMSIRNPREKRPALGHKPAQFSLRPNISQASADFKVNLNIDQLHDPVDFFPAYDPKDEMQRHKDGSIYKINDSILSENPRSRQPGILGKSVSYKHHYSSAVSENDDNFLSSQEAIQEDSLCPSKFVWQQETAHSDIDLQGKEVVESIPKTEKRISEILDKLLSESIEILDGDGAPSSMQEGLQTKPVNVDKYLPDFSCTGRSIIALEKEFPQNRILQTDAPKLIRGLSGKSPIKSKNVAENPVHNLASSTTPKSPFAALSLLNKHISQLKPNSDPFVDLDIDLSSARNASSVEEFNRLSQKVDEGKGLIISSKLTSPTEVEATRTAVNGQSDSTLTKGLLMNGQPIAADSTFGKDLKQRNKAPRKGSAKGKSKATHEQKRRKAIPRQKTGRCLESGIRHSKRIRTRPLAYWKGERFLYGRVHESLATVIGIKYMSTAEESGQPTFRVSSYVSDEHKELVELAAMH >KZM88961 pep chromosome:ASM162521v1:7:32617654:32625108:-1 gene:DCAR_026036 transcript:KZM88961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEASSSGFSLEGVNDVEDFIWENEEENMSWERFSHLYDLMQMGNKAFCANRFEEAINCYSKAYNIKPNDPVILRNRCTGYIRICQFLVQRQSSDSENRPLSGLDPTIHAGLALKDAEKVMNLRNNSLISYILKADALILLERFEQAHDVILSGLQLDPQSIPLQNLARKTVSTIGRRSHGITSRSDDFDCTLCLKLLYEPLTTPCGHSFCRSCLFQAMDRCNRCPLCRTVLFISPRTCSISVTLNNIIQKNFPEEYAERKLENDNLTNMGVNLIPLFVMDVVLPCQKLQLNIFEARYRLMVRRIMEGNRRMGMVITDPTTGSVADIACEVEITECEPLPDGRFFLEVESRRRCRILNNWDQDGYRVAEIEWVQDICPAEGTREKAELQEMTTKAAAYARSWLKASQAAARGDQMRLSQLFKAEGLMPSTRDPERFSFWLTTLTNRRPTERLNLLRLRDTNERLQYAVFFMQAEEQGWRVR >KZM89093 pep chromosome:ASM162521v1:7:33810038:33810734:-1 gene:DCAR_026168 transcript:KZM89093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDGFSGASLPSPGSDATKRRVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIIHYNLHRKMEIICPFPAGPADIGKFHSEEYVDFLASVTPESLHDHGHARHLKRFNVGEDCPVFDGMFGFCRASAGGSIGAAVKMNLQEADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKRHRGF >KZM86749 pep chromosome:ASM162521v1:7:6438094:6440407:1 gene:DCAR_023883 transcript:KZM86749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRGRAGYKPRPYKKTEVLQWLKSLPLAPEFHPTLEEFQDPIGYIRKIEKEASVFGICKIIPPVLLPLMKTTFDQLNKSLLACSASPEGELKPTFTTRVQEVGSCQRKHYPVIKSVRESGKTYTVAEFEAKAKSFEKSFFKGSSIEKGALSPLEIESLYWNANGDKPFEVEYANDMHISAFVELEKRRGGDGLNEYLNVGDSNWNLRGTARLEGCPLRFIKDDIPGVTSPMVYMGMLFSWFAWHVEDHDLHSLNYMHIGDRKTWYGVPQDSAAAFEEVIRNHSYRAEMNPISTFASLAKKTTVISPEVFLNAGIRCCRLVQNPGEFVVTFPRAYHSGFGHGFNCAEASNIATPEWLRFAREAEIRRAAINYPPLFSHIQLLYDHALSFSSRVPVSMETRNSGLEEKHKGDGERLVKELFVQDVKHNTNLLHSLGKRSPPILLPCDFFKGNFPDLHNGKNIPLFSKHINFYCEP >KZM89266 pep chromosome:ASM162521v1:7:35508967:35509434:-1 gene:DCAR_026341 transcript:KZM89266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQGMMDTTKKWVYFKKMNMLLSSTPQQPRLSRRVNSVNSEYVTQYTRVPLNRACGIDELSNSPENSESVEAEEKGSDLLPAKQTSRVDGGSFRLLAESITKFSDIYEKIESSKRKFSVLFFKSCIIYLPKGVSYAIQASSLQDLMFEAIKLLA >KZM86944 pep chromosome:ASM162521v1:7:8662255:8663265:-1 gene:DCAR_024078 transcript:KZM86944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQCLANLSLSHPALSKNASSGCTRPHVLRFLQWPSSSKCVGNGIKASVSRDSLDHLQKVGKNQQPQQQQIKKRAPQVTPIGLWDIFPTTRSVQQMMDTMDRMMEDPLVYNNGNGQPRSESGRYNRTPWEIKEGQGDYKMRFDMPGMTKQDVKVWVEEKMLVVKAEKKIENGEAEGEKQEEEEVWPAKGFGKYSFRIALPENIEYEKIKAEVKDGVLYINVPKASVSSKVLDINVE >KZM88113 pep chromosome:ASM162521v1:7:24619645:24661044:-1 gene:DCAR_025188 transcript:KZM88113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTESVMEFLGCVPLLQRLPGSSLRKIAQLVIFKHFDQGDYIVREGDALDGTYFIWDGEAEVSSVQEDDESRPEFQLKKYDYFGQGLEASVHHADVVALNKLICLVLPHVHSNLLQPNSIWNADYSSEACSLVEQILHLKPIEVNIFQGITLPDAPKFGKVFGGQFIGQALAAASKTVDCLKIVHSMHAYFLLVGDIDIPIIYYVDRVRDGKSFATRRVDAIQKGNVVFSLLASFQKEEEGFDHQYAVMPSVPDPETLLSMEELRERRLTDARLSRTYRNKIATQKFVPYPVDIRFCDPNTSTNQTKSPPSQRYWFRAKGKVSDDQALHRCIAAFTSDLSFVGISMNPHRAKGLKLSAFSLDHAMWFHRPFRADDWTLFVIESPAAYNARGFVTGQMFNRKGELLVTITQEGVIRKTKTPDVAAVSKL >KZM87124 pep chromosome:ASM162521v1:7:11209024:11212220:1 gene:DCAR_024258 transcript:KZM87124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSDGSKKKRLTYVGVVAVVLLFLYLYFHSRSDGESALEYGSRSLKKFGSSYLGSEEDADLGKDEVSFKLGLDDGEDGIVPKSFPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPMPERRFNCLIPPPPGYKIPIKWPLSRDEVWKANIPHTHLAKEKSDQNWMVVRGEKIVFPGGGTHFHSGADKYIRSLANMLKFQYNKINNKGRLRTVLDIGCGVASFGAYLLKYDVLTMSVAPNDVHQNQIQFALERGIPAYLGVLGTQRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVERMCWKIAEKRNQTVIWVKPLNNDCYMEREPGTQPPLCKSDEDPDATWGVSMEACITPYSDHDHRAGGSGLAPWPARSTAPPPRLADFGYSKDMFEKDTEIWHHRVENYWNLLSPKISCEAYSTYPRTYDLLHAWTVFSDIEKKGCSGEDLLIEMDRILRPTGFIIIRDKQHVIDFVKKYLTALHWEAVTTVDASTELDQDGDEVVFVVQKKLWLTAESLRNTE >KZM89203 pep chromosome:ASM162521v1:7:34959313:34960798:1 gene:DCAR_026278 transcript:KZM89203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLIFLTVALGNVVSFAVFLAPIPTFHKVDKKKATEGFQSVPYVVALFSAMLWIYYALLKSNTLLLITINSAGCVLQTIYISIFLIYAPPKARIQTLELLVSTNVVGFGLIVILAQFAAKGAADRVAIVGWICLIFSVCVFVAPLCIVRQVMRTKSVEYMPFLLSLFLTLSAVMWFFYGLLLKDYNIALPNVLGFTFGILQMVLYMVYKNTKKNAIEPENLPELAQDDPVIIIDDKNLPELKAKIDDVMRLSAMFVRR >KZM88440 pep chromosome:ASM162521v1:7:27903243:27913725:-1 gene:DCAR_025515 transcript:KZM88440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNSGQDMWNNAAHIAPPGTGGGGAILPPGTGGAGGPIPPPPAAQPSYTVLPTPEEAEARLEEKARKWMQLNSKRYGDKRKFGFVEPQKEDLPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVREVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVHTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLSGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVRLCIYHTPMIMYIKTEDPDLPAFYFDPLIHPITSTNKDRQRDRKVSEEDDDDFCLPEGVEPLLRSTPIYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWFKEHCPPTYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTQLDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIQFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTGDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITFWDSKVETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENGFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKIFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLSDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTKTTNVHGDALIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGVSPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPEHEFLNDLEPLGWMHTQPNELPQLSPQDLTSHAQILENNKQWDGEKCIVLTCSFTPGSCSLTAYKLTPTGYEWGRANKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTKIMKYGIKLGTPREYYHEDHRPTHFLEFSNMEEGETTAEGDREDTFT >KZM86220 pep chromosome:ASM162521v1:7:717089:723631:-1 gene:DCAR_023354 transcript:KZM86220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAKNEERNIAEVEELEKEYMELRHEEQDLLKNLRRQKDEDVLKGQAVKNQKALWDKTLELRFLLQKAFSNSNRLPQDPIRSSLCDSSSETSEAYSDLITTSKKTLDSIQKLQEALLEKNPTITQGADVYLSASSQLTSGTSGKNTKQLKASENLMVEEDQEWSKISEMQSRIVSFRNKAVDKWQRRTQVTTGAAAIKGKLQAFNQSISDQVSAYMRDPSRMVNGMQQRRSAIAIFGTDPETSNITKEEEVNGDPELLDDSEFYQQLLKEFFETIDPTSSECETHMFVHLAIEAAFYALKRMQTKKRKVVDQRASKSRKIRYHVHEKIVNFMAPRPMNLPPMAPKLFENLFGLKVQKAA >KZM87471 pep chromosome:ASM162521v1:7:16830273:16834500:1 gene:DCAR_024605 transcript:KZM87471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMTSSVAPSEYKNSPPRASATTSKQSAVVEVVLRVLLFLGSLTAVVVMVTSKQKELVPFPPFGSVPNTTRFTDTPAFVYFVAGLFRAGLYSIITTLLSISALSKPVYSKILALYVVAMDVVMLAIVAAALGTAAGVAYVGLRGNSHTRWTKICNIYDTFCQQAAVAIIVSSFVATLLIMLILHSVFTMYRKISN >KZM86685 pep chromosome:ASM162521v1:7:5692583:5696953:-1 gene:DCAR_023819 transcript:KZM86685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKAQSSFAVWPVVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAASVTREMLKNEGFGAFYKGLSAGLLRQATYTTARLGSFRILTNKAIEANDGKPLPLYQKALCGLTAGAIGATVGSPADLALIRMQADATLPAAQRRHYTNAFHALTRITADEGFFALWKGAGPTVVRAMALNMGMLASYDQSVEFCKDQLGFGEGATVLGASAVSGFFAAACSLPFDYVKTQIQKMQPDAQGKYPYTGSLDCVMKTLKAGGPFKFYTGFPVYCVRIAPHVMMTWLFLNHIQKLEKKVGL >KZM87189 pep chromosome:ASM162521v1:7:12252337:12253663:1 gene:DCAR_024323 transcript:KZM87189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGVIVERWIKPLTSVDLSLDEMEVPKKIVIKYSHLFESTFLLRFRNGYEIPIVYNVTKGTLVGLSTLYQDFGLKGLEFLIFEFDGCSNLNAYVVGTNLIEIEYPRVVHRMRTKRPRVGLRFVHFVKDEEPLCDELEPPISFKRACPMLRGFEEFVFSNGIKNFAQFNLVLINYEEKWEKTVSFFDDSFVEVFFSGTPLSTGYNLHNPIDIPAEYVQLTTFWRKTEYINVYSGNTVWKL >KZM86534 pep chromosome:ASM162521v1:7:3915721:3921962:1 gene:DCAR_023668 transcript:KZM86534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRLKNPNKVPYSRITLASVESLTLPLVQEVVLLADFHCKGCQERVADIMSRMNGVKESVVISVMDKKVTLTSTYPGIVKVHRGQVTRIYENSKNRSTLSVLKQRQEVLTVMGKKIDALLGLTFKVSKFKPAINLAISRIYVLKNQRRARMSVAQSDVIELLKLGQNKRALIRVEQVIKEQNMLDVFVMIEGYCHLLIERLNLVEKEKGCPEELEEAVSSLIYASTRCGEFPELQEIRAMFTSCFGREFTARAADLRNNCRVDSKVVAKLSTRRPDLESRMKVLKEIASENDIGLQDEEESSITTENNFNANSKQNQPKSDQQNKTGAKLEEISLGSLENKEEVEGFSHSMKGEKKYRDVADAAQAAFESAACAADAARAAVELSRSESHDPDDQIPPSSHLNKGSKTPDPRQPNYLGEMQMANDDVGSKQMKNTKENKRSVSGSSRYSSDNILQATAFPTDTEVQTTAVVREVVFDESDDEGNTEQHASFSTGIQDLEYEMKRDLLANRPSNYNSNTIDVDNTSYGINSEPTKFHKKYLLISQADSEWKPGQEAANPKINHAQRSKAEHSLRKNLENRPMSVRTR >KZM86298 pep chromosome:ASM162521v1:7:1462836:1464487:1 gene:DCAR_023432 transcript:KZM86298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDESSKGVVFALRRFEAFRSMRVHTQRARQAKAESIEKKKRMPPILMKLCPSTIDPNEDCFAMTLDVSPKFGSSGVIPCPPPPPYLPTDMPTPRNPSFFDPMLCLNIRSDDFSEALVFYIEAFGAEDQSDSTEAILKIGAQKFVIFSTLHNYLTPDGALFSLSTDDVDSVVDKALMEGATLLGKPVQVYSKCQKMLLQKEIPIRVRGALTNKSQKALPSKSLKRGAYILTSNKDLSSQTYRATQEFLDVPNIRYA >KZM86565 pep chromosome:ASM162521v1:7:4356206:4357047:-1 gene:DCAR_023699 transcript:KZM86565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVQNSLPAPFVGIGVFVVKGKKVLMGRRLTSVGHNTFSVPGGHLEFGECFEECAAREVKEETGLDVEKIEFLEVRNSIILNEAKPAHIVSIFMRAALADADQVPENVEPEKCDGWEWYDWENLPRPLFGSLENYVQSGVKPFPIDD >KZM89218 pep chromosome:ASM162521v1:7:35038210:35040147:1 gene:DCAR_026293 transcript:KZM89218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKNWVSWSALIAGCVQNREFFMGLSLFKEMQMEGIGVSQSIYASVFRSCADVSSLSFGSQMHGHTIKANFGSDIIVGTATLDMYSKCGKLSDARKLFDRLPNHNLQSYNAIIVGYARASQGSEALQLFRRLVKSGLRYDEISLSAAFSACAEIKGYFEGMQLHALALKSTYWSNVCVANAMLDMYGKVGALTQSFCVFDEMERRDPVSWNAIIAAYEQNGDEETTLSFLVSMLRSNMEPDEFTYGSVLKACAGKQSLNYGMEIHGRITKSGMGSDSFVGSALVDMYCKCEKVEEAEKLHNRMEEKTIMAWNAIISGFALVEQSEEAQKFFAHLLEIGVAPDNFTYATVLDTCANLATIQLGMWDEVSKLRKLMRYTGLKKEPGCSWIELQSELHMFLIGDRAHPMCKEIYEKLDLLTDDMILLGYDPDIISLIQDVETTRTVACM >KZM87745 pep chromosome:ASM162521v1:7:20029533:20030009:1 gene:DCAR_024846 transcript:KZM87745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIPSIFGGRRSNVFDPFSLDIFDPFHDFPNVLSTAAGGGQNEATAIANMRIDWKETPEAHVFKADMPGLKKEEVKVEVEEGRVLQISGERSREQEEKNDKYHRVERSSGKFLRRFRLPENVKMEEVKACMENGVLTVTVPKVEEKKLEVKAIDISG >KZM87655 pep chromosome:ASM162521v1:7:18939715:18955997:-1 gene:DCAR_031912 transcript:KZM87655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESSNSLQSIRYKRGRLQLLDQRRLPLETLYLDIHGATDGWEAIRDMVVRGAPAIAIAAALSLAVEVSALDSFNGSAADAASFLSNKLDYLVSSRPTAVNLSDAATKLKEIVMKAASSASEAKAVFQAYIEASEVMLEDDVASNKAIGSYGASFIVQHLKDSKKVSVLTHCNTGSLATAGYGTALGVIRSLHADGLLESAYCTETRPFNQGSRLTAFELVHDNIPATLIADSAAAALMKAGRVNAVIVGADRVAANGDTANKIGTYSLALGAMHHNIPFYVAAPLTSIDLSLASGQDIVIEERSSKELLNSRGGLGEQVAASGISVWNPAFDITPANLISGIITEKGVITKTKLDAFDIKGYVEKICGGH >KZM86896 pep chromosome:ASM162521v1:7:8144053:8145709:-1 gene:DCAR_024030 transcript:KZM86896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWIRVLMFAACLFPIIVECRVRHYKFNVVMKNSGRLCSTKPIVTVNGRFPGPNLTAREGDKVLMKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQYTMPYPAGAKLYLRLHYNRSKSLPKMGVPYSFPKPEKEAVIILVEVDATHVKPFKTDTIVTAPEQTNNVLVTANKASGRYLIAASPVMDAPIAVDNATAAATMSPL >KZM86606 pep chromosome:ASM162521v1:7:4849383:4850081:1 gene:DCAR_023740 transcript:KZM86606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITPKFVKFIGESDSEDNELRIPSLFWSKVSNLFPTVVQLFFPNGFSVWVGYDNNDDVFKGVGKFYPKPWWNGFNPGDMLDLNWGWSAGGFEEFHMSLLF >KZM86333 pep chromosome:ASM162521v1:7:1767428:1768048:1 gene:DCAR_023467 transcript:KZM86333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADSWTTIHCNYFAGVDSIPTVYRVRTPVFLNGSFHWATKRSKWHRTNETDYIVYYKVKDEKVGIMNVFDNYSAIFDIVVDDDERSFDDWKLSVIDERLAMIHWCGERGNLFEVWVMNDYGIDNSWTRLFQIADTLCSYVNPMGYWTNGLMLLDRTACLKRGFDQLQVFFFYDVETRSLKKIPLDHSTGYINGFSSFVETLVPVR >KZM86680 pep chromosome:ASM162521v1:7:5654916:5661599:1 gene:DCAR_023814 transcript:KZM86680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSKELSSQMVPEWEEAYINYSYLKTILQDIDHFKNRNKPPAAAVTTNNPAGLKRRLTLYRAFSGLIQRSSSKISSPGPMQDVESQPILVSSVDRSDGQEGFETRFLMSGDEGGEYELVFFRRLDDEFNKVNKFYKAKVAEVMKEADVLNKQMNALIAFRIKVDNPKYDCPDDTVEMSRIASEVEASSEAVALSASTSTNMRTTKAAHMDVIDEDRSSRGALSKEENEDDSEIKPLSSSTEEKSRNRPMIKSDESCRPPPLEILDHVTIRQPVETPRSTIKGFLNVPIQKELKFSKENMSKIEEQLKRAFTEFHNKLRLLKSYRQGTVLFFYSIKLNSCGFSKILKKYDKITSRKASASYLKMIDNSYLGNSDEVTKVMDKVEAVFIKHFSNSHRKEGLRILRPTAKRQRHRVTAFLGFFAGCTLSLILALILIIRARRILDKPGRHRYMVTLFPLYSLFAFIVLHMLMYAGNIYFWRRYRVNYPFIFGFNPRTALGFREVLLLSLGLAVLALGSVLANLDMELDPKTGDYKKFTELLPLFLVVLVIVILICPFNIIYRPSRFFFLTCLFHAICAPLYKVVLPDFILADQFTSQVQAFRSFEFYICYYFSGNYKLRDNSCSSNDVYKTFGFIVATIPYTWRLLQCLRRFFEEKDNMQGLNGLKYFATIVAVSTRTAYSLDKSIAWKVVAIITSAIAAVSGTYWDLVYDWGLLDQKLENEQLNNSEKYRAFKSVPLPFTVLANLDMELDPKTGDYKKFTELLPLFLVVLVIVILICPFNIIYRPSRFFFLTCLFHAICAPLYKVVLPDFILADQFTSQVQAFRSFEFYICYYFSGNYKLRDNSCSSNDVYKTFGFIVATIPYTWRLLQCLRRFFEEKDNMQGLNGLKYFATIVAVSTRTAYSLDKSIAWKVVAIITSAIAAVSGTYWDLVYDWGLLDQKLENEQLNNSEKYRAFKSVPLPFTYDD >KZM88388 pep chromosome:ASM162521v1:7:27513080:27516157:1 gene:DCAR_025463 transcript:KZM88388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDLMFSEDEMRVDQGLGYPIAYSKLCKDRSFWSYTHGPPFTFTPHSLSHHQVLRLKDLDEMFPIIDSKAKPTTKPSIFASLLWKQLNHLGNAGFDPATFRVDQYGNVLYYHADPASPLAWSIDHWFPCSRGGLTVPSNLKILQWQVCKKKHNNLEFLIPWWDLQVGISINQFLSVFASSNSDFRYRSFSLFFLNGECEELNDSQTVDSHIFPQHFIESKEQVGLAPAAVVLSRRESYDTLVMKSVESNRGSSFNTPLLARKPKAGMLKENENPNMVTNPYQAIVMARNSFKQQEETTKMQGEIQKLDDEVKELTRKNEEEKLTIQDLELVLIKRRRRAEKCRRLAEAQSSYRAMLEKMIRDTMHQSVIYKEQVRLNQAASNALMARLEAQKAICDSSERELHRKFKHRDELEALTRPEWEQARKRSRMSDDSFSPEKHEKAVLYLPGNRPSTPHKKKISASPLRERRSHSVNCLSEITKPETPHKELRVFLEEEQKASEASGLLTNEEDEENQEIEEEKEDLNKAIVALRDGESIDTDLQKLNIEEDGKMHNFKIPGLPESPGEEDEERRKQHGKGNLDKWLQILLDDSKESNDFQKDENNSKTDEIIRKLNLKYPTKEVKTPKLSETINVLKIQKQAESSDQNDDCHHHQAAAGERKGFISTEAKKMQLNTNQQQQTNITRTEADGRRGEGKVEAKNTFMHNPPPYYVASRKSSSDEASFRGKLTTGRDSTSLERKDKRDKGKGLARSESFSKSFRRSPSSPSIILGGMRKGVDCIRKKPSVIGDEDRDDDANNYFLRSSIKSIKKAVKI >KZM86629 pep chromosome:ASM162521v1:7:5075474:5075995:1 gene:DCAR_023763 transcript:KZM86629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLTLGDSSTHPTQKIFLFANYILLGAASSCIFLTLSLRLIPSLVGFFLILLHILTIGGAVAGCAAASSGAGKWYAAHMMVTVLSAIFQGSVSVLIFTSSEDFLGKLKSYVREEDGVMILKLAGGLCVLIFCLEWIVLTLAFFVKYYSLDENVEVKRSAKVQQELDYLPYPV >KZM88947 pep chromosome:ASM162521v1:7:32517235:32518638:-1 gene:DCAR_026022 transcript:KZM88947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTIDAQVNIETDEENDCQLRRSSYRDTDCQNRVPKWGSRYRSPLSPGSCHDLCKHGVKSDPETLDRRSLVRRNSLDSPKKSPRTREVIKKELPESPRKVSSSLRRQASSPSMIKYASEKQERVSKPKTPPLAATRQLHRRNSDIIITKNSAGGSSGQTNRETKVNKETENSKIEKTKISARDRFSLSSMSSAKRFISAQSENVKKMTKVPSTLKNRKQIKVNGKCPPSKHVIEKTPYVIRPKAGNQAAGLAKRGSFTSLQSSPEKGLSSLKSQTSASSSPEAMQSDSSAFDIDNSESEYSVSDLEVEDTRIPSFQVRRLEDEDWPSSQKLKFRRGKVIDLKLEDFSQKLRFRRGNVVDVQPEDLSPSVLKFRHQEALEENQNSDNEGISLTKISSDEVLYETNAETEKVTLKHQDAETKGETWGFIDDAIEETANRLAQSRKSKVKALVGAFEAVLGRQDSPRR >KZM87165 pep chromosome:ASM162521v1:7:11909450:11910625:-1 gene:DCAR_024299 transcript:KZM87165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIPVCIMFNILSKIPTKSLLKLRCVSKSWLKIIDDPFLCHLNIHSASADPQTPLILPKFTKFINNVRICAAYDNEADDRMIHAGKIPMAEINVNGCCSFGSCNGLLYFAEYCYDEKILVLNPLRNQLRLLPPISFPPNDDFLALMKHYGDPEESPVNAYGLGFDSSTNNFKMVCILQNTGECMGTVVHNLGSNSWRKISSVPRYPIHGKPVFVHGFLHWMLSPLRQFYGDLPVDQNIISFDVCTEEFQVIPHPGILSENIEEFELIDCYGHFKLFDMSNDLAVADISMRDKDIDIWVMDYAKKEWSRVYNIRLAETLASAYNEICVYAIACVYNDNDIGVWKEGEIFVKSYKGYWIFSTHTGGLRFKRISGLGKGDAQILSHTGSLVSI >KZM86252 pep chromosome:ASM162521v1:7:1018292:1020097:1 gene:DCAR_023386 transcript:KZM86252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDESILEQTASSKEITSPLNSVAFPESKTEVQTSITLENQLETCTGGFGQLQQTGYASSQRQAIDHTSQQMVNSSPSSEMNKDESIPEQTAPSKEETNPLKAGAFPESKIEVQTSITLVKPSDLNLRPDGGIQIPAKTKVQTSAIPTGYKGPKAYYVVFNGSNADKKNVSYFNFNPNADPDMIYEAYQYGLLAQVYPANNLLEISKFPKEFRKTVGFAKEKIYSPSKAMEPTLEKEDLREFAENKLLSLIRLSEEDYNKVVAFRRQLLHKDTVGAHKEIFCRKKQKEVNKFGIQLECHICGIITPDKESGKRIIEDPSTSAKDKEFLPKTEILYKAKPGSRF >KZM86266 pep chromosome:ASM162521v1:7:1124935:1127323:1 gene:DCAR_023400 transcript:KZM86266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHMGFSGAVAFFLIRVFKVVSPVNMTFEIYATCVVPISAFFASSLWFGNTAFLHISVAFIQMLKALMPVATLSVAVLAGTDKLRWDVFLNMMLVSAGVVISSYGEIHFNVIGTTYQVTGIFAEALRLVLTQVLLQKKGLNLNPITSLYYIAPCSFAFLFVPWYFLEQPGMEVAQIQFNYWIFFSNAFCALALNFSIFLVIGRTGAVTFRVAGVLKDWILIGLSTIIFPESTISGLNITGYAIALCGVVMYNYIKVRDGRLSQLSLEDIQDRSTKEWKLEKKLYDVYTPDNSNGDNGRTGRGVNSVSDLNVDEEAPLISSLRMSHLGRTQLNGRDASL >KZM88464 pep chromosome:ASM162521v1:7:28134661:28136403:-1 gene:DCAR_025539 transcript:KZM88464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLMTLLTFTILSFLLNDVAVISSAGVSAADSAVHGEKETLRLSKLEWNYHRISNNHPSLLSLETRTERGAIILEMQHRDNLLKPIRDWNQRLQKHLIDDDIRVRSIQSRIRKRVTGKSQVVSEAEIPITSGVKLQTLNYVVTVNLGGENMTLIADTGSDLTWVQCQPCKSCYDQREPLFNPSVSQSYQSVPCGSSSCNSLQFATGNYGICGTNPPTCQYVVNYGDGSYTRGELARDSLLLGNTPVKDFVFGCGRNNRGLFGGVSGLMGLGRSDLSLVSQTSGTFGGQFSYCLPVTHAQASGSLTLGGDTSVYRNSTPITYTNMVQNPQLSTFYLLNLTGTTIGGVALQSPAFGQGNILIDSGTVITRLPPSIYSAVKAEFLKQFTGYPQAPRFSILDTCFNLSGYDEVNIPTMTMNFEGNAELSVDVQGIFYFVKTDGSQVCLALASLMYEDEIGIIGNYQQKNNRIIYNTAGSTLGFAKETCSFN >KZM87585 pep chromosome:ASM162521v1:7:18379478:18389040:1 gene:DCAR_031954 transcript:KZM87585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTALSCVFMPPVSHPFVSRYISPFSSFPCFSKTHIFRRRGPSLIKANTISNMATEEEYRGSPKQQLEKLFKASIRVTVPDLPDVEPLIAACNPRFGDYQCNNAMSLWTTIKGKGTEFKGPQPVGQALKNNLPTSEMVESLSIAGPGFVNVVLSKQWIAKSIHKMLTDGVETWAPKLSVKRAIVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNVEVLRRNHVGDWGTQFGMLIEFLFEKFPDWENANDQAIGDLEVFYKASKQRFDSDSAFKERAQQAVVSLQGGDEKYRKAWKQICEISRKGFEKVYKRLGVHLEEKGESFYNPFIPMVLGLLNEKGLVEESEGARVIFIEGKKIPLIVVKRDGGFNYASTDLTALWYRLNEEKAEWIIYVTDVGQQEHFDMVVKLVDLLDEAKIRCRDALIERGRGTDWTKEELEQTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDMEELKKVGKIELIEDAERVLGLHLLQFAEIVEEACIKLLPNVLCEYLYGLSEDFTGFYSTCQVVGSAEETSRLLLCEATAVVMRKCFHLLGITPVYKI >KZM86386 pep chromosome:ASM162521v1:7:2311038:2312885:1 gene:DCAR_023520 transcript:KZM86386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSPDWSLACVHCNQRIHHHLIPFNSSSIIKSKSFLFLSFLSSTSRLLVSSTSSSCKQPILEDASSHSPPVAELELKLQDLELPKTTKIEDSNGLICTMFKDPKNHQLGFEYYQKAKELPEFRPQNATIKHLVRYLIRSKSWNSIWSLCEDFEKFHVYPDSSTCCKLISSCIRARKFKLVNKIIDLLKCGDGTQGIVVLAFETAMRGYNKLHMYKSTIEMYEDMKSAGILLDPGCYCQIMEAYMKIGNNDRVVALFQEFEMKVDWTPFLPQIYRILCESLGRSGRAFEALEFFRDMTKKGFPEDSSFYSTLICAFADIKDVKMAEELMIEAEGKKMLKDPATYLKLVLMYIEQGMMEKTLDVAAVMRRMNIKVSDCILCAIVNGYSKKRGLSHTVKVYEDLISEGCEPGQVTYASIINVYCRLGLHSRAEEVFSEMEQKGIYKCMVAYSSIIAMYGKTDRIRDAMRLVAKMKERGCAPNVWIYNSLLDMHGKVVNLRQVEKIWKEMKRRKVVPDRVSYTSIINAYNKAKELEKCVEYFEEYRLNGGGIDKAMAAIMVGVFSKTNNVNELVKLLQDLNAEGIQLDGRLYRSSLNALRDSGMQAQAKWLLQSFEAR >KZM89288 pep chromosome:ASM162521v1:7:35717480:35719220:1 gene:DCAR_026363 transcript:KZM89288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWLRGLLGKKKEKENVDNSNLSETNDKRRQSSVKAAKDRGVVDKTRGKNNYASDSNRSRSYTSESEKEQNRHAIAVAAATAAAADAAVAAAQAAMTVVRLTSQGRGVVSATGREKWAAIKIQSVFRGFLSRKALRALKGLVKLQALVRGYLVRKRAAATLYSMQALIRAQASIRSQRARRSFNYDQHCQPVTRHRKSIEKFEERHEFHSKRSSASYETKYTAFDESPKNVEIDTTRSRSRRIVTSSSDSGEDPYFQSSSSPVSSAVPARIHIPDHQHFEEFDWNFIGEKHKLPTAHSTPRFANSEYSNIPATPPKSLYGDSFLRPYSNHPSYMANTQSFRAKLRSHSAPKQRPEQGPKKMSLTEIMASRSSFSSVKMQR >KZM87944 pep chromosome:ASM162521v1:7:22324376:22324582:1 gene:DCAR_025045 transcript:KZM87944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHMHLVDMVILSGVLRMALMVRGPHGAPVSVPIGQSASAVAAYANQGYMTMVEKMGTSEMQSGGL >KZM88694 pep chromosome:ASM162521v1:7:30445968:30449368:1 gene:DCAR_025769 transcript:KZM88694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPEEHQALLETSDEVQQETAYEPTEKVHIVVGVGEEVDDYTTPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATVMGLLVQLLSARLGVATGRHLAELCREEYPTWAGMLLWVMAEVALIGSDIQEVIGSAIAIKILSNGVLPLWAGVVITASDCFIFLFLENYGVRKLEALFAFLIATMAVSFAWMFGETKPDGSELALGVIVPKLSSRTIQQAVGVVGCIIMPHNVFLHSALVQSREVDHRKIGRVQEALNYFSIESAVALTISFMINLFVTTVFAKAFYGTDIANSIGLGNAGQYLQEKYGGGLLPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLNLRMKKWIRALITRSFAIIPTLIVALIFDTSEDALDVLNEWLNVLQSIQIPFALIPLLCLVSKEDLMGVFKIGPILKTVSWLVAALVIVINGYLLVDFFSSEVKGLALTSTIAVATTGYVVFIVYLVSRGFTFSMSGLYKARE >KZM88554 pep chromosome:ASM162521v1:7:29163717:29164388:-1 gene:DCAR_025629 transcript:KZM88554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLLMFCHIAFILCLCAKLCFAGDSDNLQDICPTGTSPKQTIFINGFPCKNPTNITASDFKSSLLNHKGDTDNFLQSSTNIVTASEYPGLNTLGLSVARIDLDIDGLVMPHSHPRASEIFFVSKGIVVAGFIDTNNQLFQGILREGDVFVIPRGLLHYYLNGGFEPATIIAVLNSQSPGVVSIADAMFTPNDLEAMERIKRSVISKSLLDLDHAENANLSQI >KZM88683 pep chromosome:ASM162521v1:7:30354647:30357561:-1 gene:DCAR_025758 transcript:KZM88683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLPFDLHLRWTIILSLFVNISSQLNIDGVALLSFRYSIISDPRNVLSNWNPYDQTPCHWNGVTCDAPGTLDAFSRVIGLSLPNSQLLGSISADLGVIQHLQNLNLSGNSINGSIPLSLYNVSSLRALDLSNNLISGELSELVGGLTNLESLNLSDNALGGKIPINLSNLHNITSLSLKNNYLSGNIPAGFNSLKILDLSSNLINGSLPSSFGSTNLVYLNLSYNKLSGEIPPDFANKLPANITLDLSVNNFTGPIPSSSIFSNQSIKAFSGNPELCGKPLKNLCVIPSTNSSAPNVTSSKSPPAIAAIPKTIDSSPSSGTGKKPETGVKTGTIIGIVVGDAAGIALLAMILIYIYKKRVAKRKKLEAAQDFNWATTSSEESKWLKSWACLLNRRNDKQESFDSSSTESEEEKHQVFDISTQKPEVPKSSGSLVTVDGGEKNHELELETLLKASAYILGATGSSIMYKAVLEDGTALAVRRIGESGLERFRDFENQVRVIAKLVHPNLVKIRGFYWGVDEKLVIYEFVPNGSLANARYRKVGSSPCALPWEVRLKIAKGMARGLVYIHEKKQVHGNLKPTNVLLGFDMEPKIGDFGLERLVSGDNSYKSSASTRNFGSKRSTASRDSFPEYIGGATPSPSPSSMGCSPYYAPESLRSLKPTSKWDVYSFGVVLLELLTGKVIISDEFGPDAIIGSGTWEKNKILRMADMAIRADMEGKEESLLALLRLGYNCISPLPQKRPSMKEALHVLDKFPISTSPSSYYYGH >KZM87087 pep chromosome:ASM162521v1:7:10842387:10842962:1 gene:DCAR_024221 transcript:KZM87087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEALSFVSMYSNCYIQVIQLQCIYMTLDKFKSIFSFSHQHGREQLILADHLFRRNLCRNITEVPSPTRKTHQFATLPCLTVGRQCVGLYQRELDLNLYLRFHTVLRVVYTPAFLTISFSVRELLLSFSTIKYQSQFQIISRSQIQAYKYTEYLVGPGFAIFVGRKRNVLFIHNDTTFLQLYLIFISSFTR >KZM89246 pep chromosome:ASM162521v1:7:35346349:35348338:1 gene:DCAR_026321 transcript:KZM89246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFEDFDIDLWLQEPPKKDQASEYSMPHYQQQASSSANPHSSPLENVTAAPWFSDEIANVQNFQPVSFHPWVQGPQEEHQYLMPHYQLQASSSANLGQQSHSSPLENFTAAPWLTDEMANVQNFQPDVDARDDIQIAAEILKMYNMDSVPLSSEMPTINIHGRSIFQRLASVPRSSPRNAFFMMLFGLRRLNFIPWQMRQYRQALEEFRGLNELPTIEATRDDEQWSPYKAVAAYMIWQAYENNRG >KZM88438 pep chromosome:ASM162521v1:7:27893823:27894158:-1 gene:DCAR_025513 transcript:KZM88438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLDSNIISTTYPDTGPVGTLSYIDPEYQRTGLISPKSHVYAFGMVILQLLTAKPPIALTHVVETAIDDGNFTEVLDPEAGDWPMKLRDKRTSSAGTELCRTSSQRQAQI >KZM89333 pep chromosome:ASM162521v1:7:36015027:36019926:-1 gene:DCAR_026408 transcript:KZM89333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENIKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMVNRVEFVHTKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDSTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYGANAGTSSAMPAAAANVSRQSGGDDGRLTGWSSANASRGRSSGMPFISGSLSKQKSPIADEIPGSNIMRSSGSSRRPAVSSSREPGIAGADFDSSRARTTDASPGTVGKISNTAQRNSPVLSSDQKHSTSSRNPNMKNLESTLKGIEGLHIKDERLHY >KZM86460 pep chromosome:ASM162521v1:7:3017515:3022418:1 gene:DCAR_023594 transcript:KZM86460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPNSKNKTMISVEIGMEVHKVEAPPHISSLKKLKTRLKETFFPDDPLRQFKGQSAKKKWILGAQYALPILKWGPEYSLKSFKSDIVAGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYAVLGSSRDLAVGPVSIASLVMGSMLRQQVNPVTEQVLFLQLAFTSTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKGLLGITHFTKKMGLVPVISSVFRETNEWSWQTILMGFCFLVFLLVTRHISIKKPKLFWISAGAPLLSVILSTLFVFAFKAQDHGVSVIGKLEKGLNPLSWNMLQFHGSHLGLVIKTGLITGILSLTEGIAVGRTFAALKNYQVDGNKEMIAIGLMNVVGSTTSCYVTTGAFSRSAVNHNAGAKSAVSNIVMSVTVMVTLLFLMPLFRYTPNVVLGAIIVTAVIGLIDLPAAYQIWKIDKFDFIVMLCAFLGVILISVQEGLAIAVGISVLKVLLQITRPKIVMLGNIPGSDIFRNIHQYKEAAKIPGFLILSIEAPINFANITYLNDRISRWIEDCEAEDKTQKQCALQFVILDLSAVSAIDTSGVSFFKDIRRVLDKKSLEAMNPIGEVMEKLLRSDDANDLMRPDTLFLTVGEAVTMLMSTMKHHV >KZM86890 pep chromosome:ASM162521v1:7:8076065:8076991:-1 gene:DCAR_024024 transcript:KZM86890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVVAVYGNGAITETKQSPFSVKVGLAQMLRGGVIMDVVNAEQARVAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQMGCDGVFVGSGVFKSGDPVRRAKAIVQAVTHYSDPEMLAEISCGLGEAMVGLNLDKNVERYANRSE >KZM88040 pep chromosome:ASM162521v1:7:23890325:23891074:1 gene:DCAR_025115 transcript:KZM88040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNNNNNNTDGNELVSITGGRSDGEGSGNRKPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGCDIQESVSTFATRRQRGVCILSGNGTVTNVTLRQPTAPGAVITLQGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGQLIASGPVVIMAASFGNAAYERLPLEEEDQSAAPGNGALESPPGMIGGPQQQQQLMPEHSNSGTSLFHGLNPSMLNQLPSDQPYWAGAPRPPYN >KZM88417 pep chromosome:ASM162521v1:7:27716666:27716995:1 gene:DCAR_025492 transcript:KZM88417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSISHHHFYTNISNKVIKEDEEEGIYVLKDEGFEEKITGNATGTRLSRGVATTEKVAAKNAEKPGDKKKVEDINESAEAFIKKFRKQLVIQRLESIENYENMLARGT >KZM88474 pep chromosome:ASM162521v1:7:28186882:28190224:1 gene:DCAR_025549 transcript:KZM88474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKRNLSLLLLQHLVLIIAVQVSGDHYHHIHHHSLENDRAALLAFKRTISYGPNSTLENWNETTRVCSFGGVYCNQKQQVVTKLILLGTELVGLLSPFISNLTGLRGLILTGNYLSGIIPLEFSSLYHLRLLRLDGNKLHGPIPDIFASFSSLLLISLAGNNLVGTIPASLFSNCNSLHNIDLSENSLTGLIPLFGNCSNLWNLNLYNNRFTGEIPLSLTKASFMYNLDLENNLLSGELPVQLIANLTKLKNLHLSYNNMVSHDHNTNLYPFFFALANCSVLKELELAGIGLGGILPGSVGQLSVKLKYMLLGENQIAGSIPLALGNLSALTTLNLSNNLLNGTLPAELSQLRSLEQLILSNNYLTGEIPATLGLYSKLGLLDLSWNKFFGAIPEGLGNLIQIRYMFLNNNLLSGEIPKALGRCTDLDKLDLSYNMLTGSIPPDVKGMREIRMYLNLSHNQLQGSLPLELSKLQNVQEIDLSSNNLTGSIFVQISNCIALRMLNFSNNFLVGQLPQSLGDLKNLEVFDVSTNGLSGNIPVSLSNIHNLIFLNLSTNDFSGTIPSGGIFETTTYLSFLGNQRLCGSVPGIAMCHQKRHYFRSPVFLSIFCIIISISAFFSTICFVIGYKCIRGASSAANFEAERMSEPGTVPSFPRITYKQLSEATGGFDEQRIIGSGSYGRVYKGVLSEGTPIAIKVLQFQTGNSTKSFTRECQVLKRIRHRNLIRIITTCSLPDFKALVLPYMENGSLDSYLYPQLGMNFRSDSSDLSLIQIVNICSDIAEGMAYLHHHSPVRVIHCDLKPSNVLLNDDLTALISDFGIARLVLAVGGGNAGVTENMGNSTANMLSGTIGYIAPEYAFGSNTSTQGDVYSFGILILEMVTRKRPTDVMFVGGLSLRKWVKSYYHQNTENVIYSSLLRALHDLSPEVKSMWEVVIRKLLELGILCTQYSALTRPTMLDVADDLDRLKRYLSGDTTATFASSLSISSSTTGDD >KZM88347 pep chromosome:ASM162521v1:7:27036743:27055384:-1 gene:DCAR_025422 transcript:KZM88347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRRIPRGISLSNTVHSDVAPCLPLPSLPVFFGSLDQEIRLSEEANGSRSVNRRDVVNQAEKIASLLQGTDVSYLSLKGDSSSQCYGSVGPTDLYDEVLRSNSEAFESYVPGVSGHFKEQLYNLKIIEEPLQQDLPAANQVQRETSRTQNNHDNHDKILTSSRKQKVKKKGSDIGSGPDASAVQDAVIGGFCAGLEDLCGRAEMNDDDREESEWLPLSLADIKVLVNDVVSIRAKNYLHLVPVDILVRTLRVLDHQIHRAEGLSINECEHADSDVLSSVSVALESIHAALLVMANSGMPKKLYNEEMIERILEFSKYQMMDIMSACDPAYRALHRPSENGNFEDDVEDGFDFGSASKRRRTSKGVKVRKPAVNRVSAVVNNIDQKLCIILGLLKDLLSIERLSDSCIFQLAKTSFSTLLVENIQLLQLKALSLICGIFYSYKQHRTYLIDELVLLLLKLPMTKRPPRHYHLPDDEQKQIQMVTALLLQLVHCSANLPDALRESDGTAFLLEPSIDAAYPSKCHEAVTETCCVFWTRVLQRFTSTKSHDAAELKNMMDNLVADLLTTLNLPEYPAAAVILEVLCVLLLQNAGLKSKDIAARSMTIELLGTVAARLKQDAVLCRRETFWILKEFMNDDASRSYPKDACSVCLGAKNANSMVLCQGCQRLFHVECMGIREDEISIRSWDCQFCACNKQLLALQSYCKSQCKNDGKKESSSSEASETTTKMEIIQQMLLNYLEDAGSSVDMHLVTRWFYLCSWYKDDLSCQQKLLFFLARLKSRALVRDSKTVSSILKRSSIKKITLAMGQNNSFSRGFDKILQMLLASLRENSPVIRAKALRAVSIIVEADPEVLRDQHVQSAVEGRFCDSSISVREVSLELVGRYITSHPDVGSKYFEKVAERIKDTGVSVRKRAIKIIRDMCTANPNFSEFTNACIVIISRICDEESSIQDLVCKTFYEFWFDDSASSQTRSFGDGSSVPIEVAKKTEQIVEMQRRMKDNQLLVTVIKRNLALDFLTQSAKAAGINPVALASVRKRCELMCKCLLERILQVEEMTGVEVELSSLPYVLLLHAFCVVDPALCAPSSDPSQFVVTLEPYLKTQADSREVAQLLESIVFVIDSVLPLAPKIPQAVVEELEKDLKQMIVRHSFLTVVHACIKCLCTVSKVAGKGARVVEYLIRVFFKRLDALGFDNKQQVGRSLFCLGLLIRYGNSLLSTSSNRNLDVEKSVTLFKKYLTAEDFVFKIRSLQALGYVLVARPEFMLEEGVGKILEATLSSSTDYRLKLQSLQNLYEYLLDAESQMGKDNSNDKEVAYSVEGGQSVPVAAGAGDTNICGGIVQLYWNSILGRCLDEIEPVRRSALKIVEAVLRQGLVHPITCVPYLIALETDPQEVNSKLAHHLLMNMNEKYPAFFESRLGDGLQMSFIFIQSMNQSNPEKFNAKLQSKSLGNVKGKSDASSVAYAKLGVSRIYKLIRGNRVSRNKFMSSVIRKFDNLACSSSVIPFLMYCTEILALLPFSLLDEPLYLVYAINRVLQVRAGTLEANMKALLHLLQSSQNFVAGNGNILPKPSAQAVSANSVSYDLNGTLSENADADFLVSSHSAPRESNLHSVSLHEAFGTSEDVLRKLQEYCLAASALQLLMRLKRHLKVVFSLDDARCQAFSPNESQKSGDVLSRQNIPFNISETRLDLPSTYNDLLQRYQEFKNALREDTVDYSTYTANIKRKRPTPRKAGKSNRMIGQNDEEAESDEEWESGGRREFKNALREDTVDYSTYTANIKRKRPTPRKAGKSNRMIGQNDEEAESDEEWESGGRRVNNGRRGSSVRTRQRL >KZM88807 pep chromosome:ASM162521v1:7:31446517:31448621:-1 gene:DCAR_025882 transcript:KZM88807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFTIGENSSSSSDSDDIDLRVPKRRRMPFPSRVLYRHGGSSNPPAAGPSGIRREGATTTTPASNATTAVATVAASASQADSDDSFISSASEGEDEEVEIDELDESEEEEVTPRPAVTPRPLLVNNSSKNLVKAASKDVVPSGSGGRTSGRGPAILADTDVLDCAICFEPFTSPVYQGEYVPINDKKAQYHRVIDNPLCWASHKHPIAEITITITLTIMARSLTDENSSSSEDESEYDVDARFPKRRCMPFPSAVLYYRRSNSNPPAIGPSRLRHEGTSSDTPAVATIGTTSAAITGASTSQTESDETPDDTSDDLSTSSASEGEDDQIDDEDDDSEEEEATLSPSPPVTPRPLMMNNPSENLANVVSNDVVPSGSGGRTSEHGPAILTDTDVLDCPICFDAFTSPVYQVSTVFFPKLLPPSLFINVCISVFDCCAVFYAS >KZM88715 pep chromosome:ASM162521v1:7:30633098:30634579:1 gene:DCAR_025790 transcript:KZM88715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKGCSQVVVREYDEEKDCKKAVELERRCEVGPSAKLSLFTDLLGDPLCRIRNSPYFLMLVAEMEVDEGKKEIVGIVRGCIKTATCGKKLPRNAQSHHAHRPIYTKLAYILGLRVSPSHRRMRIGLQLVEKMEEWFRQKGAEYAYMATESDNEASINLFTKKCAYSKFRTPSILVQPVFAHKAHVSKRVSVIKLSPLEAESLYSRRFATTEFYPRDIGAILNNKLNLGTFVAISGNEEWAGVDRFLAEPPASWAVMSVWNCKDVYTLQVKGASMVRRGFCKTSRLLDRALPWLRLPSVPDLFRPFGFHFLYGLGGHGPLAVKLTKALCGFAHNMAKEYGCGVVATEVSGNEPLTLGIPHWKKLSCADLWCMKRLLEDYSDGDVGDWTKSEAGVSIFVDPREL >KZM86819 pep chromosome:ASM162521v1:7:7348515:7352645:-1 gene:DCAR_023953 transcript:KZM86819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRDIYINQSESESEADAKIPVDYFVTKDNINNKNKGLDAKRQLRFVDSSGNIVYTVNKTSSSASPGSVKTRLLLDASGNLLISLRRINSGSWQGFMRDANGEEDLIFRSERIQDTFNKTEFDLFLVHENCEQSRPDLKIKGRPFYRACTIYKGNSIVAQTSLMHKLWDAYVPRNKFRLTIFPGLVNRALVVALIVIYFDGRKIWL >KZM87084 pep chromosome:ASM162521v1:7:10825863:10826573:1 gene:DCAR_024218 transcript:KZM87084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHPNASTDHHQWLHYYNQQTPDAGYNPAVVTSVGGGGNGSGFSDTTSTIVTTSFTTNNTSSPSKSTSLGNTDVHLNPQASVGKPIRRRSRAPRKNPTTLLNASTTNFRSLVQQFTGCQNTKATSFGSRKGPVNLSFGLPRNMQNDHHIINTSSRIAPVGSEYFHDQLANPGGHDQKQGQQWQQQEMFEKLRINSTKNMQSGGLDDFGIDDMHGLINESSSFSSSVDKQDGNYYF >KZM87628 pep chromosome:ASM162521v1:7:18715094:18735478:1 gene:DCAR_024741 transcript:KZM87628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVYIPVQNSEEEVRVSLDQLPRDATDILDILKAEQAPLDLWLIIAREYFKQGKVEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSHLGKIETKREKEDYFIKATQYYNKASRIDMHESSTWVGKGQLLLAKGDLDQASSAFKIVLDGDREHVPALLGQACVQFSRGKYLESLELYKRALQVNPQCPAALDPENVEALVALGILDLQINEGEPCFIPNVYKIYNRGGLESGYAHMFYITLSEHMAAGIRRGMEKMQRAFEFYPYCATSLNYLANHFFFTGQHFLVEQLTETALAVTNHGPTRAHSYYNLARSYHSKGDFEKAGLYYMASVKEIDKPKEFVLPYYGLAQVQLHLGDLRSSLANYEKVLEVAPENCETLKAVGHIFVQLGQSEKALEYLKKATKFHPRDHEAFIDLGELLISTDAGAALDAFKSARALLKKGHEEIPIDLLNNIGALHFEREEYELAEEAFKEALGDGVWRKLIDTDTKGNRQQPDPIKEALYKPLDASASVEKYRDTQLFSQIEEAGVSVELPWIKIPPLFNLGTLLEHLHRTEMASILYRLILFKARALLKKGHEEIPIDLLNNIGALHFEREEYELAEEAFKEALGDGVWRKLIDTDTKGNRQQPDPIKEALYKPLDASASVEKYRDTQLFSQIEEAGVSVELPWIKIPPLFNLGTLLEHLHRTEMASILYRLILFKYPEYIDAHLRLAAIAKAQNNFHLSIQLVRDALKIDDKCPNALLMLGDLELKNDDWVKAKETFKAANDATNGKDSYATLCLGNWNYFAAVRFDKRNAKLEATHLEKAKELYTKVLVQHSSNLYAANGAGVVLAEKGHYDVAKELLTQVQEAASGSIFVQMPGVWINLAHIHFAQGDFALAIKMYQNCLRRFFYNTDSNVLLYLARTHYEAEQWQDCKRTLLRAIHLAPSNYTLRFDAGVTLQKFSASTLQKTKRTVDEVRATVAELTNAVRLFSQLSAASNLHIHGFDEKKIETHVGYCKHLLEAAKVHLEAAEREDQLNKQRQELARQVTLAEENRRKAEEQKKLQLEKRKQEEELKQMQQQEEHLERMKEQWKHTSASKRKDRTHAEDENGGRSEKRRRKGEKKRKREKKSRYETEEAGDMDDQEEMEMEDEYVNRNYKQTDNTMNDDGDEVENPSAANRKRRAWSESDDDEPTTRHTESSPIRENSAEMQKIGAISILN >KZM88335 pep chromosome:ASM162521v1:7:26904328:26904975:1 gene:DCAR_025410 transcript:KZM88335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWNPKVIASLSCACKWFDDLAKRLFWKEFCRTRAPKMMLDLQSSGSHSVDGNWRALGKLLIICSGCTRGGLFNNYEIPEHFVYKTRFSRTSGKSFLLPQCRSDVLYVSDPCEHLDQGDEGDVGMFRGVFKSFASSNVRRMLIRRGAKFHPTETCPYCKAKLWSMQQANMIPQSASSRLGAYEDCIEYYVCLNGHVLGVCTLLPLSDSEEASELE >KZM88392 pep chromosome:ASM162521v1:7:27532239:27532430:1 gene:DCAR_025467 transcript:KZM88392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNYRVVFWFLVTETTAQGANVKINKDQVNKDDDVDAVVLISNKHGKNDDPTTVFTWGECSD >KZM86267 pep chromosome:ASM162521v1:7:1128452:1134823:-1 gene:DCAR_023401 transcript:KZM86267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSDLPMSPQLEQIHTEIRDNFRVLANGFQKLDKIKDSSRQSKQLEELTGKMRECKRLIKEFDREIKDEEGRNAPEVNKQLNDEKQSMIKELNSYVSLRKTYMSSLGNKKAELFDTGGGSEPTAEDNVQMASTMSNQELIDAGNKTMDETDRAIERSKQVVHETVEVGTQTAATLKGQTDQMGRIVNELDTIQFSIKKASQLVREIGRQVATDKCIMLFLFLVVCGVIAVIIVKIVNPHNKDIRDIPGLAPPAPTARRLLYLKSGQYLV >KZM87938 pep chromosome:ASM162521v1:7:22230088:22232730:-1 gene:DCAR_025039 transcript:KZM87938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRNGFGVYRFYTGDVYAGEWANGQSHGCGVHTCDDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGIMNVPSTQNNTYPVSPVAVYHSKVLNAVQEARRAAEKAYDVAKVDERVNRSVAAANRAANAARVAAVKAVQKQIHPRTTSDEIPIPIVQDWHISQQLAA >KZM88373 pep chromosome:ASM162521v1:7:27284498:27286228:-1 gene:DCAR_025448 transcript:KZM88373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIFSALSIVVLFACAAAYDPLDPTGNITIKWDVMSWTPDGYVAMVTMNNFQMYRHIMSPGWTMGWTWAKKEVIWSMVGSQTTEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQINNCCKGGVLAAWGQDPASAVSAFQVSVGQGGTSNRTVKLPRNFTLLGPGPGYTCGPAKIVPPTNFLTSDRRRKTQALMTWNVTCTYSQFLARKHPSCCVSFSSFYNETITPCPSCACGCENKRNKCVKSDSKLLSVVGVNTPRKDNSPLLQCTHHMCPVRVHWHVKANYKDYWRVKLALTNFNYRLNYTLWTLVVQHPNLNNVTQVFSFDYKPIVPYQSVNDTGMFYGTKFYNDQLMEAGPFGNVQSEVLLQKDQNTFTFKQGWAFPRKVYFNGEECMLPPPDTYPFLPNSANGNLIGYSTLIIWAVFLLFIMH >KZM86767 pep chromosome:ASM162521v1:7:6666264:6666473:-1 gene:DCAR_023901 transcript:KZM86767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEDMHDAGSIDDDFYSGETAMDSDDDADAAYEFIDHDSDDSEDFLAFRNQVLPSSPSFFCVSGDLT >KZM86646 pep chromosome:ASM162521v1:7:5276611:5277174:1 gene:DCAR_023780 transcript:KZM86646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWGDLPEEDYYASQGVKNSKQYFQTPHGKIFTQSFSPLSANSPVKASVFMTHGYGSDSGWLFQKICISFATWGYAVFAADLLGHGRSEGLHGYLGDVDKVAAASLSYFVSVRKSGEYEKMPAFLFGESMGGMITMIMYFQSEAGLWTGLIFSAPLFVIPQAMIPSKVLLCLRNLFQFLDAFFV >KZM86552 pep chromosome:ASM162521v1:7:4113194:4114231:1 gene:DCAR_023686 transcript:KZM86552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHLRLPTTLLLLTLLFSSPSVHFPAKANTLTLPKFQEAPSFRNGAECPKTNTDPSSHTIHISMTLDATYLRGSVAGVLSVLKHATCPENIVFHFLAAHRRSELQHTILATFPYLKFRIYHFDTNLVNNKISSSIRRALDQPLNYARIYLAELLPTTVKRIIYFDSDLIVVDDIEKLWNINLNSRVLGAPEYCHANFTNYFTTRFWQNPVFAGTFRSRKACYFNTGVMVIDLVKWRREKYTEKLENWMRIQKRYRIYELGSLPPFLLVFAGNVEGVEHRWNQHGLGGDNLQGLCRDLHPGSVSLLHWSGKGKPWLRLDSKKACPLDGLWAPYDLYKHESLYSDS >KZM86620 pep chromosome:ASM162521v1:7:4957735:4958724:-1 gene:DCAR_023754 transcript:KZM86620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLLNVTAHMLGQASGSDDMRHNLSCTKRARQDRNIFSSGPKGVVALLSGNWAAHVYLNHNWICIGIFKTENEAVRAYESSTAKILVDCLNINLQKRSNTAQELNILKQARIDGTGSCRSVNTSQVHGTQKFTRTQLFEKVLTPSDVGKLYRIVIPKMYANCFSSISDGNKSSSEVLPFNDEIAFYDRSMTLWKFRYCYWKASKSYVFSSGWINFVRANKLNSKDKVIFFKCEHVETAVVHTYFAIDVEYYADRGGVEEASSNSGKYVTNGEQFHLKEGVQNINDEQNDCGTACTRHKRNIEVDNDLERTGSGKAPQTSFKLFGVKIA >KZM89257 pep chromosome:ASM162521v1:7:35425144:35429152:-1 gene:DCAR_026332 transcript:KZM89257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMMSNKPPLNPNQNQNPYTKDLFPSPALSLSLAGIFRHGEGTSKNNTDVEERDAAHGVGIGNSIRREDVTEISSENSALVRSYDDIEFADDQQDDDVEDDKNKKRKKYHRHTLDQIREMEALFKESPHPDDKQREELGKKLGLHPSQVKFWFQNRRTQIKTTQERRGNLFLRREMIRFRDENKALSDIITKSACSNCGAPASAKHTVIPSEFQQLWIENARLKSENKRLLEVIGKHASSESPPARSTCSPRNDQAVNMRCSLDAFSNVYGLAKSQIVGIVNKSIEELIKMATEGEPLWIKSFETGREVLNYEEYLKDCSVENFARKWPNGSSIEASRDSGVVLLDLPTLVQYFMDAKQWQEMFPCMISKAATVDVINSGEGVGKNGAIQLMFAELQMPTPLVTTRRVYFFRHCKQVTADKWAIVDVSIDKLESNIDERCYKRPSGCIIEDTLNGHIKVIWVEHMECHKSLVPSLYRRIVNSGLAFGAGRWLKMLQLQCERLVSFTATNVHTNNSYGVFTLAGRKSILELAQRMTTSFYRALGASNYHTWNTATSKTGEDIRIAWRKNLSDPKEPLGVVLCAVLSVWLPVSRQNLFNFLRDETRRNEWQTIVNKGPVRNIANLVKGQDQRNAVTIQAMKQNRKSVRILQDCCTNAFESTVVYAPVDISSMQSAMQGCDTSDIAILPSGFSIIPDGVESGPLLLSSGTEGGSLLTIAFQILTSNSPTENLSMESFKPVNKLVSCTLQNIKTALRC >KZM86392 pep chromosome:ASM162521v1:7:2379514:2389987:1 gene:DCAR_023526 transcript:KZM86392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPYHEISDEEWEQVPFNPSKFLNKPSSKTLNPPPPIESFAYKPPEKFAMELDGDDDDCVDITEKVYKESLEDDDFDEAPRNSGVPRAGRRFVIEDDEDEWSDDVAVERSERKDKEKEVIELKSEDEEFDVEEDEEEEFGSELGFGDEGNEIDVVDKALFKCGKISEDLKRELYGTSNVACERYAEVESSSVRIVTQEDINEACEAEVENEEGFKPILKPYQIVGVNFLLFMYRKRIAGAILADEMGLGKTIQAITYLTLLKHLENDPGPHLIVCPASVLENWERELTKWCPSFNVLQYHGAARSQYQKELSSVAKSGVPLPFNVILVCYSLFERHSEQQKDDRKILKRLRWSCVLMDEAHALKDKSSYRWKNLMSVAKNANQRLMLTGTPLQNDLHELWSLLEFMLPDLFETGDVDLKKFLNTEDGELIARMKSILGPFILRRLKSDVMQQLVPKIQKVTYVVMDKQQVDAYKEAIEEYRAASFARMSKSGSAKSINAALPKRQINNYFVQFRKIANHPLLVRRIYNDADVVRFAKKLHPRGVFGNECTLERVIEELKSYNDFSIHQLLLYHDIADTKGVLSDEHVMFSAKCRELAKLLPSLMQGGHRVLIFSQWTSMLDILEWALEVIGLTYRRLDGSTQVTDRQTIVDTFNNNTSIFACLLSTRAGGQGLNLTGADTVIIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKDTVDENIYEIAKRKLVLDAAVLESGVEVEKEEIHEKTMGEILLVTKDTVDENIYEIAKRKLVLDAAVLESGVEVEKEEIHEKTMGEILSKLLLGEDPR >KZM87216 pep chromosome:ASM162521v1:7:12570990:12572188:1 gene:DCAR_024350 transcript:KZM87216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVEALYSDFHLEGGELLVFEFAGDGNFNVYIIGRDFCEVEYPNVVHALQEIGPRKVSLVKGGLKFVKFVTESEPIVDYMVAPISFAERCIHPLSLKCFVRYVLPNGKKINGLYDELTYKFSGLQTIPELLGNADLNSFNMLLLSYTEGAHLTISIFDDNFVEVFFPGSPLSTVPSLLAPVVESSFRIKVQPYMLLKYCHGVDIPAQHRDLWNMWSRSEYITVYSGTAAWKRKIRHRTDWKCTTIHDGWVAFRKDMALEVGDTCIFECPVDSFCHFSVRVVKSGQ >KZM86239 pep chromosome:ASM162521v1:7:895678:898690:-1 gene:DCAR_023373 transcript:KZM86239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVLFTSSSSSTPNLFVSFRAQNALIADGVSSKSNLDRAHFVFSHGHSFSSSRKLKSPASVIVAASKKDKNNKKVDTHSFVVKPDESAGLFPEAVLLKEKKVQEDGRLLPEFADAEEEELYEALNLLLESDLDEDRVRHYEVVYLIHEKYVDEVESVNLKVQEFLKEKKGKVWRFSDWGLRRLAYKIQKAKNAHYILMNFELEAKDINDFKSMLDKDERVIRHLVIKRDEAITEDCPPPPEFHSMRAGADGEEEDDFYDDDYEDEDEEEDADEPQVASSNNVQEERIIFVDEDDGHKNGNPITFGVSTRRKKLKAQKLGR >KZM88929 pep chromosome:ASM162521v1:7:32387424:32389839:1 gene:DCAR_026004 transcript:KZM88929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAVSAAVCHPFPKSNALSNKSSIIRPKRLCFTKVPVVYRNASSGGRVGSIRAQVITEAPTKVEKVSKKMEEGVITNKFRPKDPYVGKCLLNTKITGDDAPGETWHMVFSTEGELPYKEGQSIGIIADGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEQGEIVKGVCSNFLLSENHDNFVPSKLVQTCSIPVIIKQSASNRTSSGDLKPGADVKITGPVGKEMLMPKDPNATVIMLATGTGIAPFRGFLWKMFFEKHKDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKNPDKFRLDFAVSREQTNEKGEKMYIQTRMAQYAEELWELLKKDTTFVYMCGLKGMEKGIDDIMVSLAAKDGIDWTEYKRSLKKAGQWNVEVY >KZM87092 pep chromosome:ASM162521v1:7:10878703:10893590:-1 gene:DCAR_024226 transcript:KZM87092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEDDDRSWRLFTSEDMIDGYKALKRRKKCTKMNKDVRSSSNKDTSEASPATPCHTCLRRSSRLIDSPWLYNWAKKPPGYVDLEQGQEIVVVKEIDKRNEFEIVKNIEKSASGEDDAEFETPAECFSEGRSGRTKQRTKGGSLRQKSRTNSGKKLFCSGNRGSRSIIQISSTSGRRAHNRRVPVSRKQMLRTASQVKSPKNPVKRRREVGGGNTERVKVPIKRPYRKMAEQEGNGIMTDVLSSLSNEQRKWVSQTGFADILDFRMGVYTHNLGYNVVRAFDNENCSLHLQVGKIEINDRTVRCVLGFPMGDELIQHGKDLEPITYWGKQFEGAGCEVTAAMVSKLILESVEADRKFKLNFLVLMYNFFIEGQQNNSLNRDILKCSMDIDNCWRYNWCRLLLEKLRKAHAYWSAEPKRYFTGSLPFLIYLYVSKVCSDGTTYIAPTYPAYRGWSDRLVRERQKYDATNGSFGLGKLVDLKDKTEGKSDDVPEHNNFAIQGTGEGDTEMEAQGDCQNDNNILNEFEGAGCEVTAAMVSKLILESVEADRKFKLNFLVLMYNFFIEGQQNNSLNRDILKCSMDIDNCWRYNWCRLLLEKLRKAHAYWSAEPKRYFTGSLPFLIYLYVSKVCSDGTTYIAPTYPAYRGWSDRLVRERQKYEATNGSFGLGKLVDLKDKTVGKSDDVPEHNNFAIQGTGEGDTEMEAQGDCQNDNNILNEVDEQDNNASSDEVIVEDSLCMDEEVGNDLGVSPEAGVCAYGPLNMEVNNDDELNKRHSVFAENMDVVLEMVNGVHNRIVDVKEFDMFVFPVYNASHHYIICYNMKKPSWEIIDNRVHSESFANMFGDLPNDLHDCFCDWIKMYNLPKGAEIPQLEPIVVRLGWQTVDNWLDCGVFVMRHLETYMGTLHGWSAGLRSHPARMKELLRKLRLVYCHKILTWNGNKNRPMIMKNVAAYTKGKRTALVFQKNPGKRAIQDNAGLCGIPGLPKCGTHLSVGGKIGIGLGACAALMLLLICSTCWWKRRQNILRTQRIAAREAPYAKARTQSLRDVQMIRNQSLANRKSKHVFKRATRFDENNENSPFSVLTNISTVTDDHGSMEQGSNTATLSTRKPCTRTPLSNITNIPSFTNLPNRRDKRKGKAINKDWEDVPLKDWSRNLFEEEFSNRTKTIPNLYDDKDETTAEGGYFSDDGLFDSECSEDDLHGPTNSEPDCSSESENG >KZM87118 pep chromosome:ASM162521v1:7:11141109:11142228:-1 gene:DCAR_024252 transcript:KZM87118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTGRKRSPLGPLSPSSSNHGWTYTQCISGRGYASCPSSPALQRSCLRTEKENKANSNDVNEDDVVVMVPNVHSFGIEIPGSSSGAKNLMYSFNEVEDVNHDNMNVDAVNSPESNWKTIDIIDTDGIICRNGY >KZM87382 pep chromosome:ASM162521v1:7:15377679:15378816:-1 gene:DCAR_024516 transcript:KZM87382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSIPEVGLSSGNGRKMPIFGLGTGTYPFLGPEVVVKAVLEAIELGYRMFDTASVYQTEEALGDAISQALSLGLIKSRGEVFITSKLWCTDNHGEHVLPALQKTLQNMKLGYLDQYLIHMPVTLKHGAANFPPSPEDFVPMDIKSVWIAMEECKTLGLTKSIGVSNFSCKKLADILAFAKIPPAVNQVEMNPTWQQGKLKEFCKANGIMIAAYSPLGAAGAIWGSKRVMESEVLEEIARSKGKSVAQIALRWVYEQGVVVVAKSFNKERLKQNLEIFDWELSNEELKKIASIPQSRANLGQMFISETGPIKSAEELWDGEL >KZM88893 pep chromosome:ASM162521v1:7:32088466:32090061:1 gene:DCAR_025968 transcript:KZM88893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTKSKLSTTKTIISALGTVAATAMVARSLAQDYLPSEVKDYLKSGLESFLSRFNNEVTMVINEYEGYENNAIYEAALVYLQSKVSTSARRLRVNKAEEEQSFSLGMEHNQEVIDTFNGAQFKWAWVRDLRHSTQYNYHHGKSEYRYFELSFHYKHREEALEFYLPFVMKEAKEKKQANRTLKIFTIDTEDDTWESVNLDHPSTFETLAMDVELKEAVMRDLDMFVKRKEKYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFNVYDLELTDLKCNSELRKLLIATENRSILVVEDIDCSIQLHDRVSGAKAVKPNAEQKSDKEKQSSKSDDPDASLASLISFLHTKRKDNEEAKAMDKKATEEVAESVSQEDDDDKEDDNEEEDKDEDEDDDDPGGDQN >KZM87155 pep chromosome:ASM162521v1:7:11767777:11767983:-1 gene:DCAR_024289 transcript:KZM87155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHQQIHIHTTPDNHHLIHPCCISVDCISQLATTRSQITSVTLINYSPCTIIALPSNQPSITPTLLL >KZM86782 pep chromosome:ASM162521v1:7:6835841:6836521:-1 gene:DCAR_023916 transcript:KZM86782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGRAARGRPTGNFSKSRPITASAKRGSKRRNQSSKQWKEIKYIKLDELKGIQAEVEKYKDLIDEEIPKALKVNGSNIIRYAYNILERVKRCVDSKTLEEVSKGDAEALEVALQKLIDCSWEGPVVRFADRKMQERSEKREESHRKIILQCERWVDENVLKMVEDGCEEGLRMATNQTHYKSLRNMEYGKSFYEALMGKKEEPIKADDKEEDWTVVRRRRRNST >KZM88083 pep chromosome:ASM162521v1:7:24201125:24208310:-1 gene:DCAR_025158 transcript:KZM88083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIVGDLAAGLVRKLVSLATNQVIQVWKLHEDLETLRERFELIGALLHDAQTQNLIMCTAKIWFNKLEEVAQVADAFMDGLEYEVARRKVENRHKVRDFFVPSKNTLLYRFRVARKIKYMNTSFDKICKWATDIGLKPVEHLRSTVQHREIRYTQPFEDESLIVGRDDDISFLVNMLCNPNDEGIQVNAILGMGGQGKTTLARMVYNRDAVIKMFPKRMWVTVSDDFDFMMILNQMVVSLTSSPSVLDNAEGLIKNLQEKLKGERFLLVLDDVWNEKPEAWDKLRNSLLGVGGARGSKIFVTTRKQEVTEAMQCSDPYLVKKLTEEDSWELFKQRAFLNGGVLETEAFVALGKTMVERCGGLPLAIKTLGSLLYSKKSEEEWLRIQNSEIWKSKGVLSSLRLSYDNLPYSSLKRCFAYCSIMPKDHDIYKDKLIQIWMALGFLPGDSTVLMEDIGNEYFEILLGNSLLQDVEEDKYGYITNCKMHDLVHDLALEVSSNYSTTVNPSHDVHTGSKATYVRLEGFKDVKQSMFKLRFDTVQALYVEATIFICVLPKLKYLRVLVINSFRNELPGLIGNLKHLKHLDISEMADFDTSSKLPNHLTRLYNLQTLRISWDHELPENICQLINLRHLVIDYSQKRYMFVGIERLTCLQTLPYFVVKKNQNCLVGQLGMLKNLRGTLELYGLSEVENIEEARKALLCEKSNLRHLLLNWRNNEDEREEGEYNDEGVLEGLEPHPNLKALKIKDFMGKKFASWIPMMTNMVTISVTDCSRCEGFPPLGHLPKLREIYIDKMENVKVIGNDLCGGLSPAQKAVTTAMYPSVTKLILGRLPKLEEWVEDVLSKGGKDQIVFPKLEKLQILDCPRLRNLNSCFPSLRELSIRNSESNMILETMYMHLSSLTSLELDDIRDGVGDSSSSSSCSNLESILKVLLKNNYLTLTSLDLRDCKGLQHLTLGVSLDSLSVYDCPNLVSINSVEGSAGLKSLYLIGLPSSVLDGISTQIQSSTLRFLRLGPFSDEFPWPFSSSLHSFPNLVWLSLRGTEMVKSIALFEQLQLSTFPALTELFICDFGGMKDLVLSIAKLPSLADLSIQNCKDLERVSLFDESHCLRFLRISRQRLSSINSSCTLNCVQIKHREMTMTMERVVEENGKTRGDDEYTKDGTVDLMGNPVLRSNTGRWRATSFIVGYEAFERMAFYGISTNLVLYLTRKLHEGTVKSSNNVTNWVGTVWLTPILGAYIADAHLGRYWTFIVSAFIYLGGMSLLTLVVSLKSLRPPSCGDSISDVDCDKQASPFQVGIFYCALYIIALGTGGTKPNISTMGADQFDEFEPTEKTQKISFFNWWVFSIFFGTLFASTFLVYIQDHAGWGLGYGLPTIGLFLSILVFLAGSPYYRHQPASGSPLTKMARVLIATIRKWDVVVPDDPKELHELNLDEYSKPGKYRIEHSPLLRILDKAAVVDGRSPHWMLCTVTEVEETKQMVKMVPILLVSFLPSTLIAQGHTLFIKQGTTLVRSIGPHFSIPPASLVAFITIFMLITVVIYDKFLVPTLRSYTKNPRGIPMLQRMGIGLVMHVIIMIIASVCERKRLNVIKDHGITKKNQIVPLSIFILLPQYALMGVADTFWEVGRLEFFYDQAPKSMKSLGTAYYTTSLSMGNFLSSFILTTVSDFTKRDGHKGWILDNLNVSRLDYFYAFYAVLSFINLLFFLLAANFFVYNKEQDDATMELENVKDTSDTNAFFKDVIM >KZM87825 pep chromosome:ASM162521v1:7:20879100:20879468:1 gene:DCAR_024926 transcript:KZM87825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISYNRSALLVLTLAVFLIFTIAESRSVLPRRLAKASVPTCDSVTGVSSGDTCFSIAQSFALSTDEFNSINPNVNCAALFVGQWLCVVGSA >KZM88283 pep chromosome:ASM162521v1:7:26452219:26455662:-1 gene:DCAR_025358 transcript:KZM88283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSFCRSGLLEEAKELVLQVDAKYKSYDVVLLNTMLCAYCRVGEMENVMIMLRRMDELAITPDRNTFHILVKYFCKEKIYMLAYQTLEDMHKKGHQPEEELCSSLIFHLGKTGAHSQAFSVYNMLRYGKRSVCKTLHGKILHILIDGRLLKDAYLVVKDNARSIPRSTLKKFAASFMKFGNINLINDVIKALHSSGHKIDQGLFEMAISRYIDQPEKKDLLLQLLQWMPDKGYFVDSSTRNLLLKNSKLFGAQQIAETLSRQHISSKAPRSHEMKDNLS >KZM88069 pep chromosome:ASM162521v1:7:24139797:24142613:1 gene:DCAR_025144 transcript:KZM88069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSSLVLFAADSSSSARLTSFNTNNKLPNGVVQFKRLISPQFGLQKPKTIMTHVSNPLSYCVASMATEASAKVIDGKSVAKDIQNEITAEISRMKSEIGVVPGLAVILVGDRKDSATYVRNKKKSCESVGIKSYEVCLPQDCREEEVLEHISSFNNDPAVHGILVQLPLPSHMNEQSILNAVCIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYNVDIKGKNAVVIGRSNIVGMPAALLLQREDATVSIVHSKTKNPQEITKQADIIIAAVGQPNMVRGSWIKPGAVLIDVGINPVEDSTRPRGYRLVGDICYEEACKIASAITPVPGGVGPMTIAMLLSNTLTSAKRIHNFS >KZM87541 pep chromosome:ASM162521v1:7:18016990:18019832:1 gene:DCAR_031974 transcript:KZM87541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHGETTPGGNQPPDPRSGIGDNPPVDNTPVGNASQDRAIIITDGESGVMRRPISSSPPVFMSNEELLKELHYLRNEAREQQALRERVAQLESLVPGTHRSGKQPFEEESQSGHRDDRRPVIVPRNLFASGGQTTAGGEGARDGGRPPQDRRATAHLEEASRDVNALAERYRGRVSRADLLALIKDLESRPEYASTGSRTAGTGREGHRKEIPHGSDSRSYDRSQEQEPRGLGGRSERDPIVLEGRQSQGGTREHATSPGNGKTHHQTIADPHIQASSQANAHANPHIQASSQANAPPSAQTHANQSNPLATANDLMATGTLPSMTLSQPNVQTIPGIGAIDVNSLRKLLAHFDGSQTSLSSQALSPFSAEVIEAPLPANYRNTTSDLKFHSNSDAVEFLGRFNVEMGVYQIPDPVRCRLLAATFRDSAYQWFRKLEPASITSWTSMQTMFLTQFQATVKYAPPVTTLANIKQKEGETLHAYFKRFNAESSNVRGATDETLKSFLVAGLRVGTDFWKHLQGNDPKSLADLYARAEAYKNVEQSLAESRKNERSPGKARQKRRDRSPSPEQRGRRRSPNRVNTMYRRNYTPPRDHEEREDRWTPLAAPIDHIFEVNRDKGLFRRPAPLNSWQSKNKDKYCEYHESTGHDTHECRQLKEEIELLIKEGQLNEWIMREARSRRDIRAKDRRGLGYTGDQERGKQEDIQFVKEGSIHVIFGGPHLAGEGTRAMERYAKEAKEEDARWVHHPHNDALVIALRIGPMNVHRVLVDNGSSVNILYYGTYQKLGLPDKDMKVEDVYIYGFGGEAVKVKGTIRLPVTLGEGTCSATQVMDFMIVDHDSSHNAIIGRPLLKEMRVVTSLYHFSMKYEIPNTWRDWSCKGMPI >KZM86903 pep chromosome:ASM162521v1:7:8219212:8219550:1 gene:DCAR_024037 transcript:KZM86903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRNMDEFWPFYMTQHSKAATRRWHFAGTLASLLCLIYSLVFNWWFLFLAPLLGYGMAWYSHFFVERNVPATFGHPVWSFLCDYKMFGLMLTGQMDREIKRLGKRPVLQAY >KZM87906 pep chromosome:ASM162521v1:7:21887475:21889061:-1 gene:DCAR_025007 transcript:KZM87906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSFLHVLNHNSHTFFNLFTFSFPILLISIFLTWAASSSSLPSSPSTSSATAASNFLSPRGYSLFASALLSPSFNATGTVLAPPDFAILLAAKTYLHAPPRASLPLLLYHTLNAPLSYQHLSSIADNSELRTLSGENCLFLFKKSNGDVTIAVDKKRSLSVKIRQPDLYVDDHLTVHGIDGVLDPNSASTCSGIKHPAARIKAHVDRIFLDHSIRALKRRGFTVVGTAMAIKRRELMRLNGVTVFAVPDASLFSVSNGFRYDFSHHVIKKRMRLTEISRIPIGTVMETMSPNKSIVLGENEGRVTVNGVEINVTEVYHNRWIVVISILGSLDDVVVIPPPEIAFSSEAHVFSPSPSDSTGVSSISQAQSPDSESFASLVESPESVPSPTPIYYPEDENVGRESSQSPSPIASGDENAGESPLLASSPDESAVRCLSEVPDGGDSAVFLAVEGEDIFCPVSIRRKLDQSNAADVAPSQPSDLVRGSKGDQSEPLMRVEVISESKRNDEVKKESRREDQYMADDLFFYT >KZM88004 pep chromosome:ASM162521v1:7:23218626:23230827:-1 gene:DCAR_031527 transcript:KZM88004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDKGMGVTEGSSKETKKRGRPKKNADPPKKHSVSREKNADPPIIHSVSPSLIAESPPPGFPSKFLVWPVKNRTSLATGSVSAGVSQSKAVHGAVSETLGSGRTPKLECNTNMKTEEAQRQMHQFTSTVTELCTGFETLKKENKELRKQVTDLEGQNQKWKDQEASWDVKKKELERECDEARKEALAAVGKKHKGMGVTDGSWREKRKRGRPRKYGLDVPIIHSVSPLPIAESPPPGFPSKFLVLPVKNRTSLATGSVSAGGSQSKALHGAKSETLASGAKHKLAIKCPEPKYKLINMENEEFTGRQAQMQLHQFASTFSELNNGFESAKKKIKELGKQVPDLTIQIQKWNDEKASWDLKMKELERERDEAREEALKAVGEKRDLEKKLEKAAKEAEDAKASAKRAIDERRDLKCSLFSSYSERMLTDKDPLPSSWLKISSQKANEGATSLDNQSNAGTAVKRKQEAYVSTDDEDDQPLSFRRARTSMAAKPAARHASSLAAGTLATKSGVTGFENGEKRKLLNNRPMVKFKINVETEGTIASQAQRQIRQAASTVSELCTGFETSKMKNEELRKQVIDLQGQIRKWKGQKARWDEMKKELERERDDVRKEVHKAASEKRDLEVKLEKTVKKAEDEKVYAKRAIEEAALKAFSEKRHLEEKLEMAVKQAEDVKAAAKKAIYEAVASTKKCYKIGLSNFVAYLATGEGRSLGDYVNELIEEIPHDNRAPVDAAVDMAGLKGDRAIKDEPRDYHLAGFAQNVALQGNYS >KZM87822 pep chromosome:ASM162521v1:7:20838049:20842247:1 gene:DCAR_024923 transcript:KZM87822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVSGSPPCVIRGSMSFSKSPIRSGSSPARSVTRSAATATCSMLEDWSGIDREKAKEYIISCQVASEDLVYDLILKWSRTQYPELEERQEILGSRLSRFIRFPYMSCRKLKKVLTCTDFDHELASKAQVHQFWNML >KZM87898 pep chromosome:ASM162521v1:7:21839946:21841118:-1 gene:DCAR_024999 transcript:KZM87898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKICDQVSDAILDACLQQDPESKVACETCTKTNMVMVFGEITTKAKVDYEKIIRDTCRNIGFTSADVGLDADKCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETKELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNDNGAMVPLRVHTVLISTQHDEGVTNEKIAADLKEHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVAEPLSVYVDTYKTGKITDTEILGLIKEAFDFRPGMIAIHLDLTRGGNFRYQKTAAYGHFGRDDPDFTWETVKMLKPKT >KZM88992 pep chromosome:ASM162521v1:7:32871009:32872971:-1 gene:DCAR_026067 transcript:KZM88992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSSMLVFLVFNLLIQLSRGGDIGIYELKKGDFSIKVTNYGATVLSVMLPDRNGKFDDVVLGYDSIKDYSNGTTYFGALVGRVANRIGNARFTLNGVVYHLSANDGNNTLHGGKKGFSKMVWTVEDYQANSHITFTYNSPDGEDGFPGDVSVEVTYMLMDTDKLAIKMKAKPKNKATPINLASHTYWNLAGHNSGDILSHTIQLLASKITPVNKHLIPTGQIVPVAGTAYDFLQAREIGSRISELSDGYDINYVLDGTSKKHFNKVAMVHDSKSGRKMELWTNQPGVQFYTSNMIASVKGKFGFIYHKHAGLCLETQGFPDAVNQPNFPSQIVNPGATYEHIMVYRFTTE >KZM88270 pep chromosome:ASM162521v1:7:26339119:26341481:-1 gene:DCAR_025345 transcript:KZM88270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLSCKHVLWCVDCDLKRNEIRSLNRFKRYYGLKIFNLVQLDYVGDDLFIIRLFKETAFESKYPTGRANDVEWSKDWETLNRAQYVIDTSTLECEKAMASISFNACANRTDYAYLIFVESKFDEGRGNMILSPMWKDYYKHWEDGSLVVFKFLEKSWTIKIEKNSEVCSLGKGIVEFFRDAGITDGDFLVAFRETEEKPDCLRVCIYNNADHGMDFVIGLTEPVPHKWTKSFFKIVYEDAYSRGLFMVPFMVNEFYMWKLKQIKLLSVGGLGWGVRYRPFPGYIYNLEEMLMYYKLKPKETIIFTLNETDVLYGRVYQCNGAEIDYQSRAKHEHDHNADEWIWSFEERTNSGGDEEQSFDDEMAVDDDAGNLLEFTTCLTGGNVDKKTHGLFIPQTIQPSCGVWKRTQKIKFITEKGVWDIGITNTEERPRFSAGWNKFIRDNGYKAGQMLNFRLVEHDDYADFIISKV >KZM86521 pep chromosome:ASM162521v1:7:3712635:3718009:1 gene:DCAR_023655 transcript:KZM86521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCITQTLQQHDFSPYCRNWIGTGPRPKPKTTNLIIMAKKKPVIEGLSDELNKIAAQNLDFAPARRLVRSAFTQIQQNLDHCLFQMAPPGIRTEEWYELNSKHQEIFCKSWLPKPGVRMKAALCFCHGYGDTCTFFFEGIAKQIAASGYGVYAIDHPGFGLSEGLHGYISSFDDIVDNVIEQYTIIKGRPEVRDVPRFLLGQSMGGAVAIKVHLKEPREWDGLVLVAPMCKIAEDMRPPEPLAKALTMLSRVMPKAKLVPQKDLAELAIRDSKKRKQASYNVICYNDQTRLKTAVELLKATNYIESQLNKASYNVICYNDQTRLKTAVELLKATNYIESQLNKISLPMLILHGAADKVTDPMVSRFLYEKASSKDKTLKLYEDGYHCILEGEPDEIIFTVINDIISWLDSRCTVH >KZM87665 pep chromosome:ASM162521v1:7:19018190:19020212:-1 gene:DCAR_024766 transcript:KZM87665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRYTHLCLFLMLLSLAPSSHSISEADALLQFKESITNARALDSWRPGTTPCPLQGTSWVGLNCAKEQVRAISLYNMGLAGKIDTRPLEQLQGLKFISMANNSFTGPIPEFNRLAGLKGVYLTGNKFSGEIPSDYFANMKSLKKLWLSNNEFSGKIPESITQLPILKELRLENNQFSGPIPQLKQQALSDVDMSNNKLEGEIPEPLLKFNATAFKNNTGVCSKKLGIKCSAAPPPANSGDAAAPSNVMNPAGTPGSQSSPPDEGSIVQAKWVVLAIVIILLSLTILFKSRRNSEKFARPAKENPDDLVQVHIPSTNRENLSSTSRNSSMSHSRRGGQGGKPVNDLVVVNDEKGVFGLSDLMKAGAEVLGNGGLGSAYKAMMENGLCVVVKRLREMNQIKRNAFDTEMRRLGALKHNNILTPLAYHFRKDEKLFVSEFVSRGSLLYLLHGDRGAAHSELNWPTRLKIIQGIARGMGYLHSEFPSAELPHGNLKSSNVLLDSNYEPLLSDYAMYPLLNKTPTAQSMFALRAPESVSLQQVSPKCDVYSVGILILEVLTGKFPSQYLSHQKGGTDVVEWVRTAASESRISELIDPEIAGASAASLEQMEKLLHIGAACTESDQNKRPDMKEAIRRIEEIQV >KZM89253 pep chromosome:ASM162521v1:7:35400725:35408862:-1 gene:DCAR_026328 transcript:KZM89253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAESDSSAPVISRTPLANYHQDLSGAFSAASSETVEGTGNNYEPPVHAIVMPAKWNSLAKILAEGNLCIVSNFYNRQATRRLRPLPKHGTVRLISLLYLDKWRLKFVLLRGSSGFYTYAIYEHLGSEEWRGFSIGESRITFKLRKDNIQVTQTSKTKGCIPSFGMLIHEWRAGVKKEAKATYKPQLLLAAAAYYYANGNFTGIPVKYPRASISKNMDWINAMNYDYYVLDQSRGSKKKSDHGLATLGEDLEPERSGSELTWSPSYGYRSSGRRHTVLKFSEIENHNLANKAAVTHDAATVSTYSVAGSTWIGYDDITKGCIPSFGMLIHEWRAGVKKEAKATYKPQLLLAAAAYYYANGNFTGIPVKYPRASISKNMDWINAMNYDYYGEDLEPERSGSELTWSPSYGYRSSGRRHTVLKFSEIENHNLANKAAVTHDAATVSTYSVAGSTWIGYDDIVI >KZM86235 pep chromosome:ASM162521v1:7:825729:828601:-1 gene:DCAR_023369 transcript:KZM86235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYKRSVWAPIHTAATRHSQHARRFDISTTFSPSSTFDSYAYSNFLRNCVVNENLTAGKALHCDIIKRGGIRCLDLFASNILLNLYVKTHALGDARLLFDKMPHTNVVSYVTLIQGYSQELFYSDAIELYVRLHCEGHELNAFVFTTILKLIVSMEQDDDDGPCLCCSSIHASICKLGHDSNAFVATALLDAYSLCGLVNVATEVFYGIGCKDMISWSGIIGCYADNHHFHGAFQLFSQMQLAGFKPNNFVLSSVLKACAGLYAIDIGRSIHSFALKTHYETDRYVRISLIHLYTVSDHIDDARQVFEEIPEMDVIPWSFLIARYSQSNRCKEAIDLFLRMRQQLVVPNQFTFSSILQACAAVKNFDFGKQIHSHVLKAGLDKDIFVSNAVMDFYAKCGCIENSLDIFLESKNRNEVTWNILIVAYAQSGDGEEALNLFRKMLHDQVQVTEVTYSSVLHACARLAALEAGHLDKALKLIEDIPFTPSVMVWRALLGACVVHNDLDLGRLCAERVLEIEPEDEASYVLLSNIYASSRRWDNVAHVRKKMKRKGVKKEPGRSGSGTVEVEERAE >KZM88317 pep chromosome:ASM162521v1:7:26762969:26763411:-1 gene:DCAR_025392 transcript:KZM88317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDGVTTPAAECIHPFTHVNTDLLLIFGILHPSPASIFPVCRPEIDEAVTMIEAIDTSKGGGMVPGDQPQGCL >KZM86656 pep chromosome:ASM162521v1:7:5351483:5355633:1 gene:DCAR_023790 transcript:KZM86656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQVSFRLGKLEKQTSVRGVMEKHSSFRGERHMEKQQSFRGGGMEKQKSFRGLMEKQKSFRIAMERQLSFGGERKKSKESPGKRGDSPLHLAARGGNLLKVIDILQRFDANAIRDWLSKKNQEGETALYVAAENGHALVVEEFLKHLDLQTASIAANNGYDPFHVAAKQGQLDVLKVLLQSFPNLVMTTDASNSTALHTAAAQGHIDVVNLLLDADSNLAKIARNNGKTVLHTAARMGHLEVAKSLLSKDPSIGFRADLKGQTALHMAVKGKNVEIVQELIKPDPSVLCLEDSKGNTPLHIATRKGCIQIVSCLVSIDGINVNAVNKAGETPLDIAVKFGTPELVAVLKEAGACNGKPPTAAKQLKQTVSDIKHDVESQIQQTRQTGFRVKKIVKRIKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEVNTPGNSLGEAHIAKDPAFIIFFLFDSLALFISLAVVVVQTSVVVIEEKAKKQLMFVINKLMWLACVSISIAFISLTYVVVGAHERWLAVYATVIGSSIMLTTIGSMCYCVVRHRLEESKMRSIRRSETPRSVSMSSDPELYNEKYKRMYAV >KZM86709 pep chromosome:ASM162521v1:7:6061642:6071929:-1 gene:DCAR_023843 transcript:KZM86709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLNLHLFPAQQFLQSKIQHNRRNPTSTFTKLNGSYRFSGIKCSVSNGKLPNSTEEDEEEEKKKRIRAELSARIASGEFTVEKSGFQSQLLDGLAKLGAPSEVLDVLSKWIGASEDYPKIPEAKGAISAIRSEAFFIPLYELYLTYGGVFRLTFGPKSFLIVSDPTVAKHILRDNSKAYSKGILAEILEFVMGKGLIPADGEIWRVRRRAIVPALHQKYVTAMISMFGQATDRLCAKLDAAASDAEDVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVSPIPFWEIPIWKDISPKLKKVNSALELINGTLDDLIAICKRMVDEEELQFHEEYMNETDPTILVSSKQLRDDLMTMLIAGHETTAAVLTWTFYLLSKEPSVMLKLQNEVDSVLGDRIPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSLEDDKLGEYPIKRNEDIFISIWNLHRCPQRWEDADKFNPERWPLDGPNPNETNQAFSYLPFGGGPRKCVGDMFASFEAIVAVAMLVRRFNFQMALGAPPVKMTTGATIHTTEGLNMTVTKRITPPAVTAETSSLKDDSSVNMSREAVADQKGEVSVART >KZM86245 pep chromosome:ASM162521v1:7:930606:987322:-1 gene:DCAR_023379 transcript:KZM86245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHGLSAEALRISVWKGDVVLKDLKLKAEALNSLQLPLTVKAGFIGTITLKVPWKSLGKEPVIVLIDRVFVLARPAPDARSVKEEDREKLFEAKLQQIEEAESATLEAISRSKVGSSPAGNSWLGSLIATIIGNLKITISNVHVRYEDSTSNPGHSFACGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSVQLGRLAMYHDSNQLPWSLDKKWEDLTPRDWTEIFEDGINESGEGGETVSKWAQDRNYLVSPINGDLKYHRLGNQEKSNLDEPSEKVSLILSDVSLTITEAQYHDWIRLFEVFSRYKAYLEVSHIRPVVPVLENPKAWWRYAAQASLQQKRICYRFSWDQVQSLCHLRRRYIQLYASSLQKMSNVDNKEIRDIEKDLDPKVILLWRFLAHAKAESVRSKEEAERRLSKMKSWFSFNWRAPSEDTLVEETSDAQMIEERLTKEEWQAINNLLSYQPDEELNFGSGKDMQNTTHYLINVSVSRAAAKIVNISQIEIVYGRFEQLHVSTKLKHRSTHCDLTLKYYGVSAPEGSLAQSGYDEQNLNALAATFVYLPIGENVDWRLSATITPCHATVYMESYDRFFEFLKRSKAISPTIALETAAALQNKIEEVTRRAQEQIQMVLEEQSRFALDIDLHAPKVRIPIRTGASSTYDSQFLLDFGHFTLHTKETNPVDEGQSLYSRFYISGRDIAASFTDCGSDSQSNILSSSSSDSQLSLFPDAVNFYSIIDRCGMSVIVDQIKVPHPDYPSTRVSVQVPILGIHFSPVRYSRLMKLLNIINGTIQNASQPVGGDFQADLAPWNPPDLSAEAQILVWKGIGYSVASWQPCFLVLSGFHLYVLESERSQSYSRCSSMASKHVFEVPPTNVGGLASCIGVCARGIDSQKALESFSTLIIKFRDEEKASWLRGLIRATYRASATPSVDVLGQHDDTVFPGSRPINMKTADLVVNGTLIETKLSVYGKAGDEAPVKFKETIILEVLAGGGKVHVVRCEGDLTVKVKLHSLKIKDELQATSNLSPQYLACSVQKDDNSLNSPSSIEPQGNELLPTEDDDIFKDALPDFLSLSDSAEAIISEKDTTVGRTNSADVFYEAEDVEDSHFVSLIFLTRSTSSPDYDGVDSQMIVSMSKLEFYFNRPTLVALIGFGLDLSAANSESTVTDEDKNVNKELSENKLKTEESDNASVKGLLGYGKGRAVFYLIMNVDSVTVYLNKEDGSQLAMFVQESFVLDLKVHPSSISIEGTLGNLRLCDLSLGSDHYWAWLCDIRNQGAESLIQFKFHSYSAEDDDYEGYDYSLQCRLSAVRIVILYRFVQEISAYFMGLATPHTEEVVKYVDKVGGFEWLIEKNEIDGGSALKLDLSLDTPIIIVPRNSMSKDFIQLDLGNLKVRNEFSWHGYPENDPSAVHLDILDAEIIGINMAVGIDGCLGKPMIRDGQDIHIYVRRSLRDVFRKVPTLALEVKVGLLHCVMSDKEYTIILDCFSKNMNEEPNLPPSFRDNITSSKETIRLLADKVNANSQSILSRTVTIMAVEVEYALLELCNGIHEESPLAQIILEGLWVSYRMTSLSEADLYVTIPRFSILDIRPDTKPEMRLMLGSCNDVSKQSSVGSLPFSIGFKRFDSKTSVSLNIPNSTMFVMDYRWRLSSQLFVIRVQQPRVLVVPDFLIAVGEFLVPGLGAITGREELMDPKNDPIGKKNGIVLLDPLYKQKEDVVQLSANSRLIADASAVDDYTYDGCGKIIRLVEEAETKELPSNGFWPIIIIGRGKSLRFVNVKIENGFLLRKYTYLSNESSYSVSTEDGVEISFLENNLSDDIKRSTDNMKELLLTSNTSDNIKSDSSNIQSFSFEAQVVSPEFTFYDSRKSSLDDSTHGEKLLRAKLDFSFMFASKENDIWIRALFKDLTMEAGSGLIILDPVDISGGYTSSRLIFFYAFSRPIPPSYTVMAVSSTYGRVRKPLRFELIGLFSAIQGYEDLEGNSTTRDCSIWKPIPPQGYTALGCVAHVGSQPPPTHIVHCVRSDLVTSTRYSECIFYTSENQSFSSGFSIWLLDNVAGSFYAHPSTSCPPIKICLDLNHLVLINSSRSHFAFESPSSSLDSRRENEYGEPNSQSANLSGWDVVRSISKATNYYISTPNFERIWWDKGGDIRRPVSIWRPVPRPGYAILGDCIIEGLEPPALGIICKADNPEISAKPVQFTQVAHIARKGVDDAFFWYPIAPPGYASLGCIVSRTDEAPALESFCCPRMDLVSQANILEGPLSRSSSSRGSQCWSLWKVDNQAYTFLARSDLKRPATRLAFTFGDSVKPKTRDNITAEMKLRCLSVTILDSLCGTMTPLFDVTISNLKLATHGRLEALNAVLISSAAASTFNTHLEAWEPLVEPFDGIFKFETYDADAHPTSKFGKRARVAATSTLNINLSTANLNMFLESVISWRRQREFEQKAIQLNEEHHGHADDSNFSALDEEDLQTVTIENKLGCDIYLKKAEQDSNAVGLLHHNDHSSLWIPPPRYTDRLNVAETRESHRYVAVQIIKAKALPIVDDGNSHKFFCALRLVVDSQETYQQKLFPQSARTRCVRPLVSVSNGVDEGTACWNELFIFEVPRKGGAKLEVEVTNLAAKAGKGEVVGASSFSVGHGANPLKKVASVKMLHQASEVQNIAAYPLRRTVLSGSDDNHSQSSLVVSTSYFERKLVANVLSEVEEGNDVDRDIGFWVGLSPKGSWESFRSFLPLSVITKTLNGDYIAVDVIMKNGKKHAVLRGLVTVINDSDVKLEVTTCHASVIHTSNLSAENVVDALNPGSSCILPWKSALRGSDSCLLVRPCTDDAHPPYSWGHLVNIGHSGGKEQSIDQGSFSRQNTLKHRNHMPTSFKLSQLEKTDVFFCSSSPDRDQFWLSVCTDASALHTELNAPVYDWRISINSPLKLENRLPCPARFIVWEKLKNGNNIERQRGFMSSRETVNIHSADVQNLIYVTLFIQGGWCLEKDPVLILDLSSNNHVSSFWMVRQQSRRLRVSIERDTGGTIAAPKTVRFFVPYWISNESSLPLAYQVVEIEPLEASDANSLQRSKTGRFGTTSMRIPSISADRNYFGSRKNLQVLEVIEDTSPTASMLSPQDYVGRGGVMLFSSRNDGYLSPRVGIAVSIRDSENYSPGISLLDLEKKQRVDVKAFNSDGSYYNLSAVLQMSSDRTKQQTLFVNRVGCSLCLQQCDTQSLEWIHPTDPPLQLRWQSLGRAEMLRLRMDGHSWSTPFSVGTEGVICISLTRNPASAPTQLRVEVRSGTMSSRCEVIFRPNSFSSPYRIENHSLFLPIRFQQVDGASNSWQSLLPNASASFSWEDLGRPRQLELLVDGDDRSKSLKYSIDEVADHQPVFVNDEPTRVLRINILREDKVNVIKISDWKPENELQPDISRSSSFSSIPVSENDVTLQQSTSNSEFHVIFEISELGLSIIDHTPEEILYLSLQSLLLSYSTGLGSGITRLKLRMRGIQVDNQLPLTPTPVLFRPQRVGDETDYILKFSITQQSNGSLDLCVYPYIGFQGPENSAFLINVHEPIIWRIHGMIQQINLSRLSETESTAVSVDPIFQIGVFNVSEVRFKVSMAMSPTQRPVGVLGFWASLMTALGNTENMPIRLNQRFQENIRTRQSVLMSNAIANIKKDILGQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQDSKGVEDFGDVIRDGGGALAKGFFRGFTGIVTKPLEGAKASGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRIKIASAIASEDQLLRRRLPRVIGGDNLLRPYDLYKAQGQVILQLAESVSFFRQVDLFKVRGKFALSDAYEDHFLLPKAKYLLVTHRRIILLQQASSIIGHKKFNPVRDPCSVLWDVLWDDLMTMELTHGKKDRPDSIPSRIILYLQARSVDTKDQVRIIKCNRDSNQAFEVYTSIEEAMNTYGPNQAKDLLRRTAAKPYSAIVDSAKAEAIPRDNFYFSSPQQMPSPISHSSTFGTNAN >KZM87142 pep chromosome:ASM162521v1:7:11497466:11498551:1 gene:DCAR_024276 transcript:KZM87142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTTGGSSQVSMHDNEERSKGMYISRSKHQVPVVYLEAGSTPPAVKDREAPAVNPEPGEKDFKTLRCVSKSYES >KZM87586 pep chromosome:ASM162521v1:7:18379956:18389040:1 gene:DCAR_024710 transcript:KZM87586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEEEYRGSPKQQLEKLFKASIRVTVPDLPDVEPLIAACNPRFGDYQCNNAMSLWTTIKGKGTEFKGPQPVGQALKNNLPTSEMVESLSIAGPGFVNVVLSKQWIAKSIHKMLTDGVETWAPKLSVKRAIVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNVEVLRRNHVGDWGTQFGMLIEFLFEKFPDWENANDQAIGDLEVFYKASKQRFDSDSAFKERAQQAVVSLQGGDEKYRKAWKQICEISRKGFEKVYKRLGVHLEEKGESFYNPFIPMVLGLLNEKGLVEESEGARVIFIEGKKIPLIVVKRDGGFNYASTDLTALWYRLNEEKAEWIIYVTDVGQQEHFDMVFTAAKRAGWLPTEDSKYPKTNHVGFGLVLGEDGKRFRTRSTEVVKLVDLLDEAKIRCRDALIERGRGTDWTKEELEQTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDMEELKKIVEEACIKLLPNVLCEYLYGLSEDFTGFYSTCQVVGSAEETSRLLLCEATAVVMRKCFHLLGITPVYKI >KZM87676 pep chromosome:ASM162521v1:7:19289899:19294038:-1 gene:DCAR_024777 transcript:KZM87676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPVLTRVHSLRERLDSTLANHRSEILKFLSRIESHGKGILKPHQLLAEFEAFSKEEKFKLEDGAGAFAEILKSTQEAIVSSPWVALAIRLRPGVWEYVRVNVHHLVVEELSVPEYLQFKEELVNGSSNENFVLELDFEPFTASFPRPTLTKSIGNGVEFLNRHLSAKMFHDKDSMHPLFDFLRLHHYNGKTLMLNNRVQNVNGLQSMLRKAGEYLSTLPQETPYSEFEHKFQEIGFERGWGDTAGRVSEMFHMLLDLLEAPDACTLETFLGKIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMIKRIKEQGLDIVPRILIVTRLLPDAVGTTCNQRLEKVYGAEHSHILRVPFRTEKGILRKWISRFEVWPYIETFTEDVAKEIALELQAKPDLVIGNYSEGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWEKFDKKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTMPGLYRVVHGIDVFDPKFNIVSPGADTSVYFSYTEKEKRLTALHPEIEDLLYSSVENEEHLCVIKDRNKPILFTMARLDNVKNLTGFVEWYAKNPKLRELVNLVVVGGDRRKESKDLEEQAQMKKMYELIDTYKLNGQFRWISSQMNRVRNGELYRYIADTRGAFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIVHGKSGFHIDPYHGDQVAELLVNFFEKCKTEPSHWETISAGGLKRIQEKYTWQIYSERLLTLAGVYGFWKHVSKLDRLEIRRYLEMFYALKYRQLAESVPLAVDE >KZM86671 pep chromosome:ASM162521v1:7:5518217:5522002:1 gene:DCAR_023805 transcript:KZM86671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMRSCVQLGLVLVLIIHLQLLHVKSDATGNISRAHSYIGLGSIRNGERNRYEGAVKEGGRGQTIWDKFAHTPGKISDGSTADVAVNQYHLYNEDIKLMKNMGMDAYRFSISWSRIFPNGTGKISQSGVDHYNHFINALVASGIEPYVTLYHWDLPQALDDKYQGWLSSQIVNDFAAYAETCFREFGDRVKHWMTFNEPHTFAIQGYDLGFEAPGRCSLLGHLYCKEGNSATEPYIVGHNVLLAHGTATDIYRKTYKKKQNGIVGIAFDTFWYEPTTNYTADIEAALRAMDFNLGWFVDPLMFGDYPSSMRSRVGSRLPKFSEAESTLLKGSLDFLGINHYTTWYASNSSVESILNDSIADIGVLTLPFKDGIPIGDRANSIWLYIVPQGMRSLLNYVKTKYGNPLVIITENGMDDANSPFTSISDALKDEKRIKYHNDYLTNVLAAIKEDGCNVKGYFAWSLLDNWEWAAGFSSRFGLYYVDYNDKLRRYAKDSVHWFQKFLAPN >KZM88970 pep chromosome:ASM162521v1:7:32680351:32683406:-1 gene:DCAR_026045 transcript:KZM88970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKGGDRRAKGQLSSILIVAGLCLCFYVLGIWQRSGFGKGDSIAQEIMRKADCTSVPHLNVETHHGRETQPLDDFKAKEIPPCDDRFIDYTPCQDQGRAMTFPRENMNYRERHCPPDNEKLHCLIPAPNGYVTPFLWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADTYIDQLANVIPIDNGTVRTALDTGCGVASWGAYLFKKNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPAMAFDMAHCSRCLIPWGGNGGMYMMEVDRVLRPGGYWILSGPPINWRTHFTAWLRPKDQLEEEQRKIEDVAKLLCWEKISEKDDIAIWRKPVNRQNCLGKNSGAPMCKDKNPNDVWYKKMEACITPIPDSTDSNEVKPFPERLNAIPPTIARGAIQGVSVESFQEDNKEWQNHVKAYKRFNKLIDSGRYRNILDMNAGLGSFAAAIHSHKLWVMNVMPTIAEKDTLVAVFERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYKDKCSTEDILIEMDRILRPEGAVIIRDDVNVLNNVKRIADGMRWNTKMADNEGGPLLSEKIILAVKRYWVAGENNTTTPTK >KZM86417 pep chromosome:ASM162521v1:7:2631900:2633523:1 gene:DCAR_023551 transcript:KZM86417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQIVPIAMFMVISFMLLNLLKKLFRKSKKLPPGPFRLPILGNLLQVTGALPHRSLYNLSKTHGPLMHLQLGEVSAVVVSNPRVAKEVLKTHDLCFADRPTLLLGNIILSNCRDIVLARYGEHWRQFRKICTLELLSANKVKSFRNIREEEARDLIQSIQSASGSAVNVSEKVSNLANSITCRSTIGKRCKYQHELIEATENIAYWGAGFFMADLFPSALVFPVLSGMKPALKKVRRELDHIFDYIINEHKEKLASRKNQGTKLEAEDEDLVDILLRINDSLQLEFPVTSNDIQGIVLDMFTAGTDTSSAVLEWAMSELMKKPSAMKKAQDELRTALKGKESIRETDIQGLSYLKLVIKETLRLHPPVPLLLPRECRKECEIDGYTIPVGTKVMVNAWAIGRDPGQWVDADSFIPERFDGSSVDYIGANFEFIPFGAGRRMCAGISFGIASIELPLAQLLYHFDWTLPDGMKPEDLDMDETFGATTKRKNSLFLNASPHISSIEE >KZM86163 pep chromosome:ASM162521v1:7:240271:242195:-1 gene:DCAR_023297 transcript:KZM86163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKHTMISVNGLNMHVAEKGEGPIVLFLHGFPELWYSWRHQINYMAAHGYRAVAPDLRGYGDTTGAPTEDASKFSTLHVVGDLVVLLDGIAAEEEKVFVVGHDWGAFIAWHLCLFRPDKVKALVNLSLEFIPWNPKGDYAEQMRGIYGDNHYMHRFQVPGEIEASLEPIGIRNLMKIFLTSRNPGPLYFPKGQSFINPDAPPTIVLPSWITEEDIDYYVSKFEKTGFTGGINYYRALHSTWEHTAVWHKGKVMVPTKFVVGDLDLTYNVPGIKEYIHDGGMQKDVPLLREVVVLEDTAHFINQERADEINTHILDFFQKF >KZM88626 pep chromosome:ASM162521v1:7:29784426:29788390:-1 gene:DCAR_025701 transcript:KZM88626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVLSRLIICLVLLSVSKAEDPYRYFTWTVTYGTASPLGVPQQEVKFDLNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGGYTYFPSTLMHKAAGGFGALNVYARPRIPVPYSLPAGDFSLLIGDWRTSSHKGLVHYLDSGKSLTFPDGVLINGRTQSSYSGDQGKTYLFRISNVGLSTSLNFRIQGHKLKLVEVEGSHTVQNIYDSLDVHVGQSVSVLVTLDQAPKDYYIVASTRFTGRVLTGTALLHYTNSQTPVSGPLPAGPTYHIHWSMKQARTFRWNLTSNAARPNPQGSYHYGRIRTSRTIVLANSAPLINGKQRYAVNRVSYINADTPLKLADYFEIPGVYSMDSIQGIPYEGPAYVATSVMPATHHEFVEVVFQNNEKAMQSWHLDGYDFWVVGYGFGQWTEASRHSYNLVDALTRHTVQVYPKSWSSILVSLDNQGMWNLRSAIWERQYLGQQFYLRVYNPVKSFQNEYGMPRNALLCGKAFGRRP >KZM86483 pep chromosome:ASM162521v1:7:3257009:3257497:-1 gene:DCAR_023617 transcript:KZM86483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPKAARVIFPSGEIRQFREPLKAAELMLECPSFFVVNSKSLNVGKRFSPLSADEDLEFGNIYILFSMKRVNSMVTGGDMAVVFMAASSAPKRLAGVNNNKGRVLPETAESVDRSPENDEGSRLNLDEVEGFSTVEIRYRLAVCRSKKPLLETITEEPLVR >KZM89101 pep chromosome:ASM162521v1:7:33854137:33855564:-1 gene:DCAR_026176 transcript:KZM89101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISALSSSINLINPANPNPNSAFSPTSSRKFILTSSFLANPSTLSSQFQTPTSPFRSRATRLTVRAARGKFERKKPHINIGTIGHVDHGKTTLTAALTMALAAMGGSAPKKYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKEDQVDDAELLELVELEVREELNKYEFPGDDIPIVSGSALLALEALMENPAIKRGDNEWVDKIYALMDNVDSYIPIPQRQTELPFLMAIEDVFSITGRGTVATGRVERGTIKVGETVDIVGLKDTRGTTVTGVEMFQKFLDEALAGDNVGLLLRGIQKIDIQRGMVLAKPGSITPHTKFEAIVYILKKDEGGRHSPFFTGYRPQFYMRTTDVTGKVTTIKNEKDEESKMVMPGDRVKMVVELIVPIACEQGMRFAIREGGKTVGAGVINAIIE >KZM86402 pep chromosome:ASM162521v1:7:2500635:2508311:-1 gene:DCAR_023536 transcript:KZM86402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLPRSPGSSQMVSHCSVIQMDSSANSLNSSKTSLPPRYSCWSGKQSISLKQPLTKYSLPLRAVLTSNTRDMSTSLVNDDGKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVARAPKSKEGYASIGGGSPLRKITDEQAEELRKALCKKDIPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMGDLIENELKNFEQPEKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRRLTNPYTLAYQSRVGPVEWLKPYTDETIIELGQRGVKSLLAVPISFVSEHIETLEEIDVEYKELALKSGIEKWGRVPALGCQPTFISDLADAVIESLPYVGAMAVSNIEARQSLVPLGSVEELLAVYDSQRRELPAPVTVWEWGWTKSAETWNGRAAMLAVVVLLFLEVTTGEGFLHQWGILPISR >KZM87183 pep chromosome:ASM162521v1:7:12183205:12183935:-1 gene:DCAR_024317 transcript:KZM87183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPPSPNTLKMNQQRKALSLIIQVRPPKKGKAILFPRNSVTEVLGAYEVAKFASTQPKQNSTPQFSNDSVDLVVRVSAEVHRMVRSLEMSEVPRSFLNEQMHRLADEAFPDHDDPMQQELWSQYMRLATAFVVDALKMNDKVILEILLS >KZM87554 pep chromosome:ASM162521v1:7:18115640:18121526:1 gene:DCAR_024684 transcript:KZM87554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHFGGKSFLASARQKSEVDKILENVEWPEQFPFKEEDFQRFDESPDTVFYDAPRFVTHIDDPAIAALTKYYSQVFPPSNTPGIAILDMCSSWVSHFPAGYKQERIAGMGLNDEELKRNPVLTEYVVQDLNTNPRLPFEENSFDVITNVVSVDYLTKPLDVFKEMNRVLKPDGLAIMSFSNRCFWTKAISIWTSTSDADHVMIVGSYFHYAGGFEPPKAMDISPNPGRTDPMYIVYSKKLST >KZM88129 pep chromosome:ASM162521v1:7:24795729:24799996:-1 gene:DCAR_025204 transcript:KZM88129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKRIQAMIKMAVMLVFLGYVFIWVILPTNLYKKDWLLKIRAKTMSTYFGTQGATMLVNTSPMLLIAVLGCVYLHFMHKARGKDIQGKQGSDALRRPMIIKGLGIVNGIELSFFIMFIALLIWSFSAYLEISFAKITAKSAAKSGEKVWQAKLDSAALRLGLIGNICLAFLFFPVTRGSSVLPLLGVTSEASVKYHIWLGHIVMTLFTAHGVCYIVYWAVTNQLSEMLKWAKTDVSNVAGELALLFGLVMWVTTIPGIRRKMFELFFYVHHLYILFMVFFVYHVGFSYACIMLPGFYLFLVDRFLRFLQSRQRVRLVSARLLPCETVELNFSKSQGLNYTPTSIMFVNVPSISKVQWHPFTVTSNNNLEPEKISIVIKGDGSWSKRLFHTLSAPSAIDRLDVSIEGPYGPAATNFLRHDALVMVSGGSGITPFISIFRELVFLSETLKCKTPKILLISSFKNSSDLTLLDLLLPISDTPSNFSNLQLQIEAYVTREKQSSPTNNKDNIRSIWFKPRPSDSTVTPILGQNTWLWLGTIISSSFILFLILLGTLNRFYIYPIDHNSNDVYSYSAKGTISMLLLCISITVTATGAFLWNKNQNAMETKQIQNMEGTTPMASPDSQFYNADRELESLPQQSLVQSTNVYYGERPDLKRYLFERKESRVGVLVCGPKKMRHEVAGICSSGLADNLHFESISFSWPVNPEGNEVVKGLIENATYKTVVV >KZM87703 pep chromosome:ASM162521v1:7:19569292:19574131:1 gene:DCAR_024804 transcript:KZM87703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVETLIKDRKYPFILSLLIILFIFSLLIFFNANTSPLTSVSDLTSPALVAAENQVMDLQWRKCDGVNAVDYIPCLDNLKAIKALKSRKRMEHRERHCPESNPRCLIPLPPQYRVSVPWPKSRDMVWFDNVPHSKLVEYKKDQNWVKKSGDYLVFPGGGTQFKDGVMHYVDFIEKALPEVGWGKRTRVIMDVGCGVASFGGYLLDKDVITMSFAPKDEHEAQIQFALERGIPAILSVIGTQQLTFPDNVYDLIHCARCRVHWHGDGGKPLRELNRVLRPGGYFIWSATPVYRTDEKHQNIWNTMVNLTESICWKVVAKTSYFTGIGLVIYQKPVSSSCYESRKENKPPLCDQNQGKNMSWYIPLDTCLPPLPLPNQGSSYKWPEAWPKRLNSKPVSLSNEADAEELFHEDTKHWSALVSDVYLQGFTMNWSKVRNVMDMNAGYGGFAAALAKLPLWVMNVMPVHGPDTLSVIFDRGLIGIYHDWCESLSTYPRTFDLLHASFLFGNLTQRCDIIEVAVEMDRILRPGGFVIVQDTMEMINKLNPVLRSLHWSVTVHQEQFLVGTKGFWRPEEIESL >KZM86789 pep chromosome:ASM162521v1:7:6895635:6896561:1 gene:DCAR_023923 transcript:KZM86789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLQSYVVMFFIWLIPTIIIRAILKNRTNSVLPPGPLRLPIIGHLHLLAPKPHQALHKLSIRYGPLFHIFLGSYPCVIISSPEMAREVLKMQEACWLDRPQTVATEYLGYGSQNLMFSPYGPYWKFLKKLIMSQLLGGRTLDLLQTVRRFEIQSMINVMWKKSLAGEAVEVGVELTRLTNNAISSMLMKKRCSENEDEAGEIRNSIKELLEISGMFNLSDYIWFCKNLDLQGIKKRLVDVRGKYDRMMEKIIEEHRQVRMMRKESGGGGDAQDFLDILLDMYEDESMEIKLSMDHVKAIVLVNIDLP >KZM86953 pep chromosome:ASM162521v1:7:8846897:8847474:-1 gene:DCAR_024087 transcript:KZM86953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRRIRLSSSSNPRNSTENTPVGSQQSERQHTPAQSQPNTPNVSTASANESANESADEGWVVGSMHNDGRLRIEVISGLLEPSGACSRAITDSISERQDPTGFNWKVVSKEVKDFYFEEFKNCEKMSHERVIVYFI >KZM89198 pep chromosome:ASM162521v1:7:34900603:34905398:-1 gene:DCAR_026273 transcript:KZM89198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLSEVSQLCELFKAALVRNDFDKCATLLSQLKVLLTAFRSLPPLFEATPSAIHELTVARDIYEHAVILSVKTEDQDAFERDFFQLKPYYTDAGDRLPQSQQEFPILGLNLLRLLVQNRIAEFHTELELLSASALENPCINHAVELEQSFMEGAYNRVLTARQKVPHETYVYFMDLLAKTVRDEIAGCSEKAYDSLSINDARQMLMFSSDQELSGYINETFVCHSSGGSHPPYSLLWCVLVGLAAFRNLPSSISYPAFQIKLTFGDIGKEHPEWEIKNGHVIFQKAKESAPCKEIPSLQLITQTLSYARELERIV >KZM88587 pep chromosome:ASM162521v1:7:29488041:29490474:-1 gene:DCAR_025662 transcript:KZM88587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKLTKVEKGPTKVRNVPIAVTPEGFWCCPAPVMFPKALKTSGPLNKPKLAPPAPKNPVPKKQAQETEKKQVPLVSRSETGPDDQRKLGTDEPVFSATLIPESATKPKTENVPRKVSIEFGEPGTSDIKVVLLGNQGFTVKLSTHKSVLVESSGYFANRITEHQPLLPILEIDDCEDVEIYVETVGLMYCKEIKQRLIKQSVSRVLRILKVAEQMKFSFCIQSCLEYLEAVPWVGEEEEEKVLSSVLHLQGEGIGITPVLKRVSSGVSKPPKDTISNILELVLKSNEERGRREMKNIVLKLLKENNNLPTSSDSADICNEGIYNSCRSCLDSLMSVFRTAAGPDFSEKPMNIKEPVVKQLALEADNLSWLLEILIDRQAADEFAVIWANQLELASLHTGIPIVSRHHVSCISARLFVGIGKGELLPLKDTRHLLLQTWLQPLINDFSWLQHGCRSFDKKVVEEGIGRTILTLPLEDQQSILLAWLGSFLKTGDNCPNLQRAFEVWWRRSFIRPHVESANVIQSDS >KZM87624 pep chromosome:ASM162521v1:7:18698769:18699002:-1 gene:DCAR_024738 transcript:KZM87624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLPISSWEKSHQIMAEFYDIWQEALQKRSLPGHFARMEPNFGEYGLGDQYTLPHTAVQYASIMLQMIATAQSVRN >KZM87393 pep chromosome:ASM162521v1:7:15494104:15495483:-1 gene:DCAR_024527 transcript:KZM87393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRATCVWESIDAETDIKLHTNFVFVDEEDSHILAIIHNNRKHTYCRKIKEGIVYSISQFIVVPAPKDCMVVDRNFALSFYHRTNVVELEGTESIPRYKFELKNLEKAPSFILKRKTLIGEYNDLQHPYQYYSNIIGMVVLYTDAG >KZM86636 pep chromosome:ASM162521v1:7:5150440:5159213:1 gene:DCAR_023770 transcript:KZM86636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVGRKVRKECPGLGLYEGTVNSFDSESNLYQISYRNGDSECLKLSGLVLILVEEDREELAGAVDLMTHKRLKFDDSSNFSSSLVVASVNGGGNCGRDDRIVDLNLNGGESSGGGSKVGLDLNVPLDEEVGECMELDGGKEGDRAHIIDLNVNVCEDVIGEMKVVGDDLENPRKELGFDLNCGVEIEKKEFDGMEEKEVDVSCDDVNGEDKGISVTPVDPAADYSGTVYEVGAVGVLEAHRVDESGSLQYLTMDRSNPGSVAVDICDKNDEIISKGNSRKRRKKTESADCETPMVLRRSARRGTPVIAVQVDVPAAVKHEPQSPQGRVVVEEKDVVLDCKEPEECNDIPTKPKLPPSSDILNLDDIPICDVFSVYSFLRSFSNLLFLSPFELGDFVAALRCKTPTLLFDYIHLSLLQILRKHLESLSDENSESASICLRSLDWNLLDLITWPVFMVEYLLTHHSVVSPDFDSSQLKLFESDYYKQPAVVKIKMLQCLCDDVNEVEIIRSELNRRTLTTDPSMDFDRKTAFETPKKARAVMDVSGTSWNSEVVDETADWNSDECCLCKMDGSLICCDGCPAAFHSRCVGVTTNLLPEGDWYCPECKIDKGNPLKRVEKSIRGAILLGTDPYDRLFYNSCGYLLVSDSDDIEFPFRYYHVNDFTAVLEAIKSSHIFYNTLLTAIMKYWNVSAKVTSEIGSQTVTVSIDYMGERQMPAKPLIPRVSVPLETCHEDDTRDKGNPLDKSSVSPISGNLGCEISEPEVLDNSMNASFHFESSGGAAESSQSVKGTRNLKNKGISTEPKVLENSMYDGTTALMSTNLDVVIKKDAQFAHSGSTPFNLRVGLAHGHCGLNYVNFYSFARTASLAAEALLHKASEKVSEKSRMSIEELISAQLKVLSNIPIEYRWSSIHNLDVEKEXVKYSQPRC >KZM86652 pep chromosome:ASM162521v1:7:5321199:5323538:-1 gene:DCAR_023786 transcript:KZM86652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDKGTTSMEIEKVQSDANRVSSALKPNFEPLKAHEISDGQVQFRKVSVPPHRYTPLKKAWMEIYGPVYDQMKVDIRMNLKGRKVELKTRSDTPDISNLQKSADFVHAFMLGFDVIDAVALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGLNMESDKGTTSMEIEKVQSDANRVSSALKPNFEPLKAHEISDGQVQFRKVSVPPHRYTPLKKAWMEIYGPVYDQMKVDIRMNLKGRKVELKTRSDTPDISNLQKSADFVHAFMLGFDVIDAVALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENSTKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVKPLGEDHDASSASGTSSNTGAVVNELAAEDMAVELYNLQLQMMMTSFKPI >KZM89345 pep chromosome:ASM162521v1:7:36146407:36147253:1 gene:DCAR_026420 transcript:KZM89345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSRLSILPLLILIFHLSVPLRCCFGERTLAMIKPDGIVGNHTHFIKTTILASSFTILQEITLQLDRHTAQGFYAEHSSRTFFPDLIDFITSAPVLIMVLDKVSAVSDWRALIGPTDASKAKLTHPHSIRAMCGVNVQRNCVHGSDSTKSAAREISFFFNHTDSGQTVDCLCIYIMLFV >KZM86978 pep chromosome:ASM162521v1:7:9329990:9330790:-1 gene:DCAR_024112 transcript:KZM86978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDESDSSAPHRKQRGRVTALDEFLLNRVSKLQHKGDHASPEPHSAHSDLPSTPRTTLGTVDTNICLNKINETNIDGKYVSSDVISDDFSRKYRGPSIQTILDRKSERFSSTSSILSGNEGLTIYLSM >KZM88492 pep chromosome:ASM162521v1:7:28319765:28322750:-1 gene:DCAR_025567 transcript:KZM88492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTASGQVVCVTGAGGFIASWLVKLLLQKGYTVRGTVRNPADPKNGHLRELEGAEERLILCKADLLDYESLREAINGCDGVFHTASPVTDDPEQMVEPAVIGTKNVIVAAAEAKVRRVVFTSSIGAVYMSANRSPDEVIDESCWSDLEFCKNTRYGAMALIRSSWMPQRCKVDKASYDNMAFTRYDIKQTYRHMFFNWYCYGKAVAEQAAWEEAKQRGVDMVALNPVLVLGPVLQSTINASIVHILKYLTGSAKTYANSVQAYVHVKDVAAAHILLFENPSATGRYLCAESSLHRGDVVEILAKYFPEYPIPTKCSDQVKPRAKPLKFSNQKLKDLGFEFTPVKQCLYETVKSLQEKGHLPVPTQQQQDANVQPVQIQS >KZM86425 pep chromosome:ASM162521v1:7:2715082:2726291:-1 gene:DCAR_023559 transcript:KZM86425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHPEASQREEQPGVSTPELFDPKTMRKSKPGFKRLFLTISVLLSFLSGVPFFLKSIEIYRSPIPFTEMDTLSNSIDSHPLIFLCRFHVVFVDFDSREVSVDKLAFSVLENMRKLSSSENVCGSCGNNYSVSVTIESGGDCKESGDVEAAKLWKCGRIKEGNLEEMLKDDERFDEYLNEVLGGDEEGSGKVYRVVVVSKDEEIRAVVGKNRHAWIVGRVSEVDEAVEMVAEVFVKVFVNGGTRNGSIHSEFMPVGADGRIVLSFNLLNADPRDWVYDWNFQEIDEILLAPIIRTLAPLANISTESQVLYHTPMSSFANWDKEKESYIFRTKDLPFFVNSNEWHLDTSIAAGGRSKILQFVVYVPSANECPLLLQLPNGEISMTNGFISPMWGGVVVWNPPRCVRDFGGSHSRNKISPQDLEKVFEVFLGQLRQIFGLNSGSFYHDASTTFTLLSTGKGFTEWEMDFLSRQHTCYNLLQCGTTLGSLSRLVQSLPRMIIKDEIGKQVKFSLEAAKVARSNASYGISDASAVSSREARILAEDAFFHPSMMSVSYYSFEHCFAVYSDIAEVYRVTYYGPEDRLRGAIMVCDMKTGFQFLYKDKSLDEFDAQELYIKLFNAEEHEELDKKATMMIQPCIKTRDHILLSGPKASVGFVPDLSLCLFLFGDICTISIHNHRLGSKDLSVPLKRSFVTYDGTRSFSILYALFKNAVEARVKVKICNVVSAFGLYGVVAARTSAIKAPAYSSILFFKDEDEKLEVKPGNGDNDLALSRSVVGVPFGSELILQFGLCDDGGGKLVEHNERIEVTQGETSSRTVRSIPFGNQCLIEVEINWKSKRDMQL >KZM86300 pep chromosome:ASM162521v1:7:1473181:1473819:-1 gene:DCAR_023434 transcript:KZM86300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRPHIVFSGLCFLAAIWHWTYWDLAIFCDERTGKPSLDLPKIFGIHLFLAGLACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQSVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVHFDCNNLLSQPGFFSEFQNAHKTGGRKCMFCHNSCLIHVCGLPLPRPILITSMQ >KZM88564 pep chromosome:ASM162521v1:7:29218819:29219193:-1 gene:DCAR_025639 transcript:KZM88564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLGIIPISNATTTSVLPEIPVVKDADLFGNATLGFTIDSKRIRVVVVLSDLLGNKYSAVAAYDLSDWSRLFLTQLRLRHCFSGYCFILMDILSLALSGSIFGSQTQERLTIKSYEIISTKA >KZM87066 pep chromosome:ASM162521v1:7:10663485:10673748:1 gene:DCAR_024200 transcript:KZM87066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRTRIERVIGFVLAIWGILFYSRLLSTMTEQLRNNMRKLREGAQVQVMESDHIIICGTNSRLAFILKQLDSYHDFSVRLGAATARKQKVLILSDLPRKQVDQIVDSVAKDLMHIDVFTKSCSLTMTESYERAAANKARAIIMLATKSDAYGIDSDAFISVLALQPLPRMELVPAIVEAVVCGIHRKGKINFHPNDDEVLEQDDKSSGCPSSLGNKSLSVRASVKVLFIAPVYGKNRPQVAYQNLFAKEVDSLQNLKTLKQKDASPNELQMEKVRKANTVQRPKRSGSKASDWNLGPKERILMVGWRPDIMEMIQEYDSYLGPGSVLEVLSDVPMHDKNRSKTVALQCKLKNIKVLHMVGDPMDTDILKEAITNIQKTIRGDDIPFSIVVLSDREWLLQDPLRADKQSAYTLLLAENICAKLGVKAQNLVAEIVDSQLGKQVIIPIMKIKPSLTYIAAEEVMSLVTTQVAENSDLGVVWKDILNAEGDEIYVKDVALYMKEGENPSFIELSERAQLRREVAIGYLKNNKKVINPISKTEPLFLAMTDSLIVISELEGAQPVLV >KZM89175 pep chromosome:ASM162521v1:7:34658608:34660516:1 gene:DCAR_026250 transcript:KZM89175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTYMRNINEGAFAGHSVCLIETAAPAHLDPIPEYSSDDEIAAVDLIRQYSKMSDKDWTGKERITLLHAWVSENLYDVALQLLEVEHHKKELALTTDKNALLVLAKNPPVFAGTAQSVFWRLLNTGKLSASELIILCDPDAVRYETRYEFGYVEHWFDCFRYEGTGGYEETYGYDGTYGYEISHTNINF >KZM86988 pep chromosome:ASM162521v1:7:9505007:9505712:-1 gene:DCAR_024122 transcript:KZM86988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVARDINFIYEDNEIITEEEMMSQVDKDIKELEFVYKKCKNNLKMANDLFPNHPRLKLLEPENDRDPEWPYYTNKDWKTIDILALPKFDRAYNKMIDIDEFLGDLTLGGERIDFDRFAREEDNEYIRED >KZM86177 pep chromosome:ASM162521v1:7:373945:374525:1 gene:DCAR_023311 transcript:KZM86177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQKHEQEITSSLSADKIFNGLIVDVDTIFPKAAPGAYKNVEIKGDGGVGTIKHITLPDGSPVTTMTLKTDALDKEACTVEYSIIDGDVLLGLIDKVETHLVVVPNADGGSTTKTTTIFHTKGDAVVPEENIKYSEEQNISVFKAIEAYLIAN >KZM86449 pep chromosome:ASM162521v1:7:2907400:2911146:-1 gene:DCAR_023583 transcript:KZM86449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRRIRLSSSSNPRNSTENTPVGSQQSERQHTPAQSQPNTPNVSTASANESANESADEGWVVGSMHNDGRLRIEVISGLLEPSGACSRAITDSISERQDPTGFNWKVVSKEVKDFYFEEFKPFLSVVPFCLFLLMDIYWKYETRPHCESPGSCTPTEHLRHQKSMFKSQRNALLIASALLFYWLLFTVTRLVVQIEQLNQRVEKLKNQD >KZM87687 pep chromosome:ASM162521v1:7:19398753:19402496:-1 gene:DCAR_024788 transcript:KZM87687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRSCSSSLIVGLLSNHQRCCWSCSMAANSSSALVRYSYKDAFELDNCNGFQSLALKFKPFSRTVCVKSCGDKINELGNDKLLFKGRRPRAFSQFIGGGSGKKSHGLVDQVFDNTGGCHTLGFPDPPKLVVAVDVDEVLGNFVSALNRFIADRYSSNHSVSEYHVYEFFKIWNCSRKEADIRVHEFFKTSYFKKGIHPIPGAQQALQKLSRFCNLSVVTSRQNVIKDHTVEWIERHFPGLFHEIHFGNHFALDGQSRPKSDICPLERKS >KZM86412 pep chromosome:ASM162521v1:7:2577910:2578930:-1 gene:DCAR_023546 transcript:KZM86412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIITGDRRTYQRSASGITVLAHLLGLTALVLMLIWLLHYREGLDLDSENPARVFNVHPFLMYFGFIFFAAEAMMAYKTVAAAHTVKKFVHMFFNLASLVLGIVGIHAAFKYHDKLNLEDMTSLHSWIGMAALCLFILQAKYTRARALPWHINGGRALLYMAICAAETGLMQKSTFLQLNSGRESHLVNILGVVILLFGITVDISVALARYI >KZM86917 pep chromosome:ASM162521v1:7:8389108:8389658:-1 gene:DCAR_024051 transcript:KZM86917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLKDQQMVHFSLLKANHTALLEFIVPPIYPSIGGTDAYPGSGAGTYPPRGDFGSGGGSIMRPESPDHPIFTGGIGAQKPGFPGALPPQVYSYKLNSTT >KZM86569 pep chromosome:ASM162521v1:7:4483969:4484285:1 gene:DCAR_023703 transcript:KZM86569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKAEKPVGTSLFGQAKKEPATGKATTSTTTKAPASKPSPKKAAPKSPAPKKKAAPKAKSAAAKQ >KZM88213 pep chromosome:ASM162521v1:7:25534223:25538082:1 gene:DCAR_025288 transcript:KZM88213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENQEEYKGAVQAKTQMDGCDESVAVRKMMNMKLSSSDDFYEKLTRFHHSSGLTLIFDFRKTKVDLYRFYKDVTKRGGYHEVTKGGKWDEVASSLNAEGGVIISPNQTQMLYANFFHQFEQTYYYRTNFEVKPFKTALTGQSSVTDKSSGSPAKKAKKQRLNEHNADDLVEKRVSAPSSFQFPTVVKSMEKNRSLQVPLEEASLLLQTPAKAKETQKSSDREMKQHKNAPMGVRTSYMIFHRMECERLKIIHGKGSPGQIRNMANDAWKRLSEHDRQPYIEASKRDKERYSREMAEFKIAYKQIAATQSVSANTESTTAVHFPKPPPQTDSQHHPNLSDDAYHVNFPHDASDNVVIIDEKFDVDIVQKAESNDPTIQLNMHG >KZM87951 pep chromosome:ASM162521v1:7:22401213:22401539:-1 gene:DCAR_025052 transcript:KZM87951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRCATKQYLDSLFHQAKLARRARSQGRRAVHQGGVHQAKLARRARSQARRAVRQGWGNWIVDHVHVIYNIPGPIPHNSNEIATNNSLLRVSSEMTNSDTQSATLN >KZM87972 pep chromosome:ASM162521v1:7:22712533:22714233:1 gene:DCAR_025073 transcript:KZM87972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLPFFSISRCFSSSSLTPNLLRLKSLYKIPAHRRPRAIQEAQKVLTDYLHDTKNLPFTFAEHIGNNSLLSLIQIISKVDYSPKEFKGSLRRFLRYHPIDEFLFFYESIGVSYDKIVGFLPAGKMFLSEDCRVFDVACWLWGFGFPWDKLGELCKEGVFERELCELNERLVGLKEYGFSNVMVIGICLAFPCVLSGKCEDCGGLFDDLKRVFVDYDLVSCVEENVDCWFEVCKKIRIFYDLGCVKGEMGELMGTCKNVFVEYSEECFAQKVEFFRKLDVGKEEVGYLLLSKPEILGFDLQTPYISVSGVLKYLGLEENILRSVMENFPHVFGRNRLSNLPRVMRSLNMHKWFFCKIRNGDHNLLASYVIGSPEEDLDQHYLDNLLTIQGSRYHVHTLEKLEFLHSIGFAENKCTVKILNQIRGPGHDLKERVDCLLQCGVEFHKLCKMIRDSPKILNQQKEAIEQKVKYLCEDIGHSLEDLEAFPAYLCYNLEKRIKPRYSFHTWLKKNGLCTEEYAIPSIIATSEKNFISRIYRMHRAAPKLWLERYSNKELGQSQECLVNLEA >KZM86793 pep chromosome:ASM162521v1:7:6928454:6929897:1 gene:DCAR_023927 transcript:KZM86793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSVPPGSVIEGIDAAALVSSDLQGVPEQVGEKTAGKPDKKLADERLKFDGEIAGIRGERDAFCQRVEMLENELQKVTDQFTGIQSERDTMKTAYSSLERANIDLTENLREVGIARDKRDGSSSALSGGAHSSVSR >KZM86361 pep chromosome:ASM162521v1:7:2001959:2005059:1 gene:DCAR_023495 transcript:KZM86361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATAAATPSSCYCFPTPFNPFSTKIKSFCYKPPFSRIPRNPFSSRPLSLSAGSRLVLPAPAAKKSESETKKGAEVEEEVVEEEEDLPWIQEKALDLVEFTGSVTQAIPGPRVGRSSLPWMLAVPLAYLGITFVFAFYKTVKKFNSPRELRRKQVNKNAVLCKSIDELFAKGSGVEESALKGLMQKTGFSMEEVLRKYIRYALNEKPFNPELVSNLINLRKASMLDDTQVAETLKEISRRIVKDKGPVVMDMSGYSAKGFKRKLAVQALFGKIYYLSELPEFCSRGSSLVVKELFGVSDEDAEKLRMHTSSEAGDMESLEKMVGGSDSESESESGLEDTNEESSHAP >KZM88414 pep chromosome:ASM162521v1:7:27701461:27703778:1 gene:DCAR_025489 transcript:KZM88414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICALVELFTGIADQCNSKRLQNLTATLSSLSGAHGDCIEIGNGVLDSFFMPIEKQIEIACKKVKNMSKLAQGYNLVAESQGNMVGRGVIELCDGGPPVKNFIALAGPHAGIASPPLCNVTRSCIIQASLINLGVYTKFVQERLAPAGYIRIPTDIEGYKVGCKFLPKLNNEIAGQRNSTYKERFSSLQNVFEQDRALVPRETSWFGQYEDGSWNRILPVQQTKLYTEDWIGLRALDEAGKVKFVSVTGDHLQFSLSDMQRHVIPYLISVEE >KZM89344 pep chromosome:ASM162521v1:7:36111458:36115725:-1 gene:DCAR_026419 transcript:KZM89344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWVGVKSFLIFLKSFNNKLFRRYRDKSHHTPSIHPVECASCDCCGFTEECTQAYIHSIRQRYKGIWICGLCAEVVKYEMRMRNELQEDEALLLHTSFCNKFKSSSPPSNPAVHLISAMRSILRRSLDSPTSLPNSPTRRGRGIRRNTDYIANANNPVYTRWSSKPGLSGRTPVFRRQIVELGVNSSDAMDVTEPNNSSVLDDLSCYNLFHHQGYGSSCDYLKAHPECSSPGFFDYVKFYYCDCGQSSFAFIVFGVWLVALFYLLGNTAADYFCCSLEKLASLLKLSPTVAGVALLPLGNGAPDVFASIAAFVGTDAGDVGLNSVLGGALFVTCVVAGAVSLCVADQGFQIDHKCFIRDILFFMCTLMALLFILIVGKVSAWAAVAFVSIYLAYGFVVATNEILRKQVRRLKLDVVTPLLPVRGSIYSQSGLEDDSIYSSLLDIDSTADGDQSNASLPQWMWASNVAIYSNTLKAIDGDKPLWGWSDESYGTGNSFSFSWSKLFALLEFPLIVPRRLTIPLVEEETWSKFYAVASAFLAPVLLAFLWNTRDNATLQSTILGYVFGVSVGSTLGVLAYWNTRSDSPPQRFLVLWVLGGFCMSIVWFYMIANELVALLQGVGVILGVKPTILGLTVLAWGNSMGDLVSNVALATNGGDGLQIALSGCYAGPMFNTLIGLGISMLLGAWTGETGSYTIPQDRSLFYTMGFLVSGLTWALVVLPRNDMRPNRMLGAGLIAIYLIFLSIRMSNAMGILPLFGLS >KZM87530 pep chromosome:ASM162521v1:7:17867577:17869772:-1 gene:DCAR_024664 transcript:KZM87530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYVLLKDLTPGRGTDFIKVRISREWEGRKPGATHATTKTYIIIDEEGTQVQAGPLQFGLIADFSKRLQLGSVYLISNYDVAVAPETYRPVAGEYSVNFHRKTNVKKIGDVPAIPMLQFNLKTFEETRARLGDVVTLMDVVGKLKDYTHIQTAKSGKKSLDIVLADKRDEIKVTSWENQAFEFLKLENEYTQPNVIVIITGTSTRLVKGETVLWSSSSTQYFFNIDHSAVTTLRESTKLENSIIPTLVPSMRSQEQQNMGNVETVTIAQLFEAQLPDGKNFIEFYTKANVIGLFPNEGWYYICCNKCGKILNDFGQCSKCSHKTKPMPVYKVTLAVKDSTADTSFVIFDRHVMKLINVSAQHLLNSDQNATPEMMPPILNNMVGRTCIFRLRLNQYNTVQHKEGFTVMEVDEVETNKLASISKIDSGEDSSEHDLDHESTEPSEHHLQKKRKNPDDEKYSDQIQPPPENTSKGFLEVEQGHDAPRHAKNGGQVPPPNSGTKGRPGNKSLRRTTT >KZM88326 pep chromosome:ASM162521v1:7:26840988:26841161:1 gene:DCAR_025401 transcript:KZM88326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTRSGIEVRVTRPNDVEDEVSKGGGANDVVNVESESCGEESNGEDENRATGDETT >KZM87811 pep chromosome:ASM162521v1:7:20715490:20718038:-1 gene:DCAR_024912 transcript:KZM87811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDSYSIDGDDFVSAAPPPQFGSGAFPDEDLTPDHASHSPDPYSFTSDPNPNFSESSQFDSSVPVSNGAYGVGDDAEGIFSSDGPVLPPPGDMVEEGAALREWRRENAIRLEENEKREKELRNKIIEEAIDFKNAFYEKRKLQIDSKRDSNREKEKVYLANQEKFHNEAGQQYWKTIGEIIPREVPNIEKKRGKKDQDKKPSVTVIQGPKPGKPTDLSRMRHLLLKLKHTPPPHMAPPPPVPVKDAKDGKDGENVKNAKDTAAEVESAPAKDASTQEPPAAADV >KZM87182 pep chromosome:ASM162521v1:7:12169138:12169803:-1 gene:DCAR_024316 transcript:KZM87182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCPVEKDPAVNPTPVKPVTNPTTPNPDVVGTSNKFSVLEDGEIGSLAETEVVGTDSEPFSVNPNEGNAMPHSVPEVPVECPANASVAVPECLVDAPDAPVVRSSDVELQDAPAAPLGSSSDAPVEMEVDQGFIEVVCALPASNTPPICPQATVSESSKNKRKKSKGKGQNGPFFTESPQVSPPLASPSKRGKNVDEEGFTQIENKRSLRSRGKVTNSNLS >KZM87701 pep chromosome:ASM162521v1:7:19554960:19557771:1 gene:DCAR_024802 transcript:KZM87701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGAATPALSLLNFGTRLSHLDSSAKQHKGSVVACSASKHASNKALTGFVFEPFQRVNKELMLLPTAPHLSLARHNYSDDSESVINDQINVEYNLSYVYHAMFVYFDRDNVALKGFARYFKESSAEERDQAEQFMEYQNKCGGKVKLQSIIMPLTEFDHAEKGDALYAMELALSLQKLANEKLQHLHKVAVKNKDAQMADFVQNRYLRHQVKVIKRVSEYVAQLRRVGKGHGVWHFDKMLLNEGGAA >KZM88579 pep chromosome:ASM162521v1:7:29404569:29406935:1 gene:DCAR_025654 transcript:KZM88579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSKSPEAAMEKEKTVMEEEAIRLKTLAEESYTSSDLNSALKLAKKSLRLCPHLDGTSDLITAFKILRSGAASSTVDSSPDWYKILQIEPFSHINTIKKQYKKLALTLHPDKNPFAASEEAFKLVGDAFRVLSDKIRRKEYDMKLRIALQEKEVAEMDKEGVEVFWTACSTCRLLHQFERKYLGHSLMCPSCKKSFKAVEFSDNANKKGVDDNVVGVDNVVGDGGGVGDRMKTKRSGVRDSEVKRKMSSVGEILKRGEGERINRGVGEGERIDRDEVVEDVGGLDSAVLSGDGKGNAVNGSASVNEVLRSKRVRKPRDLDKLDAGSSAASVMYESLKAKRAKSGGDEMMTIAQMQMLAKKKGNEKNLKLDEKEKEKVNETNETSDVSIGGSVETERRSSSKKGASSKASKSGGKEMITVEDLGFDESEEARTEQSSRKRKKAKKRKKTSDLMNCGNLGTEKISLTNNGTPGRGSSKNGTLSRASKSRNMDIVTTEELDFSDFDEDGTESSFQKGERVKKRKEVPEVPNGGNLETGKKSLLKDGTSSRTANSGHMEIMTVEDSDFYDFDKDRAERSFQKGQVWAIFDDDDGMPRQYVLIDDVVSVNPFEVRLSWLDLQNDDDVLVSWEKLGFRICCGRFKVSRKISVNLLNIFSHVVDSDRVAKELYRIYPKKGSVWALYNESAKDSEGRNLGDKDRRCYDVAVFFTSYSEVHGLSMGYLEKVDGFKSVFKRKDIGGHAIKWLEKDDMRLISHQIPARKLSGEEVSVSAKECWELDPASLHPESLAIS >KZM87706 pep chromosome:ASM162521v1:7:19595508:19595819:1 gene:DCAR_024807 transcript:KZM87706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEADKMILDSVKENTRMKKTITHLEEENKRLKDKIKLLEIHHSNNERMIDLLKRHKDEQQALGLHIIDPTKFEPPNIGKKRKLEHGEGSQVPRDEGEQKKE >KZM89217 pep chromosome:ASM162521v1:7:35030503:35033430:-1 gene:DCAR_026292 transcript:KZM89217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGLKRMNRWEETPTPKRQRSRWDETPVGGLELATPAPGAMINLQSPEQSNLFGWEREIQDRNRLLSDEELDSMLPKKGYRVLDVPGCYVPIRTPVSKLLATPTPMGNPFYAIPEENRGQQFDVPKELPGGLPCMKPEDYQYFGALMNEEEREDELSCDEKKERKIMKLLLKVKNGTPAQRKSGLRQLTDGAREFGAGQFFSQILPLLMQATLEDQERHLLVKVIDRVLYKLDDLVRPYVHQILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDLDNVDEYVRNTTARAFSVVASALGIPAILPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLSHLRSLVEIIQHGLDDEDRKVRTITALALAALAEASAPYGIESFDCVVKPLWKGIRSHRGKVLTAFFKAIGFIIPLMDAVYASYYTKEVMIILIREFQSPDEEMKKIVLKVVKQCVSTQGVEADYIRSELLPGFFHNFWVRRMALERRNYKQLVETTVELGNKVGVADIVGRIMEGLKDESEPYRRMVMETIEKLVADLGASDIDARLEELLIDAILYAFQKQTSDDANVMLNGFGAVVNSLGKRVKPYLPKICGTIKWCLNNESAQVRQQAADLITRVAVVMKQCQAEQLMGHLGVVLYENLGEEYPQVLGSIVGALKAVVNAIGMSKMTPPIKDLLSRLTPILKNRHEKVQENCIDLVGRIADRGAEFIPAREWMRICFELLELLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPEQNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLVDALIDRDLVHRQTAASAIKHIALGVAGLGCEDALIHLMNYVWPNIFETSPHVVNAVMEAIQGLTVALGAHIVLNYYLQGLFHPSRKVRQVYWKLYNSLYIGAQDAIVAAYPPLDDEPRNIYSRPELTMFV >KZM86665 pep chromosome:ASM162521v1:7:5466660:5470436:-1 gene:DCAR_023799 transcript:KZM86665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVVFLYLISLHVCDATHSDEMEIESLMILRKSLIHQRNAFPSWFDSKIPPCNWTGIECEGPVVTGIDLSCTVSPLNIPFPDIVGKFSSLKHLNLSGCALAGTVSADIWNLKNLETLDLSVNNLVGTLPPTLSNLKNIRQLILDDNSFSGPLPSTIGHLKELFELSVHANSFSGEIPLELGYLENLESLDLAFNHFSGEIPSTFSNLTSILYVDAGHNKLTGAIFPEIGNLLTLRILELSHNSLTGPLPSTIGNLKDLQVLNLENCRFTGNIPEEMSKLTALASLDIAQNNFEGELPSSFGKLTNLVYLLAMNAGLSGTIPRTLGNCKNLSILDLSFNLLSGPLPDDLANLESLNSLVLESNHLSGLLPEWLFKFKRVESIMLAKNNFYGPLPTLHMPSLMFLDVSSNKLSGELPAGICQNSSLTSLLLSDNNFNGTIDNTFRNCLGLTDLVLSGNELIGSVPAYFGELQLVTLELSKNQFSGTVPNLLWESKTLMELSLSNNMLGGQIPAAVSKLPTLQRLQLDNNLFEGSIPRSIGQLRNLTNLSLHGNKLTGDLPLELFDCRELVSLDLGFNQLTGSIPKAISHLKMLDNLVLSNNALSGQIPQEICSGFQKVPLPDSEFIQHYGMLDLSYNELYGPIPTSIKQCIIVRELLLQGNKLNGSIPSEISELTNLTLLDLSQNLLKRPTAPSFFSMRNLQGLTLSHNQLSGTIPDDIGSLMPNLAKVDLSGNWLTGSLPSSFFNMKSLTYLDVSTNSFSGPISFSLKTTSSLVVLNASNNNFSGTFGDTVCNLTSLSILDIHNNAFTGSFSSLLSNLDAMTYLDFSNNKFHDPFPCSVCSIEGLTFSNFSGNSFSSQVPETCTQSRPCISYQPVPPSGHKYSPPLLNHASMLAIILSAASIFLILLFALAIWRMMRHEPLTLDCGKGTLESESMDVLLGKRRKEPLSINIATFEQSLVRINPSEILAATENFSKTNIIGDGGFGTVYKAFLPEGQTIAVKRLYGNHMHGDREFLAEMETIGKVNHENLVPLLGYCVSAEERFLIYEYMENGSVDMWLRNQADEVELLDWPTRFKICLGSAKGIAFLHHGRAPTGQADIEGGNLVGWVRRMVATGRQCEVLDPYASDSTVWKDQMLCVLSIARSCTCEDPFKRPTMLEVVTLLEEIKKTGTYDDLN >KZM86254 pep chromosome:ASM162521v1:7:1025828:1026481:1 gene:DCAR_023388 transcript:KZM86254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKASQQGYSHVNAANMNIEPGTMPSQDHPSRPRRSRAKIILFIVVLIITHAIVIVVLDMTIFKVRTPEYRFNSVSLSDVSTNSSSTKSSFHMKLDTEVTIKNKNFGPYEYYDCYLTIFYRGKSVGEVVVKEASVKTLSTKKLTFGINVKYSETTASGKTNLKNDISAGALMMSSKSEMRGQVKLLKILNKDRQPEMQCTMSINLAEKVVRDLKCD >KZM87488 pep chromosome:ASM162521v1:7:17120894:17122453:1 gene:DCAR_024622 transcript:KZM87488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFPPTATSTTHHHQNFSSYTGENRCYVNANSTSSYGVYLNQSIFGAHSLLEEEVQGEEGYDNFCSKIISGDPHQTAYLVGSAYSDQVMGEEDESKTETAEMSKTNDRHGEEAAGSSSKDLIKDINPLEDEQGGGGWLQLSIGSHREPTTGSSSDYQYHDDDNKLVQTRGVELDLLANSSSMVNTEAKTSNFTQESAVLMNRSSAAAAASSSTQQQQQQQQQQYYYSPVLPPRPNNLFLQHYYPPPTTTSPHYPFNPNYHNQQDVGTYQEYNTNHQYWPAFRSSSSSSSSLLPPGASYLSRLPFQLHGTAAASGGDFAHHLPRFDFRVVDPPRRPHSGIWFTLQASHIQAKEPFLPQIPKSYLRIKDRQMTIRLIIKYLVNKLRLDSEAEF >KZM87610 pep chromosome:ASM162521v1:7:18595537:18595958:-1 gene:DCAR_024726 transcript:KZM87610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRGTKRKHSESVQDGLVVELTKLNNDSANLNSDMKAANEDFAMMYKSMTDRQAEILTRGLFLEEQHRRVIELLNRGEDVVGVTSTGDEERARTSGNTDIRKLARESDESLRDHRV >KZM86577 pep chromosome:ASM162521v1:7:4601975:4603229:-1 gene:DCAR_023711 transcript:KZM86577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTRPLSYYRSNPESWSLPPDGPNSGYVVLEDEDSVTYSCFGMSKDRKFIDLPFPQNKNLTTTYSSGKHRHYQDVIFFPVINQPLSSNLYYVIESHGKHKGKAYACSTEEDMMNTCCCDEVEDVKPRPFDPRDTYQQFEIANYKNGGFLAKSVAHGALPPYFLRRKGWEVESHTLRSYTLGEALGLDSTLRARLPDLNFPLPHQSSQVVVIGKWYCPFMFIKDDMLTSRDQMANSVFYEMTLEQRWEQIFERDGIDHGNIVMVNAVVQREVVWVGGKEAVWDENNVVDYTMWFKSFGIDGEEVSVGLSLEIVERMKWEEERVGWFGGDDRMTRVDRVEEFERGAEGWRKFGCFVLVESFVLKRMDGSVVMTYNFKHTHQIKCLWE >KZM88144 pep chromosome:ASM162521v1:7:24905016:24905809:1 gene:DCAR_025219 transcript:KZM88144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLLGKATEAMTKGTKKPEAKITHVGLKDVNLEFVTYNAKISVTNPYPTPLPIFQITYALKSADRELVSGTSPNPEPLKANTTTELEFEMKVSFTMLVSLARDIGADWDIDYDLQINVVGNVPAMGDVTIPISNKGQMKLPTLKDLSALANLTTNLSVTV >KZM88454 pep chromosome:ASM162521v1:7:28045993:28052714:1 gene:DCAR_025529 transcript:KZM88454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENSSISSSESSSDRSVRADPTAISEDVWETAEAVAWHEVLECIHPTLDSQEKRRDVIDYVQRLIRRSLGVEVFPYGSVPLKTYLPDGDIDLTALSTPKGDDSLCRDVLAVMHGEQLNANAEFEVRDTQFIDAEVKLVKCLVDNIVIDISFNQLGGLSTLCFLEQVDRLIGKEHLFKHSIILIKAWCYYESRILGAHHGLISTYALESLILYIFHMFNSSLNGPLAALHRFLVYYSKFDWENYCISLNGPVCISFLPDLVVDNPGNDEEPMLSEEFLRNCVDMFSVLPKSSESNFRAFPKKNLNIIDPLKGNNNLGRSVNRGNYFRIRSAFKFGARKLGNILSLPRDRLAEEIMNFFENTLERHGRKNAPDISADELERLPVTVYSNDEVHLNKFNGNLVHDMLGLEDNIRYASRNEPDRYSNSTVSSQMVQASPEGTLGGHYEKEYDELVTDRCLHLQNTNSEPNFSSPGRDNCDSFSTNFKAGCFGESAISSQEDILSDGPSVDFKKKTLDDNLDDTEGVNLADLSGDYDSHIRSLLYGQCCHGYALSSPAKCSTLLSFPTHVQSKPWDTVRQYFPILWRLNSSDAAFVQPPYAVDNSNQSTDGFGLVERRRARGTGTYIPHLTRNSFNYPPSQVRRNQLQDTRGQVPNYTYYNIVDSTSPRTLDANSVRTNSFEGGDQMSVKEQSSFEKQDSPNQPVNSYGNGTSRANWELKFGSFGPLAEGSSLGLSESVAGVSVSSPVSSAVRNSEDVEGNKERDAENFLNLQNDEDFPPLSS >KZM88640 pep chromosome:ASM162521v1:7:29871932:29872621:1 gene:DCAR_025715 transcript:KZM88640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLMDTCNETYPSTEQETEKSEKLPMEKTVYVPSRPPLHDFKLPGPGWTTRKVFQCDETRPDIKKKPVRVFKPCLRVKQSTRQPVNKGCEVVRERSRVRRREGLFSMSLSKEEIEEDFVRVIGRVPQGKKKVKKIFETDEEEQKYINYLKYLRELNPGNWLSEIYHV >KZM86198 pep chromosome:ASM162521v1:7:545287:546815:-1 gene:DCAR_023332 transcript:KZM86198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITPLKNFSRSRNAHALFILLLTLALFFFFSSSDTSPSVHSRLHAAPILAPRLASMNAADATLSFRDNITSCDIFDGEWAVDDSSPYPLYQPGSCPFLDDSFNCFKNGRQDSDYIRLRWKPHGCHIPRFDGRVMLERLRGKRVVFVGDSLNRNMWESLVCALREALADKSRVVEVSGRRKLRTRGFFSFLFRDYQCSIDFIKSPFLVQEWKISTKAGTRRETLRLDMIQGSLNKYNDADIIIYNTGHWWTHHKTYRGNNYFQEGNKVYSRLEVEDAYSRALRTWSHWVDSNINASRTKVFFMGYSASHFKGGQWNSGGSCSSEVKPITNDTFLAEYPWMMSVLETVIEEMKTPVFYLNITKMTDYRKDGHPSIFRQAQGGQQLKQRMLQDCSHWCLPGVPDSWNELLYATLLMFQDSPI >KZM86477 pep chromosome:ASM162521v1:7:3161723:3164489:-1 gene:DCAR_023611 transcript:KZM86477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDATATTGAVQSLLSLIQDTNRVSSNGFGGPFKKDCSDLARRIALLSHLLEEVRDFEGDLGPLDQSHSSCLVDLTVVIKASKRLVCAADQFDPKISPEGAAKKFAFQFQCLTWKLERALRSFPYDQLEISEEVQEQVDLVRGQLRRATERYSGSLTMNRLSRALSQSLDKELDPLQLRNRLIAGLLVESTGSIDHGGTENVEIVSTSNSSKDNISDQMIHRLERRGSSYQCLINNADADQSENSKKNEKTSSPVIPDDFLCPISLEVMRDPVIVATGQTYERSYIQRWIDCGNTKCPKTQQKLQNLTLTPNYVLRSLITQWCITHNVEQPTALTSGKVKRSDGTFSDVSREIAAIESLVRKLSSRSIEECRAAVSEIRSLSKRSTDNRILIGEAGAIPILVSLLTSDDGITQNNSITSILNLSIYEQNKGLIMLAGAIPSIVQVLRSGSMETKENAAATLFSLSLADENKIIIGASGAIPALVQLFQNGSSRGKKDAAAALFNLCIYQGNKGRAVRAGIITALLKMLTDTSCCMVDEALTILSVLASHQEAKAAIVQASTIPALIDLLRTGLPRNKEHAAAILLSLCKRDTENLACISRLGAVIPLTELVKSGTERAKRKATSLLEHLQKSKQL >KZM89106 pep chromosome:ASM162521v1:7:33873065:33874690:1 gene:DCAR_026181 transcript:KZM89106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVFLQELLQQEDHLKLALLPLILLVFFVKWRFLNGSVKNQKLPPSPSKLPVLGNFHQLGQLPHRNLRALAQKYGPIMHLHFGSVPTVVISSADAAREVMKIQDMSFCDRPESSLVRRLLYDMKDISAAPYGEYWRQLKSIFVLQIASNKRVQSFRNLREEETSVMVNKIKGLASSSSSVNLSELFMTLTNDISCRSAFGRKYSEGGSGREFKELLREFLELLGAHSFRDFIPWLGWVDWINGLDGRLDRVSKQIDEFLEGVVQEHIQLGESSNHVEHKEDFVDILLKIQKETSHGISINNESVKAILLDIYGAGTDTTATALEWAMTELLRHPNVIKTAQKEIREVLGSRPDITDKDLEKMQYLKAVIKETLRLHPPLPLLIPRSAREDVKVQGYDIAAGTMVFVNAWAVGRNPATWTEPEEFQPERFLNSSVDFKGHDFQLIPFGAGRRGCPGIAFAMATNEFVLANLLRMFDWKLPEGTTEENLDMSETAGSTAHRTVPLLAVATSCCL >KZM87864 pep chromosome:ASM162521v1:7:21435290:21436453:-1 gene:DCAR_024965 transcript:KZM87864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTAGTVGGAAQLICGHPFDTIKVKLQSQPVPLPGQPPKFSGAIDAVRKTVAAEGAGGLFKGMGAPLATVAAFNAVLFSVRGQMEAFLRSAPGSPLTIEQQIVCGAGAGVAVSFLACPTELIKCRSGDKTAGYGMLTSFNAVHGLQAQSALADVGSSGVAVKYGGPMDVAKHVLRSAGMKGLFKGLVPTMAREIPGNAAMFGVYEGLKQYIAGGQDTSKLGRGSLMLAGGFAGGAFWIFVYPTDVVKSAIQVDDYKNPKYSGSINAFKKILASEGAKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >KZM89048 pep chromosome:ASM162521v1:7:33343018:33343452:-1 gene:DCAR_026123 transcript:KZM89048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSREFSPIIDVESNDDVPESLFVKRRFCFCIPYGSDNSAAAGLKSLWSSGVSSLKKLREWSEIVAGPRWKTFIRRFNRNKSGGSNKYQYDPLSYALNFDEGPAGDPVEVDEYLARNFSARYATVSPGLGRGSMDLGKEGPSFS >KZM87947 pep chromosome:ASM162521v1:7:22352075:22352317:-1 gene:DCAR_025048 transcript:KZM87947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRCATKQYLDSVFHQAKLARRARSQGRRAVRQGWGNWIVEQEPDHVHVIYNIPGPIPHNLNETATDNSLVRGFLLDD >KZM87362 pep chromosome:ASM162521v1:7:15078151:15080161:-1 gene:DCAR_024496 transcript:KZM87362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSGVQVVGTTYSTYVNRVQIALNLKSVDFEFIKENLFSKSDLLLKSNPVNKKVPVLIHDGKCICESLVIVQYIDDTWTRNGYSLLPCDPYDRAVARFWAAYFDEKWIPMWREISTAEGEAKEAAVARAVEGLVLLDEAFVQISKGKAYFGGDEIGFLDIVIGTMVNWIKAREVMANVKLLDETKIPGLVRWVDKFISNDVVKNILPSTDEAVEVMNYYRARADGEASNTQSFVILAEVKYLAKCGNLNHLFMKF >KZM88217 pep chromosome:ASM162521v1:7:25600395:25605929:-1 gene:DCAR_025292 transcript:KZM88217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIFLLSDSGEVILEKQLTGHRVDRSICAWYWEHAMAQADTFKPAPVIASPTHYLFQIVRDNITFLACTQVEMPPLMAIEFLCRVADVLSDYLAGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPSIVGKMLSVVTGNSSNMSNTLPGATASCVPWRTTDLKHASNEVYVDLVEEMDAIINREGALVKCEIYGQVEVNSQLSGFPDLTLSFGNPSILNDVQFHPCVRFRPWESNQNLSFVPPDGQFKLMSYRIKKLKNTPIYVKPQLSSDAGTCRINVLVGIRNDPGKTIDSIEVQFQLPPCILSADLTSNHGTVNILANKTCCWSIGKIPKDKAPSLSGSMKLETGLERLHVSPIFQVSFKIMGVALSGLQIDNLDIKNLPARPYKGFRAVTRAGKYEVRS >KZM86337 pep chromosome:ASM162521v1:7:1799421:1801867:1 gene:DCAR_023471 transcript:KZM86337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVSYGQLLLLIGAVVAFTGPKDFPRVSRLAGRMAGRAIGYVQLARGQFDVIMHQSQAQQVHKELKDTMAQLEAIRHEIRTVSFMNPGQLTTRLVDNLDQTTAANESTESEKVIKENISRTTTPKDSSLKASSSFNIQSKAAVSSSIDKYSKATAYASLAESSALNSGPGTSDISDKSGLLAVLPVSAESAGLLPNRKGSVSGSDLVLEAIVEAEVANNAKQFFAQPQNQLKPE >KZM87307 pep chromosome:ASM162521v1:7:14051480:14053025:1 gene:DCAR_024441 transcript:KZM87307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRVDGRVFGENPSWLEVPFEYWSKRLLAGSDAPVIPDPILAPGDETPKYGEDSRFFTIKVHYGRRFDDNMDSYVGGEIRYFDMCEVVDFCLIDMESMLTELGTSNKRQDSKAVEQPPAEAKKPPVQRTAEVKNTTSHGGIFRKFKPPAQSSFYTLLGS >KZM86394 pep chromosome:ASM162521v1:7:2424097:2426886:-1 gene:DCAR_023528 transcript:KZM86394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPEFYLSSPALRRFVIGFVFFVGVSLSAYILFRAADVADFPIAYSVDSSSRFGSVSSVASPPPDSDEMRLERVLKNAAMPDNTDADIMWFRDPFPHFYKDMDFQIACDHFSGNSTDIESNSPNGGFNFVKSNNRSIEFYKFWYSSREKYPGLHDQDVFNRIKLHPFLTDIGLKMRFLDTAFFGGFCQPSEDLNKVCTMHANCCIGLDSKIHDLRIMLEDWRQFLSLPPTLKISSTSSWSVPQNCSLEALHHHNQTLEEDTSQAEETLQ >KZM87320 pep chromosome:ASM162521v1:7:14280881:14283660:-1 gene:DCAR_024454 transcript:KZM87320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHVEDKELSAARRRLCSVSNHLVPVTPPSISLHGCSSGSSISDSYHRIHGDVSTHEPVWERAIDELGIEYTDIVYEKAKGEGIAKITINRPERRNAFRPQTIKELIRAFNDARDDNSVGVIILTGKGTKAFCSGGDQALRSKDGYADHEKFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAVFGQTGPKVGSFDAGYGSSIMSRLLEKLEQETVKWCREILRNSPTAIRVLKSSLNAVDDGHAGLQELGGNATLIFYGTEEGNEGKTAYMERRRPDFAKFPRLP >KZM87760 pep chromosome:ASM162521v1:7:20162811:20163575:1 gene:DCAR_024861 transcript:KZM87760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSALLLVTVVVFTILSSSCCEASYSTPSSSCGNIGNISQPFYLKGQPHRWHGFSYELSCENNHTILKFATIQFSKFYVEAINYANWTVRIIDSGLARNNYSCSSVPLHPFFNKTKYNYQLPTFSAIGKLNKPITYIDCPAPVTKSVTTRYKPTTPCSSSVSSYVVIGYMDSSEVENNCTIRKATWVSSAWPDINRTSFLDIRDMVYGIELPFKYFSCLKCHRPLSDYFGDVRSENPQLSCDSGTGRIMFLSRL >KZM86853 pep chromosome:ASM162521v1:7:7661511:7661879:1 gene:DCAR_023987 transcript:KZM86853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLMAPRISQSLVPTHLDEFDFVEIDNSRDVDADDDVDDAAPAALMVTSMAYLPQNSVLCELRHEAFEACVSSGPLDVGLVSKWRPKDRLDALFC >KZM86874 pep chromosome:ASM162521v1:7:7884354:7885665:-1 gene:DCAR_024008 transcript:KZM86874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFIKGLCCYYSSCNCVFAINGDLKGVIAEKIGLEPDVQRLFFRGIEKDDQIHLEMAGLKNNSKLLLKENIAKVEEVSTSNDKTEEVKETQVSKGSELVAEVKADLRALKKIICEGHKVLQKDLIFTVEMLERQLLKLDGIKAEGEGKVQRKMEVQRVQSLLDTADELKTRNLGSKAKSSDTVQKTTNCDASESDIGNHDAQPSSPSSTKGTQDWEVFE >KZM88677 pep chromosome:ASM162521v1:7:30315899:30320750:1 gene:DCAR_025752 transcript:KZM88677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSALQLSNLNRRSNSFRSPLDSGDVSGKSPAALFWLAFHVLCCLISLVLGFRFSRLIFFLLFTTTTTTTTIYTTTPFRRAASIVTAGGRALPFSKPVVNYESAVNTTTVKASGVVVGRHGILIRPWPHPNPDEVVKAHKMIERVQMEQRAQYGVKNPRSLIVITPTYVRTFQALHLTGLMHSLMNVPYDLTWIVVEAGGISNETASLIAKSGRKTVHIGFDEKMKLSWEDRHQMEARMRLRGLRVVRDEKLDGIVMFADDSNMHSMELFDEIQTVKGIGAISIGILAHGSNSDEELDIVQKTVGGNKSSLPIQGPACNSSEHLAGWHTFDSLPYATKSAKYIGDKAVVLPRKMEWAGFVLNSRLLWEEAEDKPDWAKDFHNIVTSEADVESPLSILKDSSVVEPLGNCGRKVMMWWLRVEARADSKFPPGWIIDPPLEITVPAKRTPWPDAPPELLNNEKLTNIQESTEKRAPKTRAPRSKHSSRKRKHESRSVHEARSVDRHISVSSTVKE >KZM86918 pep chromosome:ASM162521v1:7:8396676:8399004:1 gene:DCAR_024052 transcript:KZM86918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVAVSSHGEEATKYIQKKHIQTQSQIGAVPHLLAGGIAGAFGKTCTAPFARLTILFQVQGMYSDVMVLNKPCIWREGLRIVNEEGFRAFWKGNLVTIAHRLPYTAVNFYAYEHYKSYLKSVTGFQSRTDSVGADMCVHFFCGGLAGVTAASATYPLDLIRTRLAAQRSTIYYQGIGHALRTICRDEGFFGLYKGMGATLLGVGPSIAISFSVYEAIRTYWHSKRPNDSTTMVSLASGSLSGLASSTATFPLDLVRRRMQLEGVAGEARIYNTGLVGTFKHIFRSEGLRGLYRGILPEYYKVVPGVGIVYMTYEMLKELLSDWSS >KZM88845 pep chromosome:ASM162521v1:7:31713396:31713870:-1 gene:DCAR_025920 transcript:KZM88845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTFGEGYSSRSDEEGFGGTNQTVPGSRRQDSNQNSTGYDKSQGSEVKEKEQSRHQTNPQK >KZM87139 pep chromosome:ASM162521v1:7:11402229:11402546:1 gene:DCAR_024273 transcript:KZM87139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEVQNGLSQLLKNNSSLREIIRGLEEENKNLNDKIKLMDIHRLNNEKMIELLKEYKDEHKALSLHIINPNKFEPPSIGKKRKLEEGEGSKVSKDEGEPKKKIG >KZM88265 pep chromosome:ASM162521v1:7:26296786:26298237:1 gene:DCAR_025340 transcript:KZM88265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTPKFVKFLSDSDFDSDEIRIPPLFCTKIADYFPSHVKLLFRDGYHKWVPFSRSRSVFYDMHEVYDHFEIWRGQIIIFEYVKPFEFNVSILGVDLCELEYLPKPVIMGDGVSKFGHILSCVETCVDKVDVPLAFVNRFGSNIPSSVDLIFNSSIRFVGDFIHKECKLTGLIQLCNMLGLPDLNKYVLLVFTYNGDKSFEINAYDSSMTADLVPSANGTTSGGLVTDNTAHTFEIEVKPFHMLRYAHGVDIPAAFKRLTDMWGMKKVINAYKEDQCWSLEVRKRMGFKRPTILDGWLNLRDGLKLGVGDKLIFKQKGGNNTDFTVEVVKKFV >KZM88829 pep chromosome:ASM162521v1:7:31594423:31601080:1 gene:DCAR_025904 transcript:KZM88829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDLSVILPRVLIVSRRSLRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVTGVHMLLDSFEPIHGVLLCEGEDIDPSHYEAETGGLSLEELEEIRKVHASDTAIDKEKDTIELSLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDIGKELTRNCSEDRRVVHMDYDNYDGHRHVVKVLRNTPLSDWFKDSLEDEEMEIRVNSYHHQGVKKLAQRFMPMAFAPDGLVEGFYDPDAYNPEEGKFIMGLQFHPERMRKPDSDEFDYPGCPAAYKEFVKAVVAYQKRLNGTTSVPRSLKLDQEMEKKRKVIVRSFSLARDLYAAGNERNPFKDSELEPGADFLESNTALSLQQETRLKQMGATVRNASSYSQKLKVNAERESHLDDWYESCGATFSCGAITGVGYPFRGNGDPEYCGYPSFLLKCDQDNMTTIRLMNVTYRVLNIDQTTQVMKIAREDVMDVTCPQELVNTTFNYAVYDFTSAYMNITFLYGCPVSVKLQSPSSVSCGSKENDSVDVVSGAHGPGNCKYSVVVPVLKAGAEGSVSLTHLDQLLQEGFEVRWKMDGKACSDCTSTGGRCGYSFSTNQTTCFCPGQPYLSDSCSAANRASPGPGCIVIGLSPQHILTDLRSVSRSRSSTDMLAITQQCIYMGMLIGHLPASYCQVYREFNECGKRFRCGNFSNLDYPFWGGDRQSYCGHPAFQLNCQENVTFINLRSKQYRVLGVDTRSQVITVAREDLWNNTCPSPINNTDLDYTLFSHPSDDQNLTLSYGCASVLGQQSLFKFDCPVNGARSDSYFTTRDAALVAPNIAQLTCDTRITVPINQTSADALSSASSSENDLKEVLKAGFRLKWDANDSICNECARPGGRCGYNSSTSSFACYCSDRQCNLIDSGNGSGLC >KZM87974 pep chromosome:ASM162521v1:7:22720996:22721581:1 gene:DCAR_025075 transcript:KZM87974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAGDESVGAGSWEKWTAASVIQLGTGIYSYRKVRSGECRLMPLKAFGIASLFVGAGFTSLFALVTANGIHSANE >KZM88932 pep chromosome:ASM162521v1:7:32405773:32406045:1 gene:DCAR_026007 transcript:KZM88932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEELWSGDPDRNARLESESGRKATEEWLGLDCKLAAGAQILGQGTENEAIDSTRQSRFRRNGMELNWAEWNGVEMSRRGNGGFVREKGR >KZM87802 pep chromosome:ASM162521v1:7:20640558:20641727:-1 gene:DCAR_024903 transcript:KZM87802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKRQPHDLPPPIHSSESESEDSSSSDDSDSEPQQLTQPRPQEPHQDSQESSGSDADSGSDAESDSSSDKAQMPPSVVSPQPKKPTSTPAQLKANGKRPAEVEQGDKVSKSKKSKNVEKVDKGSKSVGKVDKGPEKSKLSNGEGSEDKKTALFARLFSEKDEIVLLEGMIDYREKKGADPSLDIVAFHESIEDMLSCSATKNQIVDKIRRLRKKFVNNLKRGQNGGDPVISRPHEYKSFELSKKIWGSEVQGSEVDGDSKGRNGRKRNKKDDSKKVDNGGSKTPLRVVRKESDIDEEVMHRNEVETEDCWSLYPFLCASIESEAIKNFKGPMSPKEYVKKVVSRLEKEKATELEHEWNDYIMMEQQVYVKRVTTISKQAEVAMKVMKP >KZM87757 pep chromosome:ASM162521v1:7:20141986:20142993:-1 gene:DCAR_024858 transcript:KZM87757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYDNIEDFLQGQNNLMPIRYTYSQIKKFTKGFKVKLGEGGFGTVYKGKLRSGHVVAVKILSNYKANGQDFINEVGTIGRIHHVSIVRLVGYCVEGPKHALIYEYMSNGSLDKYIFKDGDEDGGVTTLSSEKIYEISCKVACGIEYLHRGCDMQILHFDIKPHNILLDENFNPKISDFGLAKLRSTDDCTVTMTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMAGKRKNLNPYVDQSSEIYFPSWIYDQIREGKEIQTEDASDIEKELVKKMIIVAMWCIQMKPIERPSMNKVIEMLEGDLELLVMPPKPLICPQETPGTEDQELD >KZM88831 pep chromosome:ASM162521v1:7:31612207:31615650:-1 gene:DCAR_025906 transcript:KZM88831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYGKLFVAETSFYNRIVLGGLLPERLWTPLPHFLQGWLRNYIAGTLLYFISGFLWCFYIYYLKRSVYIPKEAIPTKKAMLLQIIVAMKAMPWYVSLPTVSEYMVENGWTRCFPSISSIGWLDYIWNLIGYLVFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQALPHVIALFLVPMHFTTHVALLFMEAIWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPIEDDAKKI >KZM86411 pep chromosome:ASM162521v1:7:2573892:2577587:1 gene:DCAR_023545 transcript:KZM86411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNHKAQYIVTLVMVIIGLCLVKGELQTLTHHPAAQAQGSAGGLLSFVVVGDWGRKGMYNQSQVALQMGRIGENLDIDFVISTGDNFYDKGLIDEHDPAFEESFTNIYTASGLQKPWYTVLGNHDYRGNALAQLSPLLRQKDSRWICLKSFILDADIAELFFIDTTPFQDKYFTDPEDQVYDWRGIFPRRKYISTLLKDLDMALRESTAKWKIVVGHHPIKSNGHHGNTEELVAQLLPVLQANNVDFYVNGHDHCLEHISSQDSPLQFFTSGGGSKAWSGDMSPMNPEATKFYYDGQGFMSMQITREEVQVIFYDVFGQALHNWSTSKLLYSTL >KZM86517 pep chromosome:ASM162521v1:7:3678938:3682265:1 gene:DCAR_023651 transcript:KZM86517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQALKIPTWPTQVTLSHTRATTLSPALYRPPTNFHRPSQLRLPRVRPVPAPVRSNHGVVAAFDVKGGKGPWNHDFRSRFSNQLPDCVIDNDKMGDFHEIELKVRDYELDQYGVVNNAVYASYCQHGRHELLERIGVNADEVAQKGDALALTELSLKFLAPLRSGDRFVVKVRVPDSSAARLYFEHLIFKLPDQEPILEARATAVWLDKNYRPVRIPPEVRSKLVQFIRHSESK >KZM87365 pep chromosome:ASM162521v1:7:15097159:15102886:1 gene:DCAR_024499 transcript:KZM87365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGYDSVIIEMDNLDAYQVIRDFNMPGAPAQVFDSVSQITILLKDRRWVCVLAYVFLARNHVARFLVRLGKDVCDRLYILNHMIDPMAELINWDMRLGVDHPDYMDVVISDDAPNPVNFKVAVGIANQINAHGGGAEMTKVAVEAELKEMEVEVVVGVEAELKEMEVEVVVGVEAELKEMEVEVVVGVEAELKEMEVEVVVGLKVEIEVGLS >KZM87384 pep chromosome:ASM162521v1:7:15382601:15383208:-1 gene:DCAR_024518 transcript:KZM87384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLGVGTAHFPILPVLEVVEMNPVWQQVILREFCKAKGIMISIYSPLAAGGAIRGTRKVLDSEVLKEIAESKGKSVAQVALRWAHEQGVVIITKSFN >KZM86494 pep chromosome:ASM162521v1:7:3372025:3374150:1 gene:DCAR_023628 transcript:KZM86494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPSPTPSTTTTTPDSVNSSSRTKAATESFDDSCQLVSAKTPTKLRLMCSFNGHIIPRPHDKSLCYIGGDTRIVVVDRHSSLSELTNYLSKILLNSKKQGCFTLKYQLPSEDLDSLISVTTDEDLENMVEEYDRLSDNSAGKSSRLRLFLFPVKPESGSSIGSLLENSEEWFLNALNGTNGGELSNSDSGNCLLSLDDDFLIGNNSKGNKNQDVQSMPNSPMLDRASSFGSASSTPKALPRIKVKVADDQQHKVGIEERFAQMGVDRNVDQKLEDGGLKVEVEVVTVSSGQVVGDYLARDLSDDDYRRQIQQQQAQLMASQLYKNHDLASPHDSVSSDGSITNPLPRQKPMMYQDPNIQYTTLQSRVSVEQNTTDPRIHQMQQRYQDFGYLLPTHSDPQHHQILHQQQPQFITAGSQYIQQLPAGAVTMPSYYPVYPSQHPQRPHNPALEQQYPVYYVPARQTQAYNMPVHTDYNETTPSVTMVSPSSGQYNIVRNATAPGPYAPSSLHITTNAAVVQVPTGQPQPQYLGLSQSHQPQSIAPTLSPTTSYANKFADPTQASAYYGQSLACQMAAPYQTTTSASPEAAAQLPVDSTKLQDRTL >KZM86791 pep chromosome:ASM162521v1:7:6899974:6901692:-1 gene:DCAR_023925 transcript:KZM86791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQGWALLFFILIISTIILRVIIRTRGSSSLPPGPFRLPIIGHLHLLAPIPHQALHKLSFKYGPLFRISLGSNSCVVISSPEMAKEFLKTHEASLSDRPKTVASVHLSYGSQDFMFAPYGPYWKFVKKLFMSELLGGQTLDLLQTVRRYEIQSMINVMLKKSLAGETVNVGGQLTTLTNNVISSMVMRKRSESEEEAGEVRTLIKEIFDIAGIFNLSDYIWFCKNLDLQGVKKRLVDVRGRYDRMMERIIEEHRDMRMKKTENSDAEYAPKDFLDLLLDMHEDDSLEIKLSIDKIKAIVLGQLDVRGQHFHLLPFGSGRRGCPGTTLALKIVQTTIAAMIQCFDWNVVGNTPVNMEEGTAITLSRAHPLICVPVARLHPFPSL >KZM89298 pep chromosome:ASM162521v1:7:35757808:35763663:1 gene:DCAR_026373 transcript:KZM89298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEALEAILMDEFKEIHPSESGLNTSSRCFQITISPEEDDFDNSMTTPVQLGLIFSHTEKYPDDPPLLNVISLKGIHTGDLKILKDRLEQEALENLGMAMVYTLFSTAKEWLAERFAQDDNDGIAEAEEKKDEIIVPHGEPVTVDTFLAWRERFEAELALERAKHVPEQGHLTYFSVIFFCKASDLEVKRLMPEIALTTTKEKKLTGRQWFESGRASMKGAAAITEDSEEDDDDDIDFDDEDFEDDEEDMLEHYLAEKSDSSAHSSKRAN >KZM89193 pep chromosome:ASM162521v1:7:34845680:34853557:-1 gene:DCAR_026268 transcript:KZM89193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEASRSTNPSADSYVGSLISLISKAEIRYEGILFNINTQESSIALRNVRSFGSEGRKKDGPQLPPSDKVYEYILFRGSDIKDLHVKSAPPVQTPASIYNDPAIIQSQIPQQAAASTSLPYSDITSRQDFNSHTSQLGLPKSSPHSSASIYQPGGSLGSWGSLYPFPATNSTLSAPKYVQETYGAADGLQTQQQSLLQPQSRLLTPPSMHQTMPYPAMSASLPSSSNTVPAPPSLDIPSPLLPSFSPIAPNLHSPLLSSHSSALPADSTILKPNKGHMLGLHSADTSTNSLQLVTPPTTILDESDLTAGAVQPKSVEPRIAFSNVLESEKHVYDASGSLFNRGLAPPLVTPNQFLQPGTAVMSSSLSSQSIQKDVEMVQVSSTVPLQQTVPGAQAPLLPLPTSADYKVHGDPLHSRQNIRGRGRGRGHWATHNVTNFTEDFDFVSMNEKFNKDEVWGQLGKKNATLEDGHSRDEDNVGKTTSDPKPVYVKDDFFDSLSSNTIGGSHRGRSIFSEEKKLNTETFGNSARHRVGHGGRGYGRSGYGFDRGGHGFDRRGRGLGRDGYGYNRGGGYGHGGQSRDGRNSGRSYGYNERGGWGHHAT >KZM86197 pep chromosome:ASM162521v1:7:543277:543831:1 gene:DCAR_023331 transcript:KZM86197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERDLHYPDHESDHKPTSSSVVKLFGFVVTDKDKTPGSEQHESDNRRYECQYCQREFANSQALGGHQNAHKKERQRSKRAQLVSNHQTRRLAVNVPLINAHAARSGPFVYSAGPAFRTPADACAYPQPPQVLPGVPLRNPGKFFVGRPYHFSNVGASYDTSPLSRSAAAEAASDGVVDVDLHL >KZM88354 pep chromosome:ASM162521v1:7:27155852:27161869:-1 gene:DCAR_025429 transcript:KZM88354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASRLGNMLKQASSKHVSIESSLSNLSLCQAVRFMSSSKLFVGGLPWSIDDGSLREEFAQHGEVTEARVIIDQMTGRSRGFGFVTYTSSEEASSAKQAMDGQELQGRRVKVDFATDRPRQGGFGGGSYGGGNRYGGGNNYGGGGNNYGGGYGNYGGGGRGGGNNYGGGYGSYADGGGNVGSYGGGNSGGYGGGNSGGYDVAGSGDGFSAAGGSSGEFSDTGFSGTGSGGTTGNDGLSLDGADGGEPEAIENTRN >KZM86573 pep chromosome:ASM162521v1:7:4556012:4560897:-1 gene:DCAR_023707 transcript:KZM86573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVQPSMEGAIKKKPPIKHRRVVVTGMGVETSIGHDPDVFYNNLLEGVSGISEIEGFDISNYPTKIAGEIKSFSTEGWVAPKLSKRMDKFMLYMLTAGKKALADSGITEDFMEQMDKTKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADLMLCGGSDAVIIPIGLGGFVACRALSQRNNDPTKASRPWDNNRDGFVMGEGAGVLLLEELEHAKARGATIYAEFLGGSFTCDAYHMTEPHPDGAGVILCIEKALAQSGVSREDVNYINAHATSTQAGDLKEYQALLHCFGHNKELRVNSTKSMIGHLLGAAGAVEAVATVQAIRTGWVHPNINLDSPDERVDMNVLVGPKKEKLKVKVALSNSFGFGGHNSSILFAPYQ >KZM87473 pep chromosome:ASM162521v1:7:16862456:16864270:-1 gene:DCAR_024607 transcript:KZM87473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGWGLATDGKCLFGTDESSTLYQIDPLNMKVIKKQAVTYNGHEVHNLNELEYINDEVWANVWQFEMPLTCVLVKQTDCIARISPKDGVVREWILLQSLREGLQATGRKNFDVLNGIAWDKENNRIFGKLWPKLYEIKLHPAKKELHGNIEDICMPKRFVFGTSS >KZM87470 pep chromosome:ASM162521v1:7:16766155:16776862:-1 gene:DCAR_024604 transcript:KZM87470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPAQRRIQAIQQHLISSQDEDYQASSLRPNETAGEFVLEQGYSVILPEKLETGKWNVYRSVLSPMKLVSEFPNNPEIRTLHDNFTHSAKIFRDYKYLGTRVRVDGTVGDYKWMTYGEAAAARSAIGSGLVSHGIPKGSCVGIYFINRPEWMIVDHACSAYSYISVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLNVLLSFLSEMPTVRLVVVVGGVDEKIPSLPSTAGVEIVSYTKLISQGQSNLHPFCAPNPADTATICYTSGTTGTPKGVVLSHGNLIANVAGATYSMQFTTSDIYISYLPLAHIYERFNQILVAYYGGAVGFYQGDNLKLLDDMAVLRPTVFCSVPRLFNRIYAGITNAVKASGGLKERLFNVAYNAKKQAVLSGKTPSPMWDRLVFNKIKEKLGGRIRHIVSGASPLSPDVMEFLRVCFGQVIEGYGMTETACVISALDKDDFSIGHVGAPSPACEVKLVDVPEMNYTSDDQPHPRGEICVRGPIVFQGYYKDEVQTREVIDEDGWFHTGDIGLWLPGGRLKIIDSLNSSLVAVVCLDPDVFKAWALAQGFKPENLEQLCKDPRARAAVLADMEAVGKEAQLRGFEFAKAVTLVLEPFTLENGLLTPTFKIKRPQAKAYFAKAITDMYAEIAASDPSSQKLL >KZM87976 pep chromosome:ASM162521v1:7:22735270:22739201:-1 gene:DCAR_025077 transcript:KZM87976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCTKSVKRAGLLLNRSRWITSFSKSSDGVFNHVASAPADNIAKRGLLGPTGIGGLFRRNQINNCLVNYQSLFHLSKTNTAEPAMSSFARAFASQTSKGKDSSKREREISTVEDPFDAPTYNIPQKPVTFTEGASYSLVILAGLGIAAAAAYGVFSELIFSPKEYKVFGMALKRVQNDSQVSVRIGSPVTGYGQDSRNRAARQRIPHRIWTDEDGVEHVEVNFFVRGPHGYGKVFTEMFKDKEEKQWKFTYMIVEIDSPSKAQLMLESYIPGVAT >KZM89053 pep chromosome:ASM162521v1:7:33391732:33398025:-1 gene:DCAR_026128 transcript:KZM89053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPVLVLKDSLTRETGSKVHRANIQASKAVADIIRTTLGPRSMLKMLLDAGGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKSYHPTVICRAYNKALEDALAVLEKIAVSIDVNDRSAMLGLVKSCIGTKFTSQFGDLIADLALDATTTIGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVVPGKMRRKIVNPRIILLDSPIEYKKGENQTNAELVKEEDWSVLLKMEEEYIENLCVQILKFKPDLVITEKGLSDLACHYFSKAGVSAIRRLRKTDNNRIAKACGAVIVNRADELQESDVGTGAGLFEVKKIGDEFFAYIVECKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAAVAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWVGIDGNTGAIADMKESKIWDAFNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGSGGPSKPTIEQEGDADNEQMIPE >KZM87188 pep chromosome:ASM162521v1:7:12213190:12224967:1 gene:DCAR_024322 transcript:KZM87188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASTNRFPQFIKYIRCDESSSDEIRVPQKFTNEHSNPLSENWILSLRNGYKIPVRYDSTNSTLVGVRDLLVDFGVMGVQVMPCHMMHYSHGVDVSTDFFRTSVFWKKDTITAYNGVESWKLEVRKRKFVDRLGGIMSCNVNSTGLVVYDLVITKKHLTNKEYTKVLKRSACKTLGIRQSMEFVDLSFKDFSWTVKFIVGSGIGHRTGVLNWYKVVDDIFLSGGQMEIPRVYTEITGGGMKKIVKLIMADGKSVFVRFFAGKNLIYGLENLVNSYSIELNDIPVFTYASDSTFSVSCFKYSGIEYHHNSEELDALIEEEAQETIMLSDSSDASDNNLVLDHAPQEVEEMVMEVSDEEQDNNSFLVTLKKSHVDKKVHGVRFSLKFAAWVVEINNNVVAKEVQQIIIALLGLILHLQSEVKSLSRGFKDYAVMAFHDNEVPRIFKVALKDTCLSGVMTLPKKFSEYTGRIPANVQIILKDGFEWNVKFWKERNSLHGLKALLEYYGCSTGAFLLMEYNGGGRFMCDIFPAYEGCERWTDECDLLGKYIMKIVVYQGDDFDELCLCSGLMAWRRFQEALTL >KZM87402 pep chromosome:ASM162521v1:7:15978690:15979713:-1 gene:DCAR_024536 transcript:KZM87402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDNKKSSQPKASNAAAEPEGENAYASFQGLLALARVTSNNVDESRGACKKCGRVGHLTFKCRNFLSIKDESNDKDSEAIQAAVKSGLEKIKGSGKQLFGKTVAEDSDEDSEDEKRTTMMMSSIVKGKRLRVDQRRREPVEGTTVILMQMMRVAGREGKKRGGEMNRLMRRMIVDDSIERVGRKGGEGKASNIQMIWMDLRNAPEGIGGRAGEKDRSLLMLAARMILIQEVEEAKYNLERGAGNTATNEISFSLGVRNRTMYSYCCVVLSSVIVNF >KZM88910 pep chromosome:ASM162521v1:7:32226113:32228063:-1 gene:DCAR_025985 transcript:KZM88910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLTGFSCPAIYKIRDRPAQYTSPHRLPKPKRQPTPFTFNQPSFVVTSYLGETFTVAGKSEFTASGEPPAVAMMSRKNALMKLNLASIGLAMVLVLGNAPKVALAANSVSAFVQNSIYSNKITVFSKSYCPYCLRTKRIFSELNEQPFVVELDHREDGNQIQDVLLDLVGRRTVPQIFVNGKHIGGSDDLQNAVENGELQKHLSKV >KZM89291 pep chromosome:ASM162521v1:7:35728294:35728680:-1 gene:DCAR_026366 transcript:KZM89291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFALPPRFVLLAMLMVVVIAFPKPLFCEATQLLHRGLLQSGGIICPDCVCCEDPRPSVRRTSCCACCSTPTARQSDKGLKGAGF >KZM86512 pep chromosome:ASM162521v1:7:3624427:3625224:1 gene:DCAR_023646 transcript:KZM86512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAPEKSKPPLHNFNMPWLQWGNRKLMRCMKVNESSPTSYNHRRSGENPIGRLREPEFERRGSSKKAKRDDDGIEAVREKLMVDLKTAAFKMKNALIRDGLEAPKDTPWNLRTRRAACKEPPVGDNGGERKPNSSPVRTEANKSARFLRGSVVGGVVGESSSGEKRDRAKFSVSLTRREIADDFMVMTGHRLPRRPKKRPKYIQKDLDTLFPGLWMTEINADLYKVPDAV >KZM88168 pep chromosome:ASM162521v1:7:25133889:25135283:1 gene:DCAR_025243 transcript:KZM88168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPSHIAIFPTPGMGHLIPLVQFAKRLITLHNFTATFIIPTDGPLSKAQQKFLDTLPNGLDYVVLPPANLDDLPNDVVVETRMSNIMSRSLPSLRDTFQSLHAAKKLVSLVVDLFSTDALDVAIEFKVSPYLFFPSTAMALSLFLYLPKLDKMTSCEYRDLPEPVQIPGCIPVHGRDLPGPVQDRKTEAYKWVLHHVKRYSLADGIMVNSFMDLEGGAIKALQELGRPPVYPVGPLIQRDHSTTGADGLDCLRWLDDQPSGSVLFVSFGSGGTLTTNQITELALGLEMSGQRFLWVLRSPNDESANAAFFDSYSKKDPLDFLPQGFIDRTKGHGLVVPDWAPQAQILSHGSTGGFLTHCGWNSILETVTNGVPVIAWPLYAEQKMNAVMLNEDLKVALRPQVGENGMVGCVEIAKLVKGLIEGEEGKGLRARMRDLKDAAVKVLGEDGSSTKAMAQVVSKWCI >KZM86580 pep chromosome:ASM162521v1:7:4609644:4613279:-1 gene:DCAR_023714 transcript:KZM86580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMTRPISHYRSYPEFLSLPPDGPNSGYLVIQDIEPEEIVTCFILSQGYNRLPFPQNINLTTKYHSGENTYHAHYAFFPVLNQPLSTNQYYVINTHKRDKGKAYCCSKEEDVFTCCFCRHGADVEPRPLDPDNIYQQFEIVDDASEGSFYAKSVAHNGVPPWLLRRGGWTVQTKNSSSTKYILGEALGLDTALPGRLPDLSFPLSRMSSEAVVIGKWYCPFMFIRDGTLTARDQMENSRFYEMTLEQRWEQIFECNNNDHSNVVMVDAVVQREVVLVGDREAVWDEKNVVDKTIRFTSFGTTGEEVSVGLRLEIVERMKWEEERGGWLCGDERVVTVDKVEEFGGGAEGWRKLRCYVLVEREAAWDEKNVVDNTIMFTTYGSRGEEVSVGFSLEIVERMKWEEERVGWVSVVKSYDFKHTHQIRTLQNRITRKLGVTMYVTRRLSQYINYPEYLSIPPQGPNSGYLVIQDEESEIYYCFGLCKNRELIDLPFPQNKNLTTRYSSGTGKNKHTSHHDVTFFPVLNQPLSSNRYYAIEPHGKHKGKAYACSTEEDMITCCFADVVKDVKPRPFDSHDIYQQFEIANYETVCDQGGSFYAKSVARDAFPPHFLRRKGWEIQTKTPRNYTLGEALGTDSTLRALLPGLNFPLSSKSSEAVVVGKWYCPFMFIKEGRLTSRDQMADSMFYEMTLDKRWEQIFEREMIDHGNVVIVDAVVQREVVLVGGREAVWDEKNVVDNAIWFMSFGTNGEEVSVGLSVEIVERMKWEEERAGWVGGDERVMRVNRVEEFGGSVEGWRKLRCFVLVERFLLKRMDGSVVMTREFKHTHQLKCLWE >KZM86807 pep chromosome:ASM162521v1:7:7253479:7253688:-1 gene:DCAR_023941 transcript:KZM86807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIFVVVILLLAVTSLNGVDAGRVLRVDIQHSNHIETYKLSVYSQTRSCMKCWFQMLPSGPSRRGSGH >KZM89237 pep chromosome:ASM162521v1:7:35257009:35258178:1 gene:DCAR_026312 transcript:KZM89237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAEDPYSESRGFESSQCPKIRVRNVSYPRNDGDQYVEGDDDTEVDEPNEEEEEEEGDGNEEDIIQLNGKAEDVSDEDDVLDEEGNEDGDDSDHDDENNSNDYRRIESDLHRQPKKRKMKSLLSSYEFAPRVPAPLAMTKSVPKPSHGGRNALSDWTEHETFVLLDAWGDRFLQRGRKSLRSEEWQEVAEKVSQQSKLVRTDTQCRNRLDTLKKKYKKEKMKLQGMMDTTSKWVYFKKMNMLLSSTPQQPRLSRRVNSVNSEYVTQYTRVPLNRACGIDELSNSPENSESVEAEEKGSDLLPPKRTSRVDGGSFRLLAESITKFSDIYEKIESSKRKQMLELERMRMDFFRELEMQKRQILERASAEIASIRRGDDEQNDDSAENFSN >KZM86628 pep chromosome:ASM162521v1:7:5063947:5073695:1 gene:DCAR_023762 transcript:KZM86628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDQADLTTDQILTRDIPWETYMSTKLISGTGLQLLKRYDKKPQSIKAQLLDEDGPAYVRVFITILQEIFKEETVEYVLALIDEMLAENPARARLFHDKSIGSGAYEPFLRLLWKGSWYIQEKSCNILTLIISARLKAQDAALMNGEPSDSKKKLTTVEEVLRGQLDWVCAQNRTEPNGKECEHIPPIAKNRTEPFQLKQPSHASCNIHTAISCLSKLLKEPYVRSAFVQADGVKLLVPLISPASTQQSMQLLYETCLSIWLLSFYEPAIDYLATSRSLQRLIEVVKGSTKEKVVRVIVLTLKNLLSKGTFGAQMVDLGLLQVVQNLKAQAWSDEDLLEALNQLDEGLKDKIKKLSSFDKYKQEVLLGQLDWSPMHKDSIFWRDNISNFEENDFQILRVLITILGTSSDARSLAVACYDLSQFIQYHPAGRIIVTDLKAKEQVMKLMNHENAEVTKNALLCIQRLFLGAKYASFLQV >KZM87196 pep chromosome:ASM162521v1:7:12292244:12293240:-1 gene:DCAR_024330 transcript:KZM87196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIFFPLLTPRPKKSFSVDRYLLDRSREKFSSLSSVLPSDRSFNGSSSKVVPVLKVDRTGLAGGCGHYTDVSRPPRIPLSDISNYSCLSREQEYLGGSRLKATLQAPNVVTDENGQFRSKVRRCNKDRRALRPLTINLENVVDFDDDVPLSDVLSGLRTDRVRLKTLKRKRTLVVDEIKKKLNFGPAISELPPHNVVGYEDIG >KZM87874 pep chromosome:ASM162521v1:7:21524929:21527379:1 gene:DCAR_024975 transcript:KZM87874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIGGSGRREGSAKAVVSDHISQTIKSTSNVLQLMLQSSPSQAQLRKLPKDLLAKTSTIRNTEQVLNQLPHVISSLDAHTEQGLQSGPHLSTILQLTETIKICQLKHLASTQVSPQEPKVTIEDRPSTVLNQFAATKTM >KZM88087 pep chromosome:ASM162521v1:7:24249990:24253496:-1 gene:DCAR_025162 transcript:KZM88087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIVADLASGLVCKLVALATEEVIQAWKLHQDLETLRERLESIDALLSDANNKKPTMSAVQNWFNKLEAVARVADVFMDELAYEVARKIKSIDTSFDKYFKWALDLGLQPVAHLGSIVQRREIRRTPPFEDESKIVGRDDDISYLVQTLCKNHEEDLPVIAIVGMGGQGKTTLARMVYNRDVVIDMFPKRMWITVSNDFDFMKILNEMIVSLTSVNSVLGNTEGLIKDLQKNLKGEKFLLVLDDIWNEEPEEWDNLMNSLIGVQGMKGSSIMVTTRSQEVVDALRCSLSYQVEKLTDEDSWTLFKQRAFSRGGVSETGAFAALGRRMVEKCGGLPLAIKTLGGLLHSKKSEEEWLLIQNSEIWKSEGVLSSLRLSYDNLPYSSLKRCFAYCSIIPKDSHIYKDELVHIWMALGFLLPPKGSNALMEDVGNEYFNILLWNSLLQDVERDDYGNITYCKMHDLVHDLALDVSANYYANITPSHGFNQVSKAIYARLEGFKAVNQEISSVYFNSIQALQLEGLNLGGTLDLYGLSDVSNMEEASKAKLCEKSNIQCLLLDWSNNEDEREGKEYNDEDVIEGLRPHTYLKELSVVSFKGRKFASWITMMMNLVKITLKDCNNCDAFPPLSHLPKLREILIFGMHNVKVIGRDFCGGLASTSSGLSDSGSVKTVATMYPSLTTLVLQGLSNLEEWLEPNISTGDEGQNMVPVFPKLEVLKIERCSKLRMIPNTLFLFSKLRELVITNLDSSEILETMSRKISSLVNLRLRSIRDRNGGSSSNVYYLIEELLKTNSLSLKTLNLDNCPGLKFLTIGIVLEELEVSDCLNLTSINVVEGGLKHLIIGRCPSLSELVFVPSTRSRLEKMILGPFSEDLNEFPWPSFSSVFSFPKLTSLTLYGWKKVRSILLDGELDDRLSSTFPALTLLYINDFEGVKSLPESLAKLPSFERLRIWNCNNLKSLPVFHESHSLQYLKIFQCTILEERCRRESGPEWFKIQHIPRIQIGHELIWKH >KZM87942 pep chromosome:ASM162521v1:7:22270447:22272006:1 gene:DCAR_025043 transcript:KZM87942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLPLMLFLLSSLGFFLQITSSGGLKAAVQPIGVCYGTFGNSQPPAREAVSLVQSVGIGRMRLYGPDHNALPALGNTNIEVTLGVPNDELEWVASSQDNANEWIQGNVQNYKQVKFRYIVVGNGISPVLDFKTSQYAPFLLPALQNIQSAIFAAGLQKRIKVTSAFDQTELLHQSFPPSQGELRTEIREFIDPIISLLVKNNAPILVNLHPYFDYIYKMPNNPIELASSKSKQDVRLQYALFQIPNKPLVQDGPLGYSNVFDAMVDSVYSALEKAGGSSLDIVVSEIGWPQASWSADNHIANNQNAATHNNRLIYHSEVGEYQGLTAFPPIFGLLLGVGVTGAGRCAAEALCCREDVVLSRRCRSMVEKLHV >KZM87576 pep chromosome:ASM162521v1:7:18308654:18308881:1 gene:DCAR_024703 transcript:KZM87576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAIIMLDTIAATIPTVVKNVVGKRCVFDIKINNYNTNLGYEEYTVIKLSESSVGDANPTAEHGGPPEKRQRMS >KZM88074 pep chromosome:ASM162521v1:7:24166377:24168384:1 gene:DCAR_025149 transcript:KZM88074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSQPVQHISEYFIKPSHLSQDSKQVIHLATWDLAMLSFHYIQRGLLFRKPEAQDNFIQVFLQKLKDALSLTLAHFYPLAGRLAKKQDSHSFVLFVDCVNSPGARFVHSSVDTTVSDILSPPYVPMIVRSFFDHHKAVNYDGLNMSLLTVQVTELIDGIFIGCSINHSVADGTSFWNFFNTLSAIFQGSGVTSPPIHERWFPDGYGPFFRLPFTRDDQFITRYDAPILKEKIFHFSVANLARIKAKTNALCKDRAVRISSLQAFKLLANNFEWAALQLNKTILEHNDKSVRKSVATWLQTQRPNQLGQLADPGNIVVSSSPRFNMYGNEFGFGKPVAVLGGYGNLFNGRVTLFQGSEGGGSIDTGICLNPKIMNALECDEEFLDALNSSRYQLKTPARLPYLAKTPQYIKSLKFCLNLAISVM >KZM86985 pep chromosome:ASM162521v1:7:9426771:9442597:1 gene:DCAR_024119 transcript:KZM86985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMTSGHVLDMFDCFAIEDLAIWQCVIKCVVADVESEGEYGDFPAAYQDWTATDPLTLFQAPVLKTESNPKAHIRKHLSQEARGCGHLVLWLDCDREGENICFEVIDCTGFHTTDDRKIYRARFSSVTEKDIKKAMNNLVAPNRDEALAVDARQEIDLKVGVAFTRFQTSYFQGKYGNLDSSVISYGPCQTPTLGFCVQRYLQINTFKPEKFWAVHPYIIHNGYELKLEWERIRLFDPDVAEMFRVLIVDDGLVEVTGISEKQETKVRPSGLNTVNLLKVASSSLGFGPQLAMQLAERLYTQGFISYPRTESTAYPSSFDYRGALEVLKNNSVFGSHVQTLLANGYVKPRSGTDVGDHPPITPMRSASEDMLGYDAWRVYQYVCQHFLGTLSPDCKYIRTKIDFSVAGESFYCSGQNVTVKGFTSLMPWLAGNTAPPDYLSESELISLMEKNGIGTDASIPVHINNISERNYVQVQAGRRLIPTALGVSLIRGYQCIDPDLCLPDIRSFIEKQITLVSKGQADYSLVVRHVLAQFQQKFSYFVKKNLHVKLLVIHRIGPPSDVSLHNIGSLRCFSPGQIFEAFSKIENMDSLFEAQFSPLSDSGRMLSKCGKCLRYMKYISSLPSRLFCGTCEEVYYVPQKGTIKLYKEITCPLDNFELLIFSMAGPDGKSFPLCPYCYNNPPFEGIDTLFGASKAGSTGKLGKGAGMPCFMCPHPTCRHSLIAQGVCACPECSGTLVLDPVSAPKWRLYCNMCNCLVFLPEGAHRITTTRERCVECESTILEVDFNKKTTPLKDGATLYTGCILCDDLLHSMIEMKHGKSFFKRTGGRGRGRGRGRGHSSRGRGGRKLQDPKMTSSCLCFHSLNSTCSLLRQPHINSNSCSILCWNSFSIKYHSSSSCIARVSAHQAAAAAARPVTHSPPDDALQAPSPRGVADWKEARTYNGKGLIHRGRVENFNGGEPNKSIQEIAKALKGSLISVKVIQADETSCYHLTGLVHVSEVSWDLVQDVRDVLQEGDEVRDGSAGFESSGEDESYDIEPLQGLKTIIEELLQEDGITDVQITRQGFEKWVVSQDLQLWLSNAPSIDGQCTLLARAGRQVQ >KZM87949 pep chromosome:ASM162521v1:7:22377753:22378061:-1 gene:DCAR_025050 transcript:KZM87949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRCATKQYLDSVFHQAKLARRARSQGRRAVHQGGVHQAKLARRARSQGRRAVRQGWGNWIVEQEPDHVHVIYNIPGPIPHNLNEIATDNSLLRGFLLDD >KZM87685 pep chromosome:ASM162521v1:7:19388104:19388739:-1 gene:DCAR_024786 transcript:KZM87685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNEEAYSQFGRRSNQPENEDYGETQVTSNNDGISINRLMDANLPRRRISRRHRVVVVRRREAIRQRRDAYIQQFRRANHMNSEVHSSGIESQSRFDSVYPEVFMTTIEYAETLESLAEDDDVELKATPTAKSFIDSLKVKKVECEKDIPFCVVCQDMMNVGDFLKELPCMHNYHVDCIQSWLATRNTCPVCRFELPTSDLVLGSVEDEV >KZM88231 pep chromosome:ASM162521v1:7:25826824:25830676:1 gene:DCAR_025306 transcript:KZM88231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGGNNGTIPPLASIPEPQYSTAKISVWWDIENCQVPKGCDPHSIAQNIASALVKMNYGGAVSISAYGDTNRIPAYVQQALNSTGIALNHVPAGVKDASDKKILVDMLFWAVDNSAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQRASAPLLAAARSVWLWTSLLAGGPPVTNVESLPLLHNGYGQKVNNDQLRIPVTESFLPSQPVDTFYESAHSVNQRFSSMGRGTTDAKYKGKQLRKTSSQPIIPRTSGSPVGFQDDQNSANSYQPEYPHPKQDSQELSGVYNSKESVGLATPNCFPGDTDSSWTHSSNFQKSYQDHYSLPVRPSNFSMPPASAFPPGNLFPPNQHGRPPHLMPPRWDGPSSKSAPLLNLPDIGKLNLSEYPSRDYNSSVSQSWKGGQLKPNSMLESPNQVNTNSPVKGHMTNNSSPFLHDTQKSSHPNGAPEFSLPPSSAIGTAGNVSSSGVWGTPGCPRPSEYIQGLIGMILLTLNTLKSEKMMPTEANITDCIRYGDLKHRSTDVKKALESAVEQQLVVKQNLGASQLYVGKNEKLWKCVNPIGANPKQYPKATWDELQNFLKSSSGRSVIIASNCRYEAATIIKNLCLKDHSLGEILQILYMAINIRKWIIHHQSGWQPINITLAETNPDSGSNSINIKSNYSTKKDGACWLYNIQDLAPEILSKYVYFKGRLPCTPLHLEVERFSDVFEGEV >KZM87295 pep chromosome:ASM162521v1:7:13924911:13925974:1 gene:DCAR_024429 transcript:KZM87295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFGRVYGGRDAVFWSSLASAQNPGQVAAAAAAPIGVFIAVTGISIVAFPLRKILSKAILQALALGTAGAFLFDRSASVVLFASNLGLPISATHTLVGAVMGVGVARGLNSVRAETVKEIVTIPVGATFTIIYTWILNRLLSYVL >KZM88383 pep chromosome:ASM162521v1:7:27457947:27461290:-1 gene:DCAR_025458 transcript:KZM88383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFERTYQGWSSEPTKLTPWRSNCGAVESFVDPSHLMRSGPVNILDAPVTLTNTHNSIDSDFGCEATYRETDHGVASQEVSLGMSVPANLFEDSTAEPPQVSFSNTELLASESQPQLWRDRPCTTECATTSYTPDELEELNTEDIAISDSCSQGVLNTISHALKSSGVDLAQTSISVELDIAKHPDRGMTSMAFFTRDNKNLPPSSEALSHHGVGSSSNNSFQAHKRLRTEQS >KZM88862 pep chromosome:ASM162521v1:7:31846507:31853181:1 gene:DCAR_025937 transcript:KZM88862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDPTLDVCDGVIAESMSEYDSQDDKPLVFRRNSATPQQNESNPEITIIKQNASNVMEKTLKEEGQSRSNSEDSDDDKPLSVKLSSGALNGNSHHIRMGSNLSCPSPYTSPKPRIIKGPEDEMLLSSELQLNAGASNAESSDSDESKPLASELEEPSSSLNKRPLIQPKISDPSPSKKAKLSEPSAPTNRKRKQPKAEEAAADDDLPISNRNKKSTTPASKVSDKKKRPDVSASLNKVNKQSNKADFSKSLKVPPGSGEGQKWTTLVHNGVIFPPLYKPHGVKMLYKGNPVDLTPEQEEVATMFAVMLETEYMTKPKFRENFMSDWRKILGEKHIIQNLEDCDFTPIYEWHQREKEKKKQMSTDEKKAIKEEKMKQEEKYTWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIFPNDITINIGKDAPIPEPPIPGERWKEIRHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKSRKLKDYIEGIRAAYTKDFASKDSKRRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVETKRPNILKFDFLGKDSIRYQNEVEVEPRVFSAIEQFRSGKEGGDDLFDQLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLSRETKGGDVAEKVVVYQHANKEVAIICNHQRTVSKSHSAQMVRLNEKIEELKGLLKELQEDLTRVNKGKPPLKNSDGKPKRNLNPEAIDALTFNGGSLDILVLLFANSDPICRLQRKIAQTNTKIEKMERDKETKEDLKTVALGTSKINYLDPRITVAWCKRHDVPIEKVSLPVMLLQIFNKSLLAKFAWAMDVDPNFRF >KZM88530 pep chromosome:ASM162521v1:7:28907888:28908079:1 gene:DCAR_025605 transcript:KZM88530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNQQHIIAFLAKMIRIPGLMQKLAKSVNLDKLVKTVSKKRSKASGHVYGSVEVRRQFYAE >KZM86418 pep chromosome:ASM162521v1:7:2638821:2641976:1 gene:DCAR_023552 transcript:KZM86418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQIVPILTFMVISFMLLNLLKKLFRKSKKLPPGPFRLPILGNLLQVTGALPHRSLYNLSKTHGPLMHLQLGEVSAVVVSNPRVAKEVLKTHDLCFADRPTLLLGNIILSNCRDIVLARYGEHWRQFRKICTLELLSANKVKSFRNIREEEARDLIQSIQSASGSAVNVSEKVSNLANSITCRSTIGKRCKYQHELIEATENIAYWGAGFFMADLFPSALVFPVLSGMKPALKKVRRELDHIFDYIINEHKEKLASRKNQGTKLDAEDEDLVDILLRINDSLQLEFPVTSNDIQGIVLARIVFGTILLANFRDIVLALYGDYWRQMRKICTAELLSAHKVKSFRFIRQDETWQLYQ >KZM87096 pep chromosome:ASM162521v1:7:10937176:10938635:1 gene:DCAR_024230 transcript:KZM87096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSWKSYVDLKKEALKDLEAGPDVEMSKTTQMDEGLTSFLAEAEIVKSEMNSIKDILVQLQQASEDSKSLHKPEALKSIRSKINSNVVLVLKMARSIKGQLEEMDRSNAVNRRLSGCKDGSPVDRTRSAVTNGLRKKLKELMMDFQGLRQRMMSEYKDTVGRQYYTVTGEYPNEDVIEKIISDGNGGEQFMAKAIQEHGRGKVLETVVEIQDRHDAAKEIEKSLLELHQVFLDMAVMVEAQGEQMDDIEHHVMNAAQYVTDGSKNLKTAKGYQKSSRRCMCIGIILLLILILVIVLPIATSFSKS >KZM88713 pep chromosome:ASM162521v1:7:30614896:30621800:1 gene:DCAR_025788 transcript:KZM88713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPLLENIHIISPIRRSNLSYSSFPLSRLRQIARFSSLPENIVKPQSVSPPPQPSLNHRVRPSISQTETLAQKIGKSIRRPGAPSKARVYSDINVIRPKEEQDDYEVVRKVGRGKYSEVFEGFHCSNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDFDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTEELNSYLNKYRLELDPNLAALVGRHSRKPWTKFINADNQHIAVPEAVDFLDKLLRYDHQERPTAKEAMAHPYFFPVRNAESNRTRA >KZM88901 pep chromosome:ASM162521v1:7:32162387:32167309:1 gene:DCAR_025976 transcript:KZM88901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASPSEDWQWENAIAGSAAGLVTVSFSHPLDVVRTRFQVNDGRMSNLPTYKHTPHALFNIARTEGFRGLYAGFYPAVLGSTVSWGLYFFFYSKAKQRYLSSREELTPGLHLASAAEAGALVSLCTNPIWLVKTRLQLQTPQQHTQPYSGFHGAIRTILKEEGWRALYKGLVPGLFLQVTHGAIQFTAYEELRKVFVNYRSRDDETNMNSDNLLSSVDYATLGASSKLAAVLVTYPSQVMRARLQQRPSIDGVPRYSDSWHVIKETARFEGLRGFYKGITANLLKNIPAASLTFIVYENVLNTLKLARVKD >KZM87293 pep chromosome:ASM162521v1:7:13896030:13896713:-1 gene:DCAR_024427 transcript:KZM87293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPDSLKFLCSYGGKILPRHPDGKLRYQGGHTRVLAVPRSISFSELMVKLGELCGTPVTLRCQLPTEDLDALVTITCTEDLKNLIEEYDVVSSLKIRAFLSLPKKPTSPSSSSSSSPKSTQAVAGNVDHKARFPATTCFRHIPKPVGYPVCFDKAAGKVPATASYYANGNPSARIYLIHNGNHWQ >KZM86638 pep chromosome:ASM162521v1:7:5196853:5207460:1 gene:DCAR_023772 transcript:KZM86638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNSKSSKGSASSAHLSRPRFVKVRKQSAAAASHTSIPNPGFNPFTPSANPQMSSGTSTLNPFVFRASASGMTHDPLLDKIRNMNISDGGGGGKGMFVFETGGKKSSESDGLRRDSGLDTELPDQISKLNIKDSGTAGGGGLGYDAMRNVSGDSKNVLPDMFNKLNIKESMASDQGGIGFGDKSGSVYNESHQGRYGFQAGDVKLASSSPGMHFGPAMNTLNASSVGMTYNDEVRTPYVEFKTPNLKEYVASDYDRNRESRGDSTKEAKLKKKKWDPRKTTAGHVMCDEEFVFGEGSSRQTCDSSEAYSPMDVSPYQETVAQSTRSRETSITSDEVLYPDDSYVSSESHPTVSNTTIDEDLAAATNQLNINRSNINFTSFGCDSGTDCFDKGSVAGGPSEESISGAETESFKSAVDQLEYSSDTFVTAGDTEVSSCSSYERQDLDGKTQFNFASSIEDTGSSSFTFAASSSNHVPSSADTRHYKKKHRLKVGNDTYSSFVNDKVPLESSTSPFFPISGTSSNPSPWQGRKGDESKLFGKSENKSELIKEKEVKQVSFSSATSIAAQEACEKWRLRGNQAYANGDLLKAEECYTKGVNCVSKTETSKSCISALVFCYSNRAATLMCSGRMREALQDCMFAADLDPSFLRVQLRAANCYLALGEADNASVHYMKCLKAGNGACLDSKHLAEASEGVDKAKKILECIKQSADLLQQETADFAEHALARIEEGLMISPYSEKLQEMKADVLFMLRRYDEVIKYCEETMESAKINSSVVGADNRQNNVEGPNVRASSSFRVWRWNLIAKSYFYIGKMDEALDFVKKLEEPVLITERKGNQSLESVIPLACTIQDLLRFKVSGNEAFQSGRHAEAIEHYTAALSCSVESRPYAAVCFCNRAAAYQAMGQIAYAISDCNVAIALDGSYAKAISRRATLFEMIRDFGQASTDLHRLESLLKRHVEDKGNQFGASDRMNRINELKQTQQRVYMMEEKAREGIPLNMYLILGVERSAATSEIKKAYRKAALRHHPDKAGQFLNRSDSGDDGLWKEIAEEVHKDTERLFKMIGEAYAVLSDPVKRSRFDQERYEQEEVVRNSHKKSNVSGTYADVHSYHFERSGSRRNWDEILRSYGNSQPWGSERSRSNRYS >KZM88413 pep chromosome:ASM162521v1:7:27687272:27689673:-1 gene:DCAR_025488 transcript:KZM88413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGKKASELVKEFASSEPGQLSAFNNDLFSQVLEECNGHLLHLQSLLRKMQEDGPDNQTSRNADHFGALMHHLSIVRNKRCLMAYVYNRAEVIQHLGWTIERVLPEEIEEKLSNPEKDYFKNHSATLQSYMSELDLDLAVDMVPPKDPYIKVRVLEEIGNVVLSDQFANLARHAILFLRRTDAEHYISQGLMEELSS >KZM86841 pep chromosome:ASM162521v1:7:7522141:7526647:-1 gene:DCAR_023975 transcript:KZM86841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVVKLRPCVCTTLHSSLSFSPLSISRYRGAAPRRATFPKIYAFSSNDIKVGSNVEVDGAPWRVIEFLHVKPGKGAAYVRTTMRNYVTGNTVEKTFRAGSKIEEADIFKETKQFTYKDGPQFVFMDLSTYEEYRLNASDVGDKEKWLKEGMDCNLLFWKDKIIDFDLPINVKLKVVEADPGLKGDTAQGGSKPATLETGAIVNVPLFVEKGQEIVVDTRTGQYMSRV >KZM88410 pep chromosome:ASM162521v1:7:27676887:27678464:1 gene:DCAR_025485 transcript:KZM88410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIEQARDIRGRFRTDDEPFGVGGNADHCRSFKRPRVSENIRDSRLPNLNNTSRAGISFKSQPCCDFRTRGCSYGTNCRYSHEFRGIDQGELVAKEIGLGYNNVCKWYTSGKPCPYGNRCRFPHEDVKNGVDEFRKSHAISIAGYNQGLSLKQDNRLGGSGFGAKQDNTPVDVSLRANQAHQRHMSWKTRLCNRWETVKECPYGSKCFFAHGLAELLPGPSARNKNQSLRSVAGIAKDEKNGVAQVEGKEECSIRWKTVNKLVGIYADWIEDMPSQPDM >KZM87271 pep chromosome:ASM162521v1:7:13371075:13371371:1 gene:DCAR_024405 transcript:KZM87271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELCAFGTHVLVSCVLCERRWWSWWSTNATNIHVFTTGPRSRICWESNRTRTSEGWSKEKKHSSKNEEELQVGGERHLDNVRLIVCVFSWSFIGVFS >KZM87275 pep chromosome:ASM162521v1:7:13383093:13384988:-1 gene:DCAR_024409 transcript:KZM87275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFSNTNSIQESTSPSSSSHHSPTHSSENTYNLNVKNLSYTINKSSWTPSSLLGLNKKVEPIRVLDSVSFTARSSEILAIVGPSGTGKSSLLRIISGRVGDKYFDPTSIKLNDASVSSPYQLRKICGFVAQEDNLLPLLTVKETLSFSAMFRLKEISVKEREERVENLMYELGLDHVANSFIGDEENRGISGGERKRVSIGVDIIHDPPVLLLDEPTSGLDSTCALQVIELLSSMARSKQRTILLSIHQPSYRILHYISQFLILSHGSVVHAGSLSSLVDTITQLGLTIPLQLNALEFSMEIIHKLDDHSKSRNQLSSYIEENEPSSFAVMWQREGQCTELEVEYCDHYFSLFEVMCLCARFWKIIYRTKQLFLARTMQALVGGFGLGSVYVKVKNDDEGVAERLGLFAFSLSFLLSSTVEALPIYLQERRVLMKEASRGAYKTSSYMIANTIVFLPFLFAVAITFSLPLYWIVGLNSSIEAFAFFTFAVWLIVLMASSLVLFLSAVSPDFISGNSLICTVLGAFFLFSGYFIPKEIIPKYWMFMYYVSLYRYPLDFLLVNEYWSVRDKCFSTDVHDHSNCLQRGIDVLRSRGLDKDTRWMNVGIMFGFFVFYRVLCWIILARRVSKTTI >KZM86688 pep chromosome:ASM162521v1:7:5734126:5749863:1 gene:DCAR_023822 transcript:KZM86688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKMKNSCFISSFFFLSVFYLLILIDRSAASSSINLLGVSPQDLSYYSGSSSVIKCKDGSKKINKSQLNDDFCDCPADGTDEPGTSACPNGKFYCKNAGHIPVTLYSSRVNDGICDCCDGSDEYDGKVMCPNTCWEAGKMARDRLKKKITTYSEGVTLRRKEIEQSKLAAEKDAAELTKLKNEEKILKGLVQQLQDRKEQIEKAEEKERLQKENERLQKEKEEKEKKDLEDKESDNNAPDTGNTGGVTTESTDDDHIGLVEDSPPLEDVTVHGKSVDEHQHSDDNEEARSSSEGEHTATEEASAVAPEAGIDTASKGDDASDNTESLSKEELGRIVGSRWTGKKSDQESAEADSAADDEDHEEQTKNIEDEEYNSYESETEDEHSTYDDEEADDHVEDLEEDASEPTSSSYKYETDDDSELTDISSRSNPSWLEKIQNTVRNILQAVNLFQTPVDKSEAARVRKEYDELNAKLSKIQSRISSLTQKVKHDFGSEKEFYSLYGQCLEMKENKYVYKVCPFKQATQEEGHGSTRLGSWDKFEDSYRIMRFSNGDKCWNGPDRSLTVRYEALLSTPVVCLEEKLKELQDKLALMNKEQPQGHDEL >KZM88070 pep chromosome:ASM162521v1:7:24146180:24149847:1 gene:DCAR_025145 transcript:KZM88070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDLKLHYPPDSLPLSAITTAKLAGIPISVHSDLSSPSPPALLLPTGVKLQGINAILRYLGRISKNVQGLYKRDDLESSQIDEWLDYAPLFASGSEFEGACKFVDGYLLHRTFLVGYSLSIADLAIWSGLAVTGRRWESLKDSKKYPNLGRWFNSISAEYGALNEVTAQYAGKKGSGKPTTKSVEKEQKGSNALANGDVGKVGSRSTNEVDLPNAEGGKVCLRFAPEPSGYLHIGHSKAALLNQYFADRYHGKIIIRFDDTNPAKESNEFVDNLLIDIKTLGINYKDVTYTSDYFPQLMEMAEKLIREKKAYVDDTPREQMQKERMDGIESRCRNHSVEENIKLWKEMIAGSERGLMCCVRGKLDMQDPNKSLRDPVYYRCNPMAHHRIGNKYKIYPTYDFACPFVDSIEGITHALRSSEYHDRNAQYYRIQNDMGLREVYIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLQIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVSAERVLLMLKDGPDDPYVRIIPRHKKYPGAGDKATTYTKSVWLDLADAKCISAGEEITLMDWGNAIVKEISKDEDGSIKHLTGVLNLAGSVKTTKLKLTWLPDTNELVPLSLMEFDYLITKKKLDEDEDFVDVLNPCTKKESAAYGDSNMRNLKKGEILQLERKGYFRCDVPFVRPSKPIVLFAIPDGRQQTK >KZM87435 pep chromosome:ASM162521v1:7:16380389:16380742:1 gene:DCAR_024569 transcript:KZM87435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSTHLLMMCATLSTVTTLFFISPAVDATTGVHSLLTSLPATNPTATTVCDASMTEYDYEITRRILAPKTKYISYGALDKNTVPCSKRGASYYNCQAGAQANPYSRGCKAITRCRH >KZM88188 pep chromosome:ASM162521v1:7:25303212:25305558:1 gene:DCAR_025263 transcript:KZM88188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEESSTPKLLMLSPRLSPNPPGLSTPPHQTSASVPFLWEEEPGKPRPCTALVTLPHHKCLELPPRLQPLEHSRLITKTPSPTTVLDGPYVAKSVAYSSSFRIFSRSKRYDSFDYRSSGRFSPERVQIGALFGNEKKGRKGLFGHSKGQMHKSKKVLGTKLEFCEEGSSVISPSWDDVSQFAEEEDEKEGDNSGTPIKVKMTNLSHAKSHFWMTMREGIKHAIPWKSRKSKKQVHIV >KZM86326 pep chromosome:ASM162521v1:7:1715660:1716932:-1 gene:DCAR_023460 transcript:KZM86326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDSEEDSVKTGSLTKKKKKNSMTSWPRLWTKKSDAKTVPVDVSVSGSLDPVSKSHEIEVERKNIGSSKKYRLPIGVNALSNYKKRLGTVKEIILERREINSLNSLAKDEKNDKKKSSRKKEPTKKTRPSKSSSPPENINPGSVTASTARVAQTSALPPQKKAKLTTRQKSNDNIVNHEKDSKLSAILCTSAWFYMIPRLRAAVDSNVTVDNVLEYSTVPDLNSDEHKKKVVLEGLLQRNQRNIIRIF >KZM88507 pep chromosome:ASM162521v1:7:28420627:28426485:-1 gene:DCAR_025582 transcript:KZM88507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDLGSIDSFGLPVSESQCKKRKFVLNDTDASIVGKLPCSMDASLPTLLSCDYFMEPCLSEMATQELLNPGYCRRVRDFTVGRSGYGRVKFTGETDVRWLDLDHLVKFSRHELVVYEDESSKPVVGQGLNKEAEVTLVVQIRYRKDGLIAFVKKLRLITERQGAEFISFDPSNGEWKFLVHHFSRFGLDEDDEEDITMDDAAPEVEEPHEISGGEVYGADDKNAIIDPTLLSHSLPSHLGLDPVKMRDMRMMMFSAEDEDEYSEEMNGSMSHQKQFFHNQSKRSPLKQASRRTVHRPSPPAIRKTPLALLEYNPGNFESSPPGSILMAQQNKGLSLKPTKLDGFKLDMKHDSPVTASHSRNVVDASLFMGRSFGVGWGPNGILVHAGAPVGNSNSREISSVVNLEKVAFDKVVRDENNQVSDDLIDFCFDSPLKFHMELKHETKEIGTGSCKLKLQKLVCDPLLLSDTCRGYIGITEEQLEVPGLTSYARVILMHQVQVWELIKVLFSLKESRARSNVLEDSQDDTMQDRKDSDQDIDQEALELIRRAEFSYWLQESVCHRVQEELSSSDESNDLQQIFLLLTGRQLDAAVELSASRGDVRLACLLSQAGGSTVNRSDIFKQLEIWRNNGLDFNFIETDRTRLFELLAGNIHGALDGLNIDWKRFLGLLMWYNLPPETSLPAIFQTYQKLLNDGMAPDPVPVYIDEGAVEEGMTRDTVERFDIAYYLMLLHASGESKYSVVKTMFSAFASTKDPLDYHIIWHQRAVLQALGTFNSNDLHVLDMGLVSQLLSVGKCHWAIYVVLHMPYRDDFPNLQASVISEILFLYCETWSSQESQIQFIEELGIPPSWMDEALAVYSTYCGDLPKALEHFLRCANWQKAHSVFVTSVAHSLFLSDEHSEVWRLAISMEAHKSEIENWDLGAGIYISFYQLKSSLQEDMDTMNEMDSLESKNEECRNFLSCLNESLTKFGSKLPIDARVAYSKMAEEVSNLLLADNSEGVTCEIQLSCFNTVFSAPIPENLQSNHLHDAVSVFTSYLSEVAS >KZM87803 pep chromosome:ASM162521v1:7:20648506:20654550:1 gene:DCAR_024904 transcript:KZM87803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKRKAAQQKGRMSKRREAIKGTSTIAVADVSNKLSEMNVGENIWQSHAPVSSVRYKNCGTTKSLMAHGKKSLKGKAAQEKGMMSKRREATKDTSTIAVADVSNKLSEMNVGENIWQSHASVSSVRYKNCGTTKSVMAGKKSARMMAHDKISAGAMARGEKSIKKSKSYGIVSGVMPVEAEKSPLNGGTFTKEDNSTKPLQNKKNKSAISKLLENFPVENSTCSLAQIRATFYPKFENEKSDQEIRTRMIDMVSKGLATLEVSVKHSGSLFMYAGCEGGAYAKNSFGNIYTAVGVFVLGRTFQEAWGSRASKKQSKFNSYLERKRMCISMELVTAVLGDHGQRPQEDYGKGGGRGGRR >KZM87386 pep chromosome:ASM162521v1:7:15386858:15387535:1 gene:DCAR_024520 transcript:KZM87386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNISILSSTWDEKNHHLSSKSYIKKKLRLFGTEVEFCKYIHSTPDAGPDGSANSSSTSSSERQMVHVGKCATSDEGKKFECQYCSKEFNNSQALGGHQNAHKKERMKKKRLQLEARNASMRRYLEPFQNISSFGYHGSAPLFYDTSYNVPTITFSDSDSHICFTPYDQNSVSKCYSVPENLPYHKDFCKFTPNHTDHSVAIQSPRFSTSELNYLSLDLHLGFS >KZM87136 pep chromosome:ASM162521v1:7:11372576:11372896:-1 gene:DCAR_024270 transcript:KZM87136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDELEACPPTDDISTNVRVGGHPSEFNVENIGAYPLPAPGPINVPPSVEELEACPPTDDVSTNLRSGGPPSEFNVKREGAYPLPGPIIPTSIEELDACPPSDN >KZM88675 pep chromosome:ASM162521v1:7:30286656:30292541:1 gene:DCAR_025750 transcript:KZM88675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASSENCNVKVAVHIRPLIGDEKLQRCRECVAVTPGKPQLHIGTRSFTFDYIYGEKGSPPASMFKDCIAPLVDGLFQGYNGTVLAYGQTGSGKTYTMGTGLREQGQTGLIPQVMTALFDKIDKLKHQAEYQLHVSFIEILKEEVKDLLDSTSLGKYDTSHAISRHVTKVTVPGKAPIQIREASNGVITLAGSTEISVSTLNDMAACLEQGLLNRATGSTNMNTESSRSHAIFTITLEQMCKLPPVNCDEINLRGDMDGDYLCAKLHLVDLAGSERAKRTGSDGLRMLEGIHINKGLLALGNVISALGDEKKRKDGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETSNTLKYANRARNIQNKPIVNREMFSGEMHTLRKQIEYLQEELTISRKRDSSDEVQALKEHISWLETTNRDLSQKLHEYHSREGDPEQFEQESPEGCSYIVKKEGLKRGLQSMNSSYPMDESREGTNHRRTEDFAVKEWEHTLIQNTMGTELNELNKRLEQKEVLILYALF >KZM88131 pep chromosome:ASM162521v1:7:24819565:24820647:-1 gene:DCAR_025206 transcript:KZM88131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCNKHAEHDWASLPLLPLWMIKDKLDMFDNLSLSSVCRDWHSMSSSYPKRHTIGDGMPWIMQLNRSILSGARDFVSISRKKKFTLDLPEFVNALLLHSKQGWLLMLRKNLTKERKSYPDAESLFLINPFTRAKIGMPAVVLAYAPQECHGSFSTRNGNPERVVLLTGCFSGQMTLSIAFPGDLSWTKLSFFGQPMQIEGRCGLITIEEKVYYLNLMENMIIYDIGTKEWKELPGLGNENGDMYIMEYGEKIINLFFSTSEHTSHSFYSYNDTEFTWERIKIDDLKETSWYLSAKTSCFSAKDKDLKVNQLVPKYRGLIRGAPMTYGYDIVSHDLINGGRQTLELPWQINSSAKWVDIG >KZM89280 pep chromosome:ASM162521v1:7:35603971:35608379:-1 gene:DCAR_026355 transcript:KZM89280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSEGLNNHPGVTKPLSLAGPSEADYVRNKELEKFLEDAGLHETIEEALKRQQVLARIREIVIVWVKQLTRLRGYTDQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFFVLHNMLEEIEEVTELQPVPDAHVPVMKFKFDGISIDLLYASVSLLVVPDDLDISDVSILYNVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKYWAKKRGVYSNVTGFLGGVNWALLVARVSQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFSIWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFQFGNKICEEIELNKSQWSALFEPYLFFESYKNYLEVDIVAADGDDLRAWKGWVESRLRQLTLMIERDTYGKLQCHPYPHEYADSSKQCSHSAFFMGLQRKEGEVIQEGQQFDIRGTVDEFRHSVNMYVFWKPGMEISVSHVRRRQLPSYVFPEGYKRSRPPRQHHEQSSPVHEGCRSGSVGRQQRKRRDFDSVNVQGSPEKRQCSASPQKRDSFSPEIISHVDRDVSKECTSPDTQRTDERAGISGTDGTQKVEGDSVVVVGHVESEQDIMSRGVVDDGCVSNSSVVTSITCEVGSLVDTGPASRSGSSEGNPDSVGCSNSRVSSQGDSCEADSETLMNDGCAIDGKVSESGSNDELEHGPALQRAIGSGDIAV >KZM88209 pep chromosome:ASM162521v1:7:25493127:25493321:1 gene:DCAR_025284 transcript:KZM88209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGVGLYGSTEPTLNFATLVNQSYPVALEIILYIGFFIAFAVKSPIIPLHTWLPDGVLHIKI >KZM87071 pep chromosome:ASM162521v1:7:10727452:10729040:1 gene:DCAR_024205 transcript:KZM87071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLSDVGWFFGSHSKEKNVCQSQNSVAFGGGSFAVSSRVRRCNMSTMVKSSQSENNMTVVIGEKAKSLAETWKEIHGENDWEAMLDPIEPLMKSELIRYGDMAQACYDAFDQDPGSKYCGGCQFKPDMFFEDLGLSKFGYDVTAYLYSAYNINFPIFYSKSLWPNSWSSSANWSGYVAVSNDETSASLGRRDITIAWRGTVVKREWLADMMDFLRPVTQREIDSRDPSIRVEAGFLQLYTDKDERCKFSEYSAREQIIAELSRLIQKYENEEMSITITGHSLGSALAILSAYDIAEAGIDLTKDGRDIPLCVFSFSGPRVGNARFKERLEWLGVKVLRVVNIHDKVPYMPGIFFNEHVSPIMRKVGELLPWCYSHVGEELALDHENSPFLKKTNDPRCCHNLEALLHLVDGYHGKGKKFWRSTGRNIALVNKSADFLKDYLSIPPNWWGTQNIEPNRNNMENVTGET >KZM87197 pep chromosome:ASM162521v1:7:12302404:12317559:1 gene:DCAR_024331 transcript:KZM87197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVTSEQTEANEWYHKRCITLAGDAHGCGRSDRRLITANRRGLKVPPFLNKVYELVDDPKTNAIISWTADGTSFTVWDHHMFTKNILPLYFRHDNFSSFVYQLNNYGFKKTSWDNWEYENKWFQQGKDDLLVNIRRKNQSSSVAKRNRARGLNSSDNLGYEAPSETENSEKNQMKLEVQELVRKQEIMEKELGTVKEQIESLFKQQKIVISMFSAILPQFGQHLHQNAIGQGVMEDAKLEKEIAEKNQYENGMELVVADHYTSQQNSLFDSGNLVEDADKCSFLEKLMAMDENQELQGELANQQSSIVMELEGLMTEAEFGGTARRQPQHLHQNAIGQGVMEDAKLEKEIAEKNQYENGMELVVADHYTSQQNSLFDSGNLVEDADKCSFLEKLMAMDENQELQGELANQQSSIVMELEGLMTEAEFGGYIEFMSQWLEANSNLHDDLDFDDDFQPPFPDDDDHTPTHTPPIPQSQPPTRTDSNKRPQSPPPIPTIPDNFASEEASRKRSRIEPQVVVDEIPANTSANPAAAVAVDDDEDWLPPPVHQVPQPIEEDEVPEETIVSRYASRIDGDFVPVTGPCGDRVYAKISRFGFDDSTKKNKLHSNEYSNGLIAEPVSLLMQRVEQDAVQKALLESFGPEGDVILPKVPVINEKLWVDKYSPNSFMELLSDEQTNREVLLWLKQWDSCVFGSEIKTTTEDVLSSLRRHTSVAQHQKANKSYIGKNKETWQNKENFREYKMLDQENNDSKGTQDLGNRNRKGYATPEQKILLLCGPPGLGKTTLAHVAARHCGYRVVEINASDDRSSSTIEAKILDVVQMNSIMADSKPKCLVIDEIDGALGDGKGAVDVILKMVSADKKFNTGKEQTHEDQSGKGSSKKKSRDTSLLRPVICICNDLYAPALKSLRQVAKVHVFVQPTVSRIVNRLKYICSKEGMKASSIALTALAEYTECDIRSCLNTLQFLNRKKETLNMIEISSQVVGRKDTSKSFFDIWKEIFQKKRVKQERKASSGCKNVWNEFEYLHSLISNRGDYDLVMDGIHENILKLHYHDPMMKKTVKCMQNLEVSDIVHQYIMRTQKMSLHVYQPAIAISIHGVIAQVEKPNIEWPKSFQRFRTTLMEKVDLLHLWHHNITPYISRHLTIKSFVEDSISLLLHILSPPTLRPVALHLLSEKEKKDLVQLVNTMVSYSVTYKSIKPNLLSSNLRHEVGVDASVLSFDPPLVDLIRFKDYTSSHFVLALAMKQVLLHEVEKQKILQAGINRSVHLTDVCKENKNLAMDKNGINRSTHLTDVCKKNQTMEMDKNGRIQSSRSHSVSVKKAVDVLNPSEARQCEKAAPPVSSNLSSSVNSSVAIKLSSTVSTKKPSRGNFNFFERFKKVSSEGSQATDTVQRRPATSERDSRPFLFKFNEVHNYCSSSLPNSPGHSSLLGKK >KZM88225 pep chromosome:ASM162521v1:7:25783390:25785749:-1 gene:DCAR_025300 transcript:KZM88225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKELIQLFQTVEKAAAAAENDAVGGSSAEEDRCIDVLNQLEKCPVNYDVLVSTQVGKRLRHLTKHPRKKIQALASQLIETWKNIVVEETLKKNRMGSMDSKVSLNSQPVSAGTSGGIRVANVETLKIEKNDSNVASRTENFVEYESNSDEDRIEIFDDVRIQEIASGKRETVVTVASKGKQNVDAMKSTQLMSSAPKLSTLIRCNDPVRDKIRELLLQALNKVSGEIEERQRGELDACDPIRVAVCLESAMFEKWGRSTGAQKVKYRSILFNIGDSSNPDFRRKVLLGHVQPEKVLEMKPEEMASHDRQRQNKQIKDKALFECERGKQAEATTNSFKCGRCGKRKCTYYQLQTRSADEPMTTFVTCVNCNKRWKFC >KZM86405 pep chromosome:ASM162521v1:7:2546719:2547918:-1 gene:DCAR_023539 transcript:KZM86405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKRASGMFKDQYSLWLTTLSRKTEHRNPEIEAAVIKATNHDEFSVDYRDLERVFAYVRVSHANIKPLVWAITARMEKTQSWVVALKGLMLMHGIFCCKVPAVQRIGRLPFDFSTFKDRNSRNNEVIWGYNEFIRAYYSFLDQKSHFIFLHAQEKRRSMKLPKIGENRKPIPSSNATTLMQDLVSLKSMQGLLESLLQTRPETYSLHFPKKVSPLILEALDCIVIEIFDIYSRIRRATSMVLTKIYESAGTAEVTLALKIMRTAESQQEQLSSYFNFCKSMGVLNFKNSPTLKPVSEDIRKLEHMLAKFDLDQKMSMSLVVADQENDRVTSEWQVFDDSEDITRSHLKTIITSEWQVFDDDYSSTNPFLSSGQAFLALEPPQSRKVADELPDLISFD >KZM87657 pep chromosome:ASM162521v1:7:18951448:18952014:-1 gene:DCAR_024758 transcript:KZM87657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKDSSIQVLPPPPRSPPVMIDLIVSSPVRTKTVDPVDTTLVMEEPVTSVYDTLPELTQVPTPSAPLTTPMPKLTTVGEDDYIMIDLDRHFHPTLPEITALIPSVPDFALLEYETVTPAVIGATIEQMPSPIHATIVPENHVPLAAISSMLGSSGTETPICDTLRQCPLYRYLLLPRVWENCSHPFL >KZM87850 pep chromosome:ASM162521v1:7:21202560:21204954:-1 gene:DCAR_024951 transcript:KZM87850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRWKKYGYHRLTFMERHCPPPFDRKECLVPPPDGYKSPIRWPKSKNECWYRNVPYDWINKRKSNQNWLRKEGKKFLFPGGGTMFPNGVGAYVDLMQDLIPQMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTLEEQKSDYDKLQELLTSMCFKLFNQKDDIAVWQKTTDNDCYKRLAALDTYPRKCDDGTEPDSAWYTPLRSCVVVPNKNVKKLILSAIPKWPQRLHVASTRVYDVRRSNENTFHHDDSKWKKRVKHYKQLLPAIGTDEIRNVIDMNTIYGGLAAALIDDPLWVMNVVSSYAKNTLAVVFDRGLIGTYHDWCEAFSTYPRTYDLLHADGLFPSESHRCDMKYVLLEMDRILRPNGYAIIRESSFFVDPIETIAKGLRWNCRKEATGDGGAKDKLLICQKSLWYFKKSS >KZM87466 pep chromosome:ASM162521v1:7:16645893:16647190:-1 gene:DCAR_024600 transcript:KZM87466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWDMASQEDELMKPLAVINLRVKVHEDESVLQASKLVRHEGFGRLPKYRKIVPSLLLLRLATEKFICQNKCAIVWCH >KZM88797 pep chromosome:ASM162521v1:7:31380084:31384932:1 gene:DCAR_025872 transcript:KZM88797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSFEEIASLPQPSNIHQLYAEPPAQNPAPAEPAQAQPPVAPPQPPAPSKPECKYKNYLQEYTQREGLLLPSYHTVWDGASHSPMFRSTVVVNGVTYSSPNVFPTRKAAEMDVAGIALRGLLQLQQAEDCSIDKEELTLCKAILKEYADKINVGNPIYKTEQGDGSSAFTSSLTFNGKEYVGEAGRNKKESQHLAARAVIMSVLDTDARGVISKIIKSKRRMVLPAKGNVSNPFNAQNVGNPAGIQTISNVVCSAIKENEPVVVNDNMVKTATQEPCIENSSSALAEPISLVEASATLNLEQLLGGSTSSKKRKRKNKKADKNVESDAQ >KZM86474 pep chromosome:ASM162521v1:7:3134806:3136736:1 gene:DCAR_023608 transcript:KZM86474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAHFLAKENGVVAGIALAEMIFSEVDPSLKVEWSKKDGDNVSKGLQFGKVHGRAHNIVVAERVVLNFMQRMSGIATLTKAMADAAKPACILETRKTAPGLRLVDKWAVLIGGGKNHRMGLFDMVMIKDNHISVAGGVANSLKSVDQYLEQNNLQMGVEIETRTLEEIAEVLNYASFTKTSLTRIMLDNMVVPLPDGDVDVTMLEAAVRLVAGRFDTEAGVIEIWLVANLNI >KZM86729 pep chromosome:ASM162521v1:7:6259767:6265784:1 gene:DCAR_023863 transcript:KZM86729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPVSLSAGKDRILLKGAFAVTGIMSTLVIYGLLQEKIMRVPYGPEKEYFKYSLFLVFCNRVVTSAVSAVTLLASNKTLDPVAPVYTYCVVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWSTVIMQKKYKGQEYFFALLVTLGCALFILYPSSGDISPYSRGRESTVWGVSLMVGYLGLDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSFIGLIVQNHLLMAVDFIYRHNDCLLDIAFLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCLWFGHPLSWEQFIGAVIVFTALYARAFLRDKPRTPLPLKDEESAESVPLKVNS >KZM88902 pep chromosome:ASM162521v1:7:32175302:32178244:1 gene:DCAR_025977 transcript:KZM88902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSALITSIFTYKPHNSTILRRIKLHRPLCTSPFTTLISSKSLGYTATTSSGPPKIGPFSLHFSRNLSQTRPTSLLKKNEDGPSLHHFISQAALASTEPQIAPLPVSASEVLPLGRIYHETYGCQMNVNDMEIVLSVMKNAGYNEIVEAPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVATGRSESLRPPKVVVLGCMAERLKEKILDAEKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADISPVRISKNSVTAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVREVGELWKEGVKEVTILGQNVNSYNDASVIEDAEPGTSWKLSEGFSTIAKVKKVGLRFADLLERLSTEYPEMRFRYTSPHPKDFPDELLYIMRDRYNICKNIHLPAQTGSTTVLERMRRGYTREAYLDLVQKIRRIIPDVGLSSDFICGFCGETEEEHRDTLSLVKAVGYEMAYMFAYSMREKTHAHRNYEDDVPDDVKQRRLAELIDTFRASTGKCFESQIGTVQLVLVEGPNKRAPDTELIGKSDRGHRVIFTKMPVPDRVDDSGKRDPRIGDYVEVSIQRSTRASLFGEALAVTKLSTFYNIAHEEVAACAN >KZM89076 pep chromosome:ASM162521v1:7:33617680:33618963:1 gene:DCAR_026151 transcript:KZM89076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHFTILTISHALLLLFPLALSYGHSNACRSYCGNLTIDHPFALRSGCGHPGFRDLLFCINDVLMFHISSGSYRVLDIDYAYHSVTLHDPHMSTCDTIELGSHGNGFAVEKWRAPYLNPTADNVFLLLGCSAQSSLFQGFPGKHLPCRNVSGMGCEEYYRCPAWSQIGLNRVGATYGTGPPECCAVQFESIKAVNLSRLDCQGYSSAYNLAPLRVDGPGQWSYGIRVRYSVQANSDSYCKACESTGGSCGYDLDGFNELCMCGTWNSTSNCDTVASAEKSSSSREMSSLVSALAGCLVLIAVLMSTEVRNSEI >KZM86159 pep chromosome:ASM162521v1:7:210893:211272:1 gene:DCAR_023293 transcript:KZM86159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDNRSRDDRKASSAAPSGGNKVVIKIADMKEDMQLQAIDIAIAAYEKHGVEKDVAEYIKKEFDNKFGPTWHCIVGKNFGLSSLPIVDLSLYNCVLCLH >KZM88230 pep chromosome:ASM162521v1:7:25813266:25815972:-1 gene:DCAR_025305 transcript:KZM88230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSREIDPLLKDLSERKQSFRHNVVMLAAELKEVRGRLASQEQSFVRENITRQEAEMRVKDMEEEISRLHKNLDERNGQYQEAAFTAEKYLKDVDDLNLKLSATQATADANAALAQSAQMQCLALLKEIDEKNNALKEHEAHVNKLGEQLDLLQKDLQAREISQKQLIDEVLRMEHDIMQAMANAGGSSDSELRRILDEVSPKNFNNINKLLNAKDEEIMKLRTEIRVMSAHWKLKTKDLESQLEKHRRADQELKKRVLKLEFCLQEARAQTRKLQRTGERRDKALKELRDQLATKEQPGVSSDGKQNIWESSGFKIVISMSMLILVVFSKR >KZM88302 pep chromosome:ASM162521v1:7:26613265:26616057:1 gene:DCAR_025377 transcript:KZM88302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQLRRFRQISHFLPYYFPAYGFVPSDIENQLGGYVNSQWVYLKRTLFDALKSVAWRKGIARRAPDTPTKDCILSSKEPTEHELVLSGNSKKSMFQHLGAGIAMMIFRLHRALSQQDKLDSCIAATPKIVISMSLVTCTKMMLSLWPQLLFPDAGSEEATGLVIRFIISRTGDKAKVSELVKEVAKFDDFMLLDIKEEFSFFKAAYALYVSEFYVKAGADIYLRPG >KZM86455 pep chromosome:ASM162521v1:7:2954758:2962597:-1 gene:DCAR_023589 transcript:KZM86455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKVKVFTQPHYLHNFVQSTFNALSAEKVKGATLVVSGDGRYYSKDAIQIIIKMAAANGVRRVWVGQNGLLSTPAVSAVIRERVGADDFGIKYNMENGGPAPEGITDKIYENTKTIKEYLIAEGLPDVDISVTGITNFEGPDGQFDVDVFDSASDYVKLMKSIFDFQSIQKLIASPQFSFCYDALHGVAGAYAKRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSQAEQEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVQSIPYFSAGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSIIAYKNKENLGGGKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMAYLVKLQSSLGDVNKIVKEIRSDVSNVANADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEQDSSKIGRDSSEALAPLVDVALKLSKMQEFTGRSAPTVIT >KZM88622 pep chromosome:ASM162521v1:7:29759577:29765107:-1 gene:DCAR_025697 transcript:KZM88622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSTSRYVKFWDVRILHTILFHRALGLVRPKDVDLQLFEITYVQCADAELEKKIDEKIGQFIDRVDKHPNKKTQICLSFYEVKSKQATWFTNKVERLHWEQWYINLNVAQLPKAHSGKSQHSKLVVDPGEVALEERDVRRAALEASLREVLFQIIKFVNEKRDHVPPIPNVEGVSFPYEITISRTGM >KZM87711 pep chromosome:ASM162521v1:7:19639736:19640254:1 gene:DCAR_024812 transcript:KZM87711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLALLATLLVSAAFSDISASKEIQNESSKTKVIDVVVEGMVYCQSCNKLGSWSLTGAKPLSGAKVGVICENSMNRVSYYKTFQTDSQGYFYAELDGYKMNHSLLDHPLQACHVKLISSPLASCDVLTNVNYGINGASLHYENKRLVYENMKYEALIYASAPLAFRPAQCT >KZM86430 pep chromosome:ASM162521v1:7:2762455:2766339:1 gene:DCAR_023564 transcript:KZM86430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPANTATETLKFCIFDLRRGQHEGEELDKILFFYPADMPFSAQLSVIGLSEGLITFTRIFSPEAACEVIEAEMHSHVFYEAEPDIWMVLIVEKSKETDAIWRIDALRGILKEVHSLFMMFHGSIRALLDKEPSGGIVRKHLYSFVMDYLDDFLIGKKIQLPNFRNTLKERGTVQMLTVSREAAMESLVRLLESCLGSASCHSLILFQDLLVSTTLSPDDTVNLYTYALLRLSPAFSSGSSTWSYLRKGKATSHTTGAVSMLANAGSGLDQSHGSRDSHPTGDSNHDITRPLQPNKWARGKDGFLVTDIRGSYVNNMVHTTPAIWLQQTEEKMYILAYQHKSLTVILLIPLMFIMDGEQGISMVKQQVLENASAKIFKVEEKLSKGWAGENAYHVSGYRYLLVDGDRSTSRASPPGKVTTLTKESLVAASRLREEVDLEKGRTKWDNPDLEKELEICIRAKNNAWVIARVTRGKELYIVFEKANETLLYASDAVEKFSNKYCNGAFSLE >KZM88646 pep chromosome:ASM162521v1:7:29923356:29923619:-1 gene:DCAR_025721 transcript:KZM88646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKINLSVLLLVLIVSNELIICVEARDLKAKDCRKCSRHNRRHHHHHRAKSSYTVNEEIDSKMDFVNDFRPTSPGHSPGIGHSVHN >KZM87090 pep chromosome:ASM162521v1:7:10856193:10862497:-1 gene:DCAR_024224 transcript:KZM87090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRARKEMDPLEFNAESLMEIKPVKNIKLEKSQRMHAWIPTSRNDEMARVMHIRKIYKIRNFTVQFHKPTDKFRCLRNDKQIVFTKDTTVQDMAENEVMIPLDYFDFYEHSQLKELSEQTTYLTDVVGIIKRHEDFRDLENKHGQKQKQSKLVITDGRSNVNITFWDSFGIKFETDMKQVVQKPVIIIISCCRVGKWDGEIDISNVPATRIYLNYKHHVVDQLRKMLANPDFVKQALAEKKKMEILLMKIEDIKKLGKEYIDNKVLTHIRITSVEHTTNWCYTACSGCWKEIKLENSIPVCEPCNRFVPYPEIRYRISVKAEDATGEVQVILGDREVRTLILKRARQLLEEHAGSGDMPQCLKTLAGKDYSVVLNIKEMNISKSFHVYWASNICNGFIRWGEKNRTVDQENTTSTQNQPTTSTNTGQTTTSTYTGQANLRPHTTNAWRIKVRVSRMWRTLNRHGETVALHLILIDELGGRIHASIPPQNIDQLEIHLNEGGTYNVHNFVVRPYSAMQTERCFQNDIYIQMYHMTEVFVTGGVDYIPAHIFQFTDLSAIINAALQNIFLIDVVGILRQFQPIRNFKNKYNQEQSCIRFTINDMHTSAEVTFYNELAHSFHQAIQQADEHPIIVIISSCQSKFIQGEPKLSNLQATRYFLNHNHEAVEDLRNALRFVAKMFLKAQLQWNVIIPAENLDAEGLALQKAIIVRLLGEFSAKKATSTLGYFVAVTTLDKVGEGKVRQHSGDVLFPVTFSCITYKAFAGEILEGEVYKISKKFVLLRCGPLEKIFMEMQGYSYVPGENPVLMSEKSSKIEKGVKLRVMVIRVMYMEAEREFQAFAKLDGDHLGPI >KZM88003 pep chromosome:ASM162521v1:7:23208325:23208944:-1 gene:DCAR_031526 transcript:KZM88003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDEEEHRDHMATCQPMTVSPIIDLSLNPLDLNMLLTEEEDKGQPGDHLAMHVLENNHHSLHIHSPQVISLFSNNHLERLDLKVKLEKATKQEESVETYAKKAINDAHDAQASGKKAIYKAVASTTNCYKICLDNFVVSLGISGEDKSLEDHVSKLVKAIPFHARAPADMAVEVPGQEGDVG >KZM87964 pep chromosome:ASM162521v1:7:22597398:22598690:1 gene:DCAR_025065 transcript:KZM87964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNMRFLVAGASACNLASSKLVVTSFNSTYFVRFNSQSFNITSSWSPMSSVDFCASREAKVKHKWMEYQGVNNWDGLLDPLDEDLKSEILRYGSFVQAAYRSFDFNTSSPGYGSCKFEREMVLTRCGLENCGYKVTKNLRATCGVQMPNWIERAPSWVTTRSSWIGYVAVCDDKDVIARLGRRDVVIAIRGTATCLEWIENLRTTLAPLQKCHVDHQLMVETGFLSLYMSQTDTCPSLRDTLKDEVARIIEMYGDEPLSITITGHSLGAALGTLAAHDINSSLKDLPLITVISFGGPRVGNNCFSKSLETDGIRILRIVNSNDVITKIPGFIINHSSKRNTLVPYGDGMAGWIRKRVHDTKWLYADVGKELRLCCNNPPSFNVGNIATCHDLKTYLELVDGIASSTCPLKDKAKKVLHGTEHEKRLLFR >KZM87644 pep chromosome:ASM162521v1:7:18877120:18881230:-1 gene:DCAR_031919 transcript:KZM87644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSNVEEPKSWDELYTLDLMPSELFLKFRKEIQGFRVGVNMEFYNAPSNEFESKLVLKPLANDRQWKFMYAPLHHDVRLMSKKIPVTNFLNLQVGVGHSFGLNSTGLNWKLSTCLGGDGLSRIRNKTSLGLCPGVDLRFAWKADYVLPEFDGALGTGKPLFNMNSGSLHASLDRVELILTHTTEYVLNNQVPEDVKSQPTGCLDVESS >KZM88878 pep chromosome:ASM162521v1:7:31981252:31984845:1 gene:DCAR_025953 transcript:KZM88878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPKRLSRTNSKAARSGYEPSDTETEWQEETPGDDHDKVNGDEDFQVSNGADQARNFSSLRLGRLTAKFEQEVSSPAKSSKASQGLRRHSKSPYKQRDEGNALPLPPARRTISPMLSRPGSELRKNVSPFARTEVRNNVSPFARTEVRRTASPFAKTDIRKNVSPFAKTEIRNNSPFAKTEHRRHLSPFKLLRENQGFRTDSMGSLNRTQAHREANDHNGRGTDKGNYSHRSASAPRPREKDQHIKYNHAEQKKHGRTPSPLARNPSLKEKEASNKNTPSVGEINEILANVQISKGLVGNAPILDSTDSISPGDIFFSREYGAVTMQKVIFPKNGGFEDGLSPKHQRPAEKMHSPRQRNNTNGSSNLNFQRNSSSNGLTRTSSSSVTSSGVSRQSSNMSDTSERTNGTAMKFAVNRKKKQTDTWFPCISGSCRSKKPPQGKRAFDEASFIEKAFVVESLRQFWADKHQPASLNGFTCRKHEAQLLKKLVDQDICPHILLKGPPGSGKKALTMAYLREIYGDPASNITHERRYFQVQEARSMQVSVPVTSSAHHVELNVHKEPNAKYALMALIKQISSNHSVTPEISTVNFKADYTVMVLYDVDKAAENIQHLIKWIMDCYTDVCKLVLCCEDDFDILDSVKTRCKIIKVDAPVTHEIMEVLLQIALKEGFEISMSFAAKISTKAKQNLRRAIMALEACKAHNYPFAEDQPIPMGWEEVVVDLAAEILADPTPNRLLNVRGKLQRLLVDFVHPKLILQKLIEEFLKVVGAKLKRELYYWHGYYDKRLPIGTTAVLKLEEFVAKFMSIHRKSSSNN >KZM86824 pep chromosome:ASM162521v1:7:7390789:7391364:1 gene:DCAR_023958 transcript:KZM86824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDQGKVWKRSLSSSSKSNSFKLRSPSLNSVRLRRIFDMFDKSSDGMLTADELSQALSILGLDADPSEIDSMISSYVKPGNQGLTFEDFEALHQSLNNAFFADGQEDAPVSEEESQESDLSEAFKVFDEDGDGFISAKELQVVLGKLGFPESRELARVEMMISSVDRNKDGRVDFFEFKDMMRNVMVRSG >KZM88280 pep chromosome:ASM162521v1:7:26437989:26443363:1 gene:DCAR_025355 transcript:KZM88280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIQSFPQLRSSPFNSLPPPSFPTRFAVFRCSIASPSAVVNVSSEDIATKRNNIRLGLPSKGRMATDTLELLKDCQLSVKQVNPRQYVAEIPQIENLEVWFQRPKDVVRKLVSGDLDIGIVGLDTVSEFGQGNEDLIIVHEALGYGDCHLSLAIPQYGIFENINSLRDLAQMPLWTADRPLRVATGFTYLGPKFMKENGLCHVTFSTADGALEAAPAMGTADAIVDLVSSGTTLRENNLKEIEGGVVLESQAVLVASKKSLIQRQGVLDTIHEILERFEAHLRAAGQFTVVANMRGSSAEEVAERVLSQPSLSGLQGPTVSPVFCKRDGKTTAEYYAIVICVPKKSLYKSVQQLRAIGGSGVLVSPLTYIFDEETPRWRELLLKLGL >KZM86504 pep chromosome:ASM162521v1:7:3540159:3541857:-1 gene:DCAR_023638 transcript:KZM86504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYYGGHFIGNAGWEILASLADREKSNAIMDLIEACWAELIGEFTVKACYSAKEVKEWKLLTWCGRKNTRHELSQWQFLARMWSKEYKTELSQWQFLARFIRDSNRHMR >KZM88839 pep chromosome:ASM162521v1:7:31658246:31660664:-1 gene:DCAR_025914 transcript:KZM88839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NSY-2 description:neoxanthin synthase MVNIHLSKKWPVGNELMNMATPALSHFESSCLNDESRLANKSLLAYTRKDRITTYCLNSVETDPFSRHPHSIKTKRWSFKGGSRVITGPNIQRFACYRKSCGVYALWLTNPQIASSAFTLGTAAVLPYYTLMVVAPKSELTKKSIESGIPYVALGLLYGYLLYLSWTPDTMKMMFASEYWLPELSGIAKMFSSEMTLASAWIHLLAVDLFAARQVYQDGLENKIETRHSISLCLLFCPIGIISHVVTKALTKSTE >KZM86772 pep chromosome:ASM162521v1:7:6716277:6716918:-1 gene:DCAR_023906 transcript:KZM86772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFASVHKVFGASNVTKLLNELHPHQREDAVNSLAYQADMRIRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQNHHIGGVGVGVGGGSITSHSLIAAAAAAAAATSHQNLGINLIGNGGTGSPVPYHHHQFFPHQQQVIRTFDGTTMSYDASNLLGMGPMSQFPQPRVAGGDER >KZM86817 pep chromosome:ASM162521v1:7:7339642:7342495:-1 gene:DCAR_023951 transcript:KZM86817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVKANKPRAYFKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIISASIAGDMVLAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDDEYEGNVEGALDGGLDIPHSEKRFAGFSKDSKQLDAEVHHKYIYGGHVAAYMKTLIEDEPEKYQTHFSLYAKKGVDAENIEELYKKVHAAIRADPSIKKIEKQPPKEHKRYNLKKLTYDERKNKLIERLNALNNAAGADEDDEEDD >KZM88758 pep chromosome:ASM162521v1:7:31056415:31058789:1 gene:DCAR_025833 transcript:KZM88758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLWWIRFVKFVGTPEVCFTSLHLLLKPGLLHILASASETCWSSNEALSSISYATFADIRIRSAMPNLSSLRVLATICLADDRDHLKHVLQSQKSLLQREEAMAYARTLVAGFEMEHIDELIFFADAFGTSCLSLDYKITPRSGKSACI >KZM88157 pep chromosome:ASM162521v1:7:25013806:25015134:1 gene:DCAR_025232 transcript:KZM88157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMDDDKKYITIEELEKHNKPDDLWISIQGKVYNVTQWAKVHPGGEVALLNMGGQDVTDAFIAFHPSTIWRRLETFFNGYHIKDYKVSDVSKDYRKLSAELVKAGMFENKGHLVIYNLCFVSLMLYGCVYGVLKSDSFGVHMLSAALLGFSWIQVAYLGHDSGHYQAMATRGWNKMAGILIGNCVTGISIAWWKWTHNAHHIACNSLDYDPDLQHLPMLAVSDTFFWSITSKFYERKLTFDRVAKFFISYQHLTYYPVMCVARVNLYLQTLLLLCSKRKIADRGLNILGTIIFWTWFPLLVSCLPNWTERVMFVLVSFCVCAIQHVQFTLNHFAGDTYLGPPKGTNWFEKQSGGTINISCYPWMDWFYGGLQFQLEHHLFPRLPRRQLRNVSPLVKELCKKHNMPYRSLSFYEANVTTLKTLKSAALQARGLLWEAVNTHG >KZM87649 pep chromosome:ASM162521v1:7:18925889:18926420:1 gene:DCAR_031916 transcript:KZM87649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSMPSSTADTWCISKDLNLVCKNQSPNFSRQPKITTSEHYLKQSHNMAIVRQELKATNKVAGIDTYQSLIIIDALQRLGIDYHFKDEIEQVLERLYMAISPCFFNKNLCFASLCFRLLRQQHYHVHAGVCFFQKFW >KZM88344 pep chromosome:ASM162521v1:7:27009412:27011979:-1 gene:DCAR_025419 transcript:KZM88344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFSLYLLFLLLPLFFAISSAVLPNYIPTDNILLNCGASSKIASSNGRQWDTDSAYISNTSTTSSTQSEPTPSIDQIPYSTARVFPSKFTYKIPVSAAGQKFLRLYFYPTNYSQSLDMTKSFFSVHTANHSLLSNFSVSLNLQKDLPHLVKEYIITVDESRSLEVTFTPNPKSFAFVNGIEVVSIPDKLYSEGIDDSKHVIKFLGDQDGFPIDDSIALENLYRLNVGETGDISSTDDTGMFRSWDRDEPYLLGSLGLTPTLKIPIKYTSDTPPYTAPEIVYYSARTINLESYGSKLTWVFTVDSGFYYLLRLHFCEFLREIIDSNYRVFYIFINNQTAEEQADVIKWTGGRGIMVYKDYVAYVSDNLDGSNSKTDLWLALYPNMEDNPHYKNAILNGLEIFKLSKGDTLAAPNPESLEPALPPETVKSRHVQKRGPLVSICIGGSLGGLILFSLILVGFLLHKRRTPRSQDIDHKSRSTTNNISSFPSVRSKKFSLEEIKLATGNFDDNFIIGRGGFGNVYKGYLENGVCTVAIKRLNPSSRQGFHEFQTEIKMLSNLRHLHLVPLIGYSNDGAEMILVYDYMVHGTLSSHLYGKNNHPLSWKQRLQICIGAAKGLHYLHSGVERMIIHRDVKSTNILLDENMVAKVSDFGLSRVGPRDPTVTHISTIVKGSFGHLDPEYYKRKHITCKSDVYSFGVVLFEVLCARPAIMPELPMEEVNLAYWARNCYREGNLVEIVDKNLIGEIAVESLNKFGEVGYSCLREQGTDRPSMSDVLWNLEFALQLQESWERLDQDVHSNLGDQNVHLLSDARDYIAKIYHKLFSSTSSVTSGARSGRFKSGIGSVFSEIFNMKAR >KZM87001 pep chromosome:ASM162521v1:7:9662925:9664225:-1 gene:DCAR_024135 transcript:KZM87001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKKRRRAVEEPVTSENRTPLLFDVSDSVMLDKENIITPQGLHGGIRPLMKRRPLAALNDVSAININKKKQMSEVGKPQHSTNVDMAPMRQFVPNTPLRDVSARNIVRDKLIKNQSNHSTPNSHSAELRKSRLKNTLRKGMSDETPKSILSDVFNSIGSSSTNGEKTAMKKCFQNTPQILNFDEPPLHANPSDNLEEAEYARIRGIVDESPDLYEHDGRLA >KZM87677 pep chromosome:ASM162521v1:7:19306023:19306334:1 gene:DCAR_024778 transcript:KZM87677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNGDESHSQSQDIPSSHVAASRSIVMHKFRLYQTYSHQNIEHKLDSLFELLYVW >KZM86276 pep chromosome:ASM162521v1:7:1182102:1185468:-1 gene:DCAR_023410 transcript:KZM86276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFAWKKKENELKKEMQVGRYELGRTLGEGNFGKVKYAKNLDSGQSFAVKILEKARIVDQRITDQIKREIGTLKLLRHPNVVRLHEVIASKTKIYMVLEYVNGGELFERIVFKGTVNFPKGLSPGAKNLIRRILDPNPRTRITIDEIKQDQWFRRRYTPVYPVQDKEGICINDEAFTIHESSLAEKDADSSTFINAFRLISMASSLDLSGFFEKEDVSERTIRFTSHHSPKELMEGIEDIVTQMGFLIRKRSGQLKVVHLHKDHNSPVSLSVAAEVFDISPSLYLVEVRRTCGDAKVYRQLCEKLSHDLGVPRSQELLVTEF >KZM87993 pep chromosome:ASM162521v1:7:23043000:23046392:1 gene:DCAR_025094 transcript:KZM87993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCNPRESDYEVAELTWENGHLSMNGLGQPRQPSTTKYTWDKTCVGGTLESIVDQATFVPNHKLLSNSNTDLVPWFNNHKSMLASTNNTATTKVTASTGVVDALVPCANMREGSSLLAMDSGFGTHVESCSGATVPFEKVRGPRVRVPVANEWSSCPDNSVSESATFCKDSRQVTADTSEKEFGAGGFTSTSTGSPGNTSSGKQCTGGEDHDSVCHSKRQKATVHEQTKKKANGKSSVSAKRSRAAAVHNQSERKRRDRINQRMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVSMMNRMNMSPMMLPFAMQQQLQMQMMAPMMGMGMGMGMGMGHVMDLSTAMACRSNMAGVAPAVLHPSTFISPLNAWEGGRADHRVHTQASVMQDPMATFLAACQSQPMTMDAYSRMAALYQQLHQNPGPRS >KZM86256 pep chromosome:ASM162521v1:7:1033502:1038963:1 gene:DCAR_023390 transcript:KZM86256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLCFMTEDNEKLRSEVVAREDKIKEINAEWEKASLELTSFLLDGSKSLRDATDQIEYITGSFAHVNIGLGEHIENAAKSCVEKENKILLLERSLEDAQKTVTLMEQNLISLRGAAIVLTELQQQENSSSKECIQMTTTLSDSKLPCRKAQTLKKHKSTAASLFETNMETDLGIVLEDDEDYTKKGTLATMDSKCSFLSDHNEFQDEFEKLQKCDSAVNLSFSKSEIDGHSPGKGSCLIGSHALNCKSQQGLKSGHNQFTMPEMIGVLRPADMVLHEADASCCTTMKLVADGKVSPDCRFFMQFEEACATLEEAEIMINALLKANENSTLLASKCKQSREILSEENASLVEENKQLQISLHLKDEENERLHDQICDSLVEMTKMMSLLERSFLHMQRDTEAICKDIYSDAIMSVKEIQSIIRFSRSSVEDIYGKVLEKELSSSVLHQFIVAEYFKNCKNLGLFINHPNIFQDCLNNQWHINMIDDKVDTSLNSAKGGTELGQSAVITTMETFEMCRTLDENFGNNSELQKELEDIEIMLQGLLHEFNMLQKSTSTTENLKAENENLVLPLSQTWHELQMKTSQLDLLVLQMEKHKRFFNTDATLFASNPDIEQLKEKEEAIKTLEKEVVLAKSSLERQLLSLLDNIENDLRNVTKERDQLGTQVVSLQDGLDRANALANENEAIAVNAHQEVEASKIRSEQKEEEVKILKQLVKELEGTINVLEKKGYEIEKDVRRHQQMQDPSKIEHQPQWQRPLNSTTIASQDQLIRELHCRSLELHEAHNQILILEAEIAEQSKEIKWCKGHISELVLHAEAQASQYQQKAYSYSMFFNQYKTLEAMVREVRTESSNLAYASPNVDKMEKRPIRTRRSSSPFRCMMGGLVPQKNMVKDQELSTATVRIQELEELLVSRQKEVCLLNTRLAATENMTHDIIRDLLGVKLDMTNYANMMDHHQFRKMVKDTQQQTHRSKAMEKEILRMRRQINDLIEERDICIIEVNRREADICAIQMDVEQIQEREQSLIAENVLLKTEKINLHRRVAELDNMVNKVFGTQANPPRVQQQIDCLLKSSCTDLRERPRNSEKQLRGVNNEFSQYFKPESSDKQTKLGRR >KZM86321 pep chromosome:ASM162521v1:7:1674456:1687781:-1 gene:DCAR_023455 transcript:KZM86321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRRRGAKGAKTKSELNLGDLVLAKVKGHPAWPAKIGRPEDWDKTPDPKKCFVHFFGTDEIAFVAPADIQEFTSETKNKLAARCKGKTVKYFVTAVREICAAFEELQDKSSSGRGADTDVDELHRDRVQKVTEDHNCELDQCSHRHGEMDYQDIKSDISKKNKIFKEEANYTNKDKLPVSSPGNLIGPKKEPMSNDSSKEDTVVPSKPSKMMTGTEGGSKDNSYSEAKGHSSGGGVRDGSSPPPSSVHPKYLDSGLKESINGHKSKKIISGSRRLLQDDAQVQKVNSSGAVRSSLPIVSGGNVDINISGEGVQNRSGSIGGISHSSPNKSRSNMDAPKDQNKKQSLKDKVHLKRAEDLPDSKGTFRKLETGDLTGETKSHLGHGKHKLATDEVSHHAKSFKSADIDMTKGSVQKTRKSGHLENKAGSAEFKRSVLQGNAEDCLVPRDEDVLPPAKRLRQAPEIVVSSSTRMFDNKLGKASVHRNDPLSSDKAKSPVGQYPKKRRAVRLYDDDDDKPKTPVHGGSIFKGDAPSCILGPVKNGSAHSGKASDTLDDGGSSKVSLPTVKRLNESLPSISYKHSEVGKRRQSSNSHIFTSPVKSEFAKRSSEEVRPVRVSPVCSPLPASTVRPVLESPKPNIPLVKVSDNTVHQNSQAGLDKNMAVVPDSLDRTINQGILDRNKSIPSVDRKKDASKLSSRASDSVLLTEKTLDVLFSDGDRVEKDKEASREDKTFLPSDLKSEDSALSMKHLIAVAQAKRKEAHSQSFSHGDSFLDVSGGSPSFMFAVPPVQPSSSIQADMQGSYSYSSSTSPASHIRKPSLDNNHDIENFDDRRIGSGHRAVGDPLSGSTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKHGIANEVVELLIHKLETESSLHRKIDLFFLVDSITQCSHSQKGSKGITRGGSKWXSAYNATFQLPGFVTSHVFDEEEEEEEELQITQNSCKERADRSPPERNTPVEEAEKFSITPSDRRHCVLEDVDGELEMEDVSVHQKDEKVLTEDAPLETVPKEQGLNRTFDAGLSSSEQFPFPMGSPPSPPGSPPPTPPLPDSPIPVSLPPPPPSSPSPPPPPPPPLPPQVQSHPTPPAGMLPSVIPHPSVLPPLPVLPQHLHSIQSSAPSSSSNLAYQRQDAQLPQLAGNNPHAAHLDVNSRNEMYPQPHPYTNPQAPQPSQQFQTVPLSQRSFHPAPPPQVPSSHFSYSNPIVQQRPQHPYPQPYKLPSHPDAPRQYHTDDKWRMQANEFSTNNQHGPWMNGVRSSLLPVPSYGHEGYFRPPMDRLPPPIPTSFQQSAVNAIPAGPPIAGGHVGPQMMPPRPDLSSLGPWKPT >KZM88121 pep chromosome:ASM162521v1:7:24734728:24738311:1 gene:DCAR_025196 transcript:KZM88121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYALDFDGVICDSCGESSVSAVKAAKVRWPSLFENVSSSLEAWIVDQMHIVRPVVETGYENLLLVRLLVEIQIPSLRKSSVAEGLTVEGILESWSKLKPVIMEEWGEDKDTLVDLFGKVRDEWMDNDLTTWIGANRFYPGVSDALKFASSKVYIVTTKQSRFADALLREIGGITIPPERIYGLGSGPKVEVLKKLQKIPEYQGLTLHFVEDRIATLKNVIKEPELDGWNLYLGDWGYNTQKERDEAASISRIHLLQLSDFSKKLK >KZM86605 pep chromosome:ASM162521v1:7:4839367:4841226:1 gene:DCAR_023739 transcript:KZM86605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKENPRRPVKENRSPSKEKDGQKPNYNLLSKHLKKVYPVGVYKASTSPLSLSSLSLSLSQNSSDSLTDSSSTLDQKIAAAIRLIIPREKRDVSTVARYMQKLSPSHNGEGLNRCNWITANSDKVYVQFHDECWGIPVYDDNQLFELLAMSGMLMDFNWTEILKRKDLFREAFVGFDPSTVAKMGEKEIMEISSNKAIMLAESRIVKEYGSFSGYIWGYVDYKPVINRFRYPRNVPLRSPKAEAISKDLLKRGFRFVGPVIVYSFMQAAGMTIDHLVDCFRFIDCVSHAERPWRHV >KZM86684 pep chromosome:ASM162521v1:7:5685676:5690495:-1 gene:DCAR_023818 transcript:KZM86684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAPRNNPSLCSSPPLPRSRNKLNSLASLSSSTSAAKNTQFKALSISTCFCQKAHLIKASVWRVRAVDETKTTESSEGEEKKEEYEEYEVEIVQPYGLKFVKGRDGGTYIDAIAPGGFADQTKMFTVGDKVLATSAVFGTEMWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKIETVGDLTEKEIIRAERNSGVVSSKVREIQMQNYIRKKEQKEQRERELREGLQLYKIGKYEEALEKFESVLGSKPEYNEASVASYNVACCYSKLNQIQAGLSALEDALKSGFEDFKRIRTDPDLENVRKSEDFDPLLKRFDESFINENAINAIKSLFGFGKK >KZM87132 pep chromosome:ASM162521v1:7:11351330:11352853:1 gene:DCAR_024266 transcript:KZM87132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNKESNHQIHIDVDTNNKEFDEKSEGQSLKSSLEKELKAIKKHYGFIYKVSEKYRNIKEESYTPCVVSIGPLHHGKSHLQAMEGCKLRCLKQLLDEYKSETDLKKLSDFANDQETMVRACYQDFKAKEFSKMILLDGIFIITLFLQDNPRLRKVAKHVSTTLSDNSWMASDVKHDMLLVENQLPFFFIESLLEQLDYRPPFPLENIFNYFKDVGITGRLEDKIPENENPPLHLVDFLLILHTPASSVPEPGQSRDEQSPSPTDMNPGRSRDDQTLFGRRYSRLLNLYRRRTSIPADVEPMQSHKFQFTKSTSELRQAGVCFDTLSSKELLKVSFNKITGQLKLPQLTVTDTTETLFRNLIAFEQSQHQKYIASYIIFIDGLINTDMDVKLLVKHGIIDNKLGENQLVADMFNNLHKEVIKDRRHFYFADVCNDLNEYSKDFFHQFRSSCFKWKLILRNKYFNNPWSAISFIAATILVVLAIIQTACAVAELKDQMNNSPPRSSV >KZM86718 pep chromosome:ASM162521v1:7:6174948:6181562:1 gene:DCAR_023852 transcript:KZM86718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRTMMAGGSRRNDESFLTRMVNSVFSFLRLAEFEILFVLFFLIAFLLFKDLTARPEYNQILVKKPGAAEWWNF >KZM87498 pep chromosome:ASM162521v1:7:17395689:17396969:-1 gene:DCAR_024632 transcript:KZM87498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIWDIPAHNAYKINVHCELTNEPSPIGNTVALGSIIRDFSGSKCWGLEGPVNGLSEEQGIMAAIQAACVYADEKGLEPIHIETTNVGIFELVSSQDQYVIPVELLEAFRLFNTLHANNVDNADGANPRRISWIPHHMNSAAVYMAEHGLSNLTEMVELPGSSTLGNLQFFLDRDMGRVLPNPQMVILPNLGLGEVEDGIPPPPVNHSFDDPVPRSISVAVHDRKMKGPMLTGNSPLKGCSSKSWEIEAPIPLLLAKGKDMLYGGYAFYSNGSFSRKAVEILESGMLAEISPVFAQKNVNLEAHVGKGLLAKDILNYAMLGCLYVAVAILQKPNSPQMTNLGSGSVDDKKPVILPEENHFMQAAAVAIEDTPSLLPMPSTLPILDSVDVSTLPELPLLPVSDLLVEMNMSSPTVGMKRPRPEDDA >KZM88390 pep chromosome:ASM162521v1:7:27520520:27524209:-1 gene:DCAR_025465 transcript:KZM88390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLQTRGLLSLPTNPKIKSFISPPNGLRNRISPTNNLKPKNLSGLSLSVNGLPRFQGFITKPSLVAQKNKTFHVCKAEAAAAADGQPQFSEIEAPKFMGIETVTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLAYSQLSNVLSKQALFYTVMVPFIAFFGAFGFLLYPLSGYFHPTALADKLLASLGPRFLGPLAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNLRKNLGPGVDGWALSLKGMMSIVVLMGLIICALYWWVNAYVPLPTRSKKKKEKPKMGTMESLKFLVSSSYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQWIFNRYGWGVAATVTPTVLLLTGVGFFSLLLFGDPLAPALMSFGITPLLAAVYVGAIQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVVAWLAAARSLDKQFTALRREEELEKEMEEAAVKIPVIPENESGNGTIGGGPELKPIGGDSTGVSS >KZM87652 pep chromosome:ASM162521v1:7:18929732:18932076:-1 gene:DCAR_024755 transcript:KZM87652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEEVSSTFASLPPPLPKSPPDLFGKRRELAMLQMLDTQMASLQEELESVGRIQTASLSCKEVADFVIANADPLVTVSHKVRRPHWIWRWLCGKYSCFNLSRICCCCGCFLHYRQSDCSTPKSQSCMNTCWPCCGKSYCCDCGSPSCPDCPCSGTCSCSKCTCCGLPICPKVPRCSCIKNCCSSCSVSR >KZM89296 pep chromosome:ASM162521v1:7:35751520:35751768:-1 gene:DCAR_026371 transcript:KZM89296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESSKDRALPKTPSTNEHKNKEIAKRSRSDKCFSYIEVSMEPGIKSLKRLDSKKFKAQIKKWAKAVANYTRQASDRSGSSR >KZM88237 pep chromosome:ASM162521v1:7:25871470:25874334:-1 gene:DCAR_025312 transcript:KZM88237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSQIQELDPDPPSIQQQNSPQIENPISNLEEITHQNDHPSPKTLEMSRIQDPERSHPVLEESHVILDLEEQEEEFSGNVISTNTKIRSRSKVYKRKKRGGSMRQLTIDKKVDNLLKCVNFVPFMPAKRLDFDKYEHLLKELGLWDFVRLEFDDVVRADWISQLIVSFDSAKRCCFVNGVRIYMSRSSFVKALNLPKPSIKKEKVVGSVAEAAIDLDAEGERVSEDCVKFLEDLVWNWVVLHGDDWVMPNEIRGWMGLIRDGHPERVDWGGMFWVMVENELKQRGDQLRECYYTSHLQYFIKSHCKVLPDEDSKKFGADEGSKEEGKLLSEEDSREAEVEQVKSQPMDLFEEVGLKVVADEGANKEVKLSSEEDYKEVEVGEIKKDEDLMEEPHKVAKEGINEEVKLSTEEDNKELEVEEIKKDEHLLEEPHKVDQKVAEEGDLSSERMHGVELVEKEKEGPNEAEVEKVKEDTDGSLFDSIKEVDNVVLGPNIELALGQQDVVEREKINGFNMMDVEECKEKRHDDLYLGASDHGRKPFLRPCILGEGRGSDGNGEGKQEVEQLQMKGEQMEEGQQLIQEGKHMEDVEHIQRGEQMEEMEELQGWEHMEAEETDDVDEVEVDELEVDDTEEQVEVEDEDEELEDGMEHDIPQNYGSPGGAGLPGDLLQAFETTQLASNLQGQQIHENSSMELFAPNAETHLMMGGPSMYGNGQKRPIDYEQNTSHPNESKRIRTEGGWDPKNSEFGFCMGQAEQFMEKAKIMYAEKEQAYHELNMHQQYLLREVQQRDDYIESLERNSNESLQKKDAEIYRLERELGLLTELVNGYREALKENRRAFLEYRQRCQLPEEPIYKDAGPGGLVLSVTEIEKQRQQQENEDRLTRLMIEQKFTEAFEGYCNQFEVLLYKVQLIDLERLTPIENEVKLLKELSTTKRRASKKDDLVPTEIVSPSA >KZM87854 pep chromosome:ASM162521v1:7:21296648:21299736:1 gene:DCAR_024955 transcript:KZM87854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRSVMGRLIGLRKAFLSSNLSITTLMDTTLKKYDPLRNRLRPMWLTRTISSILESEPTVSSISQECTQSESKEVEEKCIEKGDIIDLNDFLFTKTRDYLVKNNNQQVKAGHLKGKVIGIYFLSLPIFADYFQWAKGVTTLIMDVYKDLQPDNNFEIVFVAETDKSVKESDSQKRFDTEFSFMPWTAIPISDITSRKMLQVSFGVSRRGYIHRSRFVIVDPTGMVLQCDALRLFIDYGALGYPFSDERIKYLREEDYATAKQPSLKKLLTSPERDYVISNKGNKVPIHTFEDKLVAVYFYRNTPNNNSILVELELAYEELAKVEKNFQVVFVYGREPQDLLDFPTTENLFWENFKTMPWLALPFRDPSYKKLNRIFKISYYNVFAHGGLVIFGPHAKFVEPFTADILLQYNLQGYPFTRKKAGKFITQEVEEFKLEMLWDPSTVFTRNDGRQVPFCQLAGERVVLVTEHDGSAPIVTHFVNEEFLLMLKERYLQMKGTDDEFEVIRIVYGDRKSNLTDYNVGDMPWLVSFKSQLKLDLGSYYWKDLPFELDYLDCFKVVVFDQDGRLVRKTKNPNFEDYPDVEDTDIEIRFQLCSLRLELLTTQR >KZM88034 pep chromosome:ASM162521v1:7:23748390:23749001:1 gene:DCAR_025109 transcript:KZM88034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDEEEHRDHMATHELEIQNPHPHVGDADSAVIIRTPAVSPIIDLSLNPLDLNMLLTEEEDKGQPGDHLAMHVLENNHHSLHIHSPQVISLSSNNHLERLDLKVKLEKATKQAESVETYAKKAINDAHDAQASGKKAIYKAVASTTNCYKICLDNFVVSLGISGEDKSLEDHVSKLVKAIPFHARAPADMAVEVPGQEGDVG >KZM87613 pep chromosome:ASM162521v1:7:18643437:18647213:1 gene:DCAR_024729 transcript:KZM87613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGKRKTPAELLRENKRMMDKSIREIERERQGLQNQEKKLILEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMTSEIMGDAIDDALEGDEEEEESEELVNQVLDEIGIDINNELMNAPSGSVAAPAAQNKVAAQAEGAGPDDGVIDSDLQARLDNLRKM >KZM86948 pep chromosome:ASM162521v1:7:8753161:8754732:1 gene:DCAR_024082 transcript:KZM86948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNSLLKTDINHLVLSLSSVLLRADFASSSRCNFIFYRVSLLGISCLADTRIVDREERREDLKGECDADVIIAKVRDGDSVDERLQSVLHDQACSAVVVSDKLVAQLLYRFKDDWKSALAVFRWAESNPEYKPLAQSYDMMVDILGKMKQMEKMRALVDEMRLTQMVTLSTIAKVMRRFAGAGQWKEAVRTFDGIERFGLQKDTESMNLLLDTLCKANKVEQAREIFLELKTHILPNAHTFNIFIHGWCKIKRVDEAHWTIQEMKGLGCRPCAISYSTIIQSYCCQHVFHKVSEIIDEMRAQGCPPNVVTYTTIMCSLTNADKFDEAIKIVDRMKSAGCKPDTLFYNAFIHTLGRAGRVREAIYVFRTEMPNIGVPPNTSTYNSVIAMLCHHAQEQDALNVLKDLESSRCSKPDVQTYYPLFKLCFKTGKIGNLKKLLDDMINTHHLSLDLSTYTLLIHGLCRTNKWEWAYLLFEQMIGNDITPRYVTCRLLLDEIKQKNMYDAAEKVEDYMRKMKSKSIKR >KZM88353 pep chromosome:ASM162521v1:7:27140691:27153512:-1 gene:DCAR_025428 transcript:KZM88353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISFSDATICRHSCLGYPFDYLPVRCDRNLYFGFKYHPLTHRNYYHGTSLSKTSCAIPVHKDFRYTGDLLSKSSTTVFSSRGDSSLTRALDEGDGIPSDTDEILEFTEFDLSTVGGDHYEKRPRKKTVESERDELLEELALSKAKQQEYMATIMHDKERAIAELEAAKTLFNQNLQESIEEKFNLETKLVLAKQDAVELAVQVERLAEISFQQATAHILEDAQLRVSAAETSAAEAAYQIEERVRNSIEGTIISIVDKSKKAIEKALALAETASEHTTKAMSMFTDSVTPVGEMASIQTQNIKLQATIDDLNSKLLLSRSEIDLLKLELEQAQERANAIETRASDTMKALAEYQESSGVKSLQLEEEIKLLLEKMKKDAGDRKKSVSKVIKVELEAMRAAVDSAKEAAQCKEEAYLRRCEALQRSLKASEVASKMWRQRAELGESLLLREKPIGEGEEDAIFVLNGGRVDLLMDDDSQKWKLLSDGPRREIPDWMARRICSIFPKFPPRKKDISESITSKFKSLTLPRPDEVWSIAHEKPKEGDTLIEHVIEKEIIEKKRKALERALQRKTIQWQKTPEQIKLEPGTGTGREIVFQGFNWESSRKKWYLELAPKASDLSKSGITAVWFPPPTESVAPQGYMPSDLYNLNSAYGSREELKYCIEEMHNQDLLALGDVVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDIFHAAPNIDHSQDFVRKDVKEWLNWLRNDTGFDGWRLDFVRGFSGSYVKEYIEASNPSFAIGEYWDSLAYEGGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHNEYWRLIDPQGKPTGVLGWWPSRAVTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVVFYDHFYDFGIHDTITELIEARKRASIHCRSPVKIFHAVSEGYAAQIGDSLVMKLGQFDWNPSKEVNLDGSWQMFVNKGSDYQIWLRK >KZM88777 pep chromosome:ASM162521v1:7:31231413:31233803:1 gene:DCAR_025852 transcript:KZM88777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLELPVLGACTNLSSPTAFTSLIIHTVLPENWFTVDAKEPIAHALVEVLEASDMKPSDMNGLADPYIKGQLGPYRFRTKTQKKTLSPKWHEEFKIPIISWESGNVLAIEVRDKDHFVDDTLGDCSVCISEDIRDGQRHEMWLPLQNIKMGRVHLAITVIEVTGKGDDQTYQEEPSTAENSFSSDAKLGDSSGLGEKSPKMADEFEPIDYEGKPETGIWVQRPGTEVAQVWEPRKGKDRLMDSKILGERGSESVSNSKSSAQSSCGNVSSSTDESQEGDENRSKHRVRRGLQKVKTVFRRNSGKEDRRSSFESLVSTPRDNIRSTNDKGTNVKFVIEDNLSSPGTPKVEGRDGAEGNNVESPNKGHMKDMAKSILKHAGNSARGLKSALSRKGSNKTKGELGSIPAGCGDSAGSDSSEEDVLPIPVKPGVELNTVVANPVSESGFELKTVVAHPVPAIGCDSFKSDEHIIQDSSNNPSLENPVGQVNGEEANKMSSNSIEGGNDAVVQEHVTSNPKSSEGDGIERASET >KZM88252 pep chromosome:ASM162521v1:7:26075641:26080181:1 gene:DCAR_025327 transcript:KZM88252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAQIHPLGPNYSPNPTRPASKSAFLGTSVSFTNPKSSLPRLRKCSNRRNHSFRVAAEKVVGIDLGTTNSAVGAMEGGKPVIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMIEVDEEAKQVSYNVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNEKVSKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLALSFKNDEGIDLLKDKQALQRLTETAEKAKMELSTLTQANISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVQNSLRDAKLSISDIDEVNLVGGSTRMHAVQGVVKSLTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVDINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGSGKKQDITITGASTLPSDEVERMVSEAERFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKVPVEVKEKVEAKLEELKKAITEGETQVIKDAMAALNQEVMQLGQSLYNQPGATPGADPAAGGADGPSKASNKGPEGDVIDADFTDSK >KZM86984 pep chromosome:ASM162521v1:7:9400683:9408572:-1 gene:DCAR_024118 transcript:KZM86984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFMQPKSSILRENQDPPLSSSTKNRSQSRKQKFSKENAPPPDSNSISDSSFSPAVDAGKLSPATAKLKSPLPPRPPKNLKKLNLDNVADNGGNIGGSDSGVQVIVRMRPPNMDEEEGEMIVQKNSADSLSVVGQTFTFDSMDVFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPSNALLEENLSSDQQGLTPRVFERLFSRIDEEQAKHTDKQLRYQCRCSFLEIYNEQITDLLDPTQRNLQIREDVKTGVYVENLREECVSTMKDVTKLLMKGLSNRRIGATSINTESSRSHSVFTCVVESRCKGVADGLSCFKSSRINLVDLAGSERQKLTGAAGDRLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETLGTLRFAQRAKAVKNKAVVNEEMQDDVNVLREVIRQLKDELFRVKQMGNCMEPNGGYSTGWIARRSLNNLKFSLNRPMTLPHIDDEGDEEMEIVEQAEQIGLLLAGIEGNKSTDGKKLEMVQSADSKQLNSTEGHQNASQRMSITGRDSIEESELETKSKKDIEESEQFIEPCGSECTGANMEDQVNIVDRHETMSVTFGELELHGSIVSEAQLNTDKTSKENLTRSSQKSEIIFPRQRDALHNSDTVSEMIDEESSRNSVMDILSRSLRQLSADDVIDTIPKHSFSSDDRSNPSDLNVVTCVSDDNANPSDKNTVTCEVSPVLDSVSPTVPPRQDSADVAADVRAHDSANRSDLSIVPCDPLPVLKSPTPTVSPTTTCNSSQKFSRSSSGTSISQKDLRDSSLTPETIRLSFAKPSKSKCFNAQTSRIGKSVNQPSEQLAASLQRGLDILDNNRQSSGLRRSSFRFSYRPGDIKPLLAHKVDVGVQAISHDDETADTDPVNFLCSKCKSRDSEEVSEDATENSNLQLVTVEDWSQSAEKSMKQLPKAVEKVLAGAFRREMALEEYCAKQSSEITQLNRLVQQFKHERECNAIIGQTREDKIGRLESLMDGILSTEDFLEDELLSLKDENKILKDKYENHPELLSIKIELQRVRDELERYQNFHDMGERDVLVEEIQDLRTQLKYYTETSQNLDLQEKHIQLLDRHRRIRDGIDDVKKAASRAGVRGAESKFINALAAEISALKVEREKERRYLMDENRGLQAQLRDTAEAVQAAGELLVRLKEAEEAIATAQNQAAEAELETEKAYKQIDKLKRKHEKDISAWKQLLAESRLPKEAIEPVYDDCNTAKYDVVELDPTTADQHWRDEFKPFYNSEDESSRLAEPSWFSGYDRCNV >KZM88868 pep chromosome:ASM162521v1:7:31889293:31900068:-1 gene:DCAR_025943 transcript:KZM88868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTAFDISQLIATSPYKINAVESYGHDLLISSSDGCLRIYSPESTASTTHDVVYGTLELRKEAYVLQRTIKGFSKKPMVAMEVVAARELLISLSEAIAVHRLPNLEIVAVIGKAKGANAYSWEERRGLLCFARQKRLSIYRHDGGRGFVEIKELSVPDTVKSMSWCGENICLGLTRKVYMILNVTSGTLSEVFSSGRNALPLVVSLPSGELLLGKDKVGVIVDQNGKLVQEGRICWSEAPAAVVIRKPYAIALLPRHVEIRSLRFPYPLIQTVVLRNVRCLVRSNNTVIVAQDNSVHGLFLVPLGAQASLSTKIVQVTASGDFEEALALCKMLPPEDLNLRTAKEQSIHIRYAHSLFESGSYEEAMDHFFQSQVDITHVLFLYPSLTLPKSSTVAEADKFLDFAGDALSRASSDMSDDFGTPFSPSMETDERLGLESKKMSHNTLMSLIKFLQKKRFNIIEKATAEVTEEVVSYAVGDQTSRPNITIRGRLDNPKNSAARDMAAILDTALVQALLLTEQSSAAIELMKGLNYCDVEICEDFFLKRNQHLCMIELYKCNSMHREALQLLLELVEGSKFGTHNAEIHQNFKPEMIIDYLKPLCEIDPMLALEFSIPVLESCPTQTIELFLSANIPADLVNSYLKQHAPKLQATYLEQMLALNENGISANLQNEMVQIYFSEVLDWQAKLSSEEKWDEKDYSPTRKKLLSALESISGSKSEVLLKQLPQNALYEERAILLGKMNQHELALSIYVHKLHVPQLALSYCDRVYESGLHQHSSRTYSSNIYLTLLQIYLNPRRTTKKFEKQINNLISSVNTSIPKVSSWNLIKTKGGRLSKKIAEIEGAADTNISPGSTDNGKSDGDTDDMVEEEGSNIMIDEVLDLLGQRWDRIHGAHALKLLPKETKLQNLLPFLGPLVKKSSEAYRNLLVIKNLREKENLQVKDELYKHRKTVVKITGESMCSLCNKKIGTSVFAVYPNGQTIVHFVCFRDSQNMKVISKGTPLRR >KZM89353 pep chromosome:ASM162521v1:7:36229830:36230609:1 gene:DCAR_026428 transcript:KZM89353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLTTSATLLVSAAPFAPKCTSSTSTLVTSSSSSMGGLRLVPKIQISYAAAANRSLPSSHRFKTATISCSAAKPETLQIVQSTIAKQLSIDETAVAPGIKFADLGADSLDTVEIMMALEEKFGVSIGEGGAENIATVQDAADLIEKVKAASA >KZM88062 pep chromosome:ASM162521v1:7:24072017:24074776:1 gene:DCAR_025137 transcript:KZM88062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAIVADLASGLLGKLVSLAAEEVVQAWNFHEDLDTLHQRMESVGALLYDAHTKNLIMSTAKIWFDKLEAVANVANVFMDELAYEVTRRKVENGHKSSDFFIPSKNTLLYRLKVARKIKSIQASFDKIFKMAVDLGLQPVAYLGSTVQDRDIRSTPSSEDKSIIVGRDKDVSYLVQTVCKTHEEDLQVLVVAGMGGQGKTTLARMVFNSNDTIHNFPERMWVTVSDDFYYIKILNEMIESLTSTNLGLKNPQGLTNELQKSLKGKRFLLVLDDVWNEESVKWDNLRNSLLEIGGDKGSCILVTTRKQEVIDAMRTSVFYWLGKLSEDESYELFKKIAYSDGGVLETEALATLGRSMVKRCGGLPLAIKALAGLLYSKRSEQEWLEIQSSETWQSKSVLPSLKLSYDNLPSLSLKQCFAYCSIMPKDSVIYKNELIQIWMALGFLQPPRRSIALMEDIGSEYFKILLGNSLLQDEEKDDCGNIVYCKMHDLVHDLALELCKDHSVTLKAGEELSHDCKALYMRLDNGVSNIKQTILKRAFGRVQILYAGADILLYLLPYLTHLKVLVLNADDNQVAQELPSSLRKMKYLKHLDISRCHSRLPTDITELYNLQTLRVPNLYEVPKGFSNLINLRHLYMNRTSGISCMFNRIERLTSLQTLPYFVVSKDQNCLVGQLGGLKNLRGEVRLYSLNEVANTEEARKAKLYEKSSIQSLHLNWSNEDKMEDNDQDVMEGLEPHPDLKTLTIDGFRGKKFASWITMMLNLVSIKLRGCNQCEVLPPLGHLPKLREIEITGMGKVRVVGDDFWGGQSVFPQLERLRIENCPRLRKIMPTCFPSLKQLYFGKLPNLEEWEAAVISTGDSSRSGFPKLESLEIWDCPRLRNIRSSYFPSLKKSRIAIFESDLSHLFIPFEQFGNYEQRW >KZM87226 pep chromosome:ASM162521v1:7:12712368:12713890:-1 gene:DCAR_024360 transcript:KZM87226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCPSPDDDRLSNLPVALKQTILDLVPVRDAARTCILSKAWRETWTMRSCLVLNKLFYLQVISNKNEEAEQLSAFSSALDKIFAVQTGPMVDTEIYIPPKLESHHIHHWIQHLTEKDVEVFRLDNAENDACLVPSVFFDFAKLKVLEIDKWILSPPPESRCFSNLVSVDLIRVSISAPVSFRAQLQDLELRICNGIKHLVFTNINNLKRLYMVHSPEIDWRWLEKANGLEQLTLVLTPADFHKSKSVSLIRLLSNSPGLSVLFIHGSLLEILGGPHTMRTHATRMVNLKILNLCSVSFKLFRIANGLSLIRCLPNLQILLVKLDFNVTSSNHIISIIESHLESQSRKDAVLDNLTTVQISGLVGLRAELLFSKILLASSPSLEDMFLSFRTDVSYPNEILRIKQEFSKLPRKSQKAELLWCN >KZM88895 pep chromosome:ASM162521v1:7:32097193:32098776:1 gene:DCAR_025970 transcript:KZM88895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTESKIETTKAIISTIGTIAATAMVARSIARDYVPPEVQEYFYLGLQRFFRRFSNQLTMVINEYEGIESNEIYDAAVIYLGSKLSPSTRRLKVNKPEHESSISLAMERNEEVVDKFNDVKFTWTWICEKLQQADSYYNRNQMRSSRSEVRYFELSFHFKHKELVVNSYLPFVLKKAEEQKQETKTLKIFTIDSEDSYSLADSWQSVGLDHPATFETLAMEEKLKETVMKDLEMFVQRREKYRKIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTDLKRNSELRKLLIATANRSILVVEDIDCNIELQERVSPAKEKKPADEESHREEASKLLKSDEPDVSLAGLISFLHAKRKENEEAKAKKETEVAASVAEAEAVGDGEKSDQSQESDKNQK >KZM87514 pep chromosome:ASM162521v1:7:17621295:17621756:1 gene:DCAR_024648 transcript:KZM87514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVKAKEKKGLIIKTWERCRSFGGRKNYSSQIRRPLVKKSKSCPQLEYTPANKYNCGQKRVSVPEGCFSVYVGPEKQRFVIKTRYVNHPLFKTLLEEAESEYGYCSDGPLALPCNVDIFGKLLLEMDSDEIHQKGCHFTRSVSSYRVLSHPI >KZM86714 pep chromosome:ASM162521v1:7:6129207:6132335:-1 gene:DCAR_023848 transcript:KZM86714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKELKSQMVPEWQDAYMDYGFLKTLLKDIQHFRHKTMPPPAHPPGLPRALTHYRAFSGLTSLKRSISMRSASGRQHHHEDLESQAILVNNVKKTNGEVGYQTTFLRASEDGGEYELVYFKRLDDEFNKVNMFYRAKVDEVMKEADELNRQMNALIAFRIKVENPKHAWFDTSENMDHLVSAVESSNKELSASISSFGRRHSKRVAEHMDQIDEDKSVKMTRSKSSTSSDRYSKEAKQLINQVVPEVTITGRPASLDILNRVTINRPADTPLPTIKGVLNVPVQTDMKFSSENLSKIEEQLKRAFTEFYHKLRLLKSYSILQDHEKIRQGFFAGCTVALVFAVILTIRTRNLFEKEGADRYMETMFPLYSLYGFIVLHMLFYAGNIYFWKKYKINYQFIFGFKAGTELGYREVLLVSFALSVLALASIHGNLDMEMDPKTKDYKQLTELVPLILLILAFAVLICPFNIIYRSSRYFFLTCAVHVFLAPLYKVVLSDFFVGDQLTSQVQAFRSIEFYFCYYSSGDFKYREHNCNKNDAYNTFSYILAAIPFWWRMLQVLIQHAQL >KZM88195 pep chromosome:ASM162521v1:7:25394219:25397470:1 gene:DCAR_025270 transcript:KZM88195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRVNPTAKFRYLQQVLRQALHNAPRPGPATFVARCLFLLPVFDILCYEFSHLIICSLRRVLEIKTSTEDLLKAKSLAAQIFLCSAEGQGHVENVLAKIVEVFDVKLEDLKTAKHVDDLDAAEALVKQYICNLVESESYKTAGKLLKHFSLRDFGEWYMSKLLEGQRHKAAEKLAVSMGNPTLSVLAQHYIDHQMSKEAYEIIKSYHLKGRFPEVYEEGKKRSLKCFAEKGLWDLAEERIKNDKQLLEYLANLAVDARYFEKVEELRDRYSLEGFDNVEELEASPRDARFLYLDEEELEGIKWVDDARDLHDAIGHIEGCEVVGLDKKMVYILDLIKLFEDVPDVLDNCLSRLFHSRILKLGYDFENDMMQLAISYEGLKCFQHCERLLDFRNSSHKRGGLSGLAKKILGAEIDKTRRVSNWEQRPLTQKQLEYAALDAAVLLKIYAHLPDQAEFKVRDWTSGIEKGHHLDKPPEPMNGSASGWIIAPSGWES >KZM86261 pep chromosome:ASM162521v1:7:1062292:1063059:-1 gene:DCAR_023395 transcript:KZM86261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSECCPTDARCVVCVKFEVGDGLAIVFCKPAIIKGKRCLLSSSSTYWALLEKDSSEIDDMIFYCGKFYTIDRYAALSVHNYDANANGFTRETVVVDWFNVFNCNQNVAIGGVGSGSSSRKFCNSLVKSKNGDLLMIKRIFNSNFSRVTRSCSIYKLNMSNVDYYYWSEVNNLEEQEALFLGWHDCISVSTSDSNPRFKRNHIYFFDKYSPGGKVIRYGVYDLKTRAFLSSSNDNGVDRDAEGYKCCRLFAPSALS >KZM86779 pep chromosome:ASM162521v1:7:6806479:6807219:1 gene:DCAR_023913 transcript:KZM86779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTDGGSIFVGVVTNLADAAKIEIMLNEVDWAVNDASTRVITVAANINRDPFILTILAADGIHIALRGQFQCMKAALKNGILTPVSIGLWEEDGLYMICSNHVENEDVFAVVGSSCLWEIFEPIEMITLVSKQKREEAAQSLAEEAIRGANMKEILEHLQEALHLGS >KZM87890 pep chromosome:ASM162521v1:7:21744104:21753511:1 gene:DCAR_024991 transcript:KZM87890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPPTSGAGAGNTATPRVYSANQAVGKSPIHHFTSYRQPQQQQQPVVAHHNYPNIAPRVPPPQGMMYPLGSSGGRGFMPRLPYGNPGRVPYGMHIIRPTPMQQQQQQQHSNINNNNHNHNLGVGAGVVRGIPVVSHPKVSASPSSIPDRNGYKDPRPLPIPVGDAQNSKKEDSETEEEDKRDVEQLTSKELLQTHIKRAKRVRARCCNIELY >KZM86991 pep chromosome:ASM162521v1:7:9521443:9522979:1 gene:DCAR_024125 transcript:KZM86991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLICFGHKGHRERTSKWSKKSLSFIESGQKLNTALRSRSSSSTAVKKEVIDNDELIRQQALAALMLLRQHQQNGSVTRFDRSNSVQYPFSSSKRQNKLPRSASSRAGSLLDLPQYHQNTNQDLKVEDLETKHFVLVHGGGYGAWCWYKSMALLREAGFDVDAVDLTGSGIDTTDTNSITCFAQYVKPLIDFLDNLGQGQKVILVGHDFGGVCVSYVMEMFPSKVSKAIYIAAAMLSNGKSTLATYQQQPSSDLMQQAQKFVYANGKDNPPTSIFLEKTLLTDVLYNRSPSRDAILASVSMRPMPFAPIMHNLALSEANYGSIPRFYVKTDEDFAVPAGLQDFMIDSDPPEDVFTVKGSDHSPFFSKPQALHKILVEISKLPSKNKRQAVVKLSG >KZM88813 pep chromosome:ASM162521v1:7:31480929:31483629:-1 gene:DCAR_025888 transcript:KZM88813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSFLRSSTRRSLRPSFSNPRPSFLTSYQSSSASILRRYATAAPAKEPAAAKPAGTAGAGKGTITDEKTGAGAIGQVCQIIGAVVDVKFEDGLPPIMTALEVIDFEIRLVLEVAQHLGENTVRTIAMDGTEGLVRGQKVLNTGAPITIPVGRATLGRIINVIGEPIDHRGEIKTEHYLPIHREAPTFVDQATEQQILVTGIKVVDLLAPYQKGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYKEMMESGVIKLGDQQAESKCALVYGQMNEPPGSRARVGLTGLTVAEHFRDAEGQDVLLFVDNIFRFTQVRVAIKFAANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLTPRILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVELKECVTSFQGVLDGKYDDLPEQSFYMLGGIEEVIAKAEKMAKENPQ >KZM88994 pep chromosome:ASM162521v1:7:32915491:32917974:-1 gene:DCAR_026069 transcript:KZM88994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLKRKAHGDAFKEEKRSESSHADDEDRKDEDMPLVKDNELDSAKAEMHEVREENHRLRTYLDKMMKDYQTLQTQFNNIVHDRDSPTKSRPVNDQGHREQKHETRDEFDVSLSLGRSSSSEIKRENDHKHSSSPKKPVEQDKEGLRLGLDCRFDVQPSVLSKEGVPESSPEHSLDDVKEVGAETWNAQKSEKAHEEDEVSHQNPAKKARVSVRVRCDTPTMIDGCQWRKYGQKIAKGNPCPRAYYRCSVTPSCPVRKQVQRCSQDMSILITTYEGTHNHTLPIAAHAMASTTSAAAGMLLSGSSTSGIGSSGAPTTITTPAELHGLNYYLSNNSASSRPPFYLPNTSISSSSSYPSITLDLTSSSSSMTSTFPPRYNLNSSSTNLNFNTSLDSNATLPIFWSNANNYQLQNYAKNTQIGQSLNFARQHQENLYPYSYMQKNNNDLAQAHQSMQMDKNSIESATKAITADPNFQSALAAAITSMIGSNNISGNNVKLQEPSFPVLSSFLATNSQASNMIRPLPPSLPISSSKSKSTSPEDNRDQVR >KZM87171 pep chromosome:ASM162521v1:7:12004596:12005381:-1 gene:DCAR_024305 transcript:KZM87171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSQAKQRGTHVKDREVEALREKLKLQKEDMEEIMSMREMESQSYEQEKMVFVIKEAEWKMERKRLRDQVKEFSRKLEEKEVKIRGLMVDEELVGDECEYERKMQGNRNYLMECMREERSRRDEAVDKWKKLYLAIRTELDHVIKMTHQEERMTWRLGEANLINEMYRELEAKEDTIEALREQLASQEKEKSRMEREADILRQSMRIMTHRKGKRSAKCSKKSLAKQK >KZM87583 pep chromosome:ASM162521v1:7:18351060:18351636:1 gene:DCAR_024709 transcript:KZM87583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCDIDGTGHHLTAAAIVGHDGSVWAQSSSFPQVKPDEMKGIMTDFDEPGHLAPTGLLLGGNKYMVIQGEPNAVIRGKKGSGGVTIRKTAQALLFGVYEEPVTPGQCNLVVERLGDYLSEQGL >KZM86827 pep chromosome:ASM162521v1:7:7424040:7424321:-1 gene:DCAR_023961 transcript:KZM86827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWVLLEAALPLGIIAGMLCIAGNAQYFIHKAAHGRPKHIGNDMWDVAMERRDKKLVSPSD >KZM87899 pep chromosome:ASM162521v1:7:21850966:21852910:-1 gene:DCAR_025000 transcript:KZM87899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDSTDAPPEQNGLSPEHAGDDRSIEKGGEVEKGRDQFADLKIQQEGGEEEGLRPKLAEGFYEIESVRRKRVRKGQVQYLIKWRGWPETANTWEPYDNLAACYDVIEAFEDSLLSGKHRSRKRKRRSTGLVPQAKKKPQGNVASTSRAQDAGNVKFDEGNYVIPSLDDQNHEDGGKSKIEDLNNNEAVEQGNENGSVVVPPQVEEKENHHEMDANRGDLRDAPFPSEGSSRLPVDVLDSEASEEFCFANRHQNLEGEGQVKASAFQNGHQKVDGGVVKDSDLKNGQQKVDGEGVAQGVGLENGHQGVDKEGIVQAGGTENGHQGVDEERIVQAGGIENGHQMFDGEGIIQAGDCGNEIQMVDGEGVDQGDSVEAGQQMVNGEAVVQAGGRLGSRKRKPSSVKRFNQEHSPCVTNYAAPDLTTKNTRAGGIVTQNRDQNSVYRSNDLACQSKIDDITDGSSITEIIKPISFASSESVPGILVTFMAKRLVI >KZM87716 pep chromosome:ASM162521v1:7:19670363:19670794:1 gene:DCAR_024817 transcript:KZM87716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDQNSPPPERWHFFSPENNHFQLHGKALVFMLIRGLASLAFLTLFLYFFFCRFPRATAKEDLDADLIRKQLPVVFYGALKKEVSVIECCICLGIFEEQDKVKVVPKCGHCFHSHCVDQWLSTHSTCPLCRAALRVDSLVRL >KZM86281 pep chromosome:ASM162521v1:7:1241452:1242704:1 gene:DCAR_023415 transcript:KZM86281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSLKEKEKKARTHSPKMECLEKEEEMAASGNVAENPVKKPVKKEKKPYTLSPPVMFAEKQVKKMESSLKEEEKPHTHSPPAASVMFAEKQVKKMESSLKEEEKPHTHSPPAASGDLAGKPVKKMESSLKEEEKPHTHSPPAATGKPVKKPPVKERLIGCFSDENFEIGEGIEKFMPSPGTGHTFVKKRASLSSSGCRKSLWDIVKGQLRDKRDKEQRCCVISTDQVVDEWL >KZM88255 pep chromosome:ASM162521v1:7:26117038:26118069:1 gene:DCAR_025330 transcript:KZM88255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTLTRANIRAKFEELCSDLLDRLKTPVQNSLRDAKLSISDIDEVILVGGSTRIPAVQGVVKSLTGKDPNVTVNPDEVVALGAAADVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEAITEGATQVIKDAMAALNQEVMQLGQPLYNQPGATPGADPAAGGADGPSKASNKGPEGDVIDADFTDSK >KZM86258 pep chromosome:ASM162521v1:7:1046587:1056579:1 gene:DCAR_023392 transcript:KZM86258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEVGAEDRRSRHREMKNEMCFSCLQNRIHSDFSDKVMFNYALSTSPLPFATTAVVQLADSGEDVSPQFTLVYIPKSDSACLVKYINVQIGETLDGDRKDKKNHRNLSIMNQDKAAFGIERSELEVKSHESQCFLNGGGKTSFGWLGCDTSTCNFSGRFSCLRTITALAPVSQIGITSYPMFKQLALKFLSGELEDDILCSLSVLIEGKATGRDSVNFLNLVGVPSFDENISPGCVRHPNIVPILGMLTSADHVNLVLPKAPYTLENILHYNPGALKSDWHIRFLIYQLLSAVSHLHSLGITHGSLCPSNVLLNDMCWLWLHVSYAQRFHFNIESKSEESSTFPPLEIGCCTERCHSQELYADLKLSPSIDWQSSFSSWWTGELSNFEYLLVLNKLAGRRWGDHTFHTVMPWVIDFSAKPDENCDVGWRDLRKSKWRLAKGDEQLDFTYLTSEIPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSTMQRLFQWTPDECIPEFYYDPLIFKSLHSGMSDLAVPSWSGTAEEFINLHRGALESERVSGEIHHWIDTTFGYKMSGEPAVAAKNVMLPASTSTTLRSVGRRQLFTQPHPARQCGARRYHKSLNESCLHGLKASEGLGEKLIIKTSCLQDLEEMDSFSEHARHLSPIYTFQPRHHVNNDLSVEELQAESSGMHILKEADAFNMYRTPTIIDSNYLLESVEVDNDCFLGYQELLLWKQNSSSSEFMPKVGKLDIFSIGCILAEIYLGKPLFDPKSLEAYLKTGILPKLMKGLPPHAEVVVEACIQKEQWRRPSAKSLLESPYFPATVSSVYIFLAPLQHLSTIGSRIRYAATFAKQGALKAMGAFAAEMCAPHCLVLIAASLTDSEGEWAFILLKEFLRCLDPLAAGTLIVPAIQKILQASCYLQCYSHLKVSILQDSFVQELWKSIGKQKYLEKLHPMVLSNLYGVLNKSTAAAASVLLVGSSEELGVPITIHQTILPLIQYYGKGLCSDGIDVVIRIGVLFGENFVVNQVLPVLRSIASSCIDASRVNKPEPLQSWGTLALLDCLMTLDGLVVILKEEVVVKELIEEGNCIYVQVLMQSTTGIPVLQAAARSLIAVCHQIGPNLTALQVLPKLKDLFSELAFSQEASASSASSGGRVKDCKKKTDEVPIDSRMDLVLLLYPPLASLLGIEKLRQCCATWLLLEQFLLRCYNWKWEYTGESSGPENINSRIYNSKSSRSEFSPARKLLNTSGWSIPQSQGYKGPNTLKPHTWSHEYHHNPVDHPVRSSNVEKHEPWYWFPSPASTWNGPYVLGRGGGSKDELPWKIKASVIHSVRAHHGAVRCFAVQQDECKLFTAGVGQGFKGTIQKWDLSRINCLSGYQGHEEVVNEICLLASSERVASCDGTVHIWNSQTGKLISVFTEFSEDSVHHISPLTSGSRANLDQANMLNFNPHLSGIMTTAFDGSLYTSLHFLQNINRLVMGTGNGSLRFLDVVQGQKLHLWRTESPEASFPSLISSICSCGSDKMQGDGIAASPSWVAAGLSSGKCRLLDVRSGHIIASWQAHDGYITKLAAPEDHMLVSSSLDRTLRIWDLRRNWTSESTVFKGHSDSVSGFSVWGQDVISISRNKIGLSSLSRPAYESLHYRRAPESNINPIYGIDNSPSAKLEGQHYVTPQYLYMADRELRNLSAFSSITILPYSRLFLLGTEDGYLKICC >KZM86863 pep chromosome:ASM162521v1:7:7783895:7786469:1 gene:DCAR_023997 transcript:KZM86863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILLMESLARTVQAKNKNLTYACHVQIFVLVLFFCFVSAKPGDGVITTVEDYQALKAIKRELIDSRGVLRSWSGTRNGACSGTWQGINCVNGQVIVIQLPFKGLGGRISEQIGQLQALRWLSIHDNFLQGPVPNSLGFLCHLRGVYLFNNRLSGSIPASIGNSPSLQNLDLSNNLLTGTLSPNLVNSTRFYRLNLSYNAISGSIPNSFTNFPSLTFLALQHNNLSGSIPDAWGLNTNDSYQLRSLTLDHNLLSGNIPSSLSKLYNLKELLLSRNQIVGTIPDELGSLTKLQLLDLSGNAINGSFPTSFSNLTALVSLNLKANHLKNEILEVVSTLQNLTVLNLKSNEFDGDVPASIGKLSSLLQLDLSDNSFTGDIPDSISNLPNLTSFDVSNNNLSGEVPSKLLDKFNSTSFVGNIQLCGFSPTTHCPSPTPSSSSQATNHQKSRGHKTKDIILIAAGTLLLVLLVLCCILGCCLIRKKSNSKVSKESGLAGAKSVPAMGTKVESGDKSGKLVHFDGPFVFAADDLLSATAEIMQKSTYGTAYKATLEDNNKVVVKRLREKTAKGQKEFEAEVAALGKVRHQNILALRAYYMGPKGEKLLVFDYMSNGSLASFLHARGPDTTITWPTRMSIAMGMTRGLCFLHSKENIIHGNLTSSNIMLDEHNNPAIADVGLSRLMTNATNLDVIATAGTQGYCAPELLKLKNVTTKADVYSIGVIILELLTGKSPNEGREGVDLPQWVSSILKEEWTNEVFDLELMGDTSDVNEELFKTLQLAMHCVNSSPTARPEAREVLQKLEEIKPQLAAPSCASTPVKSHSFEIPTET >KZM88881 pep chromosome:ASM162521v1:7:32019200:32021458:1 gene:DCAR_025956 transcript:KZM88881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETTAAFVAVEAPVIVGLQPSALVDHMARVDWSVLHIPGETGGSLPVAIEELEYILSQVKIHLQSSNGQSPLKTIAGGSVANTIRGLASGFGITCGIIGACGNDEQGELFLQNMKYNNVNLSNLIAKKGATAQCVCLVDGTGNRTMRPCLSSAVRLQADDLRGDHFRGSKWLVLRYGIFNLEVIHAAVRIAKQEQVLVSLDLASFEMVRNFRQPLLELLESGNVDLCFANEDEAKEVVRGEGEGHTDPEAALDYLGTRCKWAVVTLGSKGCIAKHGNQVVRVPALGETQVSDATGAGDLFASGFLYGLLKGLSLEDCCKVGACSGGSVIRSLGGEVSQENRHWMYKQMQINGLSVPELGL >KZM87137 pep chromosome:ASM162521v1:7:11397659:11397994:1 gene:DCAR_024271 transcript:KZM87137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQIEVRINQITEENKLMEETIKILEEENAKLQHRIKLMEIQQNHDESVIDVLKKHNEERRAFNHFIMDDSNFEPSKTAERERIREDFAAEAERRKAAKTSQAERKEEKK >KZM86248 pep chromosome:ASM162521v1:7:1003209:1005593:-1 gene:DCAR_023382 transcript:KZM86248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGAVTKPDKTEFSECWRTSWQTPYIMKMALSAGIGGLLFGYDTGVISGALLYIREDFRSVDRKTWLQETIVSMAVAGAIIGAAVGGWINDRFGRKISLLLGDLLFFVGAVVMASAPFPGMIIVGRIFVGFGVGMASMTAPLYISEASPHRIRGALVSTNGLLITGGQFLSYLINLAFTHVKGTWRWMLGVAGIPALVQFVLMLGLPESPRWLFINDKEEEAREILARIYPEDEVEGEMEALRASVESEREMKESEGNLLQRLKDAWGNKVVRRGLYAGVTVQVAQQFVGINTVMYYSPTIVQYAGYASNKTALALSLVTSGLNAVGSVISMFCVDKYGRRKLMIISMFGIIVCLVILSAIFFRAASTSPSVSTFESESFGKNSTCPAYVDAPDPSSWDCTKCLEYSGCAFCSNKQGKYKTGACLDLNDDVRDSCRSEKRTWYTKGCPSKIGFAAIIFLGLYILFFSPGMGTVPWVINSEIYPLKYRGLGGGIAAVANWCSNLLVSETFLTLTTALGSSGTFLLFAGFSIIGLVGIFFLVPETKGLSFEEVEKLLKKGYSPFRKHEDKDDD >KZM86626 pep chromosome:ASM162521v1:7:5034734:5042195:1 gene:DCAR_023760 transcript:KZM86626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKVSVEDQFSKLHPCLPVETRIGIIGGGPSGLSAAYALSRLGYNNVTVLEKYHTVSGMCESADIEGKVYDLGGQVLAANSAPTIFHLAKEIGLELEELDSHKLALINSSNGKYQDIQVADDYVAVISLTLELQDKAKDSGRIGVHAMSDIAAELTPAFLESRGLSSVTKSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKGGYMNFWEAISKSLPVQVHCNTEVVSVRRTTSGVTLHTRSSEGEINIMEFDKLIVSGSFPFKNGKIYRSPVCTPTVQHDSMDLSELERDLFSKVQTIDYYTTVLKISGLEHMPVGFYYFGEFMDDPSTIGNPVAMQRFYADTNVYLFWSYGNAADIMGEKVTELAISVVNRMGGTVEKIVLQRRFKYFPHVNSQDMKDGFYEKMETELQGQQNTYYVGGIVAFELTERNSSYAMSLVRKHFTEDMSMPKFPYVKRLFPLQSNCWNVKREDLDELPGVEFPNLFSLDGYLRHWGTHKVTQDRMLYTWINEEGKAVSKRTFAELHANATCIAKNLLKSSKPIIKPGDRVLLVHIPGLDFIDAFLGCLRARVLPVPVLPPDPLQRGGQALLKIENIAKSSNAVAILSTAAYHLAVRAGSVKSLISLPSKSSAQWPNLPWIHTDSLIKNSKNMHLDDMNDFCEPKDADLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMHKRYRSTSKTVLISWLPQYHDMGLIGGLFTAMVSGATAILFSPMTFIRNPLLWLQTMSKYQATHSAGPNFAVELVVRRLEIEKDKLLNYDLSSMRFLMVAAEPVRQKTLKRFLELTGPYGMSEDVIAPGYGLAENCVFVSCAYGEGTPILVDWQGRVCCGYVNSDNADVSIIVVDPETGKEQEAGKEGEIWICSPSAGIGYWDRKELSQRTFGNVIGSRPGKTYTSTGDLGRIIDGNLFITGRIKDLIIVAGRNIYSADVEKTVESSSELLRPGCCAVIGVPEETLVTKGISVPDGSDQVGLVVIAEVRDGKPISKDVVEQIQARVAEEHGVTIASIKLIRPRTMSKTTSGKIKRFECLKQFSEGTLNLVPDPIVSKRTLVRSFTSGTCKEGITPRGRGPSPLSANPRLSHAEIVEFLKQLVSDQTKIAISKISTTESLVSYGIDSIGVVRAAQKLSDFLGVPVGAVDIFTATCIADLASFSENLVMKSRPETMVAPSYVTEYETDSAEMLTDISNFHQMGIWFLQLLALTYVCIMLVSPAYLSVFAYMRCISFIHASVDISSWASYAIWVACAPLAWILCMFSTCISISFFGNSFLKPNYALTPEVSIWSVEFVKWWALNKAHKVSSEVLAVHLRGTIFLKYWFVMFGARIGSSVLLDTVDITDPSLVSIGDGAVIAEGALVQSHEVKNGILSFLPIRIGKNSLVGPYSVIQKGSVIGEGNEVSALQKTEGGKPLPRSTNGSYRQKGPLLPKATNSQTEAIYHVMGIYMVGLLSSVSAAIVYIIYVWLSQSSFSPEIYAFFCISGAFHWLPFTVIAYAAMIGDSSLTLTIFPISVAIAYITHGIILGVLTSIWTSALYGSKETKQNHLRTWLRHRITIAYHLRFVKFLSGTEAFCIYLRLLGAKVGKHCSIRAINPISEPKLISLGDGVHLGDFCRIIAGSYNYSGFQSGKIEVQDNSVVGSQSLLLPGSVLEKDVILGALSVAPANTILQRGGVYIGSQAPIMIKNTLHVLDERIEAMDQKYKKIVGNLSASLAATTLQVRSRYFHRIGVSGKGVLKIFDKIEGFPDHKIFCPGKSYPVIIRHSNSLSADDDARIDARGAAVRILSDNSSGDNTAILDLTLKTGKAFYARTISDFATWLVCGLPAREEHVKRVPHVREAVWTSLRNADSYAELHYYSNICRIFRFPDGQEMYVKFKLRPCNEKIHEDSGKVEPTSILPPETGAIPRDPSDTRPLLFLADDFQRRVSFPGGVGYIFQLQFQPVPHDEATQDILLDCTKPWNETKFPFIDVGEILIDQNNTQEESEELEFNPFLRCHEVDIAQATSSSQSASIDHGRSLIYEICQHLRNKQPLPESWRIFLEHSDVKVDLSGCPMAAAIEKKDSGKVTLSRKWYQALWAVFAQPLLQTLLPYYLMGLVIFGPLKGALYIKDTMGYPIYCLLPLIWVFSGIAASLACVAAKWILVGRNKDGGTMLIWGMGAFMDTIWQAFRTLAGDYFMEMTGGSFMFVLLMKLMGSNVDISQGAYVDSMGAMLNPEMVEIERGGCVGREALLFGHIYEGEGGKVKFGKIKIEEGGFVGSRAIAMPGAIVETGGSLSALSLAFKGEIVRSR >KZM88088 pep chromosome:ASM162521v1:7:24257988:24261039:1 gene:DCAR_025163 transcript:KZM88088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVVQEGCIINISSSAGNDTCLEVVPIPKLSHVGDSSDPLEFIREVHKTMDRKKKSSAVYMTSSLIKCVGKFRGPEVAAKLIHSTLKDSSIALPNLIGAVEQMAIQNHPVKGFYFTVAGVPVSTGFAIVSYIGKPRVAIASEKGYIDADKFKSCILEAFYIICFCKCIDNCIEAGELISAPKPGGGTKSSLYLSVEGWNNVVRTNLTGSWLVSKYVGLHMVEVVQEGCIINISSSSGNDTCLEAVAAKLLHSTLKDSSITLSNLIGAVEQMAIQNHPVKGFYFTVAGVPVSIGFAIVSYIGKPRVAIASEKGYIDADKFKSCILEAFYIICKIIADV >KZM86204 pep chromosome:ASM162521v1:7:607173:609189:1 gene:DCAR_023338 transcript:KZM86204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQFDPSLQRNGTSNSFDTINKDGLKTNDSFGRWMTTVMTDSPDSGLTGDENLEDSISTDQKASTLAYHDQPSGLEQIFSITDVSPTSAPTTEETKLIIEKVIFWSAILQVHGLAYDIILVTVLGQMHLLIVIDFKEFISNKSPVYGYSEKYILVVGYFHEAHSPFGNSNLLLVCGDERFPAELVQPGVYRSFISSHKPGLVDLYVSFDGQKSISQVVNFEYKSPPMENLINLTDDESKWVEFQNQMRLAHLLFSTSRRLGTYSTILSQNALKEAKLFAQRTAQIGNDWGSFLKYIKDNRLSFPQAKDNLFELTLQNRLREWLLERIAERCKVTDYDDQGQGVIHLCAILGYKWAVYQFSLSGLSLDYRDKFGWTALHWAASCGR >KZM88138 pep chromosome:ASM162521v1:7:24875347:24877581:-1 gene:DCAR_025213 transcript:KZM88138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIVSTSKHIHLLNPKTPPLKPSFLRRISTAPPPPQPITTQVLTLLQNDYTTPINTPHLLSLLPSLSPPCYLSLTRQLGSTGAALKFLTFLRAHSPDPPSISLTFQALLELATHSGSSRLPQSDIAEKLKELFKLCRECDIKLTKESGTLLVGFFSRVRMVDEMLDVYNYIGVESRSSDLGDVVVDWLMRGGRFDDALKVFDGMLEANGKGGVERTTVHLVFRELMRRDSKFKNVKDEGIAELVLKCAKIGVFIKGEWLCNLVIGLCRKGNLSKAWSLLHDLMDLGCLDIVSSCNALLTSLERVKDFKRMNLLLQQMKDKGIQPDVVTFGILTKHLCKFHRVDEALDVYQKMVDGSEGILVRPDVVLHNTLISGLCKVGRQEEGLEMVEQMKVKHGCMPNTSTFNSLIDGFCKAGELDRANEIFDLMNKEGILPNIITVNTLVDGFCKHGRVHTGIKFFRQMQGKGLKGNVNTYTSLINAFYKSNNIEKSAELFDEMLDAGCTPDAKVYYTMISGLTNAGRLDDASFIVSKMKKAGFQLDTVAYNVLIGGFCRRKRFDKAVELLADMEDHDVKPDNVTYNTLISYFSESGDFKTARRVMKKMVHDGLVPTVITYGALIHAYCLAGYLDEALTLFDNMCSTSSIPPNNVIYNILINSLCKKGEVDKALSLMNDMKIKGVRPNTNTFNAMFKGLHDKNWLDEALKLMDQMTQQACNPDYITMEILTDWLSTVGQTEKLQNFVKGF >KZM89338 pep chromosome:ASM162521v1:7:36060415:36060822:1 gene:DCAR_026413 transcript:KZM89338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYDEYTSQTSSSSSQRAVAMILALVTAVVLSPFYLNSSSDKFSRTRSYESKWSSGFVLPVLLAGLIVAIKTTNNNCSESTRRGVQGQFRADSGEVPVSQTLRTGNSSWGLAALLVALIFLLSCQNSAQHFFWR >KZM87086 pep chromosome:ASM162521v1:7:10831891:10835030:1 gene:DCAR_024220 transcript:KZM87086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEDLRESQKKNRLLELPSITEYFGYCLCCGSHFAGPVFEIKDYLNWTEKKGIWTASDKGKSPSPYWPALRAILQAVICMGLYLYLSPHFPLTRFTEPIYQEWGFWKRISYQYMAGFTARWKYYFIWSISESSIIISGLGFSGWTNSSPPKARWDRAKNVDILGVELATSSVQLPLVWNIQVSTWLRHYVYDRLVQKGKKPGFFQLLATQTVSAVWHGLYPGYMIFFVQTALMIAGSRVLYKWQQSLPPKMAIVKNLLVFINFLYTLMVLNCSAAGFMVLSFHETLTAYKSVYFIATIVPVTLILLGKIIKPARPARTKARKEE >KZM88056 pep chromosome:ASM162521v1:7:24008816:24013918:-1 gene:DCAR_025131 transcript:KZM88056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKGTQRNRVSGSVFAIAVLITFSILAPLLFFVGRGLYITASTTFDEQDISSSSIKQVINVIKATTNDFGPLSLDSFRKNNLSASWKFVGQEIQDEKFSSSTKANEIAAKTVQKTSKGKEEDSSGAGHIQFVDTPAKLARRQLREKRREKRAADLVKQDDAVTVKLENAAIERSKSVDSAVLGKYNIWRRENENENSDSTVRLIRDQIIMARVYLSIATMKNQTDLARELQNRLKESQHTLADANSDSDLSRSAPEKIKAMGQVLSKAKEKLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLSIDYYLLSPEKRKFPKSENLENPKLYHYALFSDNVLAASVVVNSAIMNAKEPEKHVFHVVSDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKSDHATSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWSVDLHGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHRWQNLNEDRVLWKLGTLPPGLMTFYRLTHPLDKSWHVLGLGYNPTVDKTDIENAAVIHYNGNMKPWLELAMRKYRSYWSKYIKSDHPYIHDCKLSE >KZM88147 pep chromosome:ASM162521v1:7:24939336:24941780:1 gene:DCAR_025222 transcript:KZM88147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDETKAKRNLRGNFKDFLDGLISFPINIPGTAFHACLQGRKKAMKVITDAFMERQASPNNLISGHKDFLDYLIQEINDKDTILNQAVAADLVFVLLFATFETTSTSITMATKFLADNPAALGELAKEHQDILKNRSEQDTGITWKEYKSMTFTHMVINETLRLANIVPGIFRRVIKDVDLKGYTIPKGMIVMVSPSTVHLNPDKYQDPLTFNPWRWKGKELHMGSKDFMAFGGGVRLCVGADFAKLQLAVYLHYLVTKYRWKVTKGGDIVRKPGLMFPNGLHLEISESQN >KZM86566 pep chromosome:ASM162521v1:7:4403901:4404152:-1 gene:DCAR_023700 transcript:KZM86566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMVWGGREEKEWEVEIMRDREQTINNQLLKEVDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRHVTVDRPDVAGRVKIL >KZM88854 pep chromosome:ASM162521v1:7:31780220:31786470:-1 gene:DCAR_025929 transcript:KZM88854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYEARYEEDLEHHNNNGSSPHLKDAYNHPTPDADSKSHRESRDHERESSRSRDRDREKDRGRDKDRERDRDRDKERDRGREKDRGSRDRDRDRDRDGERERDRHHKDRDRHRERERSERRERGRGRDDDDDYHRSRDYDSNCGPSAGEGIMTGTEKRGIAAGPSLALGLDLNIDPSQDHAPVLALVHAQKGQIIGTTQAIPGMLPNMFPITPGQFGVLPAAMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLGAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQESVLLHAQQQIALQRLMLQPTSGAASKVLCLTQVVSEDELRDDEDYEDILEDMRTECEKFGELVNVVIPRPNPNGEPSPGVGKVFLDYVDAEGASKARAGLNGRKFGGNQVVAVFYPENKFHQQEYDG >KZM87738 pep chromosome:ASM162521v1:7:19982284:19984624:1 gene:DCAR_024839 transcript:KZM87738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREISSPSLTSRGGSELGSLYTSETGIYMSSLAAAIFVASLVTAGVLLTTILVALTIMLQDCQSKSAGIVELWKHSDDYIQCQMSALHAELNSLGAESVPHNCKHVAVHYIKTGQYMRDLNFTAALVEDYLNCAKPVISGLDVVLMDADDIIQLYSRQDNLSQPRKSIWSDPIEEAKFLKQVFSRQLYMKLHVGGWPLILFSRKSEKLRKITEERLISAGYVNWSSLIMRLDEEMPMSNSEYISGRRNQLERQCFRIKAVISSRMDALTDPSMRSRAFKIPTPFYFNTLQHIEVL >KZM87683 pep chromosome:ASM162521v1:7:19375578:19377446:-1 gene:DCAR_024784 transcript:KZM87683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQDFDLLTEQKRIEKERKLKKKIAIGIVVGVVVVAAVVAFVCMDLNNPGNAETEKPTKKTEDKNNEVTKSSKMAKTICASTDYKAACEKSLGKVIHSNPSQKHQPKDLLKASISIISNELDKAMNQQSKLKLDTPTEKEAFEVCEKVIGDAKQEINSSATTVGGKDIGSLDSRKHDLSNWLSAVMSYQQTCVDSFPKGDTQTAMKKALQDSEELTSNALAIVSQLSSILSSIQKPKRRLLSDSKQPHEDNSATWMNAEERRMLRVDPPKQKPDVTVAKDGSGDFKTINDALNKVPQNHQGRYVIFIKEGVYEENVIVTKKMTNITIFGDGSLKTIISGSKNNVDGVPTYKTATFAAEGDGFMAQSLSFRNTAGPEKHQAVALRVQSDRSIFLNCRMEGYQDTLYVQAHRQFYRGCYIAGTVDFIFGDAAAILQNCLIYIRKPMDGQKNTVTAQGRSDKRETTGLVLQNCHIMADDELSPEKQNFRSYLGRPWKAYSRTIVMETEIDDVIDPQGWLPWEGKFALSTLFYAEYNNKGAGSDVKRRVNWPGFKVIKKDQALKYTVGQFINGDSWLKGDVGTPVRFDLFS >KZM89160 pep chromosome:ASM162521v1:7:34466308:34469845:1 gene:DCAR_026235 transcript:KZM89160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDKPRSPVEDQVKKIKWKSLKRLMKTKMSKQNDFKMSKIAASSAVKRPTRKRKTMECHLPTAFRVSRNPFSEAEEKGNSGVPMKWKSLKMLMKNGKKIMKWSKTSDLHGKKRIRNSKQSHLRILKKARSSAESPVTSMKRSTRKRKTIERYSSLWASASRAASKPFPCEKGNGTRLKDIPNVAYKLAQSKYDDNLQTLHTILFGRRTKVHASKNIGLFSGFVWGENEGDISAKILYFLEFPRARTESSIADKTVKQKISEGEQRKSSECRYYIEDEENESDDRMSDESDPDAWYNYKYGRHEDVEVDDDLWDTDPDTAWHLYKYGRRVFSTPW >KZM87588 pep chromosome:ASM162521v1:7:18395326:18410647:1 gene:DCAR_024711 transcript:KZM87588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALLLLNDADPTSAATFDAISPVGEGSTGPVPQWKQLNHNATSPFQSSAVSPPITSPPAPENTKDQAPLLSPSTPTPSQPSKFSSPTTFPGIAPNVPPSASQGQPPPTKDPELAPEDPAPVVSPPIKSPAKSPSINPFMPGSAPPSSQNSQTPDDTAPLSAPIAPDTVQSSPPPREMLQTPPTSQPTLPKVPDNKAPLSAPTAPGQVAPPPRNLLQAPPISQPTVPQLQMTPPPKEMLQTPPASQPILPKLPDNKPPLSLPVAPVQIAPPPRDMLRKPPTHQPVVPEVQSASPPRGLLQTPPANQPIPPKVPDNKAPLFVPIAPLKNAPPPRDMQQTPPTSLPSVPETPPSDPPATSPPHNLPRYAPPIHPEGPKVPPSISPESDISPVSTTPPSFNPKKRGIPVAAPSHAVPKQFSPPNLSPAASPQNNSPRDAESASPPLVVLSPTPLPSTASYRHHGKMNISSPGPSSYLAPPPESADRGKPLSPGSPRQTRMPFLSPEISPSVSSPMIPKIPHLRPIQAFPPPPPNAECKPLPCDEPLTNTLPGFPCGCILPMQVGLRLSVSLYTFFPLVSEFATEVASGAFMKQSQVRIMGANAATEDPEKTIVLMDLVPFGEDFDNNTAYLTYQRFWHKQVPISTFYFGDYEVLYVRYTGLASIGLPDSPPSAPLSDTEPHDSKGGTIKPVGVDIRRGQHKKGLKGSVIAVIVLSALIAMVLICAIAWIMFFRHRDHDYQVQENPQTKQLSLAKSSGIATSMTGSGPSSISPSYNATIAPYTGTAKTFTLNDMERATDNFAASRVLGEGGFGLVYGGVLEDGTKVAVKVLKRDDQQGDREFLSEVEMLSRLHHRNLVALIGICTEEHARCLIYELIPNGSVESHLHGIDKEDAPLDWGARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDYTPKVSDFGLARSALDEESRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVTWSRPLLTSEEGLELIVDKSLGPNFPFDSISKVAAIASMCVQSEVSHRPFMGEVVQALKLVSNECDATKDLGSRSCSQEDLSVDFDARSSTHSDHLPDRFQIQSPLSDYESAISVDRGLPLSELYSTSAKIGKTDSESFRRHSSSGPLRSESVLPLWQRMRRSSGGSGLREGLLGTSSQIRNLSSKGKRKCKSELSDSGEENLSKKDLALKQALDQITTAFGKGSIMWLGRSASPKEVPVVSTGSLALDIALGIGGFPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFIDAEHALDPILAKSIGVNTENLLLSQPDCGEQALSLVDTIIRSGSVDVVVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRAKLSITGFGGPTEVTCGGNALKFYASMRLNVRRIGFVKKGEEIIGSQVLVKIVKNKHAPPFRTAQFELEYGKGICQEAEVIELGCKLKFITKSGSFYNLNGKSYHGKDALKRYLAENVSVKDELMANLREKLTENEPDKDTEIHTEVAGDLTVEVASDEELATAVEA >KZM88669 pep chromosome:ASM162521v1:7:30143173:30154742:1 gene:DCAR_025744 transcript:KZM88669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEQSTRRRGRGADAEARAGALERLKALRRDGRRSDTNQIKVADPIYDTVDEDEYESLVAKRRQEAEEFVVDDDGLGYLDEGQEEDWSKACGPMSSDELDGEEKPKKKKAEKKEVEKAKKPSSLSAAAALMGKQRLSNMFTSSVFKKREEKGKSLLSDSIVDDVIAEFAPDEGDRERRRKGHSNLVKCLNSVSSIVKVKSENLGSNGGELRLRNDISAGGGNDCENLGVNLSKGNVCRVDNLERVSDKVEDMDTEMGNGLDVGLSDSLMTESVVEESLLNNSEVKSEVEVKKEYVLNAKVTKEEKDKAYSATAGWQAVRAAGNGNAAEINHSSNSDEKHEFEVESDGSLQFYLLDAHEEFYGVNAGNLYLFGKVKVGKSYQSCCVAVKNMQRCVYAIPNSSIFQNDTVIKLEKDVEESKISTTTFRSKLQEMALGLKTDIAKRLLEQNVSSFSMTPVKRNYAFERSDIPLGENYALKINYPFKDPPLPSDLKGETFSALLGTHCSALELFLIKRKIKGPSWLTASNFSICPVPQRVTWCKFEFIVDLPKNIQVSNSSKSIAEIPPVVVTAINLKTVINEKQVNEIVSASVICCHKAKIDTPMLPSEWTRAGMLSHFTVVRKPEGDIFPMGFTKEVTERNLKAGSNVIGHESRHVQFERALLNRLMTELHKLDSDVLVGHNISGFDLDVLLHRAQACRVPSSMWSKIGRLKRSVMPKLTKGSTVFGSGASSGIMSCIAGRLLCDTFLCSRDLLKEVSYSLTQLAKTQLSKDRKEIAPHDIPRMFRQSESLMELRSERGSASIAQYRAYDTIEYAETDAWLSMELMFHLSILPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKFIVPDKLSFQMKDAKAPKRKTKNGVEENENPTLDPADTNIDTESPQTDNGKSKKGPSYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEYNICFTTVERPEDGSIPRLPSSRRTGVLPELLKNLVERRRMVKSWLKTATGLKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYSGLDDAAKAKSISVKVIQEVNKKYKCLEIDLDGLYKRMLLLKKKKYAAVKLQIKDGKPYEVIERKGLDMVRRDWSLLAKELGDYCLSQILSGGSCEDVVESIHDALMKVQEDMRNGRIALEKYVITKSLTRAPEAYPDARNQPHVEVALRLKNSGYVTGCSAGDTVPYVICCEQGNGSTTSVGIAQRARHPDELKTDSGNWMVDIDYYLAQQIHPVVSRLCASIQGTSPARLADCLGLDSSKYQSKSIEALNNDSSSSLLYGVDDDERYRACEPLVLSCPSCSGSFDCPTVFNSVCSTINEKPTEVQNSRSSINFWRSLHCPHCLEDDDRGRMSPGSIANQMKRQAEGYISTYYKSLLMCDDDTCKYTTRSLNLRVVGESERGTVCPNYPRCNGRLVRKYTEAELYKQLAYFCHILDTVRCMEKIDSKIRMPVEKELARIRPLVESAASQVQKLRDRCAYGWVQLSDLCVSI >KZM89334 pep chromosome:ASM162521v1:7:36020892:36025976:-1 gene:DCAR_026409 transcript:KZM89334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQARNKGKLLRSTLYTFGCFRSPPDDAEAPQELQDSGYSRIVYCNQPHLHQKKPLKYPLNDISTTKYNVITFLPKAAFEQFRRVANVYFLLAAILSLTPVTPFSAFSMIAPLAFVVGLSMTKEAVEDWRRFLQDRKVNMRKVSTRQENGGFSYQPWEKIQVGDVVKVEKDQFFPADLFFLSSSYEDGICYVETMNLDGETNLKVKRALEVTSPLNVDESFKDFTGTIRCEDPNPNLYTFVGNLDIDHRLYPLEPSQILLRDSKLRNTAYVYGVVIFTGHDSKVMQNATTSPSKRSRIEKQMDKIIYILFSFLLLISIISSVGFAVKTEYQMPDWWYLPPDGGSLYDPNKASLSGFYHLVTAVILYGYLIPISLYISIEIVKVIQAKFINWDIQMFDEDTATPAQARTSNLNEELGQVDTILSDKTGTLTCNQMEFIKCSIAGTSYGMSTSDVEVAAAKQMEVNIDGKDPEFSSNISSRRSGDIFWKNKRNCFGQSEIEMESIRTPKGVKNQNPAMKGFNFEDSRIMNGNWSTEPDADLILLFFRILALCHTAIPEINVETGGFDYEAESPDEGAFLVAVREFGFEYCKRSQTSIFVRERLPSSLEIVEREFKILNVLDFTSKRKRMSVIIRDEDGQIFLLCKGADSIICDRLSKSGRMFEEATMKHLNEYGESGLRTLALAYKKLQETEYNAWNEEFLKAKTSIGGDREVILKNLSDAMERDLILIGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICIAVNVDIIAQDSQKLVKEDILMQITDACEMVKLEKDPHAAFALIIDGKTLAYALEDDMKGYFLDLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMLLFNVLLTSLPVISLGVLEQDVSSEVCLQFPALYQQGAKNLFFDWFRILGWMANGLYTSLVIFFVNIGIFHDQAFRSDGQTTDMAAMGTTMFTCIIWAVNCQIAFTMSHFTWIQHIVVWGSVVTWYIFLYLYGLMLSPHAFRVLEEAVAPAPLYWLTTLLVAVACIIPYLAHISFQRAFNPMDHHIIQEIKYYKQDKEDRSMWRRERSKAKQETKIGFSARVDETMRQLRGRLQKKPSWSTPRSSM >KZM86166 pep chromosome:ASM162521v1:7:253609:257482:-1 gene:DCAR_023300 transcript:KZM86166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDSNQEQSCNENGGSFHMIQPHRDLEVNWGIDIAKNLEIYLLKIYSAGVGNDGHSIDFTEAALLLEGSVQVYSRKVEYLHSLVRQAVEFFSQISQPEQPKNVSEQPEVGGEPAASSSVDDFWVPDEIEVDPNRNLPKTTTRDDVSFVRPPADLLVFEGDGLDATGDGGSLESYTLATSYIYKDFLLLNPCDAAAVDDYLKGDDEVDKGQDGGNRGSSWPFESCKNFPAPTKQSGGSAHNLSVGRSHNVNLHQSPYDDHGFGFNNPHSPPCNIHESDDDEFAVEGRSPLGHMDDSDDEDDPWKPLNPNEPGNLEAKPFRKVEASIWNIGNSSKQVSVTTDFPEASLDGPISADLTQIWEALRRDREDQQQPQSPPFYEKLRQSLVLGGLEKFDAHPKSKDGDEDSVGGYNSEDNEFEPPIFDMAEGAYINDDIPVPSNMHEDGSDHEANAHEHENPIPEDLCHSHLNSLLGNMRLSEMQTDSDARISAWKQSIEQDLEEHDTRPAFDVDDYGERVLDTLSRSFDNESAMSFADVVRGQEKHDVARSFSAILQLMNNGNVDLQTSATTEKEATCYTAEKPFSVKLLRPAKRRHDAQLGSSQKRSLPETKNGESEDESGDSGEENRDPSVSQPQ >KZM88627 pep chromosome:ASM162521v1:7:29793427:29794503:1 gene:DCAR_025702 transcript:KZM88627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEFETQPPLAATTVTEDEVVHNKTNYQDDAPPVHVTHKASPGSSSVVRDVFEWRRKDLSLLVLAVATAVYVVLQVYQFNFIPLLSYAAIFIFTSAFIWGNLLRLFGKEGPSMAALEIPEESIRGIAYSIKVSGEEMVRWMFRVGAEKQWGVFAGTVAALWLLSVVGNYIDFLTFLYIGTVVGMTGPVLYRRYEHIIQEYWWRAREQGNRVYAMVDDKVIRKVKDKTGGLRQNKQHKTE >KZM86955 pep chromosome:ASM162521v1:7:8888475:8888729:-1 gene:DCAR_024089 transcript:KZM86955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQIHYKSMRGMEGQRNDNAEIIQEKESLLQQSGEEGWRIVERRKKGNRSQDTMFVSDIPEIERAKTREIWDFFNTVEASQI >KZM88122 pep chromosome:ASM162521v1:7:24744611:24745669:-1 gene:DCAR_025197 transcript:KZM88122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPLNDMTVEQAIMVGIQAACVFAKEKQLELTHIETSHMGIFELISNQELFVIPEELLEAFRLFNSIHANNPSHALNDPHEDNSRKISWIPEHMNSSASYMAEYARLHLSEPVELPGDSTLGNLQFFLDRDMGRVLNNPDIMVMPLMGLGEVIDPPCNTLKRKRVEYEQDEFGGPWDCLVPSADLHNVVLNPSAAVHSWSIIPPASLPGNKGKGKHYDNYSFYDRGSLSQKAIAILDSGALSRFSPCFCDKALNLELPVGDVSLELFAKDVLHYACLDTLGILEPMFLPLPAPDKQFMTIDQMLLEMGLHSSSPQVVSAVKDAGVVLGGTSSVGVGSISSAAQRGRRAASV >KZM88867 pep chromosome:ASM162521v1:7:31887780:31888622:1 gene:DCAR_025942 transcript:KZM88867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRNTKETEREAEDSDQEINPCTVLLVQEHEEGKPEAIDDLVLHHHQQTFNLESIKSAVVIRQLPSQGLSFQLWPAATAFVSLLDRHDRSSTTLSSLLNRPHLGPLRILELGSGTGLVGIAAAAILGAHVTVTDLSHVIPNLKFNAEANMNAVGLNGGKVEVAALGWGVEKEMEAIKENDEYDVIMGSDVVYHDHLYNPLLQTLKYFLLGDRTKKEMVFVMSHLKRWKKESVFFKKAKKDFDVEVIHKHDPCDGSRVGVLLYTFVAKNKKKKVEVAESQ >KZM86489 pep chromosome:ASM162521v1:7:3320918:3322870:1 gene:DCAR_023623 transcript:KZM86489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDLFVRVLKLIKIRPRVALRFFRWAERQPGFKHSEEVFCTILEILVRNDLLPSAYYVMERAVSVNLNGIGDVLVDGFLNSKVSRELLDLLLLIYIRKAMVEEGLSLFDKMVKNGFLPDKKNCNRLLRILRDSRLISLAWHVYNLMEEYGIERTSYTYNIMLDAFCKEGEVELALDLLSDMQGLCKIGKLEDARKLKDEMICHGIAPDVFTYTTLVNASWKSGDLPMAKEYFDEMLHEGVKPDQFAYTSRIVGELKIDGKYSVFSLQEELLEKGFPPDLIIYNVFVAGLCKLENLEEASELLQKMVANGLTPDTVTYTSIIQAHLKIGHLSKAKELFHEMVSRGLKPTVITYTILIYELVGKGRLDLAFMYFSDMLEKGILPNVVTYNVLINGLCRFGRIDQAYRYFAEMNEEGVPPNKYTYTILISENCNMGKLYEALQLYEEMVDKGIQPDSCTYSALLKHLGNEYKWHAVRYLEYILLSNDVEAKANKNA >KZM88612 pep chromosome:ASM162521v1:7:29714194:29714883:1 gene:DCAR_025687 transcript:KZM88612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPETSDHRRSTKPQQLGGAPPPEPEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKACRRYWTHGGTLRDIPVGGGSRKNAKRSRPSSSIFATSGQDYRHLPAAATPIFLPLSGGDHGGSLPFMSDVKQGYNMCGSFTSLLNQGPGLLSLGGFGLGIGHHGFEDMGFGLGRASVWPFAGVGDGGDNGGGGGGHVMGNTWQLESGESGFGSGDCFTLPDLAISTPGNAMK >KZM89107 pep chromosome:ASM162521v1:7:33893782:33895690:1 gene:DCAR_026182 transcript:KZM89107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWLRGRRRSSRLIQSPWVFNWTKKQPEFVTIDDDGDSSKRGGVVSGGTLLKKKVRGSVIGKSHTVGYTPTRLDTRSEWMEPEIVMTRDLAEEDDAFMTPREQFSNSGRTVSGHIGRKSGTSGMAEKARGQRASSRKKIHSQLTAEGHDAAALSKQKKLKVGSGTPKISETRKRAAKAISRRAHLAVNNCGRFTCTAF >KZM89030 pep chromosome:ASM162521v1:7:33206071:33206253:-1 gene:DCAR_026105 transcript:KZM89030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWGRHGKLTKSNIIPGRNSAKRPLCPFSASEELTGHHYLRRLRKSPASHIYITPQYYHF >KZM88141 pep chromosome:ASM162521v1:7:24888667:24899836:-1 gene:DCAR_025216 transcript:KZM88141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRRSSGKNSVSSQLIGAAVDLLALYNNSGKLNDPRLLSKLCITMTRGIDHAIANNEVPIKSRELPTLLQKINVWKHDLSVVIPFMLLMMSVKNACQNGWFQKKETDDLLALANEVKRFFSTDDVLQGPCHALPSISQILSRYYPRLKIENTLCFTEVKPGYRTIVADFNLSRGVVASMREMIGMIVVRVDAIDTSSCIITPQEVDILLNGKGVLGRNNTSMVSVDISETLSKDEPVPLVPTDVTAMLKYGVNLLQAIGNFNGPYIIAIAVMCVIPTSGTPQLQDYVQPVVASGDTASTDMEMALQTSLNCPISKNRMRTPIKGHLCKHMQVLREVEEDTDHVLVSKDGSWKVVSEIIDPSFGSASKTPEFREEKQNQSNPIGKNLSRDENYSQQISPTTNVEPSRVSMHQNTSNSSSLAANCTNLNDQGKKNSRVTGTNSNGMSIAMSPIIDCRGCHSLQKDTELRKQSSWSCINTSSSANPLSVQGSVSQVNSLPVQNSNAYILPTVEQNGYCQNSRDTHTYQNSKNSSNNVCEAVHVQQVHSQRDDEIQASLNTHNRQPSVLDTCSKQSRPESEQKQNMHREKNQVSHEGGLVELPSEGWRPSGRMRGSLQGQAYAEAYRRFIKQPTQPVGASELPSRALGLTQAISVLREFRPQGKNVGGAVKVVETAMALQEAGCFSVLECVPPSSCGCSSSKICAQIFTTGVGDGPFCSGQVS >KZM88835 pep chromosome:ASM162521v1:7:31634058:31637198:-1 gene:DCAR_025910 transcript:KZM88835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTVSSLVAITEKKSHKPSSCTSVIFQLFHWNRRITKKKKMLTQAGAKQASKKFGSDDKLPRFPLITDKSITVPDSGKKQDMLTPGLVARLMGLDSMPAVQKTSCSEIGSGLETVEDCLYGKHTENSETFSSKHELRPQKLQKTGSSGAGKYAVTGFGAEAAPVKNILSRSKNYHQKFAPPVKSSMSLSGRHASRLIDAATRILEPGLKSRNRAKSVLHDSSKKHHTFANTVNTEATRGSTDGLLEGSYVMNDSKSVNRCHNPLNIVNSRQNLVGQPSVSYSVNHSSHDFSRVRPRSPMSSFESEKEKVNQDNQEKITAADQAMHNASSYTGSISYRMPLHRNVRNRWQLTSQQSKSQQDLNCFNSYKQKFPTQNQVNVVNLPRSNESRDSVGLNRSSSGLAQQHLPTEVDIFEYDTERRARDKADSHVPSVRQKSLKVIRKGGGSGFQHSGNQMHTKSDAVSGNGQSRVCRESKFTCKQESNKATGRVSVQNDVISFTFSSPMKQKEIPEETSKMGDEVFCSNDVIEHIRSKKTLLLKGDTLGTLIEEKLQELTDQEIDEFASGSTPERTSSMILQELMSALDSETPLYHNNLVVEPYGRNATSCSGHPPNLHARFQPKAKRIGDLQSYSNDSNYGVSPVSVLEISGLFSSTNYTSVHQHQDGLIELLCNKPQVLEPYYHPTISLSEGRPGLPLVTDVFSDASELLSRVGNIGSRLGGTELDYAKEVILNAELLLGSSVQRDNDMNKGFSVSRFLTDELDTLASVLCANSSFRFDNKRTSLQGFLFDCIIEYLDSRYGPHLRYKNKTPRNLPSCINAEVLINEIVKEIMSWKALASLIPDGHIEKEMSSFLDRSFETELFETGSAIDEDIFQILVDEIVIDFPLTILNFSY >KZM88246 pep chromosome:ASM162521v1:7:26029516:26039545:1 gene:DCAR_025321 transcript:KZM88246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSSIYFPATSRPDSAGISLSRCRPLAQLRTHRVMVVRSDLEKNVSDMSTNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRPFIGGKVGSFVDKRGNHIEMGLHVFFGCYNNLFRLLKKVGAEKNLLVKDHTHTFVNKGGEIGELDFRFPVGAPLHGINAFLTTNQLKTYDKARNALALALSPVVRALVDPDGAMRDIRNLDNISFSEWFLSKGGTRKSIQRMWDPVAYALGFIDCDNMSARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRDYITQKGGRFHLRWGCREILYEKSSDGQTYISGIAMSKATQKKVVKADAYVAACDVPGIKRLLPSQWREWEFFDNIYKLVGVPVVTVQLRYNGWVTEMQDLERSRQLRHAAGLDNLLYSPDADFSCFADLALASPEDYYLEGQGSLLQCVLTPGDPYMPLPNGEIIERVTKQVLALFPSSQGLEVTWSSVVKIGQSLYREGPGKDPFRPDQRTPVENFFLAGSYTKQDYIDSMEGATLSGRQASAYICDAGEDLVALQKKIGVIESNTPTGAELSLV >KZM89126 pep chromosome:ASM162521v1:7:34032532:34048909:1 gene:DCAR_026201 transcript:KZM89126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRGRAGYKPRPYKKTEVLQWLKSLPLAPEFHPTLEEFQDPIGYIQKIEKEASVYGICKIIPPVLLPLMKTTFDQLNKSLLACSASPEGELKPTFTTRVQEVGSCQRKHYPVIKSVRESGKTYTVAEFEAKAKSFEKSFFKGSSIKKGALSSLEIESLYWNANAEKPFEVEYANDMHISAFVELEKRRGGDGLNEYLNVGDSNWNLRGTARLEGCPLRFIKDDIPGVTSPMVYMGMLFTWFAWHVEDHDLHSLNYMHMGDKKTWYGVPQDSAAAFEEVIRNHGYRAEMNPISTFASLAKKTTVISPEVFLNAGIRCCRLVQNPGEFIVTFPRAYHSGFSHGFNCAEASNIATPEWLRFAREAEIRRAAINYPPLFSHIQLLYDHALSFSSRVPVSMETRNSGLEEKHKGEGERLVKELFVQDVKHNTNLLHSLGKRSPPILLPCDFFKGNFPDLHNEVLQWLKTLPLAPEFRPTLEEFEDPIAYIHKIEKEACVYGICKIIPPVSLPLTKSTFFQLNKSLVACSATPEGELRPTFTTRVQQVGSCPEKGHPVIKSVRESGKSYTVEEFEAKAKCFEKDYFKKSSIDKGALGPLEIESLYWNASADKPFEVEYANDMHISAFVELEKRRGGDGLSDDLNVGDTDWNLRGAARSRRCLLKFVKDDIPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNYLHTGDRKTWYGVPQHAAAAFEDVIRNHGYNGEMNPLCEFGEFVVTFPRAYHSGFSHGFNCAEASKIATPEWLRFAREAEMRRAAINSPPLISHIQLLYDLALSFSSRGPASMETRSSGLEEKKKGEGERLVKELFLQDVKHDISLLHSLGKGSAAILFPRDFIVGNFPELRDGNNIPLYNKHINYSCTTTSQNLNINGFVQCEWLPYAGLFPCLACGVLCFACAAIIKPGEVDVHNLMLADFGNIGGSGVASDIAASNWWFRSAGSAKNAVLDSGLDGWGENWDDGAVRAKANQERQCFDNYENERDINDKGPHWYRNAEKDSGSSNDENFGRRHYPLRPDVEACSLELGLADLITGWPFPWQEPTCCTRVVNSPFGEAEQAIKEVLSCRNEAFIKGNETGWLKTLSLAPEFHPTLEEFKDPIAYIHQIEKEASVHGICKIIPPVSSPSMKTSFLQLNNSLMACSASPKGEFTTRVQQAGFCQGKGHPVIKSVTESGKSYTVSEFEAKAKSFERNYFEKSSIDKGALSPLEIESLYWKDYSYMA >KZM86329 pep chromosome:ASM162521v1:7:1739139:1743237:-1 gene:DCAR_023463 transcript:KZM86329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGATKLIANLSSKLAQQSRCFATAPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVYNTVAEAKADTKANASVIYVPPAFAYKAIMEALEAELDLIVCITEGIPQHDMVRVKAALLQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLKKFIADPQTEGIVLIGEIGGTAEEDAAALIKESGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGTAMFDVFKQRGLL >KZM88448 pep chromosome:ASM162521v1:7:27981626:27985274:-1 gene:DCAR_025523 transcript:KZM88448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARRAIEDNCIGKKIIRALIADDSKVIDAVSPSDFQASLVGKTIVAAHRKGKNMWLQLDSPPFPTFQFGMAGAIYIKGVAVTKYKRSAVNDTDEWPSKYSKVFMELDDGLELSFTDKRRFAKVRLLENPVSALPISELGPDALFEPMTAEDFFKSLSSKKTGIKALLLDQSYISGIGNWIADEVLYQARIHPLQISASISKESCFALHQCIKEVIEKAVEVGADSSQFPSSWIFHSREKKPGKAFVDDEDVDDSDDAGGPEEQVQEDAKHVELKGKNTTKKGKKPPAKEKNTVNDSRGAAVEVRSRGKNPSTKGKHQEDEKDVVDQKKKTRGGKKLPAKRKSLESDDEHDDDGVDRDDDKEDQEIIKEEKSKAKKETGKTGKQPKKRSR >KZM87225 pep chromosome:ASM162521v1:7:12707937:12708308:1 gene:DCAR_024359 transcript:KZM87225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTSSLEQWYLKAAIIVACSVLGYLVYDAIMSTAAELLQRLLIISPLLLVFLVHLLSTPNQVSISVPGSEPDAIYGAGGSPWGIAMLLLLLYFLIMYQPSFNALVFYIFMTIVTLRVVLY >KZM88043 pep chromosome:ASM162521v1:7:23906722:23909772:1 gene:DCAR_025118 transcript:KZM88043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVDIASGLVQKVVLLATEEVIQAWNLHDDLETLRKRLESIDALLSDANTRNLTMPAVQNWFNKLEALANVADVFMDELAYEVTRKKSGRSSRMAGDLGLQPIAHLSSIAQPREIRNTPPFEDEAKIVGRDDDISYLVQTVCKNHEQDLQVIAVVGMGGQGKTTLARMVYNKDDVINKFPKRMWVTVSDDFDFMKILNQMVVSLTSRPSTLENIEGLINYLQKKLKGVRFLLVLDDVWNEKQGDWDNLRNSLLGVGAARGSNILVTTRKQEVVDTMRCSVCYSVEKLSMEYSWELFKQRAFSHEGVLETEIFKTLGRRMVERCGGLPLAIKTLGSLLHSKKSEQEWLLIDNSEIWKSKDSDIYKDELVQIWMALGFLFPPLGSNMLMEDFGNEYFNILLWNSLLQEVERDAFGNIKRCKMHDLVHDLAQDLSKHHSLTVKAGHDQFNHISQAMYVRVDEGVLDIKPQKNFERVQILFAGTRILADVLPYLKRLTVLVLNADGVTNKLPSSLGKMKYLKHLDISCSRYRLPSYITELYNLQTLRVWDLDELPKKFCNLINLRHLYIENAYSQRRCVFTGIGRLTCIQTLPHFVVSKDKMCLVRQLGGLKNLRGKLELYGLGDIDNMEEASMASLHTKPNIERLKLVWRNKEDVMEKREYNDEDVMEGLKPHTNLLELTIINFMGRKFASWITMMTNLVKVTLRNCKRCEVFPQLGHLPKLREMDINGMDALRVIGSHFYGGLGRGSSEFSESCTTIYPSLTRLILWDLPKLEEWLEPLVNTYNEEQGPVQVFPKLEVLEIVSCSKLRRIPKSCFLSLKELEIKNLDSSKILETMSTKLSSLTNLRLTNISDGDGGCSSSKSNMDSVLEELLKNSSLTLTNLKLDHCQGLTCLTFSLFVALDTLKVVDCPNLTSINVIKELSALNHLISECPNLKEGNWMNSLYSNYKLVKYI >KZM87847 pep chromosome:ASM162521v1:7:21175969:21177817:1 gene:DCAR_024948 transcript:KZM87847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDFAHFMLTGGKVEKECAAQCSPSKCAYRKHLAEIFNINRSRILSFKDKDSPSKDVFQESFSPAQTPAKRRRHIPTSAEKTLDAPDLLDDFYLNLLDWGSGNFLAIALGNLVYLWNAMNGDASVLVAVEDDVGPVTSVRWAPDGRHLAVGFTNSHVQIWDSSTSKLVRTLKGHRLRVGSLDWNNSILTTGGMDCLIINNDLRIRSHGINVYEGHSQEVCGLKWSVSGKELASGGNDNLIHIWNMSMASTNSATQWRHRMEEHTSAVKALAWCPFQSNLLASGGGVGDQSIKFWNSNTGACLNSVNTGSQVCCLLWNRHEHELLSSHGFNDNQLILWKYPSMLKLSELYGHTKRVLYMTQSPDGYTVASGAPDETLRLWKVFGDPGKVKPAAKMTEPFPNVARIR >KZM87423 pep chromosome:ASM162521v1:7:16233586:16248527:1 gene:DCAR_024557 transcript:KZM87423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPARKSRSVYKRHSNINDVSPVKHEEAADRRVPRKRKLSDMLGPQWSKEELEHFYQAYRKHGKDWKKVGAVLRNRSIEMVEALYTMNRAYLSLPEGTASVAGLIAMMTDHYCNLAGSDSEQESNEGAGTSRKFQKRSQAKAQPKTSKGSEGRLTTSSQAIAPSYDYLPLLKKKRSGGTRPRVVGKRTPRFAVSFSHENINRDAYNSPTRQYGDANDSDVGHENLIALAEASQRGGSSRFSRSAKRMVGDSDMDEDDLEGSMNADNEDYPRNKRYMMKAGNKSVLSRKEPRVYGKKLEVDNNRNSRDEIREACSGTEEQKLGAVREKSEIEVSNGKHSRYSQGRWKRSKKVLFDQDEASALTGLEALANAVLMPESTNDNDSSIHVKEESNEVEEPESLDGIDNRTHQIEKGWVSETRWNQSIPGSKHAATNRTSILGKDSAHGVSRSPEAELKTLYSVTKLSRKKQKTLASKVSAERHTDNHMSESQVAEGREVWNKLSKGKRSSQSASPMLNKYPENSSSSTCPKKEAGDSAISGVCLPVADQVDITNKVRRFRKTMVRKGLKYADSEVSDRTKKLLNCFSNHQVRRWCAFEWFYSAIDYPWFAKREFVEYLLHVGLGHVPRLTHVEWGVIRSSLGKPRRFSEQFLKEEKEKLNRYRDSVRTHYTELHSGTREGLPTDLARPLSVGQRVTAIHPKTREIHDGSVLTVDHNRCRVQFDRPELGVEFVMDIDCMPSNPLENMPTSMTRHISARDIENLSNFRMNGQAKDQRPEGYIKFSPSENLENVFSGPHTSAASHPTFNLLDPAKIGSAFVDSQAILGPKDKVPNPQISYSHPSTLAHIKAQEADVQAIAELSRALEKKVPQVLVSELREMNNDVLGNQKDGVSTLKDSEPFKKQYAAVLVQLRDADERVTSALDCLKQRNTYQGNGSLTWPKPMANSVSSVGVFNSSNCSLQNEEYGSHVNEIVLSSRTRAHTMVSAAIQAFSSMKGGGNTFEKIEEAIDYVYDQIPSEETYIPSERPFIATESGNLASQELKSGTLSPLQTLFNPQSDKQNLVPSELIAKCVATLLMIQKCTERQFPPADVAQILDSAVTSLQPCSSKNLPVYADIEKCMIMIRNQIMALVPT >KZM88926 pep chromosome:ASM162521v1:7:32360358:32360765:1 gene:DCAR_026001 transcript:KZM88926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHISTIITSLLRCFRPSPPPPPTTTVNISQTEPCNLDSPVIIYDSKNFKSESCCAICLEQLAHGDEARVLKRCMHVFHKDCIDEWLPNRSLTCPICRTCAVDHEVRTNESRRANCRRWEDVDFPASFRIARYL >KZM88465 pep chromosome:ASM162521v1:7:28143731:28146798:1 gene:DCAR_025540 transcript:KZM88465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSGTKFPAPVKQEVEDQLEDQHGPLYKRSKASSSSSQQIGVRSDSIYAAPPPPAQYNPLDEPSPLGLRLRKSPSLLELIQRRLSQPDSSNPGGGPAKNEHRGGGAGAASGSGESRLKASNFPASILRIGAWEYKSRHEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIIGLKAAYPDDGPGTLDVALARQPLFFRETNPQPRKHTLWQTTADFTGGQAIIFRRHYLQFPPGLLGKQFEKLIQCDPRLCFLSQHEDMVDSPYFEPRKPIFDKLDDVKNEIDINIATGPATSELRDQVSTSGTRSSFSKNEYDFLSRHHDHFLHESPSPSSVIDSKVIENIKNNSLEELKNRSCWDRIRVPGLHTSLSMNDLVSHLENQILEPTISNSPILSDDQRRSLEILEEISKCLFTDSRHITSDEKSVMSRVDSLCCLLHPSTVQEDPLDKGNNTNMATSEDNKHVHELKWFDTKLKSKVAEEAPTLHSELNDGSGCKQEPDMSRKDSVGDLLLNLPRIASLPQFCFNFSEDPDNQNK >KZM87921 pep chromosome:ASM162521v1:7:22089449:22095488:-1 gene:DCAR_025022 transcript:KZM87921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFINTVKWIEEVRSERGSDVIIVLVGNKTDLVDKRQVSIEEAEAKAGDQNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSVSSSQSSESGGCPC >KZM87885 pep chromosome:ASM162521v1:7:21692363:21702651:1 gene:DCAR_024986 transcript:KZM87885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLSPAINSTNQIQFPARETRDRNQESSRDRWSIDMIREFDQVKVSMHSAISMDEKENVDAALNDFSAGYFRLSRDKHKILLHLLAKQYDVYRIQVRELMKQYLDIQLPSGLGEIAEDGSYTEEAPLNSFYRIERNLKDALKPMYEVLFERLNTHPGGLKFLTIIRADILSILAEENIPSLRALESNLREKLITWLSPANLELHQITWDDSASLLEKIVHYEAVHPISNLLDLKRRLGVGRRCFGYLHPTIPGEPLIFIEVALMKNVAQTIQEVLWDEPPIPEPEATCALFYSISSSQPGLAGINLGKFLIKRVIDVVRKDMPNISTFATLSPIPGFLQWLLPKLASADTFQENMLDPEEETALLDLSMEFTAGKSGMEIMLTLLTLNNYEWTKSDDLITALRAPMLRLCARYLLQEKKRGKALDSVANFHLQNGATVGRVNWMADRSEKGLSQSGGIMVNYIYRLDKIEENAQAYFSTGHIEVSPDV >KZM86393 pep chromosome:ASM162521v1:7:2414865:2415932:1 gene:DCAR_023527 transcript:KZM86393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNNHMTMNLSQVGNQISSNNMLRLGTPGATAKLEQLIPSSNNMNSSLFGAQTPQSMSSSPFFMNQDHSNQGYHEMQNKSFHQGLMQLPDLQNNANSSNNSPSYNNLSSPSYLMQPDHPFNSGNASGGQGTNLFSGGGNNSGLSSSLYNNNNHDSQAPPHMSATALLQKAAQMGSTTSNTSASLLKGLGSSTSSMNGANKYSRSFSVNSENIETNQLQGLMNTLANSNSSSSMFGGTDQQNNNFGGFSGAMRIEQQQQQQQQQQHQNVANYGNMDEAAKMNHNFSLSIGGSDRLTLDFLGVGGGRVSRNAGGGFSQREHAAAAAAAGMGMNPLEHQKMNSSQGSHNVFGGSQLQ >KZM88186 pep chromosome:ASM162521v1:7:25267365:25268384:-1 gene:DCAR_025261 transcript:KZM88186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVVEEVRLEAIHPGTEEEMAAMPGEDCFDPVTREARPLISLSGRWRDFPRFTIPLPPKDLHYRFFEDQSSFYRTFKIRPVEINNAKVEQELADCDHLQRRSTFNVAEYGPYMSKLFRFGSDYSWRPAREEERLHHRGAGGSICVTLEQLKAGLRFPMHRFMWDLVCVHLKCSISQITPNAIRAINWFIASCTALGKQPTLKAFFHLFNIKTSTAKPFVELPFANKSSVIGRELVDYMPFDFPNSMTDWQYEFLVVAGGELAWMPNMARKVEKSYRAPRDQLSAVDVEILVGITKALGSTWTKNAFFENGRLQLYLRKFLTLLNVGGVCFVFGGFLAL >KZM88786 pep chromosome:ASM162521v1:7:31304494:31311166:1 gene:DCAR_025861 transcript:KZM88786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSSDYWTRGVFTRSTLCILIVLQTAAAQQGFSSIQCCADTNFTDSTTNISWATDDNWYPDKLTCQGITQHIDNTTLSVKTRVFNSSFATKWCYNLTTRKDQDYLIRGTFRAGDLQKAPQSRIFDVLIDVTSIAQVNSSDDAVVEGIFRTTMDYMNFCLLKEQGDPYLSKLELRPLSSNYLKEKASTVLKLTHRIDVGNTGTAIRYPYDPYDKIWRPQPDDVHSTDATESSVLNISIIPTSTVLPPTQVLQTALTHPERLEFLHNDLDTGYYTYNLYIYFFEHNESVQAGDRVFNIYINNEKQQEVDILSAGSNQRTVDLNFKANGVLNLTLAKTNGSQLGPICNAYEILQVRPQLHGTTQEDVNIIMDVKDNLLVENQDNKVLRSWYGDPCLPLPWNGLFCTSIDGSSVITKLNLSYKNLQGSLPPSILKMRHLRELNMSNNRFTGVVPAFPASSILTSLDLSHNNLKGKVPESLASLQQLTTLSFGCNQHMSRELPGNLNRSKLDTEYEFYTRDYFMNMFSANGVGVAQKEGTKLTISHGFPFSNGTCNRRRSSNTSKGIIIGTVTGGILFVVVAGVFCYCFYKKKVMTRGKFDRGNSMTKNAIYSIRSMDDISIRSINILNFSLENIETATQQYKTLIGEGGFGSVYRGTLTDGQEVAVKVRSATSTQGTREFNNELNLLSAIRHENLVPLLGYCYEKDQQILVYPFMSNGSLQDRLYGEAARRKTLDWPTRLSIALGAARGKLHIL >KZM87378 pep chromosome:ASM162521v1:7:15300630:15301954:-1 gene:DCAR_024512 transcript:KZM87378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDVLSRHRWSEKADNFGHDVHVLAVDDSLIDRKVIERLLKITSCKVTAVDSGIRALQYLGLEKNSVGFDGLKIDMIITDYCMPGMTGYELLKKIKGSSTFREVPVVIMSSENVLARIDRCLEEGAEDFIVKPVKLSDVKRLKDYMFGEDKIPNEKQETNKRKLSEISSEPEISEPEFSASPSPPSSVSDSSPKSLSSSPSLDSSTTISSTESPQSSTPSSPPCSPSLLTSPTRRLKMTNLELD >KZM88858 pep chromosome:ASM162521v1:7:31812614:31814895:1 gene:DCAR_025933 transcript:KZM88858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANTDNSSAINDSTASGEASVSSSGDQMAPKKPEKKKRNLPGMPAAERFNKFSFSLRRDSFITHRAFCDALAAETSKQQPVDASATPSLGEDPMPQNDSSLPPSPPSLLTSTAEIPAVLRNHSPELQEASDPNTGEVSNNTPPLVIGLRGSCSSSSSNGSTSSSVFTSLFTSSTFSGSMHSQTTGFTDLIRAMSQAEPAPPSSAEKISLCLATNHESGNITGQDHKQYAPLPPPAMSATALLQRAAQMGATAPNTSLLRGFGVVSSASPTGQGEWKGQKVESDGSLLAAGLGLGGHYDGISGLKELMMGTPSVFGPKHTTLDFLGLGRAASDGQTTGLSRLMMSTPDAAAASTTSFSGTEHSGEENRKSS >KZM89109 pep chromosome:ASM162521v1:7:33905604:33906596:1 gene:DCAR_026184 transcript:KZM89109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFLRLLQQEEARMLLKDLLLALLPSLLLLFLVKWLFFNATVSNKKLPPSPSRLPVLGNFLQLGLVPQRNLWSMAPKHGPFMLLHFGSVPTLVLSSAGAAREVMKVQDIMFCDRPESSVGRRMLYDRKDISAASYGEYWRQLKSIFVLQLGSNKRVQKREEETGLMMNKIKEMSVSSLPVDLSELFLTLNNDISCRSAFGRKYSEGGKGREFIKLLREFLELLGAFSFRDFIPWLGWVDRITGLDARLDRVSKQLDEFLQNVVQEHLHLGESNIQTEHKEDFVDILLRIQKETTHGISIDNDSVKAILLVRFPFSLRFNLSLKKKFVSN >KZM87260 pep chromosome:ASM162521v1:7:13250811:13258053:-1 gene:DCAR_024394 transcript:KZM87260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPQIHNLSSSKTLLQCHKPFFSGNLGAKPAFPNIKRARSSSSGNKNKNISTSVSHAARSSSSIKSVANYTETTTEVLATVVVQFTVSGFLSNLGWNPLDDISDLFGKSIQLELVAAHLDPSTGLEKKTIKGYAHRAGNVDADDVKYECKFKVPNDFGEIGGVKVENEHHNEMFFKTIQLDGFPNGSILVNCESWVDSHRDNTDNRFFFTNKSYLPSDTPEGLKKYRENELMKKRGDGTGERKSKDRIYDYDVYNDLGDPDKDAKLARPILGGKEFPYPRRCRTGRPRSKKDPLSEKRGSSVYVPRDEEFAEVKSLSFSAKTVYSVIHAVIPSIENVSIDAELGFPYFTAIDTLFNEGIDLPEVPKSTLINLLPRLLKTVTDQGKNLILFETPEFLDRDKFKWMKDEEFGRQTLAGLNPCCLQLVKEWPLKSQLDPKEYGPPESAITTKTVEEVIRGFMTVDEAIKKKKLFIIDYHDLLLPYVKKVREIKGTTLYGSRALFFLTPIGTLKPVAIELVRPPMDGKPQWKEAYAPGIDATSGWLWKLAKAHFLAHDSGFHQLISHWLRTHCATEPYIIATNRQLSEAHPIYRLLHPYFRYTMEINALARQALINADGIIESSFAPRKYSIEFSSVAYDKLWRFDHQALPEDLISRGMAEKDPRAPHGLKLTIEDYPYANDGLILWDTIKQWVTDYVNHYYPKPGQIESDEELQAWWEEIRTVGHGDKKDEPWWPNLKTPKDLVQIITTIIWVTSGHHAAVNFGQYDFAAYMPNRPTIARVKMPSEDPTDEEWKTFERRPEDALLSCFPTQLQASKIMAILDVLSNHSIDEEYIGEAPEPSWAEDPAIKSAFEVFAGKLKELEGIVDARNNDKKLRNRTGAGVVPYELLKPYSEPGVTAKGVPNSISI >KZM88785 pep chromosome:ASM162521v1:7:31298670:31301345:-1 gene:DCAR_025860 transcript:KZM88785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLLGFQAPISTSTANPSQLNTISSSFNAELPPTFLTIPIMSSSSLIFLTSRPTRPTSPNFTKKMFSRKVGCVQVEEQAAEVEIADGYTMTKFCDKIIDLFLNEKPRVKDWRRYLVFRDDWKKYRDHFYNRCHTLAMSQTDSVMKQKLITLGRKVKRIDDEMEGHTELLKEIEGSPLDINAIVSKRRKDFTEEFFRHLTLLSETYDGLEDRDAVARLGARCLAAVGAYDNTIDIVDTLDSAQAKFDDILNSPSVEEACAKINSLAKAKELDSSLILLINSAWASAKESTTMKNEVKDIMYRLYRATKSSLKSIEPKEIKLLKYLLNITDPEERFSALATAFSPGDDHEARDPKAVYTTPKELHKWIKIMLDAHNLHKEDTEIREAKQMTPPMVIQRLFILKETIEEEYFQQNANQENKSENSEEL >KZM86570 pep chromosome:ASM162521v1:7:4510059:4511218:1 gene:DCAR_023704 transcript:KZM86570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLMNIRKKKVKSVVLGSGPRARSRAFRIVLPPPVKQVNDAVEVEVNKEVEVNDVTLKVSTAERLKLMRTNTPCSMDAYLKLRKREKMQAALKTMQSQFPTENLQQPGPPEQKWRKPRGKSKLTHVHTRGEKREIKLSDLGQPVDDDEHLIREFSNFLGTTVREFVSLTCRSWSEVPQKDILWQYVKNKYVISEDGYDDDAGLV >KZM87922 pep chromosome:ASM162521v1:7:22098745:22104699:1 gene:DCAR_025023 transcript:KZM87922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLRAAIISHFRVPASQTLALNGSKLWTVRSMSSHGDDHLDKNQVIDRVLEVVKCHPKIDPSKVTPEVHFQKDLGLDSLDTVEIVMALEEEFKLEIPDKEADKIDSCGLAIEYIYNHPMSS >KZM88833 pep chromosome:ASM162521v1:7:31626943:31630890:-1 gene:DCAR_025908 transcript:KZM88833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASSFGPKGNLGFDFEQLGVHVESSKRSSVLCFVQRDSLLGVGLPLHSRGSSSFVLPTRSVVSLFPTWVKPRSIKARAAEGEFEDVAPTKLQGKSFGSVLPFVGVACLGAMLFGYHLGVVNGALEYLSKDLEIVENDFLQGWVVSILLAGATVGSFTGRALADKFGRRKTFQIDAIPLAAGAFLCATAHNVQTIIIGRLLAGIGIGISSTIVPLYISEISPAEIRGTLGLINQLFICVGILAALVAGVPLESNPLWWRTMFGIAAIPSVLLAVGMTVSPESPRWLYQQGKLYEAKSAIERLFGKERVVEVMNDLDAYSQGSTKPKAGWFNLFSNRYWKVVNVGAALFLFKHLAGINAVVYYSTSVFCSAGTTEASFPMDKRGRKSLLVISFAGMVASVLLLSISFSWNVLAPYSGTLAVVGTVLYVLSFSVGAGPVPALLLPEIFASQIRAEEAALSLGMHWPRNAVEAKDGSLEEIELDLRKAATIV >KZM87912 pep chromosome:ASM162521v1:7:21961060:21961314:1 gene:DCAR_025013 transcript:KZM87912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQSGKEAAEELTRESLIAISYSVPDTDNSEEPSYPNKGSDNEIADLKDEKTENIISELISISYAELPEINTPPVCPEGPKA >KZM87126 pep chromosome:ASM162521v1:7:11219362:11219541:-1 gene:DCAR_024260 transcript:KZM87126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGYPTIIYLDPDPYITCRHVTGMMKMEMKVLAFGSPCNKDDMKKLKNKILILKWESS >KZM88249 pep chromosome:ASM162521v1:7:26054690:26057769:1 gene:DCAR_025324 transcript:KZM88249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGTGIRIDKKQNAKGVKLNGASHDTASQITTESSETKKIVVEDRTVKDLLDEECQEKQDVLGVKNINCEVGPPEEKSVIPDTQKSSEKTLRSPVKPASGSDNFKMNSKVSQSFRYMTGTQASGSTLYPNLRCSPRIKDSHTPKKPDDLEVPSRSTAKKLQPDHRKYYDDEDNWSMASSAAASVRTVRSVTVPVAPSFSSAGRIAKRKEFYKMLEEKHKALEAEKREYEARMKEEQAAAIKQLRKSMAYKAKPVPSFYHEGPPPKVEPKKLPVTRPKSPNFTRRKSCGDAVNSPAEKGAIPQGNRRSLGTYREVNNTASNIKTKNQINVRNTHGNFKVKDHTKPVTEKHKASPQKITEEHAADISVES >KZM87629 pep chromosome:ASM162521v1:7:18741753:18748528:-1 gene:DCAR_024742 transcript:KZM87629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRRRMEEEKRRRRKGQDSEDSSNDEEYEVEDVREDVRESRGHHMARIASEFEAATEAYRRIYSREHIFKGLTDLSRGCSIHPDNRWYHAWEKFILLWAMYSSFFTPFEFGFFRGLPRNRNLFLLDIAGQTAFVIDIVLQFFVAYRDRQTYKMIYKRYPIAMRYLKSHFIIDFLGCLPWDIIYGATGNKEAVRCLLWIRLSRARKVLAFFQKLEKDIRIKYLFCRIVKLIVVEIYCTHTAACIFYYLATTLPAEKEGYTWIGSLKLGDYSYSNFREIDLWTRYITSLYFAIVTMVTVGYGDIHAVNLREMVFIMIFVSFDMVIGAYLIGNMTALIVKGSKTERYRDKMTDIIKYMNRNRLGRDIRNQIKGHLRLQYESTYTDAAALQDLPISIRAKISQTLYMSCVENVSLFKGCSSEFISQIVTRVHEEFFLPGELIMEQGNVVDQLYIVCHGLLEEVGICEDGTEETVSVLRPNSLFGEVSILCNIPQPYTVKVSELCRLLRLDKQSFSNILEIYFHDGRKILNNLLEGKESNLRLKQLESDITFHINKQEAELALRVNSAAYYGDLYQLKGLVRAGADPNKTDYDGRSPLHLAASKGYEDIILFLTQEGVDINISDNFGNTPLFEAIKSGHDQVVSLLIKEGAILKIGDSGPFLCTAVARGDYDLIKRVLSAGIDPNSSDYDHRTPLHVAASQGLYLLAKLLLESGASVISRDRWGNTPLDEGRMSGNKNLIKLLEIAKSSQLAEISNQSPEITDKPQPKKCRVYPFHPDKPKENKPSYGVMLWVPETMEELIKKAAEQLNCPQNSCILSEDAAKILDADMISDGQKLYLIDETQ >KZM89055 pep chromosome:ASM162521v1:7:33405224:33411522:1 gene:DCAR_026130 transcript:KZM89055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVANAWATGHSVPVPHIRPPQSCLNSHKFLSLRKLNYLLGWSCSSVPRNPSWGIGSRVVKQQVFNCRCSGDGNGGVGDRNVSTSNFQLEDAIEKEDFQEAAKLKIAIKEATSKDSIAEIMSELKNAIDEERYHEASRLCRSTGSGLVGWWVGYSKDSDDPFGKLIHITPGVGRFVGKTFSPRQLVTASPGTPLFEIFVVKDVEETYTMQVVFLKRIKGSTTKSTRSPTSKSTKLPSEDDEDTSVVDVEVNEDKPEKGNEKSMTFEGVTEDGLRSVINFLKDKIPELKVKVTYVDESDDEVIEDSDSLKQIMEENDENSVLVESSEDESSNLDDIQPDQFSLGGAGNAVEDEKNLDMMKLFVGGILHNKEESPSKDEYMRVPGEIKDMERDSFLLHVPVGKLGRNDSANFLPNEELAAISAKGASDLMPPDVAKAFFGANKVSPRVSRDVREIVKLAASQAQKKEKLSEYTSFSRINTSNGDLDPFEGLYVGAFGPYGTEVVQLRRKYGNWNSTSDSEESSNIDFFEYVEAVKLTGDLNVPGGQVTFRVKVGQGNRLSNRGMYPDELGVVASYKGQGRIADFGFKNPKWVEGELLQLNGRGMGPYVKGADLGFLYVVPEQSFLVLFNRLKLPE >KZM87449 pep chromosome:ASM162521v1:7:16523845:16525890:1 gene:DCAR_024583 transcript:KZM87449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFLKIQTCVLKVNIHCDGCKHKVKKILQKIEGVYKISIDSEQGKVTVSGSVDAGTLIKKLTKHGKHAELWGAPKANNNNNQLNNQMKGLQIDLGKGGNNKGQQPQKGGNNQQAQKGGNNQQAQKGGNNQQAQKGGNNQQAQKGGQQMPTQMPTQQQLQQLQQMKGFQDMKLPPQFKDMKMPASFGKDPNQKAMKFNLPEDDDFSDDYDDEDDYDDEDDYDDDDLDDLDDDLDDAPPLNKMKPMMNQGPPPGGNNGGNGGGNGKKGGGGNIPVQMNANGGKKGGAGGGNNGGNQNGGGGPPKNGSGKPNGGGGGGGAGGGQFKNGGGGGGPPGGNNMNGNGEKKVMMNQGGHVMPNMMGMSGSGGSSLGHIGGMPMGQMGQMGNMPMGQMSNMQAAAVQGLPAAAMNGGAPGGYFPGAGGPQAMGGNPYYQPQMAAMMYARPPPAVNYMPPHPYYPYHPPPSDPYTNYFNDENTSSCNVM >KZM88562 pep chromosome:ASM162521v1:7:29210830:29212824:1 gene:DCAR_025637 transcript:KZM88562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEESQVEKEVEQENTTSHGHSHGHRDRDKMDKKERARERREKRRQEISLLRTIPYSDHQKWWSSETIAMVTGANRGIGFEIAHQLAMHGLTIILTSRETAVGEEAAKVLQEGGLNVVFHQLDIIDPESIKACADWLQETYGGLDILVNNAGANFNYGTDNSVEHAEQIIQTNYYGTKNVTEAMIPIMRHSDVGARIVNVSSKLGKLHSRRNKIEDVALREQLENTDTLTEEFIDQTVNTFVEQAKDGTWQSGGWPQTNTEYSVSKLAINCYTRVMAKRLSERPEGQKIYINCYCPGWVKTAMTGWAGGISPEEGADTAVWLALLPDHLVSGKFFFERRETSF >KZM87866 pep chromosome:ASM162521v1:7:21453157:21453534:-1 gene:DCAR_024967 transcript:KZM87866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVFLTHSVLGRQSRLGYRTLSSVGDYDGRVKVVVGKERKEFLVDPLVLEENPFRVLIDLARKNDNKESAADNDDSARRGREEVFVDVDVILFEHMLWLMHNDYSSLFQLNLKEIVDFYAQDI >KZM88218 pep chromosome:ASM162521v1:7:25608144:25611894:-1 gene:DCAR_025293 transcript:KZM88218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNSTAGENYAHPQICFFHVIFKASALAFYILSALFFDSFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESIWKFECLDQESLARMNKKDSWLFWWTIYLTAVLWLILGIFSLIRFQADYVLVVGVCLTLSVANIVGFTKCRKDAKNQIQAFASQTIASRFSSSLQSAFTVV >KZM86778 pep chromosome:ASM162521v1:7:6791098:6797203:-1 gene:DCAR_023912 transcript:KZM86778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKEKLDIARQLAKLGVDIIEAGFPAASDADLEAVRMIAMEIGNMGGEGENEYVPVICGLARCNKKDIDSAWEAVRFAKRPRIHTFIATSEIHMKYKLKKTKEEVVERARSMVAYARSLGCQDVEFSPEDAGRSEREFLYHILGEVIKAGATTLNIPDTVGYTVPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGACAGARQVEVTINGIGERAGNASLEEVVMALKCRGEQVMGGLYTGIDTKHIVMASKMVEEYSGLLVQPHKAIVGANAFAHESGIHQDGMLKHKNTYEIISPEDIGLHRSDESGIVLGKLSGRHAFRSKLLELGYDIDGKEFDDLFWNFKSVAEKKKNITDDDLIALVSDEVFQPQVVWKLGDVQVTCGSLGLSTATVRLIAADGVEHTACSVGTGPVDAAYKAVDLIVKVPVSLLEYSMNGVTAGIDAIASTRVLICEENYHATKNAYSGQAIQRKFSGTGASMDIVIASVRAYVGALNKLLGIKKQKVEEYAGTEATQSL >KZM87268 pep chromosome:ASM162521v1:7:13331093:13334205:-1 gene:DCAR_024402 transcript:KZM87268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSMITSCMALFFSLMAMAEATSKVQSAWGPWQTAHATCYGGLDAQGTMGGACGYGNLYRQGYGLHTAALSTALFNNGERCGSCYELKCIDDPQWCRPGNPSIFITATNYCPPNYDLPSDNGGWCNPPRQHFDLAVPMFVRLAPYRAGIVPVSYRRVPCIKRGGMRFTMQGNPYWNLILFTNVAGAGDIVSAEIKGSNTDWMSMTRNWGQNWQSTHVLVGQSLSFRVTASDGSVSESLNVVPSNWQVPCIKRGGMRFTMQGNPYWNLILFTNVAGAGDIVSAEIKGSNTDWMSMTRNWGQNWQSTHVLVGQSLSFRVTASDGSVSESLNVVPSNWQFGQTFSGNQF >KZM87159 pep chromosome:ASM162521v1:7:11853853:11855574:-1 gene:DCAR_024293 transcript:KZM87159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRDIFSYNTMIAGLMQCGDVSGAKEVFNYMPCKDVVSWNSMISGFVRNRLMSDALNVFDKMVMKNVISWNLVIAGLVGNQELDLAEKLFGEMGTRDVVSWTVIMSGLVNAGRIAEARRCFDDMPVRDVQAWNTMIEGYIKVGYTGIAEVLFHKMPGKDLKSWNDMISGLVSSSRVHDALRLFSEMPEKCNRSWNSVFFGLVRNGLVKEAHALLEKTPFQDVVSETNLILGYFDIGEVENAVKVFELMGIRDTTAWNATIFGLGENDHGEEGIKLFIKMREGNVSVDEATFTSVLTICSNLPSLELGTQTHPQVIKIGLECFVPTSNALITMYARSGNVGSALREFSFMRSKRDIISWNSIICGLACHGHGEEALEMFKQMRMTEEKPNQITFIGVLSACSHAGLVKQGKYFFNFMKNECHVKPTNEHYTCIVDLLGKSGLIKEALTILDQMRADGVEVPSSVWGALLGACRIHKNLEVGKIAGENVLKLEPLNSGVYMILAEMYMDSGKRFEAEKIWYRMREKGVKKQPGCSWIEINNNGSVFIAGDNSHPRFSGICYVLDLMHAEMGVEM >KZM88667 pep chromosome:ASM162521v1:7:30133748:30136448:1 gene:DCAR_025742 transcript:KZM88667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTIFNLIKFVVLTASLISYSSSLKIGETCSDSNKCDSGFQCNTCPINGNTRPRCTRLQPLSPTSKVKGLPFNRYSWLTTHNSFSIVRAVSDTGSIVVAPRNQEDSVTDQLRILLNGNEGMVAGSCPNRAESSPMNTSTISLVLQNYFRTDPNLTAACMDNSAPLISMMDTCQKAAGKRWPNFIAVDFYQKSDGGGAAEAVDEANGHLTCGCGSIAYCKENATFGTCDTPPISPPPPAAATAEPTSQTASSASSLTTFVQFQFFLWTILIITVFFSNL >KZM86880 pep chromosome:ASM162521v1:7:7931517:7931771:1 gene:DCAR_024014 transcript:KZM86880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVSLLIGDFDSDDAKNGEKIAQYKCSQQKILQKKDDTSNGESKGKQPLRSDKGEKLISSDNKGVIRKETRKRKMETMKNKI >KZM88367 pep chromosome:ASM162521v1:7:27257696:27258055:-1 gene:DCAR_025442 transcript:KZM88367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRNNSSLVCSLLLVIILVSGSTKLGGAAGDGYRGASVCNGTIGDCGAGDEVEMLLMHSPGRRLAGTSGRSISYDATDTGQSSFCKARSKSYSEACIALQKTRSHGLCKTVTCKRGSG >KZM89271 pep chromosome:ASM162521v1:7:35559886:35560423:1 gene:DCAR_026346 transcript:KZM89271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQSRSFNLIDEGVAANNPTQVAITHIFNQIVKGNFENVDIKPMDTTMILGVSLGTGTASASSTDMVDIQVSSLFQALGAEKNYLRIQDDNLTWNTTSVNVATTTNMEAQAAR >KZM86915 pep chromosome:ASM162521v1:7:8370459:8371564:-1 gene:DCAR_024049 transcript:KZM86915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYEEEEVKLFGAWGSPFSSRVEIALKMKGVKYQLIEEDTGNKSPLLLKYNPVHKKIPVLLHNGKPIAESIVIIEYIDDTWKSGTPILPQHPHQRAMARFWAKYIDDKLVPMWKSIRSTGEEQEQATKETEEALRTLENELQGKKFFGGETIGLADIIANFLGLWFGILEEVCEIKVLTQDKYPRIHQWINEYVESSVMKTSLPKRSELLSLFQTYFKPTK >KZM86238 pep chromosome:ASM162521v1:7:862337:881754:1 gene:DCAR_023372 transcript:KZM86238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGRGRGGRFGGGGGPCHAEKIKFEHFPEIDNLPDVQNVKEERVLFFWSHRLQLSWKLSPYYYKGVGNDAEGCESIDIERYSAKDSRKTRRKPTLSDCIKMTDEYVPAELAVKHQRGRKRVRWNQESDMQKFDIFENLEKKSKTEEDKKEGDAAEEEEEEDLEVEDEEFSDGEYTQVSFLLGFVPLFAAWLYSEFLEYRQKYVVPAKVGRSSDINLVQLGDETIKEDDRAVLLEGGGLQSASPRARSTSSASHIIRFFLMEESFLLENRSTLRAISEFGGLLIYYYLCDRTNLFGESKKSYNRDLFLFLYFLLIIVSAITSFKRHHDKSLISGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEYYNAIRIFIAGYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFLVFFCCLVLNNSYTLYYICPMHTLFTLMVYGALGIYNKYNENGTVIAAKFITCLLVVILIWEVPGVFDVVWSPFTFLLGYTDPAKPNLPVMHEWHFRSGLDRYIWIVGMLYAYYHPTVERWMEKLEEMEAKRRIIIKSVVVTISLTIGYLWFENIYKLPKISYNKYHPYTSWIPITVYITLRNFTQNLRGYSLTLFAWLGKVTLETYISQFHIWLRSSVPDGQPKLLLSLIPDYPMLNFMLTTSIYVAISYRLFELTNTLKVAFVPSKDDKRLAYNAVTAAVILTVLYTLSFVFLRLPVMLVRVLPV >KZM88565 pep chromosome:ASM162521v1:7:29220809:29230589:1 gene:DCAR_025640 transcript:KZM88565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVGSDNKGWSRAGGLVVKALLLIGGAVLVKRFTKSTTRWDHARLVAQSLYGEKFSKDQASRDPDNFFNLRWLSCPAADMVDGSKVLYFEQAFWRTPSKPFRQLSTYAIRDAEEYKNFCDRPKDQRPQPEEVIGDIAEHLTTIHLKRCDRGKPCLYEGSTPPDGFPNSWNGAAYCTSELAVLRNNEIHSWDRGYDDEGNQVWGVKGGPYEFRPVPASSFVGMFSPLNFNTQAMEKRIEGSFVLQE >KZM87622 pep chromosome:ASM162521v1:7:18679326:18682561:1 gene:DCAR_024736 transcript:KZM87622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPGNVNSEEHSAHDSVNESTGMFLFRQPGHEFKILFKKVFNHGDATGRKRFIRTSDDENESGAKRRGGGTALHDFLQKRMLSMASSKDKTRTESNSGSEFTLRQPLGNIDSNSRGVQRQVSMHECVQEGTHRQPCITQNFTTGGGSRVVHPHVQDKAFNVRTSSDKENNPSFADGVANSEAPRQRGRGPGVNNLINSRETLNSPNKEPPAGIFFGRLFFGRSAKTDHTGWFMDAHDHLAVVDGSKMTWNVRVRVTRIWPSTIPNGVIVRWNLLLLDSENTHVHASTTPEIWIQFQNLINEGVVCMVRNFSVIPANGIFRPVLHPRQIAFTEATLINVIPEEEFTIQMHRFEIIPLEDLQEHVVEGNPNWLNEFSIDVMGIVEDLEPIQEFQTNHGPVEIIKFTIYDGSVRHKVHISGPFNPDALSLYDDQFANPKIVIMASTRISEFRGTIKITNLSSTKIYVNLECPEVTTFRQWLINDGFMEFWPII >KZM89080 pep chromosome:ASM162521v1:7:33668821:33675993:1 gene:DCAR_026155 transcript:KZM89080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTKYFHTVDVISAIDFDDSGDHLAVGDQGGRLIIFEKKPGKDVTNGYYSRKELEQSDLSGTQHPQYQYKTEIQSHEPEFDYLKSVEIEEKIIDLKWCARPNQSLFLLSTNHKTIKLWKVKERKLRKVKVMDPDPLVSSENALLAGKSYIGAQNKSSVSNGDLLESGEEVENIRTRPHGGHNRVANLEDDAPARCRRVYAHAHDYNINSISNNSDGETFVSADDLRINLWNLEVSNQCFNIVDTKPSNMDDLTEVITSAEFHPLHCNTLAYSNSRGFIRLVDLRQSALCDHSAKILHDGVSKGQKTFFTEIIMSISDMKFTMDGRHILSRDFMTLKLWDTRMETSPVASYKVHEHLRPKLSDLYNNDAIFDKFDCCISGDGRHFATGSYSNLMKIYSCGLGGEEGTILEASKNPYSKAYHQAAPRARRSSLGSLTRALYRHGQETSDSKSNEFPGNLNSKLLRMAWHPKTNMIACATGNSVYMYYA >KZM88287 pep chromosome:ASM162521v1:7:26498544:26499248:-1 gene:DCAR_025362 transcript:KZM88287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKLVLQRIYKGVEQGELAMVGSRRVSRGLLGAVFAMGILCLLYFGMTSNQPGSLATLTVPSSRSFGLIGKQRNAGHGAAKLHYVSKRRVPNGSDPIHNRRAGKSTRPPVRV >KZM86306 pep chromosome:ASM162521v1:7:1533214:1534251:1 gene:DCAR_023440 transcript:KZM86306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTEKKSTFPSFSPFQTAGKRPPPIDYDSICTSFDESILRRLKPLAISTASSPAITLTWLSRAVEFVSTTHAEAQALISKITSGSHDDGSKAIYLDYSVKLLDICNAISSDLEKLRHRRLLMSLVVRLLQVSSESDIPAPEKLKKASDSITEWNSNKQAAPKRRGFENRDPEVLIRDLAAAIAKISPPRGKTTAVGKAVLRTIYAVGLVTIFVAGVAVSALHGVSEIVNIRVPSEFLWADSVNGLESSIFRGDRKVALTELADVATRATVVRDLIVAVDSGENIEAKKLPLANAVKELEASTSGFSEGLDRLSNGVSEMFRNVMSTRNVVLENFRVGQDEKQRK >KZM88480 pep chromosome:ASM162521v1:7:28223233:28229800:1 gene:DCAR_025555 transcript:KZM88480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERFPPRRPASSKNSRDAACRTSARDQGLRSWIRVDASGESQVIEVDKLSMMRRCDLPARDLRLLDPSFVYPSTILGRDRAIVVNLEQIRCIITADEVFLFNSLDINVKQYVAELQRRLPTAGVGEVLQTESAELDQREGGNRSIENLYSNNSPHYLPFEFKALEVALEAACTFLDSKALELEISVNPLLDALTSKISTSNLERLRQIKRGLETLTKRVQKVRDEIEEVMDDADYMAKMYLTKRKSRMESTFYSDPSTPEYRFNDVAFSVSAPVTPVSSPPDRRKHEKSYSLARGRHESMGSSESATESVVALEMLLEAYFVVIDSTLNKLTSLREYIDDTEDLLNFQLLLIQFTVLLATASFVVALFGVVSDFETYMFQFRNSKFKWIMISTSVTGFVKDDWKQATTTYRKETVPIYLVGSSLMADLEDQLAPARLIARNLGLRSWIRIDTSGNSQVIELDKLSMMRRCDLAARDVRLLDPLFVYPSTILQRENAIVLNLEQIRCIITADEVFLLNTLDSHVLQYVVELQRRLTTAGAGDLLQPEGAELRKRRGSRFSNIFDSSTDLPFEFKAFEVALEAACTFLDSEAAEFEIDVYPLLDELTSRISTLNLERVRRSKSRLVALTRRVQKRDEIEQLMDDDGDMAEMYLTEKKSRMESAAFFGDQSMSRLTSNDVTQSVSAPVSPVSSPPKRRPEKSSSMAKSRHESITSASESTVELEMLLEAYFVVIDSTLNKLTALKEYIADTEDFLNFKLDNIRNRLIMFEVLLATAAFIVAVFGVSSDFETYLFQYSKLKWILIGTGITGLFIFSSVLWYFRIRKPFWMK >KZM87119 pep chromosome:ASM162521v1:7:11158626:11163230:1 gene:DCAR_024253 transcript:KZM87119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRIFQCVRMKQLLWAKEGNCVGQESVSLFLLAIGFREYSRYICFNYPFTHERSLLSNLKYFPWQADQAHFKAWRQGCTGYPLVDAGMRELWATGWMHNRIRVIVSGFFVKFLLLPWQWGMKYFWDTLLDSDLETDILGWQYISAQHLIDYSKERATVAGNTTSLFSPYLHFGEMSVRRIFQCVRMKQLLWAKEGNCVGQESVSLFLLAIGFREYSRYICFNYPFTHERSLLSNLKYFPWQADQAHFKAWRQGCTGYPLVDAGMRELWATGWMHNRIRVIVSGFFVKFLLLPWQWGMKYFWDTLLDSDLETDILGWQYISGSLPDGHELERLDSPQVYAVDASYIASQVNLSVLVLEKVAVLTKLRLFAAI >KZM87366 pep chromosome:ASM162521v1:7:15112219:15117551:1 gene:DCAR_024500 transcript:KZM87366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHIAPPVMRDRHKKDKIHISGPTGLRHKSKAKSKHSPSPVSSLGRTGSKNVVPSLGDATELGGSEPTLSEKLKVFKASGFDPEEFLTSKCRNMSEKEVKNVCLYLVDLKKASAEEMRKSVYANYPSFIRTSREICDLEGQLLDLRNLLSSRAVLVNGLTDGIRIDSFSSHQKGSVKVEDVNLDDLEPTNQEKWLAEFMEALEVLLAERRIDEALDALEEGEKIAEEDNKYQSLTSNAMLQLQNNIIEQRQKLADSLVESASHPSATRVELRASVQALKRLGDGSRAHSLLLRSHHRKLQHYVRDLHPAAPSLGVIYITALSQITFSALAQAASDSLSIFGDEPCYTSELVTWAANQTESFALLVKKHFLASPASSGSLRIVSECVQVCLGHCSVLEDRGMALSPVLLKICNPCVEQAFVASIKRIEQSTAAVAASDDWSLNYPPIGSRSFSASSLTSVVSQPKLSSSGHRFNSMTQEICEDVGSLENLHLANQALESLFQLFNTYINMLINALPNSTETENLEGTGRLVQIAETEEQQVALLANSVVLADELLPPALSKLSSLSQTDDGSRRGSDRQRELKKRLQRLVDQLRDSFCRQHALDLIFNEDGSVRLCADMYLSMDGRAEEPNWFPSPIYQDLFGKLTRVANIASDVFVGKDRFATILLMRLTETVILWLSNEQAFWDEIEGGQKSLGPYGLQQFYLDMQFVILFASRGRYLSRNLHQVIKNIIGRAIEAVAATKIDPYRDYEARNITVMASETMGNLNRRANKLWAMVIGLKAASGERGNKIELETKSADVVRKWDDWPWFVDNHYSRVVQKL >KZM86734 pep chromosome:ASM162521v1:7:6305058:6305348:1 gene:DCAR_023868 transcript:KZM86734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQMVKDYETRVIQWVDKVFPPGTRADALKHWAQVGAPFLVAWLVLFLLMFCCKRCGRGRSERTMKAPGRDYRMPRSEFEENPGSYFRGLRKRNH >KZM86590 pep chromosome:ASM162521v1:7:4658899:4665162:-1 gene:DCAR_023724 transcript:KZM86590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDNFSGELIQRRTGLLKDQVRLVKRKDLDRYEIVSIRDKLSYEKGFFVAIRACQLLVQKNDGLILVGIAGPSGAGKTIFTEKILNFMPSVAVISMDNYNDATRVIDGNFDDPRLTDYDTLLKNIHDLKAGKPVEVPIYDFKASMRTGYRTIEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVFRDIQRAGQAPQEIIHQISETVYPMYKAFIEPDLQMAHIRIINKFNPFTGFQCPTYILKSRRDVTVDQIKLVMPEEHTETMEQTYDIYLLPPGEDPESCQSYLRMRNRDGKYNLMFEVQGRDRAVVKGVAEQLGLEGSYIPRTYIEQIQLEKIVNEVMAVPDDLRTKLSIDEDLGSSPSDSLSRASSKRVTLRNKNIKSGMSHSYSTRRDKNLYKLTNLAFCGQNFDDGSTEPPPTIESEGAITQLSEQIFTLNDRIDEFASKMDELNSNFSIKRVLSSSQQPEACNGSASTSYLTYDPRNGSALLSSSSSSQLAKETAFMEEILSIARGQRQILHQLENLTNNLHNLGEVFHQTKSVRKSLFVDYERISGSVILTLAIGGVGIFLFRGYVSRI >KZM87584 pep chromosome:ASM162521v1:7:18368180:18368512:1 gene:DCAR_031955 transcript:KZM87584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVPHMNLSAMNMMPGLLPHNPYSQPNMPYMFNPYFNAFNMPQFHSNLHGMNNLCMSQRPVFENRVDVPISQPKPKIEPIQSKEKVEKVEKAAKTNKSGPKAIWVPKST >KZM86599 pep chromosome:ASM162521v1:7:4746012:4749845:-1 gene:DCAR_023733 transcript:KZM86599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSNHKIRITTSRLIDLDRLEQFWRTAKNKGTDEDSSVEFEVDGKEHILTVAKINEAFGNTLADDESYRDLANDATLTRFFRKIGYVGQVLKEDSTDWKLTHSMVHGYKVNVGKTIMAQLRSTIIRKVQIYPRFVTMFLNDVCGIGANASNTRKCFVLKKNTHTKLINSNPHGDLRLQYTKHMGDQVSNLGSSFEDDPVIFSLEDEAGVDRTQANLNSTPYTLPRMSRLPKSLQKAQTVPQKRTIEAEEVDSTSKPSSLMHFNTTHTHAFRMSGGEKKKREIKEQRVSEQNERQPNVLPKGEGLEHVQSELEGAIILKALGLEISTVRSDEFTLKHPESGRTLIAFRPQFHPSIPKRLRTCVPAIQTSDRLSSLEEKVVVMNDNMNSLSQPVANDFSNIHAALDSLSKLIHAANLPKGVKSDRSDERELDDDPVQASGTRKRNQGASAQGERGEATKEDLNKERSGEHEASTRGGGDGEATQGEPDGGEFDLEQAAVIMTSNRESVMFHQDEQFEKLIQNARTGSENRLMNCFDNIKDQIAYFCVKIDEKHRWSVYIYLKTLHTLYVTMECLQQQPAIVLFTQISLMKRNEIPCNQILRENILHLVDEKALAVHMNPFSVFYKTKSAEYSEKFGTINLNKTKRSQDRHVRRWISRANAAREERGGSLIYLAFDENVNEAIVGGQEAGETGIENESETKVTVNTSVTEDIVNNPEVTGSEAVVSRTEDTLMEPTVNEAAVTTGETNNREADPMEVEVTVNTGAIVNEGIPTETEATVNQTSPTGT >KZM87987 pep chromosome:ASM162521v1:7:22962159:22962899:1 gene:DCAR_025088 transcript:KZM87987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKAAVSKAKNRFLKLLSKPPFSPNKEKSSSDKSKSKGFSGASDEATMTSIVPVGALYSKSRNSSLREPTSPIVSCMGQIKHKKKLYSLINTNYAYQDSVLPPMDHLSQVVQNHKNSKQGQQKPPPLKSVKSFGDVKKKKKPLPIRKMFSRVPSGRRKSDTTIYLPDDHTESSCSLSQMKRFSSGRNLSNFDWTKVAQVVDNSEKGSIAPSILQECKAVTLGPKKEVNLWKRRTMAQPSPLQLKT >KZM87772 pep chromosome:ASM162521v1:7:20281844:20282384:-1 gene:DCAR_024873 transcript:KZM87772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKNTSYYAENCVNLIGHVWGLWRESRAMEIVDREWLGESHEHDSQIFRCIRIGLLCVQESAAARPSMSEVVFMLCNEISLPPPDQAAFIFRASDKVLTNTSSSSVGVISVNNVTISTVEGR >KZM88654 pep chromosome:ASM162521v1:7:30007473:30011762:1 gene:DCAR_025729 transcript:KZM88654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKVVSRGVVSVSLPLPNPGPDNTNVTNNDNNNIGCDEEFIKKEAPDNEQGLVLALFTPDLDKSLPSSSTTPPCQGKAIVKSRDLNDLRLTLPAKRKTKPSSKSKLALSDMPIRIVREKATPPQFKSATVIRAEEMQAGLGSEFPSFVKLLVRSHVSSCFWMGLPMPFCKFHLPNRDVVLILEDENGDRAELKYIAEKTGLSAGWRKFAVGHKLLEGDVLLFHLVEPLKFKVYVVRQNELTDVDGALSLLNLDVKTKQPQPEGGSFSRLKTLLIRKRKRPSASALIQKKNKKMLVSRSVRDLGQLREQSGNDSEEFGSEVLEGSKLSKTLVPFKDVKSFEDFHIVVNDLCIDSELPEHIRLKYYELCCKKNAFLHSRFLPGLYSKLAAGIIGETVNIADAIKSCKLTTSWDEFAVWEKSLKSFELLGMKVGFIRHRMHTLRGLAFETQGASDFKNYLGAISKRIHAEDEIRNLEAKLVELKAACENYDADIEMLRSKAEKHESEFQEESITAKDMHPPNDYTKYAAPGYPSAPMSIPPAQLYPSFGVHVPTPTPNTLGKWSTNLCHCFDDPVNCLVTCICPCVTFGQIAEIITQGETSCLASGGIYGVLLGVTGFACLYSCFYRSRMRGQYELEEAPCEDCVLHLCCETCALCQEYRELKNRGFDMGIGWEANMNRQQGRATTVAPFQGTMRR >KZM88661 pep chromosome:ASM162521v1:7:30094197:30102284:-1 gene:DCAR_025736 transcript:KZM88661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFGDLDDCIVMKDRSTGRSRGFGYVTFTSVDDAKSALASEHSLGDRVLDVKVATPKEVMRVPSKKVSRIFVARVLPSVTEAEFRSYFEKYGEITDLYMPKDPRSKGHRGIGFITFANPESVDDLIAESHELGGSAVVVDRATPKASENEEDFRPVSRMPLERQPGGYGAYDSYINAATRYADLGAPTRYDYPGAMYGRGQSGRGISKKIFVGRLPQEASIEDLRQHFGEFGRIIDVYIPKDPKRSGHRGFGFVTFADEGVADIVSRRSHEICGQQVAIDSATPVDDAGRSGHHMMDEPEPFADYAGPTRTYGRMYGSLDFNDWGGYGIGEGRPGGYGPYGINGGRPGGYGIGGGRPGGYGISGGRPGGYGIAGRPDGYGTGGGRPPSRADSRYRPY >KZM87615 pep chromosome:ASM162521v1:7:18649648:18649989:-1 gene:DCAR_031937 transcript:KZM87615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRKFLSDGDTPEAASATSGTTIEAVSAAAVNPVIAFSLKEALTFRLTAAFDATNAFEGLTGNGLKKVSELGIFLWLAEVIGIAMEIALVEAIAFSPSCCVYCFSCSRPGCV >KZM87836 pep chromosome:ASM162521v1:7:20978858:20985893:-1 gene:DCAR_024937 transcript:KZM87836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACYLALHTMDHQELNTWLLKMEGLFLNIFAKFWISPLYLIHFGAVYYALVCPKPPPTATPEEIDLYKQYTDPAVLSKRVSIKGKTVREAQKTFRITSGDEFVETGTYLRVHVHPKRFPRCYEIDWRSRIISVTESYVVLDKPAGTSVGGTTDNIEETCATFATRALGLTDPLMTTHQIDNCTEGCVVLARTKEYCSVFHGKIREKKVKKLYLALTAAPVPIGVITHYMRPINIAPRLVSEEFTTRWFLCQLEILECKMVPWPNADIEDKYSIEDCGWPSQEFAYECKISLLTGRTHQIRAQLAACGAPIVGDAMYMPAAKAEISRPGLNPLGKCKKQYASENDREVGIEDWIACHGKEPRIAIGLQACQISWDDGEHFYEAKSPWWR >KZM86543 pep chromosome:ASM162521v1:7:4009694:4010686:1 gene:DCAR_023677 transcript:KZM86543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSRLTWVIGDSLRREFNYSSHRSSTSGYIKCHSDTCKKIMKTFCNSNDKCMHYTSYADGNHGLQTCIAYDRFVFENDVLDKVIMDIYYKGRGRLFHEDNFYGIFGLSPPYPSFVHRLGDLGAKKFTYYINGKVTDTFHPYARLILGDADIQNGLTTPLHIDGAQYHLDMECIILGEQCLAIDRKIFAKKAHGGGTGVIIDTGSIFTYVAKEAYDGDYIIGYDLENLRLSMTLYKF >KZM86682 pep chromosome:ASM162521v1:7:5673948:5683357:1 gene:DCAR_023816 transcript:KZM86682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWIVQPATDQSKTHSWFDATSATNLEKLENRIADLDLLIYMVQNLIEQCLIRYMTRKEVMNFLSVKKKIEPAVTELVWQKLEQENQEFFNAYNLRLTLKEQIAEFNQLLERQVKMMHHADTAGVSYRPVSASHVSPMHHNSSSYASENAGHALMTDSMRQPITTSIPNEFTNRGLSVPCMQIPVDMSGQSRKNETSNVMLAQNPNVSMAQGLNGGMLKREAGYPGMPQFIYGGENNIMEPHPLSRDAPNLLYSRDDSNALPPLNETMMDAADSTSFGLLGNYGISDLTTEFSHGSDIMDNYRSPFLETAPDSFLDLHSRGGDNQVCSLIIISALVATIILTTKIAVKGHKNLPPGSYGWPFVGETLALLQAGWNGTPEKFIRERVEKHGDVFKTCLLGDSIVVFSGVAGNKFLFGNEGKTVALWWPKSVNKLFENCLITSSGEEAKWTRKMLHSFLSPDAFSRLYINIMDQVTQKHISTHWQGKQEVKVYHTIKFYTFELACRLFMSLEDPDHIKKLAYHFNIFLKGIIQIPLNVPGTRFYNAMRAVNAIRKDLHVITRQRRVDLEQKTASPSQDLLSHLLVSSDEHGRFLTEAEIVNNILTLLFAGHDTSSVSITLVMKTLAEKPHVYQKIYDEHMEIAAAKGHAELLQWDDIQKMKYTWNVVSEVMRITPPVIGAFREALVDFTYAGYTIPKGWKLYWSTVSTSKDERYFPDPTEFDASRFDGAGPTPYSYVPFGGGPRMCLGKEFARMEILVFLHNVVKKFKWDLLIADEKIEYDPMPTPVKGLPISLHPHNV >KZM87237 pep chromosome:ASM162521v1:7:12931938:12932420:1 gene:DCAR_024371 transcript:KZM87237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSFDENHANYQPYYSWNRANSNEDNTHVPSAVTIIPYALVSPGFGQYQDPGQFNNMMTYEGAAPRLMTGQHQKHQHAPQAHKGRVLFAEHDTITDHAPQARKGRVHLEAHDTITEVVDQKNGRLQVHQDSIDADADGFIRLKHKTFELSKWETFKGY >KZM87296 pep chromosome:ASM162521v1:7:13926487:13929964:-1 gene:DCAR_024430 transcript:KZM87296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKVDLKVVWLCGFVLQLSFYATTLVLPSIEDEILMMPRNVNHGVYKSGGPNITIFTAPLRPFRDKYRNVQAVAVRSWLGLSSNINVVLFSQDPLVFSFAAAFGSRVSVESNIDFTFLGTPFFHSMVARAQASTSDVSVLLDPEILLLPEFITILNHVVRLDYDWLLIASSRNASDFPFHLDTDAKHWLSDKDKQIRIKKEFLAATSQLRNCEEKMILAWNNGEFPLHKGVLPPFLFRNGLHKRWIINEALSSDYRFVFDASLIISNFYHDDFQHGSYQSVEATSTPDFYRSWETSGNVHLAAQYGSLFFHEASYSSMVKLFRCDGQYLFVNTAEDIIYPFQSPKAISIRKGGGSRLARSQKIMKCVTVCKSQVKLKECSVKNQLNLSTLPSLPFSQESLLSERSDQNKTIVLAVAGYSYKDMLMSWVCRLRHLKISNFLVCALDQETYEFSVLQGLPVFKDSLAPSNISFDDCHFGTNCFQSVTKVKSRIVVQILKMGYNVLLTDVDIYWFKNPLPLVSSFGPAVLVAQSDEYNVKGPINLPRRLNSGFYYAHSDISTIKALEKVVKHAASSNLSEQPSFYDTLCGEGGSNRVGNDRCLEPETNLTVQFLNRDLFPNGAYGGLWEEKNVTASCLSKGCYILHNNWINGRRKKLERQVLSGLWDYDTSTRMCMQNWLKMKRTQGYVISEPLNFSSKACIHLLSVCVKAAERVSRPGINELQYKGNYKPGSFWLQEMLMRCVQLFWNNRDSRRRREVQRAIRTLELSRQLENISVRIVHAGGRQELYRSAFPASQLIEKYPGMCVAHPSIFRKPYESLVPAEEQLLPGKKYYIIPSTTVQKLKHRHSIKGKAEGNKEHKEPLPHRDDYSEEYIYTAKDFYLSKERPSRCLPNRSQKNKKPFVPPNQKPNALKKLRWKPRLTSIQELSS >KZM88684 pep chromosome:ASM162521v1:7:30363115:30367036:-1 gene:DCAR_025759 transcript:KZM88684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSSAHFSVPPNPLFSVKTTTIAASRIKASSTMDNNPQSTNSPSKKVVVCGGGVIGVCAAYYLSKKGAAVTLVEKSSIACAASGKAGGFLALDWCDSGPLSSLARASFNLHRSLAQELDGYNTYGYRPLTTLSLSVTESKSGIGPGPGAPQWVDGPAKQVRTIGTPETTAQVHPQLFTRTLLSRAVAEHGVEVVIGKLESVVAEGGCVTAVELEGGRVVEADAVVLALGPWTSKLLMLNELFRVYGLKAHSIVLEPKEADAISPHALFLSYYAAGGGKPMDPEVYPRPTGEVYICGMSAEVEVPDDPEEVFPNPESIEVLKKVAKNVSSHLAEGEATVKAEQACFLPCTDDSAPVIGEVPGVKGCFVATGHNCWGILNGPATGAAVAELVIDGHATIVDLGKFSPARFIGRRKS >KZM86572 pep chromosome:ASM162521v1:7:4538277:4544889:-1 gene:DCAR_023706 transcript:KZM86572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSPNPQPSAVPPVSTTSPQPLKLSPPAPSFPLPDPSATSPAATPNNPPQAPTSVNSTALPPGSLPSPPPEQSVAATPPPQSRASPPPKPSKPVPPPEQSITSPPPEQPIATPPPEQPIATPPPEQPIATPPPEQPIATPPPEQPIATPPPEQPLTSPPPEQTITSPPAPPQNSVTSAPPPHLGNVPPPSEISPPPLPNSETPPRSTSPTTSPLPPSVPLVASPPSPPSPLPVSPSKPTPSVKSPSPEPPPSPSQKPVKHSNNSQSPPSLPPENSPPAQTKRPKISSPSPPPPVKSPHSAPPDSSVSSNISLKVSPPPSPKYNLNVSPPPSINPVTNTSAKLKSTESAGIGTGGTVAIVLVLGLILISLIAAAVFCIRKRKRNFSLMKGDHIIPTSFSCSPKSDSALLKVPTNETHSGSYMGNEVVYSPTESSRLGNSRPWFTYAEIVDATNGFSPHNLLGEGGFGCVYKGYLVDGREIAVKQLNVGGGQGEREFKAEVEIISRVHHRHLVSLVGYCISENQRLLVYDYVPNNNLYFHLQEEGRPVMEWTKRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNSFEAQVSDFGLAKLAWDVTHVTTRVIGTFGYMAPEYAASGMLTEKSDVYSFGVVLLELITGRKPVDATQPSGNESLVEWARPLLSAALDNGDLRGLADPGLGNDYVDHEMFKLVEVAAACVRHAAAKRPRMGQVVREFDSMAASDLSNGMKLIRKAKQYVKKLRNSKKEANTITRQLSGFSIDEVLRSHSGSFRIKFESILSEECCGNCMDEIEKALEDMSGNGNEQVNQLKEIFTRFDMDSDGSLTQLELAALLRSIGLRPKGDQIHALLTNMDGNGNGLIEFEELVEAIMPDVDEEVMISEDQLMEVFRSFDRDGNGFITAAELAKQMAKMGHPLTYRELSEMMREADANGDGVISFNEFTSIMGKSAIDFLGITVS >KZM88182 pep chromosome:ASM162521v1:7:25241531:25242057:-1 gene:DCAR_025257 transcript:KZM88182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLDDSIECISTSDLDESVLSPHLSSSTKPYFNKSPNGFIHRTTSVHELLECPVCTNSMYPPIHQVAFFIFLSYGVGSFGHMDLINTKYKGLN >KZM88850 pep chromosome:ASM162521v1:7:31744427:31746365:-1 gene:DCAR_025925 transcript:KZM88850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKGNKLTGDYTNKINKYAFACSVVASVISIIFGYDTGVMSGAMIFIEEDLKIDDMQIQVLAGILNICALVGALLAGRVSDYVGRRYTILLASIIFLLGSVLMGYGPNYPILLTGRCVAGIGVGFALMIAPVYSAEISSPSSRGFLTSLPELCISIGILSGYVSNYFFARLTLQVGWRIMLGLAAVPSLLLAFFILRLPESPRWLVMQGRLAEAKKILLLVSNSENEAQVRFSDIKLAAGIDENCTDDFVKVKNSNGQNIWKDLILRPSPPVRWMLLAAIGIHFFEHATGIEAVVLYGPRIFKKAGIHSKNKLLLAQVGTGITRIVFITIATLSLDKIGRRKLLLTSVGGMFFALVGLGLGLTIVEHSKEKLLWALSLCIGSTYTYVMFFSVGLAPITWVYSSEIFPLKLRAQGHSIGVAVNRLMNATISITFISIYKAITIGGAFFMFAGISVLALIFFFFFLPETRGRSLEEMEELFSKKSQPKIVGMGSSNQPTNNSCVQAQ >KZM88407 pep chromosome:ASM162521v1:7:27660052:27665501:1 gene:DCAR_025482 transcript:KZM88407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDKQQKSAITSFTSRGDFLAILYSQSYIKVWNTLDGSLFAEWKPSDGNDSYTFSSMTCVFTGKKRKPKKGTFLVALGTDNGDVLVIDIVTAEMKWKSSACLPGGIVSLSFSNEGSKLYAVGTKGMVSDMNSKTGELFKVLELSKDFIISSSFCDEKIVTATTSGVRVFGLEDGNELVKLPADLGSVRHISISDDAKAIITSNVDEKHLQVWKCGLSTGTVNPGTILFMKSHPLNVECKKGFSAEDGVVVLSVSVSGPTYIWNLNTSSDNEVKPTKISVQKTANEVNGTPGKSRKNRALIVAARIHALNSDGQVTVLVAYGSINSPKFSFLDIPRTGEDVVITAGEDTVELTTIGEQNGTPEDRGVDEIEAADGLVQKAKSSKKRAKREPETADMEALLDRGHGEPMDGVEVEYDLSEPTMGEKLVDLNLSDGNKVENREQQQSPQTKLPSADSVHLLLKQALHADDRALLLDCLHRKDEKVVANSVSLLNTSDVLKLLQSLISIVQSRGAVLACALPWLRSLILQHSSGIMSHESSLAALNSLYQLIESRVSNYNPVVQLSSSLDLLSAGTIDDDADDDDVIPPTIYEDKDESDEEEYEDAMETDEEDKIEAKTFSDFSDSD >KZM87718 pep chromosome:ASM162521v1:7:19682652:19683032:1 gene:DCAR_024819 transcript:KZM87718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQEKKELDARARQGETVVPGGTGGKSLEAQQHLAEGRSKGGQTRKEQLGGEGYHEMGRKGGLSNNDMSGGERAEQEGIDIDESKFRTKK >KZM88277 pep chromosome:ASM162521v1:7:26423800:26425071:1 gene:DCAR_025352 transcript:KZM88277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKHLNKTNNKPQTTAATATVAPEHRHRQHHQVDEKSSPLNMALISTQPTNPNPITTPQINSSLAIPTTGSNPIIAQTVPKRPSKDRHTKVDGRGRRIRMPAMSAARVFQLTRELGHKSDGETIQWLLQKAEPHIIGATGTGTVPANFTTQSPVVNSRGSSFSVASSMSAAPQYGPFGVAHNGGYSQMLQNVLVPDQMSRGISGIRDDRSGSGNDEGGIENETVDDCSRKRFRGNLFSGDEQVSGDSSSASDKRVKSCLEEAGGSDLSQCNGMVPMWAVAPAASAGSGNMFWMFPFTANAGGGIGDDGVCDKVPISPFTPPSHNVKRNARQPAPHFMSRFNGQGNVELQGGDANALQLGLMLEQQQSQEQLGFGMNETNLGMLASFSARGGFDIKSEQKQASEQQHQDSQASDGGEDEANSC >KZM88477 pep chromosome:ASM162521v1:7:28202616:28204590:1 gene:DCAR_025552 transcript:KZM88477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMMSGERGWRELATMGDTMDQITGKIEGFFWHRHHAENEEHKHHEENTEHKHHTHHREHKEHKHHADHKEHKHHTDHKEHKHHSENTEQADDWTPEKGPHSDFEGPKYGSTACVAVVRENTLIVANAGDSRCVISRSGQAYNMSKDHKPELKSEKERIYAAGGYIYGGRVNRTLNLARAIGDAELKRDHSRTAEEQIVTANPDITTVELDGNDEFIVLACDGIW >KZM87551 pep chromosome:ASM162521v1:7:18080918:18102193:1 gene:DCAR_024681 transcript:KZM87551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSYSLLIYLLCLHILQICIYTSAVDDFPALFHGDYSPPSPPPSLPPLPPPLSCKDGLNGTGSLYTSCELTHDLYFTSNIYIFGEGNLIIHPGVRLSCPRLGCEVTVNVSGDFKLGLGSVIIAGSVHVVAKNVSLFNDSVVNVTGLAGAPPDHTSGRPDGAQGAGGGYGGRGASCVMDNDKLPSDVWGGDPYGWESLAKPGCYGSKGGTTSNDEDYGGEGGGRIRVEVKNVFDFQGSLLADGGDGGVKGGGGSGGSIYIVAHDMTGNGRLSASGGNGFAGGSGGRVSVDIFAVRGNPIYLFHGGLSYGCSGNSGAAGTFYDTRPRKLTVSNHNMSTETDTLLMEFPYQPLLTNVYIENCARAAAPLLWSRMQVRGQLSLSCGAVLSFGLPHYALSEYELIADEVYGALRMSVKVYLMWNSQMLIDGDGDAIAATSMLEASNIVVLRGSSLIQSNANLGLHGQGSLNLSGPGDVVEAQRLVLSLFYNINVGPGSTLRGPLKNASNNSTSMLYCEREDCPIELIHPPEDCNVNSSLPFTVQICRVEDVNVEGKIEGSVVHFHWVRTVNVESSGAISATGLGCIAGVGSGKLLSNGFGGGGGHGGKGGDGYYNGSVIEGGITYGNADLPCELGSGSGNISLPGSTAGGGIIVMGSLEHSLSSLYIDGSLRADGESYGEKIVNQHGMQTAVLSNGGGGSGGTILLFLNSIVLGDSSIISTNGGHGSVDGGGGGGGRVHFHWADISVGDEYLPVSTGEGAINVSLQIIVLLILRASFQECPRGTFKNVTGSDKAHCLECPPTELPRRAKYVAVRGGVADTPCPYKCISERYHMPHCYTALEELMYTFGGPWLFGFILLSLLILLGIVLSVARMKFVSGDELTGIVQATHGLQIDRSFPFLESLNEVLETNRTEESRSHVHRMFFMGSNSFSEPWHLPYSPPEQVAQIVYEDAFNRFVDEINNLAAYQWWEGSIYSIISIFAYPLAWSWLQWRRKKKIHTLREYVRSEYDHSCLRSCRSRALYEGLKVATTSDLMLAYVDFFLGGDEKRDDLPPPLHQRFPLSLVFGGDGSYMTPFTLYSDNILTSLISQSIPSTIWYRLVAGLNAQLRLVRLGHLNKTFRPVISWLETYANPTLRPHGVRVELSCFQPTASGYYQFGLVMCNSDNETAPSVGRPDLSSSPERQSRFLNSSPRNSTSQLRISKPLLVHKKMISATVHAKNLEMVKEKKTICYPFSFVLYNTKPVAHQDVVGLVISVMLLADFSLVLLMLLQLYSSSLLHFFLVLSILPLGIVLPFPAGLNALFSYGPKRSSGPARVYALWNVTSLINVVVAFICGIFHYNAKFLPSNKLSNIQSFNLNMDDSSWWVLPSVLVFCKFIQARVIDYHVANLEIQDRTLYSANPQDFWQT >KZM88953 pep chromosome:ASM162521v1:7:32552626:32555936:-1 gene:DCAR_026028 transcript:KZM88953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMDEGVVFDKTDVVLSLPVQVSSLCPNSWLDPNLASSQNSRSVSCSAPSHSSISSSSPEARHIPGPAPVIDQLSSLPSSASPQQYLYPSYQVSSSSLCLRPIVRNPSPASGASHPGIKSNPTLSSLQSRAPPLSYATCSSGTQLVASTLDDEDEDKDGDEDEAQDEDKDQNNGIADDFSANCNQGN >KZM87818 pep chromosome:ASM162521v1:7:20790390:20790869:1 gene:DCAR_024919 transcript:KZM87818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKRPMSPGYEKRLVQQQQRRQQPELMLGGMRSNQNNQRPAVAPKNKPPQTLKIKRDHAYYLQRKLCPLDIPFSDARYNRYMAGIKQECDALQAKLDARDEADYWAIWGDVDAEDDEDDYE >KZM87482 pep chromosome:ASM162521v1:7:17055467:17056904:1 gene:DCAR_024616 transcript:KZM87482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTASSLSFTSLSISLDQNKGLARGSVSLPAKAKSFGALTLRSAPMRFRVSCAAKPETVEKVCEIVKKQLALPATTEVSGDSKFAALGADSLDTVEIVMGLEEEFGISVEEESAQTIATVQDAADLIEKLCEKKE >KZM88590 pep chromosome:ASM162521v1:7:29526672:29528003:-1 gene:DCAR_025665 transcript:KZM88590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCSGKVFNEINYRTLISWNSMIGGFSKMGCCEKAFLLFVEMRDVGVKPDNSTFVSLLSVCSQICNLELGKFVHSYIEVNGVKADIYVHNALVDMYAKCWDLETAQAIFDRMEDRNVVSWTTMVTAYAKSGLIHSAKRFFDQMPLRNVKGCFNEALELFFSMCDSKFVPNETTLVSVISACCQLGDLAMGKKIHDYISNNYVLCDVTLYNALVDMYAKCGSVETAFAIFLDMPEKNVVSWNVMIGALALHGDGSKAVELFEQMEADAQRWEDMKRIRKLMQQHGITKGSGISSIESNIDNGSIMRRSPEA >KZM86230 pep chromosome:ASM162521v1:7:791937:792227:1 gene:DCAR_023364 transcript:KZM86230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYMMMKIGFLILMVWLVLTSVTSDRVDSGTPDIMHVDAKLSRKVNSGVNNDQKTIKNGVAAGNFKDINDAEGYYPRDSSTGSHHRYYDPPIDGHY >KZM89139 pep chromosome:ASM162521v1:7:34242713:34245097:-1 gene:DCAR_026214 transcript:KZM89139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTNNNNNGFCHNTKTFKSTRPPIPLPPSSHPLSITQYCLSLLNSTPTASSLDTSPFLIDAATGTPLSYSQFLHQSHSLSASLQSRFPSLSPNNVALILSPPSLHIPVLYFSLLSLNITISPANPLSTRSELKHIVQLTKPKVAFATSSTCKHIPSLPLGTVLIDSTQFISMLNSKTSSTPQQVTAAQSDSAAILCSSGTTGRVKGVELSHRNLISVLCGFFHNNNLTSNDNSAAVSLFTLPLFHVFGFFMLFRAFTLGECLVLMGRFDFETMLKTVEKYKVIYMPVSPPLVVMLAKSDMVAKYDLSSLRKLGCGGAALGKEISQKFNARFPDIDILQGYGMTETGGGATSMVGPDEAKHYGSAGRLTANMEAKIVDPSSGEALLPGKEGELWLKGPNVMKGYVGDNEATVATFSSDGWLKTGDICYFDSEGFLFIVDRLKELIKYKAYQVPPAELERVLHSIPGIADAAVIPYPDEEAGQIPMAYVVRSPGSNLSEVQIMDFVAKQVSPYKKIRRVAFINAIPRSQAGKILRRELVKHATSDTSAKL >KZM86550 pep chromosome:ASM162521v1:7:4084145:4084339:1 gene:DCAR_023684 transcript:KZM86550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTWCSYLHDSRMYKLGGAGPYGANWLWCCGFVIALSCSFLMSVVRAGPNESSFELGVIRAEGN >KZM88535 pep chromosome:ASM162521v1:7:28968159:28970883:1 gene:DCAR_025610 transcript:KZM88535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPVAMSARSLWLYFTLLVFLALGLQASAETDEHETKSLQDLHRTLNIPSQLKGWRTYGGDPCAESWTGVTCKGSSVVQLEIPGLQLGGNLGYRLSDLRNLKKLDMSSNRIQGPIPYALPANLTYLNLAHNMFSQNLPYSLTNMKHLQLLNVSHNSLSGPIGDVFTGLTNLKEMFLESNGFTGSVTLLSELPLSDLDIQDNHFSGVIPPSFRGIPNLWLGGNRFHRRTGDIPWFFPWFDFTPHITSPPPPSNEATTATNGSSPPYCPKKEQKTKDYAPGAIICIVCGVTLFLAAILFAVARIKQRVAAAVFLAAARIQQRGRSHRRQESSPGSEFSSTAPEESSVLPDSSSPRVIQRGTTSSTSPRGQAFQTPILTETSEGLKWIMDHEMVERYHTAAAAVTEADPVENPSHSRIWRLWTKKGAMFLAKQ >KZM87660 pep chromosome:ASM162521v1:7:18981574:18986382:1 gene:DCAR_024761 transcript:KZM87660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFVKAVEDGVRLSKRVYFGKDRSVAPPKPMAAMDKSASHSLLPSAPMVYAVIPDPGVVDNPDIPSYQPHVHGRCDPPALIPLQMNAIAIEAQCLLDTAFVTVSGSWRVHCVMGTRTCHCRVAIPMGQQGSILGVEVEAPRKSYSTKLLTLNDKDVEEVPKIEDGGFLKPHIFTFTIPEIDGGSNISIKVRWSQKLLYQDGQYTLTIPYSFPEFVTPAGKKMPKKEKIQLTVNAGPGTQVSCKTISHPLKELKRQGGQQGFLYDADVLSWSTCDFVITYGVSVSPSGGVILQSPSMNDTDQREMFCLSLFPGNEQNRKVFRKEVLFLVDISESMRGKPLEDTKNALVAALLKLDKGDSFNVIAFNDEIHLFSSSLELATEKNLEKVTAWLSENLVAQGGTNMLLPMNQALKMISDTHNCTPFIFLITDGTVEDERHICDVIMNHLTDEKLTSPRLHTFGIGSFCNHYFLRMLATMGRGNYDAAYDEDSIEVRMKGFFNRAFSTIIENITIDGLENLDDLEMYPSCIPDLLSERPLIITGRYSGDFPGTVQVKGLLADMSKFSVDLQVQEVKEIPLDKILAIQQIELCTAQAWFSEDKALEEEIAKVSMQSSVISEYTCMILLEKDKGTKRKADSVEKGKGRKGTSHSSEKKEQKQVPTEPDCQKIAVLHNFKPGFGNLPATIEHIPPGSYVAKFQEPGEKFAKAASNCCGKCCGQCCCLCCIQMCSRVNDQCAIVFTQLCGALSCLGCSYCCDACCGSEG >KZM86866 pep chromosome:ASM162521v1:7:7809913:7812967:1 gene:DCAR_024000 transcript:KZM86866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSASFCAIEGANLATPLALNSKSLSSNSKSCSAHFTATINNFARKRTGVLVSASGSASVDTDSGNNLPLSGLVFQPFEEVKNEAFVVPVAPHTSLARQRFQDECESAINEQINVEYNNSYLYHAMFAYFDRDNVALKGLAKFFKDSSEEERTHAEMLMGYQNMRGGRVKLHSILNPPSEFDHAEKGDALYAMELALSLEKLTNEKLLNLHAVADRCNDPQLADFIESNFLEEQVEAIKKISDYVSQLRRVGKGHGVWHFDQALLLEGGAAV >KZM86967 pep chromosome:ASM162521v1:7:9090074:9091342:1 gene:DCAR_024101 transcript:KZM86967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYATLSPSSSTTTKPPSKFSDDSASTSTTSPSSSSITSYGSLHSNLSLQTLPSVPSLQTLAPETLNISVAKNSLSSLKPHTFHVTSLSVHKNLLYAASGTFVNVFDIETFTLIDTFHGKNTASGSVKSVTFHDKKIFTASQDSKIRAWDLATDENIRHQLIATLPTVKDRLRRCIIPKNYVRVRRHKKRLWIQHHDAVSGLAIFDDFLYSVSWDKYLKIWSASDFRCMESIKAHDDAINAVIVSVDGMIYTASADRSIKVWERSVKDRKKWVHGLIATLVKHKSAVNALALNSDGSVLFSGSCDRSILVWEREDSANYMVVTGALRGHGKAILCLINVNDLLFSGSADRTVRIWQRGVAERKFCCLTVLDGHEKPVRSLAAAVDENVAAGGGMKVFSGSFDGEIKVWDVVVSSLETSNAIL >KZM87342 pep chromosome:ASM162521v1:7:14817368:14817878:1 gene:DCAR_024476 transcript:KZM87342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKKILSFLIFTTVLSCFISESSADIGKAMWYTPVVPTTCFGKVNQGQWVASISDDLWKQGKYKCGQSLTISCVGGQYPCKAGSKSITVKIVDHWVAPDVKFIAISKQALSSIADPLAGPIPIQFY >KZM86970 pep chromosome:ASM162521v1:7:9127366:9129436:-1 gene:DCAR_024104 transcript:KZM86970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEARDRLSRRQDDPSALFLRHRSQFGSLQILPDDPAVNAFRWGSTPLNGATTGVAATPGRGGGGVGRGNSGTPRVGNGRGRGFANRENTPARSVRRTPRGGGGSRSILPSWYPRKPLQDITPIVRAIERRRARLREAEGLQLSSRLPQGQSVHSPSVPVSVAPLEHELSLSSPSPTIKIRRCPPTVGRVPKILLNITEQSDGTSDFLTPEKKLLNSIDKVEKVVMQELNRLKRTPAAKRAEREKKVRTLMSMR >KZM87065 pep chromosome:ASM162521v1:7:10648190:10652124:1 gene:DCAR_024199 transcript:KZM87065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPPDGYSDDFLEQILAIPSYNGLSNPDGTSSEAASHLPGGAQQPFFPLGLSLDNGQRERGAMNMGNLFPVFEHLQPHSVRHAVPQMHQGFQGPPTTSTAVTVPHPPNMRPRVRARRGQATDPHSIAERLRRERIAERMRALQELVPSCNKSDKAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVSDGPLQSVEGGMIENGGDRPVWENWSNDDTEQEVAKLMEEDVGAAMQFLQSKALCIMPISLAALIYPSNQSDISIVKPEPPPPS >KZM87903 pep chromosome:ASM162521v1:7:21877399:21878277:1 gene:DCAR_025004 transcript:KZM87903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDHHNNDKDKDKGLMSSLAGYAAGHYGQHGHYPPGAYPPPGAYPHQGYPPQGYPPAGYPPQGYPPAGYPPSGGYPPHGYPPAGYPPAGYPAPSASHHTGHGMGMGGMLAGGAAAAAAAYGAHHLTHGHMGHHGYYGHHGKFKHGKFKHGKFGKRWKGGHGMFGKHKHKFFK >KZM87158 pep chromosome:ASM162521v1:7:11850766:11851862:1 gene:DCAR_024292 transcript:KZM87158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPTTPFHIFSLFLVIFANLELLAEAKSAPDYNTLVYKGCAKQSLSDPTGIYSQAISTLFGTLVSQSSKTRFFKTTTGSSQTTITGLFQCRGDLSNVDCYNCVSRLPILTDKLCGKPVAARIQLLGCYMMYQVAGFAQISGLELLYKTCGRTNVGGSGFEERRDTAFSTLESGVTSGNGFYTTSYESMFVLGQCQGDLGSSDCASCVKTAVQRAQVECGSSSSGQIYLHMCFITYAYYPNGVPKMSSPSSSSSSSLYNPSSSSSDRQQDTGKTVAIILGGAAGVGFLIICMLFARSLLKKHDDY >KZM88749 pep chromosome:ASM162521v1:7:30983528:30984349:1 gene:DCAR_025824 transcript:KZM88749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWRVVLILSTLQLLTLLTPTKPDPKDESCLTHLSQSLEDPNKNLQNWTKATFSNPCTGFTSYLQGATCNNGRIYKLSLSNLSLKGSISPYIANCTNLQALDLSSNALSGSIPTDLQFLVNLAVLNLSANHLSGSIPPQIAMCAYLNVIDLHDNVLTGPVPQQLGLLVRLSVLDVSNNKLSGPIPGNLGNRTGLPRFNASSYEGNKDLYGYPLPPMKNKGLSILAIVGIGLGSGLLSLVLSFTAVCIWLRVTDRKTASAEQEGKITQLMPDY >KZM86237 pep chromosome:ASM162521v1:7:849089:850736:1 gene:DCAR_023371 transcript:KZM86237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILLCIIMLCSTWAIQARPFDVVVARDGSGNFDKISEAVAAAPDRSSEKYYIRIKRGTYAEHIRIGTEKTNLVFVGDGMDVTIITNSRSNHSGYSIFESATVDVEGDGFIAKGITFMNSAGPNNGQAVAMKSSSNLSAFYQCRFKGYQDTLFVDKGLQFYRDSEIYGNTDFIFGNAAAVFQNCHIFVLNPTTNHACVITAQGRVSNDVFTGIVIHKCTIVAADGFRKGTKTYLGRPWSSLSTVVILESFLDDLIDPKGWLQWNRVDPQHSSLYYGEYGNQGPGADTSRRVKWRGVKILDFTEANKFSVRNFIRGQTWIPFTNIPFLPDLK >KZM86226 pep chromosome:ASM162521v1:7:757330:762819:-1 gene:DCAR_023360 transcript:KZM86226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNHEELPVPTYSKLEPVYGSGSQLEEAELRFAAVKSKFSEFFGSNPDVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKREGRDGEKVIRIANVNDKYDMCTYPADPTQEIDLKNHRWGHYFICGYKGFYEYAKTKGLEVGPPVGLDVLIDGTVPTGSGLSSSAAFVCSSTIAIMAAFDVSLAKKELAQLTCDCERHIGTQSGGMDQAISVMAKAGFAELIDFNPIRATDVQLPACGAFVIAHSLAESQKAVTAATNYNNRVVECRLAAIVLAIKLGKEPQEAISEVKTLSDVEGLCVAYADSRGSTDPGIAVKELLNEDPYTSEDIEKITGEKLLEVFANSPSSLDVLKAASHFKLFQRAYHVYSEAKRVFNFKDAVSAASSEEDKLKKLGDLMNDSHHSCSVLYECSCPELEELVQICRDNGAFGARLTGAGWGGCAVALVKESIVPQFILNLKEQFYKSRIDNGVIKKNDLGLYVFASKPSSGAAIFKF >KZM86836 pep chromosome:ASM162521v1:7:7485481:7487523:-1 gene:DCAR_023970 transcript:KZM86836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRLDGEGYVPSEKTLSFPSSSAIVLAPIIETIILKQLSEKTKSAFLKSMFLCKVKVKNIEESENWWYDNCHRSNCNEEVFKVEGKFRCFTCHKNYLIPQKRAVKRIIGKTETKLIAERIDNQATLTDYPDELKATNGKDLSFKIEIIEDNILLKSAVYTVTDAFDCEITASFKSEATNSDVEVTGFKNEG >KZM86732 pep chromosome:ASM162521v1:7:6280257:6280821:1 gene:DCAR_023866 transcript:KZM86732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSPMKCFLCQKHQILLKYIFVIVAVSDAIADLFESVRMIFAAGEKERTEGSAGFILVTSFTMSKVHSFLVSEGSAGASMCAELEATIFPKGGKVIGTTTNTSRKSSVAGNSPGVRLILIRR >KZM87507 pep chromosome:ASM162521v1:7:17545733:17547605:1 gene:DCAR_024641 transcript:KZM87507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFWGSRVMEIVKKHDSSGLLWKRIKLTTTRKANAKKRILRVWQNDAVLKACAEPPLQKTAQMDAEGSQLKKD >KZM88361 pep chromosome:ASM162521v1:7:27195890:27199098:1 gene:DCAR_025436 transcript:KZM88361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPVNSFVSPPSMRPHEEKVVKEREEEENIDLSLSLSLNGKFGVDPQRNQRTNSCPEVLITPNQRSSPISDDNGGESKMRQPPDSQGSNSSYFQCQTIKGQGYPANIRSSNGVRNVAGNTPPKAIPAARGKVNGIVFNNTGMPCVFTTVHGQKIEGFLSRYNDEDDVIIICACHGDSMTPSEFIKHAGGGDVAYPLRDIKMIKRSNSEAIYMASTMKSMFKALRKYILKTPWEITGPCASPEYKNAIPTLNDVRVFSPATPPTKVVVPTSDPQTVFDIQYYSRDQRRNRPPIRRTVLKKADAVKMMKEKKSFGVADFPPVYLTKTVEEDYSARGGGYVQEK >KZM87044 pep chromosome:ASM162521v1:7:10372834:10378111:1 gene:DCAR_024178 transcript:KZM87044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDGVNLEKEGEGVVMDTPERKQFTSPVSKIEDSPVFNYLNSLSPIKPFKSIHITQTFNSLTFSSPPSVFTSPHVSSQRDSRFLRRHQFSDPSKPDFSGNSEEKVAKNEEATENAHNSAQQQHFNQGSLASESSAEPLYDTSVVVELPQNLNGECVSPDCDGTSNCGMGIRCVSELGGSSTEVIPYAEIGSGKENIEREIHLEGPSHIDANKEAAGCDWDNLFSDEGDLLLFDTPNDSKSLVDPGQRSLLPGMSFCTSLTDNMQISEAVNAVGLGPDNHSSLLECGQNIKAVIDQDIPASGSQSVAGDTSEKVDNEIASNLQRGMRRRCLVFEMVGSRRKRLDDGSSTSVLLQCHENPASSDKCVVPLQPVSNIPRRRLPGIGLHLNTLAATSVDHKVVKHEALVPGGQPISVSGSAAYINSSNSQQILDSALVMYSSDRDMCPIGDGTPMAEDAAQGSGYMVNESLNHNSPKKKRRKSDSDGESDACKRCNCKKSKCLKLYCECFAAGVYCVEPCSCQECFNKPIYEDTVLATRKQIESRNPLAFAPKVIRNSDSIIEIGEETSKTPASARHKRGCNCKKSGCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGTEVELGEEEEAEHENNAVNRSLQISTIQNDAEQNPSFAIPATPSQFGSQSIQPISSKSKRPPRSSFLSIGGSSSAIYGTPAFGKLNPLRPLSKIQNDQKDEIPEILQKNASPNSRVKLSSPNSKRVSPPHGNIGQSQGQRSSLIAISVCSLSKMLAMSSKFCVQLLCVYRTRSYYFNVDAAGACHRFRNIDATTNNARIK >KZM88288 pep chromosome:ASM162521v1:7:26501759:26502611:-1 gene:DCAR_025363 transcript:KZM88288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKGGPNKACAACKFQRRRCSSDCPLAPFFPADQPKIFNDVHRLFGVSKVIKILKKMRNDDQKIDAMKSIIYESYIREKFPVHGCSGIISQLNQELQKATQELHYVRAQLAMLRENNSPSSQIMNSYDLFSEINENGSAFYTEQSADETKCLFNRNNYVTDDNNKLLEIETQLGGSCAFAVTPNQVAEGCDQDYDILNFNAIDDLPSKEACESSSESTSRDTKLLELGPESELKNAAAYFSLMNIN >KZM88543 pep chromosome:ASM162521v1:7:29065215:29069178:-1 gene:DCAR_025618 transcript:KZM88543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMASSGCTLGIYTNLKALEVQPISRPSLFSTASSFDQLIHSCISPKIRLSSSASTVIVKASSATAVEDGSFQDTDAIPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMGALRNLGLNVVKAEVFLDSSGKHNKFSITKVSDGRKIEDPEMLEAIRLTIINNLLEYHPESSGQLAMGAAFGVLPPSEQVDIDIVTHIRVKDDGPERSLLYVETVDRPGLLVDLVRIVTDINVNVVSGEFDTEGLLAKAKFHVNYRNKPLIKPLQQIDDADTINEIQIDDADSRYDKFKTK >KZM87425 pep chromosome:ASM162521v1:7:16258760:16268860:-1 gene:DCAR_024559 transcript:KZM87425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFARESGGGGVATGTVLIPTRFVWPYGGRTVYLSGSFTGWSEHFQMSPVEGCPTVFQTICSLPPGFHQYKFIVDGEWRHDERQPCVSSNYGTVNTVMLSRDSGYNSAILSPQIPSGSSMDVDNAVFQRLVSRHRISAFLSTHTAYELLPESGKVIALDVDLPVKQAFHILHEQGITVAPLWDFGKGKFTGVLSALDFILIMRELGDRGSNLTEEELETHTISAWKQAKLFLNRQTNEHRSEFPSQLIQAGPDENLKDVASKILHTGVATVPIIHSSSEEGLYPQLLYLASLSEILKCLCRYFRNSSGLLPILQLPICAIPLGTWVPKIGEPNLRPLAMLRPSASLNAALNLLVQAQVSSIPIVDDNDSLLDVYSRSDITSLAKDKVYTHINLQEMTIHQALQLGQEPYSPFGSSSQRCHMCLRSDSLHKVMERLATPGVRRLIIVEAGSKRVEGIISLRDVVRFLVG >KZM87518 pep chromosome:ASM162521v1:7:17654510:17655547:-1 gene:DCAR_024652 transcript:KZM87518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISMAISSPSRSQSTSARKHTPLSPRPTTSFKASMPQNISLVSTTTNNIAQDRQPKVHGRDCRVRIPTLCATRIFQLTRELCHRTHGETIEWLLRQAEPSIIAATGTGTVPAQSISCLYGVASSSTSVFSPSSSTTVAPNVDPSRIEVNHPMNPPVTMMSDVYPPMMQNYQHVEGLEANLPPTSSTTMPLPIAYHPESFDMQGAERVVLNAPMNSSAMMVPDVISPRMHNAQPVNDVEINIPLNQSAMEVPNVYPQEFPTFLHAGGDGANPDSGGSTTMMFPFVFPPEVWEEVERNDPLSSSAALDLNVVPPEMQNLAQSDGVEVDQFASSSTSVVPPTGDDAI >KZM88403 pep chromosome:ASM162521v1:7:27626678:27627023:1 gene:DCAR_025478 transcript:KZM88403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATIVRYVGKKPKPKMKPIELKTPPEQTQTITRVIFDIVKEHGPLTIADTWERVKNVVATK >KZM88341 pep chromosome:ASM162521v1:7:26969412:26970854:-1 gene:DCAR_025416 transcript:KZM88341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSVQVAVVDISIPRIAAWNSDTLPIYEPGLDDVVKQCRGKNLFFSTEVEKHVFEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGISFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGQKAIQTLKEVYAQWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVAYAVGKDSRIGSKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVASMFNTVSGKKVAILGFAFKKDTGDTRETPAIDVCKGLLGDKARISIYDPQVTEDQIQRDLSMNKFDWDHPLHLQPMSPTTVKQVSVVWDAYEATKDAHAVCILTEWDEFKNLDYQKVYDNMQKPAFIFDGRNVADVEKLRDIGFIVYSIGKPLDAWLKDMPAIA >KZM86678 pep chromosome:ASM162521v1:7:5555548:5556612:-1 gene:DCAR_023812 transcript:KZM86678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSGPSPRLNSISINNDTHADLDLQSHKDFDHHHHFASKSALEILRETVRILRYNLSGFMAITGVLICPVSALLLSNFLVDHRLVKRITIRLLLVVKSSGLPLRPFVRQSCQKFSEMAISSTMCFPLFITLLLMSKAAVVYSVDCTYARRMFDANKCYVIVTKIWKRIVSTYLWACTVMVGCLMLFLVFLVTVSSLLSLIGFPPDFNVYFAILIGLFFSIFLANAIIICNIATVISVLEDVAGPQALMRSSILIRGQTQVGLLIFLGSTIGMSFVEGLFEHRVKTLSYGDGSSRIWEGPLLVLMYSFVVLIDIMMSTVFYFSCKSYSLESSHEECQPVLEARNISPAAPEVQ >KZM87306 pep chromosome:ASM162521v1:7:14043700:14043976:-1 gene:DCAR_024440 transcript:KZM87306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKKNKDVVKFKVRCSKYLYTLCVFDAEKAEKLKQSLPPG >KZM89012 pep chromosome:ASM162521v1:7:33057365:33059266:1 gene:DCAR_026087 transcript:KZM89012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISNKWLAVSASIMIQITAGSLYTFGIYSGAIKSSQSYSQQTLDTLSVFKDIGANTGIFAGHLHSAVASNSRRWFGGPWVVLAVGAVLSFLGYFLMWLSVSGIIFHPPVRVMCLFMFVAAHGLAFVNTANVVTGVNNFADHRGTIVGIMKGFLGLGGAILIQVYQTVFKNRPSSYLLMLALLPSWNTVIFMSYVKMFNTYSVDVKKHLNRLSVAALLTAAYLMIAIVIEDYFTLTLAAHIFILGGLLIFILAPFYIAIKAQHEDSFNTSSSYLVEDNHLMDEPYQMDEGQVHCSQDPSGYIQVPGDADSQTRTYNDHRVPSGENLNLRQAMCTSSFWYLFFATSCGMGSGLAVINNISQIGEALGYTRLETKTLISLWSIWNFLGRFGAGYISDYLLYTKEWARPLLMALTLLIMSCGHSVIVSGLPGALWVGSVLVGICYGSQWSLMPIIVSEIFGVVHMGTVFNAVTIASPLGSYFLSVRVVGYNYDKEASFEGNTCIGRHCFRLSLLIMASATFFGSLISLGLFLQTRDLYKNLILLRSQRSVL >KZM86228 pep chromosome:ASM162521v1:7:775116:775760:-1 gene:DCAR_023362 transcript:KZM86228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLISNPVTSSTTRESRKKKKKLSQITRNQSQNPNNNQIPWKSDSQQQIYSSKLLQALRHIRVEDSSAASVPRRGKAVREAADRVLAATAKGKTRWSRAILTNRLKMKFSKNLRRRRVAVPGSDANKSVKKAKVSVLRLKSKNLPAVQRKARFLGRLVPGCRKESLPVVLEEASDYIAALEMQVRAMAALAELLSGGSTSAAGSSSLPSMSC >KZM86687 pep chromosome:ASM162521v1:7:5723718:5727530:1 gene:DCAR_023821 transcript:KZM86687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGCAPAEKAADLLQKLSLDPQAKTENSQSTKPIVDSGNVPNGQIQSSDRSLTPLLPDPMDPTMWYANGYAPYYYGGYDGTGNGWDDYSNYLKPDGVDMSHGVYGGYGYAPYGAYSPAGTPVPTLGHDGQLYAAQQYQYPASYFQPAAAPAKGDISTAAPADQPILSIETPKGKPNGIANSGGVKGNKGSTALKPTYQNSSYISSSYNANSSNGTGVFPGGVPASGYVYDASRSSVPWFDGSVYSNGKTGPLSSTSFNQYTSNGNGVPVSKNQNARPHHQFTGYNNRRPLSGLNSGNGYVNRMYSNKFYNQNGISYRSGFYGSNGYDSRTMGSGWFSVDNKYKPRGRGNGCANYGNGNVDGLNELNRGPRAKSFKNQKGAVPASVAVKGQDNLVTGTNGSTEDSRVDKENGQYNRADFPITYADAKFFIIKSYSEDDVHKSVKYNVWSSTQNGNKKLDAAYHEAQQNSGHCPVFLLFSVNTSGQFVGVAEMSGPVDFDKILEYWQQDKWVGCFPVKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLQLLKIFQDYTSAQSVLDDFDFYEDRQKKIQDRKAKQQLIQKQLSEGKPTDESAKEGSNVEVTTEKSVDVPSNLTKNATRAVFAKGDANVLENVATVEAQEVSKPVTVTAKELVSNGVANGC >KZM89132 pep chromosome:ASM162521v1:7:34181340:34182950:-1 gene:DCAR_026207 transcript:KZM89132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELCTRMTNTWKQNKKTINETIAQTKLGKYFKLEARNTSFTTEFRAATATFLTMAYIITVNATILADSGATCSVSDCSSPANQTAGPDCTMKPNAGYQTCLSKVKSDLIVATALASMVGSFAMGLFANLPLGLAPGMGPNAYIAYNLVGFHGSGPISYQTCMAIVLVEGCAFLAIAAFGLRAKLARFIPQPVRLACAAGIGLFIAFVGLQAHQGVGLVGPDPSTLVTLTACSRIDPVTGACIGGKMRSPTFWLGAVGFLIMSFGLMKGIKGSMIYGLVFVTLISWIRGTSVTTFPSTPLGDTNYNYFTKVVDFQGIKSTAGAISFTEFNRLEVLIALVTLLYVDVLATTGTLYTMAEIAGFVNEEGTFEGEYVAYMVDAGSTVVGSALGVSPIATYVESTAGIREGGRTGLTGVIIGVYFFISLFFIPLLANVPPWAIGPSLVMVGVMMMGVVKDIDWSSTKTAVPAFVTMLLMPITYSISNGIIGGIGVYILLSAYDYAVDCFTWFVEMRRRMVKEENQVSATSAVDSGVEMI >KZM88827 pep chromosome:ASM162521v1:7:31574524:31579102:1 gene:DCAR_025902 transcript:KZM88827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSPPSLPPLPNPKLRLMCSYGGQIIPRADKKSLFYSSGETRIVAVDRRTTLSNLNTFMAHLSRTLFNNRPFSLKYQIPSEDIDSLISVTTDEDLQNMIEEHERAGSSGAPGRIRLFLFPTKPESVGTVLLDAKSETWFNDALKSSMMIRGQSEDVGHGLLGFDGLGLSDDGQGGGGGAGDGKVGLMDFGSESMVLENNSSFGSTGSSTSMSTLPAIGAFAEEEKKKVITVASSGSLDSDNSVASAVSHPKTGFHQDQAVHNSFSDAKVSSNADVKVSSSPLKPESIILEPSSLIQMKNAAQVSSYQLPLAPEEKLHQQVQYIHPGGHYVSQYPTGPLPFSPYYPVYQPQVHQQQHIPYSPNPPNPPYPVYLLPIRPTQSYMSSSHNLNNAATNAPSRPPLHPQTSLLGNQVSYREVTPQAPVLPELTPDSYWSTSPSIQKQLLDPLEPYQPSLSVVSDPLVTTNSDAEIVVDDLAYAQIYKSQPPAPATTPPYEAMTNVSKVLLSETSAPLHGASLQSKRPNV >KZM88978 pep chromosome:ASM162521v1:7:32740209:32741447:-1 gene:DCAR_026053 transcript:KZM88978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATASTFSVGSTLQCGSKMSPLSQSKATSAQFNFNNKLRSYSGLKVATAFSLESESAFSGKDSRAALQASFACKAQNQSQRYSANNQISSSFKVAVLGAAGGIGQPLALLIKMSPLVSTLHLYDIANVKGVAADLSHCNTPSQVLDFTGTPELAAALKGVDVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLRQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVIGGHAGITILPLLSKTKPSVSFTDKEVEELTVRIQNAGTEVVDAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECAFVQSELTELPYFASRIKLGKKGVEAIISSDLQGLTEYEQKALEALKPELKASIEKGVAFAQKQAIAA >KZM89343 pep chromosome:ASM162521v1:7:36107550:36108341:1 gene:DCAR_026418 transcript:KZM89343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGEAGGVVVGQPSSSSSSSKKAAASKRFDIKKWNAVSLWAWGIISWISALNAKLIRLVQPVRSALLLGVCLPPSYAPSFIQFVACIESLFSTINIWVLVFTEAPYIFFFYTFVLVLVFLVITLKYEGVLGEVISVYSDYIWRKVHFVYLQFVC >KZM86146 pep chromosome:ASM162521v1:7:88814:93300:-1 gene:DCAR_023280 transcript:KZM86146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAFVYQGFLTNEECDHLISIAKPGLKRSSVADNLSGESKHSEVRTSSGVFIRRENDPVVADIEEKIETWSFLPKENGEDIQVLRYEHGQKYDPHYDFFLDKVNSAKGGHRIATVLMYLSDVEKGGETVFPKAEESSRLKSSIDANLSECGQKGVAVKPRKGDALLFFNLHPKAIPDLQSLHAGCPVIEGEKWSATKWIHVDSTDKTLGADANCTDENDHCALWAALGECQNNPEYMGGTEEQPGYCRRSCKLC >KZM86334 pep chromosome:ASM162521v1:7:1772443:1776001:1 gene:DCAR_023468 transcript:KZM86334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGKGGKTMMTTATAEMERMSVEQLKAVKEQTDLEVNLLQDSLTNIRTATSRLDLASTALHDLSLRPQGKKMLVPLTASLYVPGTLDDSDKVLVDVGTGYFIEKTMLEGKDYCERKINLLKSNYDQLFEVGETSTNGRTVSS >KZM88668 pep chromosome:ASM162521v1:7:30138655:30141365:-1 gene:DCAR_025743 transcript:KZM88668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQKTSIFGLSLWVILGVSVGAAFVLVLFIISICFTSKRNNIKSIKNGSVSKAPSIPHVSRDIQEIKVDNQEPERLSRNEQKALLLKAEEEGSTGYHQRIQIDIGKDHRILYPAGKLGGGASSGEAKGDHHHVAKVVPQVSHLGWGHWYTLRELEDSTNGFAHENVIGQGGYGIVYHGVLQDGTHVAVKNLLNNRGQAEKEFEVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEFVNNGNLEQWLHGEVGPYSPLTWEIRMNIILGTAKGLAYLHEGLEPKVIHRDIKSSNILLDKQWNSKVADFGLAKLLGSGRSYTTTRVMGTFGYVAPEYASTGMLNDKSDVYSFGILVMELISGRYPVDNSRPPDEVHLVDWLKQMVASRNSEGVLDPKLQEKPSLRSLRRAILVALRCVDPNTQKRPKMGHVVHMLEAEDSYNERKAGKAPSSSQCDEQKDKSTEKPVKESGETSR >KZM87795 pep chromosome:ASM162521v1:7:20538036:20539001:-1 gene:DCAR_024896 transcript:KZM87795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGKAEGKRSVRDRMKADVVKEDEEDEDAEEDNKKKRVMTYSGRKGSGGGSTQPCCQVQDCLANMSIAKAYHRRHKVCEFHAKAPVVVISGVQQRFCQQCSRFHELPEFDDAKRSCRRRLLGHNERRRKSSYDFPGEGSG >KZM86888 pep chromosome:ASM162521v1:7:8054979:8058028:1 gene:DCAR_024022 transcript:KZM86888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVYLPDLRGDEEDWVIRVRVCRMWESISTKDGSLLSMDMILADEKENLMHAALRKHLVPRFKHRLTEGHVYELRNVKVSTNTYPYRPLASSSRLLFLATTEVRPLGEEGAAIPRYGFQFVDHATLRGRADDVTTLSDIVGCYCGFGEVEVVGAGYRKWDIRIFTDYSVTSTVTLWGKLGELFDPALYAGDGGPYVIVVSSVTVKTFQGALNFATTSGSRIYVNPDIDHISSIKERFSALSPRVVAIEGPSVAKLPPEEAMFVNRMTVESLVNATCAGELKVDAVTLKATITAINNNYGWYYVSCKSCVRKAVLKEGVYVCNACDKTVDYPLTLFRVNVQVEDPTGSTTVVLFNAAVERLLDVSARKIVNAMAPGDTSVPAELQPLLGREFVFKLKLNKYNLVDGLQDYGVSAVYTPLAELESAHAKKTLEAAGNDVAGSPTDDANVAEGDKKRKRKLTHVVKDAAEDGSGTS >KZM87959 pep chromosome:ASM162521v1:7:22527253:22531308:-1 gene:DCAR_025060 transcript:KZM87959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIKLKDKQINKISLRTKKKMNLRKNNLRNKQIQIRMKKMNEIAIENGQRKRKAGTLYPGFKYLKKDVQKQEGAKHINKEKDEVKIRISPRHIYEMIYFLTPEQKKWVKRTGFGLLLDFQLEMLPAKLAYNVLQIFDHNTVSLKLKDNDIEIQEQDVCDVLGLPYGGLRITFDSDEIYLERTISWHAQFNTHEDDEQITTQMIVQVMRNQEVNDNFKLNFILVMANVLIGTRGASYIDKQLLKINDNLDNLQKYNWSEYLISYLVVATESWNNTTTTFFRGSLIFLTLFYVDCVRPKAIKLVERQFPSYIGWTEEMLKERQAIEVFHSPFGVGSIVPPLREFLRETEAQESKKNDKNNDEWDNDDTWRQIDELVEKYSTKNKSPSTNIPPEPSTDAAYTSPPRQGEPSNDAAYHTPQREADPCIDAAHHTPPREAEPSTDAAHNTAPTEEAEPSQNAEPSQEDIVEILRRKAQDLLAAKFEFDDELKKSKEKFPDNEDIKSIEEMINENLNIRKDDDFVHQNYFNVEMTKKTLAHVDLVEYLQSIRPKNNIFDTTEDDNSYIPSFSLGFEDGGTRDPEDYVNPQPQVREKSKRVKKVGTFAKSPYLNRVIDIKSKLNNFDFGLCMFLVRNRDDLLEPVFKWKEVQCIKEHLQTLKPTQSVYYSVIDTWATMLDDTEKYKSDKSPLRLFCTIGDLIFSIDEKKRFPETFPSFCKGMDKILDNFSIDKIENTDMVFFPINKFQHYYLICYHLKHLSYYIIDNIKRDGDPKPYYNRVPEVLHLHFCNYLTDKVNATYSKRMRRLKPVYLTMPWQTLYNSSDCAVFLMQHMETFKGDPKNWNTELSVEGAHQHNQLMKMRVKFITAILTSHLNELRDNIIQEASGMFVKAAEMNLINLVKSATPAQQLQENTPGSGKKSVSFAEPLIASFHEVAEELSQSQEDEPEATENNVNEGQ >KZM87160 pep chromosome:ASM162521v1:7:11877503:11879257:1 gene:DCAR_024294 transcript:KZM87160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLKSLQLLEINIISAQDLEPMSRKMKTRATAWVHPTRKLSTRVDTEGHVNPNWNDKFVFRVDEEFLQRDTSAVMIEIYATQRGRWKRDALVGTVRLLVGNFISPPTRPNHPSSHHIGMRFVALQVRRPSGRPQGILNLGVSLLDSTMRSMPLYSQLSASAVGFHSLLEAVEPHDKRPGQDGKQTRQAAKPVLQRSRSERSVTFDKMSSVAGGSICAFPGDTEGPKRAASLLNSEFSEPLPLEKGKIGKASSVISGAELKEKPKEKRGRKKGSSVVSDSVISKESQKNDIIAEMPVPFKIGLLIEKLGMEPEKEQKPGTKKNGNTLNGPKNNIKSSFAPPPKPGSVLDSEIGPSPSEVAAAIDDERSSMLDGWSANESVEGLRTKLERWRSELPPLYDHGALSSSSFQSRSQHSRRKSEGRESGLFSCFGNIAGIECQCVCGRPPAGDHSPDYGYLSP >KZM88456 pep chromosome:ASM162521v1:7:28069487:28070792:1 gene:DCAR_025531 transcript:KZM88456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAGTKPSTQIRLTIMAYDQNKAMDWYSWLSKTGLEATLVYEYGLSFTQNELEEEDIEYFNHEFLQSMGISIAKHRLEILKLVKRERGPSRPRSVVKILSAIKQTKKSLVKYIQTLVHRDESAIVLVTRRSYSSRWKSAMLKRSSKPKVAAKQNRLLLTNGSPTRVNSFSSPMVYDLRSDEKMEDEDEDDDDEDGYYYRTGVEEIRWDTMFQNLKPT >KZM87052 pep chromosome:ASM162521v1:7:10480224:10487789:1 gene:DCAR_024186 transcript:KZM87052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRQLKEGSEQHLVIKPQLQNTMNPVHKQLKVAQNGKGSTGKETQNVKLQNQSSPPSKSRGRRRGRGGRKSDQGEAFMRPSTRPCTAEYTVSPPVTTRSVTAVEVDNNNGGKLCEIVKAFPSSSKTLSFAQRPGYGQIGTRCVVKANHFLAELPEKELNHYDIKMNGLLLSLCPFLIINGDLNSVINVVLFAVSPVCDILSPYCPVYCRRVREYKVVIKFVARANLHHLGQFLAGKHADAPQEALQILDIVLRELSNKRFPVDDDLNMKSVVEYFQEMYDFTIQHTHLPCLQVGNQRKANYLPLEENGQGNKNTRGIGESGVRPLPALKENVKRVMFYC >KZM87187 pep chromosome:ASM162521v1:7:12210885:12211493:-1 gene:DCAR_024321 transcript:KZM87187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDEMYVMINYPEEIPKGRFESFLKVCLELDIEQAHFLYSTKMLLRSESVHHHLNVLKINSTLHPPSHFAFLVFKAMYCPFQWDSTVKEMAAIIIHPYFRKRVPEFIYLIRDIKGDDHYDIFPIYKLCPNAKNKQSFLHTGWFPYERHVWSSSLCNKVVPQSQDVVSPFDQCITINHIFQTQCAYCSMQSILYKVVYGIYL >KZM88220 pep chromosome:ASM162521v1:7:25640188:25643841:1 gene:DCAR_025295 transcript:KZM88220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKKRQEYGFHGNQIPVVPTGPRSLRRRRSFKKESLDDDQLCAFELLAAVAGKLLRESESSASSNVAEGKVQLGMHKDGIKKEQVEETVARPECLDQESCIESVIVPKSAVLERSTKSTTKELPLVGNISVSEHISVVTNSNFLDKVGGDAKHKKCTSKAAARSFLSKSEESFLGIGKLCDVNIGDNAQRMQEGVGKQNGHFNMVNCGGLEDPQDYYVHSTHKPINFEGSIKFPLYRDNVPVASFHKHGNNVKIADKDDDENYFRSSYLNTRIKASRPQSRFGYRRMKKLMTSRNWRTAPKLIDYEFNSTGRGDRPIYHKRKTGNAYGGYQRELHSKRRRLHDRRKMCHYRSATAYDQQASSESISNFPEKAMKGDNSGTPALHRENVVSSSDIGHKASFHAKDTRVKFSIKSFKVPELYIEVPETATVGSLKMTVMKAVNAILEGGLHVGVVLQGQRVENDNITLRQIGISHDEDLDTLGFTLEPNLLQATSPISRKDPPVLLPCNKQQDLSRLGRLPVPALDSGFLKSSYDPVPVTKLDNHVENNQEIVPYFTDVLTDVSVSKALVPVPPMNVNTLAIVPLNQKAKRTDLSQRRTRRPFSVSEVEALVEAVEKLGTGRWRDVKMRSFDDVNHRTYVDLKDKWKTLVHTASIAPQQRRGQPVPQELLDRVLAAHAYWSQHQSKQHGKHQIQPLKFAGEVGV >KZM87784 pep chromosome:ASM162521v1:7:20408055:20408888:-1 gene:DCAR_024885 transcript:KZM87784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGRGGMFDKALQLFDEMSEKSCRSFNGLLAACVYARKFDMTEQLFRELPEKYGFEVDLVSYNILARSYCQSGSLDLALGVIEKMESNGFQPDSVTFNTLLVGLYRDKKIVEAEKLWSIMESKNIAPTVRSYDPKINYLIETDQIEEAVIAFDKMRSRDIKPDRLSYYLLIKGFCGKGNLEETKKWYEKLSLDMVRPDRRIIKMVLPFVCEKGDLDYAVKLAKDSVKWKCIVNVKVLQDVVDKLVKESKIDEAKDLIALTNYLHYYNKNLKLPVA >KZM86210 pep chromosome:ASM162521v1:7:658581:659510:1 gene:DCAR_023344 transcript:KZM86210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREEELPRDAKIVRILLKSMGVDDYEPRVIHQFLELWYRYVVDILTDSQVYAEHAGKSAIDSDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPKSISGPGIALPPDEDTLVSPNYQLAIPVKQTSQAVEETEDEEMADPNPEPTQEPKTQVPLNASQQVSFSLGAKRPRGSI >KZM86870 pep chromosome:ASM162521v1:7:7862050:7863654:-1 gene:DCAR_024004 transcript:KZM86870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQLSLPSWELHNIVSSFFDQPMQISSYDPQLSPAITTSENSHTNSSDSYVPYFLSDEFIDQTLLDDKMPDILPMESLEVISSHEFGKVCGWLDASEGEDYNLPQLSMEEKDLWSPDLLTESSVEEKDLWSPDLLLESYNETECLQPGNESLILPTDDMEVDIQSSLCPLLQAYGEAMDDGQIQLADALVARINQKVSPVGKTLERVAFNLFQSTENQGCYIKQEASKNLEEAFKAFYEIFPYGRFSHFAANSAILEAMPDDAETIHIVDFEMGSGIQWPSLIESIGSTDKTLRLTSVKAEDNYASESSIWKFEKTKWQLHEYARSYNIKLIIEEMTLEDLMKYIEISKSENKREWLAFNCMVNLPHLGSRRSRNDIMKFLWVAQAVLVNFTTYRGILTFGHGEEGEMMKNTSTFSSFFSDRLRHYHALYESMECNFPDNFAEARLAMESLFLGPYVSSPSCFKRWEEMKSGPVSASVIGLQGLKVSQESLLEAKDMINEGDTLYAVRNEGQNENELILEWGGSPLFRVSTWM >KZM89186 pep chromosome:ASM162521v1:7:34757248:34759293:1 gene:DCAR_026261 transcript:KZM89186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRRGLSTVRANSLLSSLGYSSCYIRLLPVCFSRVFLFDVLTVQCRCFSRKPCGNQVALYLQRAKLIDSIRLIMRSSSLEFLVPVLNDPALDSFVVTNALNSAPSPESALFLVESLKGIPHFRHTQHSLHALAKILAKAGQVAKLKALINGIDSGKFINVARVSFMDKMRWLAAAGDLDEVLSVWKEWRAVQNRPCTESYNIIMALCAQTHKDSEVVKTFCRLIDEGGLPNARTYTVIIEHLVNLEKLDEAMQVFQILPSMRIKRTLRQYSVLVGAFTDTGQFDMVKILLDNMHIDAILPGRAMHSSLQRMQEAGYVEETTELVKEMLPDQRIKNIKSSITDSDYDDDDDDHANEDVGSTLCNAGENAVQLKPWFDPAALASALYYWRPEELSTLEDANFVWTTRLVSKMIRNFRSAETAWQFFTWVSYQPGFCHNVYTISRMITKLARDGQVNLVDQLLFKIKREDIQLSFSTIKLIIDFYGISGNGEPALKVFQGAKTICGTLSTNSLLLLYSSLLRTLAKCKMHDKALDILDEMILCGILPDIQTFSGLMHHFALQGEIKTVQRLFAMVKQSEMNPDAYMYQILITAYCKSERSALALRVFEDMRNANLMLDVSTKDFLVNSLWKEGKLREAASVEEKSQEISNVPPLALSGQLFTVSSSDLATVYKIYSDSLESMC >KZM89244 pep chromosome:ASM162521v1:7:35313398:35314964:1 gene:DCAR_026319 transcript:KZM89244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPRKKEIKEDVMVESDRGWWLTDFQKDLTENSEIVFVPYFELAIRLTDDYDNLGWLKIDPPKFWFVDKAVRREHSHTDSDPPCSHVHSNPDPLRRCFHFYTNSVDMIKVKDIHFCKYPLFCCSASIGSTIYCVGGDKDIDADVNAIFSELIGPPITSNPHNFSRTVPRNNLSHKIGSLDPRDRKICWMLDNAPTLFSPRYLPKIVAVGEKIYLFGGNRLPVNNLDYVPFAEVFDPEAAPIKCFPICDPPFPSRIGQGILFVAPFLGRYGERKILVMSRAIYIDPPLGADAAAIYDIPTDTWEPFYDPDRWQLLHHTHDIIGAPIPVAHQDSIYWLGGRFEESPIRIASYNWKTKHFWKGTIYGLQHESPFDYINDSSKILLHLNRDLFYLVWDDLVSVSTSDDDRDHDHTHIHFTLLRVCREDPPSTSLSAFVVGCFSYILPLTCDVERAYVLKYLTCVHYLLGVKGDIILTKSTQERRLKKILLGCSLR >KZM86315 pep chromosome:ASM162521v1:7:1635613:1637627:1 gene:DCAR_023449 transcript:KZM86315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWHDLYTVITAVFPLYVAMILAYGSVRWWKIFTPEQCSGIDRFVAIFAVPSLSFHFISTNNPYEMNFRFIAADTLQKIIMLVVLGLWAKLSKKGNLDWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIVWYTLLLFLFEFRGAKMLIMEQFPETAASIVSFKVDSDVVSLDGHEFLETDAEIGNDGKLHVTVRKSNSSRRGLGLGSISGMTPRPSNLTRDGDNDFYSMTNFGPGEVYSAQSSRGPSPRPSNVEENCALPVAASRLGFHPAQPEISSTENEEFIGDTMSLTRAGRGDDEETEKKGHTRSVSSSSSGLHPKVPMEHGIGTEKIMPPTSVVTRLILIMVWRKLIRNPNTYSSLIGLSWALVSYRWDITMPKIVDKSISIISDAGLGMAMFSLGLFMALQPKIIACGNSVATYAMAVRFLTGPAVMAAASVAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVYPAILSTA >KZM87501 pep chromosome:ASM162521v1:7:17435178:17435498:1 gene:DCAR_024635 transcript:KZM87501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLFDEFGGDPSNVSIQSVPTGHICANAPADTILVLSCEDKMLQSVPPGMYGAAATGKVFIEVSFASFGNANGTCEPVLKPFQKFPMILPTLLLPSGPAQDSWWP >KZM88974 pep chromosome:ASM162521v1:7:32704045:32705058:1 gene:DCAR_026049 transcript:KZM88974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPLMGKESVASRGQWSPEEDLVLASYVQENGSCNWQYVPKNTVFILIYAYICRWAAIANHLPGRTDNDIKNHWNKHLKKIAEITQDNYSDKHRYGSSSNVTTNARHQAPSHTTTLPLVSLGLRSPPSTVATGPLLSPDCMSFKSSCGRNLRAHRSLADPANHDQFRSQAAPVTPTFSYPHEPYVFNCENIAIWLQMWNKQDH >KZM89007 pep chromosome:ASM162521v1:7:33003617:33006996:-1 gene:DCAR_026082 transcript:KZM89007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVTCITPDTKTHHNTTPTPNTTNKKAKKQNPYSTSPALIRVLKDISPGGSRWTRVTDKYTLGHELGRGEFGITHLCTDRETREKLACKSISKKKLRTAIDVEDVRREVAIMSSLPMHSNIVKLRATYEDGEAVHLVMELCEGGELFDRIVARGHYSERAAAAVAKTVAEVVKMCHDNGVIHRDLKPENFLFANKKETSPLKAIDFGLSVFFKPGEKFSEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGNLDFKREPWPQISDNAKSLVRRMLEPDPKKRLTAKQVLEHPWIQNAKKASNVPLGDIVRTRLKQFSLMNRFKKKALRVIAEHLTIEEVEVIRDMFTLMDTDNDGKVTYEELKAGLRKVGSQLAEPEIRMLMDVADVDGNGVLNYGEFVAVTIHLQRMENDEHIRRAFTFFDKDANGYIELDELREALADESGETDDNVLNEIMREVDTDKDGKISYDEFVAMMKTGTDWRKASRQYSRERFQSLSVNLMKDGSLHLQDAISGQTFVV >KZM86916 pep chromosome:ASM162521v1:7:8384554:8386904:1 gene:DCAR_024050 transcript:KZM86916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTGVEKIEMIDEKKDEGLLDECGESSSASNCLASSVTEDHCSSDASADASPELDTPKFESKNSEIEMIKERFAKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPLPPEKRSMWKREMEWLLSCSDHIVELTPSCQTFTDGSKLEVMTCRPRSDLYVNLPALRKLDDMLLKILDSCESTEFWYVDQGVLAPEADISSTFRKLQRQEEKWWLPVPRVPTNGLSEIARKQLQHQRDCTNQILKASVAINSVTLADMEIPDMYFKGLPKNARACLGDLIYRYIASDQFTPESLLECISLSSEHQALEIANRVEASVYVWRGRTNPKSLSSMSRSHSRSSWGMVKEFVVDSDKKELLADRAESLLVCLKQRFPGLPQTTLDMTKIQCNKDVGKSILESYSRVLESLAFNLVARIDDLLYVDDLTKHSDPLLPIPKVGLMTQKSLRVPSTLPITSTPYKTSFNTPKFSRTQSGTVKGNRSQLSGKSMLPNRGFGVQKVLTNYLSIETEVQNYGSQLKRSNSCSNAIREP >KZM88405 pep chromosome:ASM162521v1:7:27634090:27638489:1 gene:DCAR_025480 transcript:KZM88405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVSTHLRPLAVSRSAQRPNRSIAPGVSSSTPSFRPAASLFSRSFTAPAGGGVLSKRVEDVMPIATGHEREELEAQLQGRDILDINYHEGPFGTKEAPAVVKSYYDKRIVGCPGVDGEDEHDVVWFWLEKGKPHECPVCSQYFVLKVVGPGGPPDGHGDDHH >KZM86872 pep chromosome:ASM162521v1:7:7869200:7871906:-1 gene:DCAR_024006 transcript:KZM86872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDITQFLLSAQSANAVERTDAESKLKQFQEQNRPSFLLSLSVELSTDGKPTESRSLAGIILKNSLDAKDAAMKEYLVQQWGGTDTTYKSQIKSLLLNTLGSAVHEARHAASQVIAKIASIEIPQKAWPELVGSLLLNMTEQDKPATLKQATLETLGYVCEEISHNDLVQDEVNSVLTAVVQGMNGAEQSVNVRLAATRALNNALDFAQTNFQNEMERNFIMKVVCETAMAEETLIRQAAFECLVSIASMYYDVLEPYMQTIFQLTANAVKGDEEGVALQAIEFWSSICDEEIELQDYEVPDSGDSEVPHSRFIEKALSSLVPMLLETLLKQDEDQDQDDGIWNLAMAGGTCLGLVARTVGDAIVPLVMPFVESNISKPDWRSREAATYAFGSILEGPSIEKLSPMVNTGLVFLLHAMKDENSHVKDTTAWTLSRIFELLHSPATGFSVITQANLPQVIEVLLGSLRDAPHISEKVCGAIYYLAQGYEDAGTSSSLLTRHLLDIIKCLVETADRTDGGDSKLRTSAYETLNEVVRCSDLAQSSQIIAQLLPAIMSKLAKTAELQIGSSDDREKQGDLQASLCGVLQVIIQKLGGAEETKSVILQAADQIMMLFLMVFGCRSSTVHEEAMLAIGALAYATGPQFVKYMQEFYKYLEMGLQNFEEYQVCSISVGVVGDICRALDDKVLPYCDGIMTLLLKDLSSGELHRSVKPPIFSCFGDIALAIGEHFEKYFQFVVQMMQGAAEVCAKLDNTDEEMMEYGNQLRRSIFEAYSGILQGFKNTKADLILPHAPHVLQFIALVSKDQPRDETVIKAAVAALGDLADALGPKLKMLFQEHSIWIDELLREFLQSDDEQLKEAGLWTQGMIGRAL >KZM88771 pep chromosome:ASM162521v1:7:31189350:31195378:1 gene:DCAR_025846 transcript:KZM88771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRNKLVLAPMVRVGTLPFRLLSAEYGADITYGEEIIDHKLIKCERRVNDVLGSIDVVEKGTEAVVFRTCDEEKSRVVFQMGTSDPVRALTAAQIVCRDVAAVDINMGCPKAFSISGGMGAALLTKPELIHDILTTLKRNLDIPVTCKIRLLKSSKDTIELARRIEKTGVSALAVHGRRVADRPRDPANWNEIADVVAALSIPVIANGDVFEYEDFQRIKEATGASSVMVARGALWNASVFSSEGKLPRDDVKKQYVRKCILWDNDIRSTKHTLKEMIACHASLGLPEGTAVIKSETHADIAFFVASKPRQFIWGSIRNRDLVIELINLCGLFISRQSGPGVTMII >KZM86913 pep chromosome:ASM162521v1:7:8363091:8363570:1 gene:DCAR_024047 transcript:KZM86913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQSLERELQNAIDEENYARAAKIRDSLRNLQEDSKASVLAANAQFYNSFRNGDLVTMQALWSKGDNVCVVHPGVSGISGYDLVMGSWEFVWADYEFPLQIEVKDVQVNVRGDLGYVTCIEMVKTKGKSWGRQFATNVFEKINGQWFMCIHHASYVDL >KZM89110 pep chromosome:ASM162521v1:7:33910259:33911350:-1 gene:DCAR_026185 transcript:KZM89110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTSSSSQSSRKQTIVPLSESLKALYSSALTKSKASRSKWTRENFTEIDIKVANAKRDGQFAKTDFEYSSFLLGTRCLETHDPNKEKIIEELYASNFTKVQHGVFATLAAELKVGEQEETSAYNHKTNQMKVAEELALLFNKNPRLRDQVRLEWMEHLSYTPHTETGEFLAYYLCHECEFLISEYLKHGPGVGLSIAEYIDFTSILFNHCNPVESLGEIDEKKHFAKKNPFSKESLSSQLVSPPDLKQPIPKQVVCECNAEHYCMLIEVRYKFEWQKGNLVNRAPNEVFTRIDHCEKPDEEHNIYYLTQRDRKSLKKCIEEEKRDVAAGTKNIPVERKVSEKKGWDDEGFEKVKRKGKGSRS >KZM87407 pep chromosome:ASM162521v1:7:16034739:16035506:1 gene:DCAR_024541 transcript:KZM87407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHKPGSPPVLAQYTKEETPPGQKRTLNEPLSIKWVDPVGDQWVPSLTTKMESFSFHISATQLGRLQSKVSGSKKSDPVPPFESLCAIIWQCVAKFKTGTESNVVTIVKHDSCKKNKKFDNENQVISIVKTKCSVVESDIEELATLVMKGDIHEHEKLKNWVESEKGALDVIVYGVNLTFVSFEQAAFYELELKGQNPVHASYWVDGIGDGGAVFVLPTSKDDGKGRFVTITLPEKELIKVKHELKENVLQASE >KZM88134 pep chromosome:ASM162521v1:7:24828448:24828771:-1 gene:DCAR_025209 transcript:KZM88134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCANREYNADWSSLPTLVLWMIKDKLDIFDNMCLIAVCRNWRYASIDYPRKQVVGDGMPWIMQESDDGNSCSYEFISVTRKKRFTINLPELSNSQVLFSKQGWILM >KZM87282 pep chromosome:ASM162521v1:7:13660511:13665632:1 gene:DCAR_024416 transcript:KZM87282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVSRSVRCCTQSRHTLHNYAALKPLNRGLSQLPQQDPELKDLLSYLDNRKNYEKLGVPTGAGTDSDDGFDLGRMTSLMQFLGNPHSKFQAIHVAGTKGKGSTAAFLSNILRAQGYSLCCLNSPHIHSIRERISVGKISEPVSARSLNLLFQRSKMMLDQAIEKEDKRISHFEVLTAMAFKLFADENIDIAVVEAGLGGARDATNILSSSTLAASVITTIGEEHMAALGGSLESIAIAKSGILVLGGPYLPEIESILRAKASSMGSPVVSASDSGNKSVIKGVGDVCGKPCQTCDIVLEIESDLKLCTQLLNVNLRMLGPHQLQNAATATCAALCLRHQGWSIADEAIRTGLEHTELLGRSHFLSSEEAEAIGLPGTKILLDGAHTKESAKALADTIRMTFPKARLVLVVAMASDKDHISFAKELLQVRQLEAVVLTKVSIAGDNYRSTPSSLLRDSWILASKDVGIEICDYGIATTKKQEHRTVALVESSDEDTLGMVNQILRTRGRDQADNIVVVTGSLHIVSSVLGNLQR >KZM87303 pep chromosome:ASM162521v1:7:14023743:14024507:-1 gene:DCAR_024437 transcript:KZM87303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKAPMDKGKAPMTPGYEKRLKQQQDRRQPELVLGGMRNNRSNQQPKPAPKSKAPPVVQQKQTRPPLGRMLTDFDFNKMREEIREERRLEAEHQQNVKKWNILFRKICRYVYKRIRRGKDWVMTEAEDDIHNRKFPEVHKLYFQRHREMGIPFGDSRWRYYADKIDEECAALQAKLEGRQEARFWAEWGDVDNVTYQCRRVQMPTPWADDDVEDEEEGSVADDGGDDDAHAEDDEDDDEGYGSDYIVDITQD >KZM87924 pep chromosome:ASM162521v1:7:22108184:22114853:1 gene:DCAR_025025 transcript:KZM87924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRTAMDSEYWDLNIATPQSLHGSARAVPGESMPLDGTRASRALRIQQLSFLRNGFPLGIIPAYSPPLNKDLGHFSLQSLLFKTVSSNWWIGLVGQIRPKKLVAAIDRDGSESEAYKDIPKRLLDKSLYSIALCSQLAVTPRTSVFLSTEGQGEKKKRSTKAMLFHKASTLPNHDITLEAAWPELFVDQKGNYWDVPESISLDCASVVSESGLRYRLGLHNNRGKPLAENLLDDEAPPALMPGLAAKAAFSYEKSRDIWRAREKKEDCIVKTKRGELWRPAYDVRLKEPHLAVSGIIGGTCSALFARGKSSASVESSEDGSSTSLDAKRATSLNSDLFGSVCCTFQLGKFRKAFGDLSRIDARLNISSASALARASNIFGKATGNKVENSLSSPRLNLILQQQILGPLVFRVDSKFSLESSSHRKIDPRLEDTIYSLNYSLRLLSSGKVVAWYSPKRKEGMVELRLFEF >KZM86746 pep chromosome:ASM162521v1:7:6417214:6419499:1 gene:DCAR_023880 transcript:KZM86746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDKVAALPPSGRASGSVLTRAMTSPAMSSPSLTTSLNKIPFKLLELKVIAAQDLPPVSKYLHTYVMAWVHPDNKLITPVDHKGNTNPTWNFRMVFGVDDNFLNSKSSAVMMEIYNISKLSNRPIGTTCLPVDILLNNSHSEIMKRPLKLQISRPSGDLQGYLIVTLNLVNTKIRNIHEGSENIPKAFNRRIMIRQISRRLTHSESTKPRTTYYEEEEEQDDATTVSDLDTTSLGDPDLATLIDPNIITLSDSESGSMDIETRSLASDLSAALKDSGMTSPHGENSGPETGLSGENSGPGISRTSSIGENLGPRTSHTSPNGENSGLAMSPNGEHSGLATSPNEENSGSSNEENLGSGTSSTSPKEESSENPCLSNKEISGVGTSPTSPNGENLGKSNKENLGPGTSPNGENSGLGMSPTSPNKENSGLGTSTDGENSMLPNVENSGLGTSSTSSNGENSVMPNGENSGQRTSPTSPNGENSVLGTSPNKENSGLGTSPTSPKEENSLLPNGENSGSEISPTMPNEENSGQGTLPTMPSGDNSCLETSSTMPNGENSGPGISPTMPKENSGPRISPTMPNEENPGQGTLPTMPNGDNSHLETSSTIPNEENTGTRTSTTSSNGENSGQTTSTTSPTRDIPRQGTPKLDGRSEAEEKNNNDKANKEKNTKEMANGVVELLDDKVLITGNESKVTARRHRRHSDGGGLLSFFTTAIMCGSGTMGKTNKQKNRRLKKKSKSTKKLQAHDMDYPPDQKKLPHDI >KZM89103 pep chromosome:ASM162521v1:7:33861458:33862665:-1 gene:DCAR_026178 transcript:KZM89103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTTNSRCITTGSSASVLKDNPAPLPDVVPRIKFKRLDKTARNIMQILDKEAVEEVKAHREIPDIKPGYIIQLKVETPENKRRISTLKGIVIARRNAGLNTTIRLRRLITGIGVESLFPLYSPNIKEIKVLDKKKVRRAKLYYLRDRLNPLKNK >KZM89192 pep chromosome:ASM162521v1:7:34824771:34825857:1 gene:DCAR_026267 transcript:KZM89192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGITNNEATFQIPSSFFGNLEDDDFVEQDPGREDHFSFPSGATGNAADFRLSGDFDHKNNVASEEYVPLVCDPLLISLLRPRWKKPDVVINKHRHRKIVTAQGSRDRRVRLSIQIARQFFDLQDNLGFDKASQTIDWLLTQSKSAIEEIAKIKQSHCNVAANEVEKDIEAAEIGEILKRNSSVSISSTRSVAKDLGISVEQQHSSYFAKELRARARERARERTKAKLAVRKLLEVQKLGSDFGCITPNSWNQFSRSSEMSHSIAASILTTKKVNAVENLTTYNQQLNVTSSRLVPSSSITITENWEISNNFSQESPPTAGYGGSVYD >KZM89259 pep chromosome:ASM162521v1:7:35444895:35457602:-1 gene:DCAR_026334 transcript:KZM89259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVQVTPLCGVYNENPLSYLVTIDGFNFLLDCGWTDHFDPSLLEPLSRVAASVDAVLLSHYDTLHLGALPYAMKQLGLSAPVFSTVPVHRLGLLTLYDHYFSRKQVSEFDLFTLDDVDSAFQNVTRLAYSQNHHMSGKGEGIVIAPHVAGRLLGGTIWKITKDGEDVIYAVDFNHRKERHLNGTVLESFVRPAVLITDAYNALNSQPPRRQRDQEFIDAIRKTLEGHGNVLLPVDTAGRILELILILEQCWAQLHLAYPIYFLTNVSSSTIDYVKSFLEWMSDSIAKSFENSRDNSFLLKHVKLLISKAELENVPDGPKVVLSSMASLEAGFAHDIFIEWAADAKNLILFTERGQFGTLARMLQSDPPPKAVKVTLSKRVPLVGEELVAYEEEQNRIKREEALKASLIKEEESKAAVGADIPVGDPMVVDGSSSHAASDAAAPSGDAYRDILIDGFVPPSTSVAPMFPFYENFSEWDDFGEVINPDDYVIKEEDADLASMAVDGDLDGKFDEGAASLILDMKPSKVVSSELAVQVKCSLSFMDFEGRSDGRSVKSILSHVAPLKLVLVHGSAEATEHLKQYCLKHVCPHVYAPQIDETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEIAWVDAEVGKTENDMLSLLPLSTPAPPHKSVLVGDIKMPDFKQFLESKGIQVEFAGVGAMRCGEHVTLRKVGDASQKGGGATIQQIVIEGPLSEEYYLIRNYLYSQFYSL >KZM86576 pep chromosome:ASM162521v1:7:4598006:4600563:-1 gene:DCAR_023710 transcript:KZM86576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSYYLNNSKSLSLPPEGPNSGYLVIQDEESEMYSCFGLCKNTDLNDLPFPQDKNLTTRYSSGEDTYHHNLAFFPVLNQPLSKKRYYVIDAYGKHKGKAYACSTEEDMTTCCFCSHVKDVKPRPFDPCDKYQQFKIVNYETKGSFYAKSVAQGAFPPSFLRTRGWNISAKTPKHYKLGEALGVDSTLRAHLPVMSFPLSRKSSEAVVVGRWYCPFMFIKDGRLTSRDQMEKSMFYEMTLEQSWEQIFECSNIDHGIVVMVNAVVQSEVVMIGGTKAVWDEKKMVDRSCKTKWFKGSGSKREVSIGLSSEIIERMKWEEETVGWVGGGERDWNPYLTALPFPQNMKIACSKKKDMTTCRCYSHIKDVNPRPFHPNDTYQPFKIADSKAKGSFYAKSSVQNAFPPHFLRRKSGWRIKAKMPRNYKLGKALECLWE >KZM88019 pep chromosome:ASM162521v1:7:23362952:23363107:-1 gene:DCAR_031518 transcript:KZM88019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYQQYFLKMKIMNPLEMLLLEMFSYEFKLTPKYKDTTFNSELSIRRSTDT >KZM89360 pep chromosome:ASM162521v1:7:36331226:36339734:-1 gene:DCAR_026435 transcript:KZM89360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGCCLGRFVVEKNSLRVTSPPELRDTYECAIGNFGVPEAQNAREDYLQNITVPSALISKSLGDNIKKALFDGEMVTINLDWRECLPHPDDRVEYEFWTNSNDECGPKCDSQIEFVKSFKGVAQILEQKGTPGSRHTTSLGYDGKDVVIQNLRQACVFKVAKENSKPWIWWDYVTDFSIRCPMKENKYNKECADTVVKSLGVDLGQIDECMGDPNADVENPILTMEQEAQVGKGSRGDVTILPTLLINNRQYRGKLDKGAVLKAICSGFEEMTEPAVCLSKDIETNECLENNGGCWQDKAANLTACKGTFRGRVCECPIVRGIKLSGDGYTHCERWCSTTILFFYGHLKHLQEHYIVELIMEAVGEIPRMEGHILLVLMIVQKVVCAHWDLEAMESVTVKMLMNAKKNWLVNAQRAIAKILGVVMTAPAMEIYLSNRESPAAKGSGKFLVMWQSCWLSIGVVPIHIFEDKVVALYFYHPDYPDLLSEELKLSYEDFAKIKNKFEVVLVYIREPRQRYPLTGEESFWKSEESFWEKFKTMPWLALPFKDMSYKKLMRVFEIQSEDAPSLVIYGPHADYIEPFSYSMLLMYGSGGFPFTRKKAAELENEKIKELKLEMLWDSNTIFRRNDGSQVPFSQISGKRVMLVLESFNKSLKVDDGSEAVKSITEFLTMLKERYFQKKGTDDEFEVIRIFVNNTESSISKHLIGDMPWLASPGSKLMHELDSSYFWYGQSTYYLLQYHIPIFAFSSDGKLVRKTKYPTFEVSEFPFYAGSLEEETLSQLITCWGLDYSDFRYNGRIYM >KZM88204 pep chromosome:ASM162521v1:7:25453927:25456151:-1 gene:DCAR_025279 transcript:KZM88204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAIASIVFSVLALGILSYTIKLANKYWFRPKQVEKRLRDIGFGGNPYRIIFGDAKDVEGMRAEVTSKPMELSDDVASRILPYHNHLVQKYARIGIMDPALVKEILSRPNDFRKPSKDQMAEVLVGGLFTSEGNVWAKHKKVLNPSFHIEKIKNMVPSIVDSCTEMMNKWNVSLDAKESVEVDMWPEVEALTFNIMCNTLVVGRSIEETQKIYKLRVKVNQQAAKLAKLMIFPGWWNLPTKELKILKEAHREIGILIKQGVTKRLEAMKNGASNPGDMLGLMLEAYQDETSGFTLEDVIEECRSFHFIGPESTARSLVWVLYVLSHYPEWQEKAREEILQVFGDQKPNVEGLSQLKIVTMIVYEVLRLYPPTSMVHRSISKDTKLGDMVLPGWVQITIPITLMNHDPDIWGEDVKQFKPERFAEGVFNSKMQSIFLAFAGGPRKCIGQSMAMVTDKFVIATILQRYILELSPSYLHAPKHSFLLIPQHGMKLVVRKRV >KZM88120 pep chromosome:ASM162521v1:7:24727376:24730240:-1 gene:DCAR_025195 transcript:KZM88120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNVEGREVPAHGNEWEVVSLTASAYAASPGPVQVKLNDDNQGRRLGEDKEDKPEADQHENSPFGPEKNVVSSELAKQVDEYSSDFVAEEGGMSNTKDGDNWDVKALSMSDDFPVMQFVNEKGKSLSVGGTEFKEGLALHGLTLVDEEQGMYNTAKYSSFHGEATIGGSAALEEKRTFYEPVDPSEEGLESNLSRSPTSSDENEDDGSDLPSEAWWKKRVASLYAQAKEANAMWSILIAAAVMGVVIIGHKWQQRKLHCGDQKMSKMLGPFSGLNSVLVGGHQSGSVIRGRTTSQR >KZM86864 pep chromosome:ASM162521v1:7:7791800:7792854:1 gene:DCAR_023998 transcript:KZM86864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREIKEVHIPGPTLSSLLHRMSTSSGDIDGLLFGTISLNAPSNLSDDVSSSSAADNSPSLIATITSFFSSSSTASFYSPSAQINLPLLRTVVPRSGTLIGWFSGRRKTALRPSMRELAVTESLSSTTALNFQALNSPNPITLSPSLFMLLTTPFRKEDQLLIHTHDYRVYQFRLPAGAFEPKTMKIINIGPRVGXDYETNSPFPEMPCEIRDPDSMSEDDKSDSDDMNLASKNQSQLDICADGFQLKQLENLTGPVASGYTGQVEELYSKMIAKLNGLAKLVEQSSATVLEQENRNMKLRYKVAGLE >KZM87553 pep chromosome:ASM162521v1:7:18113543:18114129:1 gene:DCAR_024683 transcript:KZM87553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHFGGKSFLASARQKSEVDKILENVEWPEQFPFKEEDFQRFDE >KZM86820 pep chromosome:ASM162521v1:7:7356367:7357838:-1 gene:DCAR_023954 transcript:KZM86820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNDDFTFCKVPGPVNVEVFEAHKTVPDVGNIDLNDEPRKGSQTDDTSGAISKNDSIAAKVSKDVGSSPSASQEPQTVVKKPAPRAKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNKRLITLKEVKLHKTEEDSMWTVLNGRVYNITPYMKFHPGGFY >KZM88639 pep chromosome:ASM162521v1:7:29864021:29870844:1 gene:DCAR_025714 transcript:KZM88639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICVSKPSPEPDLHNHHTSIPVKHTSIPVNDTSIPPQDNSIPPKDIAIPAQDNNKPPGKKSPFLPFYSPSPAHFLFSKKSPAVGSPAAGSSNSTPKRLFPFPPPSPAKHIKAAWARRHGSVKPNEAAIPENNEVDGGAGLDKSFGFSKKFGSKFEVGEEVGRGHFGYTCRAKFKKGEFKGQDVAVKVIPKAKMTTAIAIEDVRREVKILRALTGHNNLVQFYDAYEDHTNVYVVMDFLSTNFCFEPDLLRTGTEQNFLFKSKDEDSQLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVISYILLCGSRPFWARTESGIFRAVLKANLSFDEPPWPSVSSEAKDFVKRLLNKDPRKRMTAAQALCHSWIKNSNDIKFPLDILVFKLMKVYMRSSPLRKAALRALSKTLTVDELFYLKEQFVLLEPTKNGTISLENIKQALMRNSTDAMKDSRVHDLLVSLNALQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYDLFEKDGNRAIMIEELASELGLGPSIPVHAVLHDWIRHTDGKLSFLGYVKLLHGVSTRAIAKAQ >KZM86473 pep chromosome:ASM162521v1:7:3131484:3131819:1 gene:DCAR_023607 transcript:KZM86473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSKKAAFVSMLFSVVPPQLTTPPRVFVKMSARGTDVKNQGVLTADSLVIKPPAHPTYDLKAVIKLALAEDAGNIGLLSFYVS >KZM86568 pep chromosome:ASM162521v1:7:4479473:4482778:1 gene:DCAR_023702 transcript:KZM86568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPLDQHDQATTPRSPISIQVNNKPDNISVYAEPFDSAGNTRPCGEEQVSNQQTASPVGVSVLRTSRCSVTLKFEDVGYSIKLPGDSGGKYLASCKPNKHAIKTLLNGVSGIVKPGELLAMLGPSGSGKTTLLTALAGRLPGKISGKITYNGVPFSSSIRHKTGFVTQDDILYPHLTVLETLTYAALLRLPKTLTKEEKIEQAELVIMELGLTRCRNSLVGGPLIRGVSGGERKRVSIGQEMLVNPGLLLLDEPTSGLDSTTAQRIVMTLRGLARGGRTVITTIHQPSSRLFRMFDKVLVLSEGSPIYSGNAEQVMEYFSSIGYAPGFNYVNPADFLLDLANGVAPDLRQDESPEFHGIKDQHDDQNLIKKSLVGSYKKSIYPCLKEEIARTCQDPPGGPLAARRSENQWTTSWWLQFKVLLVRGLRERKHESYSGLRIFQVMSVSILSGLLWWHSDTSHIQDQVGLLFFFSIFWGFFPLFNAIFAFPQERPMLTRERSSSMYRLSSYYFARIAGDLPMELILPTIFVTITYWMGGLKPSFLTFSLTLSIILFNVLVSQGLGLALGAILMDLKKATALSSVIMLVFLLVGGYYIQQMPVFIAWMKYLSFSHYCYKLLVGVQYTKDEVYECALGVHCRVQDFPAIKVVGIGNLVWDVAALTVMLIGFRVLAYIGLRRSQFH >KZM88173 pep chromosome:ASM162521v1:7:25179660:25181946:1 gene:DCAR_025248 transcript:KZM88173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTNMSSSRSYMKGRKIYRAFPQNDVCFHVRMLRLNNRCSSNAFKLHRSGSSVTKCLSGTSEEANVADDHFKSNEMDEEERKRGVYKGADWVERIMQIRTNWLTKKQINEIGSDSFACEDCDQDTEECEVEETNRETFSKLLKKVSWSDTKLLSQSAFLCNMGYAITEMKAMDLRRYFGLEFVTSSLEKKAEAAATKATLDQDSNWPPVDASPATEIASGESSDSDKNCLNNHPSVAYEIGKSAASYVHSCAEDLSLQGSEKQDEDNRMTFEIDESKLPHEEEGSAPRVYKTEVLAVYEAASTMTAVFEEGEKKKEAAIAHQSILSLPVEWFVCDDPSTYTRCIIIQGSDSLASWQSNLLFEPTKFEGTDALVHRGTYEAAKKILQQLEPIIMEHQDKYGEQAKFCFSGHCFGGSISLLVNLMLLTRKVVKPSALRPVVTFGSPFVFCGGHKILDVLGLNEDDIHCVIMHRDIVPRAFSCNYPDHVAELLKRLHETFRSHPCLINNKVLYTPMGKVFILQPDENLSPCHPLLPSGIALYALENPQCCSSKNALMAFLNSPHPLETLSNFTAYGSRGTIVRDHDSSNYLKAINGVLRKNMKAVVRTARRQRNLLWPILSWQSPHAWGSCPEDK >KZM88822 pep chromosome:ASM162521v1:7:31543240:31546605:1 gene:DCAR_025897 transcript:KZM88822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPEKSPSGKADSSGSSPPSNTPKSGSPPSPPSQSSPPASPPAQKSPPPSPPAQKSPPPASPPPSNKSPPSSPPPSSPPPSPSSPPPSSPPPSSSSSPPPSKENNSPPPPSESKNSPPASPPPSSGAASPPPPSTPSTPNASPPPSTGKATPTPPSASDGTPTPPSSSKPAPTPAGIFTPPASLNAPSTPGSPSLPPPQQSSSGGSSYNAAVIAAAASGGALVLAVVVVALLCVLRRRRKSSYYDPATRNYSGGGARREGIGEHVVKLPPSGVMVPPRAGWGPLQSSPPSNINSPGFSDHPHQLPISPLNFGKSQFTYDELANATGGFSQSDIIGQGGFGHVYKGVLPNGQQVAVKTLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIYKEQRMLVYEFVPNRTLEFHLHGKGQPVMDWKTRLRIAIGSAKGLAYLHEDCHPKIIHRDIKAANILLDNNFEAMVADFGLAKFTNDNITHVSTRVMGTFGYMAPEYASTGKLTDKSDVFSFGVMLLECITGRRPIDPNNYMEDSLVDWARPLMSRALESGDYGELVDPRLDGNYDPQELAHMVSAAYSSIRHSARMRPKMSQIVRTLQGDADDDITKQGQGLGETDIYNTSAYNADMLRFKQMVMNSQEFSSSEFGDATGEYRRRNSDKDYHSGNSHKV >KZM86567 pep chromosome:ASM162521v1:7:4438575:4439612:1 gene:DCAR_023701 transcript:KZM86567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFSGKAIDIGDGADVDQNGRDDESTDSEDRDMSHPYIVVPRGGPGKAIDIGDGADVDQNGRDDESTDSEDRDMSHPYIVVPRGGPVIKGS >KZM87208 pep chromosome:ASM162521v1:7:12475243:12475723:1 gene:DCAR_024342 transcript:KZM87208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLSAAILVLFLLFTITRNGMKSANGARVCGVVIKLPGQGCDENECNKLCVLQHGGATGRAARGYCNPSSQCVCFYACDGTNKCCIGG >KZM86346 pep chromosome:ASM162521v1:7:1878522:1880055:1 gene:DCAR_023480 transcript:KZM86346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKFGSVPVLVVSTADAAREIMKTHDLVFSDRPDSSISNRIFYNGRDVALARYSEYWRQVKSTCVIQLLSKRRVNSFSNVREEEVALLIHNIESSHSKIVNLSEMFSELTSGVVCRVALGRKYESDKGNSYKKLLGEMTELLGYFRSIGKYIPLLYWVDWLEGLKGKVDKIANEIDAFLEAVVRDHQSDLASDDSYANKDFVSILLEIQKENIDSDFQINKDCIKAVILDMFFAGTETPSTTLEWTIAALIKNPDTMIKLQNEVREIGKGKPKILESDLIEMHYLKAVIKESMRLYIPAPLLLPRAAREDIKVMGFAMALNELAIANVVHKFDFKLPDGERMVDLDMTGVSGITVRKKCPLFVIACAK >KZM86612 pep chromosome:ASM162521v1:7:4889260:4890285:-1 gene:DCAR_023746 transcript:KZM86612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGASGNGRGRNKRFWTAEEDTVLVSALLELATDPHWKCDNGFRNGYMVCLEEIIGKALPRSGLKATPHIDSRLKTLVSKFRAIAQMLSTSGFMWDDDKKMISVDRSVYDEYCKTHTTCKNLYGVAFPHFHELMTIYGKDYATGKPAEGFVDAVNNMEKTAPVQVTLDSSDEEIDISDMNVHLSTMAKVMSRADEREQESAEKSEKVIEELLSFNLEGITPSQVFEVADILTSQPNKLMIFNKCPSSLKAAFVKNLIGENNKRSD >KZM86491 pep chromosome:ASM162521v1:7:3334589:3336127:-1 gene:DCAR_023625 transcript:KZM86491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYFDIDDILAEDELVPATFREATNGVGLFDSTDDTNKVEPGSKVELPFWLAQELHLRQAVTVNVPPCFNKRTREEIDADAAHVDLRNRCPFFYELGYKIAPLVGDKTIGRVLLIAFRTRYKEVLIKAHTAAFEATAKFLSLLTEEEVKCETFKLVRLVVLVYDLCTRSNVIHLILFTLKYTRQLSPLPLHLRSGERVAPDFRKLLFLGEKGSQFNSMQEIGYDLALD >KZM88325 pep chromosome:ASM162521v1:7:26835909:26837402:-1 gene:DCAR_025400 transcript:KZM88325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWHAVASWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTTQAQCPMCRREWQFKE >KZM88382 pep chromosome:ASM162521v1:7:27453442:27456376:1 gene:DCAR_025457 transcript:KZM88382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLGDEKMVEREEMWKAGMKNVGVDKIGRPNLVVSRAPMMLWIVRGVTTVLIWTFVIRLLIMGEIMGPKLLKSWPSCFTPTTLYTNEVKLSSVAVLPKDFHPPKRIYKNNGYLLVSCNGGLNQMRGAICDMVAIARYLNVTLIVPELDKTSFWADPSEFKDIFDVDHFITSLRDEVRILKELPPRLKRRVKLGLFHSLPPVSWSDISYYHDQILPLLQKYKVVHLNRTDARLANNGLPVEIQKLRCRVNFSGLRFTSQIEELGRKVVTILRQNGPFVVLHLRYEMDMLAFSGCSHGCNVEEVEELTRMRYAYPWWKEKVINSDFKRKEGLCPLTPEETALILTALGINHDVQIYIAAGEIYGGSRRMESLAAAYPNLVRKEKLLQPSELMYFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLGFKKTIALDRKLLIDLIDQYHSGSLSWDEFSSIVKESHADRMGTARRRLVLPDKPKEEDYFYANPHECLQPLVEPLGLA >KZM87133 pep chromosome:ASM162521v1:7:11355747:11357057:1 gene:DCAR_024267 transcript:KZM87133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTPIPNEGNQEVRIDVVERLKSSMTKELATLANDSYTVCIYRVSEKFRKSKEEAYTPRVVSIGPLHHGKSHLQAMEAYKLRYLENFISKFGIGIDKLVTYAYKREDQVRGCYEDASKFESEEFCKMILLDGIFVVQLFVKNLIHMRDPGDMLFENLWMASDLMHDMLLLENQLPLNFIVGLYEFMDGTRVQQKSFYDLSLDYFKTVGNTTKLKSTFDCERSRHLVEFLVILHRPTSNKQPLPLGTGKCEYTRSASKLHAAGVHFSVGTGELFEVSFDIKGGGLKLPRITVNEKTETFFRNLIAYEQCGHYEKYITSYVIFMDSLINTAEDVELLVSNKIIENLLGEDQQVADLFNNLHKEVIEEQRDFYFADICNELNAYSKDYIHEWKSSWFKWRLILKDDYFSNPWSFVAFMAASAVIVLTVIQTVCSLVGL >KZM86546 pep chromosome:ASM162521v1:7:4019249:4019401:-1 gene:DCAR_023680 transcript:KZM86546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPHSTIYINTYIQTLHDGDLSKDSNYSKGPFIAPKSYYYFMPKGVYNI >KZM86958 pep chromosome:ASM162521v1:7:8945235:8946812:-1 gene:DCAR_024092 transcript:KZM86958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEVFFSSAVTTLCDKLSLYDVAFLLFCLFVFSSLVQKLTSKGPMLWPLVGIFPTMIMHSTCLHEWITKALIDNRGTVPFRGLSVGGICGIVTADPVKIEYMLNSKFNNFPKGRYYRERFAELLGEGIFNADDQSWKHQRQAAATVMHSIRFVEYSTQSMQDLVHKKLLKVLENLVESKVCIDLQELLLRFTFDNICVAAFGVDPGCMSIGLPDVPFAKALEEATESTLCRFMVPPFVWKALKFFDLGFERRLKESVKVVHDFAAKTVQERKEELEQEQDINLDEKFDLLSRVINLEKGGKNGYFSDKLLEDFCISFILAGRDTSSVGLAWFFWLVSTHPHVEKNILQEIHEILSLRQQNIQKELKNIIFTTDELHKMVYLQAALSESLRLYPPLPFDFKEVLEDDVFPDGTTVKSGEKVLYSIFSMARMESVWGSECRKFKPERWIKEGAFVSENPFKYAVFNGGPRLCVGKKFAYTQMKMVTASILARYSIQVVEGFEVAPKTTTTLYMKNGLMVKLVPRN >KZM87905 pep chromosome:ASM162521v1:7:21881978:21887122:1 gene:DCAR_025006 transcript:KZM87905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQMEEIQKKLGTKLNYPRANAPAQSLLFAGMEHLAEIAKFLGITSTVDTEAIQGRGSYEDRTEMLRLIVDLVEASIYADNPDWSVDEQVAKDIQLIDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDISDLEKQLSDQSNRLSSLQEMVDDLASKHEYNPEEDYVEVELKLRKHLESFLETAKSFNVIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAAVAVGASETVGGEPTSVTRIISECEAALTYLNRDLSILSASIAREQGEETTY >KZM87763 pep chromosome:ASM162521v1:7:20190333:20190683:1 gene:DCAR_024864 transcript:KZM87763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGCYNLWFSIPHQPLSAEMLLPLDSEKDVGVMVDLLIYSNCMEVYTSAKDQGEDDMFDFSFTQLAEDEREWRVGDMYDELEEKQMDMDVGEAANEEVQDDVSFHGDSSNLEMDI >KZM88148 pep chromosome:ASM162521v1:7:24943225:24945282:-1 gene:DCAR_025223 transcript:KZM88148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQVAIVGAGISGLLACKYTLSKGFHPIVFEARSSIGGVWINTLETTKLQTPKSYYQFTDFPWPSSVVEDFPNQQQVHDYVSSYATQFGLLEYIKFSSKVKSLDYEGPSDEEMQAWSLWGGTGEPFSSKGKWNLSVQDTQTLSTKVHQVDFVILCVGRFSDVPNIPKFPHDMGPEQFHGKVIHSMDYAEMDNESAAQFVKGKEVTVVGLQKFALDIAMECSSANGSAHPCTVVYRTEHWNVPDYLPWGVPLAYLYFNRFSELLVHKPGEGFILALLAYILSPMRWGISKFVESYIKWKLKLGKFGMVPKHSFLTEMNSCLISTVPEDFYKRVEGGSINLKKAQSFCFEKEGVLLDNDKAEVVKADLVILATGFRGVDKLKDIFLSPTLQTHISGRPENAVPLYRECIQPRVPQLAIIGFSESISNLYTSELRCQWLVELLDGRFKLPNISEMEKDIAKWXQASKHLRNGERHCKMG >KZM88989 pep chromosome:ASM162521v1:7:32851271:32852992:1 gene:DCAR_026064 transcript:KZM88989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDGKAGGKGKGKQAAGGSDESSGKGKGKGGKAADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLNNGDKVPPAEFAKIAAEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGVTSAPFKSTHGYHIILAEGRKN >KZM89362 pep chromosome:ASM162521v1:7:36351340:36352479:1 gene:DCAR_026437 transcript:KZM89362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSLFHGSCSSLRLRSSSPIVKHSNLASSPAIMTTIAATVSTLASSPRFSSLISANQSISQPKFSTASNSPTIISSLSSPAIIKPSLISENSICHSSYSTYAHSSSILSSLSSSPETSIMKPSVVTTRSYGRFPPMQGAIPVKPYDAMNTRTLNAKPSFKVHNLRSSYVNDKGNVVNLIREISKKDPKLYDFLMKTAKELIIVLAELIHLKIAIDTQTDIVSTC >KZM86592 pep chromosome:ASM162521v1:7:4670082:4671360:-1 gene:DCAR_023726 transcript:KZM86592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRFLQCFLLVLVVFLDDFSVSLHASQDPPLKLDYYESTCPSVLEIVRKEMECAVLSDPRNAALILRLHFHDCFVQGCDGSVLLDDTYTLKGEKKASINDHALKGFNIIDRIKNKLESECPGTVSCADILTVAARDATILVGGPYWDVPLGRKDSKTAGYELATSNLPGANEGLLSMISKFLYQGLSVTDLVALSGAHTIGMARCVNFRDRIYGDYETTSGMSPVSDSNLNNLRTICPATGGEDNKESAMDSVTPNLFDNSFYHLLLKGQGLLNSDQELYSSIWGIETKKLVQKYAENPVAFFEQFSESMVKMGNITNDQSFVDGEVRKNCRFVNT >KZM87100 pep chromosome:ASM162521v1:7:10950929:10952173:1 gene:DCAR_024234 transcript:KZM87100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSMLKLVIQKGPRQGETLEFRPGSKIRLGRVVRGNSVAIKDSGISTKHLEIHFESDLGKWVITDLGSSNGTILNGSVIDANTPVCLSNNDVVKLGEVTLIVVNICDEVAVLRSSRRKGVVESAVAKGIGGFGEGGEVESVVEKPRRGLTRKAKVAGHEGVKEAGNDEVEVIGESGVGKKVGEVGEVENVVEKPRRGRGRKKKVEGNDEVEEIVGGKGNENVVAVEVNRGRQLRPRVTRNAIKEEGGELGSVEDFGKQLDSLAVIERKTRKGRGKKKVVEAEPEKEVVEVKIEVEEGLMKALPEVVLDRDVKESTIDEFADKSRVDDVLEMKDIEQEGGSGVKNGDVNLEVEPDLEKMTLGEWFDFLEVYLPKKIHDETEEIISAMEERAKQFHEYSVQQKRAMGKGKSPMS >KZM86444 pep chromosome:ASM162521v1:7:2867558:2867773:-1 gene:DCAR_023578 transcript:KZM86444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNKSSQEEKYLGVAVHSQVRKIKQEMEKIEQPSLEEPEFLVGPVFDENRRQRSRSPLGIAHRPISVGNS >KZM87325 pep chromosome:ASM162521v1:7:14441626:14445141:1 gene:DCAR_024459 transcript:KZM87325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQDCLVGEEVDMESVEYEDAIAGLKKLLSEKGHLNDIAAAKIKELTAELEGTDKFDPVEKIQNGFAQFKKEIYEKNPDLFGELAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIANMVPPFCKTRYSGVGAAIEYAVLNLKVENIVVIGHSCCGGIKGLMSCTDDDNTASDFIENWVKIGAPAKAKVKAESHHLDFTEQCTSLEKEAVNVSLGNLLTYPFVRDAVAKKTVSVKGGHYDFVKGTFELWNLDFGLSPPLTV >KZM86439 pep chromosome:ASM162521v1:7:2801763:2801972:-1 gene:DCAR_023573 transcript:KZM86439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVVVSNEDERKEIIKDIGEEVLPEEYGGRAQLVPLQDVVLPAFEGEHKLTTPAEKSNGAVSTSPQLP >KZM88611 pep chromosome:ASM162521v1:7:29707530:29709168:1 gene:DCAR_025686 transcript:KZM88611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSYANAAVLVFVIVNLSFIVRADDDDDLKNGASYIFGDSLVDAGNNNYLQTLSKADIKPNGIDFKASNGNPTGRYTNGRTIGDIVGEELGQPHYAVPFLAPNTTGRTILHGVNYASGGGGIMNATGRIFVNRLSMDIQIDYFNITRKQIDKLLGASKARDFIMKKSIFSITVGSNDFLNNYLLPVLSIGARVSETPDAFIDDLLNQLKGQLTRLYKLDARKFILGNVGPLGCIPYQKTINQLSENQCVELPNKLALQYNAKLKDLVAQLNDNLPGATFVHANVYDLVMEVITNYAKYGFTTASRACCGNGGQFAGIIPCGPTSSMCTDRDKHVFWDPYHPSEAANIIIAKQLLDGDAKYISPMNLRQLRDL >KZM89174 pep chromosome:ASM162521v1:7:34649345:34652023:-1 gene:DCAR_026249 transcript:KZM89174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSEAHILQQVSELVDIPEVDMKFYGRKLTDYKEELKLIGVMFEVNEACRFIGNQLMSIAASSNLTRDNVLAILKFIKFLRANLNSPAQFINSIQGGKWLWTKQGYRAPGESVLYSDEWKAASEVSNIPFIDETYYGANLNDFREELELIGVIVNFAGNYKLVGDNLKSSACSSSLTPGALHLMLDCLRHLNSNNIVNALKDNNCINTHVGFRTPSECYLPGSDWGCLLQVFSCFPLIDVNFYGSEIFLFKDELKQIGVVVDFGEASKKFERVFRQQAELQFIGKVNVLILLQCCRKLKTSNPLPTDLKKCIREVNWLRTRLGDYRAPTDCILYGQSWKSISSISLLPFIDDSDNQYGMAIHKYDKELKSMGVVSSFKDGAHYVLNGLNLPQDPSRITPEHVYSLLECIRRYKPKNDNLETHELFPSTFLEKIGRQWLKTYSGYSTPQRCLLFSPEWADFLERGDGPFLDEDFYGSKLREYKNELHSLGVIVDTRDGCSLLASYLGFHSIFTPVSRIYNYLIEFKWKPNDNDKKDIWIPSSREYGQWVSFENCVIQDKHGLLGSRLHVLEKHYTGKLLSFFSITYGVKMSPSIDDYCEIWKTWEASGHQLTHDESCAYWGFVVKNWSLRTEETLARRMLKLPVHSGSNAINLVNKQDVFIADDLQLKDLFEKSSFSSLFVWYPQPSMKSLPRTKLLEIYSKIGVRNISESVQHKLSAVDTVSLKQLNPREIFIGKELLRLILGFLADISPNMEAGIRHNVVRVLLQVVVLEAGDKITMCHTLSLSSGKILKVEARQMLRWERQISKLFVQKLAKNGGHKNFIEYASEFSEVVAGGLLWENEDHARQLADLVRLGFLVEFNEEAIMYLMKTKNLQTFLEDEEFLSSIFPDE >KZM87079 pep chromosome:ASM162521v1:7:10803049:10803303:1 gene:DCAR_024213 transcript:KZM87079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKRVPEVLWRKYGSKASTLRRTISRLLSPNHQSLPLLAADDPPDYRYLLNHCFVVISDNASPSPPPPYISRWSQSQATFFN >KZM88184 pep chromosome:ASM162521v1:7:25264672:25265064:-1 gene:DCAR_025259 transcript:KZM88184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPTFVVSSLRAAKIVLTMSNLVGSRCYCDRPVVMKTIWGGRNAGRRMEACVAGDCYYEVWIDEPLSTRARGALEELMQKNKDLHESYHKKMERVRARQVKRRGAIWAQLQLIHNELSASDSSEEDEAF >KZM86338 pep chromosome:ASM162521v1:7:1807892:1808323:1 gene:DCAR_023472 transcript:KZM86338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSLLEYLVEIYLFPGLKEHWWISNFGLAMVVIGESIRKLAIITAGRAFTHQIKVDHEEHHELVRHGVYGFVRHPGYSGFLMWSVGTQIMLCNPISMVAFALVVWRFFSQRIPYEEYFLEQFFGSRYEVYAEQVPSGIPFVN >KZM87705 pep chromosome:ASM162521v1:7:19592375:19592710:1 gene:DCAR_024806 transcript:KZM87705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTQMNLLQLTNENASMEERIKTLQAENSILEHKIKLMEIQQTHDEAVVTVLKDHIEERRAFNRLLRDDSNFSPSEMERHAKIREEIINEHEAKKRAKVSPKVDEQEKK >KZM88032 pep chromosome:ASM162521v1:7:23694733:23695548:1 gene:DCAR_025107 transcript:KZM88032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENYHLAGCDVVTNLEPKQMGVDEEEHRDHMATHELEIQNPRPHVGDADSALIIGTPAVTPAVSPIIDLSLNPLDLNMLLTEEEDKGQP >KZM88954 pep chromosome:ASM162521v1:7:32557212:32560066:-1 gene:DCAR_026029 transcript:KZM88954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMAEVGQQNCMNVELAIQRELEYRKKVAGLFQSDECIEDLMPLQVVSSVSCPRPDPGLRSWPEPSSVTVASPCMAPGSSCMFPRPNPNLAPSSAHQFSPSNAPGFCSGPSQIPNQPFQRSPVPNHYPNYGPRPRPHYQPNYSGFKRKATSGFQHQGPHKPQLPQENYIAIDSSGNMFCKLCEVPCTGPFCLKQHLKGHKHKAKLHLLKMDRKNGGEQVNTQLRCDLCQILCPHEDALKLHYQGQKHKARLQALEAGQKKVEKATERPWCGLCEIWCMNEDAFNQHLKGQKHLTRLYSMQEKERAMKASCR >KZM89251 pep chromosome:ASM162521v1:7:35387544:35388684:1 gene:DCAR_026326 transcript:KZM89251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTAGVGGDKRWSLVGTTALITGGTRGIGQGIVEELAGFGASVYTCSRSQNDLDQRLAEWKSKGFTVSGSVCDLQSRAQREQLMESVASEFGGKLNILVNNAAMIVVKEATEFTAEDFSSIMGTNFEASYHLCQLAHPLLKASGTGNIVFISSVAGVVAFPRNSIYASAKGAMNQLTKNLACEWANDNIRVNSVAPWIIRTQLIGMMREEDLQGLVSRTPFGRPGEVNEVSSLVTFLCLPAASYITGQIICVDGGHTVCGY >KZM86932 pep chromosome:ASM162521v1:7:8515246:8518269:1 gene:DCAR_024066 transcript:KZM86932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQQNKQDNLNQNMDKAAAAAAAAAAASSSDDETSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYRNKFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAVECSHMAGKAQEIVKANGYSNVVTVLKGKIEEIDLPVAQVDIIVSEWMGYFLLYENMLSTVLFARDKWLTGNGLVLPDSSSLYLTAIEDADYKEEKIDFWKKVYGFDMSCIRKQALAEPVVDIVNQNQIVTDCFLLKTMDISKMTRDATFTAPFKLVAERDDYIHALVAYFDVSFTQCHKLTGFSTGPRSRATHWKQTILYLDDVLTICQGETIVGSMAVSQNTKNPRDIDITIKYSLNGRRSLISRTQSYKMR >KZM86939 pep chromosome:ASM162521v1:7:8622464:8626274:-1 gene:DCAR_024073 transcript:KZM86939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTVILIGVIIWHLHNTEEFMKVAAKGAATLSCCLGSSGSTRVMEMKYLMPSIVCFLLCNVRPAAAILSDNRGVFEIQLERDWNISRNIGIITFRNYNGTDQAPCIFLVAIEAWLDAVRRSEIELDSSSESFDDDDKFISMDTSSAGFDDKFIFLICNIIVNLCATGAQLLSAIDIAIRVVLWQLSWLYGSSHFKEMEEARFMIAAMICFSLWNVRPKAAPAILLEPHWQEYPEFLVQIENSVESQGRQGNP >KZM88485 pep chromosome:ASM162521v1:7:28249813:28250401:1 gene:DCAR_025560 transcript:KZM88485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATTVSLFFFLCIAAVSATDHIVGANRGWNPGINYTLWANNHTFLVGDFISFRYQKNQYNVFEVNQTGYDNCTIEGATGNWSSGKDFILLTKAKRYFFICGTGGCFNGMKVSVVVHPLPSPAKNSTTKSSSEASAASPPLMGGGSLTLLLLVTWIWVGFESGRSIISN >KZM86184 pep chromosome:ASM162521v1:7:443042:443230:-1 gene:DCAR_023318 transcript:KZM86184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQKSEVEATSSVSAEKLFKALCLDIDTLLPQVVPGAIKSAEILEGDGGVGTVKLIHLGDG >KZM88033 pep chromosome:ASM162521v1:7:23707936:23709644:1 gene:DCAR_025108 transcript:KZM88033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCCSRIAWFFSLSRIAGLLCWVLCSFLNPLLLNLVAYCTGLLLARRVLEKLKMDDEYKGNVEAMFMDWMRNLEVGIPALLEDGIQRLYMPESMTSFVAGLGSSDLKCYDL >KZM88613 pep chromosome:ASM162521v1:7:29716046:29718151:-1 gene:DCAR_025688 transcript:KZM88613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSSLADLLILKCTSTTSLRQARILHARILTSIPITLHTPFTYNNIISMYAKCGSPGDSHHVFDKMPQRNIISYSALVSAYSRSPHNAHLAFKLLSQLHSTDCFRPNSPMFSGLLQASLCMGDYVLGSELHCQVLKFGFLDDKYVQTSLLSLYVHCKDMGCAKRVFFDNNYKDTVAWNTIINGLVKNEKILQGMRYFCNMVGSGERPCKFTYSTMLNACSKLGDHDIGRLIHAHVILSGTTADLPLYNALLDMYCACGDVQTACTVFSRIEIPDSVSCNSMIAGYAGKGDGGKVMDMFVRFWQISTEKPDEYTFAAVISATGSFPSISYGKLLHAQVIKIGLQRSVYVGSSLVSMYFKNGDSDSAEGVFNTLLERDVVLWTDMIIGYSRQSEGENAIRFFSGMLEEGYKPDGFTLSSVLSACADLAAQLQGKTIHSLAIKAGYDVEMSVSGSLIDMYAKIGNLEAAEAVISQVRKPDLKCWNSILGGYGNHGKVIEAFEVFDEIIKLGLCPDRVTYLCILGACSHCGLVDEGRYLWSRMLETGLTPGPKHYSCMISLLTRAGLLVEAEEMIVNSPYSSENLEMWRTLLSSCVRNCNMEIGTRAAQQIICIDDEDRATNVLLINFYSSIGRWDVVAELRRKTRESVLEKYPGLSWIEVMKTTHIFSSGDESHPEFDEVQAELSHLQGNLSVLENDEVCEH >KZM88731 pep chromosome:ASM162521v1:7:30816804:30818931:-1 gene:DCAR_025806 transcript:KZM88731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPNSGKLSQSIARTSITGLRYNDSKLICIVFLTAQVQQEREYVSAASDRVKAAVDAEVWRIRHMAEAAMAEAEEAEKEAVEAERLAEEKQSIADELERKLMEKKDRAGCCCLLPACVNLVFEEKLLL >KZM88484 pep chromosome:ASM162521v1:7:28245233:28247610:1 gene:DCAR_025559 transcript:KZM88484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVSVYEVADFTHTVNEDRACHQDNVLKLSNLLKKFSGFPSEEEEAMALLDDSGVEADESLVYLMIWECRQEWKISILLFKWGEKWNCNGGRNWILIIWVLGNHKKFNIAWCLIRDMHRSSLDTRKAMLIMIDRYAAANEPVKAIEAFNFMEKFRMTPDKEAFNTLLYYLCKNGNVEEAEEFMLVNKKLFPLEVEGFNIILNGWCNISLDVYEAKRVWREMSKCCILPNETSYTCMISCFSKVGNLFDSLRLYDEMKKRDWVPGVEELGLQPDSTTYNSMICPLCEVTKLDEARAVLANMVAEKVSPSIETYHAFLDGTSLEGTLEVLNHMSKACLGPTSATFLIIIAKFFKKEQPDFALKIWMEMKQYKVVPDSTHYSILIEGLARFGLLGKAREFYSEMMINGIKDDPKLKNLLKLPGHGSSHQVGKRRLKSARPVKKPSQVGHRRSSKQSRKKENYTKD >KZM87823 pep chromosome:ASM162521v1:7:20866981:20873321:-1 gene:DCAR_024924 transcript:KZM87823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNQNKPPPRTPLTKISSQDDGPNDKRRKVASGRITGSTAASRRRQVSAVVTNRQDAVVGGNAESVNEELENVKLEFTKEEVDVLLNEKIRAKKFDLKGKMEQMNEHINKLKLCIKWFQGNEEGHIQEHEKLNSSLESAERRFTETEIAMKAREEELNKVLDELKLNISSLKNKLAEEESLKLDAIVSHKREKEARDELEKLQAALSEEHQRAKQEIMDINQKFASVNADHKRLQEYNKSLQHYNRQLQTDVATATESVKRIEKEKSAIVENLSTLRGHHKLLQEQLTSSKALLDEAIMKKESLGSEIVILRGELQQVREDRDRQLSEVQELTATVAKYKESTGKSVAMLDSLTLKSDALEERCSTQIEQIRLLEQQLAAANEKLKITDLSTSEIRIEFDKKNQVVSELQQRLREAELQIADGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDSHATDPAVSFPPSGELVGRGIDLIQSGQKYPFTFDKVFSFEASQQDVFVEISQLVQSALDGYKASMLEIYNETIRDLLSPRSTSSDINRTESGGLGKQYSIRHDANGNTYVSDLTIVDVCSINEVSSLLQQAAQSRSVGKTDMNEQSSRSHFVFTLRIYGTNESTEQQVQGVLNLIDLAGSERLARSGATGDRLKETQAINKSLSCLSDVIFALAKKEDHAPFRNSKLTYLLQPCLGGNSKTLMFVNISPDPSSTNESLCSLRFAARVNSCEIGIPRRQTIRPLESSRLSCG >KZM86363 pep chromosome:ASM162521v1:7:2012379:2016310:1 gene:DCAR_023497 transcript:KZM86363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIEDNEPPLKRLKGPPSVTKEGMLYKLTASSSAGDSMARALSFKRDDETVGLKGIIKKQEFVKIINRALYCLGYSKTATVLEEESGVPLRSSAVNLFMQQVVEGKWDECLATLHHIGLTDEVIYKSASFLLLEQKYLDLLREGKFMDALLALRNEIVPLSINVDRVHELSAYIINPSQFLALGLSDQDTATAISRASCLEKLQKLLPAAILIPERRLEHLIEQALDVQRDSCVFHNTLNSDLSLYSDHQCGKDHIPSETLQILQEHKDEVWFLQFSHDGKYLASSSKDQTAAVWEVKENGQLILKHILSGHQGAVSIISWRPDDSQLLTCGAEEAVRRWDVCSGECLHVYEKAGVGLISCGWLADGDGIISGMSDKSICLWDLNGHVVECWKGQRIENISDMVVTSDGKIIISIRGGNTLLLYNRESRCDKMIEEEEIITSFSLSKDNKFLLVNIVNQEIHLWCIAGDPKMVSKFKGHKRTRFLVRSCFGGFEQAFVASGSEDSQVYIWHRGTGELLAKLPGHSGTVNCVSWHPTNPHMLASASDDFTIRIWGITTPKCEGANHYNGRHYHQPNGRT >KZM88136 pep chromosome:ASM162521v1:7:24840439:24854037:-1 gene:DCAR_025211 transcript:KZM88136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPYMEAVVGFMILMYIFESYLDLRQHSALKLPALPKTLEGVISQEKFEKSRAYSIDKSNFHFVHEFVTIVMDSAILFFGLLPWFWKRSGDFLLLIGLDVENEILHTLSFLAGFMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDMIKGIALSVVIGPPIVAAIIVIVQKGGPYLAIYLWGFMLVLSLVMMTIYPVLIAPLFNKFTPLPEGELRMKIENLASSLQFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNEEEIVAVIAHELGHWKLNHTMFTFIAVQILSFSQFGGYTLVRNSKELFESFGFDTQPVLIGLILFQHTVIPLQRIVSFLLNLVSRAFEFQADGFAKKLGYSKPLRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDELEKKED >KZM87008 pep chromosome:ASM162521v1:7:9880464:9882796:-1 gene:DCAR_024142 transcript:KZM87008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSEQKECLASMNANVFSCGLEKLTNGEGGCSDYSVTDVLGNDLRKLGQDCEVLGSNDISNTTCPVCSNRLDEISVSANHDKTETDVCKFAVLITLTSQRIDDVKWVQGLYQCLNQERVALGDQGSLVLDDKESPGKKPKKFVPGLWIIVGGIVGVTVLLTVALWMWSRKIKKGKLPAGKDAKEDSVSEDSSYSKISIKDVYSATNNLNALNFIGQGIAGKVYKGILPDGQHIAVKHIINDGQMETFVREVTSLSHVRHPNLVEILGHCKGEEECFLIYELCHKGNLSEWLFGKEKTLSWTRRLEIAIDCARGLWFLHTYPEGCIVHRDIKPTNILICSNYQGKLSDFGLSKVIAVDKSYVSSEVRGTFGYVDPEYRMNHRVNSSGDVYSFGIVILQLISGQRVINLDANKPMPLSKMARLVTRGGNIIDFADPKLNGNFSEEAFEFLLKLALTCTGIKQQRPSMEQVFRKLEKALEMSVS >KZM86233 pep chromosome:ASM162521v1:7:810479:815070:-1 gene:DCAR_023367 transcript:KZM86233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGLMDLLSLCWKPFSGTDNSGGRGVKSNHSNGGDGDFGKDGLLWFRDSGKCASGDFSMAVIQANMVLEDQSQIESGPFGTFVGVYDGHGGPEAARYVCDHLFRHFQAKSADDNGVVTPDTIRRAFLETEKGFTARVEEMWPARPNFATVGACCLVGVIYQQTLFVANLGDSRVVLGKKIGNTGVMAAIQLSAEHNANIEETRRELKELHPGDPQIVVLKHGVWRVKGIIQVSKSIGDVYMKHARFNREPINGKFRLPEPMNMPIMSATPSILSHPLQAGDSFLIFASDGLWEHLSNEKAVEIVHNNPRAGSAKRLVKAALQEAARKREMRYSDLRRIEKKVRRHFHDDITVFVLFLNHDLISKGMGLDNRLSLRSALEH >KZM88123 pep chromosome:ASM162521v1:7:24746985:24757867:1 gene:DCAR_025198 transcript:KZM88123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRRRLPPNRRRLRRPPFRINTDPTSHIPLVNQSFHQQLLRKPDGTEAMLGFQGGLHGELGGNIGSNSGSMLVQPRSREETHNRNHGFDLQMLNPAQHSYLQYHSAQQKSAPGMQSQHPSKLGMAGPSVQDHDMRMGNIQMQDLMSIQASALLSNKKPEHFGHDEKLSEEQRSDSKPPVQTSLGQLMPGNMTSPMQIPQYQQNIQNMGNQFAMAAQMQTIQALALDRNIDISVPANANFMAQLMQMQSRIAPQHNKPNETNLGEKSLSVTMPKQQVTSPQVANESSPRGCSSSDVLGHSSSAKVMKQTGQPGLFCASTASLVPNANNHPLQTFSAHSSDSQLLSRQRTMIDNGVPPMHTPQSYVNQNQQVDAKPIQRSSPLSAASPSEGGLVNPSFQGGPLTHLPQQLGFTKQQLHVLKAQILAFRRLKKGDGTLPHELRQAIAPPPLDEQMLQASQPGPTDASGGRNVRMEDHIKRVECSEKDLPTFSSNDRLSNVKREAVAEDEKRNSSRQIVRAVLKESPSAPPQEEEHQTTISSAKVEEEDHGIQGDGIARSDVHVDKGKAGSSVAPLSETVQVRKPFQVSTTPQPKDTGPTRKYHGPLFDFPFFTRKQDSYGSAATVNKHNNMTLAYDLKDLLSEEGKEVLIRKRTKNIKKIGDLLAVNLERKRIRPDLVLRLQIEEKKLRLLDVQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRADLSRQVQASRKAIREKQLKSIFQWRKKLLEAHWAMRDARIARNRGVAKYHERMLREFSKQKDDGHNQRMEALKNNDVERYREMLLEQQTSIPGDGAERYAVLSSFLSQTEEYLHKLGSKITATKSQQEVEEAANAAAAAARAQALSLSLPPSLCGLSEEEARAAAACAGEEVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGQKDQRAKLFSQEVCAMKFNVLVTTYEFVMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQREGPAHNVEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIILRCKMSAMQGAIYDWIKATGTLRVDPEDELLMSQRKPMYQAKNEEQAVARAHRIGQKREVKVIYLEAVVDKIPSYQKEDDYRKGGMVDSEDDLAGKDRYIGSIEGLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLESMLHDEERYQQSVHDVPSLQEVNRMIARSEEEVELFDQMDEEFDWEEEMTRYDQVPKWLHASSKEVDDTIAKRSSKKKSLLGGNIGVESNEITSEVSTQLEKKRERNKAKKFPVYTELDDDFFEESSEERNGHSGNEVEVEDLEDDDFVDSGDSPPGNKDRLEDGSLTAEVSEDPRPLESHKPDHTPEKAGSSGSSSGNRRLIQMASSLSSQKFGSLSALDARPGSASSRLPDDLEEGEIALSGDSRADRRHSGSWIHDRDEVEDEQVVQPKIKRKRSIRIRPRLTTGKPEGKTGEKSSLLRGDSSQIPLQMGHKYDREQNLVVEPNSLKLEKRDLSLKCSRALDLQIKSNSGKVHASQKPSKVNVLSAPSEDATEHSKESRDSKVKHGAGNSIGHRMSDGVQRRCKNVMKKFQRTLDKEGHQIVPLLTELWKKSEDTGYMSGNCQIHIQKIDYQLENFGYNGVMEFVSDVQLLLKGAVQYYKFSHEVRTEARKVHDLFFDILKKIFPETDFLEARGAVSFSGHGASTSAPPSKQILAGQGKRAKQATMVDPDRSHKQKPLSRGLSNEDTRIRSHMPHKETRLGNSNRELNQQEDSGLFSHPGELVICKKKRKDREKFSVKSGNVSAGPMSPASVGGNIRSPSSGSLSKDTRLIQQGRDNQPPHQANVSSSGGIGWANPVKRMRTDTGKRRPSHL >KZM87091 pep chromosome:ASM162521v1:7:10872247:10874614:1 gene:DCAR_024225 transcript:KZM87091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSRRIASACAMGNLQMEKSTNDLSFVCNTNNSKLKKGILVLSNAMARNVGKFLYGPVGLHLPDGAVWLARYNRELKIIEGLEELIKQYNVKNFHLLVFKYYGGTEFVLEIFNQYAVEICYSPRGDNLGGNVVCDNDSSLHYETTMDVTEYEKDKMHACFSLNAYTTFTAEYVLVIKDEHLIQTDWTMVLSRDACAELDLDAKIEWLEFGFKKFMWRIDLKWEDGNLFFDRQWNTFAKAGKLAVGDKLMLMRDKHWQIFEVAVFERDACALFNKFVDKQKGQPKWFKIMNWESAVIGDVEVPFLFAKQYSHKLKEDVSLFLPDGGKFHAYFSIEGNLLYGLKDLMRTYAVKEQYVMFFEFVGLSSFYVTIYNEEGEDLFNKLPDKLMLRTLLKGIEETEKLDGLNYGTAGHCNADDCTNEHKRKKFRADLLTEFEVDLLKSHVDQNGHGVFLPRYLTHIFKKWNKSTLINLVMKGKTWRVAVLRRNKTCRFGVGWNSFTLDNKLRIGQKLVFSFVEDNTFQVNIVS >KZM87968 pep chromosome:ASM162521v1:7:22638290:22643440:-1 gene:DCAR_025069 transcript:KZM87968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDLELKGRIDSMVSLDGKENGGALREPVAMNMTCVSNYQDNIFDLEASIHEQAEQVNQVEKSGVKIMECTIHSDNSQNGDASHDTTEYSSSFGNTASGDESDDSLSDSEGMSKLLDNGLGEDCRMRKKRLTSHWKTFIQPYMWRCKWAELQIRRLLYQASKYDLQAEEINRRKQLTSEDLAVGNSGVKSPSIPGSMQKEKIMKRRKRKRVEDSTDKAAYMAQHILFSYSGSNKTSTDVAPKSDCANLGIPMDRKVGSMFGPSDEPVSLEFRNDDNSLEQILWNIGVLQSHLSGLKARFKEVLSENATEIYSADVLKLPNASTGFPQSNVSTSEGEKIVQFSSIASHLMPKLDMSGNAISTHGEAAHLPDSKLDKTKCKVQLQIQEPCKNVSCNLVGYQSQSGSSLFIA >KZM86391 pep chromosome:ASM162521v1:7:2367414:2369662:1 gene:DCAR_023525 transcript:KZM86391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEETSMLNSAATSSSGPNWWPGNHQHVVHAAPLSAWDITNPSWNPQNPNSSYSSCEEDISMSASASLSRLTVQPTGRLDGQGCVPDSADNHLWNQVLLSAVGNNGDLHNTQDVGDNSIDAFSLKNFPCDYLKKMDDNGWDIPSLEKNFNGFGNSMFETERLGKASNLINNWSIAPPETEISSQFNPSSCFISLSPAADQYSTATNQKMVSSYLPHNPKLEDETCASGNLFGRSMSTNGTGYPMGIDSTSVGDNTKFYSAVPDVSCNKGINFADLPRFSSHLSKPWISIKEAKPILKSLNLSDCKKESLKASHTPTASRCNTPTSNRKAHMLANEGKKKRYEENSDTASKKPKHESSTVSSAKVQVPKAEWREKITSLQQIVSPFGKTDAASVLWEAINHIKFLRDQLLSNPYIKTNPIKDPWGGMDRKVRGQTEVDLKSRGLCLVPVLCIPQAYRENVGPDYLTPAYRGCLYR >KZM86297 pep chromosome:ASM162521v1:7:1438460:1453718:-1 gene:DCAR_023431 transcript:KZM86297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDESSKGVVFALRRFEAFRSMRVHTQRARQAKAESIEKKKRMPPILMKLCPSTIDPNEDCFAMTLDVSPKFGSSGVIPCPPPPPYLPTDMPTPRNPSFFDPMLCLNIRSDDFSEALVFYIEAFGAEDQSDSTEAILKIGAQKFVIFSTLHNYLTPDGALFSLSTDDVDSVVDKALMEGATLLGKPVQVYSKCQEFWKSIGKQKYLEKLHPMVLSNLYGVLDKSTAAAASLLLVGSSEQLGVPITIHQTILPLIQYYGKGLCSDGIDVVIRIGVHLGENFVVNQVLPVLRSIASSCIDASRVNKPEPLQSWGTLALMDCLMTLDGLVVILKEEVVVKELIEEGDCIYVEVLMQSTTGIPVLQAAARSLLAVCHQIGPTLTALQVLPKLKDLFSELAFSQEASASFASSGGRVKDCKKKTDEVPIDSHMDLVLLLYPPPASLLGTEKLRQCFATWLLLEQFLLRCYNWKWEYTGDLSGPENINSKIYGLKSSRSEFSPARKLLNTSAWSIPQSQAYKGPNTLKPHTWSHEYHRNPVDHPVKSSNVEKHEPWYWFPSPASAWIGPYVLGCGGGVGQVKGTIQKWDLSRINCLSGYQGNEEVVNEICLLASSERVASCDGTVHIWNSQTGKLISVFTEFSEDSVHHISRLTSGSRANLDQANMLNFNRHLSGIMTTAFDGSLYTSLHFLQNINRLVMGTGNGSLRFLDVVQGQKLHLWRTESPEASFPSLISSICSCGSDKMQGDGIAASPSWVAAGLSSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRNAHTRTLLRRSRSVGGAPHRGIPSISFLAPYGFNHPLTRTHVRLLGPCFKTGRLGNPQACARSTQMPRHAKRRVLPTTIDATASPQVYLQPGLWPPHQSAQVHTPSRSADRLDTVPHPTGAHRRSP >KZM88980 pep chromosome:ASM162521v1:7:32753321:32754709:-1 gene:DCAR_026055 transcript:KZM88980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIALKTFTGLRQSSPETTVQSHRPTTLSYRPLRISAGKFSPKVTGRNLRVAVIGGGPAGGAAAETLAKGGIETFLIERKMDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGRTLKPHEYIGMVRREILDDYLRTRASDSGAKVINGLFLKMDKPVAKTAPYVIHYTSYDGKSGVAGKRESLEVDAIIGADGANSRVAKQIGAGDYEYAIAFQERIKIPDDKMTYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRLRAKDKILGGKIIRVEAHPIPEHPRPKRLSDRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRMVDEADLRKYLEKWDKAYWPTYKVLDILQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKKVAPGNPLEDIKLAVNTIGSLVRANALRREMDKISV >KZM87094 pep chromosome:ASM162521v1:7:10921720:10923434:-1 gene:DCAR_024228 transcript:KZM87094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEVDVTAAGAPKKRTFKKFSFRGVDLDALLDMSTDELVKLFGARARRRFQRGLKRKPMALIKKLRKAKREAPAGEKPELVKTHLRNMIIVPEMIGSVVGVYNGKTFNQIEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KZM87444 pep chromosome:ASM162521v1:7:16475150:16475896:1 gene:DCAR_024578 transcript:KZM87444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNKEQIENLEAGLQRNRIESGVFEPSLSPYMLYLPSLIYQSTWLEKIRRVSPVPLFLAMTQPVGKSGKGCLSEGELRRKRLKHLMLETIGAVMHVKPFRKNPRIRN >KZM87496 pep chromosome:ASM162521v1:7:17357850:17368687:-1 gene:DCAR_024630 transcript:KZM87496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFAKLDDSPMFRKQIQSFEEGAESLRDRSLRFYKGCRKYTEGLGEGYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVENLLNDRLLQFVNIDLHDVKEARKRFDKASLTYDQAREKFLSLRKGTKGDIATMLEEDLHKARSSFEQARFSLVTAVSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRCSSNGSNRSPNGDGIQAIGRSSHKMIESVMQNAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQSSKPSGSGSQLAGQRNSSELGSGLLSRWLSSHYHGGAHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQSPERCMPASPMGSSHHRSNSDSSSFESSDFDHTTVDEYTMERLTSAHHERPSRISQHQRPGVKNEKPIDMLRKVCGNDKCADCGSPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANSVWEEMLQAKGVFQVDLAPTGLCRPEKSQIFFISKPNYADSILIKEKFIHAKYAEKTFVRKQKEHHLVEQQLWEAVRANDKKAVYRLIVTYGLDLNAICEQVHCSSLTLAKVMLLQEHTNLDYGTSLLRESSAKSCLSTGITNTSEDHVVTDITSCSLLQLACETADIGMLDLLLQYGANVNALDSRGQLPLHHCILSGKAAFAKLLLTRGADPRGVNGEGKTPIELAIESKFEDSEVLALLRDSKG >KZM87040 pep chromosome:ASM162521v1:7:10326012:10327121:1 gene:DCAR_024174 transcript:KZM87040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDSAFQGVIAATLSFIIVTLLFAILIFVCKKSKTNNITRPRNPTRTRTVPSSVSLTETASFDPSLPSFSLSDLVKATQNFSPDLIIGDGSFGLVYKANLWNSNIVAVKKLSADAFQGFREFRAEMETLGKIQHPNIVKMLGYCATNLDRILIYEFIEKGSLDQWLCDTSPDSMSETKLPLSWETRLSIIGDVARGLCFMHNLETPIIHRDIKASNVLLDSKFGAHIADFGLARRMESTDSHVSTQVAGTMGYMPPEYFHGSTIATPMGDVYSFGVLMLEIATGRRPNWPFKDDGGKDIRIVEWCKKMVQQNSEMKIIDSAILKEDLKEKQVVEFFRIALLCVEESAPKHRPSMIEVVELLDGISRLE >KZM88084 pep chromosome:ASM162521v1:7:24209894:24223371:-1 gene:DCAR_025159 transcript:KZM88084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATGADLAAGLVRKLVSLATNELIQAWKFHEDLDTLRERFELIGALLNEAHTQNLNMSTAQLWFNKLEQVALLWMNSNDVWDGKPEEWEKLRNSLLGVGGARGSNILITTRKQEVLDAMRCSDPYKVAKLSEEDSWELFKQRAFSDGGALQTEAFVALGKRMVERCSGLPLAVKTLGSLLYSKKTEQQWLHIQNSELWKSTSVLPSLRLSYDNLPNSSLKRCFAYCSIMPKDSDIYKDELIQVWMALGFLLEDSNVLMEVIGNEYFDILLSNSLLQDVERDEYGNITKCKMHDLVHDLALDVSSNISAIVKPSQDFNKVRKATQVRLEGFEDVKQNIFQEAHLDAVQALYAEADIFNSAEADIFNVLLPNLKHLRVLVLNSFCEEFPSSVTNLKCLKHLDISNARGQKTTVTLPYYITRLFSLQTLRISYYHELPEKVCNLINLRHLVIPNHRSRYVFVGIERLSHLQTLPYFVVNKDQNCLVEHLGGLKNLRGELQLHGLGDVANMEEASRAKLREKSNIEVLVLNWNKNIGAFREDGENKDDGVMKGLEPHPNLKELIIYCYMGKKFSSWITMMNNLVKILLVGCNRCTEFPSLGQLPKLKKINLQGMENLKVMGNYLLESLDSAATKTVTTMYPSLMTITLYRLEKLEEWTEEVMSTGSHDQSVFPKLESLEIMYCPRLSKIPNICFPALKELKITDLDSSVIVETMSKKHLELLNISNGGDSSSSSSSSCSNMDFMIEEMLKNNSLCLTSLRLIDCGGLKCPRPSNINLVEGSVGLKDIEIWKCPSSLLERVFAQTRSSTLDRLSLGPFSEDLDEFPWPFSSSCVVSFHSLKKLSLDGWKKVESIFGQLDNRLSSTFPALTELRINNFKGVKALSDSIAKLPSLETLLIFDCENLKTLPLFEKSHPLQLLETEGCPLLREKYMKGRPEWFRIQHIPQLVFVPGTRSRLEKMILGPFSDELNEFPWPSFSSVIWFPKLTSLTLFGRKKVRSILLDGELDDRLYSTFPALTLLYINDFEGVKSLPESLAKLPSLERLRIWNCNNLKSLPTFHESHSLQYLKIFQCRQRLSSINSSCTFNCVQIKHREMTMTMERVVEENGKTRGDDEYTKDGTVDLKGNPVLRSNTGRWRATSFIVGYEAFERMAFYGISTNLVLYLTRKLHEGTVKSSNNVTNWVGTVWLTPILGAYIADAHLGRYWTFIISAFIYLGGMSLLTLVVSLKSLRPPSCGDSITDVDCNKQASPFQVGIFYCALYIIALGTGGTKPNISTMGADQFDEFEPTEKTQKISFFNWWVFSIFFGTLFASTFLVYIQDHAGWGLGYGLPTIGLFLSILVFLAGSPYYRHQPASGSPLTKMARVLIATIRKWKLVVPDDPKELHELNLDEYSKPGKYRIEHSPLLRILDKAAVVDGRSPHWMLCTVTEVEETKQMVKMVPILLVSFLPSTLIAQGHTLFIKQGTTLVRSIGPHFSIPPASLVAFITIFMLITVVIYDKFLVPTLRSYTKNPRGIPMLQRMGIGLVMHVIIMIIASVCERKRLNVIEDHGITKKNQIVPLSIFILLPQYALMGVADTFWEVGRLEFFYDQAPKSMKSFGTAYYTTSLSMGNFLSSFILTTVSDFTKRDGHKGWIVTEIDRRMADAFVADLASGLVRKLISLATEKVIQAWNLSEDLLTLRERLESIDALLSDTYTKKLDMSSVQTWFSKLKAVAHVADVFMDQLAYEIIRQKVENHHRVRDFFVPSKNNILNRFKVANKIKTIHKSFDKISTVYFNSIQALYAQACVLGVVLPSLKQLRVLVLNSHYKELPSSMGNMKFLKHLDISSSVGFKSYKLPNYITKLYNLQTLRIWALNELPEKVCNLINLRHLVVQKKYAEELSTRYMFIGIQRLTCLQTLPHFVVSRKRNCLLSQSEGLKNLGGTLDLYGLSDVSNMEETSKAKLCEKSNIQCLPLDWSNNEDERKGKEYNNEDVIEGLRPHTYLKELSVVSFKGRKFASWITMMMNLVKITLKDCNNCDALPPLSHLPKLREIVIFGMHNLKVIGRDFCGGLASTSSGLSYRGSVKTVATMYPSLTTLVSTGDEDQISPLAMKTKIWCQYFQNLRELVITNLDSSKILETMSRKISSLVNLRLRSIRDRNGGSPSNVYYLIDELLETNSLSSKTLNLDNCPGLKFLTIGIVLEELEVSDCLNLTSINVVEGALKYLIIVRCPSLSELVSVPSTRSRLEKMILGPFSDELNEFPWPSFSSVILFPKLTSLTLYGWKKVRSILLNGELDDRLSSMFPALTLLYINDFEGVKLFQNHWQTFHLLSGYVFGIVII >KZM88780 pep chromosome:ASM162521v1:7:31250475:31251383:-1 gene:DCAR_025855 transcript:KZM88780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRRRKRLNDRLSMLRSIVPKISKKMDRTSIVGDTIDYVKDLQERIHKLKEEVDTEAESNHMNLMASSNEDTNEVIPISPTKFDVERKDESTRIEICCATKPGLLLSTVETIEALGLDIQQCVVSCFSDFSLQATCSEAVDNQKFVGCEDIKQELCRNAGYAGYGGKCF >KZM88885 pep chromosome:ASM162521v1:7:32051657:32052403:1 gene:DCAR_025960 transcript:KZM88885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFAFDFDNLKTEKQTAMLRYRRLPNLTKLFRIFEFCLLLFLISWISTRIPSILKISTHYITQLISIIISPLFIFVLSNVIVLILVVNSGQRIPSTSGKPDINLYTDFTENIELGVESEAENCAIVSEEETVYQDKQIVGEINTARSDSSCEMLVEADLMPEVSILKCKVFQRSESENLKTEFSEKPGAELRRSESDVCAKSERSGESKAEIVEELSNEEFQRAIEAYIEKQLMFHKQEQFSIVPHI >KZM87522 pep chromosome:ASM162521v1:7:17712423:17714991:-1 gene:DCAR_024656 transcript:KZM87522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNWSTSLNADDEFEKLVLRMNPPRVTGDNASDRKATLIKVDSANKRGSLLEVVQILTDMNLIIKRAYISSDGEWFMDVFHVTDQYGNKLSDDNVAERIQQSLGPRGRSFRSLTRAVGVQAGTGRTTIELTGRDRPGLLSEVFAVLSDLKCNVESAEVWTHNSRVASVLYITDEADRLPIEDSSRLADIKQLLLYVLKGNRDKRGANTAVSVGSTHTERRLHQLMYADRDYDTNDTDFGSTSDSMKPLVTVEGCADKGYTVVNVRCPDRPKLIFDTVCTLTDMQYVVFHGTIVAEGPEASQEYYIRHIDGCPINSEAERQRVIHCLEAAIKRRTSEGISLELCCEDRAGLLSDVTRIFRENGLSVARAEVTTKGSRAVNVFHVTDPSGIPVKPETVEAVRNEIGRSILHVRNDTHSSSTAEPTSRFSLGNIFRSSSEKFLYNLGLIKSCS >KZM86193 pep chromosome:ASM162521v1:7:515686:516369:-1 gene:DCAR_023327 transcript:KZM86193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTLCSSSSDPLQVNRYLGIWYKSISNGTVVWIANRDTPVINALGVVRVHDKVIIFETDDGIIWTSNTSISIKNPVAQLLDSGNLVVREDKNDINNAGNFIWQSFDHPVDTMLPSMKVGLNLVTGLENYVTSWKNVDDPSTGSFSNRINPNGFPQFFISKGSAKWFRTGPWVGSQYIGYPKSNPNGIYKDRFVFNKKQIYYEFDLVTKTSAVIRFSKKQSYGFCGA >KZM86963 pep chromosome:ASM162521v1:7:9012252:9013097:-1 gene:DCAR_024097 transcript:KZM86963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDNDNVRLIINKTKYLIIGVGGLIACGLFVSTLMLNIDSPLICSFAGSRNDPANAQLETVLHYATSRMVPQQTKEEIKLSYDVLRKRAPCNFLVFGLGLDSQMWNAFNPGGKTIFLEEDPKWLQNVLQEAPFLRGEYIKYRTQLTEADELLNSYKFEPDCDPSKGIPLKQNEKCKLALNMLPDEVYETEWDLIMIDAPKGYFAEAPGRMAAIYSAAVMARNRKGPGGTHVYLHDVDRKIEKTYAEEFLCRKNLVKGEGRLWHFLIPSAVNTPAAKSKSFC >KZM87878 pep chromosome:ASM162521v1:7:21561048:21562485:-1 gene:DCAR_024979 transcript:KZM87878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEGNAATCSNCFLHSQAVYYPNSDQHGTATGACGFGKFGAKINNGYVSAASSLFRGGIGCGACYQVRCTNGNYCSDKGVNVVITDQGSGPGEFILSRKAFGRMGETGHDAKALLALGVVDIEYKRIPCSYPNKNIIVKIDEHSDYPYYLAFMLWNQQGMKDITAVQLCEPKRFVCKLLSRSYGAVWATTSPPTGALAIRMLLSDDSGDEKWVAAANNLPKHWKAGGTYDTGVQVNDQ >KZM87579 pep chromosome:ASM162521v1:7:18328170:18337627:1 gene:DCAR_031958 transcript:KZM87579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRKEDEKNERIIRSLLKLPDNRRCINCKSLGPQYVCTNFWTFICTTCSGIHREFTHRVKSVSMAKFTSQEVSALQGGGNASAKEIYLKEWDPERNSLPDSSNVERLRTFIKHVYVERKFTGERSLNKPPRAKSGDADDFSENKKMDSYQGGSRSPPFNDTYERRYTDRPSPGGRSDDRNYRSSYDERRSPGSDGDYGRSPARTEIVNDWRRDDRFGNGKKSEDGRVGNGGLKVEATSPDFQRDLDSSSPPMVRPVRDILGENVSPLRVIEPPPKANGGKSADASLHTQRTASSSSLASSTGNPAEVKVESSLIDFDAVPEPPANSVAPTVTLNDNWANFDSFAEVKVSQAPSHANVLESALSDLMVPAPPGQTSATASANATSGNMSVLSTTNATMPVGYVSATDIGTIGPVAPASNSLAVPSGGIPSAAAGQTADFFLGVAGGQWNHQPFPHPTPGSQPPAQPFVPGGPLGVQVSRVDSGPLEAISGSLAQPSVVETRSVGKKELPEDLFTANYSTFPAPVPGWHTGPSQGLGSNMQYFGLNMQYNVPAPVPTYTQPSISSNPFDFNSEPTPAQASPFPSLLPLQGALPSVAAPTGLPHSSTFGTTTPAWMPDQYSNQTGMSSHAQTYGSSVAPTGPYMGQPVTSNFPPRHQGAAGFGFGPAAFSSPAPNQQPGALYASPVPQNTFSSSGGNPFG >KZM88504 pep chromosome:ASM162521v1:7:28405994:28406149:1 gene:DCAR_025579 transcript:KZM88504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKELELELDTPPDVHVIWSGHIIDFVIGLNLTSLFIPYNRYYIIAHL >KZM87826 pep chromosome:ASM162521v1:7:20879817:20889396:-1 gene:DCAR_024927 transcript:KZM87826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENADLPDNHRCARTDGRKWRCKREVSAGKRYCEDHFVQLHKKGQKKKKGRNQEDNSRKTGEKSKVKVEIDNNGSKKRSASEALDETLRKMKLKKGDIQLELIREYLVRKVEKKKEKDMEERRSGLNNIMKELPNGRMAIPVESREKFDNVGPYNVKLGVNCKSIERRNFRSKNVEPIPVCTMQILPHVKETVKLKQGKRRNCHWCRKSSYRILVRCKSCQKESFCEDCIEERGFIREEVRIACPICRKTCRCRACSISKSKDFERKETTKDAEKVEKIRQLYYIIQLLLPVMEKMNMEQRIELETEAKIKGAKRYEIPQIKVGCKNFVCCNCNTSIIGFYRSCKSCSYNLCLSCCHGFRKGKLTGSIQENKIMFPNRKRACTSDNKLPSHRNQNSSPNQGRKSIVSSTLLRNWKVYSDGRISCPPKFFGGCGGDYILDLRRISYSGGDKELQTSVEDIVSRYDFTDATDVGSCCSLCSNTDNQSMGIKLLLETARRDDLSENFLYYPTIQDLHIEKIRHFQNHWGKGHPVIIRNVIPVATNVLWDPVTLFCTYLGKSNEANKTENCLDWFEVELSDSQIFMGSTEGQTNAFMRQETVKVKGWFCPNLFQEHFPEHFAQIMHLMPLKEYLDPKSGHFNLAAKLPEYVSKPDLGPSVHIAYGEPEEFMQGHFITRLSYESYDLVNILAHTRDVPVSQKELNRLKALLEKYKDQDHSKSTNKVVDQPIRNEGEGRLEFNSECMKDVTRKSSLQDENTKDFVFQDRPEIDLNLPDRSAQASTCLVVSRGDFKGVQSQNMSKVDEQDQEFDPETTIHCSGTIHRLEDLEDENSCRHDIESSSCKKEKPATNSSGAQWDIFRRQDVPNLLEYLRKHCNDSIPAYQSPVHVVHPILDNSFYFDAFQKMRLKKEFNIEPWTFEQKTGEAVIIPAGCPYQPSVNVVLEFISPENAPECVRLADEIRLLPQNHKAKGKYREACIAVYVLPLMRQGCYWCPGVGGCNLLSVVYSGILLLIMALAMAYSKNMGPFICLLIIIIDVIAGILGIEAEISQNKVKHLRLWVFECRDPSYEAFKLGFAAAIMLAFAHVLANMLGGCICMWSTEELDKSSANKQLAVSSLVLSWIILVIAFSMLIAGALSNSHSRADCGVSHHRFLSIGGVLCFLHGLFAVAYYISATATLAEEKKLNEAAPVTA >KZM87751 pep chromosome:ASM162521v1:7:20095097:20097967:1 gene:DCAR_024852 transcript:KZM87751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPSRRSSSASNSGETKANERSLAALLGGWPNHKAQLPEECSVDTETNVQGSNLKSQNATSKLSDMEKMKERFAKLMLGEDMSGSGKGVCTALALSNDITNLSATIFGQLWRLEPLSSKQKSMWRREMECLLCVCNHIVEFVPSWQRLPDESEVEVMTRRPRADLYMDLPALRKLDNMILEILDGFSSTEFWYIEPGNIAYNDDLSASFRKTMQRQEAKWWLPVPRVPSGGLLEETRKQLSHIRDCANQILKAAIAINNMALAEMEIPESYLESLPKNARTCLGDFIYRYITSEHFSLDCLLDCLDLSTEHSSLEMANRIEASIYVWRRNPHYGSPLNTLNQSPAKSSWEMVRDLIIDEDKTDLFAERAESVLRTLKQQFPCLSLTTLDTSKIQCNKDIGKSILESYSRVLENLAYNIVARVDDLLYVDDLTRQCDKLSSVSKVSVISRKRVTVHYPLSASGTPYKSACATPKFSPAQIVNSPAKAEKSPLGDGNNSSRISHRGFGVRKVLSNYLGCDADVNSCVSDHQGSYSVGRKSVEVLASSKQKENSEP >KZM88503 pep chromosome:ASM162521v1:7:28404714:28405493:1 gene:DCAR_025578 transcript:KZM88503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHVTVGVSPDGDHIGEQKGPNLLWNLSLSDIKDANRNKEEDGADDDDDQTRSSRNTSTELNLINCIDENLDSTKSNPEPRVFSCNYCQRKFYSSQALGGHQNAHKRERIINKRGRTTDHTTISMMQYYQNQKMAYTSPPPLHATMFSRSLGVQVHSMIHKPTTSSFPFPRAIPASSLPVHGQYPAWSRKPLEQQPAIGRLAPPDISSGAARFDGGRKIALTGDGAGEFRWNSSSSSATLLEKNNQDEFQKLDLSLKL >KZM88057 pep chromosome:ASM162521v1:7:24016413:24017532:-1 gene:DCAR_025132 transcript:KZM88057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTGIVADKFCILLTFEDTNSLLDDSMEIKLRNGYIQPVQVDKSKCQMKGVKWFFNTLELKGGELLVFEYFGRSRINLYIIGSNGTEIRYPDRVHILQRCSPGIVTLADGGWRFVTTRSDLDAVIGYIDPPAAFIDRCGFALPKRIIYLLSNGKKFVGSYDSEACRFSGLKSMFDIVGLDVIHGVRTFLFTYDGTERIVISAFDSQYNEIVFQGTPLCMDANGNYPLVGNYFHPLKSRK >KZM89249 pep chromosome:ASM162521v1:7:35360801:35361841:-1 gene:DCAR_026324 transcript:KZM89249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSFSNTNNNYHPKSCDFASDISPAMITLIKCGNVAAILQLDENLNKKLRVFEAAPQESRGAPARKATT >KZM86928 pep chromosome:ASM162521v1:7:8468763:8469056:-1 gene:DCAR_024062 transcript:KZM86928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGMLEVLLVDARELRDRHFWCGLDCLIPCLNETKAPYAQIQYGAQNQTSCLAQGCSFIPCITALH >KZM88500 pep chromosome:ASM162521v1:7:28388163:28391327:1 gene:DCAR_025575 transcript:KZM88500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFFGIAAFLFLSLDSEYGFNYPASAAVSEGVEITYGSVIKLMHEKTRFRLHSHEVPYGSGSGQQSVTGFPNVDDANSYWTVKRIPESSAKQGAPIKSGTIIRLQHMRTRKWLHSHLHMSPISGNQEVSCFGDDSNSDTGDYWRLEIEGSGKSWRQDQKIRLRHVDTGGYLHTHNKKYNRIIAGQQEVCGVRDKLPDNIWLASEGVYLPVSASK >KZM86540 pep chromosome:ASM162521v1:7:3972231:3984378:1 gene:DCAR_023674 transcript:KZM86540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNADEPLYPIAVLIDELKNDDIQMRLYSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAIAEELGVFIPYVGGVEHAHVLLTPLETLCTVEETCVRDKAVESLCRIGSQMKETDLNEWFVPLVKRLAAGEWFTTRVSACGLFQIAYPSAPETLKTELLSIYSQLCQDDMPMVRRSAATNLGKFAATIEPAHLKTDILPIFEDLTQDDQDSVRLLAVEGCAALGKLLEPKDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILDPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKASIFQLTPDATIEQLLPIFLSLLKDEFPDVRLSIISKLDQVNQVIGIDLLSQSLLPSIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGTLCMQWLQDKVYSIRDAAATNLKRLAEEFGPEWAMQHIVPQVYSIRDAAATNLKRLAEEFGPEWAMQHIVPQVLDMINNPHYLYRMTILRAISLLAPVMGSEITCSKLLPVIVTLSKDRVPNIKFNVAKVLQSLIPILDQSVVENTIRPCLVELAEDPDVDVRYFANEALQSIDHVMMSS >KZM88031 pep chromosome:ASM162521v1:7:23676612:23677758:1 gene:DCAR_025106 transcript:KZM88031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYHLAGCDVVTNLEPKQMGVDEEEHRDHMATHELEIQNPHPHVGDADSAPNPLDLNMLLTEEEDKGQPGDHLAMHVLENNHHSLHIHSPQVISLSSNNHLERLDLKVKLEKATKQAESVETYAKKAINDAHDAQASGKAVASTTYCYKICIDNFVVSSGISGEDKSLEDHVSKLVKAIPFHARAPADMAVEVPGQEGDVG >KZM87102 pep chromosome:ASM162521v1:7:10973075:10973929:-1 gene:DCAR_024236 transcript:KZM87102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPWWTGQVGLLPGIDPRASPLMLNNKTQHDLEMNNNNSGGDDEDERDNCDEPTEGAVVVGSRRPRGRPPGSKNRPKPPIIVTRDSPNSLRSHVMEIASGTDVAESIAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGPLVAAGPVMVVAATFANATYERLPLEDDEEEGIVAGNSGGSPPDIGNSGGGGPHSGGYPDPSALPIYNLPPNLVPNGGQMSTHDAYAWAQASRPPY >KZM88716 pep chromosome:ASM162521v1:7:30636635:30639844:-1 gene:DCAR_025791 transcript:KZM88716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDLFQTEGDNIRYVASELATDVVVNVGDIKFYLHKFPLLSKSAKLQQLVSMASDEISDEIMIHDIPGGPAAFEVCAKFCYGMTVTLNPYNVVAARCAAEYLGMYETLEKGNLVYKIDVFLNSSILRSWKDSIIVLQTTKSLLPWSEELKLASHCLDSIASKVAIDVSQVEWSYTYNRKKLPSENGNDSQWNGVRKPQTVPKDWWVEDLCELQIDLYKQVVETIKAKGRTSADVIGESLRAYVMRRFPGLSKGIIQGGDINKSRSLIEAIIGLLPVNDSCVPCSFLLKLFQASILLDCGETEKKVLMKHIGRQLEEAAVSDLLIRAPPGEPTIYNVDVMNNLVEEFLVQEFPDSPEEIECEEIKVPRFISSSSKMKVAKLVDGYLAEVARDPSLPLSSFVDLADKVSGFARPNHDGLYRAIDMYLKEHPDISKSDRKRICRLMDCKKLSADACTHAVQNERLPMRVVVQVLFFEQMRAASSGGGSTPEIPGSIKTSLPGGSHGSSRSTTTNTEEDWDSIPTAEELKALKGELATLRLRDEGNVNGVKDTEKLTSNRLKGTLMSKRIFSKLWSNKDRQSDLSSSETSESPTSTQETKSTTSRSRRHSLS >KZM86249 pep chromosome:ASM162521v1:7:1010441:1011454:1 gene:DCAR_023383 transcript:KZM86249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTIGDTLPNLEIETTHGKMKLHDYVGDSFTIIFSHPGDFTPVCTTELGAMAAYANQFAQRGVKLLGLSCDDVQSHHEWIKDIEAFNGGHKVNYPIGADPKREIIKELNMVDPDEKDSAGNHTPSRALHVVGPDKKVKLSFLYPASTGRNMDEVVRAVDSLLTAEATKKKIATPANWKKGEKVVIAPSVDNDEAKKIFPQGFDSAKLPSGKDYLRFTNV >KZM87168 pep chromosome:ASM162521v1:7:11921000:11926098:-1 gene:DCAR_024302 transcript:KZM87168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIYCCGGCSNVFRLLARREISPRTKDSSRNLWREDSKSNVNSAVLRGEAARDVRRSLISWVEAESLRHFTAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGRCLKVLSGHRRTPWVVRFHPLCPDILASGSLDHEVRLWNAKTAECIGSRDFYRPIASIAFHAQGEFLAVASGHKLYIWHYSGRVETVSPSIILKTRRSLRAVHFHPHAAPFLLTAEVNELESSDSTVTLATCPNYLRYPPPTVYLADAHPDNQSRQVSELPLMSLPFLLWPSIGRGDGRIPLQQTDADVSSTSTTQRAESSGSVRLLTYSTPSGQYELLLSPIEPGSSPVPQEPEANALIVEMENGSTNPTVDAMETDVQPERVNQIFPLGDPTSWEVPFLQGWFIGQSQAGQQTMHPFNVVAAENVSNSTRMENHGSTTPTALPSGSAESRLNLRSGSHHQYSQPYMSSTASGSGTLTRDESESQHFVERIQSEIATSLAAAAAAELPCTVKLRIWPHNVKEPCRLLDAERCRLIIPHAVLCRFLAACVACVLPNVEADAGFPGQQVHPDVMGVSTSPTRHPISAQEVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDGETTVPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRIFQYNVSSGLNYSGICSSDEDMIEVIRQRSFIIVNSTHR >KZM88299 pep chromosome:ASM162521v1:7:26586164:26587111:-1 gene:DCAR_025374 transcript:KZM88299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITNTNTTPNTNTLTIKTTHESDLEISPTKIQLPKPLSFSNGVVLKHNALSKPSIPVVVNYKECLKNHAATLGGHALDGCGEFMPSPSATPTDPTSLKCAACGCHRNFHRRDPEDSSLTLPPKNSFIEYQPHHRHRPPPPESPSSMSPPPISSSYPASAPHMLLALSDGLMGPQNNHSAPIAHIRAHPNGRKRFRTKFSQQQKEKMFGFAERVGWKIQKRDEQDIINFCSEIGVDRSVLKVWMHNNKSTLGKRDSNINNNVNGNTNYNVNNNGDGGDQVQQAQLNNLQHSDSTGSPLVGGVNLGPNGNVSSSSS >KZM89036 pep chromosome:ASM162521v1:7:33265204:33266575:-1 gene:DCAR_026111 transcript:KZM89036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSVEEIMNNQRAKGPATVLAIGTAAPPNCYPQADYPDFYFRVTKSEHMTELKEKFKRICNKSKIETRYLHITEETLEENPNMCHYSAPSFDARQEILRMEVPKLGKEAADKAIKEWGHSKSEITHLIFCTTSGYDMPSADYQLTKLLGLNNSVKRHMVYLQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITTITFRGPHIHSLLPQALFGDGASSVIVGSDPDPLTERPLFQIVFAAQHLVPDSEDTVRGKLGESGLMFFLKRNITTLFAGNMEKILKEVFEPIGITDWNSLFYITHPGGPAILNQVEHVLGLKEEKMWVSRKVLSEYGNMAGACVFFVMDEMRKKSMNDGRATTGDGLDWGVALGFGPGFTVETVVLHSMPVIA >KZM87055 pep chromosome:ASM162521v1:7:10531572:10531978:1 gene:DCAR_024189 transcript:KZM87055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLHRRLISSAALLFLIFASSAAATRTPTHRKLGGQDSSGSLEILERVMITRRRLSGLGSWPPSCRSKCESCSPCNPVHVPVHPGFTIPLEYYPEAWRCKCRNKTYKP >KZM86751 pep chromosome:ASM162521v1:7:6451599:6451913:1 gene:DCAR_023885 transcript:KZM86751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFGSKKELGLHEKNICSVKGCGKKFASHKYLIHHQKVHREDRPLQCTWEGCTKTFKWAWARTEHIRVHTGDRPYTCAETGCSKTFRFVSDFSRHRRKTGHSG >KZM87129 pep chromosome:ASM162521v1:7:11284095:11286153:1 gene:DCAR_024263 transcript:KZM87129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVWKLLASVAGSLALIIISHFALKLYQRLWARPKKIEKQLKELGFKGNPYRFLHGDMKEFFAVAGEATSKPMDFSHDIGARVLPYEHHIVKKHGKSSFVWFGAKPRLNIMDPMLVKEILSKPDDFHKVYPDPVADLVVGGLSTAHGEKWPRHRKIINLAFNLEKLKGTLPEVYLSCKDTISKWKSLVSATSGFTEIDAWPYIENLARDMISRAAFGSNFEEGRRIFQLHEMQADLAFQFMGTSYIPWASHFKIKEKKKMRVLNQEMIDQLSRIVKKREETVKRGEEVNKDDLLSVLLEATRKENQEEGSGMSMEEVIDECKVFYSAGADSTARLLIWTIVCLSKHTDWQSRARDEVFQVMGKKDIDFEKLSHLKIITMILYEVLRLYPPAGMLLRATSKKMKLGNLTIPPWVHLTMPVIFHNHDTEIWGEDAKEFNPERFSQGISNATKGLPVFIPFSWGPRTCIGQHFAMIEVKMAVAMILQNFSLELSPSYLHAPELYFLMRPQYGAQIILQDLSATQ >KZM87202 pep chromosome:ASM162521v1:7:12343915:12349735:-1 gene:DCAR_024336 transcript:KZM87202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSWADSVENAAAGGTGGGRPAYVPPHLRNRPPSSDSSAAAVQSGPSGGNVPLGGNRWSAPRNEFSRSGYGGGGGGRSGGWNNRIGGWDRGREREANPFGNDDEVEPDVGEQQNTGINFDAYEDIPVETSGDNVPPPVNTFADIDLGDALNKNIQRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMTGQFAQRAPRMPRMACPLALILSPTRELSCQIHEEARKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVQQMDMPPPGMRQTMLFSATFPKEIQRLASDFLANYIFLAVGRVGSSTDLIMQRVEYVQESDKRSHLMDLLHAQRANGTHGKQALTLVFVETKKGADSLEYWLCSNGFPATTIHGDRSQMEREQALRSFKSGHTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSNMARSLSELMQEANQEVPAWLTRFAARAVYSGGKNRRGGGGRFGGRDFRRDPTYNRGGADYYGGGGGGNMSSGYGAPSGGYGGAYGSGVTSAWD >KZM86956 pep chromosome:ASM162521v1:7:8891691:8891969:-1 gene:DCAR_024090 transcript:KZM86956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCVFMFFMTEVIDRHDFHGFDSGLKVIMGSHLWSIVQNIQVKTNQMETSVYIQIIFKSLICLFKKKGFNSDIIRRLSNFDYICRCRMAFN >KZM89144 pep chromosome:ASM162521v1:7:34310015:34313198:1 gene:DCAR_026219 transcript:KZM89144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFMKLCRLLVLLCFTCSFCVLRTHQQLLSSQKQVLLQLRKQLEYPKELEFWANSTTDFCYSSSAQVNITCQNNFVTEIRIEGDKSDKARHFNGFAIRDQTLSGNFSMDSLVATLARLNSLKVLSLVSLGIWGLLPDKIHRFYALEYLDLSSNFLFGTIPSTVPRLVMLQTIILDGNYFNGTFPNWSGSNLTSLSMINNDISGKLPDLSTLANLETMNLSNNKFDSELPRLPKSLIMVSLSNNSFSGEIPKQYSQLLQLQKLDLSRNSIQGTPAAALFSLRNITYLNFASNMLSGSFPSHLSCGSELNFVDISNNKLTGRLPSCLVSGPNKKVVKYEGNCLSDNSLHQHPQTYCRVSKDANPEKKKSGRKNIGILAGVIGGICAVLVLLACCCVFLCRRSRETSEQHLLQKREDSVTKFPSAIITSGRFIYEATKLGTQGMPVHRVFTSEELKNATGNFNVSALIGEGCNGKVYKGRLENGTKVAIRCMSVSKKYTVRNLKLRLDLLAKLRHPHLACLLGNCIDDEVAHGSGANKVYLVYEFVPCGNYQAHLSETSEKMLKWSDRLAILIGVAKAVHFLHTGLIPGFFSNRLKTHNVLLNEHQMAKLSDYGLSIVTDETDKPEVKEDPQSRKMKNLDDDVYSFGYIILESIVGPSVSAKKESFMLNDMVSLESPEGQRQVVDPNVLATCSQESLSVAISITSKCISLNSSNRPSFEDILWNLQYAAQIQANADGDHRFETMEQP >KZM88037 pep chromosome:ASM162521v1:7:23843612:23850101:1 gene:DCAR_025112 transcript:KZM88037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHRRSTLPGTSLSPAVAAKARVGYLMLFSILSSMSIPILSLISLLQSLVLGPIYIIEWLSTLVEKALYAQCPKASFKFLIVVDQQVVIILRNFHERNELRLVLALLHLINMFGVMFCVESVYNFVCVFVESKHRVANISDTDSDGRGQCLDLNEERKGWKEKKHGIFGFAVVSIRQYFRVWYQRVMKTLAAGIDIIISSWKFFDLASKQDTKLLDLTVIIILAPTASFPHLAAEDRAATYGADSYLTKTKDVFSSIVEKGYVLGKALAVRTSDKVQKVDQNYQVSQKIKSAVAKSVVFSNKYVSIGASWVTVTFNSIAKAAAEVTG >KZM88581 pep chromosome:ASM162521v1:7:29423382:29425988:-1 gene:DCAR_025656 transcript:KZM88581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNSVVWMEGRKENEASWNNNNGNNNNGGLVSNRDELGSISSFKSMLEVGEEDWYLSNSNNNMGFTSNFNEVDTSTFLLQPLDSSSSCSPSSAFNNLDPSQQNVFLENQNLSSLNRGSGILTDFNNLNSQNPHVDTSNLSSEAHFSTTQLLQLTENGGSGFGSQGFQGFEIGSNGSGNSNLFLNRSKLLKPLDNFASVGAQPTLFQKRAAQRKNLGDGGGNLGFLGGLGGYDGKRIMSGINDKKRKSSFGDDLDNVSFDGSGLNYDSDEFTENTKLDESEKNGGNSSNGTSTVTNGNQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPNISKMDRASILGDAIEYLKELLQKINDLHNELEATPSGSSVTQSTSFYPLTPTAPTIPHHIKEELCPSSLPSPTGQPARVEVRLREGRAVNIHMFCNRRPGLLLSTMRALDTLGLDIQQAVISCFNGFALDIFRAEQCKEGQDVHPDHIKAVLLDSAGYHGLP >KZM87311 pep chromosome:ASM162521v1:7:14147858:14150156:-1 gene:DCAR_024445 transcript:KZM87311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGATEQGHARNNGAATNLSSDTLVNLDHGDPMIFETYWRNMGDKCTVMIPGYQSLSYFADTENLCWFLEPKLEESIKNLHKVVGNAVVDDHYIVVGTGSSQLIQAALYALAPPDQPKPASIVCAAPYYSCYKEMAEFLRSGLYKWEGDAHTFDKDGPYIEMVTSPNNPDGVCREAVVKKDGGKLVHDLAYYWPQYTPITAPADYDIMLFTVSKCTGHAGSRIGWALVKDKEVAQKMVTFMTISTIGVSKESQQRAAKILEVISNGCKDPKSSNGITDNFFLYGQSILSKRWDKLRNTLKHSQVFSLAKYPIKYCHFTQDFSEAHPAFAWIKAKDSIDDCEKLFRGHKVLTRSGRRFGSDPKYVRVSMVSKEEDFQLFITRLSSIN >KZM89274 pep chromosome:ASM162521v1:7:35571945:35572421:1 gene:DCAR_026349 transcript:KZM89274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMEASGAVTAKKFWSMLRALYFMIRKGKSKNLKCLLDLSMMIKRHKDSPVNRHHLSNVHPREYVFSCSNTPLFRVNRPHSSSSTGGYRFFLCAKMLRANEEEHDVGSVNIAGMKALEMLRSPVEHDQDERCVDEAAEEFIIKFYQDLRRQNVITKN >KZM88262 pep chromosome:ASM162521v1:7:26263611:26266696:-1 gene:DCAR_025337 transcript:KZM88262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVVSFAVERLGDLLISESKLLYGVTNQVNEVRDDLKRMQNFLKEADKKQIQDERVRGWVNEIKELAFRTEDVIELFALQAGGSGFKEALRRSACIACHLISRHNVAMEINGIKAKLADIRQSLPTFGITGLEQGETSVPQRIFYSHDVEKDFVGMEKEIDQLVTDLMKKDEKHEVVSLWGMGGQGKTTLAQKLYNHVKIRDHFEAFAWVCISQQFDREQVLKGILRQLLPDDRKGRVSDMEDTELVDGLRKVQLEKKCLVVIDDIWNVDSWRMLQPAFPLGEKTGGCKILLTTRHLTVAEIGSVCKILGLTEDEGWQLLSRKTRIYDPPELPVASELERIGRDMVKRCKGLPLAISTLGGILRGKQLLREWEKINNDISFYLVKGEGVGEDDEYYTVRQVLGLSYDSLPSRLRHCFLCFANYKEDEIIQTADLYMFWMAEGLISVEDRAQGEMMLDVAERYLDELAHRSLVTVKTPDVANESWSKYKECVVHDLIQDMCWSKVKEQGVMNVIDLERKLDIGSKAGIVRRLCVRSYNANRDVLEPYDRQMLAQIRSFLFWNDRSYDPPVWPNNIFTLEKFKLLRVFTARYCNLSNENVRSLSELVYLKYLSLQDCKLDILPASIGKLRNLETLDVRTRGAGCLSIPNVVWKLKLLNHLYLPRWMSVGGRAKKLRLEGLNELQLLYGFDSKYCDAHDLLRLPNLKAFQGDITVEENLTTQTIIDFANFRELRHIEILITVRGTQVDLMLLLECCFIDSLSIYASACVFPKACDCTRFSKRLTQLCLNNFIFEENPMVLLGNLPNLRVLDLYSVESTYLDGEMVCSAMSFPKLQVLSLQKYKGLRKWRLEQGAMPNLSHLYINECSELEMLPEELKHLTFLKQLYISWMQREFTDKVKVIDGVEGQDFYKIRHIPMVGIYRDV >KZM86275 pep chromosome:ASM162521v1:7:1175766:1178295:-1 gene:DCAR_023409 transcript:KZM86275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFAWKEKGNEQKKRMQVGKYQLGRTLGEGNFGKVKFAMSLDSGQSFAVKILEKTRIVDCRITDQIKREICTLKLLRHPNVVRLHEVIASKSKVYMVLEYVDGGELYEQILENVLVDAEGNIKISDFGLGALPQHIRIFKGDVHIPKRLSAGARNLIRRILDPEPRTRITMSEIKDDQWFKRNYTPANHDEDEEDTCMAVEVSTIHKSPSAEKDLASHALINAFQLIGMSSGLDLSGLFEKEDVSERKIRFTSNHSPKELIERIEDIVTQMGFKVKKSSGKLKMVRLHKDHKSPVSLSVEAEVFDISPSLYIVEVRKTCGDAVMYRKLCEKLECDLGVIRS >KZM86701 pep chromosome:ASM162521v1:7:5922349:5923999:-1 gene:DCAR_023835 transcript:KZM86701 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MLLPFQNLLAKLNAGIHPVTSILSDGFMPFAADAAQSLGVPVVFLWTVSAGAFMGFCQSRNLLEKDDSCLTNGYLDTIIDWIPGMPNICLRDLPGYVRTTDPDDLILNYIIKATDRARNVTGNVFHTFDDLEPELVNAISTMFPNVCTIGPQQLLLDQEPFEKVEQLKAIGYSLWEEEQTCLQWLDSKEANSVIYVNFGSITVMSPEQLAEFGWGLSNSNHHFLWIIRPDMIVGDSKTTLGLEFMETIKGRGFIAKWCPQDEVIRHPSVGGYLTHGGWNSILESLSAGVPMLCWPHFGDQISNCRYVCDEWECGMEIRNDANRDDVEKLVRLLMDGIEGNKMKSKAMEWKKLAEKACGPDGSSSANLDKLVLLLTN >KZM88294 pep chromosome:ASM162521v1:7:26558523:26559468:-1 gene:DCAR_025369 transcript:KZM88294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTGIERCEEVRAEDDMSDDGSQSVGERKRRLNSEQVKALEKSFELGNKLEPERKMQLARSLGLQPRQIAIWFQNRRARWKTKQLERDYDILKRQFDSLKADNDSLRSRNKQLQGELIALKARESGNEDRNINLNKETHEGSWSNASENEHSSDHVNTTAGLTQIFLQSSSSAPDLLQAHQGLNQTVPDQAFVSMFNGIDEHPAGFWPWPEQQNFH >KZM87170 pep chromosome:ASM162521v1:7:11987210:12000359:1 gene:DCAR_024304 transcript:KZM87170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQSHHHLLHCSSSFHSPKLHTFRVPTLLKNTSSICKNIGDLSNSGHGFHSFCCFYRRSSEIETLSEDEEIERPPFDINLAVILAGFAFEAYISPPENAAKREVDAAGCQTLFLSESFLREIYDGQLIIKLKKGSNFPALDPWGTSDPYVVIQLESQVVKSNVKWGTREPTWNEELIVNIKLPPNNRNLQVAAWDANLVAPHKRMGNAEISLECFCDGNAHEVMVDLEGMGGGGKVQLEEKEEATVYMIEVSSDIEPIWHWFLISENNFSAPQVKASRGYTTTAFLQVKYRSFDDVIEDENWWSIPLVTEFLRKGGFDSALRNAVGSDSVQARQFVQNVFGQLKSLNLPDNLDKLRTESNETSENSIIDHNMPPQLESRSELPEDGTSYKEDNSIPKPHPDSVDIGNEQPASIVSLDNEASLQSDRDFWMNLSNTVNENVVQKLGLTIPENMKWEKFELLDIVNENIIQKLGLPIPENMKWDRFELLDLIGLQSQKIAETAYVESGLATAQGQDASESNAITGHLTMKDVQSSLLDIKKMTQDVLSQTDSILGGLMVLNATAAEIDSEAQLSKEANTENDESTKVDNSEHSKEENVSGPLDAPILDEERAAEMKELFSTAESAMEAWALLATSLGHSSFVKSEFEKICFLDNEGTDTQVAIWRDSSRKRLVIAFRGTEQVRWKDLRTDLMLVPTGLNPERIGGDFKKEVQVHSGFLSAYDSVRTRILSLIKLVTGDIDDSGEQLSKWHVYVTGHSLGGALATLLALELSSSQLAKRGNISVTMYNFGSPRVGNRNFADLYNQENLEPVEDGYEADVLGESTPDALVNEFIKGEKELLDKILSTEINIFRSIRDGTAVMQHMEDFYYITLLEVKRFNHYCDYMPVQ >KZM89133 pep chromosome:ASM162521v1:7:34195014:34198339:1 gene:DCAR_026208 transcript:KZM89133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKVIKTHVSLFLILLFQASIPVFSISEPSNQAQEEDAASRVHRHNEENADEVHCSRKRSRAAWKITEEYLMPFVEREKYQLSQHCRLHPDNDIFRDQEQNKIHVDINEWRCGYCRKSFRSEKYLDQHLGNRHSNLLNTSHSKCLADLCGALHCDLVMDTKSRKSKCNPAAAARNKHLCEGLADKCFPVNHGPSAHRLHEIFLRQFCDAHTCSGGHKPFSRGGKKHTSIFYLAISILTLMLVPLFYVIVYLYQREMRKDSQAFKRISRRGQKPKPS >KZM88708 pep chromosome:ASM162521v1:7:30555616:30556454:-1 gene:DCAR_025783 transcript:KZM88708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFSGFSDKEYMKMAMLKHEEMFREQVYELHRLYRVQKLLMNNISRNKENEMKSQERWIKRDENISIYNNNSHYPHQPPGQRLDLEQPAEEHSTKFDCNGGLDIEDECDIELTLGPSSYNPTRKAKTPLTSDSGLSFSSSSSGSSSVRRRDNSDGIRRITDKITKKELTGHNWGLVEVPSSSPSFVNGRKMGTNDSEQLRQDRLQQPPWLFQVLSMNIT >KZM86898 pep chromosome:ASM162521v1:7:8160738:8161623:-1 gene:DCAR_024032 transcript:KZM86898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEPHTFVTQGYDVGLLHLFCMAGNSATEPYIVGHNDLLAHATAIIFTEKKYKADITSSCSCNCHRLYICRIIKRSAAKRLLHISEVAMEKV >KZM86996 pep chromosome:ASM162521v1:7:9567143:9567565:1 gene:DCAR_024130 transcript:KZM86996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDYYSLRYTDPKEYFAGVRREWAFRLEESDQLRNDLIGLSAKLPVCDSLAVYPALNFNGSWVDYRCLVIEAVGLIREENNRMLLRRCRFYMLKLAKDSTTASGREMTFEEECQLLQNPHYLSDDPMSDEEPTDSDDSD >KZM87753 pep chromosome:ASM162521v1:7:20101144:20102745:-1 gene:DCAR_024854 transcript:KZM87753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKKVTGGSSSSSFVDLFGPKDSSMHSSSSTGLFSSVFGPSSTGIGKDFSRSGFSRTPRNQNSERASEYGSAKYDTQENHTTPKGCGKSGGIHSKDRSTNYDNETVQPCYFTSSIYYGGQEVYSTNIQSKNTYNAFKKEEGDDDSNGNNMNSASRGNWWQGMLTF >KZM86357 pep chromosome:ASM162521v1:7:1981837:1982205:-1 gene:DCAR_023491 transcript:KZM86357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWISRGMLSSRRRCPWHKRIGHRQTSIFIIHKESHHHITCLIGFPIGLAHPVQDNFWIRIWRLPKFPIEQVDPWTCGFMDGGRLLLGTCPYKFCPKPVSDIKNSRRLPCEELPLAIDVRE >KZM86202 pep chromosome:ASM162521v1:7:569260:573064:-1 gene:DCAR_023336 transcript:KZM86202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADMKITEKDIDDLPKNPANYTALTPLGFLERAALVHPHRPSLIHGSLRYTWHDTYARCRRLASALLSRSVGAGSTVAVIAPNVPALYEAHFGVPMAGAVINAINIRLNASTISFLLGHSSSAVIMVDQEFFMLADEALQILAKESKSNYNPPIMIVIADESCDPKVLQYALGRGAIEYEKFLESGDPEYPWKPPQDEWHSIALGYTSGTTASPKGVVLHHRGAYLMALSNAVIWGMNEGAIYLWTLPMFHCNGWCFTWALAALCGTSICLRQVTAKAVYSAIANHGVTHFCAAPVVLNTIVNAPQNETILPLPHIVNVMTAGASPPASVLMAMTRHGFRVTHTYGLSETYGPSTVCAWKPEWDTLPPENQARLNARQGVRYVALEGLEVVNTQDMKPVPADGTTIGEIVFRGNIVMKGYLRNPKANAEAFANGWYHSGDLAVKHPDGYIEIKDRSKDIIISGGENISSVEVENFLYQHPAILEAAVVARSDEQWGESPCAFVNLKENIEKSDEQRMAEDIMKFCRATLPRYWVPKSVVFGPLPKTATGKVQKHLLRDKAKAMGPLMKSKL >KZM86554 pep chromosome:ASM162521v1:7:4141398:4146180:-1 gene:DCAR_023688 transcript:KZM86554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSWLVDGHRIATKIKSASGAYDLERVSWKSNPTKACPNCNHIVDNSDVTEQWPGLPRGVKFDPTDQEILGHLLAKAGCENLKPHPFIDEFITTVDKDDGICYTHPQNLPGVKQDGSVSHFFHRAIKAYNTGTRKRRKIHGDDLGDVRWHKTGRTKPVILDGVQKGCKKIMVLYVNVLKGGKAEKTNWVMHQYHLGTGEDEMEGDYVISKVFYQQQQVKQSEKGEAPLSDGIDDALVTDIHQISPKSVTPETSRPERCFPDYDEEKDLAPTSVVIPAKHIELECHEDVVITVSEKHNDQDHFVAESNDHQMGVDDEKKDGDTMNGCDNGSQYLLDSEELAEAMTLRDELLQSQTSNKNVNIKEEINGKSKSSHTDDENHAGEDMIGWGDSESQYLLDSQQMVEAMTLCDELLQSQSPDRNGSGIRKFDGKPSLSDYAYLGSETLKRDLEDCQALALDPANIDVDSAPDFRLSQLVSYAISFRFCFLLARLICCLYFQKLNIYCIKCLQEFGSQDSFVL >KZM86992 pep chromosome:ASM162521v1:7:9531550:9533176:1 gene:DCAR_024126 transcript:KZM86992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGGSCGGGQSSLGYLFGSEEAPKPSGNKTLATPDEVQTVVQSPKPNSPPEPVDITKQIPAGINSTALNSTAANNYFRADGQNTGNFLTERPSTKVHAAPGGGSSLDYLFGGDSK >KZM86716 pep chromosome:ASM162521v1:7:6157748:6160918:1 gene:DCAR_023850 transcript:KZM86716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFLYHVVGDLTVGKPELVEFSDTETVEAAIKAIGESTEGGIAVWKQKKRSVIENADLRQNRFVGILNSLDIVAFLAREESLVDQDRALKTPVSQVVVPNNSLLKQLDPATRLIDALEMMKQGVRRILVPKSIGWRGMSKRFSILYNGKWLKNTDNSGSNSINSLANARPSTSTTIIRDKFCCLSREDVICFLIGCLGALAPLPLSSIDSVGAINLNYCSIEASVQAIEAIRKVPHDPSAVAVVESTSDGQYTIIGDISATKLWKCDYLAAAWALANLSAGQFVMGVEDNASRLLPDFSLDQIAKDTNIGNNGGPKKQRKFSSRSIGFFSNSSPSFGVGRNMYRGRSAPLTCKVTSSLAAVMAQMLSHRTTHVWVTEAENEDILVGVVSYGDILAAVTKQPPAALPETQLS >KZM88346 pep chromosome:ASM162521v1:7:27029912:27035614:1 gene:DCAR_025421 transcript:KZM88346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGKNSDTVTPVKSSRSKLTDMPKKSENSNPNFATPNAKKTPNCAGNKSVKKSPKSVRKSPHFVNTKIRERRFVVAKKISKKSNSSSVSCKCLISGKVKKCACVAYESLRASQEGFFRDLKSVRDSNEDENQIREEVEVEEAINSIDREAINVDESEVSGEIGSATLKRRRDKLLEEARRCVPESGRVMHLVKAFEKLLSIQKSKNLGDKDDKEGEDGKKGVKWALPGLQSDKVPETQVSASSLCPSDLLLTSESLGLDSLVGSSLDGCQGSLSVSNRTSGGGRRTRRNSLDLSGRLGGRNWRRQQRKVTSQKPFLLRTEERGRCKEEELMKKVQEQKIEEEKQRIPVAQGLPWTTDEPERLAKPPVKESTKPVDLVLHSDVRAVERAEFDHQVAEKMSLIEQYKIEMERQQKLEEEEEIKRLRKELVPRAQPMPYFDRPFIPRRSEKQPTIPKEPRFHLPQHKKIRPCTSWNAMYTHQGVAAKSSSNSAIKTDTKICPDMLARESAISTLINEVSLLVKMVDSREIVELQLKQLGCELVIRKKEAIPRPQHVQASLPPQYVFPAETPLARPSAPAPLPQPTTAPAPAPALAALPPSHPPLKCPMAGTFYRSPGPVIYGLQVGDKVQKGQVICIIEAMKLMNEIEADVSGTVEQILVDDGKPVSVDLPLFVIVP >KZM88457 pep chromosome:ASM162521v1:7:28076712:28077068:-1 gene:DCAR_025532 transcript:KZM88457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRSSSFASGRACLCSPTTHPGSFRCSRHRTWRFSSSARSSSSLSCTAKNNRSVECASSVSNSSSSKMNQLLKAFLLQIIRPSRHNLQRRGIFRPKPTRFCNTSACTQTKGLSVS >KZM88150 pep chromosome:ASM162521v1:7:24976709:24977605:-1 gene:DCAR_025225 transcript:KZM88150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLAISLAFIYSLVLFFATNADAGGISIYWGQNGQEGTLAETCATGNYKYVNLAFLTTFGNGQKPQMNLAGHCDPYSNGCTKLSADIKSCQARGIKVILSIGGASGSYSLASAADARDVATYIWNNFLGGHSASRPLGNAVLDGVDFDIEGGTSEHWDDLARYLSAYSKRGKKVYLTAAPQCPYPDAWVGGALKTGLFDYVWVQFYNNPPCQYSSGADTNLEDAWKQWTNDIPATKIFLGLPAAPDAAGSGFIPVNDLTSQVLPAIKGSSKYGGVMLWSKFYDDQSGYSASIKNHV >KZM86683 pep chromosome:ASM162521v1:7:5684430:5684648:-1 gene:DCAR_023817 transcript:KZM86683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHPIQSELWKSVSASFTWWRWTFKSITLPSYAPGQRQKFDNFCEGPCSKNPRFNRLIPCRSATDSIFKSD >KZM88695 pep chromosome:ASM162521v1:7:30451193:30458079:1 gene:DCAR_025770 transcript:KZM88695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWPRSFAATLAVLISVAIFPPPDLALSTTKFILRNNTTNRHTMFIPLSLSPPNSSLSSSASTAVKSRRHLQKSESPHPNARMPLHDDLLRNGYYTTRLLIGSPPQKFALIVDTGSTVTYVPCSTCEQCGKHQDPTFQPDLSSTYKPVKCNIDCQCDTDKGQCIYERQYAEMSSSSGVLGNDVISFGDQSELKPQRANFGCENLETGDLYSQHADGIMGLGRGDLSIVDQLVDKGVISDSFSLCYGGMDVGGGAMVLGGISSPADMVFTHSNPVRSPYYNIELRELHVAGKRLSLSPAVFDGKHGSVLDSGTTYAYLPEAAFLAFKEAIVNELHSLKQIRGPDPNYNDICFSGAGSDVAELSKAFPTVDMVFENKQKFRLAPENYLFRHSKVRGAYCLGVFQNGKDPTTLLGGIVVRNTFVTYDREHEKIGFWKTNCSELWERLNVTGAPPPEPSAGKRPNSTTIVPPAMAPTVPPHDVFPGEQKVGRITFYMSLNVTYLNLKPHIKELTDIMNKELDSNVSQVQVISFVSEGNNSLIRWAIFPARSASYFSNATAMVIISKLSEHRLNLPQNFGSYRFSRWSTDPPTKWMWLQQHNIAVVVAIIVTLALSLVAFATWFIWRRRLQTANVYKPVGASVPEQELQPL >KZM86435 pep chromosome:ASM162521v1:7:2785805:2786197:-1 gene:DCAR_023569 transcript:KZM86435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGEPLRIPSPNSKNMLELDLSPEEVNDLSMNIDSMFPMVGTFDEEFIGWENQGSLNLDLPLEDDMDRLLLSDPFLQDVNGVGFDIGEGLNTGTDEESGNSIPPLKESEHLKLTIEGEEYQNKSMEIS >KZM86305 pep chromosome:ASM162521v1:7:1502365:1503588:-1 gene:DCAR_023439 transcript:KZM86305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEVSILNVMQEAPKLETQSSRIVFSGKIGRRNIIHCATKVSATRGEHEDSRPLVKMCGITSARDAALAAEAGADYIGMILWPNSKRSVSVTVAKDISKVAREYGAKPVGVFVDDNADTISRVSNAAELEFVQLHGDASRAAFPVLVKENRIIYVLNANEDGNLLNYITEDDCSLVDWILVDSSKGGSGKGFNWSQFKLPSINSKHGWLLAGGIYPHNVCEALETLKPDGIDVSSGICGSDGIQKDKSRILSFINAVESVTYNS >KZM86278 pep chromosome:ASM162521v1:7:1207461:1210820:-1 gene:DCAR_023412 transcript:KZM86278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLRWAMDGGFWDVDMSTPVTIDGLARPVPGHPTIPLGLSRGSRLSRPKQVDFFQKFMYMPFVPSFSGAVGGGSGGGLFLQRVFAFPFGENWFATLLGQFNVKKFVTSVKDDLLNQSDEFSLLDTMRNRLEDKSLYTLDMCSEILLSPDDTLQFANHNLTLEAASPGLFVDHRGTYWDVPLTMALDLASVASDAGPSYHLCINQNVGDPKIFEGQPNSDVAANILPGLHAKSALSYKTNVDLWRSKAPKIKLVQPYDAFLSNPHISVSGILGAVVSASLGDNLAEAEVKNVPLDFKQFNLHARGTNSSISADAFASVSLSAQHGNFQRLFLDLTRFYARMDFPSASKFISGASHLANNLYSSQTPNMDAIQAICPSATLSFQQQIAGPISFRVDSGVSIDLKNREWPVSVKDPVFAAEYALQVLGSAKATAWYSPKQKEFMIELRFFEK >KZM88939 pep chromosome:ASM162521v1:7:32452672:32454063:1 gene:DCAR_026014 transcript:KZM88939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDHEANYLCKLCSKSFPCGRSLGGHMRSHVIYPTDQEKVPKVKRVPAGDKGGTNTLVESDGAAYGLRENPKKTYRFKDSSEDTPLHVNKICKECGKDFESWKALFGHVKCHSDKITRTGVVEQDSWTSADQSENGKAKPRKKRSVRGTKRHFKMESSGTTATSSSISYNANASSSVSENEQEQEEVALCLIMLSKDVTSWSGLKSIGESSVKTNKSEIKAGGLIMLNDKLKSKVVEELEFQVSEVGMERTLINASKSDEKTDLGIKKITSSKRKFLDITETEYKVKSTEMVKKELDKRKKYECPSCNRAFNTFQALGGHRASHKKMNGCFGMKNDHENGPDNSSPMAHEVTAEASSGTKKLSKEGSHECLICFKVFSSGQALGGHKRSHLIAEAKQNQNQNQNQNQNTTSSSIVIKPVPEIRDFLDLNLPAPDEDDTSTSELGFRQWWLGSNHHETLLGLL >KZM87134 pep chromosome:ASM162521v1:7:11368023:11368343:-1 gene:DCAR_024268 transcript:KZM87134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEELEACPPTDDISTNLRVGGHPSEFNVENIGAYPLPAPGPINVPPSVAELEACPPTDDVSTNLRSGGPPSEFNVKREGAYPLPGPIIPTSVEELEACPPSDN >KZM86615 pep chromosome:ASM162521v1:7:4923045:4924206:1 gene:DCAR_023749 transcript:KZM86615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPYQMISNLRPQTTTAWRLKVRVTRIWQAIHRQGDTVGINLIFVDELGGRIHAWIAAANMNQLQNLITEGETYNVHNFVVRQYGSMQTYRCFQNNVFIQLYHMIELQVAEGVDYIQRHVFHFTDLSAIMDAARESNFLIVIFYDEMAQSFDQEVHNADQHPVIVIIANVKATLIQGEEKLTNYPPTRFFINLNHEAVEDLRDAFRLTNWRLH >KZM86464 pep chromosome:ASM162521v1:7:3060011:3062149:-1 gene:DCAR_023598 transcript:KZM86464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVFEYFSNSGYTFKAYYFEEDVSCHTCNESLEGSVGYRFTDTRLNDLKRFGLHKTCSKLPISVYIHEHSLCLKEDIIFSEDAPCRICNKPIAGSPAFTCTNPDENVNCQNFYLHKICAELPLQINHHKHNIHPLALFPQPDGHICSVCTRPVKICYACYDCEFNVCVFCAFEQRVLHHEGHKEHTLTLMKKESLFHCDACNEEAKDSSYVCTTCEFWIHKRCAFSPYIIPNPSYHHHPLTLIYSIPDIHRYFKQFCGICGEFVCKSYWVYYCHKCTYFVHMKCSTSTVSMRNKDEADDIDNEPDLLQFPLPSQESMFDLIVTQCAKSQIDLTDEGENSVTMSTAPNDPHIIEKHWSHEIHPLQQLLFTINENDEDDNDDRGVLICNGCIQPITVSYPSYYACIQCCFFLHSFCATKLPQKLPVGASHFHPTHSLLLQKPHKFYYVVPCESCYLVTNGFYYHCETCDINIDIRCAFLPTRIKHKSHKHHSLVQRFSSNSRCSITGSTIRNDMVYACETCSSFQIKKYCIFYPSSAKHKYETHPLTLRYPPFFYEGVFYCEICEERVNNQELLYHCSESEHSFHGYCLSVINNMKLGGSIKVFIADKPHTLALVIKRRTRNKSSYACSQCLQDFFLPDCFLECDGCGILACADCACKLLGEQQANSSRAALY >KZM87781 pep chromosome:ASM162521v1:7:20376211:20382079:1 gene:DCAR_024882 transcript:KZM87781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAFKNQISICLFLHLLLLHVFPLVSQDASSDRITQGQLLLDGQVIISAAENFALGFFSSGNSTNRYLGIWYNKIPVQTVIWVANRDKPISGTAGILTIGDDGNLMVFDGSASIWSTNISSATANSTAVITDSGNLILLRSENVGDQSKALWESFRHPTDTYLPDMRIYTNVVTGIGTSFTSWRSDDDPTSGNYSISLDPRASPQLIVWDGHNRHWRSGHWNGLLFTGLPRMTALILYGFKLTNEGNGNIYFTYAMKSSSIITRFKLGWDGTIDQFVWDEGLRQWNVSLSEPSNDSEIYNYCGKFGVSKAMGSPICSCLEGFEAKYASQWEKGKFSDGCIRKTPLQCNVNGTSDVFREVRGLKWPDFADTAAADNINKCRDLCVNNCTCHAYTFASGIGCMIWSGDLVDLEHFGEGGNSLYVRLAKSELGSRKKISTVLWIVIAAAITVFVGMIILILWRFRGKLLGITTSDRKNNKAIHDMSSTVRGLSAVFSEADDIAFDGEHGNGTLPGGAEVAVKRLSKWSGQGLGEFKTELTLIAKLQHRNLAWSLWKDNRAAELIDPSIAGSCSEDEALRCINIAILCVQSGAADRPTMSSVVTMLESTNLNMTMPGEVDVCLKSSKSLDFIMECHENSSSIEVPITEVTGRDGIPFWRGGPFNGIRWSGVPEMNTSNSLFSVKYLDNSEEVSLEYSMRNASIMSRLVVIESTQRIERKTWHQDVQNWDDFYHAPKDNCDSFSHCGEFGDCNSSSAGDYECKCLPGYEPKSPQSWKAGASAPTTPPAKNSKKSKRFHGNIKVLVIVPLCVAILVLLTVTYWLKMKKTVQRKQNLSLISSNIHKHAIPVLDSPTGEEDNEIGTSTTDVRIFSLSSIVAATEDFSLLHKVGEGGFGSVYKVLYSLINALV >KZM88944 pep chromosome:ASM162521v1:7:32504746:32506043:1 gene:DCAR_026019 transcript:KZM88944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYDRVKNSGGQAGREVANARGTKLPLQQTESFKRDKRTQNWFQRQFSNQMSPGYDSEDGDHATAIAAATFAIYSNDESRGGYNQKINRQGPNSPSPRVNTRKEDPIRFPEPGRVSRRPSSNNTRGRQDSSIRRPGGRESSRPATPATENEILIRSSTQGRNGNTRAEAWEKAENAKIQKRYEKMSSHILAWENEKKQKAKLQMERRKGELERRRARNSQHYQFKLAKIDNIAEGARAQLEEKRKNDKIKVQERAKKMKSSGKVHTSCLCF >KZM88426 pep chromosome:ASM162521v1:7:27805096:27806613:1 gene:DCAR_025501 transcript:KZM88426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVLPAGWARVRASSPPHTGGLRRITTTATLSSRPPRGAINVETIKETSSFFQQDSRPIMLFDGVCNLCNGGVKFVRDNDRQRKIRFEALQSEAGKKLLQRSGRSPDDISSVVLVEENRQVAYIKSEAVLKIMEYIDLPFPQLAFFLQFVPLFVRDFVYENIADNRYAFFGRSDSCEI >KZM87318 pep chromosome:ASM162521v1:7:14254907:14257822:-1 gene:DCAR_024452 transcript:KZM87318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTEDNYEILAADSGSFTSSPKNNTTMRVGDENWEHDVIKNCLVTGLGKLGEHVRVVAVRRNSFSGLSGEGRLRSFRVFSEAVSRKNRGNPNVKFAWYGGSKKEIDEVLAHGFASPGNGGLHGRGVYLSPANFPLDSVLSSDADDSGLRHIILCRVILGKSEQIRAGSEQFQPSSEEFDSGMDNLDEPNKYIIWSCYMNSHILPSFVISFKASLTGSPRIQRPCLIPKSPYMSFPRLMSDLGTYLVPSEMDLITRYHTAFLAKKITRNQLIRTVRQIAGDNLLKAVIKSSRNKTLDNRKHSRNSGAAGKNASSTGRAAG >KZM86834 pep chromosome:ASM162521v1:7:7479445:7480327:1 gene:DCAR_023968 transcript:KZM86834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVAAQSSIKSPDSNRVLVSRNGRTPLKPKNQQQKKEENKQQPNWWINNDIDDSNKENLPPPGSAGARKVEVQVETSIDASLAEELGAIREKLERMRLDQENTEKLLNDRLMAMDMQMNQLVKRGQVQNLLEIEVDRLYRLNQIITASSEVSPIRSLREKEHEKKIKEDKTKGNVKADDKDSCGELNSGCSSPLPRTPLQPSDASKIKQVKNLSSDFELESS >KZM87013 pep chromosome:ASM162521v1:7:10004387:10007722:1 gene:DCAR_024147 transcript:KZM87013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTFQNKAWKHGHDKGFIPVDEARIRVLKGFMLLLIPLVVMMMVVSVIRREEGRGRAARIGDRGSHSGGGFHWGRCSSSTVPCSSGSGRGRGRGRGSGGGTNNDGFNELSSYFERADKSISNGDYLNAQLHGEPKKDVVTFSGKYRPGTSRRDVKPPYVDPPIWDSLCDWWDYPKFKAMSAQNKIYQSTNDVIHTTGAKPYIKFRQELEKEKNRAITHVEFFEITHGSEFWMLTAKRMKDVLVKLWDEYRDSQIQLGGDESETCTSSPPIIPPLSPTKRRSLEADIASQASNELGTTAYKKNRVYMEGREKLIYIYIYIYIYIYDSDIASTSPVSDIQSSHLSDDLLQQAIGTAALIAHAKANVDSVLSSELDYDVQHLAAEAIPTDHSHFAKYVKFVSMAVEKILSTNGKTIIQDDTTSDPNDGDTFDHDDIPHFSEDE >KZM88502 pep chromosome:ASM162521v1:7:28397644:28399905:-1 gene:DCAR_025577 transcript:KZM88502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEKPITYINEVILKKRRTNEDWAIRRKQQLEERVKRSKHDNFVIKKPDQFVREYRDKELDLIQMKQRGSRLNQRKRAFEVPESKLLFVIRIQGKNDMHPKTRQLLYSLGLRQIFSAVFLKANDRTMKILHKVEPYITYGYPNFKSVNELIYKKGLAKIKKERVPLTDNNVIEQAMGKYGIICIEDIINEIANVGKHFKEVTRFLCPFKLNKPEKALQGKKKRYSDGGDTGNRDTQINELISKMN >KZM88023 pep chromosome:ASM162521v1:7:23386927:23391731:1 gene:DCAR_031514 transcript:KZM88023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAQFLSVHPSELKFPFELRKQSSCTVQLTNKTNDYVAFKVKTTNPKKYCVRPNSGIISPGSSSSFIVTMQAWEEAPPSMQCKDKFLLQSVIAPNGATKKDTSPELFSKADGKVVEEFRLRVVYILANPPSPVPEGSEEDSSPMANHVEGGNQNAASFDGLTGSLEEPKEKPASAEVWSMVSRLTDEKASAIEQNQELRQELEMLRKETGKSNIGGSYILLVVLIGLLGCSRCLHAESSDSEHSYRFSDSETCESDEDVEEEINNGVDVDSGARCFI >KZM88998 pep chromosome:ASM162521v1:7:32945823:32947537:-1 gene:DCAR_026073 transcript:KZM88998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEADHLREWEGYVDWKNRPALRGKHGGVLAASFVLAVEILENLAYLANASNLVLYLLRFMHFSPSSSANIVTNFMGTAFLLALLGGFLSDAYFTTYCIYLISAIIEFMELEGAKSVMLFAGLYLVALGVGGIKGSLLTHGAEQFDDTIPEGRKKRSTFFNYYVFCLACGALIAVTFVVWIEDNWGWQWGFGISTATILISILIFLIGSTTYRNRVPRGSPMTSILKVQLLSKI >KZM88176 pep chromosome:ASM162521v1:7:25200462:25213543:1 gene:DCAR_025251 transcript:KZM88176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNKNGRGKTKGDKKKKEEKVLPVVIDITVNLPDELQVVLKGISTDRIIDIRRLLSVNTLTCNITNFSLSHEIRGPRLKDTVDVAALKPCILTLTEEDYDERSATAHVRRLLDIVACTTSFGPSVKKEDPGETTPAAQDSKVSKKSQRKRTSPPPKKDSSVPPPPPPASKDVPVDGDGELGNSSPKLGSFYEFFSLSHLTPPLQFIRKVERQQNDDIFVDHLFSLEVKVCNGKLINVEVCRKGFYIVGKHRILCHNLVDLLRQLNRAFDKAYDDLIKAFSERNKFGNLPYGFRANTWLIPPVASHLPSVFPPLPMEDETWGGDGGGFRRDDKSDLLPWANEFLFVASMPCKTAEERQIRDRKAFILHSLFVDVAIFRGISSVQHIMEKTSLNGSDVKDETYTERVGDLSITVMRDALNASCKLDTKIDGTHTTGVEQNKLDERNLLKGITADENTAAHDISTLGVVNVRYCGYIAVVKVVVSANTKLGPPSENPELHDQPDGGACALNINSLRKLLHKKSVPEDNKTLLDLQNLNHVELSSDREFVKDLLKESLSKLDEEEQKGHTFTRWELGACWIQHLQDQKKSDKEKKLSSEKTKNEVKVEGLGTSLRSLKYKKNIVGSKDNLQPDNLSMTASDVNGEVDNVVLPSPASQQEVNANENEVELRRLITDDAFTRLKESETGLHCKSLQELINLSRKYYDEVALPKLVKLSEKLSHVQSLCIHEMIVRAFKHVLQAVIATTVNTHDMAGVISAALNLMLGVSEGDQSGQLCGVDPLIWRWLEVGIELVPRDYDMDSPQPFRKIDIVSLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRRPDASIASKGHLSVSDLLDYISPSNDGKGKDAALLKRKSYITKLKEKSYENFGLASSEGSPKEVLQEPLDENEQIPEPKDDTNVKVEFTDPPVELKQPLEEKAPEEEPIQLQPFLEENATKKDVIAHDVPLESHGEGEDGWQPVQRPRSGRSYGRRLRQRRAAMNKVYTYQKKDVLSEQDYSRTRGANQNSKYQMLKKQLLSPGTYVEYHSAKSPYQGTRYGRRIVKAVAYRVKSVSSAKDSTTEKSKIGREEDARPVSAEKEVVPVSMKSSIVSLGKSPSYKEVALAPPGTISMLQIRVSQDDFPDKNELIVGDEETSKAEDKACDIVDSTDHSKVETITVAEPEETCSTVRNEHEQPEVVPSTVEMAHSSTTENNQVEKESTEQDPSSSVEAPLPLNVVLPPGPGAVPSVAPWPMNMPLHPGPTATPLCPTPHHPYPSPPPTPNMIHSLRFVYPSPYTHSQPLPTSSFPVTSSPFHPNHFAWQRSTSPNTPEYIQGPVWPGCQPVEFSVPAPVVEPIAESFLPPKEESNNVNSSSGLNLSVNVDVTNHTKSVETFQALDVGENLNAGVEPKTMQEDLKPDLQLHGVQCAENSIDDSNSPNKNAGSGGDNHTSSNPRRFDNEKTFNILIRGRKNRKQTLRMPISLLSRPHNSKSFKVIYSRVIRESEAPKSTSFSSDDISTPGTM >KZM88938 pep chromosome:ASM162521v1:7:32448147:32449310:1 gene:DCAR_026013 transcript:KZM88938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNDIPEGLLLKIFTAQPVKSLLRLTSVCKLWYQLINSDFFVKSHLAYQKSSLFTNKTLFIRHRGSYSTNLVDGEPSNNVFESHGYDMLPVHSEFNPNVRLRCYGICDGLVCLSHGVGKLRACCPVYLWNPGVRRVLVLPPMVNDPDQRVFNYSYLCFGQHEGDYKVISVVPMGGVYKVYVYSLNQDSWDLLEFYDESKQTSNFDIWPHVWARFVNGAAYFVSGFSRPNRGIVCFDLSRKVIRRMNLPHNDFCVYNFLMEEYQGSIALIENDGDDHQVDVWELRANGNDNSYLWNKKLSMTPETMDTAMGMGTHLGAFGFVNKDKVVLWMTGGTYSGYKYFLLNLENKSVQPFTIPERYFGQLDRHVNVLYDLTESLVLLAKNTT >KZM89231 pep chromosome:ASM162521v1:7:35185005:35186621:1 gene:DCAR_026306 transcript:KZM89231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSHSICFLLLLTLSCASSSALAQDENPQNFVNCINLHSIDISELVYTQQHSNFTQTLLSSINNLRFPKPDTPRPLAIVTPVSESQIQSVIYCSKEQNLEMRIRSGGHSFEGLSYVSALPFVVLDLVKFKNFSFDAATETAWIGSGLTIGELYYNIGKQSNVLGFPAGLWANVGIGGHFSGGGYGMMKRKYGLAADNVEDACLIDANGRILDRKAMGEDWFWAIRGGGGGSFGVVISWKVKLVPVPEIVTVFRVSRTLEQNLTTIFHKFQSVAPKLPKELDIRADIQSILSNASLRADNRTITIGFDSLYLGRANSMFSAVEEYFPELGLVREDCVEVNWLQAMMHFTNLEFSTPPEILLNSTVLPRPAFKSRNDVVQVPIPVQGLEGLWEKMYQIAPQQATLQFTSYGGRMDEIAESALPFPFRAGSLYELNMFVQTETDEAERVEWIRGLGTYLTPYVSQNPRSAYVNYVDLWLGTNNLNGTTSYEQASKWGKRYFRNNFDRLVKIKSVVDPCNFFRHEQSIPVISAGKISLCDP >KZM86535 pep chromosome:ASM162521v1:7:3922892:3923764:-1 gene:DCAR_023669 transcript:KZM86535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNRPLNSQTVADFLQKFNLKKTGVQKALDTLADAGKISFKEYGKQKIYLARQDQFDIPNSEELNRMKEENSKLQAQLDEQKKAISEVEGEIKSLQSNLTLEDIHSKEMKLQKEVSNMEEKLTKLRGGVTLVSPEERKVIESLYSSTISQWRKRKRMFKDIWDSITENSPKNPKEFKEELGLEYDEDVGVSLQSFSDLMPQGKKRARGQ >KZM89260 pep chromosome:ASM162521v1:7:35463801:35472691:1 gene:DCAR_026335 transcript:KZM89260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFKAVSKQGHTSGDRIAIRADKKSYTYFQLVSSACKISKLLFTSYGKTVDGTAENLGGARVGIVAKPSAEFVAGVLATWFSGGVAVPLALSYPEAELLHVMNDSDVSMVLSTEDHQELMKSIADKKTAHFSLIPSVPSSQTTGHGMSEAGEIDASASLKKIENSDKIKGGLARSWEDPAMILYTSGTTGKPKGVVHTHKSILAQVEFMPKFSVSGIWQRWRESYPKDGTTTNDAITVFTGVPTMYSRLIQGYENMDPEAKDASASAARQLRLMMSGSSALPLPVMQQWETITGHRLLERYGMTEFVMGISNPLKGLRKGGTVGNPLPGVQTKILGEDDDAGNPTGVGELCIKSPSLFKEYWNLPEVTRSSFTGDHFFKTGDAVTVDEDGYFVILGRNSADIMKVGGYKLSALEIEATLLDHPSVSECCVLGLMDKTYGEIVCAIIVPDAEVKRKREEQMMPALSLEELSNWARTKLAPYKIPTRLILWDSIPRNAMGKKYRGVTLDESMASRKWLEDMSKGLGRELEAVTLQGIEINLVQKGLLGCTFLVPESLSDKDGNWDGGAMAVLVDDVAACVVGTSAFVKASVDFHLSFYSPARIRETVEVEAKIVGEKGRLISVTVEIKKKDNAELVAVGRVWFTTDNINRYPGDDEDTTITSKL >KZM89038 pep chromosome:ASM162521v1:7:33271549:33271974:1 gene:DCAR_026113 transcript:KZM89038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLREFCIALYLMEAYREGRPLPSVLPNNIILEDTQFPATGQSVAGYGNASLRHNPGMQKIQEMPGPRPVAPAVGGRPPRPVPIPVPQPDEENVQRSRQKQKLPELEKHLVD >KZM88673 pep chromosome:ASM162521v1:7:30212744:30216386:-1 gene:DCAR_025748 transcript:KZM88673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLNDSPSRRMDGDDDDDDKSEDQEEGCSTPMNHGNGLVVDYKGKRVGSISNSSSSAVLIEDGSDDEDDDGVGKKRSSRIFGFSVTDEPAVVTRQFFPVNESSESVEGGGGANTAAGFPRAHWVGVKFCHSEPVGSGEKAADFTHPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLGDYEDDLKQMCNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDNEIEAARAYDKAAIKCNGKEAVTNFDPSIYQNELNPTESSSSQPADHNLDLSLGGSASKQREHGIGDGRATNQHTSSTQFEWKDREIRPKPSMQQEFIRNKFDSGRRDGLNQMETLQLSSNTNLQSSSSFRPSEMHRYEQFMKASEPQNQLTSSSNGGRNGGNERGESYMYNLNHGHHQWRTSSPQVMAAAAASSGFPQVMERPKNWLQKNGFQTVMRPS >KZM86156 pep chromosome:ASM162521v1:7:183084:184217:-1 gene:DCAR_023290 transcript:KZM86156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEVIEEDCGDGSMICTNHPYKNNTPGGICAFCLQEKLGKLVSSSFPVTIFPSASSSPSPSFRSHHNFTTTASTTIPSSALSNTQDECHHNFNISKIPFFLTHKKKKKDLSSNMENHNNSNAMIFKRSKSTVTPRKGVHFNEFEDFSARKKSFFSFRHFNRNMASKKVPEIKHISYPSSSYSTNMGFSSTSREISFKKENVVVVEENESPDQVSFDRKVSRSRSVGCGSRSFSGDFFERISTGFGDCSTLRRVESHREGKSRNRRSSGAGSKDSIKERVKCGGLFSGFMLTSSSSSSSSSSYWVSSSNEDNNHPANVKTPAAGASVAKVAHGRSKSWGWALASPMRAFTKPSGRRDGAKNVGPNIGASPSLLASRS >KZM88263 pep chromosome:ASM162521v1:7:26281496:26282947:1 gene:DCAR_025338 transcript:KZM88263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTPKFVKFLSDSDFDSDEIRIPPLFCTKIADYFPSHVKLLFRDGYHKWVPFSRSRSVFYDMHEVYDHFEIWRGQIIIFEYVKPFEFNVSILGVDLCELEYLPKPVIMGDGVSKFGHILSCVETCVDKVDVPLAFVNRFGSNIPSSVDLIFNSSIRFVGDFIHKECKLTGLIQLCNMLGLPDLNKYVLLVFTYNGDKSFEINAYDSSMTADLVPSANGTTSGGLVTDNTAHTFEIEVKPFHMLRYAHGVDIPAAFKRLTDMWGMKKVINAYKEDQCWSLEVRKRMGFKRPTILDGWLNLRDGLKLGVGDKLIFKQKGGNNTDFTVEVVKKFV >KZM88717 pep chromosome:ASM162521v1:7:30657400:30658943:-1 gene:DCAR_025792 transcript:KZM88717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLLHFLFLCLLASGQEDSLKILTLYNSNPLASHTFSHTYETTAVNVGQEYLNEVSGSILMAESWVRTNVLAHYPSTDVSTILVGHNLLCTKTQNEVLKTILPAVKNIYHSLVRWGLEKNIKVSASFPTSCLSPSSSMYQNDLADLYFRPLLSFLQVMNSTYSVSPPSHLDSVSDETLKLVNSHLQSMRNLGFLDLKKINVVVRNPKEAKPTMRKLSYIVEPFPARPTPVAPTHSPYGSSVPAFAAKSPLPPLVGTTSPPPLLHSLPPLVGTTLLPPPLSHPLPPIAGTVSPPPLSGPLPPIAGTVAPPPLSDPLPPIVGTVSPPPLSHPLPPIVGTTSPPPLSVPFPPQLPPLVGPANPPFGFGWPPCNPSGGGNVGAPPVSVPMPSPHTGLWCVAKPSVPADTLQQALDYACGEGGANCDAIGPQGSCYFPDSLVAHASYAFNSYWQRNKDNGGTCGFGGTAMLVNADPSKLSLVL >KZM87408 pep chromosome:ASM162521v1:7:16040204:16040634:-1 gene:DCAR_024542 transcript:KZM87408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSKNGMSGCGSTIQIMIQQLNQRKSDPNLTLILRPVEASQNALARYLAEIGPLQRYRLVMSMKTGYRQVDEEEVQGEGVIEITDDDEDEDVADASRLVAGADHPGMRGRH >KZM88573 pep chromosome:ASM162521v1:7:29340606:29342016:1 gene:DCAR_025648 transcript:KZM88573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILIQKAKVEKEARKAQPCRMLEEPPDNGLLVPELVNIAHQVHRSREILLSGLSKLVKTIPVQRCRYCSEIHVGHAGHEIRTCMGTNSGSRDATHVWKRGGTHDVICFRNCFHLNDRVGKPRVGHDERNTIRRIPAILELCIQAGVDLDQYPTKRRTRPVYSIEGRIVDFESVAEIEESKRDVEMNSATTEDSVNHIMQLDSNVLSQMQPEHVEEKGLRGLSIMTLKSWFEMISGAKKIMEKYKVHTCGYCPEVQVGPKGHKVRKCKASKHQTRNGLHAWQEATIDDIVGPNYVWHVPDLSGPPLVNNLRSFYGKAPAVVELCVHGGAPIPDEYRSMMRLDVVAPNRDEVDLVT >KZM87319 pep chromosome:ASM162521v1:7:14260590:14265893:-1 gene:DCAR_024453 transcript:KZM87319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENPTKTNQSAHLALDIGGTLIKMVYLSSDDNCSPDSQVKVSQEESNEISDGLMKCHTGKGTLHFLKFETSKIDDCLEFISSNQLCSHGDLPDKKQPSAHDRKLIKATGGGAFKFADLFMERLGITLDKVDEMDCLVAGANSLLKANNQEAFTYMNGHKEYLQIDHNDMYPYLLVNIGSGVSMIKVEGDEKFERVSGTSVGGGTFWGLGKLLTNCKSFSFDELLKLSHVGNNRVIDMLVGDIYGGLDYSKIGLSSTTIASSFGKAISENKELEDYKPEDMARSLLRMISNNIGQISYLNALRFGLKRIFFGGFFIRGHEFTMDTISVAVDFWSKGEAKAMFLRHEGFLGALGAYTSYQKEGSGESMSHQLVEQLSNGVQCTGENQRLLPAEIKSQGK >KZM87151 pep chromosome:ASM162521v1:7:11669879:11676634:-1 gene:DCAR_024285 transcript:KZM87151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLYDPISDIDSTTYDWVCRVRVQSFWKGLNRETKEFWGINMVIIDDSNSRIHAFTSAKYCDEVLKKIKEGAIYIVSNIKVKEYLGSEKFRAVRNKKHVFFTPHTKFELDETMGLKIEKFAFDLFHFDEIEKLANDERFLIDMVGKVKNIQELIKTTKNEEEKTRLKFDISNGRSTVPVTLFDTFGEDVEKEFLKRDINNILIIICCAKVGRYEGVPHLSNYPATRVYINPEHYSVHELKKSWTESTKVPEKMHVETEEHKVDTPKKNFTIKEITSEPGKLFEGPVWCEVTVKRINDKSNWYFRKCTGCELELDCVNEKFKCSRPNGCGRIIPYPDKRFRICTLCSDSTGSISIIFSDYEITRLIGKTVTDLHAEFADEAEEEKFPNILNSIVKAKYTIQLYMGEENIKNGSTVFEAKEIKQAQEKADNFDPNVAAADEIEELSMINATEGDSNLNHTPNTENSTNTKFRARKITEVVTFNAADTTIAKPPKIIKLEKLGDCQERIKVRVIRLWRGATRAGVEFKNFNLILMDDKSKRLHAFVPTKCADEIEDKITVGKTYVIKNFAVQLYSATEKFRLLRNDRQLVFSMETNIQEVDDDGLSMGQEAFDFYDHSQLEELSKQTTYLTGIIKKHQHLRDIRNKHGQDQRQAKLIITDGRLANPDFAKNALGTEIKKPMEQHTIQIIFTLGKEYIEASVFSHVKIVGFDENMNWGYNACTDCGRETKMENPCPVCESCNRFVPYPDKKFRVHVFAKDHSGQMQVVLGDREVRTVIGFRARELFAQATTTTCNTEGIPAINLSSNMQVVLRDGEVRTITGSRASDLADEEKIHTNMDEIPYQMISNLRPQTTTAWRLKVRVTRVWQAIDWQGNTVGINLIFVDELGGRIHAWIAAANMNQFQNLITEGQTYNVHNFVVRQYGSMQTYRCFQNDVFIQLYHLTNLFVAEGVDYIQRHVFHFTDLSAIMHVARERNFLIDVVGIVQQVQPLSTYRNKYNELKYSIQLTINDMHTSAQVIFYDEMAQSFDQEVHNAGQHPVIVIIASVKATLIQGEEKLTNYPPTRFFINLNHEAVQDLRDAFRLANRRLH >KZM86438 pep chromosome:ASM162521v1:7:2796629:2797474:-1 gene:DCAR_023572 transcript:KZM86438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRRITSEKAGDGRRQDEEVEVTRDEEMETLKNRIRGHELFRLLIEKHMDCLKVSLGDDEDVETTFEAAENTECSANSQPSPDLDKLMELHCMALGKLKEDMETELQETLSFISDMYLEIN >KZM88055 pep chromosome:ASM162521v1:7:24002821:24007903:1 gene:DCAR_025130 transcript:KZM88055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPDKISPELPVVLILKPPEVFKVHEELFCQRFHFLRAWESTLPTDQFLTTYAQSIQALFCNSFTPIPAHVIRLLPSLRLIVTSSVGVNHIDLHECRRQGIKVANIAKVFTDDVADIAVGLLIDVLRKVSAGDQYVRSGSRIWSDFPLGYKLGGKRVGIVGLGSIGLEVAKRLEAFGCIVSYNSRMKKPSVPFLHYANVCELALNSDVLIICCSLTEQTYHMINREVLSALGKHGFIVNIARGSIVDEKELVRCLVQGEIGGAGLDVFADEPNVPDDLFELENVVLSPHRGVFTDETITAQQGSNHQLSSNLKPPKTGKHPPKMAAPDQSTSPQLPQVLILKPPHVFKLHHHLFSQRFHFLRAWESPLPTPHFLSTHAHSVQALFCNAYTDITADVLTLLPSLRFIVTSSVGVDHIDLVECRRRGIKVANVADVFTDDVADVAVGLLIDVVRKISAGDKFVRAGFWASSEFQLGHKLGGKRVGIVGLGSIGFQVAKRLEAFGCIVSYNSRTKKPSVPYPHHADVRELALNSDILVICCALTDQTYHMINKEVLSALGKQGFIVNVARGAIVDEKELVRCLVQGEIAGAGLDVFADEPNVPDDLLELNNVVLSPHRGVFTKESLWNSYELISENLEAFFSDKPLLTPVLNE >KZM86279 pep chromosome:ASM162521v1:7:1220277:1226381:-1 gene:DCAR_023413 transcript:KZM86279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGISSSSFSTHYMKNPLIFPKKTNNSDLNFMFSCSPAANNYSKLCKCTTTQQGGGGGGELFSVTSSNTSDVDYLGESTKGDLNLNMGINGQASLQGPIEEIARVEAVEAERLLRNLGIPAWEGRAYDYCMENLKGMGYPVDGLAFDPDLVIRGLVIDKERGNLVKADRFGYVKRAMHGTQMLSTQAVSELYGRELVDLRKESRWEFLNTLFSVSEAVAYMQAVGKALFRAHVEGRLKSEIMSKPELFVEPDPELPLALLDQKEAGKTLLLITNSDYHYTDKMMQHSFNRFLPNDMGWRDLFDMVIVSSRKPEFFQMSHPLYEVVTDEGLMRPCFKARQGGLYSGGSAQMVEKSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYNALIHSRDQRTMLIELLNQKEVVGDLFNQLRLALQRRTTGRPAQTLAATHMEDKELTESIQRLLVVMQRLDAKIAPLLERDGEHFNRRWGYLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSYSFYHPVPESNQ >KZM87930 pep chromosome:ASM162521v1:7:22138547:22142287:1 gene:DCAR_025031 transcript:KZM87930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSAAIRVISRSSVCSSELASKELTMPLTLSYLGDADLADDSVCPFVLQGLLGPTGIDGLFRRNQINTCLVNYQSLFHLTKTNTAEPAMSSFARAFASQTSKRKDSSKREREISTVENPFDAPTYNIPQKPVTFTEGASYSLVILAGLGIAAAAAYGVFSELIFSPKEYKVFGMALKRVQNDSQVSVRIGSPVTGYGQDSRNRAARQRIPHRIWTDEDGVEHVEASFIELL >KZM88080 pep chromosome:ASM162521v1:7:24187424:24188235:-1 gene:DCAR_025155 transcript:KZM88080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATGADLAAGLVRKLVSLATNELIQAWKFHEDLDTLRERFELIGALLNDAHTQNLNMSTAQLWFKKLEQVAHEAEAFMDELEYEVTRRKVENRHKLELPV >KZM87324 pep chromosome:ASM162521v1:7:14392634:14393332:1 gene:DCAR_024458 transcript:KZM87324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDNNAEMDSKQAQLRRKEVHEEDNKDEDFTFAISGGDSSPISADDAFEHGKIRPIYPLFDQNLLLNDGELSLPARPPVEHVFMESPARKSDEMERIATGPYCAWSKSPEMSRKSNSTGFSKLWRFKEYMQRSNSDGRDAYVFYNQNSTGEASSEKKIIAKKSASEKKAVVEEKKKAKKGDKTMSSHEVYLKKKGYNPEEKRKSYLPYRPELVGFFTNVNGGGLSKNVHPY >KZM87327 pep chromosome:ASM162521v1:7:14493061:14499713:-1 gene:DCAR_024461 transcript:KZM87327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEWINGYLEAILDSGKDENQKPINGSSTVGVHVSGRERSVHFNPTKYFVEEVVSGVNETDLHRTWIKVVATRNTKERTSRLENMCWRIWHLTRKKKQLEWEDHQRRAHRRRERELGRRDVTDDMSEDLSEGEKGAVLGELVNFESPKKSIQRNLSHLEVWSDNNKEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELARALAKMPGVYRVDLFTRQVASPDVDWSYGEPTEMLTAGPEDADGIDVGESSGAYIIRIPFGPRDRYLPKEVLWPHIQEFVDGALAHVLNMSKVLGEQIGEGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIWRRIEGEELSLDAAELVITSTKQEIEEQWGLYDGYDVKLERVLRARSRRNVNCHGRYMPRMSVIPPGMDFSNVVAQADTSDIDGELMSLSNPDGASPKAVVRFLTNPHKPMILALSRPDPKKNLTTLLKAFGECRPLREFANLTLIMGNRDDIDEMSAGNANVLTTVLKLIDRYDLYGQVAFPKHHKQNDVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQKSIADALLKLVSEKNLWHDCQRNGWKNIHLYSWPEHCRTYLTKVAACRMRHPMWKTDTPADELAVEESLNDSLKDVDMSLRLSIDGEKSSLNESVDYSAATGGDPELQDQVKRVLSKMKKPEPKPQDAEVKSKVSDNVQSKYPMLRRRRRLIVIALDCYDSNGNPQKKMIPIIQQIFKAIKLDPQIARMSGFAISTAMPMSELTKFLQSENVKINEFDALICSSGGEVFYPGIYTKEDGTPLPDPDYLSHIDYRWGSDGVKKTIWKLMNAPDGEEPKSPNSSSPIKEDQGASSSHCLSYMIKDVNKAKRVDDIRQKLRIRGLRCHVMYCRNSTRMQVIPLLASRAQALRYLFVRWRLNVANMYVILGETGDTDIEEMISGTHKTLIMKGVVEKGSENLLRSSVSYLREDIVPAASPLVSYASAGATPEDIANALRKLSRSGGM >KZM88365 pep chromosome:ASM162521v1:7:27245112:27247695:-1 gene:DCAR_025440 transcript:KZM88365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTEKDLVKGSAEQTGNELTRGLMKHKFNERYCTRSNNETESSHWLADDYYRKGKNDESSTNQTQVKESKSSRKCSKLCYDETVLVERDSDAMLKSFSHEFQHDHGAVRCDPHLRSEGIGNCVTLLCDNAFRCTATCSKKSPATNMVHKKHPPLSRVVAKHFSSTQIDRADRFLVSRPPPKIVSRTSSRRKLLILDVNGVLADVVSPPPKDCRGDINILRRAIFKRPFYQEFLRFCFDNFDVGIWSSRSKKVVDRVIDYLLGDMKHNLVFSWDLSKCTKTGLYTLENKHKPLVCKDLRKIWEGYDDDLPWEKGVYNESNTLLLDDSPHKALLNPMHTAVFPYSFTYKDKHDTSLGPGGDLRVYVEKLATTGNMRKYVEKHPFGQSHIDETNSYWDFYSKVLYMQSNVSTTSYLGTRMGNSVPLIR >KZM88552 pep chromosome:ASM162521v1:7:29137587:29137899:-1 gene:DCAR_025627 transcript:KZM88552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIITPAYPCMNSGYNVSTSTLRVMREQFQFGNKICEEIELNKSQWKDLFEPCMFFKSYKNYLQVDIVAADVDGLHA >KZM87262 pep chromosome:ASM162521v1:7:13265307:13272566:-1 gene:DCAR_024396 transcript:KZM87262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSESPIQTTKGAAAKSKAPRKPKQTTSVLKQKSPAEFFSENKSIAGFDNPGKCLYTTVREFVENALDSAESISELPVVEITIEEIGKSKYNSMIGLADRERVDEELYDDFETAKAREKRLAKEARIQENQAKLAALGKKLKEPTSAKAIKGRAQASFYRVTCKDNGRGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKGQNYLSFCRLDIDINRNIPHIHLHEKRDLKEHWHGAEIQIVIAGNWTTYRFLQHEFVNIGRAFAERLIGEMGPDFSPKMPVKSLTSQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPEMVATYSGSAQVFEGHPFIVEAGVSVGGKDVKQGLNVFRFANRIPLLFEQGADVVTRTAMKRINWNSYKINHTQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIASAVKTSIQQCCIQLKSKIAKKIQAREQQERKRNLSRYIPDASGAIYDVLKEMTTLHASKKKRYNDEDAELLNKVSSKLITKGTLMEKLAEHVEQVDYEMALEYATHRGVTDEPRENLFIQSLRDENKFTDFQSPVFVFRLFQ >KZM88733 pep chromosome:ASM162521v1:7:30832540:30832740:1 gene:DCAR_025808 transcript:KZM88733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDATASAGSLQDGVCAEPIRARRMSSGEDSAAVAAALKKAKTATASGEEAEKEAVKVRKQKRRL >KZM86328 pep chromosome:ASM162521v1:7:1735987:1738096:-1 gene:DCAR_023462 transcript:KZM86328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSARIFACTPAPSVNNLSPNSRLFASSTTCRKSYDHRLVCKAASNSSSSISDFDLYDLLGVDSSSDQADIKLAYRTLQKRCHPDIAGAAGHDMAIVLNEAYALLSDPNTRFLYDKEQAKFEGFRGYTGKPLYSSWFGSESEERAVFVDEVKCVGCLKCALLAEKTFAIESVHGRARVVGQWADPEDKIQEAIGACPVDCISVIERSNLAALEFLMSKQPRGNVRVGAGNTAGVRVSNIFVDVEKFQQKFKENKDRTTVKHSKDSDLQKESRISAIQTIRAISNWLYWQAPIARGSPSSNSGQHMRLLPDKSSEHISSDPSLDKIREVAASRKQARETPRLAQKVASESIVHDDYWVPLSDVQVPKSTAEKRASEFTPSWKSEVRNKRRNVALRKKQNNPFVDFVPLGLSMVAAVIVRAQIGEGSAGGLQDHIYGSFALEFVNSSWLPVILTGITWYLIGLYVAKVVEAVQSKIGNDK >KZM87106 pep chromosome:ASM162521v1:7:11005345:11006791:1 gene:DCAR_024240 transcript:KZM87106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVANGTAHEVVTANISDQTKYDRQSELKAFDDTKAGVKGLVDAGIKYVPRIFIQPKDDLQDSISGSEAGQFKFPVIDMDGLDKDPVRRQIIDQVRDASQTWGFLQVVNHGIPNTVMNEMLEGVRRFYEQDQEAPNAPNPEELPEACRWLEYQTISDYQNRA >KZM88495 pep chromosome:ASM162521v1:7:28345958:28346920:1 gene:DCAR_025570 transcript:KZM88495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRDDARDLLFNSDRDFLVKSNSDELVMREQLEPKVVVLQFEHLTPDYNTTWLSTAHLTDIYNELLSDVDRPFEVVFIAVGDGKEDEFRDMFCSMPWTAVPFSDSVARKQLAKKFIASE >KZM86885 pep chromosome:ASM162521v1:7:8028981:8029687:-1 gene:DCAR_024019 transcript:KZM86885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDRSAKDDDLKKAYRKLAMKWHPDKNPNNKKDAEAKFKTISEAYDVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGFSSASSDGANGYRFNPRSADDIFSEFFGFASPFGGMGDMGGARGGGPGFQRSMFGDDIFASFRNSAGEGSASMPPRKGVAIERTLPCSLEDLYKGTTKKMKISRDATDAAG >KZM88580 pep chromosome:ASM162521v1:7:29417428:29421108:1 gene:DCAR_025655 transcript:KZM88580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTEVLSKGANNPRGASLYNDRNDVGAAPANSGGGKGKFRLFSGDGDRDLVAYMFVRVFVGVVDADTALYTELWKACAGPLVTVPRQHELVYYFPQGHIEQVEASTNQVAEQQMPMYNLPSKILCRVVNVNLKAEPDTDEVFAQVTLMPEPNQDENTARKDPVPPPQPHFNVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGDLRVGVRRALRQQTNVPSSVISSHSMHLGVLATAWHAIQTGTMTSPSEFIVPYDQYMESVKSNYSIGMRFKMRFEGEEAPEQRFTGTIVGTEEADPKSWPGSMWRFLKVRWDETSSIPRPDTVSPWKIEPALSPPALNPLPVPRQKRPRSNLLPYSPDSSVLTREGSSKVATDPLPSIGFSRVLQVQEISTLGGNFAESIESDLSDKPVVWPRSTDDEKTDVPTSQRYRSEKWSPLGRGESSFTDLLSGFGSQTNSSHDLVVPSSVQTTATANSKKLQLHEEEGNFNSVSSRWPMMSSNLSLNLGSGSNGHMQGSDAYQTRRDTRYGNYNNCLVQPGHMGDQNQGLMPPPHSYYHSMPVHSREAMPRSSPTGQEANKPKDRNCKLFGIPLVSSTVTTETVAAQRNTMIEAAGDMHLGLQPHRSPIFESDKWSEHLKGSKVAINSIAHDEQEKSLPSCQPTVKDGQSKVPGGSTRSCTKVIACVNT >KZM87727 pep chromosome:ASM162521v1:7:19816680:19820093:1 gene:DCAR_024828 transcript:KZM87727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKKLLTAVLIWILVLFGTLALILNSLNDPGVSSDRKVVNSHLPKMEKVVDGDMERVTNKVYFDIEIAGKPMGRIVMGLFGKTVPLTAGEKGKGKNRKPLHYKGSKFHRIIPSFMIQGGDFTLGDGRGGESIYGDKFADENFKLKHTAPGFLSMANAGPDTNGSQFFITTVITKWLDGHHVVFGKVLSGMDVVYKIEAQGRGDGTPRSAVVISDSGELPM >KZM87746 pep chromosome:ASM162521v1:7:20051995:20052522:1 gene:DCAR_024847 transcript:KZM87746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWFGLVNSGHRFLWVIRLDSVADNDGEQEFPAELEEGMKARGYTVNWAPQEEVLAHPAVGGFLTHSGWNSTLESIYEGVPMICWPYATDQQINSRFVGEVWKLGLDIKDTCDKFTIEKAVRNLMGARKEEFAKSAKEMATLARKAVNEGGDCHHNLNKLIKDIKMMNVNAHHA >KZM88050 pep chromosome:ASM162521v1:7:23987310:23988284:1 gene:DCAR_025125 transcript:KZM88050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTESSAIDEAYKFLRAALNPDGSLTRSQVFPSVPPTPQLTANSPSETQLALTKDIHLHNSNITFLRLFRPANHTGKLPIIIDFHGSGFVICSAASAPFNELWIRACAFAPALIISVEYRLAPEHRLPAAYDDAIEAVMWVRDQAKGIDGCDPWMKEVADYSKVYMMGMSAGGNIAYHAGLRALDADISPVQIKGLILNQPFFGGVQRTPSEERLSHNPAFPLNASDLMWSLALPVGCNRDHEYCNPLVGCSPKIGRLPRCLIRGFEGDLLVDRIKGLAKLLEASGVQVVVKIEDGGFHGAELSDDQSKVQELCLQIKDFIDSS >KZM86760 pep chromosome:ASM162521v1:7:6573931:6578069:-1 gene:DCAR_023894 transcript:KZM86760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTMNTSPPLSTSFIYTAKHNLSSPPSQHNTYTRTKTKTCVLVKARAEAKPRSQEDDYHGTLKALNSKGRKPRKSLGQHYMLNSSINEQLVAAADVKEGDVVLEIGPGTGSLTNVLVDCGATVLAIEKDPYMAEIVRERFASVDTVKVLQEDFTRCHVRSHLLPYLEGNSLNRSSQHAKVVSNLPFNISTDVIKLLLPMGDIFSEVVLLLQEEAALRLVDPSLRTSEYRPINIFINYYSDPEYKLNVPRTNFFPQPKVDAAIVAFRLKQAVDYPTVSSTKSFFSMVNSAFNGKRKMLRKSLQHICSASEIEEALKNINLPATSRPEELTIEDFVNLHAVIVKNDTFVYDGLED >KZM88329 pep chromosome:ASM162521v1:7:26863018:26864690:-1 gene:DCAR_025404 transcript:KZM88329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRVQNYRLTLRKMANSDNHNHNAHVALIPSSGMGHLTPFLRLAVSLISHNVRVTLITAQTTVSLAESQALSCFFSTYPQITASQLPLVPYDSPSEDPFYIQYEAIRRSSHLLSPILCSITSSLSALITDMSLASTIIPVTQSLNLPNYVLFTSSAQMSTLFLSFHAIAGSRGENDLFTIPGMQPLPISWIPPPLLSEKDSLFRTQLMENGKAMLQADGILINTFENFEQEPLVALNAGEVITRLPPVTAIGPFEPCGFERSRSLTWLDGQPMNSVVFVSFGSRTAISREQNKELADGLLRSECRFIWVVKEKKVDREDGKVLDELVDEEFMKKGKDKGLVVNQWVNQEQILSHPAVGGFVSHCGWNSVTEAAWHGVPILAWPQHGDQKMNAYVLSKRGLGIWAANWGWGGEMVVKGTEISEKIREVMGNEMLRAQVIKIRENARAAVANGGSSHKGVIELIQIWKNKNIK >KZM87144 pep chromosome:ASM162521v1:7:11555543:11559297:1 gene:DCAR_024278 transcript:KZM87144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSLRRSKDPTSGTGLTAVKPTIASRPIKSITPVSKSVLGSGGKESIKRSSGKENPRPSSGIRAADASVKPVMRNVPRIEKGSAGFDARLRWSTSSVPRGRSSSPCEFNRGLGGVSKARVLNSGKSKGLDDGREKKGSLRDLSVRGGGNSEIKRRESRGLVRNVVGLEKSSDLSKDLEVVSKERESSMYRGGSRAREEVRSRSSVRLRSSEVSEKGVKEVGRISLSRSSSVNGSNGKCASEGAALVSASKYPSKLHEKLAFLEGKVKRIASDIKKTKEILDSNNPDVSKVIITDIQEKISGIEKVMGHVVDTSDSKLCVVDRPSEDKQQTSAAGMSQIDVDQTKSSVKGLNTEELEARLFPHHKLLRDRTTLKTTLEGLLSGSQTGEPNVVEITSSLKVEDNSSIPVDENPIAVEFLASLRDDQSKGTNKRDNQTLNYGAVQEMDGVGNTAAHEHSNMVTGGGPLDLLLTTSETLDEFDDQENFPTMVIDEESEESCIYQLNNIGHKTATGGWFVSDGESVLLAHDDSSCTFYDVAHCEEKAEYRPPVGVSPNVWRDCWVIRAPSADGCSGKYVVAASAGNTMDSGFCSWDFYTKEVRAVHIEDGAINTRTALAPLPNNPLYTRNTLSSIMAPENQQWWYKPSGPLIISTASHQRVVKVYDIRDGEHIMKWEVQKPVLAMDYSSPLQWRNRGKVVIAEAESISLWDVDSQNPQSLLSVSSFGRKISALHVNNTDAEMGGGVRQRVSSSEAEGNDGVFCTSDSINILDFRHPTGVGCKIPKLGTSAQSVFSRGDSIYIGCTNIKSSMKKQSSSQVQQFSLRKQRLVSTCTLPESNAHSHYTAITQVWGNSNLVMGVCGLGLFAFDAFDDEMQSCSSDYGIMQKVRDVIGPDDMYSPSFDYLGSRVLLVSRDRPAMWKYLF >KZM86330 pep chromosome:ASM162521v1:7:1748122:1748413:1 gene:DCAR_023464 transcript:KZM86330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFEVSIEEYKKLYRSREARNHYRNTLAEDLPSYIKFRDGCLKGSNEEVDFQFVARIPIYAWCR >KZM89120 pep chromosome:ASM162521v1:7:33974164:33975653:-1 gene:DCAR_026195 transcript:KZM89120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNLQRFGVISSQKVVEVMQTIDRALFVPNGASAYDDSPMGIGYNVTISAPHMHATCLQLLEEHLKPGMHALDVGSGTGYLTACFAVMVGPQGRAVGVEHIPELVSSSIENIQKSEAASYLKEGSLSVHVADGRLGWPEHAPYDAIHVGAAAPEIPGPLIEQLKPGGRLVIPVGNLFQELQVVDKNMDGSITVRSETSVRYVPLTSREAQLRGN >KZM89194 pep chromosome:ASM162521v1:7:34854815:34861961:-1 gene:DCAR_026269 transcript:KZM89194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDLIQLPDSCKSGIESESNELSFADCESGAAAYLPSNFEAHKDQMNENSMQNGDDVGDKAKDSEMIASTAAVQVSFQLAEKVDIQEKVHSISSIVHVENGCQTVEDQSPQANLKKEESSIRNQDNDNNSVIGVKRPREAVDEQPPSIHVLYNSLPRDSKQKLDKLLQQWSEWHARHYPSSIESAEDSESGETTYFPALHVGLDKSSSVSFWMDNQTRGPQSKDVVMLDHDSVPLYDRGFAMGLTPTDGSGNAERGLEIFNASRCFNCGSYNHSLKECPKPRDNVAVNNARKELKSKRNQSAGSRNPTRYYQSSPGGKYDGLRPGVLDVETRKLLGLGELDPPPWLNRMREIGYPPGYLDPEEKDQPSGITIFAEEEESKDDTEDGEILDTDSQELPKKKSVEFPGINGPIPGNADEKHWTAVHQTPDISRERPHRRFNHSSESSGKYSQEQRWARDLRDDGPPGVDPVTNPSLSSYPPRYSAYDSAYSSYSPRGNLSRPRSPSSDRSLSERSRRSPLIHESSKYNSHGISAESPTYRMSSQQKYSSASLDDEDNGRWNDYTPETSSHRKDKRDHQSHHNRR >KZM86351 pep chromosome:ASM162521v1:7:1912430:1913005:-1 gene:DCAR_023485 transcript:KZM86351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRGGSNKKHSTRTKQDEDQDEVEKLLRATEDDILLKLSVDSHMSRGSSSHIIHPDLDRRFQALRSSSSSSNSKPKASVRTPDAKLNKEFESVVKENDGDGDDLFARFTALKATLPSYSKESGNVGSDGVDGDEVDEVIRWAIDAAALDPSPPSDDDATDASSDDQTDDDEDEDDDIKKKDKKKKGARK >KZM88757 pep chromosome:ASM162521v1:7:31049577:31055167:-1 gene:DCAR_025832 transcript:KZM88757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRLTVIQSEKTTVTSSRVSRSSMSDDDIWKRLQEAGFDEDSIKRRDKASLIAYITKLEAEIYDHQYQMGLLIMERKEWGSKFERAEAALNSAELMRKHDKNLYLKDLAEAKKREENLKKAIEIERECLANIEKTLHELRAEYAETKVMADRKLVEARSMMEDALKKLSEADAKMHAAESLEAEASRYHSAAERKLHEVEAREDDLRRRATSFKTECDTKEEEILHERRLLNERQKALQQSQQRLVDGQDLLNKRESHIFERTQELNRKEKELEASKLKQEEELQALVEQQANLETKASSLSLREEERIQQLLANYEASLSMKKSEFEAELEVKRKSVHDDIENKRRDWELREVDLHHREELILEKEHELEMQSRAVVDKERDLAGRFSLLEEKENRLHAVEKEIESKEALLQKEKEEIISSKLDIQRSLDALEDEKKQLHHAEEKMEAMKSETNELCVLESKLKEEIETIRAQKQELETEADEMKELKLKFEIEWQSIDEKRKELQKEAECINEQRESLELTLKDERNSLKLEKDAMRDEYMRNNESLSRDREDFMKKMEHERSEWFSKIQKERSDYLLAIEVQSKDLEDRLAKRREEIESYLAERERAFEEEKKKELMRMDTLRETLARETEQVNAELNRLDTERREINLDRERRDREWAELNTLIEELKVQRQKLEKQRELMRADKEEILVQIEHLKQLEDLKVVPDRIALTGIQQSDLQPSKRVSARRSLKRQSGLDSGCRAEDNGNASSGNGSVILSPPLSSPFSWLKRCASSLLEQKVSNKKMRHSEEIITPSTIPARLNAPDDEHAVISANQQTPVHAKETTVYIDKIITIREVTSFNDAIVDGNNQNLEEALSQRAEEKLEDDNNIESEKLEKNGEVDPKIMQASLTEQ >KZM86432 pep chromosome:ASM162521v1:7:2770220:2771254:1 gene:DCAR_023566 transcript:KZM86432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTIKPIQLPLQILPAASCSTHKPAVASLSQFEILETLGRGSKGIVYKVVHNVTRDVRALKTYNNSATYDEVLREIAILESIDCPYITQFHGTVFSDSGAGWMPILMEYMEAGSLQNLVKINGTLSEKMIAEVARRILMGLDYLHNTKHIVHCDIKPANLLVNHNMEVKIADFGVSKLIDLASDERHVFSGTTAYMAPERFDSCAYGDDLDVFAGDIWSLGLTLMEIYSGHQPYFAPDRKPNKTEFDLMFDVCYNDPPTLPEEASPDFQDFIRCCLEKNPGKRWKALQLLSHPFLIKKLDDQDAPIAQDDSNAEPVASKGRKREASMEIRESSKRVKRQDVQV >KZM86653 pep chromosome:ASM162521v1:7:5330657:5333571:1 gene:DCAR_023787 transcript:KZM86653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKELHTCLLSILCFALWAFPCDSYNVVHFGAKPNGRSDSSLSFLRTWMAACNSVRPSTVYVPRGTYLLRPVTFSGSCKNRVTFQIEGTLVAPYDYWSMGNSGYWILFKEVSRVSILGGKIDGRGAGFWGCRRKGGNCPVGARSISFMWCKDIYVRGLTSINSQTIHMAIGQSKNMRIEYTTIRAPSRSPNTDGIHVQHSSDISIRRSIIKTGDDCVSIGPGTTNMWVERIGCGPGHGISIGSLGNGIREKGVQNVTVTSSVFTKTDNGVRIKSWARPSSGYATDIHFRNLVMLNVINPIIIDQTYCPNYQCPHQSSGVKISRVTYTNIRGSSSRPEAITLDCSPTNPCTGIKLHNIRLSTPNRATRAVCFNAGGYTRGLVIPRSCLRT >KZM88352 pep chromosome:ASM162521v1:7:27132306:27134230:-1 gene:DCAR_025427 transcript:KZM88352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPQPRQQSRLNDIQMLQRQIMIKKLQELQRQQQVQELGDARQHNYINQQYALNKQISAEQFPPLINGTPISDALQRFMMGNINLMHPGALPVVQGFSNGVGFPQNQGQTLGSMGLVSPQLDVSLYGTPVPGMGNNMGRYSQLLGASQGSEHTFEKGNNNQQDEPITQSSIYTNSLGTYNCDASSNHIGMQEGALVSGGDFQEKNMFGQVPAQVLHRNFQQMNTNQRSSSPMEVGGRPEQTSWHGQFPGKAANLGPSQDICSLDPLEQKILFNTDDNSWDASFGSSCNISSEFLKNTSENTDHTSAFPSLQSGSWSALMQSAVAETSSSDAGIQEEWSGLSFQNPELSNDNRSSKFIESGKQHPDWVENAVSALNSKPDPNFKNLSMASSFPGFQQPGIQFSLKQKDELHLDTSRESNQHHSPKNNKQWLDCNSLQKQTNEVNQHSERLSSLQSAWPDPNYEHSKSNVYQESIPMYTKGTQAGDISGIEQVKSGNVNNNHPFSESTKLLYGNDTDGSVEDARRGYARNNQYHRSSSPQICNNTYNGASRTYEKQQCIERENSNDSYHSNASQRSFSGHELKQNAWQHKSDSSHFADSSQRPSDQVGYCI >KZM88993 pep chromosome:ASM162521v1:7:32873643:32874227:-1 gene:DCAR_026068 transcript:KZM88993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGTNKLALRMKAKPKNKATPINLASHTYWNLAGHNSGDILSHTIQLLASKITPVNKDLIPTGQIVPVAGTAYDFLKAREIGSKILELADGYDINYVLDGTGKKHFHKAAVVHDSKSGRKMELWTNQPGVQFYTSNMIANVKGKSGSFYQKYAGLALETQGFPDAVNQPNFPSQIVSPGETYEHIMLYRFTAE >KZM87392 pep chromosome:ASM162521v1:7:15446117:15447136:1 gene:DCAR_024526 transcript:KZM87392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAAALVVQHPDGKIERMYWSVCASEVMKMNPGHYVSLIIPLPADGEENLEEKDREKTVRFTRVKLLRPTDRLVLGRAYRLVTTQEVMKVLRAKKYAKMKRNLPESVDVLETHNSCCEAEARSSGLERSVQGTRNEGQRQRPGAGNVAVARSKSWRPRLQSISEAVS >KZM88411 pep chromosome:ASM162521v1:7:27680609:27681703:-1 gene:DCAR_025486 transcript:KZM88411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPVRVALPLSIALQTDQNESLPDSTRSSGSYASSIGGGFLLKQRKGVGIIGGVSVDSTLSFVRKLVALSSDDAGAGLSFVLCSDPGLNKELLHHQRSSFSRTEGLKLDHMSIVENLRSKRVFLESSGACCIAIPCHISQFWHDEVSKGCSVPVLHMGECVARELKEANLRPLEAGSPLRIGVLSTSTTLLTGIYKDKLHNEGFEVVLPDKATMEHTIDPAIEALTKNDVEGAQTLLRIALQVLLVRAVNTVILASDELRELLPQDDPLLKKCIDPMDALARSTIKYAQSAEICS >KZM86981 pep chromosome:ASM162521v1:7:9361706:9364366:-1 gene:DCAR_024115 transcript:KZM86981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKNLHGNTNRLLVRAKPDCLMRVVDNLSDVQRQWVVETGFEKVLLFNIKEYPQPLSFIISKSYNPIDSSISIGENIVNFSEEDVQNILGLPKGELMFENSYNTEYRDVWRSQFKDYMYPHKISAEVICDALKSSELVNLEFKLNFLIILTNVLIQGSRTPYVSLKILSYSGDLDQCFKYNWCGYLLQCLAENFEQWIINPSKQCFIGSVPFLVVCFLDRITEREKNVMDSTHALTYWTTERLQKIDIAHFYSGKITKMGEVIKSVDPHTTKCETGMMQRQQIAMEVIKKVCADIDHDYGCGVDVENEVIFQYLREAVDKLENLQLQHFKLFTAANLRYHSDNIIDVLKNRFINLNEEAIDFVLGVLSSSEHSGRKSTEISNYKKDVATEHNITNWNKEYMWEWLNSNEADDAVVFKWNQISCTKEDIRSLNFGKDVSVGAIDAWCCLLNLKEKSMPNAFKHRLFCFLDTTANITHPGKVVDDVGLLHEFGDKVEQTLQTFNFAMSNINMVFFPIYSCKHYYTVCYDISEPSIVVLDNSSSGGLGTSLYGRTLELLHNNFVEFLKMKNHPSVLKLQWVEPVTLNLPWNTKFNTADSGVFLMRHMETYFGGDGLFLAEKFVEESHLQQHQLNKLRFEYARLMICTSINEQRGNVMDIMESWHSANTANNI >KZM86644 pep chromosome:ASM162521v1:7:5256135:5259673:-1 gene:DCAR_023778 transcript:KZM86644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLSIVALYAISLVGNLALVYPDYSLHDSYSAIFYAECSTKFVLNIVIMDSPDFDSPWSSLTFESLIKSLDVECEERNTGMGITFREKVTAPLCQSCSIPRPPPLPGKMQNKNNYLIKLPGRCLNFVPKETKQTWDDLFKQGYAADVCVVAENEAIIPAHFIVLNGASQVLGNFLIKSKFINGIKYIKIPGVPYGAVYAFIRFLYSSCYEVNEMKEYTLHLFVLSHCYAVPSLKRVCEQVLEQDYLIPEKVIDVLQLARKCDSSRLSFICTRMVVRDFKTISTTEGWKAMTLANSALEQELLGLVVEADSSKQERLKKVEEKKVYLQLVEAMEAVLHIFQDGCKTIGPRDKVLKGYEVACNFPACKGIETLVRHFLRCKTRVPGGCAQCKRTGQLLELHSRMCSDPDNCKVPLCRNIKEKMSRQSKKDETKWKLLVSKVVAAKNALGPFSPRQSIFI >KZM88174 pep chromosome:ASM162521v1:7:25182358:25182537:-1 gene:DCAR_025249 transcript:KZM88174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHNSAYTTRMLVVSLMMRLVLEPQKLFQRIDAAKEFIQRHSLCDESSLVAQEDVMNR >KZM86662 pep chromosome:ASM162521v1:7:5401044:5411043:-1 gene:DCAR_023796 transcript:KZM86662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMMQPQIILLKEGTDTSQGKAQLVSNINAVMAVADVVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVHPQNLIRSYRTASYLAIEKIKELAVSIEGKSLDEKRSLLAKCAATTLSSKLIGGEKEFFASMVVDSVLAIGNDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFENPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLGTQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEIFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAMKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCEGALYGVDINTGGIADSYANFVWEPAVVKINAINAATEAACLILSVDETVKNPKAQWAEGVAVVLFAVVEEGCADDRFFRCIFKSLLVNLLFKVPSYNCLRVQPSVFFLVYLSFCILRRDSSLCYCDLFLVLPL >KZM87363 pep chromosome:ASM162521v1:7:15085741:15086634:-1 gene:DCAR_024497 transcript:KZM87363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEVKVLGAWASPFVMRARISLNIKSVDYEFLQENLGSKSELLLKSNPVHKKIPVLIHGDKAISESLVIVQYIDEVWTSSESILPSDPYDRAIARFWAAYLDEKWFPSMSKIARARGEEEKKAAIKLVQEGLVLLEDAYTKCSKGKMFFGGEKIGFLDIALGCFLGWLRVTEKAHKVKLIDEAYSPGLVKWAENFCADAAVKDVMPETDKLYEFSKVLMAKMRAPQKPA >KZM89324 pep chromosome:ASM162521v1:7:35963130:35963906:-1 gene:DCAR_026399 transcript:KZM89324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGSVLKLSTQSNGGGSGSVLYTSPMFTSPGSSCLSNVSPNDTILKDLQHSNPTRERKPKIPKSTVVSKAVKRVRRLDTTKEGMPRTNLFCEEDEEDGNTEEAVHHTQGDPDALYEDDDMGYDGGECDLKNKFVD >KZM87487 pep chromosome:ASM162521v1:7:17113408:17114361:-1 gene:DCAR_024621 transcript:KZM87487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKAWPFLWSPPQCMGMEAGRPVCMTDFSFFGIKVEFGTERRGCAGWLWSLGKRSTPVRRKETGRSGCYYIKGGDVLAETVSEPASCWCCVTVLTSPTVGDGRAQRRSGARHDGDQE >KZM87592 pep chromosome:ASM162521v1:7:18449721:18458929:-1 gene:DCAR_024715 transcript:KZM87592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METARRKRNKENQSDASLAGIRETIASHSSFEPCFFSLGTPRVCVFNSGRAGSGNALDLFLQRRAESIEVERIRYARIVQLKVCCRYQFRMTWTIRVLKDANGLDIVAEDESADQPQYPNQEKYKWSSEILPSVYMFDKILNKEKAYEQYTLIRNPKADTGYIFAVFVNKVLEMLWICSYKDALKVLRDPGPSALRQSSTKTTQRVYRWKGAGSFLQKRADNIKKAGTNPPGFANIEGSLKENGGDTINAATNQDSHFLNCKASESMQAEGCWIHFYKDSAENIKKSRTNSPGIANVESSLKDNGGYSRSPLSKVVQNSPYAFLVETTNKVCKDIPQSGTQLRRNYGHDIASQITNRGLERTPLSVTGGSTLSPIATSIGERSTPGTSLTNETTKTTAIPRVTRRGISEVCAITPVQITKDLSTAQQSLAFP >KZM88765 pep chromosome:ASM162521v1:7:31137391:31138609:-1 gene:DCAR_025840 transcript:KZM88765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSSALWLSELEMDDPIVSHQQSLMSVLDHEMAAFNSSYSSDSYSAYDNQSVIGNPKHDLVFNSEMDVIMKPVKLPRTNIINTSSTTKGAIPKRPSPASSSAIISFDKAESTPIQWDDKELTYLIKPAKKENLDTNFGDDQGDFSYLYNPNANTTFPVKRTPLQAQDHVLAERKRRERLTQRFIALSSLVPGLKKMDKASVLEDATKYIKQLQERVKTLEHKSIVMTTDAKNQGVASLKRSRIYLDEESSSSNEDYDYRPRESLPEIEVRMSEGNVLFRVQSKNIAGLAVKLFSKIEKLNLTIISSSVMPFSNSSLLITIISQVLQLIDF >KZM87754 pep chromosome:ASM162521v1:7:20106919:20118672:1 gene:DCAR_024855 transcript:KZM87754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAMNTLGQSYNDTNDTHKFAFDLGEFVGDLSVDEDNASDDISLEGLQQELEECKNDDVVANILSKGTKLRDYTKGVENNLRQVELDSIQDGIRCNKNTFPLTDTELNCVYALDYIKESDNLVSLHDQIRDCDLILSQMETLLGGFQDEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMIDIIVDGEVNDEYMRTLEILSKKLKFVEADSLVKTSNALKDVQPELEKLRQKAVSKVFEFIVQKLYALRKPKTNIQILQQSVLLKYRYLMSFLKEHGKEIYNEVRAAYIDTMNKVLSAQFLAYIQALEKLQLDIATSSDLIGVEARGTSLFSRGREPLKNRSAVFALGDRLNILKEIDEPALIPHIAEASSRKYPYEVLFRSLHKLLMDSASSEYLFCGDFFGEESIFGEIFAGPLLVIDEHFNTVLSNCFDAIGLMLMICIIHRHQVIMSRRRIPCLDSYLDKVNISLWPRFKMVFDMHLNSLRNANVKSLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLVKLAKTFTKPKLQTVFLINNYDMTIAVLKEASEGGKIQMHFEELLKNNTAVFVEELLLEHFSNLIKFVKNRASEDSSAGSEKPITVAEVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMDILRAAMTQLLLYYTRLSDCIKKIAGGSALNKDLVSISSIMYEIRKYSRTF >KZM87410 pep chromosome:ASM162521v1:7:16076690:16081425:1 gene:DCAR_024544 transcript:KZM87410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESTCILVLAGKSAADKELANYMKASNIPKLPDIRDVSLLLHEESLNEESFRINSYMNSLSTTCFGRFLIWSPRLPSTHDVVSKNFEELPVGSVCVADVQYKGRGRSMNVWESPKGCLMFSFTIQMEDGRIVPLVQYVVSLAMTEAINDVCTKDGVPYLDVRIKWPNDLYLDGLKVGGILCTSTYRSKKFNVSAGLGLNVDNEKPTTSLNAILKKLTTVSYQLQREDIVSAFFNKFEIFFDLFATQGFKPLEELYYKTWLHSGQRVIVEEKNDDKDHGRQSMVTIQGLTSSGYLLAIGDDNQMCELHPDGNRYHIVIFF >KZM88638 pep chromosome:ASM162521v1:7:29855891:29860413:1 gene:DCAR_025713 transcript:KZM88638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDADVETGGCNYAEIIVIRHGETEWNADGRIQVAARLSREPKISAVYSSDLSRAHETAEIIARNCGGLEVVKDRDLRERNLGALQGLVYHEIAKINPEAHKAFVSHSKDQEIPGGGESLNQLYRRATSCLQKIGEKHKGERVVVVTHGGFIRALHRRATTHHRVGKVLNTSVNVFHLSDGGKWSIKTWGDVSHLSETGFLKSGFGGDSNSG >KZM88505 pep chromosome:ASM162521v1:7:28408408:28410819:-1 gene:DCAR_025580 transcript:KZM88505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLSRVLRRSPARTILSHFSGSKALAQQPLLDTSSPDDKNLTFLFSHPNNLCLSRSYISEMRRSAFEGNIQRLLRNEIQYELERSIPDQPVQKYDEFTVDERPGEQWIRLSRKFGENEEIKVEVTMFDGALPVKRKGGVDTDDDVELHITMIVDIFKGDGNSVLEFVCSAWSSSIQIQKVFMRGHDRMTGHPYTGPIFKDLDDSLQDSLYDYLETRGINDDLAGFLHAYIKNKDKSEFIRWMGNGYGVSNLLQRKLGMKLAIRLSCMIPCITVHVFNQFCHVNFTSSEGPSITLEAFDIRNSSQVNFRLTL >KZM86649 pep chromosome:ASM162521v1:7:5304157:5307234:-1 gene:DCAR_023783 transcript:KZM86649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETVDNKQIVLKEYIRGYPKESDMATQVSKMSLKVPHGSNGIVVKNLYLSCDPYMRSRMTKSTAVYVEAFTPGQPIVGYGVAKVVDSGTPKFKKDDLVWGMTGWEEYSLITNTDTLFKIEHTDVPLSYYTGLLGMPGMTAYAGFYEVATPKKGEYVFISAASGAVGQLVGQFAKLFGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEKDLAAALKRYFPDGIDIYFENVGGKMLDAVLLNMRPHGRIAVCGMISQYNLENPEGVHNLTQVIVKRLRVEGFLVFEYYHLYPKFLEMVLPYIKEGKINYLEDTAEGLESAPAALIGLYSGRNIGKQVVVVARE >KZM87294 pep chromosome:ASM162521v1:7:13902918:13903142:1 gene:DCAR_024428 transcript:KZM87294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTDSVGPLALIKAALAPLSSLGLVLIANVDLSFGFLFFPFLFLFLPTQGYALASLTSTPEPANVRENSGRPT >KZM86148 pep chromosome:ASM162521v1:7:107125:107640:1 gene:DCAR_023282 transcript:KZM86148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVLRPQDCIREAPAIFTHRKSFHHGVPKVNRKPVRQARPENRRKSPEPKKPATFSEDDLTPSNPKIVLGQVTILRRGESLDLKVKASEKKEISKEMTVYGTGRLGPDPKNVPKQVRFGLPDTYAGSAFFTSPAPSSLPLPSFFRKDCGVKAVDDSVATRDLRRLLRLD >KZM87038 pep chromosome:ASM162521v1:7:10322752:10323463:-1 gene:DCAR_024172 transcript:KZM87038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQRFGFVISYILLSVLWTTAMAQSDCDNMVISMSPCLNYITTKTASPMPGCCTQLGSIVKLRPECLCQVLSVGGASLGLTVNQTQAQALPDTCNVTTPPLNNCKANNPDSTKEAPTGNGSSDASLNNLAVPLFFLVFAASYAFTIM >KZM86304 pep chromosome:ASM162521v1:7:1501168:1501662:-1 gene:DCAR_023438 transcript:KZM86304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKLVSFEEDPIVDKLRTQLGVLHPIPSPPVNKNIVGLFVFFFFVGVVFDKFWTSRKRKGSSNDRKAGNRPHVPTSFCSFLEKDLQRKESVEWVNMVLEKLWKVYKPGLESWLTGLLHPVIDDLEKPDYVERVEIKQLSLRDEPISVRNVERKTSWRLNDLQ >KZM87777 pep chromosome:ASM162521v1:7:20304636:20308709:1 gene:DCAR_024878 transcript:KZM87777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLGFNGPSGFSARSTAEQVTEGVDGSGLTAIVTGSSNGIGIETARVLALRGVHVIMGVRNVNAGKKVKDDILQKIPNAKIDVMEIDLNSQESIRKFAKEYISCGHPLNILVNNAGIMAPPFTLSKDNIEQQFAVNHLGPFLLTNLLLDTMKKTAEDSQKEGRIINISSDLHFYGYKEGIRFDKLNDEASYNGNTAYSQSKLCNILHTNELTKRLKEEGVNITANSLHPGVIATNLLSHSSLLSWFNTFAQWVTKNIPQGAATSCYLALNPQVKDISGGYFADSNQAKPSKLANDEELAKKLWDVSLTLTAPK >KZM88240 pep chromosome:ASM162521v1:7:25947372:25947685:-1 gene:DCAR_025315 transcript:KZM88240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGAWVADWWPWWRGHRRLVAARVVQMLGCCTVEQLKYFCKHTKNHRTGAKDRVLYLTYLAICKQLDPKGPFHR >KZM86593 pep chromosome:ASM162521v1:7:4673494:4674474:1 gene:DCAR_023727 transcript:KZM86593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGLENPVIRSMLCIGGVDMRSKLEVLQRGVHFLLLHRGGSRTKMNLDISRYLVLDEADHLVDLGFEDEIHDVINHFKDQRQRAANLDVIQAVAFVKQEVKYVYLLECLQKTPPPVLVFSENKDEVDEIHEYLILKGVKGCQFMVDWQRETIFLDLKHVLIDAKQRLLPLLAEISTETTDVREACGNCGGHRIHDCPKLQHQNMQLAARFKTDTFVMGVTKRNSSSLIVEGTGVQCILRLSCNFTF >KZM88787 pep chromosome:ASM162521v1:7:31312953:31313680:1 gene:DCAR_025862 transcript:KZM88787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDAKPYIRNSRIDEIVDPSIKGAYHAEAMWRVVEVALHCIETFSAYRPSMVDIVRELEDALIIENNASEYLKSMDSLGGSYRFSIERTIIIPPTPTPTETETSPILSQPAPPQPR >KZM87203 pep chromosome:ASM162521v1:7:12365155:12373566:1 gene:DCAR_024337 transcript:KZM87203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDPVQSSANGNLDEQIAQLIQCKPLSEQEVRVLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYSQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGFNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFM >KZM88832 pep chromosome:ASM162521v1:7:31619153:31626078:1 gene:DCAR_025907 transcript:KZM88832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDSRREAAIASTPSLRPDFKPNDTKITQSQLAKFQELHRRRLQLKSKPKTKKKSKGKDHVKESAVDSVEDSCASISVESNFNNSLTQQQEIPKELALKHRKLHWGLDTKERWERKSNM >KZM86781 pep chromosome:ASM162521v1:7:6816837:6817781:-1 gene:DCAR_023915 transcript:KZM86781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSGTNAFALLGDQQGKEATSIIALKTAEIKKIADKNKKISAEKKKAEAKIKNKKKKAKAKIEEGQANEEQKTVDEHSGIQNQAKVSVRTAREEEEEEEKEEEARQSLAREKEKKEEEARRRECWLARQAELAREREERRLAWEAQLARQAEEQRLAREAELARQAESKARSAAREAEREERRLAREEERKKEIQERRNKEMVMKTLREYEEEKALENQKANKVILNLKEILKKDSHIKKHGKVRKAMNIEEFLKLPTGGGGGEGSEGQDGHNKESASSDENNSIKVKAGHAPDFSDESFPLLGKVSKPPKVY >KZM88115 pep chromosome:ASM162521v1:7:24689387:24696513:-1 gene:DCAR_025190 transcript:KZM88115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEMQKFQTSEDDDDEMGMDVKEEDDEDEDDDDKNTANQVMVGVEGGFTSTSSNNRFQQNQQYQEQLTPQGGSRRCRPMEEKERTKLRERQRRAITAKILTGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGTKPAAVTPTTVVTSSSTQMTPQQTSPASLGGMSSSYQSTVEYNACQMKDIFVPSSSPYDVTSNAPSQTSALLVEGLDVQDDPIIGGSMDAVDNRQVVIIPQRLQERDFAGTPYVPVYVMLPLGVINMKCELVDPDSLLNQLRFLKAINVDGVVINAWWGIVEAHGPQVYNWSGYKRLFQVVRDLKLKLQVVLCFHECGGNLGDDVIIPLPNWVAEIGLTNPDIYFTDRAGRRNQECLSWGIDKERVLRGRTAVEVYFDYMRSFRTEFDLFFEDGTISLIEIGLGPCGELRYPSNPVKHGWRYPGVGEFQCYDQYLLKSLRRAAETRGHSFWARGPDNSGSYNSQPKETGFFCDGGDYNGHYGRFFLGWYSQVLIDHGDRLMALARMAFEGICIAAKLSGIYWWYKSSSHAAELTAGFYNPCNRDGYAPIMAMLRKHEAGLNFTCVGTCMLVPCGDFSGELGDPEGLNWQVLNAAWDALVPVSSENDLPCHDRDGYNRILEKAKPLNDPDGRHFTSFTYLSVSLLLMERQNFMEFERFVKKMHGEAVLDV >KZM86323 pep chromosome:ASM162521v1:7:1698496:1700572:-1 gene:DCAR_023457 transcript:KZM86323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSKQHRPHCNYLISLLLNHYNHRVIQQIHAQTLTSKSHSHKLINTLLRHYALSDSPQLALSFFKHLRSRENPFSFDSFALSFIVKSTANLKDWTSGSELHCVITKCGFGVHVYVQTALMNMYGECGWLGDARKVFDEMPLRNSVSWNVLITGFAKWGGVDKAREVFELMPGKNVVSWTCVIDGYTRVNRAREALGMFRRMVDEGVRTSELTMLAVFPSVWSVRWLELCRCVHGYVEKSGFCVGDIRVSNSLIDVYAKCGSVEGAFRVFECISEERKNVVSWTSIIACCAAYGMVKEAVENFKKMQSMGFEPNRVTFLCIINACSHGGLVDEGLHFFKKMVYECGIVPDVKHYGCLVDMLGRAGRLDEAEKMANDIPTKMVTVVIWRTLLGACSFYGDAHMGERVTRKIMEMERGYAGDYVLLSNIFVDVGRMAVNRWQKGTLRVEVVIFYEEALAALKVAPLSQHFEKAWHSHVQLKEALFYAEACYRYGLELHEKEEIGEEISRLKSGINDLSEARKSSPKGAAQQLIDAYTILEGNLNRNLERAVKENDRVYLMRVPPASSLQLLPAFQMVKSMPMTEVFDASKEKMFASLVPDSSAKALSRYTEMVDDVIRTQA >KZM87472 pep chromosome:ASM162521v1:7:16839758:16845385:-1 gene:DCAR_024606 transcript:KZM87472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESTCILVLAGKSAADKELANSMKVSNILKLPDVPVVSLLLHEESPNESFRINSYMNSLSNTCFGRFLIWSPRLPSTHDVVSKNFEELPVGSVCVADVQYKGRGRSMNIWESPKGCLMFSFTIQMQDGRIVPLVQYVVSLAMTEAINDVCTKDGVPYLDVRIKWPNDLYLDGLKVGGILCTSTYRSKKFNVSAGLGLNVDNERPTTSLNAILRKLTTVSYQLQREDIVSAFFNKFEIFFNLFATQGFKPLEELYYKTWLHSGQRVIVEEKNDEKDHGRQSMVTIQGLTSSGYLLAIGDDNQMCELHPDGNRSIQISFYLRGRELARILVSISSSGYSN >KZM89239 pep chromosome:ASM162521v1:7:35263329:35263979:1 gene:DCAR_026314 transcript:KZM89239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLVNFIFTPLKRGLGRSCIKSLCPAPRISWFKAGVYWNGGMHWLSECSETQPSSDGFYFNVDKERLQTFPSPPMAIKEFHYSSYFGESQGHLHYAEVCPYDPSLNVYEMKSDYSGWFVKYQIDLVPISKAFPVMKERAYYSGESFNAAVALLVRTDNFKEDSFLVLELPGQIIHYNLVDRSFRVICNFGEDLQKRRLSLCYYSCGGLKAWPYNQ >KZM88181 pep chromosome:ASM162521v1:7:25237269:25238264:-1 gene:DCAR_025256 transcript:KZM88181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNRCPTCRQELGDIRCLALEKVAESLKLPCKYCSLGCPEIFPYYSKLKHEAVCNFRPYTCPYAGSDCSVVGDIPYLVNHLRDDHKVDMHLGCTFNHRYVKSNPREVENATWMLTVFNCFGQYFCLHFEAFQLGMAPVYMAFLRYMGDEADARNYTYSLEVGGNGRKLTWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNQEIGVCIPNNCS >KZM86152 pep chromosome:ASM162521v1:7:145411:151525:1 gene:DCAR_023286 transcript:KZM86152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALEINPKKRDATPTTFNHKLDSSLFSETHVFKRPKFAPNTPDLSQDRPVVLRSTASRVLEYPEKKTRFRRPVHAPCRARRFSFLKGVGRNYVNYMSTKKEAFSTFRFCAGKHGLKVVDTDEFKYGGLEGKEVFDVEEVEVIDTDDCKEVEVVDRGKGKLWECDEVVEMDRDVEGANCQPSCSSVISDDMIGGNLKVDGEKSLEMVERREELEKPSLEKLKKSVEKRNENLGFIGSMIDFCNKKLSMLQFLRPAKKPVKDVDRMLFVPLTQEEENEVSTALSNAKRRRLLVTHQESNIEITGELLQCLKPCAWLNDEVINLYLVLLKERELRDPRKFLKCHFFNTFFYKKLVGGRSGYDYKSVRRWTTQRKLGYCLFECDKIFIPIHKEIHWCLAVINKKDEKFQYLDSLRGRDKQVMAMLARYFVDEVKDKSGKDIDVSSWKQEFVEDLPEQQNGYDCGVFMIKYSDFYSRDVGLCFNQEHMPYFRRRTAKEILRLKAD >KZM89170 pep chromosome:ASM162521v1:7:34612996:34613713:-1 gene:DCAR_026245 transcript:KZM89170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSVSNKDDDEFVTHVERFDSSGKKTAGQPGRKSGCSSLVAKSRVCTYVAHVYLEVPYIRICRWKHILHTILYYHYKECRIYASNKQGIKFRVDIVPHDIVKTIMGEGFEFVVHFL >KZM88583 pep chromosome:ASM162521v1:7:29442998:29453712:-1 gene:DCAR_025658 transcript:KZM88583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGFSPLSLVLSLLLTCCVIEIKASLYHSDRIINLPGQPQVGFQQFSGYVTLDDKKQRALFYYFVEAEIDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGQVLVRNDYSWNTEANMLYLETPVGVGFSYSNDTSTMTVDDKITARDNLVFLQRWFLKFPQYRGRDLFITGESYAGHYVPQLAQLMTQFNRKHKLFNLKGIALGNPVLDFATDYNSRAEFFWSHGVISDSTYNIFTTVCNYSRYVSEFYRDSISPLCSEVMTQVNKETSKFVDIYDVTLDVCVSSVFAQSKVITTQQVSERIDVCLDDETVNYLNRKDVQKALHARLVGVRKWDVCNNVLDYDWLNIEIPTISIVGSLLKEGIPVLVYSGDQDSVIPLTGSRKLVHRLAKQLGLNTTVPYSVWFEGQQVGGWTQVYGDILSFATVRGAAHEAPFSQPERSLMQKEEDDKEFDLASDEHDTPSPLAVTSRVLYMLGDITAGSAYRFAQWLELVRKRSSDRSSGFPNRPIRSDSMQLLRTGEPRVSSTSSAYIEHSSEFTLWERLGKAAMLDIESTSFSWNNLSSLHHTEHSSSTEQPEDEMNKALEVTVNSGGVVFFALFNTPETNESPSKEAVAVIKISSSRMATQSERLGYEFAKWLGVRTPQARVVHNLGHEWTQIKEAAEKARQTAIMEGDEVAEMTCSELLEALDLSRCLLLMNYIHASPLLESSTAFESQEAAEKTAAALGRILMLDLVIRNEDRLPCRELRWRGNSANLLLADKMASANIDGMEEAFGSVFDRYRPRVFHSMPKERRSTSVDSSVNPHSPRLVSQGSELSDVIESPKSSNMSLKSQSSIDLMSDLHIVAIDTGVPRRPPARKRANDRTIYPKFVELLINSSEYASKILNEVSGGKLGSTSNDSGTVSDMLINDMTSVVRCFRSGFRAAVRDLQGFHIFLLTLHQKLDGLLRAFLIIINKTSPEYEKEETVVPISLSQAVGGGVHVPSSPGKEHMMNHNHPDSNDTELQKSAPRPLLPNGKENSDSISPVSRDGSHGKFNKGSSEPLLSQRLTAKLRDFHKFAKDDAELNKEWEQWNEMLKNDAIRLCQENNFVTGFFEGSESNCVIDAYELKVRLEHILERIALISDAANTEKPSLVLGGQFIGGALAARSVHTLQHIGITHILCLCSNEIGQSDSQYPELFEYKNFCISDNEDANIQDIFGEAHDYIDHVEQLGGKVLVHCFEGKSRSATVVLSYLMLRKNFTLLKAWNNLKRVHRRAQPNDGFAKILLELDRKLYGKVSMEWQQRKPTMKVCPICGKNAGLSNSSLKLHLQKSHKRLSSGSVDSAMTMEIQKALDVLQISRAGSASPAPRHCHQGIDG >KZM86921 pep chromosome:ASM162521v1:7:8419245:8423028:-1 gene:DCAR_024055 transcript:KZM86921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMQCGDCGQTKVPYPLSTSPGCGNQAHKVRCTAGTLWLDALNGSSYMITSINPRGQSMIIRPAPLIPNTCLSTDLIHQGIQLDPLGAFNTTRGSQTAYVIRIHEQGCLAYQSFVNLDPTLPVAKWPEPGVEVEWASPQEPVCSSPADCRILLNSKCQLNQGNGGQKRCFCNAGRLWDPVYGRCKKCNEKSCKVHKSPMPGIVGALGSVLLLILGGILVYKRLRHVKRKARKNLVKERQEILNANSNGKAAKIYNDKELRKATNNYSKENLLGTGGFGEVFKGILEDGTIIAVKRAKPGNTKGVDQVLNEVRILCQVNHKSLVRLLGCCVELEQPLLIYEYISNGTLFEHLHGFRLRKLEPLSWQRRLTIAHQTAEDFNREEDNINLVVYMKKIMYEERLVDVIDPALTTGATKVELETMKALGDLAAACLDERRQNRPSMKEVAEEIEYIISIVLGEAPKT >KZM88618 pep chromosome:ASM162521v1:7:29750088:29751322:1 gene:DCAR_025693 transcript:KZM88618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGETKKHFVLVHGAGHGAWCWYKVATLLRSDGHRVSILDLAASGVNLKQVQEVHSFAVYLEPLMDLMATLPQEEKVVLVGHSMGGVGLSLAMERFPEKIAVAVFATAYMLSPDLDLLTITNEDLTLATMLVRPYCLNPHTDAKSFEEIRVTRERFGLVPRVFVVADQDLLLLEETQRWMIELNQPDDVKVIKGSDHMIMFSKPQELCNCLEEISQRYS >KZM87049 pep chromosome:ASM162521v1:7:10456570:10458694:1 gene:DCAR_024183 transcript:KZM87049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLSIFTGATPRAFSMQPKCRYLHGAFSVEPQLKPMKAWRIKVSDGRDSYLDMWKKAVEREKQETDFQKISENVVENNVETEEVLEKKSGEFQKLLEVSSEERDRVQRMQVIDRAAAAIAAARAILKEEKVEESSLEVGLKGDGDDQGSLVPLDFEQELEGELESSRVTSPSISHTSPVMELERDESILSIPFESKLEDVHNPPLPPLQSLTRHEKVEMSSSSPETSPVEVEQEIDIMFSDNAVEAANALTEIKIESPEGNYADGSRWWKETGTEVRPDGVVCRWTIKRGVSADKAVEWEEKYWEAADEFDYKELGSEKSGRDSAGNVWLEFWKESMYQKDGSVHFEKSADKWGKNGEGSEWQEKWWESYGASGQAEKCAHKWCSIDPNTSLEAGHAHVWHERWGEQYDGQGGSTKYTDKWAERCEGESWAKWGDKWDEHFDTKGHGIKQGETWWEGKHGERWNKTWGEGHNGSGWVHKYGKSSSGEHWDTHEEQDTWYEKFPHYGFYHCFENSVQLRDVKKPSE >KZM88560 pep chromosome:ASM162521v1:7:29194551:29199638:1 gene:DCAR_025635 transcript:KZM88560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIQFLWCYGGAQVFLYDSFSGWSEAVPMSAVVGGSSTIFSAFRDLPPGRHQFKFLVDNVWRVDDRLCCCQDNAYGTISNCIMVTEQGLVNPIVESFSPAIVIENEVPQPMTMASTSGAIQQRPVLSLPASDVDATRLHLSMHMSSYTTYDLLPESNEVIALDVNLDVEEAFSVMYRKGLAVLPLWDAPSRRISGMLTASDFIYVLLKIHQSRAVLTNVMLNMCTISALKEGKLEFRREGAGSSSLTYRSLIQAGPDESLQDLARRIVEYRISAVPILDTMEDGSCPKLLHIACLAGILKHICMHLGHPPEYLPLLQQSIGSLRLGTWRIDGDSSGPRLLTLCPSELLGYALHLFIEAQISAVPIVDDKGAFLNIFARSDITSLTNGSVHAQIQLDQRTIAEALALVDGGAVNRFQLCEPSDSLHKVMELLSDPVVRRVIVINSGNKHVEGIITLRDVFTFLLS >KZM89228 pep chromosome:ASM162521v1:7:35159189:35164448:-1 gene:DCAR_026303 transcript:KZM89228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKNILAAVVRDPTARVTRAQAAASQSSEGMNNLDASKQHLQKRNNSKRVALDEKNSCAPTNQHKRRAVLKDVTNICCDNSYRNCVNATKLTNKNANLVKNSSVDVCKIAPPVAVNIQQTSKSKIVREAASSKAETDSAEGLCLLEENAHTEQIAVDDSFNEIPLHDRPEVEVHKKKEENRSLVRLSSCLKAKLIDIDSNHSDPQLCSHYAPDIYNNLRVAELMRRPDSRSMEIVQQDVTKGMRGILVDWLVEVSEEYQLVPDTLYLTVHLIDLFLSEYYIERKTLQLLGIACMLIASKYEEILAPRVEEFCFITDNTYTKAEVLTMESKVLNDLRFQISAPTAKTFLRRYLRAAQASYKSPSLELEFLCNYLAELTLIDNGFLNFIPSIVAASAVFLAKWTLDQSSHPWNPTMEHYTRYMASDLKPTVLQLQSLQLNTSNCPLNAIRTKYRQDKFKSVAGLSSPKLPETLF >KZM89294 pep chromosome:ASM162521v1:7:35744523:35745957:1 gene:DCAR_026369 transcript:KZM89294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQARLVAMEEGKEPSLPISNQPRAFPRSLRFCSVILGLGIVFTVFSIFMSRHFSFENVVIPATSSKIHLCFQQSNDFQSWIRPPLNVWHRMSDAQLLWRASFDPQIKSYPFERVPKIAFMFLTRGPLPMAPLWERFFKGHEQMYSIYIHALPTYNETYPPFSPFYQRQIPSKVTEWGMMSMCNAERRLLANALLDMSNEWFILLSEACIPLQSFPAVYLYISNSRLSFMEIYDDPGPCGRGRYNHNMEPVVNLTNWRKGSQWFEIDRKLAVDIIKDDTYYPKFEQFCRPACYVDEHYFQTMLSIRSPQLLANRTLTWVDWSQGGPHPTTYTKADVTKEFFTKIAKKQTCTYNNQPSKACLFFARKFAPETLDTLLEHSLEYFGY >KZM88925 pep chromosome:ASM162521v1:7:32354718:32359067:1 gene:DCAR_026000 transcript:KZM88925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVTYNVNGLRPRIQQFGSLLKLLTSLDADIICLQETKLAKHDLRADMVWADGYESFFSCTRARIGYSGVATFCRVKCAFSSCEVALPVDAEEGFTGLLENSRGFGDGKDERVVEGLEEFSKDELLKVDSEGRCIITDHGHFVLFNIYGPRADPDDAERIQFKHNFFKILQKRWDAILRQGRRLIVVGDINIAPAAIDRCDAKPDFEKNEFREWFRSLLVENGGPFADVFRAKHPERKEAYTCWSTSSGAEIFNFGSRIDHILSAGKCLHEHSTDGHDFLTCHVRECEILTQFKRWQSGNTTGRWKGGAGIKLKGSDHAPVCMSLDEIPIISQHNTPLLSTRYCPQVEGCQQTLVSMLARRQTAEHLKSNGQSNSSLVTDTKVSMKRSSDNAAAPDLSLDGLVDSSHMKQRDNALESVECSQSSPNEASWSKMLCLSSSPDKPISRVQSKKKPKQSQGSQLSLRSFFQKSSVPIDGINSSGTSDKTGDAPASDQFSKGDSMRYDEMNTKTDSVSKINMSLQDHAPADSLCSTVEEKRNVAVAEWQRIQEVMQSSIPLCKGHSEPCVSRIVRKSGPNLGRKFFVCARAEGPASNPEANCNYFKWAASKSNHKARGQ >KZM86487 pep chromosome:ASM162521v1:7:3294430:3297674:1 gene:DCAR_023621 transcript:KZM86487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGLLIKRANDEDTKEMKQQGLRVITWVVFYEELKRLSYIAGPMVAVTLSVYLLQVVSVMMVGHLDELALSSTSIAFSLAGVTGFSVMMTTTLLLKQQGMSSALETLCGQAYGAGQYQKLGIQTYTAILCLIIVCIPLTVLWINMGHILIFIGQDPMISREAGKFIIWLIPSLFAYATLQPLVRYFQMQSYIFPLLVSTGLTFCVHVPLCWVLVFKSGLYNVGAALSIGISMWLNVMILGLFIRYSSICAKTRAPISMEIFNGIKEFFLFAIPSAFMLCLEWWSFELLVLLSGLLPNPQLETSVLAICLNTIATLYAIPYGFGAGVSTRVSNELGAGNPQGARVAVFVVVIMALSEAIIISGTIFACRNVFGYTFSNEKEVIVYVTDMAPLLCLSIIMDSIQGVLSGVARGCGWQHLGAYVNLAAFYLFGIPIAAALGFWVHLQGKGLWIGIQAGAALQSFLLFIITVCTNWEKQVGPISYL >KZM88384 pep chromosome:ASM162521v1:7:27484843:27491381:1 gene:DCAR_025459 transcript:KZM88384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEGKMVFKSKLKWVGLVGLVLSAFSLCTHFLLARYTDDQAISQYQSAITIFSWRPIFENADLSSLKPLYRRLWGPVRRLESLHPHANPRGNYAVPVSQTNGYIFVRIRGDFHEIRSSISDVVVISRLLNATLVIPEIQSATSSKGISSEFKSFAYLYNEDQFMAALAKDVNIVKTLPKNLKGARRKKEIPTFNVPHSASPYFFLHHVLPVLNRHSVVELVVSEGGCLQAILPPHLEDYQRLRCRVAFHALRFRQEVQELATKILNRLRATRRPFIAYDLGITRDVLAYYGCAELFQDVHTELIQHRRSWMLKRGIVKGNLTVNSEDQRLNGSCPLMPEEVGILLRAYGYSWDTVIYVSAREVFGGQKKLIPFHAMFENVVDRTSLTSAWELNKIYGREPNLVDKYPRPPPSAKEEIKSEAWKTSGPRPRPLPPPPARPKSYNIEGWWGWVAESDNEPETTVMELRTNAHKLLWEAIDYTICVEADVFIPGFDRDGKGHPNFASLVMGHRMYQSAASKTFRLDRKKVVAHMEEIRDHLYEANHTWITSVRRHLRKNIIDGLAEESTKSKSLSFLSFPVPECSCLRPDIEALNHSSSASTPSQFPAGLGAMHPCPSWINNSTISLPNDKDTDEDLDDDVTTSQLLFRSSNENNEAEGEEINTKEDTQMEDQEELEGGDS >KZM86410 pep chromosome:ASM162521v1:7:2569289:2571790:1 gene:DCAR_023544 transcript:KZM86410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSSLEHPYLDLESTDPETSVFTEHKETHKIFVSTWNVGGVAPAQDLDMEDLLDTCNNPCDIYVIGFQEVVPLRTANVLGLDNKKVCKKWNSLIRKALNKKTCCSQEAKHAAEFRCLMSKQMVGIFISVWIRGDLHPYVRNLNLSCVGCGIMGCLGNKGSVSVRFRLHETSFCFVCSHLASGGRVGDEKLRNSNVTDIFSRTSFPRGPTPKMPGKIIDHARVILLGDLNYRISLSDIETRSLVGENEWDLLMVNDQLKKELMDDQALEGWHEGAINFAPTYKYYPDSESYYGTDPGKKGEKKRAPAWCDRILWYGEGLKQHLYTRSESKLSDHRPVKAIFSCEVSVVQTVGGYRSFFLSERFENKIASSRSLEKSNTRQSI >KZM87432 pep chromosome:ASM162521v1:7:16365023:16367389:-1 gene:DCAR_024566 transcript:KZM87432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQERSPDPDGEIKVSFGYYCNEKKSACDISDEYETPTGIKLRRTNSSFSCFSGAALSANATLANTNICNGLIGAEILPTWDSPTSFRKIPSSSSISKLDLLSSSLHSSLSNLSCSPSTPSEATESDFLSLKSMSAPSRSEGFLNAMEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIGVYLNLLEWECESNQTSVFPDLLEDDKFAKGGKSSLKVASTELSGKRLSSLPRQRVLDCLQRALSQAENDFLYMVEQEMEERPDIVSVGSCVLVVLLHGKDLYILNLGDSRAVMATYVEVGITKNKVLQAIQLTDSHTVDNEVEKTQLLNNHPDDPLAIVGGKVKGKLKVTRAFGVGYLKKQILNEALMGILRVRNLKSPPYVSTEPSLCIHEVSNADHFIILGSDGLFDFFTNDEIVKLVHSYILCYPCGDPAKFLLEQLVLRAADCAGFSTEELMSIPAGRRRKYHDDVTVIVIMLGTNKRTSKASTCL >KZM86227 pep chromosome:ASM162521v1:7:768904:771296:1 gene:DCAR_023361 transcript:KZM86227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYKGYYHLFYQYNPKGSVWGNIVWAHSVSKDLINWIALEPAIFPSKPFDQYGCWSGSATILPGNKPVILYTGIVSPDPENAQVQNYAVPANYSDPFLREWVKPDNNPLVGVHTENPSAFRDPTTAWFDGGHWKMLVGSSRKHRGIAYLYRSKDFKKWKRSPHPLHTKAETGMWECPDFYPVTPRSEDGLDNSKMGRGIKHVLKVSLNSTRYEYYTIGRYNRVRDFYVPDNTSVDGWAGLRYDYGNFYASKTFYDPIKKRRILWGWANESDSQIDDVQKGWAGIQLIPRRIWLDPSGRQLVQWPIEEVEGLRGSELHMRNQKLDMGVHVEVTGITAAQADVDVTFSFKSLEKAKSFDPEWKNLDAQDVCDSMGSTIQGGLGPFGLLTLASKDLEEYTPVFFRIFKAEDQKLKVLMCSDAKRSSLAEGLYKPSFAGFVDVDLSDKKISLRSLIDNSVVESFGAQGKTCISSRVYPTLAIYNNAHLFVFNNGTEPITVDSLDAWSMNSPSEMN >KZM87737 pep chromosome:ASM162521v1:7:19973100:19975906:1 gene:DCAR_024838 transcript:KZM87737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPSSNVESSMCPGSKSVAPGMKAMTLRHGINMVVDDVVTNLKSRAWMISTYEEIAQDCDPIVHPKVRIYNVLGIAIYVTVRRLSPGFEVFYGELLRSCIIVTEDVDEARRGAWLNNYVMLGVPEG >KZM88072 pep chromosome:ASM162521v1:7:24154930:24156910:1 gene:DCAR_025147 transcript:KZM88072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVEDKGDVGSLLDFFFKDVLGVSSSTTIPSHLEDKDTFSRLIRGLLKLTHIHPGHISYFLSVKPPVSIVSQLREYLERIGASDTVPLNCTRKDFFSNIVGGLLKVDHVERGHITLYLRVKAAVTIAILQQYEIMIGVMQVERLNSWSVERMDVMNAYGGLHGGVVASVAEMVAVACARTVVGKEKELFLGELSNSYLSAAPQNAEVKVDASVVRSGRNLTVVAVEFRMKESEKLVYTSRATFYNMPVASL >KZM88997 pep chromosome:ASM162521v1:7:32937589:32940144:-1 gene:DCAR_026072 transcript:KZM88997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGFLKYVMLFSLLVDFALTQSIVNVRDHGVVGDGITDDTQGLLRAWEATCKSSSSLATMHFPANFEFLTNPLDFTGPCKPDIVVVEVHGTITAPSEPKQWKCGSDNCDSWIRFSHVDGLSISGSGTIYGRGQKWWKIKDNNKPAALRVTNSKDLQISGLRFKDNPRMHIVIDGVQGAHIANLNIEAPADSPNTDGIHIGESTDVHIQNCTIGTGMYLLFVLRDDCISIGGGSKHMTINNIRCGPGHGISIGSLGKHGANDEVEYIDISDVVFTNTTNGARIKTWQGGKGFAREITFQRILSQNSDNPIIINQFYCDHEKCVDHDSAVKVSGVTFREVIGTSKRETAVKIDCSKTVPCEGIRIENVYLKTSRQGKKTLSYCNNGRGQLYGTVVPEVLLN >KZM88509 pep chromosome:ASM162521v1:7:28439578:28444254:1 gene:DCAR_025584 transcript:KZM88509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLEGIKNETVDLESALLKFLGFMWNPLSWVMEIAAIMAIGLANGDDRPPDWQDFVGIVVLLFVNSTISFIEENNAGNAAAALMAGLAPKTKVLRDTKWSEQDASILVPGDVISIKLGDIVPADARLLDGDPLKIDQSALTGESLPVNKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQMVLTAIGNFCIVSIAVGIIAEIIVMYPIQHRKYRDGIDNMLVLLIGGIPIAMPTVLSVTMAIGSHKLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKDMDKDTVLLYGARASRVENQDAIDACIVGMLSDPKEARAGITEIHFLPFNPVEKRTAITYYDSAGNWFRISKGAPEQIIELCNLKDDVSKRAHSIIDKFADRGLRSLAVAQQTIPEKNKDSPGDPWVFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQNKDPAIAHLPVEELIETADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIIHAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDKVKPSPLPDSWKLKEIFATGIVLGTYLAVMTVIFFYAAHDTDFFSDTFNVRSIRDKDHELVSAVYLQVSIVSQALIFVTRSRSWSFVERPGFLLMGAFIIAQLIATFIAVYANWDFANIHGVGWGWAGVIWLYSVIFYIPLDLFKFLIRYAISGKGWNNLIDRRIAFTNKKDYGRGEREAQWALAQRTLHGLQPPNTSELFTDKTNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDMEGIQQHYTV >KZM88165 pep chromosome:ASM162521v1:7:25091857:25094589:1 gene:DCAR_025240 transcript:KZM88165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKMEAIFDPERVIEEFEAMTKDAGRLQKETLRKILEQNGKTEYLQKWGLDGKTDPLSFTRCVPLVSHDDLEPYIRRIVEGDDSPILTKNPIKTISLSSGTTQGKPKFIPFNDELVESTMQIFTTSYAFRNRLFPLRNGKALQFIYGSKQFQTQGGLAAGTATTNVFRSPQFHKTMKAIHALCCSPDEVIFGPDFQQSLYCHLLCGLIYRYDVQVVSSTFAHSIVHAFKTFEQVWEEICADIRDGVLTSRVTDPTIRTAIAKLLKPNPELASLIHDVCSGLSNWYGLIPALFPNAKYIYGIMTGSMELYVNKLRHYAADLPLLSADYGSSEGWIGANVNPCLPPESATFAVLPNIGYFEFIPLKDISDFVSSEPKPVGMTEVNVGEEYEIIVTNFAGMYRYRLGDVVKVMGFHNATPELQFVCRRNLLLNINIDKNTEKDLQLSVQTAEKLLAAEKLEVVDFTSHVDLSTEPGHYVIFWEMSGEANDEVLKECCNCLDRSFVDAGYMGSRKVKTIGPLELRVLRRGTFHEILDHFIAMGGALSQFKTPRSVSSKNSKVLQILCNNVVKSYLSTAYD >KZM88336 pep chromosome:ASM162521v1:7:26911757:26913651:1 gene:DCAR_025411 transcript:KZM88336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQLMNCNFFNGEWVKDDSYPLYKPGSCSVIYEQFNCLLNSRPDQEFQQYKWKPMGCSLPSTRQKDGRKPSIWDTYAHFECLEVQLILSGYTNGANGDIACEYHKHKEDVHLRAETGLGASRFSLSWSRLYSEYRSVTSAYYRGAVGAMVVYDMTKRQSFDRIPGWLDELKVHADKIYAHWQQIRLGKS >KZM89130 pep chromosome:ASM162521v1:7:34160803:34161054:1 gene:DCAR_026205 transcript:KZM89130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSASPKGEFTTRVQQVGSCQGKGHPVIKSVRESGKSYTVSEFEAKAKSFERNYFEKSSIDKGALSPLEIESLYWKDYSYMA >KZM87524 pep chromosome:ASM162521v1:7:17726640:17731730:-1 gene:DCAR_024658 transcript:KZM87524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCCFSSSATNSRSIYSSASILQRIQQQHVIVSVASPGLQYNKFSLRSNSNSPSFPLAAASQDGAISTTVTSIENDIPLKKVRDTKISVAPPEESKGITPFDLNKDKSSVTITVVGASGDLAKKKIFPALFALYYEDCLPEHFTIFGYARSKMTDAELREMVSKTLTCRIDKRANCGEKMEQFLKRCFYHSGQYDSEANFAELDKKLKEHEAGTIANRLFYLSIPPNIFINAVKSASTSASSANGWTRVIVEKPFGRDSESSAALTTALKQYLEEDQIFRIDHYLGKEMVENISVLRFSNLIFEPLWSRQFIRNVQLIFSEDFGTEGRGGYFDNYGIVRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIQLDDVVIGQYKSHTRGGVNYPAYTDDKTVPHNSLTPTFAAAALFIDNARWDGVPFLMKAGKALHDRRTEIRVQFRHVPGNLYKRNFGTDLDHETNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSTRYSGEIPDAYERLLLDAVEGERRLFIRSDELDAAWSLFTPVLKDLEDKKTVPEYYPYGSRGPVGAHYLAAKYKVRWGDFAGDQ >KZM88396 pep chromosome:ASM162521v1:7:27559561:27564187:-1 gene:DCAR_025471 transcript:KZM88396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKKAAVPLVCHGHSRPVVDLFYSPITPDGYFLVSASKDSTPMLRNGETGDWIGTFQGHKGAVWSTCLDSNALRAASASADFSAKLWDALTGDVLHSFEHKHIVRACAFSGDTHLLLTGGFEKKLRIFDLNRPDAPPREADDSPGSVRTVAWLHSDQSILSSCSDSGGVRLWDVRSEKIVRTLETKSSVTSTEVSADGRYITTADGSTVSFWDANHFGLIKSYDMPCNVESASLEPKNGNKFIAAGEDMWIHMFDFHTGETIGCNKGHHGPVHCVRFAPGGDSYASGSEDGTIRIWQTGPSSQEHDTSLANGPDVKVKGSTDEVVRKVGNIHISEGESKEN >KZM86413 pep chromosome:ASM162521v1:7:2585368:2588666:-1 gene:DCAR_023547 transcript:KZM86413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKENSSDLVYGGGDSSQEKLVNHISGENKDSPDDKIGSCEKGIDFLGQSDMDFEGSRTKPSKIGNSGSQELTLSYLCENNNSKLGFSDKDVPGRNLLNLSDKVSYKGKEVVVSDDPIRDDRRWVERDFLQLNESRENSSKREVEYEGSDGGSREKKAKLETLDLSLALPDVSLSLAASDPGANGESRVPKPSRSVQSLAPSNNNTQTTYSNDFTNASMSYSYSHPFSHNASCSLTRNSTENYENSVGSHRRDCDYIWNAGEGTNGSVHSRFRPVGDGVVLSNHGGGAFPALQGNRPANKDLSNSLYRATSSDNYSFFPSELPARPQLDTQSGDSRGRGSDQMRGSENLDGGRERKLSRPERIIREIVSESVPVMAHIVQELSDEVIESTKEYLKSVIATPQKRQELLSLQNRLAGRSDLSSQTLSRANRNQLELMTALKMGLGEFLSTKNRLTMPELVEIFLLERCRNVNCKRILPVEDCECKICSNKKGFCSECMCPVCFNFDCASNTCSWVGCDVCSHWCHAACALQRNLIKPGPSLKGPSGTSEMQFHCLGCGHASEMFGFVKDVFMSCAKAWAPETLVKELDCVSKVFRGSEDLKGKELHRRADEMLSKLENKIMSPSDVCNFIFQFFNNAESMASRHASTDASKDLISLQGILRKDATPLSLSNSLPPKSSFYNTGSSSGRQDMMPHNLLQNDLKNSFMSEKIIEDEWSVKLPKKDGFESLESVVRVKDAEARMFQSKADEARKEAEGYRRMVRMKNEKLEEEYSEKLAKLCLQETEEKRRKKMEDLKVLENSHLDYYKMKLRMQADIAGLLERMENTKQQWV >KZM86757 pep chromosome:ASM162521v1:7:6528140:6546782:1 gene:DCAR_023891 transcript:KZM86757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIIIISILLSIFITILFKFITADGDFTLLSKRVKRDKFEDKVVWITGASRGIGEILSKQLASLGAKLIISARSEAELERVKKQLAGKYAPQQVMVLPLDLASGEDALKEAVQEAESFFDGAGVDYMIHNAAYERPKSSAVDVSEESLKMSSAAGKTPAPGQAVYSATKYALNGYFHTLRSEFCRKGINVTIVCPGPIKTSSASATSNSQEKELAEIGARRVEAATQKGNTYSLSLLFGNKRA >KZM88723 pep chromosome:ASM162521v1:7:30706751:30712501:1 gene:DCAR_025798 transcript:KZM88723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGAVQSPKSNMRRKWPHLGAVETIYEEESEHDQEQDDDDDDDDEDCTDSTPSDSIITSPPTSLHSAVKAWTMAKERETDVAIHVQGSCFCLHKEPLTSKSSYLKRLLKESCRITVSPPLNITAKTFELIADYCYTGAIVITPVNVASLRIAAELLEMSNVDDGATKGENLRQKTETYFRRAIAVNKEYASIVLRSCLSQMPEAETSANLVSKCIEALALVDDRDNVVDYLQDIKTVHCEDFRLIAESLYKKLTRTEGQDLLYRIVDLYFKEYTGNITDEQKMGICNYIDCNILSPQLLVHAVQNPRLPLRFVVQAMFIEQLNTRRTVISAANNHEIKRTYYESPESDTLGALLQRDAALRQVAQLKSAMDATSSRIQSLEKELSVMRQVVQDSSVVASSQIDSGRSASFRLDLERKVGRGQAGSASALSYRSFRRKERKISDEEQSWGDSSSITKAEQKNLGRRLMNGLKSAFGVGTSNKKNTSQTSGGSKVDGGIGREVTSRLETFDKSGSVVNPIPLFMADDVRSENFTQAKLFPSAGVLISFAMFEYHAQTLVVDTQY >KZM88081 pep chromosome:ASM162521v1:7:24188744:24189814:-1 gene:DCAR_025156 transcript:KZM88081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKVVGNDFCGSQKAVTSTLYPSLRELHLEDMPKLEEWVEDVTSTCVNYGQSVFPQLQEFEIFNCPTLRKIPISSFMSLKVLKIEDLESSMILEMISRDVSSLTSLKLKNIKDGEGSSFSSSSSNMESIINVLLRNNSQSFRKLHLDDCKGLKRLVLGVSLDSLRIHDCPHLISINLVQGSVSLTDLSIRNLPSSLLDRVFNQIQSSTVVDLYLGPFSDELVEFPLPFSSSSSSSFPNLIRLQLCGWENVRSIALVEQLQFTAFPALTELIISDFEGVSGLLLPIAKLPSLKILVIRNCKNLESLPMFDESHCLERLEISGCPILKERYCKGSGPEWFKIKHIPIVNLGYSLKL >KZM88883 pep chromosome:ASM162521v1:7:32026479:32033857:1 gene:DCAR_025958 transcript:KZM88883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISPPLHKKSYRSGNVAAGKTPYDDVFAARPKVKVPTLSPRDEDYSEIFEVFHASRGSSIPVLDLPAVGEDEDPDVYFDVRSSGFDYSEVFGSSNGFDFALYEQLFQQSKADNDSSNEVWTPAQSESLSDESDPSGCSEKNQSLSSGDIHQSYDDTKQFNISYHKANPKGKDNILDAMTHVTQLDAIPGYSFIISDTSPSKHVEVESLPVQIERNTDCGGAVTEGKRLRKTVSQPPISDIGLQGCKTEASVLAHNDKSRSPGKAFISVSDVNLRTRPSHLPPPSRPPPLFADRAENSSRLNSKFKASKSYVFERTNAGDSQPYFDVEIDASSSAAASAAAVKDAVERAQEQLSNAKEMMERKKDGLENRTKSHFKKDIGQRGEKNIKFDMLKSSKGDIFSPKYAREGSEIKTFAEENEKKARNMIHVASSATEGKEDIHSKQGKEHMQSQVPHETEGTVAWREATQLYEVVEEKKISEACRSADHSTSSDSGVGETGIATAVELQECQMQTKATSADHECQGNVEKFEVFKGGHEQVKIKAAQGSIWQEEHQEKSKLAQMGDECQHMDKETRGALQPGETNDKANAVDKYENDDIGTQDQLEENEVIFIQKIVGANESYEKVIEIMEAPERKGSGMERTASFDSIENTIKFSADVKQERGKFNEATRQSAKDKLEKEVFENHGNESKHVKTYEMGQNKEKQDEVAECKGKTNQLEEVFEQEESNNRLGVDLKWQECKKEQNFVSEAEKIEKGSKITIEQWQNTEREEKASEEAASEERPNDLSEQDETEKRLKEAWEKKEKWKRSREARESEKRVELLFKEDEIMDRSSEANVWIKEGKGEGFIEHDIVQEQLMRVVSDGILNLNQGNYTTMEEPKIFDGGSGHIHEPSVPVENESLEMEHKTHEREVEVNNEPQEANVNSNLDQNGLQYEGINDKNKDEPLCQIGITDMYNEDGIDQTTGIHIKKTSGTNEMASDIENANISTHTRREARRNFKGIQPDQHAFNQAENEDKFTAPRVVKKSVENERKPGNVLPAKKFTSQNPEVEETNFQKKTRLEEREKERLEKERDLENDFLRKLEEEKEREREREKDRMGVDTATREARERAFADTRERAERAAVERATAEVRQRALAEARERLEKASAEARERLIADKASDARLKAERAAVERATAEARERAIEKAKAEKASYESRDRVERPVTDKFSAYSRNGGFRQCSSSNDLQNLQHQGMGPSRDLKHSHSSVSGGLEGESAQRCKARLERYQRTAERAAKALAEKNMRDLIAHREQIERSRLAESLDADVKRWSSGKEGNLRALLSTLQYILGPNSGWQPIPLTDVITAAAVKKAYRKATLCVHPDKLQQRGASIHQKYICEKVFDLLKEAWNKFNSEER >KZM87478 pep chromosome:ASM162521v1:7:16972288:16972551:1 gene:DCAR_024612 transcript:KZM87478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNTAVLEIRITVFVSSYPQISLSRQNIFELENTELGSESQIARKPTSGRHNNLDMRSQLQLISKDSPLFSQPFKAYPLVTCPSST >KZM86488 pep chromosome:ASM162521v1:7:3313603:3315930:1 gene:DCAR_023622 transcript:KZM86488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQVSKQATLVGMLWTGVAPEVKALEENMASYFNILQGFLLLSHGSTVGAGPTLSSYVNTSMKQVIDSSFVLWKESVSSYGSHSKEQQKRTIPQLVGAVWDACSALKKTPATNIMAIGRAVTQVAVPMKDVLREMKELKPSSSDSAEASDEVSVNEECEPHVGDNSDDGDMGNDLTPEEMKVAQCAIDVVSDMLVVLKELIRTVTLFKKEDPDGSSKYVDSLEKLLKLCQGIGIQIDELGACLYPPQEHPAIGTASEKISGMIDEMLVELDKLDVPRGSFVQACADSKRSLGQLGSELAPASVN >KZM87199 pep chromosome:ASM162521v1:7:12326481:12328432:1 gene:DCAR_024333 transcript:KZM87199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSY-3 description:15-cis-phytoene synthase MSSTYYVAPKVCLRDQSNGKVVTFWNGIRAEATVTVPTRKIATKFTELSVQSIPHSDLHINEIVKRQTKRSFAYQSCRKPQFDPAFLEEAYEQCKNLCSEYAKTFYLGTLLMTKERQKAIWAIYVWCRRTDELVDGPNAAYMSSSVLDRWEERLYDIFNGRPFDMLDAALSDTVQNFPLDIKPFGDMIEGMRMDTRKTRYKNFQEIYLYCYYVAGTVGLMSVPVMGIPPESTVPAQSIYDAALYLGIGNQLTNILRDVGEDTLRGRIYLPQDELEQFGITEEEVFSRKVSDKWREFMKMQITRARYYFNQAEEGASQLDKDSRWPVWSSLMLYREILDAIEDNDFDNLTKRAYVGRTKKLLMLPLAYTRSLSISHFMSGRTNVML >KZM86951 pep chromosome:ASM162521v1:7:8782139:8788668:1 gene:DCAR_024085 transcript:KZM86951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPLLRRSPGRELKADNHKRGRSLEGGILFKEKEEDLALFNEVQNRERDNFLLQSSDDFEDTFSTKLRYFSDHKLGLAVPVRGESSNLLNAEEEKNDYDWLLTPPDTPLFASLDDEVPPLNLPQRGRPRSQPISISRSSTMENSRRSSRGSASPNRLSPSPKSSSSVVQARGRTSSAPHSSPNPSLRRVSPLRRPSPPPGKPSTPTRRSTTPTPRRVSTSSISNVASSGIRGISPVKSNRGNSASPKVRAWQSNIPGFPSEAPPNLRTSLADRPASYVRGSSPASRNGREFPSKSGRQSRSPTTARSIGSSHSHDRDRFSSRSKGSIASSGDDDADSLVSITVGSSEQSSTRRMSSSPNNRAPAFSKKPFRTVASNSAPKRSFDLALRQMDHRKSPQNMFRPLLSSVPSSTLYAGKTSPAQHAITSRNSITSSNASSDQVTGAEHDTEGSEQNQDDVTSAFMESLEAPLVYTKDEFYDSDKAGAVNESIMHETQDGSSSSQHADLVGSHEIYENHGNHCSGMETVAASGTSDAALDHDGPEDMLLCQSCGNMYYDTDLTDAEFKVCHDCRSNEYLTISNVVAAPITAGNFPVTNSRILEESGPFGTTDPSVVAPVSAGVTSIAESGTRQHENTSKENTGSYSEPIWDFLSTDSVLGNVVEESDQRHPNPQVVGQPTTSYCVPDGGTGDQQMKRSHDYPPVNASGGAGISVLLNRSSSGRGAFLQSRSFTASSNSYDDSSYVRDSAYSLRSSYGHGSLSASSSVDLGLYRQTEARVQRQLSGKQSDLENYRHDMNTKHRRTGSSLSAASNPALQTSSFTPGMLEQSPDVSLSQVRDDAVATLVDAQEHLLSSENMEEDDVRTDGESTYKCKTMDPSPSESSTNILNKHQLSTLTSFSNSEESSSYENVEDLTNNLKNVVVVEASTNSLESSVVGEETVPSSSVDMVEGPKFNSQSSFDKISEIETENVHQGTPEIPSDTVSTSSRSSMNELLDPHNTTTSDKDITLAAEPDISSDEHRTLEESTVMVVGKGGSKARSLTLEEATDTILFCSSIVHDMAYNAATIAIEKENPAPVEGSRPTVTILGKANPERKDAQPRTAAKRTSKPQKAKPRRDESDTKPPSNSSNDENIDVSTNRIVGAYDNDAKSMKAPPKLESKCNCTIM >KZM88937 pep chromosome:ASM162521v1:7:32445624:32447558:1 gene:DCAR_026012 transcript:KZM88937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKRPLVGDDSCFVSAKHPKFEDSNTQLVSVLEFPSKELGWKPYTSGKGYDRATNHSLESDGDLDTDTCNITDFPKECTEYSSGGNSNSSWASSCTSEEDLRLEVPFYTSVPLENNDLDYPSRSLARSRDSYACLLELPPQKLVPIGPDYQAEVPEWCPFGAKESSVCSYPAETIIAGPETSRMKPSDSFDSEVDIKFGGICVTPMPDMELITFDDYKIGYGRKGCSCVDPGTITCVRHHVFQAREDLRRLVGQECFTGLGLHEMGEVVAEKWSEEEEQIFEEVIISNPSSAGKNFWDCLSAVFPSRTTMEIVSYYFNVFMLRKRAKQNRSKSMNIDSDDDEWQESEDEYVDSEDDTESEGEEDSVIEYPACQGFTGGNWTQASSHDDGTGVVKNASNQYSTEFSDLDGISSLSEIWRHNYYGSDPIFSPSRSTLLDVWGDHNFDYHSPSATGAELQANKIKAGHSKSFAANFNQVSAKGGNDLFLEPCDDEVWDFEYSACHNDVEFFSTSNVMEVFGVEDSSNKARDDKLQ >KZM87138 pep chromosome:ASM162521v1:7:11400142:11400638:1 gene:DCAR_024272 transcript:KZM87138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWNKPPSGIWKINVNGHSDEPARLSAIGYLLAIYYGFKMAEEEDARYFEVESDSATAVYLVNNPNQNSEHSDILLDIRRMKDMAAPSCVLRYVERSSNLMAIRLPAYSYEKRSSITRLHCCPSDLFQELAADWYFSA >KZM87656 pep chromosome:ASM162521v1:7:18939715:18941429:-1 gene:DCAR_024757 transcript:KZM87656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLTAFELVHDNIPATLIADSAAAALMKAGRVNAVIVGADRVAANGDTANKIGTYSLALGAMHHNIPFYVAAPLTSIDLSLASGQDIVIEERSSKELLNSRGGLGEQVAASGISVWNPAFDITPANLISGIITEKGVITKTKLDAFDIKGYVEKICGGH >KZM88499 pep chromosome:ASM162521v1:7:28370342:28378669:-1 gene:DCAR_025574 transcript:KZM88499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPTLPPPPSISEEELEEELTDVGNKLLKPPSSTDELLKLLDELGCLLSKVSQEPTLSMLDALQPSMKALMGTEILMHNDTDVKVWVASCISEIARIMAPNAPYSDDEMKKFFQLSIVAVEQLSNTSGRSYSRAVLVLKTLANIRLCVLMLDLELDDLILKMFERFLDIKSLPDALLSDMERIMTLIVEESEERSFELMSVLLKSVKRENQYVSPVSWELGQKVLKNCAGILVLDIQKAVSTMGMAYTEFSEIVASICQVAPKTDNVDTQTFVSDAISLMKNDENAEEPRSGMISGGSDTLVHQIALTNCQQISVAPLSNSQPKDISKGAVAQQSQRKRGRKPNSLKKEEEGYDNSWVIGISSSNKTPCRGKNARKRSIPSNSSALAGSFSPSEPGKEPKSLAFSVNNVQGVSLPLPPVENCSTPENVHSRPQSGVQQKEKSNSSMNADNGLNLLSVSAGDLVKTQSEGTPVRVASKVSGSTGNPRGRRKRGPVNTASQGDGKAKRKRAARKSETGDAAVKNREGIIPSTTDGIGSSQEIKTDFPVLQLDAGVQKLGRSAPIGHATDKTSDEFAYGGASKDHGEELVGRKIKVWWPADDQFYKGVITGFDRELKKHKVEYVDGDQENLYLRAERWEIVEDEEDSEATEDTRPPLVTSANRFPSAGANSSHPGNLSETASASHKQGMLVRSKLKPDGAGRTKRRTVHDVHSKISPAHEASEHAGETSSIPVTVAGYRVKSANAPILTSIIARYGDIAAKCMFTSATVRASMLDVICDIVKRLRSGNAQQTLSRLDAMETELRDAEAAKIEVSWLQQCLTKCREAQGKIPSVLREMESSLWLVSKAAERDLAAAQKWAKESESCLRALAVVGKKFRDELALHESKASEWRNPLDELAKP >KZM86470 pep chromosome:ASM162521v1:7:3100831:3101256:-1 gene:DCAR_023604 transcript:KZM86470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKPNLHTLLPFLVLINLLNFPTLSSSETECTTYPCSPSPPSESATAGTMSPPQLTGGSVYPPPAPGGSLPTSPLPPGQASSATLPSPDSVVPWYPYYSKRPSHDTDQPSSTSRATRTVLIGSTVVLVFGFLVSSFQTFY >KZM86499 pep chromosome:ASM162521v1:7:3413384:3414649:-1 gene:DCAR_023633 transcript:KZM86499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGDLPIDLLSAIFRYLTNDVCYLPDLYQCVYVCSSWRHVAKELCQCSVVRTAPWLLIPREQPDRLVFDTGLYDSHNKTSIVFDNSSSSILSLGMPISSTDQKIIVYASHGGWLLLGPVFKWLPSESSESEHPLFLYNPLLRVVIKLPPLPSHLRLYDNTQFVMSESSPGDPKCILCIKINWGVLAFCKPSTVLPSSWVLSVQPSSSKFYVVSMIFHKENFYTMDDDRALYVHPGITDFFLNGNGSGDMSRTWPWPVIENKVALSTYKYNAINDDCFIQLVESKNGELLMVERIFGDEHNMRISFNVYRLTIRGSYKKKNWGSDKNNCYYYWKEVSTLEENEALYIGCNDSVSISVNVSDSNHLNTYKPNCIYFFDEYGDELCSYGVVNLENNSIDEFDPEDDAGPKFCRLFTPTIPGYY >KZM87758 pep chromosome:ASM162521v1:7:20152405:20152581:-1 gene:DCAR_024859 transcript:KZM87758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRVVSDDNLKTCTWAEVPDWYWLATLEDHAEVPIIEVPAVPEGSEVPAYGGKHL >KZM87278 pep chromosome:ASM162521v1:7:13612643:13613513:1 gene:DCAR_024412 transcript:KZM87278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLTQQPLDQEDDEMLVPHQELVEGPQPVEGPLLVEGPQPMDVVAQTESTNAVDNQAADEPQASRFTWTIENFSRLNTKKQYSDVFVVGGFKCQEHSGLMSLNMSYCAPLMGENHMLSS >KZM86845 pep chromosome:ASM162521v1:7:7576484:7580335:1 gene:DCAR_023979 transcript:KZM86845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTPGILLKLLQTMNSTTRVTGDHRSPILQVIGIVPALAGSTDLWPNHGFFLSLSDSINSTYVSLSEKDTDLILTNRLQIGQFVYIEKLEFDSPVPRANGIRPIAGRHPFVGSPEQLRVKLSSSSREFVIQPVSDTDLGHDPISTASLSKRPEIVKKDENVRELRTRQVVKKDIEDSEGGNGKVVNKVPQPQRFSSPAARKKSIGAAIELVRDPSPAGKLKRDPSPAGKLKRDPSPAGKLKRDPSPAGKLKRDPSPAGKMKRSSSPVPSKCVVPSLVAAKDDNRKTAKEPAIIVPSRYRQPSPNGRKQASPSTRRMSLSPGRRLSNGLKGDSSGKKKLANIAAGISKVSEALVGSSKGNRKNWDESPGAGTGSGEQKEKGGFRSKPDFQAILRTQEAISRRLSDVSITQHNQDESSGFENSNPNLGEGSFVTEKSSNVAPVIAVHEKKWTDGSVPLDSISSELAKLGKEAMQRKIVASTAAAEALQEAVATESILRSLSMFSHLCSTSKAGNPLPTIDGFMSIYSDVAKSAAVAESVFTSHKSSSPTNNISTNQSKFSLWVEAALATDLEVVSLLTNQNIEAPTTLQKSSSKNQSPSTADAWIRGYGMKETAELGTKLQKDMQMWFIRFVEESIDAGFQVFGKSGNTAGGLNGSPIAAILSQLKRVNEWLDRVVLKRDDLLTQKIERLKRKIYGFVIQHVGTTADMQIASS >KZM88906 pep chromosome:ASM162521v1:7:32197020:32198330:-1 gene:DCAR_025981 transcript:KZM88906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNEDPEHGVMMEHTSLQSLQIDPERSRFPFCIVWTPIPVLSWFIPFIGHMGLCREDGVILDFAGPNFVCVDNFTFGAVARYIRIDEGKCCVYRSDKDYKQDESGTDALTMTWDGALKKGTQEYQHLSYNILTCNCHSFVANNLNRLKFRNGGWNVVNLAAMIFLKGQWVNKAAMVRSLVPSIVVFGIGLYFGGATFLTFWALFTFLLVGWFLLGTYCFSNLIHL >KZM89221 pep chromosome:ASM162521v1:7:35071142:35084871:1 gene:DCAR_026296 transcript:KZM89221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSMTIAKLPVFYKQRDLLFFPPWAYALPSWIIKIPISFIEAFIWVLLTYYVIGLDPNAGRLFKQYLLLVLINQMASALFRTIAAAGRSLIVASTFGSFALLILFALGGFVLSRVNVKQWWVWGYWTSPMMYGMNAIAVNEFLGHQWNHVLPNTTEPLGVLILKSRGFFPYGYWYWIGVGALLGYIFLFNFSFTLALNFLNPLGKPQAIIPAESYKGRTKEGVNQQSFKDGSDHMAKNSPPFLSARTEDTAEAHNKRKGMVLPFEPHSITFNEIKYSVDMPQEMKEQGISEDKLMLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGHITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPIEVDSRSRKMFVDEVMELVELNTLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKQGGLELYVGPVGRHSCELIKYFEEIEGISKISEGYNPATWMLEITSPKQEMILGIDFTEVYKNSDLYRRNKALITELSTPRPGSRDLTFSTQYAQSFLSQFVACLWKQRASYWRNPSYTAVRFLFTTFIALMFGTMFWDLGTKGKTRQDLFNAMGSMYTAVIFIGIQNASSVQPVVAVERTVFYRERAAGMYSSLAYALAQRMPIWWRWYYWACPVSWTLYGMLASQFGDVQDVLVDANISVAEFLETFFGFHHDFVGVVAAVVSGFALLFAFIFAYSIRVTSKKDQRQYWVHRNEPYRFITAREFSEAFQSFHVGQRLGTDLATTFDKTKSHPAALTTDKYGVNKTELLKALTARELLLIKRNSFIYIFKIVQLVIMSSIVMTLFLRTELNQDTTTEGGVYMGALFFSVLMLIFNGLSELAMTIAKLPVFYKHRDLLFFPTWSYILPTWIVKIPLSFVEVAIWVGLNYFVIGYDPNAARFFKQYLILILVNQMAGALFRMIAGLGRIMTLAMSFGGFAMLILFTLGGFVLARGDVPDWWIWGYYCSPIMYGMNAITVNEFLGHQWSKLNKNTTETVGVALLKSRGFYPYSYWYWIGAGALVGFVCLFNYGYMLALIYLDPLGKPQAIIPKDGDATELAESAAEDTHEKKKGMVLPFEPHSITFDDIKYSVDMPQEMKEQGITEDKLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDTEKRKMFVDEVMELVELDNLKDALVGLPGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGMELYVGPVGRDSCELIKYFEDIDGVSKIQDGYNPATWMLEVTASSQEMILGVDFTEIYQNSDLYRRNKALIKELSSPRPGSSDISFPTQYSQSFFVQCWACLWKQRSSYWRNTSYTASMPVWWRWFSWICPLAYTLYGLIASQFGDMNDTYLEDAEQNVQQFVEDYFGFDKDYVWAIGLAVVGFTFTFGFVFAYSIRSINFQRR >KZM87417 pep chromosome:ASM162521v1:7:16165740:16171443:-1 gene:DCAR_024551 transcript:KZM87417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCFGSSEDDGKNGEQEVVKKDSFKEGSAPQSYHMTRVGSDNTKSRGGFDPKKELPLPKDVPTPHIAAQTFTFRELAAATKNFMPECLLGEGGFGHVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPNKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQAYDPNASVSQSSRVGSSTPRSRDRRSTHDAVDSPGHNGSPTTHKNSPDFRKRDLMTNSRNGETSGGSGRRWAVDDLEYTESQRDSPVSAGRTREPRNRDLDRERAVAEAKVWGENLREKKQGGAMDSFDATNE >KZM88973 pep chromosome:ASM162521v1:7:32694736:32694915:1 gene:DCAR_026048 transcript:KZM88973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAFSTSQCYSTSCCTCAQLPIFIGNSSSSVIEFDELRATSWMEPKILITSSCMIHCS >KZM88161 pep chromosome:ASM162521v1:7:25040917:25044873:1 gene:DCAR_025236 transcript:KZM88161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSSNDVVSRDADFGFAFNDSNFSDRTLRIEILADDNSTECFAGDDVSQSISDWARHRKRRREDVVKKEIAVDVDVIEDEQVVDCNQPDTEDGFGCENEDEDGVAMVEEAKPGDEAANCNHWNMDCTEVLRTITLHISSPILAAKSPFFYKLFSNGMRESEQRNVILRINAYDVCYMTVFDIVPVELLEEAALMDLLNFMYSNTLKVTTAPALLDVLMAADKFEVASCMRYCSRLLRNLPMTPESALLYLELPSSVLMAEAVQPLTDAAKQFLAVRYRDISKTHYPELEERQEILGSRLSRFIRFPYMSCRKLKKVLTCTDFDHELASKVVLEALFFKAEAPHRQRILAAEDSLNTNRKYVERAYKYRPIKVIEFELPRQQCVVFLDLKREECLSLFPSGRVYSQVFHLGGQGFFLSAHCNLDQQSSFHCFGLFLGMQEKGSVSFPVEYEFAARSKAAEEYSSKYKGNYTFTGGKAVGYRNLFGIPWTEFISEDSQYFINGVLHLRAELTIRQ >KZM87747 pep chromosome:ASM162521v1:7:20052996:20054422:-1 gene:DCAR_024848 transcript:KZM87747 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MEAQTQSKRILFFPLPLQGHINPMLQLANILHSRGFNVTIIHTTFNSPDPSHFPHFNFESISDGLSAAEASTKDVIALLSLLNVKCAAPFQECLARLLSGEEPVACLISDAVLHFTSEVARSFELPRLVLRTGGVSSFLAFAAFPVLQQKGYLLNQDLRLEELVPELPPLRVKDLPVIKTSNPQTLYQLVEGMVKETKASSGLIWNSFEELEKPALATLMQDFPIPIFPVGPFHKYFPASSSSLIPHDQTCISWLDKQESNSVIYVSFGSIAAISDIEFLEIAWGLADSKHPFLWVIRPGLIRGSDWIESLPDGYLEMLDGRGHIVKWAPQQEVLAHPAVGAFWTHNGWNSTLESICEGVPMICMPCFTDQMVNARYVTHVWKIGLQLENGLERTRIKIDIKRVMVEKEGKEMKERAEHLKEKVNKCLQQGGSSNKSLESLVCYILSC >KZM87837 pep chromosome:ASM162521v1:7:20991373:20991693:-1 gene:DCAR_024938 transcript:KZM87837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSFKWTEEIHTLFVELCASEVVKGNHVGTTLNKEGWSNVEQELHRQLKVVCVHKQMKNHWEKMKAHFQIFKKLKYGESGLGWNEMSKTIEAPESWWTHVIQVCS >KZM86922 pep chromosome:ASM162521v1:7:8425644:8426129:1 gene:DCAR_024056 transcript:KZM86922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPLYKLVMNLGRQNGCKKFVEVDGNGENEDDHHSEDDFDMSLNDLEENSMKPSTSNPKPKVKAKAKHKSKAKTKPKTKPKTKTTNNLPSPPISPDTVFKNLKIRRSPRFSPLQNTTNDSASANVSVNASNQSLFGHKVPRTTASRKGILVGSMTGGFM >KZM86831 pep chromosome:ASM162521v1:7:7445691:7454512:-1 gene:DCAR_023965 transcript:KZM86831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKMVVGVYVLGLSFSCLLLLAVPQLTDPSQVSALLAVKSSLIDQHNHLNNWNKGRDPCASNWTGVVCFQTIGPDGYLHVREILLLNRNLSGSLAPELGQLSSLQILDFMWNELTGSIPKELGKLSSLQLLLLNGNRLSGYLPDELGNLPHLRRLQVDENQISGPIPKSFSNLGSIRHLHLNNNSISGQIPSELSNLSTLIHLLVDNNNLSGYLPSELSNIPNLRIIQLDNNNFNGAVIPAVYMKLSRLVKLSLRNCSLQGALPNFSKLSRLKYLDLSWNQLSGSIPSDKLPENMTTIILSSNLLNGTIPQSLSNLPYLQLLSAENNTFSGSIPASIWQNKLLNATARLILDLQNNLLVNISGVLNPPENVTLRLHGNPVCNIANIKNITQYCKPEVGGEAKEISKTTSTTCPIQACPVDNYFEYVPEAPIPCFCASPIRIGYRLKSPGFSYFQPYIDLFKMYLSKSLGLSLYQISIEPYFWEEGPRLRMYLKLFPVAGVEHSNTFNTSEVLRINDIFTSWSFSGDDLFGPYELLNFSLLGPYSDVIIGRQGTSINKRVLVLVVVVAVVCAVVITITATVLITKKHSRNFPSKSSVKIDGVRSFTFEEMSTATNNFTDSTLVGQGGYGNVYRGMLSNNRIVAIKRAKEGSLQGEKEFLTEIELLSRLHHRNLVSLVGYCEEKGEQMLVYEFMPNGTLQYWLSAKSKEALNFGMRLHVALDSAKGILYLHTEANPPIFHRDIKASNILLDSNFTAKVADFGLSLLAPVSNDQGYLPDHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEILTGMQPISHGKNIVREVNLSYNSGTMFSIIDSRMGSYPSECVERFIALALKCCHSKPEDRPSMPEVVRELENILEKMPETGPDSSKPTYRESSSTSSVFLSNDEYFASLNMSGSNLVSGVMTSIKPR >KZM87154 pep chromosome:ASM162521v1:7:11733669:11741705:-1 gene:DCAR_024288 transcript:KZM87154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPKVAKACKAMKTYGIAERTVLPVLKNLLDLYDNNWVYIEDENYRVLADAFFDGQNDKCEKSTKAQPSLGNPEECKPQYRKYGLRSQKDQPSVPVNDFRDRPELARVIPPVGFSDKKVSETSSCLMEILPNYDDETPVSCRRKRKLVYQEEEDHSVELKSGDTTSNQIGGHYNGESVADEMPLYDAPLAIIRPGIEDPSHSNYSGMDVRYIEESNAKRETNTPSSIELASSSGGEVKISLICNSPDVRVRSLDAVLKAMEEKCLKSYNITDPAFSLKVMKDVCECFLSLGMVSTSSDPVNPEKGPPSVDGLETSKQLVVANSRLHKAAKFHCTLNLSNGSAKFQNLFRAQPCIPRSVVSKSLVGLNKIINASFFLDDITKGEESRKISMVNEINSERQPAFNYIPKNVTYRGAYVKFLLSRISDDNCCSSCVGDCLSQEIPCACTGETGGLFAYRPGGLLKEDFLEKCILMNQNLSPNLFYCEDCPLLRSEDSRVSGTCNGHVVRNFIKECWYKCGCSKGCGNRVVQRGITANLQVFMTPGEKGWGLRTLENLPKGAFVCEYVGEIVTNSELYDRNKQSAGEKHTYPVLLDSDWGSEGVLKDEEALCLDATYYGNIARFINHRCGDANMVEVPVEIETPDHHYYHETQGSGLCCVPYLQVAFFTSREVTADEELTWDYGIDFGDTNHPIKAFKCCCGSKLCRQYKKRKRAEPA >KZM87867 pep chromosome:ASM162521v1:7:21455835:21462755:-1 gene:DCAR_024968 transcript:KZM87867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPPHHHQQPPQHHHQQQQQQQQQQQQLHHHQQQQQVMMMAPQLNPYAPQIQHNNNGNTNNNNNNAGINIDSASAALMQHDPNRFTYNSSEPKPLEAINSQYSDGSAGFSMEPARKKRGRPRKYSADGNIALGLSPVGAVAVGGHGDGNSGGPGTGGVVAGSESSKKGRGRPPGSGKKQLDALGTYGVGFTPHVITVNAGEDVAAKITAFSQQGPRTVCILSANGAISNVTLRQPATTGGTVSYEGRFEIISLSGSLTMSENNGNSRTNGLSVSLAGTDGRVLGGGVAGALLAATPVQVIVGSFIADGKKPKSGLSPAPPPHMLNFGAQVPGVSPSEGGSSESSDESGSSPLNQGPGSYSNASQPMPPMYANAGSNIMFV >KZM88156 pep chromosome:ASM162521v1:7:25010147:25011466:1 gene:DCAR_025231 transcript:KZM88156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEKKYITIEDLEKHNKPDDLWISIQGKVYNVTQWSKVHPGGDVLLLNMAGQDVTDAFIAFHPANVWGRLDKFFNGYHIKDYNVSDVSKDYRKLASVLVKAGMFEKKGHTFTYFLCFATLLFIGCVYGVLTSDSIIVHMLSAAMLGLSWIQMAYLGHDSGHYQALATRRWNKVAGILIGNCVTGISIAWWKWTHNAHHIACNSLDYDPDLQHLPMLAVSDTLFQSITSKFYERKLTFDPVAKFFISYQHLTYYPVMCVARVNLYLQTLLLLCSKRKIADRGLNIFGTLVFWTWFPLLVSCLPNWTERVMFVLVSFCVCAVQHVQFTLNHFAGDTYVGPPKGTNWFEKQSEGTININCYPWMDWFYGGLQFQLEHHLFPRLPRRQLRSVSPLVKELCKKHNLPYRSLSFIEANVTTLKTLKTAAMQARGLLWEAVNTHG >KZM87707 pep chromosome:ASM162521v1:7:19598168:19598479:1 gene:DCAR_024808 transcript:KZM87707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEADKIILDTIKENTKMKETVTLLEEENKRLKDKIKLLEIHHSNNERMIDLLKRHRDEQQALGLHMIDPTKFAPPQIGKKRKLEHGEGSQVPRDEGEQKKE >KZM87977 pep chromosome:ASM162521v1:7:22774628:22775065:-1 gene:DCAR_025078 transcript:KZM87977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNHPPQLVRFSTDEEVAGEGVYPQQLPTPLVRETEVDSPRRQLRLEARPHPSRIPPQPGPDTMVRTRAASDIVSEGSSKKRLIIKLAKRNQAVEEGSVRAPLQTLSPEARPEQPAMLPQGEIQVDPASARPLLDLNKLPQDNE >KZM88164 pep chromosome:ASM162521v1:7:25059695:25065713:-1 gene:DCAR_025239 transcript:KZM88164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPVSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >KZM86994 pep chromosome:ASM162521v1:7:9550540:9550776:1 gene:DCAR_024128 transcript:KZM86994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYSSITADNARKIVASNRPHNFQVSSLRLYRLTLSKAGDITNRVTIDLVQLTSQTNHRKYSTNYNFALFLASFYHF >KZM88857 pep chromosome:ASM162521v1:7:31808957:31809443:1 gene:DCAR_025932 transcript:KZM88857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVQRKIYLYNVTFGLYMLDWWERCLFNTIVLVLMWFMCYNGIRFFSQLGSSGFKLGFEVTSLDMIDCLFSPDLFDIVVLSCAWDPEGNDSLCVIKGCKQLDCASD >KZM86309 pep chromosome:ASM162521v1:7:1552547:1581120:1 gene:DCAR_023443 transcript:KZM86309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQSPSTAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLGNIPQEDLNIIMNLNHKNIVKYLGSSKTKSHLHIILEYVENGSLANIIKPTKFGPFPESLVAVYIAQVCNFLIYCFNPTLNLVLTPDTCDQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEANINTHSVVGTPYWMAPEVIEMSGVCVASDIWSVGCTVIELLTCSPPYYDLQPMPALFRIVQDEQPPIPDSLSPAITDFLRQCFKKDHRQRPDAKSLLSHPWILNSRRVLHSSLRHSGKLRNEEECLVDVITSNGDDQGSVENLPVNYAEDSKDLLLVEAADINQCSKDKDSEHNLSEEISGDPEEDIFPDQSPTLAIYEKLEINASSFRLSSNDEADASSVFCEPSHLTAHEKVLVNDEAESLNFSREXDSSIKYGPSIPAPTDQDQSPQKALKAPMTSGENELSRFSDTPGDASLEDLFHPLDKTLEERVTEASTPKPSPILNHGSTVATDGRSDLAKQLRDAIIQKQTEIESGKRNDEDLIHLMIGVLKEDSIEGFDDRLPTDNLFHIQAVEYSKLVSSLRPDEPEDVIVSACQKLTAFFQQRPEQKFVFVTQHGLLPLVELLEVPKNRVTCAVLQVLNQIIKDNTEFQENACLVGLIPSVMSFAVPDRPREIRMEAAYFLQQLCQSSSLTLHMFIACRGIPVLVGFLEADYAKHREMVHLAIDGMWQVFKLQQSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASISGNSAFTPSEAMVSEINLPDYGKVKDGTADNSMMSVAKKLSCDSDSNLANSRKFSLSTVKPQPSTASLRSLETPPPNPKERETIDRWKSDPTRAEFDLRQQRDSNGIRLSTDRAMKPVDGSQNGLPATSQQEHVRPLLSLLDKEPPSRRFSGQLEYVRHFTGLEKHESILPLLQTSNERKTNGLDFLMAEFAEASGRGREHAKLDSMPKSTQRVSNKKVGLPPSNEGAASTTGMASQRESGVLSGSGVLNARPGSSTSSGLLYHMIPPWNADLALEYIEKVADLLLEFSLSDTTVKSYMCSQSMLSRIFQLFNKIKPAILLKLLKCINHLSTDPHCLENLQRADAIKHLIPNLNLREGPLVYRIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMHFVMTGSPLKQYALPLLCDMAHASRNSRELLRAHGGLDVYLSLLEDDIWSVTALDSIAICLTHDNDNKKVEQAILRKDAVQKLVNFFKSCPEQHFLHILEPFLKIISKSREINITLTVNGLTPLLISRLDHQDAIARLNLLRLIKAVYEHHPHPKQLIVENDLPQKLRNLIEERRDGQSSGGQVLVKQMATSLLKTLHINAIF >KZM87617 pep chromosome:ASM162521v1:7:18653481:18654516:-1 gene:DCAR_024732 transcript:KZM87617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPDSTTLDKSGKIFVAGHRGLVGSAVVRKLQNLGFTNLILKTHSELDLTRQNDVESFFSAAKPQYVILAAAKVGGIHANNTYPADFIAINLQIQTNVIDSAFKNGVKKIIFLGSSCIYPKFAPQPIVENALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQFNFDAISAMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVRGDKEVVVWGTGSPLREFLHVDDLADAVVFLLDKYSGLEHVNVGSGKEVTIKELAELVKDVVGFKGDLVWDSSKPDGTPRKLMDSSKLAQLGWVPKVSLHDGLVDTYKWYLENVKQ >KZM86353 pep chromosome:ASM162521v1:7:1926239:1937344:1 gene:DCAR_023487 transcript:KZM86353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELAPAGIPNGNGHHFHHQSSLATNHRLRKPGRVSRPRARRADALASGARVSSEQVQTQQVQQTLTPTQTPCTDFDKAYFHSYAHLSIHEEMIKDRLRTETYRSAIMQHQDYIKDKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAAQASEVVKANNLSETVIVLHGRVEDVEINEEVDVIISEWMGYMLMYESMLGSVITARDRWLKPGGLILPSIATLYMAPVTHPDRYGESIDFWRNVYGIDMSPLVPLAKQCAFEEPSVETISGENVLTWPHVVKLVDCYTVTNQELESITTKFNFQSMLRAPFHGFAFWFDVEFIGPGTFSAYSDSPPQQYSNPTIEGSQRTKRANPNKALILSTAPEDPPTHWEQTLIYFYEPIEVEQDQHIEGSVTLSQSRENCRFINIHLEYTWSIFREGVCIEMIILHSSVQVHWCHYSNGKAPNYSYYLPYYCLWSIGKYRSISAASSFITSPTPASNCISAANHLSAIPYDTKAPCLFSFKS >KZM87329 pep chromosome:ASM162521v1:7:14555605:14561343:1 gene:DCAR_024463 transcript:KZM87329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKQVPDWLNSSLWSSNPPPPPPRQPSPPPSSSVVRKPVVPAPIKPPEPVKVEARDPLRDGVSSSGSDHDYSGAPSPSSSSTLEDVSKQALLLQEISNKLISMSELRRLASQGIPDGAGVRSTVWKLLLGYLPNDRGLWPSELAKKRSQYKNFKDELLMNPSEIARKKDNSISVDHDEPRTESKGLLSRSKITQDEHPLSLGTTSAWNKFFQDTEIIEQIDRDVMRTHPDLHFFSGDTPFAKSNQASLVMFIEALRSILIIFAKLNPGIRYVQGMNEVLAPLFYVFRNDPIEENASNAEADTFFCFVELISGFRDNFCQQLDNSVVGIRSTITKLSQLLKEHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFTFADSLHIWDTLLSDPEGPQETLLRVCCAMLILVRRRLLAGDFTSNLKLLQNYPSTNISHLLYVANKLRSHPVG >KZM88582 pep chromosome:ASM162521v1:7:29434418:29441825:1 gene:DCAR_025657 transcript:KZM88582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTLVQSTYFASSVAVQRNIQNLKSEKTRRSVKMMSTLRTVPLRMTSFSGLRGANALDTMVNSGQDFHSKVAAATSIRRGRACRTVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVFENLGADPNNIRTQARHAILVIRMVGESQEAGAVVGGGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLVGEPGVGKTAIAEGLAQRIANGDVPETLEGKKVITLDMGLLVAGTKYRGEFEERLKKLMDEIKQADDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALESAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKELRQITKEKNEAVRGQDFEKAGELRDREMDLKTQITALVEKNKEMSKAETETGDEGPVVTEVDIQHIVSSWTGIPVDKVSTDESDRLLKMEETLHTRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKGKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLNGSSGAPPESLPEPIAV >KZM87280 pep chromosome:ASM162521v1:7:13632923:13634913:-1 gene:DCAR_024414 transcript:KZM87280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQRLAIFRPFSFNKSFSVSKSLVLVVLIFFIFLASLSGILNSSKSNFMYSLQNKWTTTSLQPSGTDISPTNISHIVFAISSSNKLWPRRRNYVEAWWRPNSTSGYIFFDKLPQNPWPNSPIFKVSEDTTKFQVFSSHANPPVIRIVRAILEAYRAEEEPRNVRWYVIGDDDTIFFVDNLVSLLKRYDHTKYFYIGGISECRKSNDDFSFHMAYGGAGFALSRPLASALVTHLDYCIEKYKLLAVSDIILQSCIADIGVSLTPHKEFHQIDLVGDISGYLSSAQSPLVTLHHLDSALPIFPSMSRYESVKHLMKAGNSSHQSRLLQQTICYDKHRNWTYSISWGYSVYIFEQIYPRSVLRKPLETFRPWNPRSRPPHYMFNTRKLEPDGPCKDPHVFFFESQNVTAGGHLVTSYTKSRPYRRRLPSCSTNSADHVSKIQISSTLASHEMDTGAQCCDLLNTHHRNITTAKLRTCMEGEIVA >KZM88767 pep chromosome:ASM162521v1:7:31155236:31156813:-1 gene:DCAR_025842 transcript:KZM88767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSYARCFPELGMDDCNNFNHLMQNDDYHHTYAATNTHSQHLSSFSSEIIQTGIPAANRHPRGVNMGGSAQPAGSHQFEWVLKPKDEEIVYNDELMLSFPSSFNWKSGGTSSSISNKRKQYLFPSAPLTAKDHILAERKRRENISQRLIALSAIIPGLKKMDKASVLESATKYVKQLEERIRSLEEEVKKKKSLESVVLVRKSQISGSEEGSCCDDDQNCLELSAGTTDHQGLPEIEIRKSDKIILIKIFCEKYKNFLPKLLAEVEKLHLTVLNSIALPFGSYAMDITISAQMDAEFCLSRKDLIRNLHSTFNGFI >KZM89307 pep chromosome:ASM162521v1:7:35857282:35861444:-1 gene:DCAR_026382 transcript:KZM89307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNRVPREALDNRRGYHPYHPQGHVGRGPLPPHPALLEEELEIQHLEIRRLFGENRRMVDDRIAMQQELGAAKEELSRMNFVISEIRADQERHSRELIERGQKLEAELHATEPLKNEAAQLRSEVKKLNSVNQNLSVQVKTLTKDLEKLQKDNQQIPLLRAEVDGLHQELMRARTAFDYEKKANIELMEQRQAMEKNLVSMAREVEKLRAEHPNADVRPWAAATGGKYGMNVNTSEGGFHAPFGDGYRVHMGAVEKSPLYGSRSGSGSVPGSWGGLEKPHMTRR >KZM89337 pep chromosome:ASM162521v1:7:36049985:36056113:-1 gene:DCAR_026412 transcript:KZM89337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGTSGAGDGFEVTKYGHGRVALIGFPSVGKSTLLTLLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTAQLTHVDEKLCYQILHEYKIHNAELGITAAFEFSTMYCILILFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVVGIDDVDRLARQPNSIVISCNLKLNLDRLLAKMWDEMGLVRVYTKPQGQQPDYTDPCVLSSDRGGCTVEAFCNHIHRNLVKQIKYVLVWGTSAKHNPQTCGLSHILEDEDVVQVVKNKDKQGEGRGRFKSHTTGPARISDRVKKVPLKT >KZM87459 pep chromosome:ASM162521v1:7:16587225:16596112:1 gene:DCAR_024593 transcript:KZM87459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFATFPSLLCLFFLILHTFAAPSPTLLFQGFNWDSCNKQGGWYNYLINSVSHLAAAGVTHVWLPPPSQSVAPQGYLPGRLYDLNVSAYGNEGELKALVKAFNDKGIKCIADIVINHRCAEKKDSRGIWCIFEGGTADDRLDWGPSYICRDDTAYSNGKGNLDTGLDFSGAPDIDHLNLRVQRELSDWMNWLKTEIGFDGWRFDFVRGYSPSITKIYMQNTSPEFAVGEYWDSLSYGPDGKPNYNQDNHRNALAQWVQTGGGVVTAFDFTTKGILQAAVQGELWRMKDSNGNPPGFVGISPGNAVTFIDNHDTFSQKMWPFPSDKVMQGYAYILTHPGIPSIFYDHFFDWGLKDAISKITAIRIRNAISETSSVQIVASDADLYVAKIDDKIYVKIGSRYDVGDLVPPNYKLRNDLQEYAKVLVVGAGGLGCELLKDLAFSGFKNLEVIDMDRIDVSNLNRQFLFRFHDVGKPKAEVAAERVMERVNGVNIVPHFCRIEDKELDFYNDFSIIALGLDSVEARSYINSVVCSFLGESISSCGFAAEEYDADDNPREETIKPMVDGGTEGFKGHARVIMPGITPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHDGKTFDPDDPEHMQWIYSEAVKRAELFGIPGVTYTLTQGVVKNIIPAIASTNAIISAACALETLKIASGCSKTLSNYLTYNGVDGLHTKVTEFEKDKDCLVCGPGILIELDTTISLKKFIDQLEDHPKLLLSRVSVSYRGKNLYMQAPAVLEEMTRSNLDKPLHELMDKCPKDIVHVTGAAGKSGSKQSCLRKLRVVFKVNDGVIDMDVANGA >KZM86376 pep chromosome:ASM162521v1:7:2134207:2138471:-1 gene:DCAR_023510 transcript:KZM86376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGILWPSVTTSYYDTLQDASNWWNHVNYSDAWQNRIYHLLAALYAFVAAIALVQLVRIQLRVPEYGWTTQKVFHFLNFLVNGVRAVVFVFRRDVQNFQPEIVRHMVLDVPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFLTINGVVYAIQIVLWLVIWWNPIPALVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCIMMCFNAFDKAADLDVLYHPVLNFIYYLNFLVSHRLSLGLINNYILIYNLAVGGDPAFHSSPFHSEKVASKERDHAVSPYSLTRSWIVYYKLELSKG >KZM89350 pep chromosome:ASM162521v1:7:36191844:36200538:1 gene:DCAR_026425 transcript:KZM89350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISFKVSNKGSRFHQRKHHPHKQSTTDNPAATCTPSAADDVIQPTLPVKRQIQTYLPQANQDADHISDSQVSFTFNLLPDGYSITKPTQNDIRSQTSNLPKSQRPYDTTSDALFTAIESGRLPGDALDDLPCKYVDGSLVCEVRDYRNCSSEPGIDVPSANSPVVSKVRLRMSLENVVKDIPLISNDAWTYGDLMEVESRILKALQPQLCLDPTPKLDRLCENHVSSKLNFALCRMRRKRLRQIPEATTSNNKIHGKKTRIDGLPENSSYRLADSGTTMQPHVNENFAIQNGGPSNMLPLRPRNVMPEASVPTSSLGSHQSKYQMGVGNSKIYQDSGAGPISNAPGGSPAGQDMLSSYTDNMNTTASSFHGKRENQDGQLSPLSSLNKRARLSQVGLDGGQQQHIGQQMDGFHGTDSHWKNTLMQQQTMGRGLQYANPGMQRYPQQMFEGGFSQEGGALPLTGGQQGLRYGLKEEPVEIERMEKSDISGSKIDMHMMEGEMNRMDSQQSRQQHRLPQMRSSFPQTPWNSLGQPLENISRKEDQFQKRKLVQSPRVSAGGLPQSPLSSKSGEFSSGSVGAHFGAVAATAAFGSSQKEKAVTSVHAIGGTTSLASSANDSMHRQQQAQNAAKRRSSSLTKTPLMSGVGSPASVSNMGVPLNASSPPVGTPPLGDQIMLDRFTKIELVTARFKVNCKKNRVDDHPLKKSNIYSAQQLMHHLSSDANTENLKDESCKMPLSKSLVGGSMNVCKTRVLNFVPPDRSVQGCSVFAIADLDSSVCNWLPVVAKSRNRMIMSEKPNDGTVAMHYGEIEDADYLAAEDYLPTLPNTHIADLLAAQICSMMIREGYTVEDHVQPKPVHMNRAPGSYSNATGIPTNASAIEMHATEAVSTQPSNEITKPSNGGMSSLNSSQNMSSTRMLPSGNTQPLQISQGLLAGGSMPSKFQQPDSQQSLQQQLHQNQQQSLLQQQHHQFQRSPLMLAANPLSHLSTMGQSSSMQLGNQIVNKPSPLQLQMLQQQQQQLQSQQPQMQRKMMMGIGNVGMGNMGNNMVGLQGLGNMMGMGASRGVGGAGISAPMGSISGLNNVGQSTMNLSQAANISNAITQQLRSGQLTPAQAALMATKLRMQNRTNMLGSAQSNIGGMSGARQMHPGSTGLSMLGPTLNRATISPMQRTGMGPMGPPKLMNAYMNQQQQQQQQQQQLQLTPQQMQQFQQQQLQQQQQQQETSPLQAVTSPPQVGSPSNMGIQQQLNPQSQQQQQDSSQQMSQRTPMSPQISSGAMHPLSAGNQEGCPASPQLSSQTLGSVGSITNSPMELQGGNKTTSAGNS >KZM87021 pep chromosome:ASM162521v1:7:10218385:10219876:-1 gene:DCAR_024155 transcript:KZM87021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKGSDNFSNFKNQTVDFSDKITADNISQYGFLLETEMLQAIQKGNSRLLKIARTRIRYLYERTSKKLFTEAVNGKEEAIISLTTDLLNSGEWERASRLRNEELAFGKQRAIAEKQHKNTIDFIWAHKDLVHPNTLKCDLDHDNDAVRMALNQIHYGSLRRRATQHDNVQQPSSPRNQKVQSINFIFSHKDLVDEQTMQGVAYNSDKAISDALQQIHRHPRIKPGHGNSTRTPYKDTLLKSPSGPRPVFNSFIPEPLSPQPSKKLDLYFTGFSDEASCEEIWKGLKKWCRIRDIVIPSKRDRRNRKYGFIKFFSPEDAYGLLHSKSPVYIKDRKIVFDWQKGPQGSSSNEKARPSNFTKDPQSPLDTPVVEFPTEGLPEWMERVARSVRIELANDYAPDSLWEILVANGIYHVEVLKLGPSVFMLSCKDVGSKKELDLSALELSVLSQRDVAIKDLILPRVTGIRLHGLPVCA >KZM89340 pep chromosome:ASM162521v1:7:36076729:36084543:1 gene:DCAR_026415 transcript:KZM89340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEKAILISFDEYGTVNPQLKSQAVAFCQQIKDNPLICSICVERLCYSKLVQVHFWCLQCLHEVLGAKYSSMSPDQKAFVRKSLFSMACFEGIDDNNSIRLLDGPAYIKNKLAQVLTTLIYYEYPGVWSSVFLDFLPNLSRGAVVIDMFCRLLNSLDDELISLDYPRTPEETSVAARVKDAMRQQCISQIVRAWYDIVSMSRNSHPEICASVLDTMRRYISWIDIGLIVNDTFLRLLFELILVDGGLDQLRGAAASCVLAVVSKRMEPHLKLNLIKSLQISRVFVLLGEDKDSELVANVAALLTGYATEVLECYKRLNAEDKGTSLDLLNEVLPSVFYVMQNCEIDTTFSIVQFLSGYVATMKSLSPLRETQLHHVGQILDVIRIQIQFDPVYRDNLDVLDKIGKEEEDRMMEFRKDLITLFRSVGRVAPDVTQMYIRNSLISSVAAPPERNAEEVEAALFLFHAFGESLSDEALRTGNGLLKELVPMLLSSRFPCHSNRLVALIYLDTITRYAKFVQENNQYIPLVLAAFLDERGIHHPNVNVSRRASYLFMRVVKLLKAKLVPFIETILQSLQDTIAQFTRTHCVENDLLVAENGSHIFEAIGLLIGMEDVPVEKQTDFLSSLLSPLCQQIEALLANAKVQNPEESPAKLWNIQQIIMAINALSKGFSERLVTASRPAIGLMFKKTLDVLLQILIIFPKIESLRYKVTSFVHRMVDTLGASVFPYLPKALEQLLVESEPKELVNFLVLMNQLMCKFRTAVQDIMEEVYPVIAGRIFNILPRDGFPSGPGSNTEEIRELQELQRTVYTFLHVIATHDLSAVFLAPKSRGYLDPMMQLLLHTSCHHKDTLIRKACVQIITRLIKDWCSKRFGEEKVPGFQIFVIEAFATNCCLYSVLDKSFDFRDANTLVLLGEIVVAQKVMYEKFGNDFLTHLVSKGFPAAHCPQALAEQYCQKIQFCARKVCTFACSRKNLELNNSLTDNYISLHIAGIVSVYNFSQRSYGIERCIFAEAVT >KZM86618 pep chromosome:ASM162521v1:7:4949811:4950420:-1 gene:DCAR_023752 transcript:KZM86618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHVKSMNSKNSYSLKNENLGTCSTYGYAAQCEECFKSEGIRANATKDPFVCNKKLKVTCGVPAVDNIEVPKTPPSFLREVYNSQEGLLKNGSSLYHT >KZM88437 pep chromosome:ASM162521v1:7:27850133:27854275:-1 gene:DCAR_025512 transcript:KZM88437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSARRDQDLAFLVVQFLDEHNFTETARMLEKEGKLYLNMKYVEEAVREGKWKELEDYILCFTKIEDNTFSLQLILEIRRQKYNEALDNLNWQHTMCKYPKLNPAVSTLYVDHTCGDAVVQSLDDGDFRASANLTPSNTDEREMPNIPDTNEQAQLYCLRLPDPILSQRIDRLTYTNSGDAIVARSCTGVYKFWKWGVANDNPTGKATIRVVPPVFSANFDISLGENVPFVPYFALSKNDGYVASVSEAGKVSLFNCVASAFKANATAVFLYT >KZM86390 pep chromosome:ASM162521v1:7:2349743:2350161:-1 gene:DCAR_023524 transcript:KZM86390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLALRLITTLFMVVMLLMSGGIREAEGRTCESQSHKFKGTCLSETNCGNVCKNEGFSGGNCRGLRRRCFCTRHC >KZM89306 pep chromosome:ASM162521v1:7:35849247:35851391:1 gene:DCAR_026381 transcript:KZM89306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKPDQQLKLRFMLWFKPAELEPTWGNLLDNGGFNGQKNATFPQRSNCSITLYQDAHHHSTFQPPAHLCGTPRKLWEDVYKAIDDAKYLVYIAGWSFNPKLVLVRDSHTEIPHARGVRLGELLKRKAEEGVAVRIMLWDDETSLPIIKNSGVMRTHDEDAYAYFKHTKVICKLCPRLHNKFPTMFAHHQKTITVDSRGQLFSSNREIISFIGGLDLCDGRYDTEEHSLFRTLNTEFHSQDFYQINLSGASLHKGGPREPWHDVHACISGEAARDVMTNFEQRWTKQCDPSLLVPLNSIKELSQQPNSITTTAEKNWKVQVFRSIDHISANINFRVERSIHEAYVEAIRRAEKFIYIENQYFIGGCHLWEKDKQCGCTNLIPVEIALKIASKIKTKERFAVYILIPMWPEGVPESETVQDILHWTRETMKMMFKLVGEALQDSGESGHPKDYLNFFCLANREEKRQGEFIPPSSPHHATQYWNAQMQRRFMIYIHSKVMIVDDTYMLIGSANVNQRSMDGQRDTEIAIGCYQMDNEERKITQGEIHRYRNSLWYEHTGQAAEIFHRPESLECVQKFNSIGDQMWKLYQGNKVVDMEGIHLVAYPLSVREDGLVEDLVEHNGYFPDTKAPMRGRRSKFLPPTCTT >KZM87458 pep chromosome:ASM162521v1:7:16583976:16586028:1 gene:DCAR_024592 transcript:KZM87458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCSCQAELDTMPCTYNFKKVKSHLRLFEPSVRILFVIFVTVLVTCCFLYLNYGDLSKGLQLSMQAEKFSGLRFHRDGGSRRKIEFLSEKGGSCDVFEGGWVWDESYPLYQSRDCKFLDEGFRCSENGRPDFFYTKWRWQPKACELPRFDAKLMLEKLRNKRLVFVGDSIGRNQWESLLCMLSSAVANKGSIYEVNGKPITKHKGFLVFKFEEYNCTVEYYRAPFLVSQGRPPAKSPSNVRSTLKLDQVDWSYFKWRDADILVFNTGHWWNFEKTTRMGCYFQEGREIKMEMQIDAAYRKSLDTVIGFIQREVNTNKTQVFFRTYSPVHFRGGDWRHGGGCHEEKLPEPDTYKVPSYSLGNYNIFKEVLSSQLSKSHGSQLEVLDVSNMTSRRKDGHSSIYYLVPHSSHSRQDCSHWCLPGVPDSWNELLYALFLKREASLVMNSSKMWTTS >KZM88177 pep chromosome:ASM162521v1:7:25215750:25217219:-1 gene:DCAR_025252 transcript:KZM88177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAISALILLTVFTSACWNPVVFGADDASNDVNSALCGVDLRPFLPLPYNTLPNIVCKPLWNSFFLRYSRTADNIFTIVLSAPYTTGWVGMGLSKDGMMLNSSAMVGWINENGLARIKQYYLAGFTPSQVKPDKGELPLTSVPPFVTVYGATIYLAFQLNAPVSSLTSQAILLAYSTRYPNHHRLTPHNDKTTIRFDFAAGNVGSVSSSGARGASDIFRTIRTHGILGLLGWGLILPVGAIVARHLKHRDPLWYELHVAIQFIGFIIGVAAVAVGRSLYDRIHANSPTHRGIGIFVLVLSILQVLAFFLRPDKDSKNRRIWNLYHQWFGRIALFFGALNIVLGIQYANAGNEWRLGYGFLLAIILLTCIVLEALLKLRKAKEAHSPPDFQMNSL >KZM86935 pep chromosome:ASM162521v1:7:8563220:8571146:-1 gene:DCAR_024069 transcript:KZM86935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRVGDASAENGKNKEEEIDTCRAVYIDTNLDTHLALVVSEFDSVLDIKKKVMLEHLQCFPKLGEIRIQALKVKRKGHFYHLSDSMRVNRAFEGVKHSWFLFVDASYSKQLSENEQSCRPNMSNQSPLLCLPYNIANTECNNDDASTSAILKSASVERLSNQKAQPIPEASGREFFEVIVTNHECSGAEIKTVSADLNRVPDLPSGGTIASNIQCSDSVEPSSETGLSVKKKKQKIKKHNVDELGDCLTKENIALSHVSGGEALCHEILLSTNMVVNAEKSESKGDALLENNGLFCNPTQMTSKEATILPKGQCDSQIIALNTISPESTDADQTPLNLRIENMKSKKKRKRDEMDLPSPDDHERANIPSNLLINTEKKEHKEIGDPSKENKVPVSTSKEEIELPRNFEEQNNSANIVLDTLSSEPADTPHLRTKPDIKNKRGEKKGKKDVKRKKDVTFNLPSAAVLPLCVQDAGVDESFQEKNNFLNHVSGEDESQHEVPSAEKTEIVGTSGDASKENKVLVSTNETSTQEIEMKEKCQKIVVDTFPSAAADSEHVKTGTGIKSKKNKKRKTDAVINISNSAKDAGGGESLNDKKSASNNVSAGDEVHHENAVLANLSVDGERTDCEKIRDSSEQDKKSLPSEKTFTRGTGLTGNTVEDRRPVVSGTISRESADNEHSITNSSIKHKRKKKSKKDMTVNISSAIVLHSSAQNIVEEESYTEKNIALDNCSGKDESHQEVAAPANLLVNSERTELEESSGDHLEQNKGSGSKHKNSSAQEMDLKLNSVQDQDNSQNVVSDTLSPEFAESEHPGAYSDNKKLKKKKRRKMDAASTLSGANVLPSSAQNVVEEESYKEKNIALDNGSGKDESHQKVSAPANLLVNSERTELEESSGDHLEQNKGSVSKHKNSSAQEMDLKLNSLQDQENSQNVVSDTLSPELAESKHPGASSDNKKLKKKKKRKMDAASTLSGANVLPSSVQDAAGEESLKEKNIPLHEDQSRHETVTPAKSLVNAERPEQEEITRDPLEKGKDGFNATSTSIELNLDSDAAPISNTNDSVNVQSAKMMDDKSKRDQAILITPSQPAVSKSESDRIDLKHYLLSGKTEDVADSVKSGIKRSERDDKTKKKTKKSTPTLLTSHDHTLTLQSSKNLEGGVKSHNKCSSDIDPQESFSKDASSGSHPPSKKSTSNVYQKEPSSSLGPDKARTPHSVLLASGRGIFVNSTPATAYRKGKNVTPAALGSSSESFDKLDRGTKSKNRVSTADRRVVSCAKGTSEVKSRPLQKKSLLTKAGSIFKDDSSDSSDNDEASEHFNARTSSEDVLSSESDRESDINLDSPTKGSSGLDKKEDAENIEKKRSNLRNTTMDMILRSSSRYKKAKLTAESQPEVEDSESQPVDCVPDSQAI >KZM87212 pep chromosome:ASM162521v1:7:12502565:12503674:-1 gene:DCAR_024346 transcript:KZM87212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTKSKEARTVRNRESVEEESHLAISSGADSSPQIYALKSEASLNLGKHQEAYTIIQKGPNYDANLCIQFLGTTGCSDLLTTKAQVYMAAGRQWRLLNVQRNLIQPRRQKLQHRGLWKILYYYAIVIGTLVSKFFEIRLQRSGEPGNRGLHSKGFQKALTRRLQLQFWSPQFGVLHKSCSLLAYMQYHHVVGRGYTALKDANSHQVFDNN >KZM87252 pep chromosome:ASM162521v1:7:13166591:13193384:-1 gene:DCAR_024386 transcript:KZM87252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRGGGSEQQPPRRLMRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNQTQSVEVDREILEAHDKVAEKTEIYVPYNILPLDPDSANQAIMKYPEIQAAVYALRNTRGLPWPRDYKKKKDEDILDWLQAMFGFQKDSIANQREHLILLLANVHIRQFPKPDQQPKMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYEVIVKEAARSNRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLPIEQLRFDKSLDIKPASGDRWVGKVNFVEIRSYWHVFRSFDRMWSFFILWLQAMIIVAWNGSGAPTSIFEADVFKKVLSVFITAAILKLGQALLDVAFNWKARQSMPLYVKLRYVLKVVSAAAWVIILPVTYAYTWENPPGLAQTIKGWLGDSSNSPTLFILAVVVYLSPNMLAGILFLFPIFRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESSFSLFKYTVFWVLLIVTKLAFSYYLEIRPLVGPTKAIMSVHISIYQWHEFFPRAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPEEKTEPTKKKGLKATFSRNFAAIPSNKEKEAARFAQLWNKIITSFREEDLISNREMDLLLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNGKDRELKKRIEADNYMSCAVSECYASFRNIIMALVEGARETEVIDYIFSEVDKHIESGDLISEYKMSALPSLYDHFVKLIKYLLDNKREDRDQVVILFQDMLEVVTRDIMMEDHISSLVDSIHGGSGQEGMTPLDQQHQLFASAGAIKFPTRQSEAWKEKIKRLYLLLTVKESAMDVPSNLEARRRISFFSNSLFMDMPSAPKVRNMLSFSVLTPYYTEEVLFSLHDLEVPNEDGVSILFYLQKIFPDEWNNFLERMKCNSEEELRMLEELEEELRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEDLMEGYKAIELSEDQMKGERSLWTQCRAVADMKFTYVVSCQQYGIHKRSGDPRALDILRLMTVYPSLRVAYIDEVEEPSKDREKVNQKVYYSALVKAAMTKSDSSEPGQNLDQVVYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLEEFLKKHDGVRHPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTLVTVLTVYVFLYGRLYLVLSGLEEGLSTQPAIRDNKALQVALASQSFVQIGFLMALPMMMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGLELMLLLVVYQIFGKSYRGALAYLLITVSIWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIMAEILLSLRFFIYQYGLVYHLNITKKTKSFLVYGISWLVIFLILFVMKTISVGRRKFSANFQLVFRLIKGLIFLTFISILVTLIALPHMTVQDIIVCVLAFMPTGWGLLLIAQACKPLVHRCGFWGSVRTLARGYEIVMGLFLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRASRTKE >KZM88085 pep chromosome:ASM162521v1:7:24223998:24229589:-1 gene:DCAR_025160 transcript:KZM88085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVDDLSAGLVRKLISLATDEVIQIWKLDEDLKTLRQRFESFGALLHDAQNKNLIMSSAKIWFNKLEEVAQVAEAFMDELEYEVSRRKVENRHRVKDFFTPSKNSLLYRFKVAHKIKNINTSFDKICKWATVIGLKPVEHLRSTVQHREIRYTQPFEDESLIVGRDDDISFLVNMLCNQNDEGLQVNAILGMGGQGKTTLARIVYNRDAVINMFPKRMWVTVSDDFDFIKILNQMVVSLTSSPSVLDNPEGLIKNLQKKLKGEKFLLVLDDVWNENPEEWDKLRNSLLGVGGARGSKIFVTTRKQEVVDATQCSDPYLVKKLTEEDSWELFKQRAFLNRGVLDTEAFIALGKTMVERCGGLPLAIKTLGSLLYSKKTEEEWLLIQNSEIWKSRGVLSSLRLSYDNLPCSSLKRCFAYCSIMPKDHYIYKDKLIQIWMALGFLPGDGTVLMEDTGNEYFDILLGNSLLQDVEKDKYGYITNCKMHDLVHDLALEVSSNYSITANPSHDVNKGSKATYLRLEGFKDVKPSMFKLRFDTIQALYAEATIFYCVLPKLKYLRVLVLNSFCEELPGLIGNLKCLKHLDVSRIAYSNISYKLPNQVTRLYNLQTLRISSLHELPENICQLVNLRHLVIGNTKTRYMFVGIERLTCLQTLPYFVVKKNQNCLVGQLGMLKNLRGTLKLYGLNEVENIEEARKAKLCEKSNIRHLLLNWRSNEDEREDGEYTDEGVLEGLEPHPNLKALEIEDFMGKKFASWIPMMTNMVKIAMTDCSRCEGFPPLGHLPKLRKICIEKMENVKVIGNNLCGGLNLAQKPDTAAMYPSVTKLILRKLPKLEEWVEDIFSKDQTVFPKLEKLEISDCPRLRKILNSCFPSLKDLSITNSESNMILETMYMHLSSLTTLHLRKISDGGGHLSSSSSCSNLESILKVLLKNNSLSLTSLLLFDCPNLASVNIVEGSAGLKHLALVRVPSSLVDGISAQIQSSTLTHLRLGPFWDEFPWPFPSSLDSFPNLVVLFLRGLENVKSIALFEQLQFSTFPALXRSFRHRGIYCLGEIWKSRGVLSSLRLSYDNLPCSSLKRCFAYCSIMPKDHYIYKDKLIQIWMALGFLPGDGTVLMEDTGNEYFDILLGNSLLQDVEKDKYGYITNCKMHDLVHDLALEVSSNYSITANPSHDVNKGSKATYLRLEGFKDVKPSMFKLRFDTIQALYAEATIFYCVLPKLKYLRVLVLNSFCEELPGLIGNLKCLKHLDVSRIAYSNISYKLPNQVTRLYNLQTLRISSLHELPENICQLVNLRHLVIGNTKTRYMFVGIERLTCLQTLPYFVVKKNQNCLVGQLGMLKNLRGTLKLYGLNEVENIEEARKAKLCEKSNIRHLLLNWRSNEDEREDGEYTDEGVLEGLEPHPNLKALEIEDFMGKKFASWIPMMTNMVKIAMTDCSRCEGFPPLGHLPKLRKICIEKMENVKVIGNNLCGGLNLAQKPDTAAMYPSVTKLILRKLPKLEEWVEDIFSKDQTVFPKLEKLEISDCPRLRKILNSCFPSLKDLSITNSESNMILETMYMHLSSLTTLHLRKISDGGGHLSSSSSCSNLESILKVLLKNNSLSLTSLLLFDCPNLASVNIVEGSAGLKHLALVRVPSSLVDGISAQIQSSTLTHLRLGPFWDEFPWPFPSSLDSFPNLVVLFLRGLENVKSIALFEQLQFSTFPALTQLVIHNFGGMKTLVLSIAKLPSLKDLSIENCKDLERVSLFDESHCLRYLEIRGCPILKERCRKESGPEWFKIQHIAHVIWDGEMWTWHGDTL >KZM86340 pep chromosome:ASM162521v1:7:1837736:1840409:1 gene:DCAR_023474 transcript:KZM86340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNRWQFAGIFYGLTRQQSVHIDRALRGLLNMQLTEEGCRDLRRWISADNTSYHFILTIVAGEGLYVAMRGPFRGVKARFENGRVTPIDVEIMNYHMDYSIGEAVVEEADRFIIIGADNIWNVLGNQGVVDCVPLRATRQDVRNIQGNESDEVLVKSSFLAELQRRVLKAESALRVKDEENDILHQRLQQYENRWSEYEGKMKSMEEVWQKQMRSLQSSLSIAKKSLALDESARNSDASVNDDRDSSWETGSNFRSRESNGVRPMSAGLSVISRLAEEFDQRSQVFGDDAKFLVEVKSGQVDASLNPDRELRRLKQIFEGWKKDYGARLRETKVILTKLGSEEGSGDKLKKKWWGRLNSSRIN >KZM89332 pep chromosome:ASM162521v1:7:36012595:36012966:1 gene:DCAR_026407 transcript:KZM89332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYRSKSCRDGREMQVERDGQVERDSAVVPYGMNHDMPRSYSTSHATGGYNYNSNNLMMGKDLQMKKMKSSQGLGKSWSLNDPELKRKKRVAGYKVYAVEGKVKGSVRKGFRWIKDIVYGWR >KZM88236 pep chromosome:ASM162521v1:7:25856584:25868438:-1 gene:DCAR_025311 transcript:KZM88236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLDTRFPAARIKKIMQADEDVGKIAMAVPVLVSKALELFLQDLCDRTYDITLQRGAKTVSSLHLKHCVQRYNVFDFLKEVVSKVPDYTHTEAGGDDVNMTKRRKITSEEANDSDEESKRNRVHEPSQVSSSGSKGRGRGRGRGRGRGRGVRSADKEAAQTDFDYDSCNNNRILVPVAATEVADDASELKEVPKDSINISNNSNQASRNFDLNADLDDNAIDKTPTEAPSLPSATPTAPRSEDEYPGWPLSEMDRIPIDPVDLAKLNSRMDDDDEDYDEEG >KZM86277 pep chromosome:ASM162521v1:7:1203598:1206696:1 gene:DCAR_023411 transcript:KZM86277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCTAASAVVPPLTSPLNNNSNPSSLPNNLTFQSSSEDENGGKQQLGGGSSFKWRLLIAYDGTRFSDCFKCDPTFSYPAKGGSHIRRGWQYQKSPPTIQCLIEQALTQVTKLERKDLCLVGASRTDAGVHAWGQVAHFYTPFNYDSLESAHAAMNGLLPPDVRVREFSAALPEFHARFSVRSKIYTYKIYNDATMDPFQRHYAYHSTYKLNTAAIKKAAQFFVGEHNFSAFVNTSRTSYSPNPVKNILRFDVIEMGPLLQLEVEGSGFLYRQVRNMVALLLQIGREGIPPDIVPKILASCDRRELAKYALAAPPQGLCLVNIKYNEKHLQLPAGCPPSSFGRHHTIRKYIMNLDIWSRAALLTLMS >KZM87492 pep chromosome:ASM162521v1:7:17294957:17295218:1 gene:DCAR_024626 transcript:KZM87492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYIYNDQNNDGGWGFYIEGHMTMIGTALNYVAIRLLGEGPNDGSGAVDRARKCLHFVNH >KZM86675 pep chromosome:ASM162521v1:7:5535664:5539198:1 gene:DCAR_023809 transcript:KZM86675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMKTFALCVFFTWASFCMSERVELNIRSVTSVAKTDEDFICATIDLWPSTKCDYNQCPWPKVGLLELDLKNKVFANAIKAFDPLRIRIGGSLEDQTVYKIGLVTKCPHFKNKMNVRRFGFSNGCITMERWDELNHLFNETGAKVTFSLNALRGRKLEKKNSTLWVGDWNKINAQEFMKYTISKGYKIDSYELGNELSASGVSAKVKARQYGKDMIVLSNLVKRLYANSSYQPKLLGPAGFYDQDWFNEFLQVSGPGIVHGLTHHIYNLGPGVDANLINKVQDPYFLDEIAQTFKDVSESVKLYGPWSGAWVGESGGAYNSGGKHVSNTFANGFWYLDQLGMSSTFDHKVYCRQALIGGNYGLLNTTTFAPNPDYYGALLWHRLMGTRVLQVSHDGSPYLRSYAHCSKHSKRGDITVLLINMSNSTTFIVNTRNDLNLYPSERTVRLPPREEYHLTPEDGNIQSEVVLLNDTPLKLTKSMDIPNLNPAFASPLDPIKVAPDSFVFAESAKVKARQYGKDMIVLSNLVKRLYANSSYQPKLLGPAGFYDQDWFNEFLQVSGPGIVHGLTHHIYNLGPGVDANLINKVQDPYFLDEIAQTFKDVSESVKLYGPWSGAWVGESGGAYNSGGKHVSNTFANGFWYLDQLGMSSTFDHKVYCRQALIGGNYGLLNTTTFAPNPDYYGALLWHRLMGTRVLQVSHDGSPYLRSYAHCSKHSKRGDITVLLINMSNSTTFIVNTRNDLNLYPSERTVRLPPREEYHLTPEDGNIQSEVVLLNDTPLKLTKSMDIPNLNPAFASPLDPIKVAPDSFVFAVLRGFDAPACSSS >KZM86591 pep chromosome:ASM162521v1:7:4668721:4669282:1 gene:DCAR_023725 transcript:KZM86591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPENGIIHTHEEKSDDNIATICASNQKPLALSLAKQQCTSSTNDILVSTMTMMGSELQHHSITESQRRGNLTDSIAVEADGGGDGGFGTGSGFRKESTNCALIEGVNCAS >KZM86809 pep chromosome:ASM162521v1:7:7264728:7264910:-1 gene:DCAR_023943 transcript:KZM86809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRQKHQKTNETQGLNKQTNTHKQTRLKSTPFAIPLKIGYMNIDMDKNREAEGEMKSRE >KZM89293 pep chromosome:ASM162521v1:7:35741097:35743441:1 gene:DCAR_026368 transcript:KZM89293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKEPSMAIRSSQPRVLPVRLLQFFLIFLGMGIALTIFSMFMSRHFRFENAVIPVTSSKIQPCFKEPNDFKSWIKPPSKVWHQMNDSQLLWRASFDSQIKLYPFKRVPKLAFMFLTRGPLPMAPLWERFFKGHKGKYTIYIHALPMYNESYPPSSVFYRRQIPSQVAEWGRMSMCDAERRLLANALLDLSNEWFILLSEACIPLQSFSIVHLYISRSWYSFMEIYDDPGPFGRGRYNDNMEPVVNLTNWRKGSQWFEINRKLAVAVVEDDTFYPKFEQFCRPACYVDEHYFQTMLSIRWPHRLANRTLTWVDWSRGGAHPGTFGKADMSKEFFKKMFDGQTCLYNSQPTWACYLFARKIAPSALDILLQHSFEYFGY >KZM88793 pep chromosome:ASM162521v1:7:31361040:31362802:1 gene:DCAR_025868 transcript:KZM88793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLLGLLRVRVKRGVNLAIRDTRSSDPYVILRLGKQKLKTRVVKNNLNPEWNEELTLSITDTNLPVKLVVYDKDTFSLDDKMGDAEFEIKTFVDFVRMQLEGPPEGTIITRVKPSRENCLSEESNIVWTNGKLVQNLFLRLRNVECGEVELQLEWIDIPGLNGQ >KZM86161 pep chromosome:ASM162521v1:7:221312:231777:1 gene:DCAR_023295 transcript:KZM86161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLKTLLPIALLPLCFAHLAKSDDNIPTLAFAFGWLNDNDTFVAGDVATIQVILLGNYSARDVSTWTITFVPIMVGLLHVLIVDDNFHVFDSSLHFHVNPGRLYPSAGVVSWKGQTNEFVAGTLATILILPKDAFGNNVTASSEGTNVSSYSLSVSFMNGSLASLLNVTNKGWNEYGYVQIEFIPVTAGSLLLDVKEANQTLIGSPLMFKVIPGMLDVPSCVPQWKAATSFFQLFSTMETHIHQRDKYGNLVPGFYSFDIEVIEKETNLSMPVADLRFSEVVPGIQLCSFSLAEPGNFTLLITDREQINLISQVPYDFTVYVGYCSGSHSIINGSGLNDSVAGEVSKFSVFLRDSYQYPSPIELEWLQIQILRENDTQYVWSSINPKDFVNGSSVPRKMSVDTFSQTDYAPSVYLNNNSSSNLNVKASEFEIIYKPEKSGRYHIHLFCGNVHLNSGYPIKKVVHAGEVNTSISGVVKYAPRVPMLINNEIVVKLMDSFSNPVVSNQSKLNLEVSSTNKSEPSISEFVNNNDGSYTVLYMPKGIGNYEICVTFHGIHFLPCPFGVRVYSVDYFPKAENDLVSVWEDESIAFSTLENDRFGGNNASIVQYTQPSHGSVLQYGHLFRYTPYKGFNGNDSFSYAISDGNGNNASANVNISVLSIPPQFVSVPILLQATEDMISPTFGGFSGLKIIYSDLAENISVRLSAHFGTVFLSPMVMQFWQPMWAELSVSKGVEKAKELILEGRLEVINYALQFVQYFGDENFYGDDVMRVSTVNRNGMNHIEVPILVEPINDPPSIYAPEFIIFKEKKSDEEVLIFDTERDKFNFSVWDPDLIFPGNDTHVLVMFSVEVNSGSISTNLPSELIVTTELKLMGSYQWQPLQTFVTISKHFKVKAKGIRFRGTVQECNSVMQQLSYHGGEHDNVLKITVNDMGKYGCFPDCSEMMSKPLFAEAIVMLRRGRLMSSVAAHSLGSAIMFEFIALFSLGMVLMLFTCKCALVLVRERKGRQIDQAQNVELSGIQHAFKETVRLTAAFDIKWQICADSSEDTSHFIGCCSSSPLFSRSRRNFRQQDGNVNKGSSSLSQSSSDQHKEIPSGLLSVAAGDGQNNLHNNKT >KZM87766 pep chromosome:ASM162521v1:7:20204588:20215686:-1 gene:DCAR_024867 transcript:KZM87766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGENGSTTLPVSPYDEAMDALSSLITKRTRADKSNSGDRYDLMFDYLKILELDEPISQMKVIHVAGTKGKGSTCTFTEAILRNCGFHTGLFTSPHLIDVRERFRLDGVDICEEKFLAYFWWCFDRLKERTDDDITPMPTYFRFLALLAFKIFAGEQVDVVILEVGLGGKFDATNVVQSPIVCGISSLGYDHMEILEAIAGEKSGILKRGVPAFTVFQPDEAMHVLEETALSLDVPLRVATPLDPNLLNGQYLGLHGDHQYVNAGLAIALSSTWLQKTGHLNFNYLDGTSSLPEQFIKGLTTAALQGRAQIVPDQFIDTERQEDLVFYLDGAHSPESMEVCAKWFSLAIEQDNEHRKNLSTQLPDNSSISRKNSSQILLFNCMSVRDPQLLLPRLINTCASHGVHFKQALFVPNVSVYNKVGTSNVAPIDSQVDLSWQLTLQRLYEYIIRGEKGHSKVAEITGDEVKEESESHIKRCENSTVFPSLPLAIKWLRDNSQRNQSVRYQVLVTGSLHLIGDVLKLIKK >KZM86453 pep chromosome:ASM162521v1:7:2939146:2939766:1 gene:DCAR_023587 transcript:KZM86453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQLPISLFTLLTATLLLPSPATARQIPTNADTAFIHSTCQKIQTYPDLCSHLLSRYATQIHQDPSKLATIAISLSLATTETMANYVSTIGNQADFGSDQRAAAAVQQCYSSFRDAMDSMHDSVALMRDLGSARSFESLRFKLSSVQTYMSSALTYEEDCLDVFEDVMDGKIKRLVRDKTQKLERVTSVALALVNSYVEKVTTTP >KZM89155 pep chromosome:ASM162521v1:7:34391527:34396682:-1 gene:DCAR_026230 transcript:KZM89155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQDGSRVIAAPEYCHVDFKSYFTDEFWQVPEFSQVTGPVSLASVGARNFLMHQTCFSWLSAKCSTGGVCINKILSKLLEEPHWFICHICGKDKAIVVCLSTWNTYHLLCVSKSFPQKLNMVNYTLLSDAWDFSEDDELQFSKVFWQNAMRGVFSSQRDNSYDLDYFLELEDKAWLTPTPSANLTTRKKVLLLTFYYIKGYGFVVHGLWIGELDAMGKGGVICLRNYVKADIKKEPLYNYVVGKISELPIDIIEEMKTYWFDKNGKVLDGKYLEFWIRQTLRHGVQAAPSKSDPVAASRKDDSFHEKPPLAYSQHRRGNQCGRKKALLISSQLFHLRQRRELKILDYANKYVPTSSDNNNDTN >KZM88445 pep chromosome:ASM162521v1:7:27956791:27962249:1 gene:DCAR_025520 transcript:KZM88445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQQLGGSITRALQQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRNIIQKAIFNELCKILDPGKPAFAPKKGKPSVVMFVGLQGSGKTTTCTKYAFYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEIFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTMRIMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGQLDSTNPKLMNESRIMRIARGSGRQVRDVMEMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGGMGGMPGAKDMMGMFGGGEN >KZM87555 pep chromosome:ASM162521v1:7:18126428:18128488:1 gene:DCAR_024685 transcript:KZM87555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLTAIPGSFETKFLEILIGPSDWEDHLLNKDGAERYRTQNLPNCCSCPGLYELGIAVSRPRTGREFDKLNSNNIIPVYVGQANNVRTRLQRYGREGAHLEKGFPNTELNDSEGLQLFTEIFSRGFPIVYRWAPMKSKKVAEETEASLLGVFDYAWNRGGNGARRPNAIFHKLDRSISSAAWSHPIIRRLQSFRQKEVGLKIITHQDESDAYSEQASKNIISRIFKFGRSRPTLVSDRFKVDKDGNNMCGVALGQGYICTSPPVYGRKRCSEHKGMKVNSSKCTSEMNSLLKSAANNPFYVDHSGTLKLQHQNCVADEKMSFTCGLVMPDGSLCAREPVRGRKRCEEHKGRRINKTFLKLVREEKTHNLEIPGLDLRTLSGDNETNKTVKHQSSCSSERCIVSIHEASAQEESPTCGAKTRNGSFCKRKPGKNSKRCWQHHDKSSDK >KZM88095 pep chromosome:ASM162521v1:7:24309309:24313273:1 gene:DCAR_025170 transcript:KZM88095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQGMPFCAANCFGYSTVAALSDDPIREWILSEGKATNITRISPIGGGCINLANRYDTDAGSFFVKTNRNIGPSMFEGEALGLSAMYETRSIRVPRPFKVIPELVNSPTTGGSYIIMEYIEFGSSRGDQSLLGKKLAEMHKAAKSGNGFGFHVDNTIGSTPQSNTWTSDWIQFYAEHRLGYQLKLAREQFGDSTIHEKGQRLVKNIGSLFENVVIEPCLLHGDLWSGNICSDKNGQPVILDPACYYGHNEAEFGMSWCAGFGGSFYSAYFEVMPKQPGFEKRRDLYMLYHYLNHYNLFGSGYRPSAMSIIDDYLRMLNA >KZM87807 pep chromosome:ASM162521v1:7:20698618:20699109:-1 gene:DCAR_024908 transcript:KZM87807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKDTGASGSSSTPPQPYEQELLLPIANVGRIMKKILPANAKIAKEGKETMQECVSEFISFVTGEASEKCRKERRKTVNGDDIVWAIDRLGFDNFGHPLKRYLDRYREVEGDQRANMNIVHDRAGFNEENEEQFQSYVRNAQQDKRYNSDRNTDHQGGASQS >KZM88059 pep chromosome:ASM162521v1:7:24027834:24031490:1 gene:DCAR_025134 transcript:KZM88059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAIVADLAGGLLGKVVSLAAEEVVQAWNFHEDLDTLYERMESVGALLYDAHNKKLIMSTAKNWFDKLEAVANVANVAHKIKSIQASFDKIFKMAVDLGLQPVAYLGSTVQDGDIRSTPSYEDKSIIVGRDEDVSYLVQTVCKKHEEDLQVLVVAGMGGQGKTTLARMVFNSDHVITRFPDQRMWITVSDDFDFIKILNQMVESLTLTNLGLTNPQALTRKLQESLKGKKFLLILDDVWNEESVKWDNLRNSLLEIGGDKGSCILVTTRKQEVIDAMRSCVSYWLEILTEDESYELFKKIAFSDGGFLETEAFATFGRSMVKRCGGLPLAIKALAGLLYSKKSEQEWLEIQCSETWESKSVLPSLKLSYDNLPSLSLKRCFAYCSIMPKNSFIYKDELIQIWLALGFLLPPRRSIALTEDIGSKYFKILLGNSLLQDEEKDECGNIIRCKMHDLVHDLALEVSKHHSVSVKAGEELSHDCKAVYMRLDNRVSNIKPTILKRAFERVQVLYAGADILLHVLPYLTHLTVLVLNADDNQVAQELPFSLRKMKYLKHLDISRCHSRLPTDITELYNLQTLRVWNLDEFPKRFSNLINLRHLYITYILCKKCKFNGIERLTSLQTLPFFVVSKDQNCLLGQLGGLKNLRGEVTLYGLYEVANIEEARKAKLCEKSRIQSLHLNWRYTEVGKEGKMKDREYNDQDVMEGLEPHPNLKTLTIVGFEGKKFASWITMMLNLVKITLIYCNRCEVLPPLGQLPKLREIRIDGMGNVRVVGDAFWGGQSVFPQLERLKIANCRRLRKILPCCFPSLKQLHFHYLPNLEEWEAAVISSCSQSEFPKLERLEILGCPRLRNIQKSYFPSLKKLKIYDSFSEMLGTMSRDVSSLTSLPLTSMGDGGGDSSSFSIIESLINDSLSLTELDLIHCQGLKCLTLGSSLEYLKIDDCPHLSSINLVEGSAGLTSIGIRKLPPSLLDGIFAQIQSSRLKYLRLGPLSELDYIRWPFSSSSSSSNLIQLRLYGWEEDKSLALFEQLPFNAYPALTDLTLQYFKGVKALPDSIAKLPSLRRLRIEDCYNLESLPAFEESHTLQLLYIVGCPVLRQRCRKGQGPEWFKIQYVPTIIWNRPGCQTSSSLVNGDRLYSIFPALTDLYVVNLKKRKLSRVQ >KZM86661 pep chromosome:ASM162521v1:7:5395612:5399172:-1 gene:DCAR_023795 transcript:KZM86661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLILFMLKRLMLVSLSLESFVVALSSIFLSNIFRCPFALFCLSVCEFVGDVFEVVCVELQDKKVVVLANLKPRNMRGVKSSGMLMAASDAAHENVELLVPPEGSIPGDRVWFGSEEEMGSLPDAASPNQIQKKKIWESVQPHLKSDASCVAALGMHLMRTSAGVVETGSLKNANIS >KZM86236 pep chromosome:ASM162521v1:7:835868:842032:-1 gene:DCAR_023370 transcript:KZM86236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSGPPGEELLLKKIQELEAGHAQLKQEMTRLIISGDHHSRGERQRSQSISPQRRSTAPARRRVAGSGCDGGMNMGGRHSSPLQRESRGGGGGGGVVPEMKMKFTDSQYLNILESMGQSVHIFDTNFHIFYWNKTAERLYGYTAAEAHGRTPLELLAEHQDHEIGYHIMSRTLAGESWTGQFPIKTKNGEKFIVVSTNTPFYDKNHKLVGVICVSTDSRPFQELRTSLSAAQAAQQSEANSSISRPRRIASARLGIDPQQPLQLAIASKISDMASKVSNKVKAKMKMGDNTIVHEGGSGDSHNSDRVVPDVTLSDHKEDALSSGHSTPRGDTHSPFGVFSSGAHDKFSAGKPNTDPGNENDGKPAIHKTITNKAEAWMGKKGISWPWKGNDREGSEPNATRFGWPWLHSDQENDSVKTSAAAPKPESQAFDTNRTAHSEAPGSWSSSFNVNSTSSASSCGSTSSSTVNKMDMDSDSLDYEILWEDLTVGEQIGQGSCGTVFHALWYGSDVAVKLFSRQEYSDEVIHAFKQEVSLMKRLRHPNILLFMGAVTSPERLGIVTEFLPRGSLFRLLQRSTTRIDWRRRIHMALDIARGVNYLHHCSPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWEIATEKIPWDNLNSMQVIGAVGFMNHRLEIPKEVDPQFASIIESCWHSEPRSRPSFQELLEKLKDLLRQHVAQSQAARSNAGDGS >KZM88363 pep chromosome:ASM162521v1:7:27223744:27224856:1 gene:DCAR_025438 transcript:KZM88363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAENGKEVERSNRPKRILKNEDFVQAISKMAVAQVCESSGFQGFQQSALDTLSDITVRYINQIGKIAVSNANLAGRTECNVYDVLQGLEDLGMSQGFSGASEIDRSLAGSGIVREISEYVEFSEQVPFAYAIPSFPVVKERKLTDSFLKSEGCPPGEHIPVWLPVFPDPETYTSLPVESKRIGNEDMDKSGIEDPKKVEGSLSYMHRLFDCNGSEMPVYVDKGNASCSKRAANSNPFLAAPLRPGEKEVSKVILPAKFAEEDAALNHPDAANHVTVLDSVAPAIDSVKSCAFDSEETGKKALLDNRPAVQFKLRPSKNPVGTSIKVHSERVANIPSSIKTDDIKDDRKRRAEQILKDSLENQHELAHL >KZM88818 pep chromosome:ASM162521v1:7:31525514:31527848:1 gene:DCAR_025893 transcript:KZM88818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVRDMEVEVSEKDGVASWRGTLFKYGEELKKTSRIAGPMVAVSVLQYLLQVISVVMVGHVNQLTLAGVAIATSMTNVTGFSLLSGLVGGLETLCGQAYGARQYDKVGVYTCSAIISLLLVCIPVCVFWIFIDKFLILIGQDHSISHEARKYAIWVIPALFSSAILKPVVRYLQSQSLTRPLLASSFVVLCFHVPLCWALIFKFKLGTVGAALAFSMSNWLYLILLVLYVKYSASCETTRISFTSEAFLVIGQFFRFAVPSAVMVCLKWWSLEVLVLLSGLLHNPKLETSVLTICLTISTLHFTLPYGIGAAASTRVSNELGAGNPQAARVAVWTVISLAAVEAVIVSTTLFFCRNILGYAYSNDQAIVSYIAVMTPLICLSVITDSIQAVISAVVLGFTKHMNAKGLWIGIVVGSIIQSTVLFLITSFTNWQKQATKASVRMSKVGSAVENEEESTRNEDV >KZM86264 pep chromosome:ASM162521v1:7:1102354:1107022:1 gene:DCAR_023398 transcript:KZM86264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCSEDSMQKTADNGPYMTNHAAGNNGAYRARETVPKDTQTIAVQPINVSALQVDELKDITDNFGTKALIGEGSYGRVYHGILKTGQAAAIKKLDSSKQPDHEFLAQVSMVSRLKHDNVVELLGYCVDGGLRVLAYEYASNGSLHDILHDGCHHHVYTRDAFIAGVHHIKFVTKGRKGVKGAQPGPVLSWSQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKVADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDTRLNGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNTRSGPPSESHH >KZM86744 pep chromosome:ASM162521v1:7:6403709:6404254:-1 gene:DCAR_023878 transcript:KZM86744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSVEYNSNENGSGDRLVLETTDIAAEQAVSYRGVRRRPWGKFAAEIRDATRNGVRVWIGTFDSAEEAALAYDQAAFAMRGSAAVLNFPPHLVYQSLLDIKYDFQGKCSPVLALKKMHSSKKKKMMKKSRKEKTARDNQEMEYRMHSYDDVVVLEDLGVEYLEQLLTLSEKTNYSW >KZM87520 pep chromosome:ASM162521v1:7:17695787:17704770:1 gene:DCAR_024654 transcript:KZM87520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSALLNVGSLYSTSPPRTQLVKSSKLASQNVVSFSRFSSSSFLSASSSLLGIAPLASSIRTFTPLVSPVRAMAQDTEVQSKVTKKVFFDISIGNPEGKLVGRIVIGLFGDDVPQTAENFRALCTGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNTLLTRMALHLSALLNVGSLYSTSPPRTQLVKSSKLASQNVVSFSRFSSSSFLSASSSLLGIAPLASSIRTFTPLVSPVRAMAQDTEVQSKVTKKVFFDISIGNPEGKLVGRIVIGLFGDDVPQTAENFRALCTGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRMFKDENFNLIHTGPGTVSMANAGPNTNGSQFFICTVKTSWLDGKHVVFGQVLEGMDIVKKIESQETDRGDRPKLKVVISDCGELPTI >KZM87678 pep chromosome:ASM162521v1:7:19319315:19330213:1 gene:DCAR_024779 transcript:KZM87678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRNKSRTYWRVLKIDRLEPFELNILEDSTVYTQRECADLLRRINEGNKSTGGLKFVTNCYGIVGFIKFLGPYYMLLITKRKPIGAICGHTIYAISKSEILPLPNPAVLAEMVISKNENRYKKLLCTVDLTKDFFFSYSYNVMCSFQKNMCDDVAETVLYETMFVWNEFLSRGIRNLVKNTLWTVALVYGFFKQTTHSFSGRDIKLTLIARRSRHYAGTRYLKRGVNEHGRVANDVETEQIVFEDASEGFSIQISSVVQIRGSIPLFWSQETSRLNIKPDIILSKRDQTYQATRLHFENLVERYGNPIIILNLIKTNEKRPRESILRTEFANAIEIINKDMSEENNLKFIHWDLNKHSRRQATTVLLSLGKVAAYALTLTGFFYCQVAPDSISEGPVNWPEFKNVVYANLYPPARRDISKESHNDPEDAKNVETISNGDSNVANEDYYGKSPLFQKGVLRTNCIDCLDRTNVAQYAYGLIALGRQFHALGVKDSSKIDLDDPLADDLMRFYERMGDTLAHQYSGSAAHNKIFSQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGYFQPQHGKPEVLELNYNQHYNVYRNGQVDENGKYGLAIHLLSMFLYLWLLSLPIMDQWAYPNGVDFGSTVLVYTGLNQNQPRPNRYELGRLGSVLSLKQPDANAQLTRSYFKRSVSDGNILRESRSPGFASSDDFSKGEERSKGHRYLILSFMTNRPPQVYILKLIRVCQTDRFHINSMQCRIPEVTPNISLVQQEVPTLLDWSWILSRRYNPETRRKEHYGDDDNRDASDYSNFIDLGWLSSSSSSCDELFDRSTGMNSSVATLSTENLVNELVGETTPSTSGYGSSMKRNECRWQGKLQAMKKWSAARH >KZM88533 pep chromosome:ASM162521v1:7:28922508:28922729:1 gene:DCAR_025608 transcript:KZM88533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFIYAMAVAAQAYSCRRSYSSISKARTQWDALFACLRSLKARNTVVVYGLYDVAMQLMNQLRKKKMHKTLV >KZM88316 pep chromosome:ASM162521v1:7:26758971:26759614:1 gene:DCAR_025391 transcript:KZM88316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVMPGHNPFQSGNQNIKAMGALPPNFGMPSGMHPPLPPDPPPHGQQPQ >KZM86797 pep chromosome:ASM162521v1:7:6961281:6964052:1 gene:DCAR_023931 transcript:KZM86797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSNHSFLLIIFSSIAIYCFGVDGNPNYRDALAKSILFFQGQRSGRLPASQQVLWRSSSGVSDGQLAHVDLSGGYYDAGDNVKFNLPMAYTTTMLSWNALEHGKRMGGQLPKAREAIRWATDYLLKCARAEPGKLFVGVGDPNADHKCWERPEDMDTVRSVYFVSSRNPGSDVAAETAAALASASMVFRKVDPVYSRLLLKTAKNVFNFALQYKGSYSDSLGSAVCPFYCSYSGYQDELLWGATWLYRATNDAAYLNPIKSLGASNPPDIFSWDNKFAGAYVLLSRRALVDNDKAFEPYRQKAEDFLCKILPDSPYSSTQYTPGGLMFKLSASNLQYVTSITSLITIYAKYMAASKQNFKCGNLQVTSATLRILAKKQVDYILGDNPLKMSYMVGYGTKYPKRIHHRGSSIPSLKANPQPIPCQEGFQRFYYTSNPNPNTLIGAIVGGPDKNDNFPDDRTDYSHSEPATYINAGIVGPLAYLAGS >KZM88872 pep chromosome:ASM162521v1:7:31929887:31930828:1 gene:DCAR_025947 transcript:KZM88872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLQSSLDFTSNSTQPNQDSSAPKLPSGQTLDQFRQFYVHQHQRPEPTQPDPDPDTIPDPDPPQLGAATSGAPNSSTPPAQLPRQEPEVASPVRCQYRECLKNHAASIGGHVVDGCGEFMPSGEEGTPEAFKCAACECHRNFHRREAEGGESRQFATPNVYYPHNPNGININSLRNANHQSQILPTRHQHRHFPNHFSHSLASPTGPVMMAFGGRGPEESSSEDLNMFQSPGGGQHMGLPSSYSGSKKRFRTKFTKEQKDRMHEVAEKMGWKIQKQDDEEVQQLCQELGVKRQVFKVWMHNNKQAMKKKQDQ >KZM89032 pep chromosome:ASM162521v1:7:33230626:33231183:1 gene:DCAR_026107 transcript:KZM89032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIFVKVIPAVHVERFAVLEPSFEIVVQPFHLLRYSYGVDIPSKFSKLSDRWDRLDYITVYEGKRCWKLQVRARRHGNRMCIHDGWIKFRSDMRLAVGDAVKFIWQSESIRNFDVLVLKKVQNAD >KZM88688 pep chromosome:ASM162521v1:7:30400351:30401754:-1 gene:DCAR_025763 transcript:KZM88688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSNIESLSSQPQTPKKFKTLVKNAFTSLHPNISLHPHQCLFIFLILSIHFFVFFLTRTPSASLPKSGLSDDCNSGRIYVYQLPPMFNRELLSNCDDLDPWHWQCGIATNNGFGRRAREFERILPASLAPAWYRTNQFSLEIMYHHRIMNHKCRTLEPELATAFYIPFYAGLAVGKYLFDNHKVQERDFLCEILLMWLQDQPYWKRSNGSDHFIVLGRITWDFRRLTEPKKLWGSSFLNMPSMQHITRLTIERAPSDYYDIGVPYPTGFHPHSRSQILEWQSFIRGLNRSRLTSFVGATRVDIKNDFRGYLLNQCRNENESCSLVDCAVTQCSNGSTAILEALLDSNFCLQPRGDSYTRRSIFDCMIAGSIPVFFWKRSVHNQYEWFLPAEPDKFSVFIDHNDVMRGTSVKRVLEGFSKAKIAEMKERVIELIPKIVYAIPGEGVSNNKDAFDIAVEGVLERFRKQ >KZM86830 pep chromosome:ASM162521v1:7:7443795:7444919:1 gene:DCAR_023964 transcript:KZM86830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAQLHRSLIPSHYHQFKSNASMDRVHNNLAFPSTPTSSNLFISPLPKVQLTPNFLTTKFHFPCAAVAGGGSINDGIGGSSGGGGGNGNNNGDGSSSPNPDDSSSLDGFGPLGAFLSGWRSRVAADPQFPFKVLMEEIVGVSACVLGDMATRPNFGLNELDFVFSTLVVGSILNFTLMYLLAPTLASSGSALPAFFARCPPSHMFEPGPFSVVDRFGTFVYKGLVFAGVGFAAGLVGTALSNGLIMMRKKMDPTFETPNKAPPTLLNALTWAAHMGISSNFRYQTLNGVEYVLAKGLPSFVFKTSVVVLRVMNNVLGGMSFVVLARLTGSQSSGAKEVKLVTSEEVEALAATSADEKEKLLQDCDSGESVPK >KZM88201 pep chromosome:ASM162521v1:7:25425814:25430514:1 gene:DCAR_025276 transcript:KZM88201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILFLCLLFSAGQWKKLEVRLAILSSQLEKPDLWDDPIHAGKINREHGSLVNKVKAIKVYEQELFEHIDMIKLAREENDPNMESESMKALASMRKSIKEKELEALLAGEHDSCSCFIEVQAGAGGTESMDWASMVMQMYKMWAQHRGYRVTVVDVMPGEMAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILDDGATTHFQINESDLRVERMRAGGAGGQHVNTTESAVRITHIPTGISASCQNERSQHQNKASAMSVLQSRLDQLEIVRHAQANAQHTQSLNDISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDAFIFSFLSASMDKDEDDL >KZM86598 pep chromosome:ASM162521v1:7:4745284:4745571:-1 gene:DCAR_023732 transcript:KZM86598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEFMMDDPSVSMKTMEPILNNHTLRKREFDERYGPNWVMHDDLNYPEEEEEDVQIDESSDDDDDLTADDEINLPQQTSQGNPSTTQQDQYLRLS >KZM87220 pep chromosome:ASM162521v1:7:12639072:12641177:-1 gene:DCAR_024354 transcript:KZM87220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNYLEEVSSGSFDWTVRVRVLRKWRGVSSSNGKEFKGFNILLVDSKSCRLPGFVPALVSQKYETKIIVGNVHVLSNFTVKDYKPDEKFRAVCCEKQIYFTNFTEVEVVADDDVMIPRDIFDFTDLGDLIDIAGDNTYLTDVTGVIFKDLPIRRFVNKLRVAQSQIKVDITDGRCSHCVTLWDALAEEFNHALATVSEFPAILIVASAKITSWQKQVDIANVTATTFYLNYNHHSVLNLRQMLGTPLFSKYDFSSQMSQTFEQHDIASIKTLGVEYLNVEVICELKLILVVEMEWFKGECSACYREIEIVDGEYRCIICNRELPFAEKKFHIMAEAIDGKDSIEISIDDRTIRTLLGITVNELVEEGCTNEKVPPILRTLESKWYNAKVRIGSHNIERPGSFYYVTDMYVLSGGSTSIGSQRSMNVQEVSSSSIHLDGLEDLNFYTPDVTKKNKNKTVLMS >KZM88328 pep chromosome:ASM162521v1:7:26855558:26859657:1 gene:DCAR_025403 transcript:KZM88328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLHSKAYFPGYYSRDLSNAGNGMWALYDEGKSFQNEQVNDSFLASSTVDRYIGYNKEKVRQTILKHELVFREQLQELHRLYRRQRDLMNEFGRRESHKSLLLAETPKHSFPTLQNSYKDDSYISRISDSYMVKGKVPSNDRTHMKDYEFLKPEYKFQKKMFELEVNDELSTKDGEKREERNLNNPSLSSLDMNLCPGSVSNSQSNRDANRYVVNSKRNNNLVDLNEPIWVDESSTRDPPRNVEISVNLEDVRERDIFGNSSLKSQSVSKESYQSCHGEKDEKSVFNTIQSESLKSGNWHLSRNSKSGTDILGGQTRLDEHTSLSKIADERSSKFFEYSQADPRKGHDARKLFVLDESNEAPQKMKTIFGVQVSEGNLNRSTNTWYLPSSRPLIQGFDAIDSESSCASPRGKICSSLAQNIVSSQDNSGHRTLSPFNGRPPSSAMHMAELSGEKGTNSLRLLPGSNFGASHQSALSFGSNSSKQHGYLDLNTYVAAANNFQNKVVAKQDSVFADTITHSTESIDKQIKPEVTYQMSLDSLQTHSHHFFNKAETVKGPFKDFTSATCGSDFERKRAADSNSRYNGKKLGDDTFHVPHFPKVLVSPICRSKPIHDVSNLDCDGASKVCTQNLKSVGQHKETYSIADYRLNNHFSGRRPQIDLNLTLNEEETPSIPSVSATVVKIAATEIDLEAPAVIESDTDVSFEADLIEKKTGKCSRVSSDDFMYDEISKIAAEAIISISSSQLDLADLTTEALPPQRLLWLSEVISSYEGSDHDSEITKVVLTNVDDTFDEETVPQGMDYFEFMTLNLQESKVEDYCDQPYVLNNRDDGDTRVTSGAKRGRRRQAKRGRQLRDFQRDILPGLVSLSRREVTEDLQTIEEIFKASGQSWQSRFSRRRTARNGRGRKRLGDLTPSPTETLSCLPPPEQQPICRELEVEDRNLTGWGKRTRRLPRQRCSGNHTTMLNC >KZM88238 pep chromosome:ASM162521v1:7:25888213:25892096:1 gene:DCAR_025313 transcript:KZM88238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAFKSSSRRSNSDKAPPKRRTQRSLSVSAVSRRSESDIDSDFLITRDNPLFLSTKDSPPALYSTNDVDDVKVETLECEIESGLKSGLKSDDGSGLKSNVGERGRSISRNVDNRRKNGVGRSLSRGPSVYGGASESEVEQESATSTNYGSMNNSRSVLSNVRRSTSVRRGAFEYEVERVSPRLSNYQKTRNSNSNIAFSDRQKNIRNQGSAPQRTSLQSVSGRHSVTTTSDDSVTSLRNPSWDDAFSTGSMSEAEEKTIKAVCEQMKGSHMGSATPTSDIYETVRSEVQRAISSIQDDLENSLRRRSNATGIATADVTSIAPDSVKPGAVELVLDIRREYATKLEESQERARKLRSDLAVEEHRGQELSRILKETLPEPKVSSLQRSYTGRRYGNIYGDGDMKR >KZM88608 pep chromosome:ASM162521v1:7:29688396:29688743:-1 gene:DCAR_025683 transcript:KZM88608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPEDNSQHGENNVDGKDDNSNNATPETNDQGSLMPKPEATGQHGVNNVDGKDDAERTGSGIVSADVIIIKEDCDTDDFKKNTKASKRKTPNRCEPMPDSIGARLRARRRICSQ >KZM87238 pep chromosome:ASM162521v1:7:12963595:12973686:1 gene:DCAR_024372 transcript:KZM87238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNIMSQSMVPHITLTIDKISNFKWRERVKKPPSIGLPKMRKLEVVSPVPADIDIANSVAPLHISEIAKQLNLSPDHYDLYGKYKAKVLLPVIDELEGREDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDARMFHESTQSDKALFNRLCPADKEGKRKFSAIMFRRLEKLGITCTKPEDLTPEEVTKFARLDIDPASITWRRVMDVNDRFLRKISVGQGPDEKGMVRETAFDISVASEIMAVLALTTSLADMRERLGKMVVGNSKAGDPVTADDLGLGGALTVLMKDAINPTLMQTLEGTPVFVHAGPFANIAHGNSSIIADKIALKLVGPGGFVITEAGFGSDIGTEKFMNIKCRYSGLKPHCAIIVATIRALKMHGGGPQVVAGRPLDHAYLTENVPLVEAGCVNLARHIANTKAYGVNVVVAVNMFSTDTEAEINAVRSASLAAGAFDAVLCTHHAHGGKGAWRERVKKPPSIGLPKMRKLEVVSPVPADIDIANSVAPLHISEIAKQLNLSPDHYDLYGKYKAKVLLPVIDELEGREDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDARMFHESTQSDKALFNRLCPADKEGKRKFSAIMFRRLEKLGITCTKPEDLTPEEVTKFARLDIDPASITWRRVMDVNDRFLRKISVGQGPDEKGMVRETAFDISVASEIMAVLALTTSLADMRERLGKMVVGNSKAGDPVTADDLGLGGALTVLMKDAINPTLMQTLEGTPVFVHAGPFANIAHGNSSIIADKIALKLVGPGGFVITEAGFGSDIGTEKFMNIKCRYSGLKPHCAIIVATIRALKMHGGGPQVVAGRPLDHAYLTENVPLVEAGCVNLARHIANTKAYGVNVVVAVNMFSTDTEAEINAVRSASLAAGAFDAVLCTHHAHGGKGAVDLGIAVQKACESVTQPLKFLYPLDSSIKEKIEAIARSYGASGVDYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSDNASAKGAPSGFVLPIRDVRGSIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTATGRVIGLS >KZM88128 pep chromosome:ASM162521v1:7:24787028:24789698:-1 gene:DCAR_025203 transcript:KZM88128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINTFPVLLIAVLGCVYLHFVQKARGKDVEGKQGSDALKRPMIIKGMGIVNGIELSFFLMFIALLIWSFSVNLEISFAKITAKSAAMWGETVWESRLANAGLILGLTGNICLAFLFFPVTRGSSVLPLLGITSEGSVKYHIWLGHIVMTLFTAHGISYVFVWGYTHTLVEMRQWAKADISVVAGEVALVSGLLMWLTTIPRIRRKIFELFFYTHHLYIVFIVFFVFHVGFSYACTILPGFYLFLIDRFLRFLQSRQHIRLVSARLLPCETVELNFSKARGLSYTPTSIMFVNVPSISRAQWHPFTITSSSKLEPEKISVMIKGDGTWSKRLFQTLNSPSSVDRLDVSVEGPYGPASTNFLRHDALVMISGGSGITPFISIFRELVYMCETLNCKTPKILLITSFKTSSDLTMLDLLLSVSDGPSNFSKLDLQIEAYVTREKQATAETKNIRSVWFKPRPSDSKITPALGQNSWLWLAAVISSSFVLFLILMGIVTRFYIYPIDHNTDHIYSYTARGSLSMLLICISLALTSSAAFLWNKGNNAMESTQIQSIEGATPVASPNSAFYNAERELESLPQQSLVESTNVYYGERPDLKRYLFERKESRVGVLVSGPKMMRHEVANICASGLAENLEFESISFSW >KZM88256 pep chromosome:ASM162521v1:7:26125958:26129129:-1 gene:DCAR_025331 transcript:KZM88256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVVSFAVERLGDLLISESKLLYGVTNQVNEVRDDLKRMQNFLKEADKRQIQDERVRGWVNEIQELAFRTEDVIELFALQATTNSGFKEALRRSACITCHLISRHNVAMEINSIKAKLADIRQSLPTFGITGLEQGETSIPQRTFYSHDVEKDFVGMEKEIDQLVSDLMKKDENFEVVSLWGMGGQGKTTLAQKLYNHVKIRDHFEAFAWVCISQQFDREKVLKGILKQLLPDDRKGEVSNMEDPDLVDGLRKVQLEKKCLIVNIDDIWNVDSWRKLQAAFPLGETTSGCKILLTTRNLTVAEIGSVCKISGLTEDEGWQLLSRKTRIYDQPELPVASGMERIGRNMVKGCKGLPLAISALGGILKGKHLLTEWEKINNDITFYLGKGEGVTEDDEYCTVRQVLGLSYDNLPSRLRHCFLCFANYKEDEIIQTEDLYMFWMAEGLISVEDRAQGEMMLDVAERYLDELAHRSLVTVRLREYANDSWSKYEECVVHDLIQDLCWSKVKEQGVMNVIDLERKLDIGSKAGVVRRLCVRSYNANRDVLEPYDGQVLAQIRSLFFWNDQNYHDPPVWPNNIFTLDKFKLLRVFTAKYCKLSKENVRSLSELVYLKHLSLYYCELDILPSSIAKLRNLETLELGMLATLSLSIPNVLWKLKLLNHLCLPDNMSVRGRAKKLRLGGLNELQLLYGFDSNYCDAHDLLRLPKLKAFKGQMIVKENLTTQTIIDFAKFRELRHTDISITETGTEVDLVLVLECCFIDSLYIYASACVFPKACDCTCFSGRLTKLTLNELIFEENPMILLGKIPNLRFLSLQSVKNTHMDGDIVCSAMSFPKLEVLRLIKFKGLRKWRLEEGAMPNLTLLIISKCLELDMLPEGLKHLTSLKQLSISGMQREFTDKVKVIDGVEGQDFYKIRHIPLVIIK >KZM88321 pep chromosome:ASM162521v1:7:26793064:26795529:-1 gene:DCAR_025396 transcript:KZM88321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDISPAPAPAYIPADNILINCGAPYKDASLDGREWDTDSLPKFTSLPLNTSIAAFRATWQRDSVDEIPYSTARISRSKFTYTIPVSDAGQKFLRLYFYPTSYMFGFAENEALFSVDAANHNLLSNFDAFQHLEHRTATLVKEYVITVNQSRVLEVTFTPCPNSYAFVNGIEIVSIPDDLYIRGNDVPVKMIGQIKGFYINDSGALEKLYRLNVGGGVISPGNDTGMFRSWDEDEPYLLALDDFSEDIRFPRFPINYTSTTPPYTAPEDVYYEGRVSSFNMTWSFQVDSGFNYLLRLYFCDYDDQRVFSIFINDQTAEQSANVVSWSGGRDISHVLRLACSAVYRDYAVFVSDNPDDSTSKPSLSLALHPRPNKSSTSDQPEALLNGLEIFKVSQPGGSLALASHEILVQGIQERGTSHSIHVGNLVGGSLGALLLFLLIIGSLLFRRRIKKTRDVNNKLRSATTDISLLPSVRNLKFSVEDIKLATKNFDENFVIGTGGFGNVYKGYMKKGTCPVAIKRLNPSSRQGAHEFHTEITILSNLRHRHLVPLIGCCDDTGEMILVYEYMDHGTLRAHLYGGDNPPLSWKQRLQICIDAAKGLHYLHAGAERIIIHRDVQSTNILLDEKMVAKVSDFGLSKMGPSGTSVTHMSTIVKGSFGYLDPEYYLRRQLTTKSDVYSFGVVLFEVLCARPVIMQDLPYEQMNLAEWSRNCYRNGLLGEIVDKNVAGEITAESLEKFGEVGYSCLRDHGTDRPTMSNVVWGLESALQLQKTFEMLDHDNLLSTNIVANATSGEASTSSTGSDGFKSGVGSVFSDILNPNAR >KZM87317 pep chromosome:ASM162521v1:7:14250776:14253331:1 gene:DCAR_024451 transcript:KZM87317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSFSILLILLCCLASPSLCDPRATEAALICSNSTAAQSDRQVFVSNFLASLDTITPLVSSQRFGLVVSGRGNATVFTFGECMKDLSKKDCDLCFAQCKTQILRCLPFQRLVRGGRLFYDGCYLRYDDYMFFNESVSRVDRTVCGKDEFVGDQSLFRSNVVELVRNLSFQGAKNDGFYAGDVRRGNLSVFGLAQCWEFVKRRDCQRCLADSVSRIGACLPKDQGRVLNSGCYMRYSTQRFYNNSGDGEAPVRNGRGSKTAVILAATCGGGAFLLFIAMIMFFVRKKILKQKREKKQLGALLSTVNKSKFNFSYETLEKATNYFHDSNKLGQGGSGTVYKGILPSGQAVAVKRLLFNTTQWADHFFNEVNLISGIQHKNLVKLFGCSITGPESLLIYEYVPNQSLHDYFSAQKNVHLLCWQVRFNIILGTAEGLAYLHEESKLRIIHRDIKLSNILLDKDLTPKIADFGLARLFPEDKSHISTAIAGTLGYMAPEYVVRGKLTEKADVYSYGILVIEIICGKRNNAFAENTFSIIQTAWNLYQAGRSCETVDPSLEGNFQEQEASRLLQIGLLCAQASAELRPTMSNVVKMIIGEQEVPQPAQPPFLNSTSSRSTSFNQLQPDHYSRPRSNSQSSGNSITESIEPR >KZM88847 pep chromosome:ASM162521v1:7:31729618:31738077:-1 gene:DCAR_025922 transcript:KZM88847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYNDAFLRNNAAGGPANVQSRVKAQARTNVLQLKLIGQSHPTGLTNNLLKLFEPRPPLEFKPPPEKNKCPPYTGMAQYVPNFAEPSDAEYAPPVQKGETPTEKRARVHLLRLEEGAKRAAEELEKYDPSNDPNISGDPYKTLFVARLNYETTESRIKREFEAYGPIKRVRLVADKEKNKPRGYAFIEYMHTRDMKAAYKQADGRKLDNRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRHSGREQNQSGGSRRSEEPKVREDRERDREKSRERGKDREREREKSREHSHERPRDRDHREDRHHRDRERTRDRERERERDKGRDRTRDRDRKERSGRDRHRDEEKIRGRDLEVDDIGRDRGRSRDKDYDYDRVDSKHERDRHGDREKSYGHPEPEDDPGWYKQPEQEHRRSEAENEMAYDYYDDRNGLGKYGNPSNEDIHDRYQHSDRDHDRYDQMDEDREYHRLDRSLSREYK >KZM88875 pep chromosome:ASM162521v1:7:31961056:31962049:1 gene:DCAR_025950 transcript:KZM88875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESSEGDEESKIVVGNQQLVVEESLREMAKQAAWSVSSFVLYVDYKLDESYTPSKIAIRAGDGFHNLKEIKSVELVKPTGWVYISLSGNDPR >KZM88459 pep chromosome:ASM162521v1:7:28082710:28083726:-1 gene:DCAR_025534 transcript:KZM88459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRNAVSFTLVTLILVCCALGEAIRPITTQHHTEEEEKRSRNRSTRSRNRGGGSRGRSRGRGRSSPSPSGSRNRGRGRSASPSSDCDPLYSYLYGSCGQWPFSRDQSPDNPFNPSPRRASSPPNPPPLVIPSPPPLVPVIPPPLPPSSPPPVAAAPPVIPPPSPPLIIASPPPSIPSPPPPDLPPFVFPPPPTPPSPPFFPWPWLSPPPSDTPSFPFFSPPAIPDFPPAPPLVPIFSPPINDFFFPPPDQPISNTPTPLVPIFQPPSQDQFPQPQTPLVPQDPPQFPLPQAPDFVFPPPMVPVQQQPQPFFSTPPDFSSNEPSDPSPFPFFPPQQNK >KZM87349 pep chromosome:ASM162521v1:7:14930434:14931281:-1 gene:DCAR_024483 transcript:KZM87349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRMQLRMEGITAPPLTSAEPGRLVQVKGRTATNTVAISATATAKASTFTRKDGGFQAVAHDVPSGPNPESN >KZM88743 pep chromosome:ASM162521v1:7:30932923:30935073:-1 gene:DCAR_025818 transcript:KZM88743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAVRIRLSCLHLPTPPSPPKPSLSFPFSTTPQTRLPHHISATPKPTLPKPSQPTLFIPPGLDPDEGTLFANAPESARMDWSSFTKGYFLNRETLVAVLLLVDASVPPQKIDLDCANWLGRNNIAMTLVFTKCDKMKGGKGKRPDENIKSFQELISRNYKIHPPWIMTSSSTGLGRDDLLLHMSQLRNYWDN >KZM88736 pep chromosome:ASM162521v1:7:30872104:30874517:1 gene:DCAR_025811 transcript:KZM88736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIHRIVSHTRRAHQTSVLQQLSRHYSTSESLVEYKAGEIGTVSGIPDEHLVRKVVIYSPARTASQQGSGKTGKWKINFVSTQKWENPLMGWTSSGDPYSHVGESALNFDSEDAAKSFAERHGWEYQASSLAFYFMDIGAAYIVQFAFSLLLTILLRVVVPMSDTWTP >KZM89303 pep chromosome:ASM162521v1:7:35834633:35835994:1 gene:DCAR_026378 transcript:KZM89303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVVFDFDKTILDVDSDNWVVDELGATAVFDQLLDTMPWNILMDRMMTELHLKGKTIDNIAQVLKKVPIHPQIITAVKAAHASGCDLRIVSDANYFFIETVLEHFGIGECFSEINTNPSFVDKEGRLRISRHHDFPHGCKLCPPNMCKGLIIERIQREVTVKKMIYLGDGAGDYCPSLRLKAEDYMMPRKNFPVWELICENRDIVKAEIHEWSDGDEFARILLQLIHEISREDHNDNDDDDDDENISIKDVDCGTTSRLFTVECNNFSTSAMPVHEALPQTLPVAVPVRF >KZM86307 pep chromosome:ASM162521v1:7:1535802:1536723:-1 gene:DCAR_023441 transcript:KZM86307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWVKKHENLIILRTFSKRDGLRVGYGAFPISIIEYLWRAKQPYNVAVAAEVSACAALSNPAYLETVKVALVQERERLFRLLKQVTFLNPYPSYSDFILCEEDLSRMGVMIRHYSNKELSCYVRVSVGKPEQTDALMNCLNSMS >KZM86941 pep chromosome:ASM162521v1:7:8654226:8654887:-1 gene:DCAR_024075 transcript:KZM86941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAMLFVGAIANYFLRERVPAPPPAPATTASLRFKKKTNFDSKLVSKQINEKRSKGHEDDAMSTSAYSLDHAFDIDDDPDRN >KZM88137 pep chromosome:ASM162521v1:7:24856216:24863533:-1 gene:DCAR_025212 transcript:KZM88137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEDEDSSISPGPTANPKPNLNLYHRIINSPVLHSSSSLEIRLFYVRITPCAVDYVPDHLTLCHLRREIGVSLDINSVKIPASERSAIALRRDRVDKENAEVTYVSTDSVRVTGAVEFEVLEEEEMVLCGSLERIEGSWSGEVGSGWSMDCYIGGIANGSGDSAFFKPKLGISSPSIEVYIAGCCSGVPVILTKTIQVSPRKKNVRHGMLDAIPEDEEVDKERRNGNGLVQNLKIPVTDAEVDDYDPDGKFGQSYYSDDMYPVEDGQLTWFNAGVRVGVGIGLGMCLGVGIGVGLLMRSYQATTRAVTVDAYEEDCEFADPAGSFKGLSRFKRNCTNFGLLIEKSDMKLTKWENFEDKGIGHWRFSCIMSFPWKPILSATGFTEYYFDAQTGRVNRHVEHWNVPKMALLKQLVKPSKYFWSKKTKDG >KZM87852 pep chromosome:ASM162521v1:7:21227329:21227949:-1 gene:DCAR_024953 transcript:KZM87852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFAEAPGIFGDLKYYLERDMGLVLPSPIHELVPNFGEGEVEDASPPPLKRKRESIVLPFPDVLVNSPLLKARCFGFEGKGKEKMYKEFAFYDDGRLSARAMEIINSGCLDKIAPVFAEEVIDLESHVGNGFFAKDVLHYAVLGTLEIVLPLIQNTFPAVTPTVVVKEPEFMPVNEVLSSMGFLNEQEDRAIGSLGMSSKKAPGV >KZM87935 pep chromosome:ASM162521v1:7:22203214:22210919:1 gene:DCAR_025036 transcript:KZM87935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTTSRSCSSSSGGANKNHDARGGEANPPPPNTSFEKPSNVEGEQTWVMNGGVNSDSSSNADLPANGGADVQLGVKQAVVASQKSTRSVREATATNVPAVSSSIMASSTLVEGGGFPLQFGSVSPALMQVPHPTSSTPPNMDNQKRDQALYNDYLRAAPVLRQLEGILDKLTPQTFDRLFEQVKQVNIDNSGTLAGVIFLIHDRALMKPAFCKIYADFCYRLSRELPDFSENNEKITFKRLLINKCQEEFERGESEQEEANRPEEEEAETKQSDEEGRKKRIQARRRKLGNIILIGELYKKKMLTERIMHECIKKLLIQYQNPDEEDAEILCELMSTIGRMIDHPKAKVHMDAYFDKMAKLSNNMKLSSRVRFMLTDLIDLRKNQWQQRWKAEGPKKIEKVHREAANARQTQSNWFTGRPSMGFSYRRQQSIDYASGGSSISHAAARGAYGAHSDLHGGVDAQVSVKPAIMSSQNITRGGPKVPAVNASAVTARTSSALPNLDEQKQEQARYDFVRAAHVLHRLEGILNKLTPQNFDRLFEQVKQINIDNAGTLAGVMSLIYDKALMKPTLCGMFANFCYHLSEELPDFIEDDEKITFKRLLLNKCQEEFERGEREREETNRPEEEGETQQSRKEREKKRIQALRLKLGNIKLMGELYKKRMLTERIMHECIKKLLGQYQNPDEQDVEVLCKLMSTIGEIIDHRKAKVHMDAYFDMMAKLSDNMKLSSRVRSMLKDSIDLRKNQWQQRRKVEGPKKIEEVHREDTSERQAQSIRLTRGHGSSMGSSYRRQQPTDFAPTGAFRALPQLQPGYDVQDFRYNERPPSEK >KZM89245 pep chromosome:ASM162521v1:7:35328509:35335420:-1 gene:DCAR_026320 transcript:KZM89245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARLMAMEEGKEPSMAIRSSQPRVLPVRLLQFFLIFLGMGIALTIFSMFMSRHFRFENAVIPVTSSKIQPSFKEPNDFKSWIKPPSKVWHQMNDSQLLWRASFDSQIKLYPFKRVPKLAFMFLTRGPLPMAPLWERFSKGHIEKYTIYIHALPMYNESYPPSSVFYRRQIPSQVTEWGRMSMCDAERRLLANALLDLSNEWFILLSEACIPLQSFPIVHLYISRSWYSFMEIYDDPGPFGRGRYNDNMEPVVNLTNWRKGSQWFEINRKLVVAVVEDDTFYPKFEQFCRPACYVDEHYFQTMLSIRWPHRLANRTLTWVDWSRGGAHPGTFGKADMTKEFFKKMFDGQTCLYNNQPT >KZM89290 pep chromosome:ASM162521v1:7:35724237:35726698:-1 gene:DCAR_026365 transcript:KZM89290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCEVEGNPGQHLSAAAIIGHDGSVWAQSSTFPQFKPEEIAGIMKDFDEPGYLAPTGLYLGGAKYMVIQGEPNAVIRGKKGSGGVTIKKTGQALVFGVYDEPVTPGQCNMIVERLGDYLVEQGLAVKCWL >KZM87453 pep chromosome:ASM162521v1:7:16557786:16558928:-1 gene:DCAR_024587 transcript:KZM87453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDLGGQHTWFDCGNGSDLPTYRPIKCGSRKCRNVKNFGCVGCNLPRKPGCTNNTCGVASYNPYSNDLYAQGIGEDALYIDSVSTNGLSIGLSYHSPQPYLFSCANSDLLRGLSNSTTGMSGLANTTTSLHTQMSAQFNLPHKFAICMPSASDYVIGQIFIGGGKYMYPPYDKDIATELSTTPLVINPVSTAPAYTEGDPSDEYFIYIKSISVDHKVVAVNSSLLSIDKQGNGGTKLSTIIPYTKLETSIYKALVDNFNKAAALRKIKRVASVEPFGACFSSKSIATSQTGPLVPYIDIGLAGNDHDWRFYGANTMVAVNKNVMCLAFLDAGSKPRTPIVIGGYQMENYVIEFDLVSSKLGISNSLLYHNTTCSQSPLR >KZM88171 pep chromosome:ASM162521v1:7:25166681:25170375:1 gene:DCAR_025246 transcript:KZM88171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKHPAKRTSGHRSRGEADAQGQQQRKPHRFRPGTVALREIRKFQKTWNLLIPAAPFIRTVREISFYLAPSITRWQAEALRAIQEAAEDFIIHLFEDAMLCAIHARRVTVSKCKLMF >KZM88391 pep chromosome:ASM162521v1:7:27529434:27530480:-1 gene:DCAR_025466 transcript:KZM88391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTMAAPPPNFWGDMPENEYYKSQGVTNFKSYFKTPHGTIFTQSFLPIPHDNNNNVVTLNTSSTYSTGPCIAPSHVKASVYMTHGYGSDTSWLFQQTCITFATWGYAVFTADLLGHGRSDGIPGYIGDMDKAAAASLSFFLNTRRSDQYRHLPAFLFGESMGGAVTMLMYFQSPPDTWTGLIFSAPLFACTQDTKPSRVRLFMYGLLLGLADTWAAWPEEKKLGNAIKDFEKLKLMLQNPRRYSGRARVGTKREVARMEDYLQNNFHKVKAPFLAVHGADDGVTSPAGSEMLYNKASSVDKTLKLYQGMYHSLIQGESDENVKLVLSDMRAWIDERVIKYGPTCEVQ >KZM88202 pep chromosome:ASM162521v1:7:25432166:25434022:-1 gene:DCAR_025277 transcript:KZM88202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKFKKEKGSSSSSRAQPYQGGIQFHKSKGQHILKNPLLIDSIVQKSGIKPTDIILEIGPGTGNLTKKLLEAGKSVIAVELDSRMVLELQRRFQGTPFSNRLKIIQGDVLKVELPYFDICVANIPYQISAPLTDKLLKHRPVFRCAVIMFQREFAMRLVAQPGDALYCRLSVNTQLFARVFHLLKVGKNNFRPPPKVESSVVRIEPRKPLPQMNFKEWDGLIRICFNRKNKTIGSIFKQKSVLSVLEKNYKTLQALRLSENGSLEDTEMPVDVPILAEAGEDLSMEVDDGEDEDDEEMEVEDVDQKRSDFKDKVISVLKQGGYEEKRSSKLAQADFMHLLSLFNKAGIHFS >KZM87958 pep chromosome:ASM162521v1:7:22503614:22507963:1 gene:DCAR_025059 transcript:KZM87958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVNNKMTKIEEDGMSRRSERRRKMMKRGVKNKAMEPVIRPAWNTFSSSLNGIWKGVGAIFSPITAEMEPIDVGKKGEHLFDCYTLCHIETVGCTSGEQKSQIKRRVNWVTLNPYGENHQLKGTENRSKEKYVREDSSSQKKVYDANTGNHILPKYESFNFETSDVMEDDVMSMEPGLVFFEDGSYSRGPVNIPVGEVSESEYFLSPTFKFEQCLVKGCHKRLRIAHTIEFSNGGSDIQIMRVAVYEEEWDSPVNLPDLRALSKSIENMAYVLSWRLEANLAIEFDLKPFSQRKRVQPSQLVGFWKVFEMSATPIYGEETIAEEAEGTTPYVYLCTETLKKRNLPDNPVYFGEEEVLDMADVTVLWLPGGITSYVDVNKDGDLCIGVGWYSDEGINLVMERDYGLDGKLKEIRTKSEVKRRWPE >KZM86639 pep chromosome:ASM162521v1:7:5209944:5210813:1 gene:DCAR_023773 transcript:KZM86639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEELRNLAGGLEIKLDRMKKRRELMMRNKRLKTAIQCNDGCNLDMDEAVVDVAMNLIEDDVSCDLDMSPLPDEAIVRDQFEMAMNSVEDNVSCNFGMTPMPVGAVVWDQLEMAMNSIEDNVSCNLDMNPMAMNSIEDNVSYNLDMNPMPGEAIVRDQLILIEDNMGCNLQPNAMKKVDDVNGMTLPNFGDNLTDLQQQCLYDPSNLFVKDGSGAHIEADLFSDSFLMSPAVMSADEWGTCGYDSNAFDFSLSDCFPMHEASACGNSQLVNCVPRQSFDWPPLPPLML >KZM89003 pep chromosome:ASM162521v1:7:32972896:32975020:-1 gene:DCAR_026078 transcript:KZM89003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPALCNSKKLEGKVVIITGGASGIGEATARHFADHGAQVVVIADIQEEKGLEVASSIGSRCTFIKCNVTDEQQVRSLVESTVKTHGKLDIMFSNAGVLRMSGSEQNILDFDLEASDNLFAVNIRGMIACVKHAGRAMVECGVKGSIVCTSSVAASTDATISIDYVLSKQAVVGLVRCASRGLGKHGIRVNCVSPAAVGTPMMCDWMQTNEEGVEKAFESSCYLKGGALKSRNVADAVLFLASEDSQFVTGHNLVVDAGNKKLEGKVVIITGGASGIGEATARHFADHGAQAVVIADLQEEKGLEVAFSIGYCCTFIKCDVTDEQQVTSLVDSTVKAHGKLDIMFSNAGVLSMSSSEQSILEFDLEASDKLFAVNVRGMIACVKHAARAMVECGVKGSIVCTSSAVANTDAARPIDYVLSKQAVVGLVRCASRGLGEHGIRVNCVSPGPIGTPLMCNWMHTNAEGIEKAFESMNFLKGGVLKLRNVADAVLFLACEDSQFVTGHNLVVDAGFRP >KZM86575 pep chromosome:ASM162521v1:7:4583530:4596796:-1 gene:DCAR_023709 transcript:KZM86575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQDQHWLLNCLNATLDTNQQVRSFAETSLNQASVQPGLMFFVPFPFLVRINMLYLAAVLLKQFVKKHWQENEENFEHPVVSIQEKETIRSLLLLSLDDNHKKICTAISMAVASVAHYDWPEDWPDLLPFLLKLINDQTNLNAVNGALRCLALLSSDLDDTVAPTLVPVLFPCLYTIVSSPQVYDKQLRARALSIIYSCTSMLGVMSGVYKAETSALLLPMLKPWMDQFSSILDIPVQPEDPDDWSIRMEVLKCLNQFVQNFPGLIESQFMGIMGPIWQTFVSSLRVYERSALEGLDDSYDGRYDSDGAEKSLESFIIQLFEFLLTIVGSPRFVKILGNNISELVYYTIAFLQMTEQQVHTWSLDANQYVADEDENTYSCRVSGSLLLEEIISSCGTEGIHAIVEAARKRFVESQQEKASGSSGWWRMREANLLALTSLSEQEISLLPGCTLGNLLEQILSEDMATGVHEYPFLYARMFSTVANFSTVINNDIVEQFLYAAIKTIGLDVPPPVKVGACRALTQLLPDANIVTILPHFVNLFSSLIDLLKQASDETMHLVLETLQAGVEAGHESTEFSSIEPLISPVILGMWALHVSDPFVSIDALEILEAIKNAPGCIHPLVSRILPYIGPILNRPQQQPDGLVAGSLDLVAMLLKNAPSDVVKAVYDVSFDPVVRIVLQSDDHSEMQNATQCLAAFLYCGKEDLLSWGGDPVFTMKSLLDVASRLLNPDLESSGSLFVASYILQLILHLPSQMAQHIRDLVAALVRRMQSCQIAGLKSSLILIFARLSTSGITTRSKAKLAPDQWTIMPLPAKILAILADTLIEMREQFVAGADEDDEWEEVEAEDTETNQNMLYSAGANISGRVKNSYLESMAKSFDEDQDDGFEDELLSSADPLNKINLTSYLVDFIVKFSHSDKPYFDHLCQVYE >KZM86822 pep chromosome:ASM162521v1:7:7379435:7382463:1 gene:DCAR_023956 transcript:KZM86822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGVAVGIDLGTTYSCVGVWQDDRVEIIANDQGNRTTPSCVAFTETERFIGDAARNQAALNPHNTIFGYLGKEVKDAVVTVPAHFNDSQRQATKDAATIAGLNVLRILVEPTAAAVAYGIDKKLTILATAGDTHLGGEDFDNRLLKHFVEEFKRKHRKDISVNAKSLRKLRNACEKAKRVLSYNGMTTIDVDSLYEGIDYCTKITRARFEDLNMDLFMKCVEIVKQCLKDADMDKMLHDVTPLSLGVEVLGKVMNIIIPRNTTIPTRKQMNYITCVDDQNAMQFLIYEGERSCSTLNNLLGQTTLYGLPPAPRGKVNVMVTFDIDANGVLHVTAECSIAGVKTNITITNDKGRLTKNAIEDMIWEAEMYKAEDEEFKRRIEAMNAFEKYAYDMRNAVKFKQMEEERTIIEAIQWVEAHRSAEADEYESRKRALRSTCSRIKVEKGN >KZM86265 pep chromosome:ASM162521v1:7:1109538:1112401:-1 gene:DCAR_023399 transcript:KZM86265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVDDLTKETPILHIPVWALVLIFVLVPILLLVLPICISRKKSNRDTGKLPISQDQIVSSEIKEIKIDQNSANSFDVYDTNSSISKDNYSGKDPDKLLMHLHQTENADKSSQSGSLKNLEKGWFGSGSGEKGNSGKKNAYKPSSHPLTGSSPLSGLSDFSQLSWGHWFTLRDLQVATNRFSKDNVIGEGGYGVVYRGQLANGSSVAVKKLFNNQGQAEKDFKVEVEAIGNVRHKNLVRLLGYCIEGTQRMLVYEYVSNGNLDQWLHGAMSHQGYLTWEARMKVVQGTARALAYLHEAIEPKIVHRDIKSGNILLDDDFNPKISDFGLAKLLGAGESHITTRVMGTYGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPVDHDRPADEVNMVDWLKLMVANRRSEEVADPTMETKPSRTALKRALLAALRCVDPDSEKRPSMSEVVRMLESDDYPLPRESRRRQRNAEAEYRSENSSTNKYKVPNLSPESRENQRG >KZM87028 pep chromosome:ASM162521v1:7:10253389:10253844:-1 gene:DCAR_024162 transcript:KZM87028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHFTTSPSFTFTSSKKQSSFLPPVQLNQHVQPRLRRGYRSITTIKSYKVTIEHEGKTTELQVEPDETILTKALDEGMTVPYDCNLGVCMTCPAQLISGKVDQSEGMLSDDVVERGFALLCAAYPRSDCHIKTIPEEELLAMQLATAND >KZM87538 pep chromosome:ASM162521v1:7:17955044:17955421:1 gene:DCAR_024672 transcript:KZM87538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRQDQQSRVFHELSALILNILRSPPTPIHFSDHSPASPRRPSLPQLTPAGFASLLLGISLAMMLCGSVTFFIGFLLMPWVIGLVLVFYFVGIVSSLSMIGRAILCYTLSPPSPRKDVPGTFSA >KZM87195 pep chromosome:ASM162521v1:7:12281106:12283407:-1 gene:DCAR_024329 transcript:KZM87195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISTTPLNKLNSSKIKWVIRVRAQAIWKGITRETNEFRGINMLFIDDSNTRIHAFINARISGPFEPSLEEGQMYTISNFSVQSYTGYEGHRCVRTDHHIYFSDYTIMHKITTSIPRIPDFSFDIFDLLYLDQTTDEKRFLFDVVGILMNREPIRKYVSDTQEEKILLKFSICDGRSTQKVTFYDDFVVRCDKALDKDCDEPVVIIIGCAKIGHYQGELTINNYPATRLFVNVNHHIVRIMRIRAADPSFGKNLVLLEDRPEEVVMSIASIKELKEEFNQKRVYCSVKIEKVEDMQWFYHCCPKCSEELVAVDGRFKCTKYNCYIPFPDRRFRISTICSDVSGVIFIVLGDSEVRQIVQKTVFEVDLDHSKGSNDSKLPNVFKDLVGKSYTITLKISMENVLKKSEIYESVEIVSSSGSFAEQIECQENSTEIDESCGLSGTEVGSVPPSEVVVCSPKSSGKDKLRKDVVSETAPVLKDDDDFVLLQLIPGVKTKKSKK >KZM87887 pep chromosome:ASM162521v1:7:21725409:21727014:-1 gene:DCAR_024988 transcript:KZM87887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGRPVKISKNNSRPSSVYSKFAPPELRYLIFKGFNPPPLPSPPAPPSITVPRRPSYRKSQIIVPHDRFRGVYVSKDRTSLYTKNLVPNLSNDGDVVVSVKDDDGTVNEYREWDPYRSKFAAAILCGAENIWIEPGCRVLVICSRDDEQFGITISHISDIVGPADCIESRSRGDAVFSSMIRPKEFEFKRKQRVTLEPFDRDHAYLFGDFRTIEVTSSLSLNP >KZM88575 pep chromosome:ASM162521v1:7:29364677:29366318:-1 gene:DCAR_025650 transcript:KZM88575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSALSTVIGNKKDHSDSSTALTDDSQQQIQDQTVEHHQDEDNKQQEVEVEERRNRHYRGVRQRPWGKWAAEIRDPVKAARVWLGTFETAEAAAMAYDEAALRFKGNKAKLNFPERVELHQITRLPHIPPPSTTATQDHLPNNYNQLTHDFTQADQHKSSLHPSLPVSSNFMNYPYDSSNVYQDHQLHSYGQLARGNDAQLNSMSVSGPRGSNLYANLVSSSSSSSSTTGSSSTTTNLHAASSASQEDDFQFVGSGTSTKDHRDIRD >KZM86586 pep chromosome:ASM162521v1:7:4634244:4635563:-1 gene:DCAR_023720 transcript:KZM86586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRLLSHCKKNPDSLSIPPEGPNSGYLVIQDEEAETSCWFGSSKNSYLKSLPFPYNNMLTDYSYTHDSVVKLHDVVLIPVISQPLSCSRYYAILAGGCSGRRKGEGFTCLKDEQVMCLHCKTRKPGSIIGDLTTKPVDPRDIYQQFKIVLTETQEGAKSYFYAKSVAHDGRPPAFLSTECWKIRTVRSRKYKLAEALGLNSALRECNMDINIQISDKSSEAVRVGKWYCPFMFIKDRTLVFQIKKSMYYEMTLEQRWEQIYECKNNHINSNAIRINAPVQTEVVLVEGGVAVWDEKNVVDKAIWFKGIQTKGREVSVGLSLEIVERMQWEQERVGYVGGGKRLVTFTRVEKFGGGAGGWRKFGCYVLVERFVLKRMDGSLVMTYDFKHTHQVRCIWE >KZM88967 pep chromosome:ASM162521v1:7:32661111:32663134:-1 gene:DCAR_026042 transcript:KZM88967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMTCRISRSLNIKFQMRNIKRVRPHIKSQIELLPMDSTKTNLLEKEASAEFPKTNTGKLCILSLVILLSLVIAGVLFITTVINLRDTPNPNVDMIQFIDLTPVLVPTPVQSIRAVCSFVIYKRLCHNTLASSISTNISGDNPPPEDIIFRFFQLAVNQLIRLADVSKDDYKLEECQLLLNKELNVLNNSIATSQNLDAFRHEVNEYLDRFKRMKANQQSCLDKLQESGSTAIQVVGSNVRIMRRVMSNSRAVLLNIDPIINKMYGSFPSSVQIIDHNPIRSTSDDNLESQPSPLNMIFYSFQVSVIQLTHLASFHNISKPNDVAEPVLLECQVLFNKDLAGLNNSVSSSRNFYYFTKIERDEYVEVFNKTETNQQSCLDRLEESTNGSTVIDEIRFNVEKTRRYMSNTRAILVKFEPILDSIYGNFPSADTADYYSFYFDGLSYEYMVIFVPQYVFLILLFILMLKQY >KZM87671 pep chromosome:ASM162521v1:7:19256775:19259044:1 gene:DCAR_024772 transcript:KZM87671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFSKIGIIGAGISGIAAAKQLSQFHPMVFESSDSLGGVWKHCSFRTTKLQTPRCDYEFSDFPWTKRDNSSFPSYQEVLDYLYSYATSFDLLKFVKFNSKVVEIRFVGDRETTAEVGSFIGTGKPVWEVAVQTNQSEIVEWYSFEFLVVCTGKYGDEPKVPLFPQNKGPEVFRGKVMHSMEYSKLDEEGSVELVKDKKVAVVGYKKSAIDMAVECAEANQGPEGQACTMIIRTLHWTVPHYSIWGLPFYWFYSTRFSQFLHQRPNQGLLRTILCHFASPMRQGISKFIESYLAWKLPLRKYGLKPDHPFEEDYASCQMAILPESFFSEADKGKIMFKRASRWWFWEGGIEFEDNTRLEADVVLLSTGFDGKKKVRSILPDPFRSLLESPSGAMPLYRGTIHPFIPNMAFVGYVESVSNLHTAEIRCIWLARLLGGQFKLPSIEKMLEQTTTEMEIMRNTTRFYKRNCISTFSINHSDEICEEMGWSSWRKKNWFSEAFSAYNSRDYEQHKEDKA >KZM87416 pep chromosome:ASM162521v1:7:16157278:16163354:-1 gene:DCAR_024550 transcript:KZM87416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSKWLTMVIILSLFVLSAGRGFKAHRKYDLPRYNHTLARILVEYSSAVYISDLTELFTWTCSRCNGLTKGFEMIELIVDVEHCLQAFVGVAKDLNAIVIAFRGTQENSIQNWIADLYWKQLDCNYPDVSDAMVHHGFYSAYHNTTVRPGIISSVNSAKKLYGDLQIMVTGHSMGGAMAAFCGLDLTVNFGMQNVKVMTFGQPRIGNAAFSSYFSQIVPNTIRVTNEHDMVPHLPPYYYHFPQKTYHHFPREVWLYHIGFGSLVYTVEKVCDGSGEDPTCSRSVSGNSILDHLKYFGVQMGCDDVVRCRILMDPRLTAYSTQDQSGNFIMSKSRSNPIIKTNVENVESGVSSNVL >KZM87642 pep chromosome:ASM162521v1:7:18863095:18865428:1 gene:DCAR_031921 transcript:KZM87642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPDYKKNSDKYCDYHRDNGHNTDECYHLKKLIERMVKAGDLNQYVKDLRDRLGPKEDKGKAPEEGERYRGEVRTIFGGTILDRSSKTAKKKYARQVYNLYSINSTKQSYPIMFSQEDYEDVMLPHEDPLVINPVIGQNKIWKVLVDGGSSVNVLYYNTYQKMNLEGKQIDTCYEAPLYGFGNQPVPIEGTIHLPLLLGKSPYTVEKQVKFYVVRVESPFNAILGRPVLTAFEAIASIPHLKLKFPTEKGVGEMRGDQKAARIIMLEDLEKDKDLGGAEGNKRRRAEDGPGGSGHALHIELEKFGNDLSNPIAEPGTETEEVELYAGCSGKMVRIGKDMEPGLKEKVIDVVRRYHDVFAWGPEDMPGLDESIARHRLNVHPQAVPVKQKKRNFAVERQKVIEAEVEKLLEAKFIEEIEYPEWLANVVVVKKSNNKWRMCVDYTDLNKNCPKDHYPLPNIDQLIDATSGYQILSFLDAFSGYHQIAMDAEDIPKTTFITPKGTYAYIKMPFGLKNAGATFQRMVNKVFADQIGRNMECYVDDMIVKSLFRDHADDLKECFETLRRNNMKINPAKCTFGVCSGKFLGYMVSARGIEANPEKIKAVLEMEAPKTIRDIQKLTGRLAALRRFISRSAEKALPFFEVLKGAKNFEWGPNCIKAFEEIKEYLVKAPPLLRPDPKETLQLYLAVSDRTLGAVLVKEHEKNQHPVFYVSHMLRDAETRYPNAEKFAYGLVMASRKLRHYFQGRTSGHRPTFEEDPQPTGGFGQSRRLVCRIGGI >KZM87630 pep chromosome:ASM162521v1:7:18781654:18781875:1 gene:DCAR_031928 transcript:KZM87630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADSDGDHDISAEDWEARYAGLARVPLLHYDIVEYQHSDRVLRQFGLRQPIPPSPVSMTRYRQEKEAFLIRD >KZM88360 pep chromosome:ASM162521v1:7:27192444:27193631:-1 gene:DCAR_025435 transcript:KZM88360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSLNSFVSPPSMRPHEEKVVKETEEEEDIDLSLSLSLNGKFGVDPQRNQRTNTHPEVLITPNQWSSPISDENGGELKMKQAPDSQGSNSSYFQCQTMEGQRYPANIGSSNGVRNVAGNTPPKAIPAARGKVNGIVFNNTGMPCVFTTVHGQKIEGFLSRYNDEDDVIIICACHGDSMTPSEFIKHAGGGDVAYPLRDIKMVKRSIYNSV >KZM89058 pep chromosome:ASM162521v1:7:33438747:33444512:1 gene:DCAR_026133 transcript:KZM89058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DXR-1 description:1-deoxy-D-xylulose 5-phosphate reductoisomerase MGLSLLAPTDIKAISFMDTSKFNHSLVKLKAGFGYKRKDFGVAFGKRIHCSAAQGPPPAWPGTAVVEPGRKTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFRPQLVAVRNESLINELKDALADADYKPEIVAGEQGVIEVARHPDCVTAVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDLPVDKLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLYGSDYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPERIYCSEVTWPRLDLCKLGSLTFKEPDNVKYPSMHLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCQKHQDELVTSPTLEEIIHYDLWAREYASSLQPASSGLSPALV >KZM86821 pep chromosome:ASM162521v1:7:7362139:7375574:1 gene:DCAR_023955 transcript:KZM86821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTDGLVPITRPFLASYYDKYVFSPLSDSVTHLSGQLHSNSQLLQNHTPLSQGEISLLHEAENQPPHKIDENMWKNREHMEEILFLLEKSHWPIEIQQQSTSEEAELACVLGKLKDKVENTLKILESFQTKNSESIFNTVMTYMPQDFRGTLIRQQKERSERNKQAAFDALVQSGASIHDRYALLWNQQMERRNQLAQLGSATGVYKTLVKYLVGVPQVLLDFIKQLNDDQGPMEEQRQRYGPPLYSLTKMVLFIRLFLSLSWRRYEAIKLQKDQISILEEAVGIYTSELERFLKFIGSEFVFMIDCEAAPHNFVSKYSVEAAKVNVPPQRIYLEPFPFDDCYVVLHDVGNLHIDYRLLQETYYPEQSAVLSKLAIHGGKTVCTFTDLIAAEEAGAIEAGKIDDYKEIIIPSGKTYEVLLDVESINSYIAWDFSIVQGKMNMDIGFSLEHTSSSGAKTLMLPYRRYECDQGNFCTCLVGKYKLVWDNTYSTFFKKVLRFKVDCIPPVVEPLTSVTEEQNAGL >KZM87377 pep chromosome:ASM162521v1:7:15292170:15292400:1 gene:DCAR_024511 transcript:KZM87377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYGMSNFESLVEVPRPFEDLQEQLNMDIGLGPRADFLEVQPNFGRGEIVAGRVGGNRVVIDLTGEAGRGRGRRQ >KZM88520 pep chromosome:ASM162521v1:7:28644935:28648576:-1 gene:DCAR_025595 transcript:KZM88520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLADIPIVGKFVEKVSEYTVDAVFRVREEKANGNTIEDYVSKWETDVEEIQKSAAEELSPSCSCIQYLPISNPISRFRICRNVVKKAEAVTQRINSGKEHLTGEIAYLPEASSLLLDSGKDNETKVHDIIRDVARSVAFTDPEYAFLQVTCKSQDLPSNDNYHSQRFLRIDAETDDVHFNEHLGFPDLHTLWLQSKYHPQQFSGGFFCIFANLSCLMLQKVNDNIRVLEGLWPSIVAGNTNEIFWRNEWYKHGSRSGLLAREYINMIIGVANPQVLNNIFNEAGIVPDANNPYTRDRIEDAIKKATGFHALIKCETNEDDNLVLKQVRLCTTYSIINQATVPLPAHCTQKKNPSCGDVNQPPANILLLPAPPLAP >KZM87398 pep chromosome:ASM162521v1:7:15915089:15917024:1 gene:DCAR_024532 transcript:KZM87398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCRLLKKLTLGRGTRFIKVIISREWEGRKAGATYATTKTYIIIDEEVCFTPIGNPTSGRKSLQLGTVYLISNYDVVPAPETYRPMAGEYAINFHQKTSVKKIGDVPAIPMFQFRLKTFEQARARLGDFVILIDVVGKLKDYTDIQTTKSGKKSLDIVLSGERLLSERREGFTVTEIEEVEMTKPTTISRIDSGEDKPEHNLVPETKEPSKRHLQNKIKTQD >KZM87372 pep chromosome:ASM162521v1:7:15241538:15242191:-1 gene:DCAR_024506 transcript:KZM87372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCSLFFCLLIVVGFVKPDPDPLQDYCIADTKTPQTFFCNGAPCIDPAQAKASHFATSALSKPGNTRGNPFGFNVTLANIRNLPGLNTLGLTMARVDIAPDGLIPPHAHPRASEVTTLIKGSLLVGFVDTSNRLYTQQLRPGDTFVFPKGLVHYLYNLDSKSAALTVSGLNSQNPGAQIASLASFTSKPSIPDVILTKAYQINGQDVTKIRQNLGG >KZM88526 pep chromosome:ASM162521v1:7:28840416:28842214:1 gene:DCAR_025601 transcript:KZM88526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFGLLDLKFVCPNFACNYVPGIVYIGGPSFNIEIYNEYAVEIDYTISGKSDAAMRDGKSFFDFSDIEVDRLCGTFGYNGYNSGRGISDFVLHKSHLRKKDYYKEIPRVFIKHSGAELRPEVELIIADGETYFVDFCARRNFLSGFERIVKHYPVCEDDVMIFSYISTLKFAVHLFKASGIQFKYDLEPFPNPSNDNTGREENIIYISDSNDKKNEMEDADLGNNFPSFRVILKPSHVDKKCHGAVSK >KZM88879 pep chromosome:ASM162521v1:7:32009694:32012571:-1 gene:DCAR_025954 transcript:KZM88879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSGYLCRHNYLIPSRSLLKRWLSCPAEEFVNAYSLLGVSESSSFSEIKSSFRKLAKQTHPDLAPNDPSVSQRFVQILAAYQILSDSEKRAHYDRYLLSQRSLVQRQSRQGSVLYKYESHSTMKQMEVVEWLRWYRYAISDILSEKRVVVGSGYLDVLERDFYSALHVAYYGPEIESMDLLPDSFEAEERSASETPEVLHIVSGRDLFGMVRIAKRIPEISHTCNVKLSTFSSTDLRVCESTENARTQKKYGLSDSSFCQMHTTSSDQPTSDAYKDLELHISGRVIAVGTRVPPMQNEDSEDHIHIYLNPDPASIPLSLEFPRDSEGVSSGSRTPLGTIVGLGTSSDEGSCYVRNNLGTKTHIIMKHRTLLVSPVHKLFVVPFLIAVEIDACNCTVHTDLTLNVLHSPETRHY >KZM88659 pep chromosome:ASM162521v1:7:30075821:30077005:1 gene:DCAR_025734 transcript:KZM88659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMGGLNPAHLAGLRRLSARAAASTPSTPQPPRNSLLSFNSLADKVINHLKNSGTQVQPGLSEPEFAKAEAEFSFAFPPDLKAILSAGLPIGPGFPDWRATGSARLQLRASLNLPIAAISFHIARNALWSKSWGPRPIDPEKALKMARNELKKAPLLIPVFSRCYIPCNPTLAGNPVFFIDENQIFCCGFDLSDFFDRESSLFRGSDPVSLSKQRSESGKSAAALSNYSRRSLDAVTGGRTPRWVEFWSDAAVDRRRRNSNSSSPDRYFEIPRLGVPEWVNQYIDRVGSVLKEGGWAESDVNEIKNVSASGFLDGEMMCLDNQGLLDALLLKTDRFSDSLQKAGWSSEEVSEVFGFDFRIVKEKKLGKKLSPELVERIGKLAETASFKQ >KZM88380 pep chromosome:ASM162521v1:7:27443080:27444094:1 gene:DCAR_025455 transcript:KZM88380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLTMSWLLALTLFCLACTTNAGAPRKTQHPSATIVGTVYCDSCSQNHFSNTNHFISGALVAVECDGTDSSPKIRKEVKTNKHGKFRVNLPSRVAKHVKNIKKCSVNLVRSNDANCAVASTATASSIHLKSIKARTHIFSAGFLAFKPLTQPSICNQKPQISNRPPTKPPKRAAHKKTRKGLVNQKMFSLFPPLIPNPFQPPPAILPPIIPSPPPSIFPPFFPSPPPSIFPPVFPTPPQPPPSIFPPFFPAPPVAPPPSIFPPLFPPIPGFSPPVVMPPPPPPPVFPFLPPGFPGVPPVARSSHSEETKHP >KZM89357 pep chromosome:ASM162521v1:7:36274381:36274668:-1 gene:DCAR_026432 transcript:KZM89357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFEMNLKELDVVYRRCLTNFIDSLPLYPKNAKLADLKQRYNQFFKMFADSTSINKSMSNTNTQNGCKTRVAQDDDQSVSSYSIGLTQMFAKHL >KZM87679 pep chromosome:ASM162521v1:7:19332620:19343114:1 gene:DCAR_024780 transcript:KZM87679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHKKNHKDRIPRRKEEKKVEEGAEAGPKYRDRAKERREDQNPDYEPNELGSFHAVAPPGNVDLLSADAQKISIEKSKYLGGDVEHTHLVKGLDYALLHKVRSELHKPDDGDDYDQKSRISKEEHPVSFRTANAKAIYQCIVKPQTIIKPSEMFLPGRMAFIFNMETGFSHDIPTTLQRSKADCPVPEEMITVSVDGSVLDQIAKIMSYLRLGSSGKVLKKKKKEKDAKAKVSLQTEYDDNVKAIKPGFIKNQMDREIIPPPPPPIKKNPSDFKEKIVPTVARAEEEDIFVGEGTDYAIPTKDMSQSPISEDMEESPRNKEKMTYFNEPVYGPVPPSEPTYGPVPPTEPSHDWQPLNGYDAMQAQAMIGAYQGEWQDYQYAEQIAYPDQYLQQEIQNYDIQADIDAQQDPRLMTQEQKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREVANSEDEEEDLSKMDMGGRAKGRLHRWDFETEEDWATYNEQKEAMPKAAYQFGVKMQDGRKTRKQNKDQKITNELHKINKILARKKMEKGETNDDGGYDDDSHPTKKSRI >KZM87143 pep chromosome:ASM162521v1:7:11505612:11506016:-1 gene:DCAR_024277 transcript:KZM87143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGEKAVNTLLRNEASCLFFFKPPIRSRSPLLTESRLISLPLATQMFQFAKFEKSKERRLATELGYGFPIGDPWITDGISPWPFASESVLPSQCPGIHPMHSFRSCTLCRLASHRLHKRYTEHQPNIDSKKKE >KZM86421 pep chromosome:ASM162521v1:7:2663336:2663974:-1 gene:DCAR_023555 transcript:KZM86421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTKSAAFLCIIIVSCNAMLLGHCLGPGDFQAVLDVDGQELQVAAKYYILPAAPGGGNGGGGLGLASRDRRCPFYVMQESHEQSNGLPVRFLPVNYKADANVSLSSDLNIVFHAATICVQSTGWKVGSVDEITGRRYVKSGGLTGHPGVNTVSNWFKFEKNENGDGYKIVFCPSVCSFCKVVCGNVGVFDENGKKWLGLSDGDPLIVMFKMA >KZM87765 pep chromosome:ASM162521v1:7:20200316:20202490:1 gene:DCAR_024866 transcript:KZM87765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGVAALENNECSVTKCHRGSEIRFPFHMNYWEKDKQLQSDHCGSPAAFELSCNVSAYKTYDLYSYYPVMKFEYQVNTSLPGLYLTLSVTAYVDSIDYKSQKLRFTSKSIELKQHYDYSLSDSPYKPFTSREQGDYTLYKCPVTRESEGLANLVSLDGLQVFAISSYFRTTEALLTSCTKLYNISRVPFYEGELSWSEPGCGDCEAKGQYCKFRPNSTTLTQCFPAPKGPWSNALLVTGKAGGIFGLLLLLVAFCYALHLYKQKKNYQQRIELFLEDYKALKPTRYSYADIKRISNHFRVKLGEGGYGSVFKGQLSNDVVVAVKVLNGRVDAKGNGGDFINEVSTMGLIHHVNVVRLVGYCADGCRRALVYEFLPNNSLDKFVYSRQNQNDRFLGWEKTEEIALGIARGIEYLHQGCTQRILHFDIKPHNILLDQNFNPKISDFGLAKLCTKDQSLVSMTMARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGAKNHISEGTKKTSEVYFPEWIFRQLEQKKEVRSQIREGVGSKIERKLTIVGLWCVNWHPADRPSMKHVIQMLQGDDCPTMPPNPFSSTSSKDVNAAAPSRVFTKELEDISESE >KZM87340 pep chromosome:ASM162521v1:7:14810661:14813120:1 gene:DCAR_024474 transcript:KZM87340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMNHHHHHLCFVLPNEFDEDEESYQTPAATPSREASQPRRGAFRVLKVLVHNPVYRFFESKLIRIRRFRQPRRVPSSVYLDIEGVQILEKFGECNNPRNFSYSELYIGSKGFSKEEILGSGGFGRVYRAVLPSDGSVVAVKCLAERGDRFEKTFAAELLAMAHLRHRNLVSLRGWCLYDDQLFLVYDYMPNSSLDRVLFKAKGSMVLDWDQRVKIVNGLAAALFYLHEQLETQVIHRDVKTSNVMLDSELNARLGDFGLARWKEHEVKYKLKSPSVKYDSQFRLVETTRIGGTIGYLPPENFQRHGAATAKSDVFSFGIVVLEIVAGRKAVDITFQDEKIVLLDWMRTLSDEGLILKSRDRRIREGSYKNSDMEYMIHLGLLCTLQEPESRPDMKWIMEVLSGNLCGKLPSLPSFKFYPPYISISNRNTDASNITNTRPFSSTFTSASHTNESLIGDSSTFFAAKSETLYASVEASPSRHAMSLINIPREVSLREIISLTNNFAESQKLAEIGLGTAYHGFLDGKQHVVVKRLGMTPSAAVRSHFLYELQNLGKLRHRNVVQLRGWCIENGEMLALYDYNVTHLLNHALFHQDHRILQWPQRYNIIKSLASAICYLHEDWDEQVLHKNITSSSIFLDSDMNPRLGCFDLAQFLTRTEQVKQLAPEKIFPAEGMFGYASPEYIMHGRATTMSDVYSFGVVVLEVLSGQMAVDFSRPEALLVKRVKDLEAQKRRYDEFADRRLEGQYNHKELVRVVKLGMACTRSDPKERPSIRSIVGILNGYDKCFLEQGLKKETREDWIHNNYSSLSLVKRIQALGIN >KZM87967 pep chromosome:ASM162521v1:7:22629911:22630510:-1 gene:DCAR_025068 transcript:KZM87967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDLFMGTDLSYLLQSIEHQLLDDSDLANIYPSMNSSCWEPPEPILNNFFFVESDEPALSLEYTPRMEVEEKKQEDKAAQPVTRAPHGRSRYRGIRRRPWGKFAAEIRSPAKKGKRVWLGTYETPEDAALAYDRAAFKIHGSSAKLNFPHLIGKNIPEPVKVTPRQRSSTSSSCSSSSSSSNSGCIKKSAKVATIYRS >KZM88216 pep chromosome:ASM162521v1:7:25592622:25599215:1 gene:DCAR_025291 transcript:KZM88216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNKSTPDHYGVLLYYKYTLIPDVNELFNLYNTNCNSLSLLGRVRLSPNGVNVTIGGKLASLEKHIATMKSNPLFEGTDFKLATCHQPSMDKVAEECGFTSLSIRIVKELVTFGSHPLSKPPEISNVGQHLSAAEFHSMLQNGGNLVEENNPQKLILLDARNLYETRIGKFYTPTVETLDPAIRQYSDLPSWIDNNSEKLKGKCVLMYCTGGIRCEMASAYVRSKGPGFENVFQLYGGIQRYLEQYPDGGFFKGKNFVFDHRVSVGSLDTNILGSCLLCKTAYDDYSSRSRCSYCRMLVLVCDNCRERVYEYVCELCHDNGKRVPLLLSVENEELHETTISPDLEVCVTMNASQKNGATSRKLRILCLHGFRQNASGFKGRLASLAKKLKNIAELVFINGPHELPFIYQPRDENLNHISVCAEQSSQPPKSCSKKFAWLVDPNISWKGDADWKVADGPFDSLQYQQQTQGFDESLGYLKKVYLQEGPFDGILGFSQGAAMAASVCGLQQKLKGEIEFRFVILCSGFAVNMGYEKGSINCPSLHVFGNEKGDDRQITSQTSRDLESLFEEGSRVTIEHEFGHIIPTRSPYIDQIKSFLHRFL >KZM88842 pep chromosome:ASM162521v1:7:31694853:31698190:-1 gene:DCAR_025917 transcript:KZM88842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTTMEKGDYKNSAVLFLCISVFALLVRVAVSLHPYSGAGNPPKYGDFEAQRHWMEITLGLPPQEWYRNSTINDLSYWGLDYPPLTAYQSYVHGLLLRYFHPDSVSLYSSRGHESYLGKLLMRWTVLLSDALIFFPAVLCFVTAYYSGQQGTHKNNIAWHTTIILLSPCLILIDHGHFQYNCISLGLTVGAIAAIISGKELVGSSLFCLALNHKQMSAYFAPAFFGHLFGKCLRRRNPYIEVLKLGLVVLGTFAVVWWPYLHSLNSFLEVLSRLAPFERGIYEDYVANFWCTTSIMIKWKRLFTTQSLKLLSLSATLFTCLPSVVQQIRYPSSHGFLYSLLNSAFSFYMFSFQVHEKSILLPLLPASLLALEEPSIFQWLIQFALLSMFPLLCRDKLVIPYAALYGIFILLYNAPGWKQDARERSSTSTAKSLLVAVITMISVLLHVIYLTISPPRRYPFLFEAIIMLLCFSQFIAVAVYTNKKQWMLSKQHSAPMAKEKHL >KZM86763 pep chromosome:ASM162521v1:7:6609033:6609464:1 gene:DCAR_023897 transcript:KZM86763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGSWCCFPGRSSEPDEPLDPVVLISGIGGSILNSKKKKFGFFETRVWVRIFLAELEFKKKAWSLYNPETDEIQSKVGLLISNSS >KZM87359 pep chromosome:ASM162521v1:7:15060426:15062743:-1 gene:DCAR_024493 transcript:KZM87359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSGVQVLGTTFSPFVNRVRISLNLKSVNFELIEENLAAKSDLLLKSNPVHKKVPVLIHDGKCISESLVIVEYIDEKWSGDDGVSILPSDPYDRAVARFWAAYFDDKGL >KZM86937 pep chromosome:ASM162521v1:7:8596442:8601470:-1 gene:DCAR_024071 transcript:KZM86937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIFCLLALVPYVMCQVTEFISIDCGGSSNYTDLNTGLPWISDSGIISSGQSAELEDPEASLPQYRKRRDFPVDDKKYCYTLRTQERRRYLVRATFLYGNSKSTFPKFQVYLDATKWSTVSITNASEVHVEEMIIRAQSNSVDVCLCQATTGSPFISTLELRPLNQSMYATEFEDEFYLKVAARVNFGATSNEAIRYPDDPYDRIWSSDLERRQNFLVGVAPGTERVNTTKYINTNAKEHPPLKVMQTAVVGTKGNLTYRLNLEDFPASARGYAYFAEIEEFETSESRKFTIAQPEIPDYNTAVINIAENAHRSYTLYEPSFKNVTLDFVLSFSFVKTPDSTHGPLLNAIEISKYVPIAKKTDVRDVNTLNSLRGKSMDSYMKDEARGDPCVPTPWDWVNCSTSIPPRITKIALSGRNVEGEIPPDLNNMDGLIELWLNGSSFEGPIPDMTNLINLQILHLENNKLTGPIPSCLGSLPSLKELYVDNNSLTGDIPSTLLKGKLILSYEGNPGLRDSTKHKRYRRMIVGVSIGLLAILLLLFLGTLLLLYHLQKKRWFKNNGKGKGSFGPVYFGRMKDGKDLAVEVMADSSNHGNRQFVTEVARLSRIHHRNLVSLIGYCKESHQSMLVYEYMHNGTLRDHIHDSSNQKSLDWLSRLQIAEDAAKGLEYLHSGCNPSIIHGDVKTSNILLDINMRAKLSHSGLSRQTEDDQTHVSSLAQGTVGYLDPGYYANKKLTKRSDVYSFGVVLLELVSGRKPVSTEDSGTDWNIVHWARSMICKRDVKSIVDPSVAEKAKIESVWRIAEVAIQCVEQRSSSRPRMQDIILAIQDAIKIEKGSDETVSGNAKSQSSRRTLLTSFLDIESPELSD >KZM89145 pep chromosome:ASM162521v1:7:34316598:34324118:1 gene:DCAR_026220 transcript:KZM89145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAFSTMPLYPYKLWHRRPNCKKSFISCSPPSTTTSTANAGGVMLPGHCDLHKIAVHGLGTGRIGSKGPQKRSGRLEGAGKSMEDSVKRKMEHFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNFDRYIMIDAGIMFPDDDELGIQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDPRTPIFASSFTMELIKRRLKEFGIFVPSRLKTFRTKRKFNAGPFEIEPIRVTHSIPDCSGLVLRCADGTILHTGDWKIDETPLDGKVFDREGLEELSKEGVTLMMSDSTNILSPGRTFSEAVVANSLLRHISAAKGRVITTQFASNIHRLGSIKAAADLTGRKLVFVGMSLRTYLDAAYKDGKAPIDPSTLVKIEDIDAYAPKDLLIVTTGSQAEPRAALNLASHGSSHSLKLSKEDVILYSAKVIPGNENQVMKMLNRVAEIGSTIVMGKHEQLHTSGHAYREELEEVIRIVKPQHFLPIHGELLFLKEHELLGRANGIQHTTVIKNGEMLGVSHLRNRRVLSNGFVSLGKENLQLMYSDGDKAFGTSTDLCVDERQRIATDGIIVVSMEIMRPQTTDGFEKALKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLAHMERIVSEVLRKMVRKYSSKRPDIIAIAIENPAAVLADEINEKLSGRSHVDSGLRAMRKGINELAKNKKSNRRRDEKDNDHTYPVHTRPEETEEYGTEIERLLPEEETCSNSGLSDTNSEDFDDIQKSSMVLTAVDSLEDGSNGAPTVEECREMAKKASSEGDSPKSSKSAKRNKWKPEEVKKLIQFRGELNSRFQVIKGRMALWEEISASLLACGIYRSPGQCKSLWASLTQKYEESKKDMESEKSWLYFEDMNNILLKLEATPTK >KZM86205 pep chromosome:ASM162521v1:7:618708:618996:1 gene:DCAR_023339 transcript:KZM86205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSAKAHKNGIKKPRKQRNISTRGMDPKFLRNQRYARKHNNKADESASAEE >KZM86667 pep chromosome:ASM162521v1:7:5480421:5490468:-1 gene:DCAR_023801 transcript:KZM86667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGRESLIRLIGKRRRYLPNRHSILSTPISESQSFLTVSDERNGGEGSSCSNAVASDWVTCPVCHNNIRGDDRTINSHLDNCLARGTKRKLSQRTLLDLNFSREFNKSEHTEGIIVQTSHENDVCGTRNNSGDSVAEESTSLECKMHTIRDYILNTPASSSEENPVLNGKLNYIASSPSISPQISRPQSAESVDDIYGYNLMTSIVARRFCDKVELNPGEAISLMRDPSNAKDPNAIKVLVEDPESTKTVGFLPRDLAQYLSPLMDKFGLCFEGSITYVPDRALAAIPVQIVCCKKILVGEKECEDNQLFNSLWKHVLNVVEIEKTCPNNIKYQHNFVLMLQEVLRTNPHLITADEKTFLGPWFRLVNISYNEILDCRLAAKELSVAGYVCLIESKDDIHKDDLEEVLNMLAVGDLREIISTMNKNSKHGGSRKQDLIALLTSTCEDKLCAIFEKTGVCIRISPKAESLVWRVERLFFLNGELDLSTFLLVDMGIIKYPTYNCIISDQIFSSRDDLLSYEEAIEVAQIMDQALEENNRDLILRCIDLSDSRIQASTVRIHESSLVPFFSRFSSSWVYSKVVLLGVSFLEQENRYIEAIRLLKRLLLNFTSDKRRGYWTLRLSIDLEHLGRPNESLTIAEKGLLDPWVRAGTRISLQRRVLRLGKPPRRWKTPSFSESVQRKITEVHVQGRPLNCVTGTKSRFYGEDGEQCGVEQLALQYYAGEGGGWQGIHAESGVWLTIFGLLMWDIIFTDMPNVFRNLYQTAPLDMDTDSFYESRKSLIESLLEKVQNGMAEEILITSWESHFGTACRGVKWDNHSLSDLRAIVTCIGGPCLASICRHLAQDYRSWSNGMPDLLLWRFHGDYNGEAKLVEVKGPRDRLSEQQRAWLLFLMDAGFNAEICKSMNIKIGTGTTAEQHETRFFAFQMIVLIPKIVVVIRMPIFSDANINSGSSNKPCIVSE >KZM86217 pep chromosome:ASM162521v1:7:700992:702422:-1 gene:DCAR_023351 transcript:KZM86217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATNESSSLGSLELLSTFGCRLKRLRVEQGNDTSNNAVSGPNRKDLSTSDIVKVAMDKFRGLTAQSCSDPCMLVHSSNGYSGLYSEIDDDVESVLFLLSSADKVANEQFSRARKLLSMCSHLASHTGNPVQRLVYYFAQALKERIDRKIGIISSEGREDCKRWLLNLDEAIISFKPPLLAYGIAIPSCLAYKSAGIQAILDPMATATRIHLIDLGIRNGMHWPFLMQALAVRHECPLETLTITALVTSSEVMIMETGKRLSQFASTLGLPFSFKAVLVSDMNDLKEDSFKIESGERIGIYSSFILRNYVGQHEQFENLMRIIKNLHPTVMVMVEQEADTKSPEFMDRFTGGLIHYSAMFDSLDICFDGSHPQRMPLEEDYFGAGIRQIVTFDVEERASLDMKIKDWRALLNSFNIVEKDLSSSALYQANIVIENSELSSLCTLSMDGKCLLSGWRGTPIFSLSVWKFIPDIPKLC >KZM88512 pep chromosome:ASM162521v1:7:28458716:28461470:-1 gene:DCAR_025587 transcript:KZM88512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNVVHIVILVLPMLMFCPELLVSAPIDPATLAPPLSSDPVLPTQTQPVFQDTRANSSGVTEASPEHHKDVNKKILIAVIVSSTVLGGILLSLSCYWICRRETLKNSDTKTERSLESSKGSSFNALRKPSKKGNGSVTVFEYELLVAATNNFKEENILGEGGSGTVYKALFSGQLAAAVKRLKGGKLDAEKEFENEISWLSVIKHQKVISLLGFCIHNETRFLVYEMMHNGSLESQLHGPSQGSALTWNIRMKIALDIARGLEYLHERCNPSVIHRDLKSSNILLDSNFNAKLSNFGLAVADGLQSKNKTNISGTLVYVAPEYLIDGKLTDKSDVYAFGIVLLELLLGRKAVDKTISSECESIVTWAMPQLTDRSKLPNMVDPVIKNTMDLKHLYQVAAVAVLCIQPEPSYRPLITDVLHSFIPLVPVELGGSLRLMNQVPPVDT >KZM86964 pep chromosome:ASM162521v1:7:9039878:9045760:1 gene:DCAR_024098 transcript:KZM86964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVDNRNSSATKRARTDGGRREDDWTCPTCGNVNFSFRTTCNMRNCTQSRPADHNSKFAARPMHTPQGYSSSAPYVGAGAASNYMGVPPYGSSLFNQTGMPPYNAPFSGSSNYHYNYENRMSGGSPFRQLHLSGPTSYSTGATMMGNGGMYTVPPMMNRYGMGLPLGHADLGPRPGFFPEDKALKRNAEGTRDNDWTCPKCGNVNFSFRTVCNMRKCNTEKPGSQAVKSGKNSKPEMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPSDTRKSPPEPVDDNDQARNWVIARRYEAEPARNMVIGVIESSNFRVLFDFISSG >KZM88643 pep chromosome:ASM162521v1:7:29886329:29891832:1 gene:DCAR_025718 transcript:KZM88643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFISKPHSSDPCDAIPVTATTSSNSTAKGLLKAFWAGWQGSVRPVDVDGGAGLEKTFGFSKNFGSKYEIEDEVGRGYFSYTCKAKCKKGKFKGLDVAVKVIPKAKMTTAIAIRDVRREVKILRALTGHHNIVEFYEAYEDHTNVYVVMEGGKFTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLLKLKDEDSQLKAIDFGLSDYVKPDERLNDIVGTTYYVAPEVLHRSYSTEADVWNIGVISYILLCGSRPFWARTQSGIFRDVLKADLSFDEPSWTSVSSEAKDFVKRLLNKDPRKRMTAAQALCHSWIKNSHDIKFPLDILVLKLMRVYMRSSPLRKAALRALMRNSTDAMKDSRVHDLLVEFNALQFRRMDFEEFCAAALSVHQLKALDQWEQQACCAYELFEKDGNRAIMIEEFAYELGLGPSVPAPAVLNDFIRHTDGKLSFAGYVKLLRGVSTRAIAKAQEH >KZM88722 pep chromosome:ASM162521v1:7:30704532:30705857:1 gene:DCAR_025797 transcript:KZM88722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILKYVLTSTAVKKGGLSTGDVAALYKYKNTLINVKFDTESNIATTLTIMDIVPSTKTIASIKFPDYNSGKLEVQYFHHHANLTTAVALSQTPSVDLSATIGTPTFALGAEAVYQTSSGKFTKYTAGITITKPDACASIILGEKGDSIKASYVHHLDSSKRSAAVGEISRRFSTNENTFTVGGLYAVDSLTSVKAKLNNHGKLGVLLQHEVIPKSLLSLASEFDTKALDKTPKFGLALALKP >KZM86736 pep chromosome:ASM162521v1:7:6318700:6326927:1 gene:DCAR_023870 transcript:KZM86736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAAGDRKTIKLPIPGQRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYVCGTDEYGTATETKAMEENCTPKEICDKYHAIHKEVYEWFNIKFDEFGRTSTPQQTEVCQAIFSKLMENHWLSENTMQQLYCDTCKRFLADRLVEGTCPTQGCNYDSARGDQCENCGKLLNPTELIDPKCKVCQNTPHIRDTNHLFLELPLLKDKLVEYINSMSVTGSWTQNAIQATNAWLKEGLRQRCITRDLKWGVPVPHEKFKDKVFYVWFDAPIGYVSITSCYTSEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYEAGKFSKSKGVGVFGNDAKDTKIPVEVWRYYLLTNRPEVSDTLFTWVDLQAKLNTELLNNLGNFINRVLSFIAKDQASGYGFIIPDAPGAESHILTKKLGDKVGNYVEQYIEAMEKVKLKQGLKLAMSISGEGNAYLQETQFWKLYKEDKASCSIVMKTSAGLVYLLACLLEPFMPSFSVEVLKQLNMPPETQISLADEKGDIDRSKRPWEFLPAGHKIGTPVPLFKELSDKDVEFFRQKFAGSQADRIVKAEAEAKQIAEQLKRAKVSDGNVKKERSKKSSENKPKSTAEQEISISRLDIRVGLITKVQKHPDADSLYVEEIDVGEGQPRIVVSGLVKYIPLEEMQNRKVCVLCNLKPVTMRGIKSYAMVLAVSNDDHTKVELVDPPQSAPVGERVTFSGFEGSPDDVLNPKKKVWETIQPELHTSNELIACYKDMPFSTSVGLCKVKSISDGSIR >KZM87201 pep chromosome:ASM162521v1:7:12339341:12339799:1 gene:DCAR_024335 transcript:KZM87201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPKLPCFFIFLIISLTSATKDIHDLLPDYGFPKGLIPNAVVSYTISSNGDFSVILSHPCYVQFDQLVYYDKLVKGKLTYGSVTDVSGIQAKKFFIWVNVNGMTLDSGSNMIEFHVGGLSQKLPADQFVDIPDCKNKACQQNNTVLHQTI >KZM87253 pep chromosome:ASM162521v1:7:13203058:13205250:-1 gene:DCAR_024387 transcript:KZM87253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKTGYEKEDGAAYAHLLTALAPELGSKTVLATDDPTERANLIVEQAENMDCKRYFAFVAENFQHRNGLHDGAAYAHLLTALAPELGSKTVLATDDPTERANLIVEQAENMDCKRYFAFVAENFQHRTPSPLEVNELMKVDQVLEPKNCSG >KZM87900 pep chromosome:ASM162521v1:7:21857730:21858299:1 gene:DCAR_025001 transcript:KZM87900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNPAWNEEQVLHKGFVVKHETQIQEKDVAIVKKPGGGGGRGGGGGGGRGGGGGGIRGGRRGVGGGGRGGGGGGIRGGRRGVGGGGRGGGGGGIRGGRRGVGGGGRGGAGFVAGGVAGSHHHNHSDASCRVPHNYYKLLTTTVAIFYLF >KZM86313 pep chromosome:ASM162521v1:7:1624060:1627300:1 gene:DCAR_023447 transcript:KZM86313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPTCCLRSKFQIFLRRVLNDDPDVEISHWGDLNYYTSMVAGNPTLMLINQLREDNRKGKLDPHNTLRPPRRENSTRTHVRAPNGSNSACPPTVATINSTWKIMFAMVEGNQDATADDNAIDDVDAFKIFERLGTCFGLPVMPKVGRKVRCSMKANTGSQKGSTKADMGASLMAAAIYVEP >KZM89349 pep chromosome:ASM162521v1:7:36185451:36187788:-1 gene:DCAR_026424 transcript:KZM89349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKSSKSSGEEYMENDSGNNWRLFTSKDMNEGYQKLKRRRRRFSSNKENVFAEHTPQSGPLRSRASGPTAQGSALSDITNNIVQDRCSVKPRLRRNVKVPHHTPTGNSFNLTSPTSTPASTICNASSSGDQFIPPKKAMRRCHPESSRTLFPDEEVLETQDQSNDIYEPETNIVHGPWFTDDEDDSDYVIENSIEDYSMSDECDSDQEENVVPPVQLGAKDKWKSKRVVADEYASLGGPSAKCLKCNARMWKEERVNKNVTKGTPIFSICCKKGAVKLPPSLPTPDYLLHLHTDAVKSPAFHRCIRLYNSMFSFTSTGGNVDHSINKGGCPYIYRLKGQNFHVFGSLIPNTGEEPKFCQLYIYDTANEITNRMRWVNVKDQDKVDVQVVQGLINMLDDTNELVREFRTRRDRFENNDIIDLKITLKVCRAQSGRENHIAPSDEVAGIMVGESNTTCGDRDIIIDSYKDGLERISFIHPKLMALQYPILFPNGEDGYHDQIPFEKNGNNSNNSHEYISMKDYYYKFQVRESEGTYSLGLSPSNLPTLMSI >KZM87113 pep chromosome:ASM162521v1:7:11065944:11066594:-1 gene:DCAR_024247 transcript:KZM87113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLILGVAIFAAPSLADFHSHPPIHKPPVYTPPVHKPPIHKPPVYTPPVHKPPVYTPPVHKPPSEYKPPVEATNSVTEDHYPIHKPPVYKPPVQKPAPEQKPPVHKPPIHKPPVHNTPSLTDDHYPAHPIHKPQPIHRPPVHKPPTEHKPPVHEPATGEHKPSPVYQPPKTEKPVPEHKPPHLPPIVVRPPPTHKPNPPYGHHPGHPPVENTGN >KZM86547 pep chromosome:ASM162521v1:7:4041047:4042078:1 gene:DCAR_023681 transcript:KZM86547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNTFAYMSSTSDSGCCLDVEDQEDEESNILLSLAPPGQQHSANYQTHHNYQNPNYSNFSNHQGEVTVALHIGPPTPGASSSNPNGVEAAAEAKYWIPTSAQILIGSTQFSCTVCNKTFNRYNNMQMHMWGHGSQYRKGPESLRGTKPASSILRLPCYCCAEGCKNNIEHPRSKPLKDFRTLQTHYKRKHGAKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCTCGSDFKHKRSLKDHVRAFGNGHAPLCEDYDLFDVLEEADPHDEEDHKSVVHRDYPMF >KZM86700 pep chromosome:ASM162521v1:7:5900690:5918523:1 gene:DCAR_023834 transcript:KZM86700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLFDALKSETQFLRLTIQEATSSLAVAYKGADRKVLENLELLLLKSSQVEQSEVRFCAVRWATSLFDLEHCPSRYICMLGAADMKMDIREMALEGLILGKDQQRTISENPNLKYPKVGNMLDYIVKQQPALLESTEMRGSTLLFPSKSYVAMIQFLLKCFKAGLDESNASGKMPNDSIPLENMFLLLEHAMAYEGSAELHAIASKALIDVGAHVPEMVASRYARRIVWLKQLLGHVDFDTRESVARLLGISSCALPISALSDLITELISSLGGSKLRFEFQHGVLCALGYVTANCMSRSPTISESLLQRTLKCLVDIVNSETSTLASIAMQAIGHIGLGVQLPQLHSDSSSAPILTVLKDKLSKLISGDDAKATQKAVLALGHMCVKESSLPHLTAALDLIFSLCRSKVEDILFAAGEALSFLWGGVPVTVDIILKTNYNSLSMSSNFLMGDVSSSLSRSSCIEFDPHGDCDVSVRDLITRKLFDNLLYSNKKEERCAGTVWLLSLTIYCGRHPAIQKLLPDIQEAFSHLIGEQNELTQELASQGLSIVYELGDASMQKNLVNALVGTLTGSGKRKRAVKLVEDSEVFQEGAIGGSPSGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKLAGDALQPHLRLLIPRLLRYQYDPDKNVQDAMTHIWKSLVADSKKTIDEHLDFIIEDLLSQCGSRLWRSREASCLALADIVQGRKYDQVGKYLKRIWTAAFRAMDDIKETVRNSGDRLCRTLTSLTIRLCDVSLTDVSDARQTMNIVLPLLLTDGIMSKVDSIRKSSISIVTKLAKGAGTAILPHLSDLVCCMLEGLSSLEDQGLNYVEMHAANVGIQTEKLENLRISIAKGSPMWETLDLCIDVANSQALEQLVPRLIQLVRSGVGLNTRVGVANFISLLVQKVGSDIKPYTSMLLKLLFTAVKEEKSATSKRAYANACATILKYSTPSQAHKLVEDTVALHTGDKNSQSSCALLLKSYSSTASDVLSGYQTIIVPVIFISRFEDDKNVSTLYEELWEENMSSERVTLQLYLNEIVTLVDEGVTSSSWASKKKASLAISKLCEVLGDTVSPHHHVLLASLMKEIPGRLWEGKEALLCALSALCESCHIAIVNSDPATSNEILSLVSSACKKKDKKYRETAFCCLKQIIKAFSNPDFFNIVFPMLFEMCDSTIVYSSQVPSSNNIVKEEIDEKKDVVPHDKVMDCINSCICVARVTDIIEQCQNLLHVLSISLAPSVVWTVKMSVFSTIKELCSRLRSDTVEISQHVRIAALVHELFLSISPKVVDCISTVKIGQVHVTASECLLEITLLLESLPTFQRIEIGFKGELHHQYEIEKNQEAKSILKKCMDIIQSLEEKSLQNC >KZM87045 pep chromosome:ASM162521v1:7:10390825:10396622:1 gene:DCAR_024179 transcript:KZM87045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKVKSMIKLIEEDADSFARRAEMYYKKRPELIKLVEEFYRAYRALAERYDYATGELRQAHRTIAKAFPDQVPFVLSDESTSASSNVTEIPLVSSSFFDIDDLDKNALMFPASDQHSVKAVQFRSESSDAIVSKQELQQHDQMIGMEVVPGNVKSAEGRIEKSLPNKVSILLNENEELNAKLLFESERASKAESEVQYLKKALTDMQAVKEAVQFQYQQNVDKLSSLKGELISAEMDSKKLNEQASKAESEFLSLKNAIVKLEEERDAVSYKNKDCLERISDLEATILHTQADRKELEERTLKAEVETQILKKDLSRLEAEKEAGLLQYKQCLEKISDLEKKVFVAEGDARYLKQKAEIAETEVVRLKVILAEVTAQKEATALQYKACLEKISNLESEISYFQAEIKCLKTEILSGDTKLRTAEDKCDMLEMSNQTLRLEADNLFKKIALKDKELTEKHGDLEKLQAALQDERLRYAHVEASLVKLQNMHCQSQEEQRNLTLELKSGLELLKDINLCKHGLEEDIQQIKDENCSLKEQNSSSTTSMMDLQNEILGLTRMKERFDEEVEMQLGKSNALQKEISCLKEEIVYLNRNYQTLVKQVEMVGLDPKCFGTSVRELKDENSQLKQLNDKEKDEKESLYKKLVNMVELMEKNTALQGSLSDVTNDLEGSQEQAKVLQESCNILHGEKCALVAEKDALISQLKLITTTMQELSEKNNVLESSLCSANSELQSMRKKSESLEELCQFLGDEKANLLNERSTLAAQLEVVEKKIINLEKRFTEFEGNYSVMKMAKESLDSPPLEDLIVSLKAGKHEHESLKQLTESRLASVEERICRLQEESRQSKRDFQDEFEKSVVSQFEIYILQKFVADMEEKNYLLLAECQKQIEASKLADQLISELENESLEQQVEEEFMLAEIEKLRSGLHQVRKALENGASSGSDKLSENDHISVHHILEDIAIMKHSLLKYNDDMQRLVIENSVLLTFFGQLRLEGLEVESEKNHIDQVSKTMSHEYLIMQNEWHKILEMNRKLKSVTTLNAEGQYPTIKQAGMQSSYLQSEEEYSHTFEGNRPSSKSFPNMVQSLREVNREDNVLKNTLSHGKLSTIFSSLRTKNAGELALLSEDISKLYEVNCELVNEVSTLREELGMHEIEKLFMEDSLEKLERDLFELKNLNCRLKLELLSANDYLSEGEEELFDAEMKLVVVENLNSELRREVDRLKREYKQSESIRENQEILMQKMSEDNANQNKNIQDMLEVKVKLESELAMLSEEIQERRTREEYLNSELQEKQNEFELWDAEAATFCFDLQVSAIRELFFEDKVYELCGVCGSLQDENASKTQEIKLLKEKVSSQSGEIRGLKSHLCPHSLAVGSLKEDVDSIEHNFLAWANLDVANCQIPKDMELGFHAHEKGEDQDLYVLDEILELQKLQNRIKEVEKVVIEEMKRLKRQESSRFNLKIETAGRDIVDLKSRCRLVRQKSKQKEEGRLEDESRDNITFHKTMPEILEVKNVILMKGIPLDQVSGGSLCGRSRRRNSLPAEQIRWETNDANHRVDQNLIELQNQVYEPTKNVVYKDYESVEQSSENPSSELDMEKELGVDKLQVSTTTSVPNRGGNKKKILERLASDGQKLANIQTNVQDLRRKLETNKKGRNSKNVDLETVKEQLLEAEETTMQLINLNGQMTRKIEDIPVSKDGQRSIDLEKAASFQKKKVSEQARKESEKIGRLQLEVQKIHYAVLQLDDGKKNKVTNRFSRTKSRTTVILRDFIHSGRRSSVRKKKGRLCGCFKPSSTNAGGSM >KZM89026 pep chromosome:ASM162521v1:7:33167866:33168870:1 gene:DCAR_026101 transcript:KZM89026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLRAAKKDATNGKGPGWERFDFDKDAPLDDEEIEAADDDKELVKHIGQSFRFSTVETKNEEKLKAAHDEAMFGAPTFAPAVGTDTEVEEEEDKRENPVSLISDQVLAMQQGSWRDRARKA >KZM86697 pep chromosome:ASM162521v1:7:5868465:5877983:1 gene:DCAR_023831 transcript:KZM86697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWMFRGVVGGGIKLDVCFYKILRRVSKASRDARNVHITFWEGIDVGTRLRIPSSRLHLASVMCITVYWCNVAEEHQVLGQGINLQVVEESSMTIENFEAGSNLKENSSILLGKKKGESFSDSMKGRKKYRDIDARQASFESAAYASVVQIIKIVQVQVPSIAVACTMSSLEQPLGLEKLPSLSTIERLNSFRSTSCSPRGVDGGMGECWIEGRSSGSSNSCSVEGCKQTGSLGGCNYNFSLGWVCKGLPDYVKIVEVGPRDGLQNEKIVVPTAVKVELIRRLVSTGLSVIEATSFVSPKWVPQLADAKDVMKAVKNLEAVKLPVLTPNLKGFEAAVAAGAREVAIFASASEAFSKLNINCSIAESLARYRVVAEAARKLSVPIRGYISCVVGCPDEGAIPPSKVAYVAKELYEMGCYEISLADTIGVGTPGTVLPMLEAVMAFVPVENLAVHFHDTYGQALPNILMSLQMGINVVDSSTAGLGGCPYAKGASGNVATEDVVYMLHGLGIKTNVDLGKLLSAGEYISKHLGRPSGSKTAIALSRFTASA >KZM88892 pep chromosome:ASM162521v1:7:32083677:32084828:-1 gene:DCAR_025967 transcript:KZM88892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSAPSSVKKTETEALRRAPHEKPPFTIGDLKKAIPAHCFEKSLVTSFRYLIQDLIMAYALYYAATNYIDQYLPSPVNYLAWAAYIAVQGCVLTGAWVVGHECDHDAFSNYGWVNDLVGLIVHSSLLVPYFSWKISHRRHHANTQSLENDEVYVPRFRSNIRNYYKILNNPPGRVIVWVITLLIGFPIYLMFNVSGHKYERWTSHYDPHSPLYTERERKQIIVSDVAILAVIYGLYRLVLLKGFAWVFFVYGGPLLVVNGWFTLITILNHTHPSVPYYDSSEWDWLRGALCTVDRDYGILNKVFHNVCNAHVCHHIFSMIPHYHGLEATEAMKPVLGDYYQYDGTPILKAMYREMKECIYVEKDEGETKGVYWYRKEL >KZM88927 pep chromosome:ASM162521v1:7:32363835:32365061:1 gene:DCAR_026002 transcript:KZM88927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAFIVPLYEKENCNYQHCAVQTGKLDMRTEWHMGKANNDTELTDDDDDFVTPAEHFGKTKTSRMQRHGGKALDAKDVGLGTESVDKTTKKRNKDTKGTKLHADAERAEGSRRKVVDKGMGKKGR >KZM88759 pep chromosome:ASM162521v1:7:31077568:31078631:1 gene:DCAR_025834 transcript:KZM88759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNVCNTGLVLGLGLSSETTAPARPTPKMAVSMGSEPDLTLGLISGAPYKVVDSGGADSGGSSFSSVKREREIGSFEEVETTVVDHKARGVSDEDDDGGNGRKKLRLTKAQSALLEESFKHLSTLNPKQKQELARELKLRPRQVEVWFQNRRARTKLKQTEVDCEYLKKCCETLTDENRRLQKELQELKALKVSQPLYMQLPAATLTMCPSCERVGGGAGGTTDTSSKSPRACPFGSKPHFYNPFTSSSAAC >KZM87041 pep chromosome:ASM162521v1:7:10329028:10332755:-1 gene:DCAR_024175 transcript:KZM87041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKCIFFAIIVVLSISVFQVKGCQVDTVGGGCPDQPSCQATCGGCFRGIGRVIGSCEAPGAGSNWWICHCYFEDGAPCPPAGTPQCPSPPILASRSFIRRPIPSKTRMADGTVAFALERIRDFLTQQVNIRIGVKDGVRWLKDELGYLQPAVRYAEARQTEEVICKWVNDVRDVANDAVTILQKFRSHQEEYAGLERGILDRFQTCICICKRESVLCGIGKDIEILKKRITVIKKRRNEYGINDILATPNVQQKNRTLLRTTAIDNQVDVVGFEDDIKALMAQLDSTDPSLKVISIHGMGGLGKTSLATKLYNSNELSHFDTRAKVCVSMDYNIKDVLKRLIKSFMGLEHEKELSKMDEQDLLQHTRKLLQGQGRYIAVIDDIWDIKAWEVIKIAFPNDENGSRIIITTRNKKVAETVDDKCFVHQLQFLKEEESWKLFCKKAKPTTPTMEKLGMEMVGKCGGLPLAIVVLSGLLLQNRSYEYWSKVKEHIWRHLKDESVDIEEILSLSYDNLSSQIRDCFLYLARFPGDHIIGAHQLKLLWIAEELIPEDNTGSGVVKEDLANDYLNDLISRNLIQIATVHWNGKVLECRVHDLVHELARNKAMEHKLLVTFDSSQQHRNSIHLLEGQPRQAIYNGIGEYFELSGGRSDCLKMRSLALNNLSGRVNLQEMKLMYTRFKKLKVLDLTSVNSERIPEEIGDLVLLKFLGLMGGISEEALVIPPSIGKLKRLQTLCGSDYKCYKLPREIWELKELRHLTFGYYSGSIKIGNNQKKLETLSLVQYKDWLEIDTGMITNLHTLSIVEESEDSSSNGGGGGYAYTLQSIADLTKLSFLPDSVTDLRLVCSDFREDPMPTLGDLPNLTALELDSVYMGKTMVNSHNAFPSLQILRFIDFPELEEWQAEDGAFPSLRSFQKTDCGKLKKIPVQLERFWTED >KZM87999 pep chromosome:ASM162521v1:7:23137784:23146986:1 gene:DCAR_025100 transcript:KZM87999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQGDEDFLDYLVEGEDSNRDLVNKELDEVTSSTSCRNAVISERVDLKENVESGISAENVGMEDGGMDSLKNCNVGEDAMEVRTLNDKKSEENLRKEGSIKEVDWSAFSFGGDGTGSYSDFFNELGGSSDNLFGNRENRSGVSLEHVGAEAGVTGRYTSSVNSGQNQEGQNYWSGSEQVVTGQDQSIQYWESLYPGWKYDSNTGQWFQLEGYNGSGCSLPNYDNNSNARLVNSNVGTTQDPNLSYYHQTCHFDGTVPNYNQGSEINSGYTAHMLFDPQYPDWYYDSIAQQWKPLQSYTAPLMPQQVDNNQHSQNVDPSTVETTHGQNHSSYSNFEEPAVHCSPRLMKNNQSMRWCGTISDYGHQSFTNSQFQPVSQSKAFNFSENEILQNYYACNSADQHNQQTWNNQSGTANLYEQANKCYANEYGVAESFNPDKNLYSHNNEHRREAIHQSQFSLSCTDNQKTPTVLQQPAQNGSQSFSTSIEGRSSSSRPPHALVSFGFGGKLIVKRGYCSPATNSMSTQVSDGGVTDTGGVINIFSLIELVMDKHASSIRLGARDYFCCLYRQSLPGPLVGISVSYKELTRWIDDKIVNCGSPDIDYRKGDLLRLLFSLLKIACHHKGNLRSPFGTDNALKDNDSPEFAVAELFASAKKKVPEYSDLGRYMQYFPSEGKMQAVALEVQKLLVSGRIIEALRFAQDGQLWGPALVLATQLGHQFYGETVKQMALRQLIAGSPLRTLCLLISGQPADVFCFYDSDSLSVTEKISQQPSQAGALAMLCNWEENLAIITANRTKNDELVIIHLGDCLMKEKAEVIGAHICYLVAEANFGSYSDKARMCLISADHWRSPRTYASPEAIQMTELYEYSKSLGNPQFLLLPFQPYKLIYAFMLAEVGKISEALRYCQAILKCWKISRAPEVDTWKYLVSSLEERLRIYQQGGYAASSSPAKLVGKFLKLFDNTAQRVVGGLPPPVPVTSSNASRHHENQRGPRVSSSQSTTAMPSLVPSESMEPISEWTGSQKPRHSRSISEPDIGKSPRKSDSSKDASSPDMHENESGFGGYYRFGRFGSQLFHKTVGMVLRSLPDRQAKLGEKNKFYYDENLKRWVEEGIDHPAEEAALPPPPTTSALQKTASQENLNDRLQAENLHGSSGQDFIGSSSSDSIPGIPPIPRSSMNSTTRGRMGIRARYVDTFNKGAAIPTNIPASSGISPKPGGGPTAKFFVPTPMSSSSNEIERTSGEHVQESNNTNSSPTSSTEDDPPSTTLKPLSGALKRNPSMGSILTKSSLRSNSNSNLSPHSRRTVSWSGSFNEVSFIPDSAEYKTPGEESSPQMFLSPVSSIQDDFQEVELN >KZM87646 pep chromosome:ASM162521v1:7:18904652:18906673:1 gene:DCAR_024751 transcript:KZM87646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRNKIRWQSLFPSCGKIDDASKSSSKRDDDDDDNKGGSNKKKVMVKENSFQRFFMSDISSSTTLSSEDLSNSIVGSNLYAFTLVELKVITHGYSSSSFIGEGGFGPVYKGFVDDKLRPGLKAQPVAVKLLDLDGSQGHKEWLTEVIFLGQLRHPHLVKLIGYCCEDEHRVLIYEYMPRGSLENQLFRRYAVSLPWSTRMKILLGAARGLAFLHEAENPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPQGDETHVSTRIMGTHGYAAPEYIMTGHLTAASDVYSFGVVLLELLTGRRAVDKSRPSREQSLVDWAKSSLKEPRRLSRIIDPKLEGQYSEQGAQKAAALAYQCLSYKAKLRPTMRAVVETFEQLQNCDVVPFVYTVPKDITLHKESKEQKGVKSPLSSPRQKHQDHHLHQGHRKWTKSPNSPTIQKERTHDKSLNPGFTSPLRHGLK >KZM87934 pep chromosome:ASM162521v1:7:22169601:22179286:1 gene:DCAR_025035 transcript:KZM87934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNQSRVDKNEPPQYRKTGRLGPRYSGGGKGGGGGGGGGNSHPPSNRSFKKSNNNVQGGQYRVSGGSVGSNSSNAASADGAGSAAGVGNGAHSQMQSHGVPVSDTPVGVKPTDTSTQKSSNQGVPKAPVTNNSAINSGPVAHSTPEKGEGAFPLQFGSISPGLMQMPVRTSSAPPTLDEQKQEQACYDSLGGAPVIPIQANPKHMPKKHYGPIDQSNDVEGHSISEVRRDAQVSAGPPSAQTQMTSHHPVPGLPRPLPFHQVPIPIQSSGPNSQLHHHGMMTGSVPMPIQIPLQMGSPRLQPQVYAQNLQSRLMQPQGVMPQNQNINFSSQLGTHLPPRGMGMNIAPQFLTQHPGNLGSPRRAVKITHPETHEELMLAQRTNGQQKAGSSDPRSHPYISGHNSPPLTSTQITPNSQVSRLSDQVMSKPAAKSHTEKNAESSQPINSPSAEKKPSKIPSPRKEASSIYTQVDPTNIDKRSSQQSKPTLMPVPGGPTVEVSAVDSMTMNTSSSASSLHAKHHPSAVQGTTEGTRKDMVNRSNENEDDKRMPSKKSHSLTQKQVLHSFETSDNGKQVGEIKSHNEDSNILVKKYSRDFLMKFSERCVDLPKGFEITSGIAEVLTVCSGNVARDESFPGPGRNVERLMGGPRLHRHGSGLGDDRWNKGQGSLGPGRDLRLDSGYGNQGANFVGSRPGKAGNYGITKNQHGQFPVQYSGGILSGQMQYTGPQVGLQRTISDSDRWQKAANFQKGLMPSPQTPLQVMHRAERKYEIGKITDEEQAKQRQLKGILNKLTPQNFDRLFEQVKQVNIDNAGTLTGVISQIFDKALMEPTFCEMYANFCYHLSGELPDFSEDNEKITFKRLLLNKCQEEFERGEREQEEANRPEEEGQTMQSDEEREEKRIQARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQNPDEEDVEALCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDSIDLRKNKWQQRRKVEGPKKIEEVHRDAANERQAQSNRLTRGPGTGSSYRRQQPIDFAPRGSNISPSPKTQMGGFRGLPQQPRGYGAQDVRPNEKHPFENRLSVPLPQRPLGDDSITLGPQGGLARGMSSRGQPSISNVSDTRKISTVPNSHGSVPNHPANASREEYVPKISSEKFLSPPANDQINSFDINMNHGRREVQNYTNTVVTSRPVTPPTKSKESHSIESVSCEKVWPEEQLQKMSMEAIKEFYSAKDEKELALCVKDLNAPSFYPSMISIWVTDSFERKDMERDLLAKLLVNLAKPHNAMFSQAELVKGFESVLASLEDAVTDAPKAADFLGGIFAKVILENVISITEIGRLIYEGGEEQGRLVEIGLAAEVIGSVLEIIQTEKGDPVLDEIRSSSNLQLENFRPPNFKKSLRRSMAEKNLHDNIIRLLVRVKPIFLKGIVDNLSVAQRQWAVENGFEKVLLFNIKESP >KZM86253 pep chromosome:ASM162521v1:7:1021716:1022423:-1 gene:DCAR_023387 transcript:KZM86253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEAYQYGLLAQVYPANNLLEISKFPKEFRKAVKTFKNNCLKGNEIELFIKTTSTIICWENQEECDFPPNQPFHYIQVGSTKEKIYTPSKAMEPTLEKEDLREFAENKLLSLIDKLFSISKEDKLKVNLATTNALMTSYSHKGLSEEDYNKVVAFRRQLLHKDAVGAHKEIFCRKKQKEVNKFSIQLECQICGIITPDKETGKRIIEDPSTSAKTKEFLPKTEILYKAKPGSRF >KZM87162 pep chromosome:ASM162521v1:7:11887577:11889996:-1 gene:DCAR_024296 transcript:KZM87162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKPKISQLTSPKVISNSHTLSSKGNKKVVVVAKEECCDMRSQQMMILCAFGYWVQGFRCFPWLALNFHMAHYLNLHPSTLQLVQNTSNLPMVAKPLYGILSDALYIGGAHRIPYISIGVLLQVLSWGSLALIPVAGKALPILMACVLFSNLGASITEVAKDALVAEYGVKNKMAGLQSYAFMALACGGILGNLLGGYSLLRLPPRSMCLVFAGFLSLQLTISIATREESLGLEKPINQSLMSKPVLRTFKEQYSDLVVAISEKNISRPLIWIVASICLVPILSGSVFCYQTQCLNLNPSIIGMSRVTSQIILLSATVLYGRFWRNVPLRKLIGMVQSLYATSLFLDLALVNQFNLKFGISNEIFTLCFSGITEAIAQFKLLPFQMLIASLAPPGCEGSLMSFMASAVCLSSIVGGFLGVGLASILGITLDNYSNLSSGIIIQFIAALVSLQWLYYVPVSQPSGEQGWKKGRIQRTRKSGRMVFNSVDEYRRERRSGYRNKRLLQE >KZM87691 pep chromosome:ASM162521v1:7:19445607:19446647:-1 gene:DCAR_024792 transcript:KZM87691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISNPPASVPEEQETQHCNHTLPVLGTAIPVGPPSSSSLSTFRPPTNVDNTSFLATRTPLRSPTSSISPPSVLATSIPADPPVSSSLHCFGNTVSESNSCPSHQSPAPSFNSMESMDEEYIVVAEVDASEDPSQPFPYATAESSNSQQNEVYDEYVVADEGGVSEDLVQEDVFTNSLHPQSASDSYLIQISTEESITIIPDYIATLAKCDDDIIESSNSKKHEDDDYILVKDVSKDPTPEVNRVRAAIERTFKKYLPADRRPNAEADQLSYKLISHELLRIFGIGFQINIYIPLNKYLKQVLDELPEGNVGIEYADAIEKIARKLLDLAAAMKRNTSSLPTLEEP >KZM87896 pep chromosome:ASM162521v1:7:21828400:21830919:-1 gene:DCAR_024997 transcript:KZM87896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMELLNCMVLLILLLLLPTNFSAARTSLTDLQVHNRLKTLNKSPIKSIKSPDGDMIDCIHLSHQPAFDHPQFQNHKIQLRPNFHPEGLFADEKVKSTKSSEGSEPVKQLWHLNGECPEGTIPVRRTKKEDVLRASSIKNYGKKKKNLNILRPSSAQPQPDLITQNGHQHAIAYVDEDKYFGAKATMNVWEPKIQQPNEFSLSQIWVLGGSFDGDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYEATGCYNLLCSGFIQINNRIAMGASIFPVSRYHGSQYDISILLWKDPNEGNWWMQFGNSNQVIGYWPAYLFSNLADGATLVEWGGEVVNSASDGQHTTTQMGSGHFPGEGFSKASYFRNLQVVDGSNQLRVPKDVKTFTEQSNCYNVQTGKNGQWGTYFYYGGPGRNPKCP >KZM88197 pep chromosome:ASM162521v1:7:25398673:25402339:-1 gene:DCAR_025272 transcript:KZM88197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLKIYYIAFATLALFTISSAVADEVVVAFTDQNFDKVVGQDRDALIEFYAPWCGHCKKLAPEFEKLGSSFKKSESVLIGKVDCDEQKETCNKFGVSGYPTIQWFPKGSLEPKTYEGTHTAEALVDFVNSEGGANVKIAAVPSSVVVLNSDNFDGIVLDKTKDVLVEFYASWCGHCKSLAPIYEKVASAFNKEEDVVIANIDADIHKDIGEKYGVSGFPTLKFFPKGKKAEEEYYGGRDLHELMTFINERRATSQDGRGQLTSKADVVATLDNLVKEFASAGNDEKIAAFAHLQEEVMKLEGPDSRYGRIYLKSAKSFMDKGADYAKNEIQQLDRVLAKSTGAVEVDEFTLKKNILSAFA >KZM86469 pep chromosome:ASM162521v1:7:3094608:3097074:1 gene:DCAR_023603 transcript:KZM86469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISTNNPYEMNFRFIAADTLQKIIMLVVLGLWAKFSKKGNLDWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVSFKVDSDVVSLDGHDFLETDAEIGNDGKLHVTVRKSNASRRCLGSGLGSGSMSGMTPRPSNLTGAEIYSLSSSPRGSNFNHNDFYSMMGFPGGRLSNFGPGDQVYSVQSSRGPTPRPSNVEEIKLASTDHSQNWESKAALENEGFVSDTTSFTGAGRGDDEETEKGHMGVSRLGSSSSSAHHLKVPMDHGIGTGKIMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLVWALVSYRWDITMPKIVDESISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASVAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVYPAILSTAVIFGMLIALPITLVYYIILGL >KZM86240 pep chromosome:ASM162521v1:7:903964:905055:-1 gene:DCAR_023374 transcript:KZM86240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNHPDLKYGVFPDEIIHQILARLPMKCLFRAKSVCKLWYGLVSDKYFIRMYNELSVRNPMMLIEVTESSQFRSSLICVDSLRGVSEFSLDFVKDRVKVRASCNGLLCCSSIPDKGIYYVCNPMTKEYKVLPRSRERPVTRFHPDGEATLVGLACDLLTQKYSVVLAGYHRTFGHRPDRKFMCLVFDSECNKWRKFVSMQDDQFTHMNKNQVVYINGSLHWMTESCCWLLVLDLNHDIWRKMSLPDEISSGTGNRVYLLESDGSLSVIKISEAWMSIWVLKDYGSEEWFMADRVSLRCIRDMVPGIFPISQTRQYIFLATHKQVLVYQRNSRVWKEMYSVKNSSTLPLWFSAHAYRSTIFGFH >KZM87548 pep chromosome:ASM162521v1:7:18062279:18062563:-1 gene:DCAR_024679 transcript:KZM87548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSECDDNGGAAILEQMRADLLESGKQRNEHLKEMIQLAKEQDERDKRREIKEQDEADAKIMAMDTSAMGAIEVEYFNSRKQEIMERRRTRFSI >KZM88042 pep chromosome:ASM162521v1:7:23902439:23905015:-1 gene:DCAR_025117 transcript:KZM88042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLHTNLGDIKCEIFCDEVPKASENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGVLSMANSGPNTNGSQFFIVYGKQPHLNGLYTIFGKVIHGFEILDIMEKRFHRLQLDQGIDLLQKSGSIV >KZM88079 pep chromosome:ASM162521v1:7:24182001:24185614:-1 gene:DCAR_025154 transcript:KZM88079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVVDLAAGLARKLVSLATDEVIQVWKLHEDLETLRERFELIGALLHDAQTKNLIMSTARIWFNKLEDVAQAAEAFMDELEYEVTRRKLENRHKVRDFFVPSKNTLLYRSKVAHKIKNINNSFDKICKWATDIGLKPVEHLISTVQHSEIRYTQPFEDESLIVGRDDDISFLVNMVCNPNDEALQVNAILGMGGQGKTTLARMVYNRDAVINMFPKRMWVTVSDDFDFIMILNQLVVSLTSRPSVLDNAEGLIKNLQEKLRGEKFLLVLDDVWNEKPEEWDKLRNSLLGIRGARGSKIFVTTRKQEVTDAMQCSDPYLVTKLTVEDSWELFKQKAFSNGGVLETEAFVALGKRMVERCGGLPLAIKTLGSLLYSKKTEEEWLLIQNSEIWKSKGVLSSLRLSYDNLPYSSLKRCFAYCSIMPKDHDIYKDELIQIWMALGFLPGDRTVLMEDIGNDYFDILLGNSLLQDVEKDEYGYITKCKMHDLVHDLALDVSSNYSTTVNPSRDVDKGSKATYVRLEGFKDVKRSMFKLRFDTVQALYTEITIFNFVLPKLKYLRVLVLESFCNEVPGSIGNLKYLKHLDLLRIDVDTIYKLPNHITRLYNLQTLRISQDRELPENICQLTNLRHLVIGYPEISYMFVGIERLTCLQTLPHFVVKKNQNCLVGQLGMLKNLRGTLSLYGLNDVENIEEARKAKLCEKSNIRHLLLKWTNNEDDREEGVFNDEGVLEGLEPHPNLKALEIEDFMGKKFASWIPTMTNMVKIAVKYCSRCEGFPPLGHLPKLREISIDRMENVKVIGNDLCGGQSLAQKAVTAALYPSMTTLILRRLPKLEEWVEDFLSKGGKDQIVFPKLERLEIFYCPRLRKILNSCFPSLKDLSITYSESNLILETMYMHVSSLTTLQLRNISDGGGDSSSSSSCSNLDSILKVLLKNNSLSLTSLDLLDCKDLQHLTLGVSLDSLSVYDCPNLVSFNLVEGLAGLKSLSLGGLPSSLLDGISAQIQSSALRFLRLGPFSDEFPWPFSSSLNSFPNLVLLSLRGREKVNSIALFEKLQFSTFPALTKLSISNFGGMKALVLSIEKLPCLNELRIHSCKDLERVLLFDESHCLEYLEISGCPILKERCGKESGPEWFKIQHIPGIMSRGSKGFVITNDPKILQESGPEKFNTLYILKS >KZM87227 pep chromosome:ASM162521v1:7:12747270:12748783:-1 gene:DCAR_024361 transcript:KZM87227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSMSSVSPSLDDDRISNLPVALKQTILDLVPVRDAARTCTLSKAWRETWTTRPCLVLNKLFYLQVINNKNEEAEQLSAFSSALDKIFAVQTGPIVETEIYIPSKLESHHIHQWIQHLTEKDVEVFRLDNSENDACLVPSVFFDFAKLKVLELDKWILSPPPESRCFSNLVSVDLIRVLIAAPVSFGTQLQHLELHICDGIEHLVFTNINNLKTLIIGMSSKIDWRWLENAKNLERFSLVLSQADFIKSKSVNLIKLLSNCTRINFLLLHDFLLEILGPDPFTLKTHATRMVNLKTLELRVLSFNLLRISNCLCLIRNLPNLQNLIVTLDFEVTRSNSADSAIERYLEELDREDVVLNKLQIVQIHGVIGVRSELQFSKVLLASSPSLEEISVFCSRAVSNPNEKLRIKQEFLELIKKSQHSQLLWHS >KZM86523 pep chromosome:ASM162521v1:7:3732060:3732915:1 gene:DCAR_023657 transcript:KZM86523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSDMRDSLVCPKPRRLALINTSFDEEISPIRWQIRNKHESEFSDSDIGNELLDIILSRGAYTVEQTCTQTASSSPFFCGSLPSRVSNPLTQDARFGDEVAHMTIPLQDGMPVSPSSSTRKGACVQVSNIPIVRIEGGFDCFDRDSLSCNIPTMA >KZM88303 pep chromosome:ASM162521v1:7:26621278:26621589:1 gene:DCAR_025378 transcript:KZM88303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSERLVKSASVSPVSNRKFGRISKELTPSPLRWSDGGKKQITPGSLEPKKSEEKVSVAEDRDQMKNKREKSLSELTRENKCEGETSGLGVHSELFLRDNQT >KZM86610 pep chromosome:ASM162521v1:7:4880297:4880677:-1 gene:DCAR_023744 transcript:KZM86610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTMELEKNEENIKDYVFEKLKEVVVFLQYWWEAVIHWSDKVFPPDSRADTLSHWVHVATPFLITGLVILMLICCVKRCCCGGGRAVRMMKAPGRNIRMPRNVFERNPAGYFQNLRSGNSVGNLC >KZM88473 pep chromosome:ASM162521v1:7:28181735:28182961:1 gene:DCAR_025548 transcript:KZM88473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQEGADENKYGDGVAWVRGDMIGKGSFGSVFLAKLKKPRSRFSCFPCVMAVKSAEVSVSGSIQKEKEVLSNVGRSDYVIRCFGEETTIGEKGEMVYNLLLEYGSGGTLADLIKKSGFKGLGEADVRRYTRGMLRGVFDIHEAGYVHCDLKPENVLLVNNGRGGSSEFRVKIGDLGLAKRDKQSKKRKLSPYWRGTPMYLSPETVTDCVQGFPSDIWALGCIVLEMLTGKPAWGGMEDCNADELLVKIADRHQLPKLPVDLSVDARNFLKGCLVRNPMYRLTAEMLLNHPFLEGLVDDDNDEESEEVSDVNTCNSLLLLSDADDEFDYSSFSDDCSFVSEYEDGSYWSEEELDIKMAAEKETLEVKRSSDNTSSISSEFNDVIKTTIQCPSKARHQHTVTFTIPAGV >KZM88789 pep chromosome:ASM162521v1:7:31329080:31333831:-1 gene:DCAR_025864 transcript:KZM88789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALALRKLSSSLADKPARRLVNGGSLYSMSSLPSEAVYDKEHPGVTWPKQLNASIEVVDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAERLCQKRALEAFRLDPAKWGVNVQPLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQMEKSATLFRPKLIVAGASAYARLYDYDRIRKVCDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGLKEVTKQGKEVMYDFEDKINMAVFPGLQGGPHNHTITGLAVALKQATTGEYKAYQEQVLSNCSKFAQTLMKRGYELVSGGTDNHLVLVNLKPKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFAKVAEFFDSAVKLALKIKSEAKGTKLKDFVAAMESSAPIQSEISKLRHDVEEYAKQFPTIGFEKESMKYKN >KZM87564 pep chromosome:ASM162521v1:7:18177280:18179231:1 gene:DCAR_024692 transcript:KZM87564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERFSKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQRKLEPMDPDRKVRWKKEVDWLLSVTDYIVEFVPSQQKAKDGTTMEIMITQQRRDLLMNIPALRKLDTMLIDCLDNFKGPNEFRYVSKDADESEKGVQRDDKWWLPTIKVPSEGLSETSRKFLQYQKDCVNQVLKASMAINAQVLSEMDIPDNYTETLPKNGRSSLGDQMYKSITVEYFDPDQFLISADLSSEHKVLDLKNKIEASIVIWKRKMVAKDGKTNWGSAVSLEKRELFEERAETILLLLKQRFPGLPQSSLEITKIQCNRDVGHAILESYSRVLESLANTVMSRIEDVLYADSLTQNPSLAINKMKTTIDSSPRPRMSSAEDESEKPTPVGTPGSMTLSDFMGWNFEAADSDMKKNNSTGNLEKEYEAKVSSKPANVATKKKFSYLDKLEWSGLRSPTARH >KZM88324 pep chromosome:ASM162521v1:7:26824084:26827396:-1 gene:DCAR_025399 transcript:KZM88324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPFCSSSATLFHHYNSLAPHIIPKFVVEASNFNPFTARPVNTFRSSRIKAASFSSSPYPTLTDTEKALSIDALQRFIELNLGNWVGSFYQFDPEGNLMNEVTTKLAVSSYGEDDLVSLIQTLYIQQPPSSSSDSEEDREQEWAEYKIKETNMFTVDKYQQINIFPNEKAFALRYQTAGMLETVLRQGVLGEDDIGEEFPKNLKLPSRRPAIVCETCLFSLEKDMRARAFHIMDPKGIIEMILIFLEERGGGVLIAPSLNDSKDNTNRIMPHIGRWKGQSVTKRSGIYGATIAEADTVASLEFDDKGQLIQEISSTAKNTDITTNVQWIGTISDNVVTYDGGFQMTLLPQGMYLGCPCDVSKSVADSKSFHLEFCWLESAGKRQRLVRTYDVEGLAVSSTYFIETKL >KZM88623 pep chromosome:ASM162521v1:7:29770118:29770531:1 gene:DCAR_025698 transcript:KZM88623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLEECDAILDLACDCPAMSVVRTRWYGPNAGRRFRECAEEECGFHKWVDEEPSPRTLEIIKELLERDGKHLDQARRRRDRLVAWYEARLAAEKEKHQNTFVGLDLLCDVIKDMTLETQLPGDADPVYQDSEDSD >KZM87178 pep chromosome:ASM162521v1:7:12136588:12138924:-1 gene:DCAR_024312 transcript:KZM87178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDKSIVVSAFVLLLLFVRAMATVKDQLSNKLLEDVDGNENAVSNPDEVAAMVDMSTRNSTERRRLGDFSCRTGNPIDDCWRCDSNWHRNRKRLADCGIGFGRNAIGGRDGRFYVVTDSSDDDLHNPRPGTLRHAVIQERPLWIVFKHDMVIQLKKALMMKSFKTIDGRGANVHIAHGGCITIHYATNVIIHGLHIHNCKPTENADGDAISISGSSHIWVDHNSLSNCTDGLVDAVMGSTAITISNNHFTHHNEVMLLGHSDSYVKDKQMQVTIAYNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPSNPFAKEVTKRVQTPIGRWKGWNWRSEGDLLLNGAYFTPSGAEATATYARASSLGAQSSSMVGTITSGAGVLGCVRGHQCY >KZM87264 pep chromosome:ASM162521v1:7:13302839:13305250:-1 gene:DCAR_024398 transcript:KZM87264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLLEVASMPILQVLLISILGVLMATNYLNLLPPDTRKNLNKIVFVVFTPALLYTSLAETVTLQDIMSWWFMPINAALTFLIGGILGWIAVKLLHPEPHLEGLIIAMCSSGNLGNIVIIIVPAICTEEGSPFGDHQICSSKGLSYSSFSMALGGIIVWTYAYQLIRSSSMKFNASKASKEAVMSPNKDLEANESTQLLNGQVQESAAEETKSNAIVYQGSDSNQTNKQESIWNIFTGVMYQILEEMMAPPTLSMIIGFLVATVPWLKYLMVGEEAPLRVLQDTVQLLGTATIPCITLILGGNLAQGLQDANIKPLIIVAILCVRYLILPVVGIGVVKVVSSFGWLPSDPLFHFVLMLQFSVPPAMNIGTITELFNVGQAECSVIYLWTYIAAAFAITIWSTLYMWILS >KZM87337 pep chromosome:ASM162521v1:7:14775996:14776211:1 gene:DCAR_024471 transcript:KZM87337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEEGSLLGSVLCSGFFHHNSVVFTVSPSSVTQARGTASFTERHLSLLINANDQKRYTPNIYIHETMPAV >KZM88894 pep chromosome:ASM162521v1:7:32091190:32096329:1 gene:DCAR_025969 transcript:KZM88894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTGSNIAMAKTIVSTIGTIAATAMVARSIARDYVPPEIQNYFYLGLHNFFRRFSNQLTMVINEYDGIVSNEIYDAAVVYVGSKVSPNTRRLKITKPKQENNISLTMERDEKVIDTFNGVEFKWTWVCQQMEQSNTYYYRNQTRSFRSEVKFFELNFHKKHKQQALNSYLLFVLKEAKNKKQEVKTLKIFTLHSEDHFSSSDSWQSVSLDHPATFETLAMDVDLKETVMKDLERFVARKEKYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTDLRRNSELRKLLIATANRSILVVEDIDCNIELQDRVSAKSEDKKPHRREENRVTLSGFLNFIDGLWSSCGDERIIIFTTNHKEKLDPALLRPGRMDMHIHMSLLAGNYLGVEDHKLFREIEELILTTEVTPAEVAEHLLKSDEPDVSLAGLISFVHTKRKENEKAELENATDKVAESVPEAGQDGEKHDQHKENGEIQKPRV >KZM86952 pep chromosome:ASM162521v1:7:8800430:8806171:-1 gene:DCAR_024086 transcript:KZM86952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIRNSVSPVSRLGRLLNTIHLKSPVQTQKQHRFFSNKIKINGHDDSDSVLPVLIVGAGPVGLVLSLLLTKLGVKCAVLEKNLSFSRHPQAHFINNRSMEVFRKLDGLADQIQKFQPPVELWRKFVYCTSLTGPIIGSVDHMQPQDLDQSVSPVSVAHFSQYKLTNLILQHLQNLGFTVRNANGLDNLKYGELKEREILMGHECISVNATSDYVTLTASFLNEEGKHSEKCIRSRLLVGTDGAGSTVRQLLGIDMRGEKDLQKLVSVHFMSKQLGEYLINERPGMLFFIFNTEAIGVLVAHDLQEGEFVLQIPFYPPQQKLEDFSSKICERLIVKLAGRELSDINVVDIKPWVMHAEVSEKYLLHDNRVIIAGDAAHRFPPAGGFGMNTGIQDVHNLAWKIASVVQGTAPKSILTTYEVERRPVAIFNTELSVKNFRAAMGVPAALGLDPVMANSVHNALNNTVGSILPTELQKRILDGIFTLGRAQLSDIVLNKNNPVGSSRLANVRRIFEGGESLQLQFPAEDLGFRYLEGALFFDDEVETELDEPEVPTGRRREYVPSADPGSRLPHTNVKALSGPLTEETFSTLDLVSGDKVEFLLIIAPVEPSYRLARAAFEVSEEYNVSAKVCVLWPDGTVDGAARSAAELTPWENFIDVVEVKHSSASSSWWDLCQMTHRGAILVRPDEHIAWRVKSGVVGDTTFELRRVFSAILGLKSCSESDYKEWKELAPQNTLEYGWDL >KZM88598 pep chromosome:ASM162521v1:7:29579473:29609439:-1 gene:DCAR_025673 transcript:KZM88598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNDWLQRKLASVLRPWLRQETELELKLGFLRSHGIAKDICFDTAVLTQQLDDSSRFEFTDFRIDELKLGVSNWSFPAFRIDVNGLHVTLTLRDIRDDARVNEKQRTADRSADNRKKVLSEIDPEGIALNDAMESLSAIICSNSRRTSLLCTILGHGHLRIRHVHLSVQCLTSNNSYKCMLEMTDFDIKSGFIGHRCFLRAVISSLLFPSSENSFKLGVRGLTVGLKDKDSISNIFSSRYLQTFITLRDLHLVNFNLSNPELEFTVSPAQIFIIAAMSKLSTTDSKCPRNGKQLWSIAASRYNSLLPARKWSFQKLVDVVCLWLRYVHAYEHLLSSVGYPVDKMMRRSVIMMSRDKQFSILVKQKWNEISAIEKDLPVEAAVLARRLVRCRVASSVNQFKDISVESLDNNSHTSFFWKILNLLVTVWSYICSTCYSIILLALPRSHYIDHLNDNGQSIASDNDYLQHCFIVNIGIISATIYPEKAVEHSVSRRKSDIGISRRKSDIGISNSNLLSFCFTLDTFYFLYKENIFDQFLSFSCGNLEATSSHVMTDSLDNYDSYLKGLKKKSNDPLLALWGQPAQVFDNSEANSFAFVGGQVEEMWSTWRTSCAELKDGTVLSPEHPFILCEIKNFLTDQGFSDKCSGFKKCCLVIGELNLILEYASIVSIVLILKQIQSALYPSDCSLAANVPPDTPVTCEDPLRRSWDNKYNTCASEMEFELYKLLPHQHIQAAVFIAGPQIKISLNKEEFLVNEAHGKNMHDDIRLVFNIRNVELGVKPTLVSDLESSFWGQDEPPSCPKLKEPELAYSSLPAEETCKCQTRGTLDAHLKVNGLIAHIDTKNQQDQVIVLNPTTIRLSSVRKELHSFGATIVAFSAGLHCITTELAVLIFMDEFSILVKVVDGLTSIFTSTITMFDSNGYMNPDDSGRDEIVQGDSGNTGTLVSGVNWENMIESSTGFVINCTYEIKAIDIVLHKSRDSNYKDHTSDTLGNKKLTMHEVLDCGVSFSIKESRIRISYVARDADILAGFSVLRAGIFNFVSDVAGNYDQFYESNLLLQSMKCEKELSLSGCTFALWLRCLVGDFAFEHVQSSNDAFDCDGEILNLVEGSPLAIDNEESLTLSPNISQKFNSTGQNIGATSSHRMLINISLSEIYFAGSMEKDLLFGEHNLNKLKLSLSLGANGRRISCHTQGGSIFLEAEAVAMFFQCLTSYEQGLRQVFPAAPVPKENLRAGTVKDVVVHNNHHSQGLETTHQTVNQEKVDKLVISLSEISLVLVARDESGILQEILFELDAHLDVKMAERRIFLLRLSRFSIISRILHESIEQQSSEIQISQPSYEMSNHPSSIAEDPTIPISGGPTTAFQQMRGNHSALDEAGSSGHSIAPVESYIDTTRPKVFGLSPQNCILKHTSAYLTLEKFMPRDIYSQQYWFGGGSISGIEITISLQEIQIILSTLETMSGFLSKKATNNVEQKYLIKNQEPERKFEDVVPDGTVVALQDVHHHTYITIQGGQNNYNVVGSIHYSFVEDRALFRVKYHYQRKWGSQVLWISLTSLYAESDSGEPLRLNCRAGSSFVDISSSSDSAWALWKVNPFDAVNYYGDTEVDSYCPLATNMFHLINRKNDCGIAFVDDSFECVSKPGNPFKLKVFQDHALARDIYMLDTHPVEALETGIKEGSESTGNQTCICIEIDKCIVTIVHEISTTKEKIPLLQLSIVCQELLMQILQIKARIMSRLNVVFYYFDGQRNLWSELLYPAELSIFYRCRFQIAGTETVVPSVPVHFYAKIKQLDISMTELSLDILLFVIGELNLAGPFAVKSSVILANCCKVENQSGLTLLCHFHQNQYASIARNQSSMVFLRHLASVSQTSDASIITVQLTDQGSFMTSPVHISLSEAKTFAWRTRIVSSQGSKTYPGPFIVAEVSQRGDELSLAVSPLLRIHNETDFSMELRFQRPEHKESESASIILKAGDAIDDTLAAFGAINLSGGTKKALVSLSVGNYLFSFRPEIAKDLSSLSKLHSVEWSNDLKGGKAVYLSGLFDKLGYKVRKALSAESVKCSFSIAHCSVNFEEGHMGDVDFLIQSIGRDIPVIQPDSTGYTSGNKNSHVALQEQKEIFILPTIQISNLLQSEVEVFLSDKDSQNIISCDKIGKFAIVPCGSTSNLYANPATIYFTFTLTAYKSSCKPVNCADWVKKLQKQKSDVNNLDVELDFGSGKYFALLRLGFKDNGILEAAIFTPYTLKNDTEFHLFCCGPNSKPLPRYEAGNLNSEIPPELGALLPAKSTSSWFIKCNKVCLFLLDGNDSHTVLDLDALSGLTEIDLEVEGSGCRFVTKLGVALKPSVGNVIVPSRTVSINPRYIVSNESEEIIIVQQCYLEDEMQGVITVNGKQRTAVHLRNVTGSKKEIGVFDKFLRHHKSARDDTLLYVQFKPKGAGFDWSGPVCVTSLGRFFLKFKRIKDYPAQDIKNNATTLDHEYSSVHVMEEDSTLVLHFYRPPNTSLPYRIENCLEDAPITYYQKGTSEPEVIRAGGTVNYVWDDSNLPHKLVVQITEVQLLREINLDKLRAWKPFYRAGKQRGLGLQLPLHRNPGHKRSSFGQLNGIEIITSGFEVYADGPTRVLRICEFPDSHKANRSLYSGAKMQLRVFNAAISILEPSKKERDTDELPTYTPIIVIRLGNMDMDSLLTNHRKCNSIRVQSLSVDEKWIGAPFASMIRRHHSQYSDADSSMLHIVFNLLSTSSEVKHVEYSSIVLQPFDLNLDEETLMRIVPFLRTSLSDPHTKSQQYYFDHFEIHPIKGSSIPVIAQPKRL >KZM88753 pep chromosome:ASM162521v1:7:31018711:31020816:1 gene:DCAR_025828 transcript:KZM88753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSMLSTKAACNSHGQDSSYFLGWQEYEKNPYDEVRNPNGIIQMGLAENQLSFDLLESWLENNPDAAGFKQDGDSIFRDLALFQDYHGLPAFKNALVDFMSEIRGNKVKFNPDNLVLTAGATSANETLMFCLAEPGDAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNGFRITESALEQAYKQAHKLNLRVKGVLVTNPSNPLGTTLSLYELNLLVNFIEAKEIHLISDEIYSGTAFRSPSFVSITEVLMDRKLMDSDVWDRVHIVYSLSKDLGLPGFRVGAIYSNDDMVVAAATKMSSFGLVSSQTQYLLSAMLSDKEFTENYIYENQTRLRKRHEMLVSGLKKTGISCLDSNASLFCWVDMRHLLSSKTFDAEMELWKQIVYKVGLNISPGSSCHCAEPGWFRVCFANMSEETLEVALTRIKTFVDSITIPDFHHHHRSRKSLTKWVFRLSSKVREANDR >KZM86950 pep chromosome:ASM162521v1:7:8768531:8777580:1 gene:DCAR_024084 transcript:KZM86950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEIKSEFKKSGFTFDNEEEILQKCLAFCINYNLSPCDLVSSWDVYSLNRQLELTVQNAHMDAFLQQLQNEQKEAIIKKEPGLHLYSNDVKMILSDKAEDIDEVVPGTPTEKYDTGFLGPLDSAHKTNGSILPSGKPADLVTPFGQRKNKFVVQSTISDLPNTDSIKEEPHDNNSEDDIIKRVQPRKRCSLQTHHSKPETSCRFMYDRIEDKFNFLENRIMKHAKAFAASGIYEEPVDPTVASQKSLFAIGMVCCEEEGRLKEKPLLLQSRQLELTVQNAHMDAFLQQLQNEQKEAIIKKEPGLHLYSNDVKMILSDKAEDIDEVVPGTPTEKYDTGFLGPLDSAHKTNGSILPSGKPADLVTPFGQRKNKFVVQSTISDLPNTDSIKEEPHDNNSEDDIIKRVQPRKRCSLQTHHSKPETSCRFMYDRIEDKFNFLENRIMKHAKAFAASGIYEEPVDPTVASQKSLFAIGMVCCEEEGRLKEKPLLLQSSVEHSGGQRVRLDLQKLSQFSIFPGQARNIIPDVALLQVIGIKGDNPSGHCLIASEIIDHVPLLDSNDENSQPSKKQALDKDLIQTDLSHVQSEISALIAGGPFTTTDNLMFEPLTELLAYARRKQPQLLILLGPFIDSEHPEIKKGTVNRTFDELFHHEILKRIQDHVEYMGSAARVILVPSIRDASHDFVFPQPPFSIHPPDLKDQITSITNPGTFSANEVKVACCTVDIIKQLSGEEISRNAAGSADMIGFNPLHVSLTLSQNSFYPLYPPAEGIPLDFSLAPEALEISSIPDILILPSDLTHFVKVVSVGGEGEAQKKCLCVNPGRLARGEGGGFFVELNYHGSPESATASVIRI >KZM87304 pep chromosome:ASM162521v1:7:14025362:14025760:1 gene:DCAR_024438 transcript:KZM87304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKWWCEDEFRYSLNPNLRYSPESITTMLDEWTWRVRTLEVCRERCALAEIPIPKQKARTMPRETPQEMEAALFRAREEENRMHLRLHRQSLYDDARMFREARDWFKEQQYLALVTSPDYYSDSAMSSEDE >KZM86713 pep chromosome:ASM162521v1:7:6114828:6124714:1 gene:DCAR_023847 transcript:KZM86713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDVNRTTAGGQVERDVEQAITALKKGAYLLKYGRRGKPKFCPFRLANDESVLIWFSGKEEKLLKLSHVSRIISGQRTHPIFSSATSGVNVSYIAHNMLNFLKPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRGHQRKWRSEARSDGIPSEANSPRTYTQRSSPLNSPFGSGNSSHKDGGDQLRIHTPYESPPKNGVDKTFSDMVLYTMPPRDFFPTDSASASVHSMSSGASDSLHGQMKGIGMDTFRMSLSSAVSSSSQGSGHDNADALGDVFIWGEYTGDGVVGGGPHKVGSNCAKVDSLLPKGLESAVVLDVQNIACGGRHAALVTKQGEMFSWGEESGGRLGHGVDSDVLHPKLIDALSTTNIELVACGEFHTCAVTLSGDLYTWGDGQFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGTWHTAVVTSAGQLFTFGDGTFGVLGHGDRRNILKPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGYSLTVALTTSGHVYTMGSPVYGQLGNPQADGKLPSRVEGKLLKSFVEEIACGAYHVAVLSSRTEVYTWGKGANGRLGHGDIDDRNSPTLLEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSIKKSLRASMAPNPNKAYRVCDNCFSKLKKAIETDTSSHSSISRRGSMNQGFNELPEREEKFESRSRPQLARFASMESFKQTDVRSSKLNKKLDFNSSRVSPIPNGGSQWGGLNISKSFNPVIVSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLASPRMVMADAKRTNDDLNQEITKLRAQVETFTRKAQFQELELERTTKQLKEAIAIAGEETAKCQAAKDVIKSLTAQLKDMAERLPVGASRNVKSTFASLGVNIASSDVSNASNDLMNGQIASQDPDSNGLSGQISSNGSNHQFLLNGSNNNLFPTASNALNNNQLLLNGSNAVSGRLSGQNKMVYSEATIRNGSKTKESDSRNDSEWVEQDEPGVYITLTSLSGGVKDLKRVRFSRKRFSEKQAEQWWAENRARVYEKYNVRMVDKSTVGIGSEDFT >KZM87401 pep chromosome:ASM162521v1:7:15967443:15968774:1 gene:DCAR_024535 transcript:KZM87401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPDTSKTTKVERYNSYIRRVNTTKLLAASSKVLFRATLLISIVLIFFFTINYHPLSNNSITSPHRHLSAFYGSGAAWEKQVRHSSTPRRPNGFSVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNTYYDPSLKRARQKLLSTHEIFIVEADLNDAELLAKLFDIVPFTHVLHLAAQAGVRYAMQNPQSYIKSNIAGFVSLLEIAKAADPQPSIVWASSSSVYGLNTENPFSESDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDLLQSKGINIYKTQDDKEVARDFTYIDDIVKGCVGALDTAEKSTGSGGKKKAPAQLRIYNLGNTSPVSVGKLVSILESLLNVKAKKHVIRMPRNGDVPYTHANVSLAYHDFGYKPTTDLSTGLRKFVKWYVSYYGIQARVKGESAAKNLA >KZM86584 pep chromosome:ASM162521v1:7:4628965:4630230:-1 gene:DCAR_023718 transcript:KZM86584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSQYRNNPESLLLPPQGPHSGYLVIQDEESETYSCFGLCKNRNLLDLPFPQNKILTTIYSTGGGETQQTYLHDAIFFPVLNQPLSSNRYYVIEPHGKRKGMAHACSKEEDKTTCCFGRYIKDVKPRPFDSHDIYQQFEIANYESACIQKGYFNAKSVAQEAFPPDFLRRKGWRISTKTPKNYTLGEALGIDSALRARLPSWNFPLSHKSSKAVVVGKWYSPFIFIKDGTSTPRDQMENSVFYEMTLQQRWEKIFECDYTDQGNVVMVDAVVPRESVLVGGREAVWNNKNVVDNIIWFKSFGSQVEEVSVGLSSEIVERMKWEEERVGWAGGDEREVRVNRVEEFRGDAEGWKKFGCYVLVESFVLKRMDGSVVMTYDFKHTHQIKCMWE >KZM88046 pep chromosome:ASM162521v1:7:23957266:23958231:1 gene:DCAR_025121 transcript:KZM88046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSSAIDEPYKFLKIVPNSDGSVTRFEPLPRVPPTPEVTEPEKQLALSKDITLNAENKTFLRLYRPVKCDNKLPVIIDFHAGGFVILSATSEPFHDMWTRASALAPALVITVEYRLAPENRLPAAYEDAVEAIMWVRDQAREVEGCDPWMKELADYSNVHVMGTSAGGNIVYHAALRVLDVDISPVQIKGLIMNQPFFGGVERTPSEEKLSRNPVLPLSVIDLLWVLALPPGCDRDHEYSNPLDGGGDPKIERLPRCLIRGFELDPLVDRIKKLAKMLDERGVKVVAKIDEGGCHGAEIIDLGKLQELFLQIKDFIYSS >KZM88376 pep chromosome:ASM162521v1:7:27417788:27418885:1 gene:DCAR_025451 transcript:KZM88376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNSGSEQGWRKGPWTPEEDKLLSEYINLHGDGRWSSVSKYSGLNRSGKSCRLRWVNYLRPGLKKGNLTPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHFKIKTRPYQKQARRRAEGHGMPNNSIQQTAENVHFMKNDIPATQDDDHGEVNITEKVDITTPPQGNQEMGFAHPNMTNYYPSSSPMINYYINQEIASCSDTITLDDLWGSFWNLDDPHTGIRC >KZM86320 pep chromosome:ASM162521v1:7:1670409:1672394:1 gene:DCAR_023454 transcript:KZM86320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALKTLYIPPGRHPPSPILTNGSESQRPRSSERTPPRRRHSDLFESLQSLKLQSPKREVSRAMQSLQGYYHLNSPAEENGNGPRGCEMAVYQKGRSQYLEDGPFDKSSIANGFKLENRGRADGLLVPESDNLAGRRPKSETDFNSRATERLLKEDPTNNGFSVFAGKGLALRPKSAARTVSGADLETVAQDCVSTAVGDSSLPNSFSGVKKSYSTPSLQDSDNSSSIWPIMNWSLMQDFQAPSPAAVTRPIFDGLPKPITGRRNKTALD >KZM88783 pep chromosome:ASM162521v1:7:31274815:31276741:1 gene:DCAR_025858 transcript:KZM88783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAVLPRINEGQWRNYAHHGTGYNAINPNPNSGYGSAGIGRFVSQNPNPNPRYVQSVDRGHVRKGDVAAPRFSADVSPDCCVVEKETARDGHVIFHLDLYSRSELKELKNRLVSDLERVRSVMYEIKSRESEARLNRSFGPPSGQINNVVSNVKKGGSGKKSGPGKILGQKRGMQVSSNREIKRPVKNVVFDSTATRKVEAVMRRKCGAILSKLMKHKHGWVFNKPVDAVALGLHNYHQVIKRPMDLGTVKTKLGKHLYGTPADFAADVRLIFDNAMTYNPKGDDAHTMASILLDKFEELFVPAYNEFEAERQRIVVAQQNYSKPLPRLAEAQIVATQVKMEKPVQMHSQGAFTNVVPPVQASAAKVCNVAKKSLSVQQKMVPEAKPIVSNRREMSDGDREKLGLILQDLAGEYLNDILQIVAKRNSELASPGGDGEIELDVHALDSETMWDLEKFARLHKKAARNRMRKEELVNHLASNLENIKQSPVATMEEPHVPTMLKVEEDIDIGDEIPMYDYPPVEIERDAPSPIRSSNSSSSSSGTDSSSESESSSGSDSDGSVHSQEAT >KZM87780 pep chromosome:ASM162521v1:7:20368140:20374065:1 gene:DCAR_024881 transcript:KZM87780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARDLGVLTDLPGIKNKASLKLFKQQELHRSKLLASYRNMVTIVNQMVNSGASMRCFLKGVDNSSILQYSNSSLNSTDVGDGGGIPAFTFRSISSFEELAEELIHMFILELNLKRLLVIEFISIINEDVQKDNELCWLDELYSGEFDDLSKCNLYSTEACAPLLPNIKGRKPGKLKLQAEHQPDEDVLQVYLTTWLADVNMDKCRVDEIFTSVAEEMNVTLV >KZM86347 pep chromosome:ASM162521v1:7:1882676:1884209:1 gene:DCAR_023481 transcript:KZM86347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEKRRERLKAMRMEAAQSGADNEVGNSSQTQGLPNPLLQTAVTNQESCPQRFGYYTDPMAAFSGNKRSKVSQNITQEHLTPSIQEITPRPLPSQGMYQQQESVWSPTGMVRPSSMQPGTPRGAWNGPGPTYGYNIPPSSPIRANFPNTMGSGSGQGSRPINYTHQGQGQWSANSPRPGPWLANSPSPISEQGVRPGVGEYQGGPGFNPIEGRGSVRGGNPGFGQGGRGSYDSSGQGRGYWSNNSPNPSQVRGSNPGFGQGFRPNYNPAQGRGDWSRNSHNPGTGYRPKLGRGGGQWSGNNASPGPARSGGRGGRGRGSHDNVSAELRPDLYYNKSMVEDPWKFLTPIIWITEKSPSKTCTSSKSWLPKSISMQGAKASTASTESNKSQPSLAEYLAASFNDAVKNDESMI >KZM89227 pep chromosome:ASM162521v1:7:35155100:35158201:-1 gene:DCAR_026302 transcript:KZM89227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAMLNFSTQSMKPSFTTNLDDKLFYHHYFNNNTYRNTINYNTFHHHHFSVSVSKSPRFRSSKSSIISQLQTHLSKSGCGCSWIQDKSIADDTDAKMGSRQGPINSAFATSPAQVSSVKDLFEFICSGPLIEKLGLTPDMVAESIDKWLEYGSRISKLFKLNELSLTTPQKARIFHYYIPVFLWCEQQISDHRSKYKDGDDIPPIVIGFSAPQGCGKTTLVYALEYLFKVTKRKAATISIDDFYLTAKNQAKLRESNPENSLLEFRGNAGSHDLSFSIETLTALTKLSKEGMKMKLPRYDKSAYNGRGDRADPSTWPEVEGPLTVILYEGWMLGFKPQPVEVVKAVDPQLETVNKYLELYFDAWYKFINSWIVIKITDPSCVYQWHMQAEIAMRDDGKPGMTDEEVMDFVSRYLPAYKAYLPSLYEEGPSGSDPDHLLVIEIDEGRNPILAG >KZM87356 pep chromosome:ASM162521v1:7:15040120:15046152:-1 gene:DCAR_024490 transcript:KZM87356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSELQYEQSSFFLFESYLIDDHLIQSSEHRFYVASGDANAAADSIRASSFGLRFAEALRGFGWPDEAVVFALATLPVIELRGAIPVGYWLQLKPVVLTVLSVLGNMVPVPFIVLYLKKFATFLAGRNQSASQFLDMLFKRAKEKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLDMPFWSAVSANFFGVVLAGLLVNLLVNLGLKYAVLTGIALFIISTFMWSILRQLKRDRTSEGSMTISTADSRPIPSLPADIILEILQWLPVLSLLRFRLILSSLDPHFNIFSVDAGLIQPSCEELEYPLKQLGVLVRIVGTCNGLVCVAFEGSLILWNPATRKSRRVCDSEVKLRLRSHSECVYGFGYDESNDDYKVVEIKCSSNYRGPCTTELKMYSSRSNCWRRIEDFPVGISINKSGKFAYGALHWVTRPPSCQDSWIIVSLDMTREHYGEVVQPDYGEGNCSLTLGMLGKYLSILISCQKCRWDVWVMKEYGVKESWTKLVTILNMDDPYGYPYTPLYIYANADLLLKFVSRIVVYNIKEGVMDLAITNFTGCYDCYEADTYVETLVSPDIQT >KZM86381 pep chromosome:ASM162521v1:7:2164903:2173577:-1 gene:DCAR_023515 transcript:KZM86381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKGQEESTTKKHALLVKEKNLANIILSWSLDDILNGDLYKDQVGEIPNSFESVEHYQSSFIYPLLEEVRSEICSKMGSIFTAPLSKVISLHKSKQHRKLHCDVMVEGWKNGSDSVDKEPYRTRPGDIIAFTEAAPGQSIDLKSLGRSWNVGYVTRLSGDTCMCTQFEVRTSKELVWEEDVIKSLLCAVFVTNVTTNNRIWKELSLSQNFDVIKETLGSNSKVPEGCKMCSSRMKETIEEGLYLPSNLNKSQIEAVLECISTIQCDHNSSVKLVWGPPGTGKTGTLSVMLRSLLQLKCRTLICCPTNVAISEVASRVMKLVKDDGTSLCSLGDILLYGNIDPNAYVIEEICLNHRVERLFECLADGTGWKHCLTTMIDLLENCVPKYRDFCNSKYIEDSHRYVNDGQLFLDFIRERFIAIATLLRKCISGICTHLPKTLILEHNFKDMVALMSMLNSLENLLFQTDLVGEDLKEAILLHGPFNSFRHEVNNKTFLNIRSKCLHFLRTLFRALNGLELPKASKDSIEEFCFEKASMLFCTASSSYKLHSVKMKPMKLLVVDEAAQLRECELLIPLQVLGIKHAILLGDECQLPAYVNSKVSAKAGLGRSLFQRLSILGFSRHLLDTQYRMHPKLSSFPNAKFYQNRIMDAEIVKSESYGKRYLPGPMYGTYSFMNISSGREVIADGHSYKNMVEVAVLLKILHLLYKVCEAEKLNLTVGVISPYAAQVSEIQRKIGHKYDNHDKFKLHIGSIDGFQGSEKDVIIISTVRCNERGSIGFVSDPQRTNVALTRARHCLWILGNEETLSRSDSIWEHLALDAKARQCFFHADEDKDLHKVIMEVKKQLDQLSDMLNADSMFFRNSNWKLMFSGNFTKSFKKLDSAETKNLVLFFLSKLASGWRPKRVYSVVSCESSSNILKQYKIGKYYILCSVDIHRETWYMQILKIWDILPMQDIPRMIKRLDNIFRMYTEDYISRCTKRCIEGNIEVPLTWPASEDIVQFKSLCNTETDDISNTEYLDESSLEKSKVRESLLLMKFYSLSSGAINNLLSGCDGESLGLPFELTDQEREIVLFNKSSFILGRSGTGKTTVLTWKLFQNDQMYHVASEGYHDVQKDLSVNKRWRRESDDGITGDVLRQLFVTVSPKLCNAVRDQFCQLKRSVCGGDSVPRQSSIHIDVIDEVAHSADIPDSFQDLPLNSYPLIITFHKFMMMLDGTVGVSYFDRFPVVRRSTHRGNGKSRSITLDNFIRTKEITFERFDSLYWPHFSRILTRKLCSLTVFTEIMSVIKGSISKKDNDVGTIGQQEYLLLSNNRGSTLNMEKRSHVYKIFTEYEKRKTANGDFDLADLVIDLHRRLKDIRYKGDEMDFVFIDEVQDLTMRQISLFKYMCKNVDEGFAFSGDTAQTIAKGINFRFEDIRCLFYKEFLGQERKVSCKRNEKGIVSSLFHLNLNFRTHSGILKLAHSVIKLICHFFPFSIDFLNQETSLVSGEAPVLLETGTMDALKIIFEKSGPANGNVIAFGAEQVILVRDDILKKEICDFVGNKALVLTILECKGLEFQDVLLYNFFSSSPFENDWRVIYEYMSDNHLLGSNTVTSFPRFDMEKHGILCNELKQLYVAVTRTKQRLWICENGMEFCKPMFNYWQKLGAANVRKADDIFIKEMQVESSEEDWRSRGIKLFHEINYEMAMMCFERAGDTYWIKLSKASQCQAAARAREPNSEIACTYLRKAAEIFDEIGKAESAARLFFEINEYERAGCIYFNKLGERNLEKAGECFCLAKCFRQAAKVYANGRLYSKCLSACLYGELFEMGLQYVHEWRKSGVLYGSCSIQTDPLVQELLQKGAVHYYEKNEFRKMMKFVESFQSKDLIRSFLKDLKLLKELSDLEIRWGNFLEAAQIAKKMGNCLLEADLLSKGGIYREASLIMLWYVFSSLTRSTKGNYRHQFNSKDEILKKAVSYAKRHSDSFYEFVCTEAKILSEMGDAGEQLEPGLQFVRHWRQEVPDGLVKTSSDLAKIEQDLLQSCAALL >KZM88160 pep chromosome:ASM162521v1:7:25035088:25039050:1 gene:DCAR_025235 transcript:KZM88160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSATFRWILQMHKDVPKAAKFYSEGLDFTINVCTLRWAELQSGPLKLALLHSPRDKVIENEYSSLLSFTVPDINNSVTKLMALGAELDGPIKYEIYGKVAAVRCIDGHMVGLYEPV >KZM87790 pep chromosome:ASM162521v1:7:20488057:20489644:-1 gene:DCAR_024891 transcript:KZM87790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISKMNRGEITVLGCAGCAFMSMHFTVQLLSQHLFYWKNPKEQRAILFIILLAPIYAIKSFIGMLDLKGSKPYVMLLDPIKECYEAVVIAKFLALIYSYLHISFDSDMVPDEMKGREIRHSFPMTLFQPRTTLLDQRTLRLLKYWAWQFVITRPICSVLMITLRILDIYPSWASWLFTIIISMSISLALYSLVKFYHVFAKELEPHKPLAKFVCLKGTVFFCFWQVPDTTSYRKLGRPFRS >KZM86711 pep chromosome:ASM162521v1:7:6098304:6099254:1 gene:DCAR_023845 transcript:KZM86711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLGIGKFVLVAFLVFSVVAECRKLEADNGGSGKVKKPEWFFDGQPWFTNNGGSSRGWSFGHSFSFGNGQGGFIIGKKGKVSAKPDCAGKGGGLGGGGGLGSGGGGTGLGGGGGGGVGLGGGGGIGKGKGGGLKHHIGKKEHHHHHGIGKGIGGGVGKGIGGGGGAGGGLGGGAGGGLGGGAGGGAGGGVGSGAGGGLGGGAGGGLGGGAGGGIGGGSGGGIGGGAGGGAGGGGGFGGGAGGGGSFGGGAGGGGGFGGGAGGGVGGGSGAGFGGGGGFGGGAGGGVGGGAGGGFGGGAGGGIGGGSAVGVKEKHP >KZM89117 pep chromosome:ASM162521v1:7:33957677:33959294:1 gene:DCAR_026192 transcript:KZM89117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHLGQPANSPLLHPFVLSLISLALAILLVKRLFAASVSRQKLPPSPSKLPVIGHLHKLGKYPHLSLQRLSRKHGPLMLLRLGSVPTLIVSSAEAAQEVMKTHDLAFADRPRSKINEKLFYNFKDVASAPYGEYWRHMRSLCVIQLLSNKRVHDTRRVRENETALLVKKIVDSSPSVVDLSDLLMAYTNDIVSMSAFGQKFSEGESGRQLRRLMKEFVSVLGGFDIGTYIPRLAWFSSLLGLYNKADAVAKEFDEFLERIVDEHVNSSKGENVESSEDFVDVLLEIYKDQSITGFSVDRESIKAIVLDVLIGGTDTTYTVLEWAMAELLRHPEVMKKVQNELRLIGRNRSAILAQDDEKMPYLKAVIKETLRMHPPIPLLIPREARNDVNVMGYDIEAGTMVLISAHAIGREPALWDEPDEFRPERFLDSGIDYRGHDFQLIPFGAGRRSCPGISFAMVTNELVLANLLHKFDWELANGTKGEDLDMTETTGIATHKKEPLLAIAIPYSI >KZM87505 pep chromosome:ASM162521v1:7:17531506:17534445:1 gene:DCAR_024639 transcript:KZM87505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGDDEAAEERRLIRRREMGVACDHCNQETAILYCRADSAKLCVACDQHVHSANPLSLKHIRSQICDNCASQPVAVHCVSDNLMLCIECDSDAHSSVTNHRRESVQGFSGCPAPAQLAAHLGHDLMKLVHCDVNIGVIKGFQCDDEEGGDDQFMVPSSSLFGQVYRKGRGESSSAGLIGGNQKHAVLKQLVHLFHTQQQQQQQQQDGDEHFQIRQQEEDAQERRRGFMDSVDGDDDKDAHSLLLAQSLTPQQQLLPFTSLLMQQQVSTSTSTGQLDVMNEVDQGGFEQGESGQMLWNTHPSDQGTQIWDFNLGCLRGSGPLDLGEGANDAGFTVRSYSELLTEASLDDTKGIRELYGMNSSATLENLVTFKNDRNNPAAGPTSCESNNLPMQMLRCENTAPATIKTDLQLMAKNRDNAMLRYKEKKKTRRYDKHIRYESRKARADTRKRVKGRFVKASEAPGS >KZM86868 pep chromosome:ASM162521v1:7:7826356:7827048:-1 gene:DCAR_024002 transcript:KZM86868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGVTENEKQQVLTSRHDEDHQEKQAAKEVLVDGEDVEEKPPVGFINHLISNFTPGGKQHEEEESVINNKMSYDEQEEEKPVGLINQIISSFAPQEDTKEINKASEEKDEEEEEEKGGGILSHIMSNLVSSPASSPRAQAAAKAGDHLFDDAKTSSEKDGTNSIVDNIVSNLPTPLPDDAAPASDEASILIHSIIHD >KZM88803 pep chromosome:ASM162521v1:7:31420376:31421555:-1 gene:DCAR_025878 transcript:KZM88803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDYLSNFCTVTSTRGKRKAMQTVEIKVKMDCDGCERRVKNAVKTMKGVKNLDVNRKQSRVTVSGYVDPNKVLKRVKSTGKRAEFWPYIPYNLVSYPYVAGAYDKRAPAGFVRNVTQAVPPSNATDEKITHMFSDDNPNACSIM >KZM88091 pep chromosome:ASM162521v1:7:24286241:24290118:1 gene:DCAR_025166 transcript:KZM88091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRLDFLRYLETDAAVNILACLDDTSDLIRASLVSHCWRDFVISTGLCKHLCLRNFPQLANIAYVTEPNHEVKKSTDAGSSTSLQWEALEREHRVYGSLFRALTKIKAINCLEEAFGASSTDNYPEESINNTLDPREAIRRRYSYWSSKGQKNPSVPETLIYKLKADICVITEIGIRPFRADFQPGLPIYSAKSVRFRMGHPKSPTEISNLEWPLQQHADEKIIWTYTSPVYSMSQINVLQHFKLPEPVLCVGGFVQLELMGRVQTQEMDEKYYICVSHVEVIGRPLFPAFDVDITESSGKFILKYYPEALLRTMSTVSNPVHTDVDTREDDGAWEHLQELVGFLMQRNEDDDLIQWAGNDDDE >KZM88462 pep chromosome:ASM162521v1:7:28117328:28120165:-1 gene:DCAR_025537 transcript:KZM88462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIVAAAAQGATARLPRQNGLEKASRGTPLPSAALFTGVSQGQSNTNISLQHRWTGRYEAHLWDKNCWNESQSKKGRQGAYDDEAAAAHAYDLAALKYWGQDTILNFPLATYEDEVKEMDGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDKAAIEYRGLNAVTNFDLSRYVDWIQPDQTNSNSDPWIQPDQINSNSDPIQQFPNPEAEVTSLENVIITKQECPTSSPENSSYNVPQNSDNNTSSYNIPQNSDNNTATSSEFSEMLEGTSSAPESDVPRRSFPDHIQTDFGCHDLEIFGDLVPFTSSMYELDMIM >KZM88475 pep chromosome:ASM162521v1:7:28191790:28194208:-1 gene:DCAR_025550 transcript:KZM88475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINVRDSTMVGPAQETPRRSLWNANVDLVVPNFHTPSVYFYRPTGSANFFEARVLKDALSRALVPFYPMAGRLKKDEDGRVEIDCQGQGVLFVEAESDGVIDEFGDFAPTLELRKLIPAVDYSLGIESYSLLVLQVTYFKCGGVSLGVGMQHHAADGASGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPRPAFQHIEYQPPPSMKSSPDAVPETAVSIFKLTRDQLNVLKAKSKEEGNTVAYSSYEMLAGHVWRSVCKARGLPEDQESKLYIATDGRARLRPALPPGYFGNVIFTATPIAVAGDLQSKPIWYSASKIHDALVRMDNEYLRSALDYLELQPDLKALVRGAHTFRCPNLGITSWARLPIHDADFGWGRPIFMGPGGIAYEGLSFVLPSPSNDGSLSVAISLQAEHMKLFRKFLYDI >KZM87634 pep chromosome:ASM162521v1:7:18797140:18799231:-1 gene:DCAR_024744 transcript:KZM87634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPNNHQSSGSTDGSSGISKRVNSPRFSGPMTRRAQSFKRNTTATTQINNNNNNNSSTNNTQYEIDLPVNSPRSELAASADGFDSNAEKKQPHYHNLTQRLHTKKHDGGTMAISVDLILGGKKKLGQWMFFVFCGLCLFLGVFKICANGWFGSVIQRVGSPQDSFYSMDTGMNRIDKQSHSKGLMDHENEAGTEGFDVERTLNTVASGSVGIRDSMANHSGIWLKPNSDNFTQCIERPKSHQLLDANTSGFLLINANGGLNQMRFGICDMVAVAKIIKATLVLPSLDHTSYWADESMLKLIALVSRHASLAFISLLLSIM >KZM88830 pep chromosome:ASM162521v1:7:31606026:31610640:-1 gene:DCAR_025905 transcript:KZM88830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSILFLPPSSSSSTFLHNCSSIPPKFSFSSGFNHSKKDDKTRAKASDSDDSFSAQPNLSTRLPTPPWMKRGPVLGDDIEPSKLRKDRNFSNDRGEENEGGQSLTKRVKGGRGKKAMGKIFRSIGKLQETCLEESEEFRKSPDQIKLDFLMGGVEEDEFSGFGEQMPWERDERVFFRRMKKEKVVTAAELSLDSGLLERLRGEAAKMKKWVKVKKAGVTWDIVSQIRFLWKNNELAMLKFDIPLCRNMDRAREIVELKTGGLVVWSKKDALVVYRGYIYQYPKPFIRKNDNLGKNDTEEEIIPIEGSLYEREANRLLDGLGPRFIDWWRPKPLPVDADLLAEVVPGFRPPFRLCPPKTRSKLTDDELTYLRKLSRPLPTHFVLGRNRNLQGLAAAILKLWEKCHIAKIAVKLGALNTNNAEMADELKARGVLLLRNKFIIIIYRGKDFLPCRVANLVVEREIELRSCQLQEEAARAEAIGNICLTVEPSNYDSTVGTLSEFQDIRSNRGDLEGEDMKVNVQFEAEKRKLKKELREQKHKLSKLKLKIGRSSKNLRQINSAWRPAELDADQEIVTPEERECLRKIGLKMDSTLVLGRRGVFDGVIEGLHQHWKHRELVKVITKQKVFSHIVNTARLLQRQSGGILISVEELKEGHAIILYRGKNYKRPLKLVSENLLSKREALERSLEMQRVGSLKFFANQREKAISDLRRELKSVNMQAEVPEKIGFQREPEE >KZM89278 pep chromosome:ASM162521v1:7:35589044:35596846:1 gene:DCAR_026353 transcript:KZM89278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTESLFIPVKLPQQKHYNNGITFPAVLSPNPEKLSNNTVPFSSVLQAIKSSAAALESLLSETGAILFKGFPVVSASDFNDVVEAFGFLELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPEFPAKLFFFCEVEPGSGGETPIVLSHIIYERMKDKYPDFVEKLEDTGLIYTRVLGEDDDPSSPIGRGWKSTFLTKDKSVAEERAAKLGMKLEWMEDSVKTIMGPIPAIKYDKERQRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGDEDWEIKKECITETCENTSSAFLSLGCFNGSFQENSSKVLDDATVSPWSRSCSQYKQSLAPAVGKESIQNAEKRGFSPAPANNTAVHKSSQKRITSAPGPVVGWPPIRSFRKNIASGCASKLPTETKATNEVSTKIAGKRPTERFQKGLFVKINMDGVPIGRKVDLKAYDSYEKLSSAVDELFRGLLAGLSEANKLNSGSDDNLCFEPTQTDPSVNNIQNSQGEKAITGLLDGTGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSSELSSLLFGSKQEREPA >KZM86471 pep chromosome:ASM162521v1:7:3104011:3104415:-1 gene:DCAR_023605 transcript:KZM86471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLLAISLVLFLVAAPVHGSDHPRKLQESSVPVDPNGAVKCGSCPSCNAPCTQSPPSLPPPSPPPLPKAKKPSKSNPNCPPPPSSYIYMTGPPGNLYPVDPNYSGASRNLLPGRSLLAGCGFLSFLALKIMAL >KZM86522 pep chromosome:ASM162521v1:7:3718581:3723022:1 gene:DCAR_023656 transcript:KZM86522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLTLSSTATSSLHLSASFKGNVSNLKASTTHFASVKLNLTHKDHSSRKPLIVLNQASSSSPITTSNSVASPRLRLDNLGPQPGSRKKAKRKGRGHAAGQGGSCGFGMRGQKSRSGPGIMRGFEGGQMPLYRRLPKLRGIAGGMRAGIPKYLPVNLKHIAAAGFQEGEEVSLKSLKSKGLINPSGRERRLPLKILGDGELTVKLNFKARAFSAAAKEKLEAVGCSLTTLPGRKKWVKPSVSKNLARAEEYFAKKRASPEWSEPASA >KZM88836 pep chromosome:ASM162521v1:7:31640208:31640990:-1 gene:DCAR_025911 transcript:KZM88836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLCSSEPPPVTSPRISFSHNLSQSDINHQRLLSRPAPSSSVDFDFCIRQSNVDESSSAEELFSDGVILPIDPHLKHETPITVPPVPSLQPHVNQSHAVKCDQDSNGTVVSNLSESRDQKQKSAKSSFWQVKRSSSLNCGSGYARTLCPIPLLSRSHSTGSASSPNKSQSSSKELHPNLKQHAQKKNSVPLAPIKQSNSPPGHQKIPSKKSGYGGIGNGSFHGNGNRARVNHVLNVSSGNLFGLGSIFSGNKEKNKKQ >KZM86162 pep chromosome:ASM162521v1:7:236598:239686:1 gene:DCAR_023296 transcript:KZM86162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSATAHKKLLSVGTKIVAVGRNYAAHAKELGNAVPKEPVLFMKPTSSYLPNGGTIEVPHPLSSLDHEVELAVVIGRKARDVSESAAMNYIGGYALALDMTAREIQASAKSAGLPWTVAKGQDTFTPISSVLSQAMVPDPHNLELWLKVDGELRQKGSTADMIFKIPFLISHISSLMTLLEGDVILTGTPQGVGPVKVGQKIDAGITGLLDIQFNVEQRKKTKSS >KZM87141 pep chromosome:ASM162521v1:7:11411721:11417067:1 gene:DCAR_024275 transcript:KZM87141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERIKRLEEENAKLQQKIKLMEIHQSNDEAVIDLLKKHIEERRELNHFVMDDSNFEPSKIAEREMIREAFKAETEIHRFGKAAQVDERIEGNYPDKLNDDQADMYLSRLWSLLAEEKAEQCLYVDSLLIKSYYDNVGREKVFKRLLKDDFFGKKYVFFPICLWNHWVLVILCNTNNDVRGGSERPTMLILDSFKRSFSSKVEEFMRGLLKSFYKYASKDCSAKNLTTIPFLVPEVPKQNDAKSCGFFVLFYITMFLKMCPSTFVLMTDCPSFIMIYGYVIRGRQKKQRVDDKKSVNIDLFSKKNGLQNYPKYLSYNIVNNFDSDSCSIVVEGETLKISENDVHSVLGFPLGPKSIPFVNSEPLPKEWRRQYSGCEDSFRVAVKDVLAAMRNSTIKSNKFWRRNPEIKFYTGSLPFLLYFFLDSYIGKSFFPDKTTPRFLPWNNYCLQKVEQVLMERSFIVDGDLWKPCFNYMTLENCRVKSKNELTSDAMDYQSQKLVNKQLGHGVCDTTKNVGIDLDWDLIPKFTFKDLEWNFKKEENQIDVTTPSTEIRSASPEQQGYSKKTFEKGTTSKSRQHKTIHENNPGKHTLLESLLNSYQSIHQTLLLHIMHATNNGVPDERLESLKNSFKTMNDQANLLIN >KZM87779 pep chromosome:ASM162521v1:7:20338221:20341055:-1 gene:DCAR_024880 transcript:KZM87779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTSNLNNSSSKSINETVNGSHNFTVRGYSLAKGMGPGKYICSDIFTVGGYDWAVYFYPDGKNVEDSSVYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRALEGGPYTLKYRGSMWGYKRFFRRATLETSDYLRDDCLSMHCTVGVVRNRVEGPKLYNIPIPPPDIGQGLKDLFDSQLGCDIAFQVGDENFKAHKLILAARSPVFRAMFFGLVGDTSMDKVALEDIEPSIFKDMLLFIYTDELPDLQEYSCSTSTCSSAVTLHHLLAASDRFGLDRLKQLCEAKLCEEVTVETVATTLSLADQHRCSHLKAICLKFAAAHLGGACSP >KZM89051 pep chromosome:ASM162521v1:7:33364051:33365869:-1 gene:DCAR_026126 transcript:KZM89051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGEVMNFSAAASPGSATRSKLDRKTVERNRRIHMKGLCLRLTSLVPPHYFNPSRELLSQQAQLDQTAAYIKELKERIDELKVKKQLLTPMSSSSHTSSPASSSFIVPVVELRELGSSNIEVVVVSGLAKNFRLCDVISVLQEEGTDVISINVSSVGDRVFHTLHAQVKVCRVGVDITRVWQRLQELMSLAYLCEDAQSY >KZM86388 pep chromosome:ASM162521v1:7:2327368:2328664:-1 gene:DCAR_023522 transcript:KZM86388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKYSAAAIAATSFFFVFLFCMPCNAQLSTSFYDQTCPDLQSTVKTQVRTSISAERRMAGSLIRLHFHDCFVQGCDASILLDDSPTIQSEKSAPPNKNSVRGYDVIDRAKTAVEKICPGIVSCADILSIAARDATVLAGGPSWTVKLGRRDSTTTNFAQASNGLPFFRDNLQKLIDDFANKGFTIREMVALSGAHSFGQAQCFTFRDRIYNNASDIDAGFASTRRRGCPSSGGDSKLAPLDLVTSTSFDNNYYKNIMQKKGLLETDQILLSGGSTDDIVRDYSKNPATFKSDFAAAMAKMSDLSPLTGQSGVIRRICSSLA >KZM89037 pep chromosome:ASM162521v1:7:33270314:33271371:-1 gene:DCAR_026112 transcript:KZM89037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVDIGIPANNKGKHNSNGRLWLTAKEEEEEAESADYVDYSAPALGGTDQWSSQIPDAQWNNEGVQAAISAVPGATGWTADAVPSADGWDVAPEPTLAAAPGLDITQPGVVAPTWE >KZM87991 pep chromosome:ASM162521v1:7:22991874:22995090:1 gene:DCAR_025092 transcript:KZM87991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEREREREKGLGREIEIGGGEREKEGTKKEIEIGRKSMIKISATINIMMLITLAIKKGIMITGARGQMIRSTWTEDDVEEEDYKETIFMQLAQQEEEDLSRIKEESRRRRQAILEKYKTQQSQKKHELKADETGKASLVCLNQDQTRHLSVPGFRQFDDVSTDIKCGKGDRTRYQSHILDFNRMSEFMNQKCSVPRKIPSGWFNSMFGFESESWESDAAITKYLGLDESDPSLAKLALSVFNSTLQRQDEVLDLHKNSMGKHLLDFVRA >KZM89118 pep chromosome:ASM162521v1:7:33959863:33964066:-1 gene:DCAR_026193 transcript:KZM89118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALGIVLSPPPFSSSRYSQEISSRHCCSFTSSLSCSLKFAAPIHSLRAVRMQGDGEDFELKQAKDMAAARKRWEALVREGKVKPLTPKEAGYAVQLSNTTLLDVRPATEHQKAWVKGSTWIPVFDVESTFNAGTLPKKVTNFMMGGWWSGVPTLSYNTQFLSEAESKFSKDKDLILACQKGLRSLAACEILYNAGYRNLFWVQGGLEAAEEEDLEREGPQPFKFAGIGGLSEFLGWTDQQRAAAAKEGWAYRLVFSVRLVAIFIAADALFIGAQQAARYLQDIRSHGLLNRYGSFASPSKSSHFWSSVAVVLVLVNSTTAIGETVSETTIEHLVLSSLSTLLSLFSETYSGAFAVS >KZM89015 pep chromosome:ASM162521v1:7:33100243:33103786:1 gene:DCAR_026090 transcript:KZM89015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNVTPIVNENCFSAPNPSSYTLSEIWPPSSSSSLPNPSNHNNNLAAQLAADVTTTSNDDKSSLTHHSRGNNKRLKDRTEKDSNSKRSKVVESEQENCGTRTQVEASSDSGTKPTEPVKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNSRIEPTIEGFPLKNLGTPTLDETGLIFASQTPREYAHGSQRDWLHMQVGGSIEKQHKI >KZM88880 pep chromosome:ASM162521v1:7:32014586:32017689:1 gene:DCAR_025955 transcript:KZM88880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAIYTVGFWIRETGQAIDRLGCRLQGKYYFQEQLSRHRTLMNVYDKAPKVDKDAFVAPSASVIGNVNVGHASSIWYGCVLRGDVNSISIGSGTNIQDNSLVHVAKTNLAGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVHVEKNAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTQEEIAFISESATNYSNLAQVHASENAKSFDEIEFEKVLRKKFAHKDEEYDSMLGVVRETPQELILPDNVLPEKAAVAPKAS >KZM88888 pep chromosome:ASM162521v1:7:32064081:32065727:-1 gene:DCAR_025963 transcript:KZM88888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVINVLHMNGGLGDASYANNSLVQEKVISMTRPILEEAVIDLYCNATFPEKLCIADLGCSSGPNTLLAISGIMKTVDKIHKQGGFQSPEFQVYLNDLPRNDFNSIFRALPKFQDRLKEQMGSAFGPCFFTGVPGSFYERLFLSKTLHFVHSSYSLQWLSQVPELEETNKGNIYMASASPPSVLKAYHQQFQTDFTAFLNCRSEELVTGGRMVLTFLGRKSDDPSSKECCYIWELLSMALRDMVSQGLIEEKKLDEFNIPQYTPSPREVKELVENEGSFSIDRLEVTRVNWNVYENDGDYNVTQCMRAVAEPLLVSQFGKTLMDKIFERYREIIADKISRETTHFYNVTVSMTKTVM >KZM86260 pep chromosome:ASM162521v1:7:1060580:1062029:1 gene:DCAR_023394 transcript:KZM86260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDAESSRGVIFALRRFEAFKSMREHTQRARQAKAESIEKKKRMPPVVKKLCPSSIDPNEDCFAMTLDVSPSFGSSSVSSCPPPCLPTDMPTPRNSCVFDAMPFLNIRSSDFNEALAFYVDAFGAEVQFGGTEAILKIGAHNFVIFSTLDNDLTPDGAHFSLSTDDVDSAVNKALTAGATLSGKLVQVYSKCRVWCKLVKIDDPYGNLWIIHSRELCSACLQSFVLLV >KZM87167 pep chromosome:ASM162521v1:7:11913018:11913260:-1 gene:DCAR_024301 transcript:KZM87167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIAVDEFDLEEEEQQAHQAERERVGGWGAWGSRRGRMPMELDDDDMFADGAISDHRRVRDTDFYNSFDDDFDDHDIN >KZM88918 pep chromosome:ASM162521v1:7:32272076:32278265:1 gene:DCAR_025993 transcript:KZM88918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRIGALEDVAMERGHDENFFVSVRLRPLNQKEIATDDVSDWECVNDNTIIYKNNDFFVPDRSLRPTAYKFDRVFSPDCSTRQVYMEGAREIALSAVNGINSSILAYGQRSSGKTYTMRGIAEYIISDIYDYIEKHMDREYRLKFSAMEIDNESVRDVLSTDSSPLRLLDDPERGTTVENLTEATLRDWNHAKELLSVCEAQKQKEETSLNGTSSRSHQIIRLTIESSGRDLSGNENPSTIFASLDLVDLAGSERALTHSFSAGTRSKEGSHVNRSLVTLGAVIRKLSKGRNGHVPYKNSKLTHILKTSLGGNARTAIICTMSPARSQAEQSRNTLLFASHAKEVSTKAQVNVIISDKALAKYMQRELARLERELSSPRSSFAESKLSALLRQKDLKIEKLEKEVKNLRLQRDAAKSEVHNLLQQISDERRPSSREGFSRYPHLRIHQSTDDEHLSQDHDRAFVTTRVIDDSSSVSDSQAESPSIRVFRSKDVSNKLLISTSQFSENESTYGMDEIDNKSNDTFEEVLKDDTNSYSEASCFSEDNTESSPFMTFKEVETELQKGALPVSQEYLERVSSLVKANNDHKPPKGVMQDLAGRPFGEASAQNYDANLNPPAGTTEIEQKAYEKTNADISRDAEMKITAEPQHDGNTRESAETKTEAGSNGSSKGVKDVILDSADDDWRLKFKRLQREIVKLWDVCNVSLLHRTHFFLLFRGEPSDCIYMEVELQRLSLLKEKVCRYIQTGKHGQVLTLASSAKALARERQMLCSQLHKQLSEVERESLFMQWGIPLDGKHRRLQLVNRLWTETEDMDHAARSADVVFKVVGPATRDKSFREIFGLNFNNWGSRKKRSLRESLSLIL >KZM88167 pep chromosome:ASM162521v1:7:25129674:25132193:1 gene:DCAR_025242 transcript:KZM88167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMAFDSSTKNAIADSSDKYNNMFVKCVVGFLFLGVLYRFYFFTPFPPVLDSDTDFLRDSTWFPVPPDTSHLPGIYSPARCNIFDGMWVPDPNPPFYTNKTCHSIEEHQNCMENGRPDSGYVYWRWTPRDCALPKFNPVKFLWMMKNKSMAFIGDSISRNHVQSLLCILTQVEKAVSVYHDKEYKSRTWYFPSSEFTLSVIWSPFLLKSTIFENKEGVASDIPKLHLDELDPVWVDRYKDFDYVEIGGGKWFFKNAIYYENNTIVGCHNCPQQNLTKLDFTYAYRKAIRSTLKFITSPKHKAIAFFRTCTPDHFENGEWNTGGYCNRTVPYKEGEIDLIDVDMAMRKIELEEFVKAAASEKNSVLRLFDTTHLSLLRPDGHPGPYRKPHPFSGKDKNKKVQLDCLHWCLPGPIDAWNDLMMDMLLHE >KZM87007 pep chromosome:ASM162521v1:7:9874658:9877512:-1 gene:DCAR_024141 transcript:KZM87007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEGNGVVPVEMEGANSNNNNNISSVISGWFSEISPMWPGEAHSLKVEEILFQGKSEYQNVMVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSISNPKKVLVIGGGDGGVLREVSRHSTVEQIDICEIDKMVVDVSKQFFPEVAIGYEDPRVKLHIGDGVAFMYLTINAFRLLALAGVAFLKAVPEGTYDAVIVDSSDPIGPAQELFEKPFFKSVSKALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTDGAPVDFKHPVSQIDESARPLKFYNPEIHTAAFCLPTFAKKVIEAKSV >KZM86291 pep chromosome:ASM162521v1:7:1341410:1357409:1 gene:DCAR_023425 transcript:KZM86291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFIKLDDSPMFQKQMRSLERTTDELKDRCQRLYKGCRKYMEVLSEACNGDNIFAEALETFGGGQDDLLSVSIGGPVISKFITTFRELATFKELLRSQVEHVLVDRLAEFLSVDLQNAKESRRRLDKASYSYDQARDKFTSLKKNAREEVVAELEEDLQNSKSAFERSRFNLVSSLMNIEAKKKYEFLESFSAIMDAHLRYFKLGHDLFNQMEPFIHQVLTYAQQSKEQATAEQDKLAQRIQEFRTQAELDQLRASSNIGASTTAVGINGVGLNSDKNIEAIMQSTATGRVTHEVQSQLSGLVLFSSMASAENARESEVQTIKQGYLLKRSSSLRADWKRRFFILDSHGELYYHRQNETRVGGSPSYQSLTSTEHHGRVFGRFRARHQRSSSIGEESLGLRTVDLRTSTIKLDADDTDLRLCFRIISPAKTYTLLAETEADRIDWVNKIQGVIASLLNSQLRRLNSGGNEYNNTSSGSHNESALADQNINRADNVSGFLREIPGNDICAECGAPDPDWASLNLGILMCIECSGVHRNLGVHRSKVRSINLDVKVWEPTMLDLFCTLGNAFCNSIWESLLQEDRTNGSNASIAVKKPNPQDGIQQKEIYIRAKYGDKVLVKKEATVSGFNSAVKIWGAVNTNNLQEVYRLIVTSDSDIINTTYDEVAGTISSQYSLDHDTQKDIGKEKEEYDLINSQTQELSTESGKSHQGWTLLHVACSSRNFVMVELLLQFGADINRSDYRGRTPLHHCICSGNNELAKFLLRRGARASIKDDGGLGALERAMEMGAISDEELFVLLSESM >KZM89022 pep chromosome:ASM162521v1:7:33146191:33146349:-1 gene:DCAR_026097 transcript:KZM89022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNANIHSHKGRGREREIDGAAIAGLKCKNKSNLMGYFSQLGVQSPVEANL >KZM86974 pep chromosome:ASM162521v1:7:9251925:9256033:1 gene:DCAR_024108 transcript:KZM86974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYMSSSFMAKKLVIAIALILLLASVSAVEEKAAAPPVAQMIDGISTDIDGAKEFCSSGKLNSPPRCHNVSNLDELNILQTKKKGKALHYAKSSHNSTPLLFDVFNYETSMMRDHGGGKSEMQTTHNNPPPKSVLVDKENTNIRQPLAKQSVAAHCQMKSIMKRKPLSPLNDRSRLNCDMKKPEVNNSPLMETQIPPQAKRKNSQPNPSDNIKHYL >KZM88449 pep chromosome:ASM162521v1:7:27987406:27989982:-1 gene:DCAR_025524 transcript:KZM88449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSDVFIGSLDQGTTSTRFIIYDHDAKAIGSHQVEFTQFYPEAGWVEHDPVEILESARICMTKAIEKAAADGHSVEKGLKAIGITNQRETTVIWSKSTGAPLHNAIVWMDVRTSSICRRLEKELEGGRTHFVETCGLPISTYFSALKVLWLFENVEKVKKAVESGDALFGTIDSWLIWNLTGGIDGGQHVTDVSNASRTMLMNLKTLDWDKTTLKELGIPSGILPKIVSNSEIIGKVTEGWPITGIPIAGCLGDQHAAMVGQACRKGEAKSTYGTGAFILLNTGDQMINSTHGLLSTVAFKLGPNAPTNYALEGSIAIAGAAVQWLRDGLGLIGRASEIEKLASEVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKAHIARAVLESMCFQVKDVLDSMHKDASEVESKEKFLLRVDGGATVNNLLMQIQADILGNPVVRPADIETTALGAAYAAGLAVGVWKEEEIFSSGERMKIATTFNPILEEEKRKKKVESWCKAVSRTFDLADLSL >KZM87292 pep chromosome:ASM162521v1:7:13889186:13890955:-1 gene:DCAR_024426 transcript:KZM87292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIVMSNNQRQNLDSYSFTQKKEAEELGIDCFSWEEFSLLGHLDHELPTRQRTDTCTIMYTSGTIGEPMGVILSLTESCGGCFASIANVISMLGTVGVPMTTIEARLESVPEMSYDALAAVPRGEICLRGTTLFSGYHKQQDLTNETLVDGWLHTGKI >KZM87024 pep chromosome:ASM162521v1:7:10237540:10240508:1 gene:DCAR_024158 transcript:KZM87024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTSGNGVGMLRTTIKVGRPYNALHLAGKRGNCKDAKLLVDYDPEFPQTVVSDDGGTTPLEMAAWKGHKETVHYLLSVTRDEVGLSGTSPFRGTPGANILTQAIATGLYDVALYLVEKYPDLVGQTNYTGSATALRLDDTEVKVADIESGGRGPARTLLRRIFGKAPYVKHIRQIKATHLQTEQLVKQICSTLIMNFEDTTTWKVLGHAIHIAVRHGTHELIEECIRNYPELIRYEVEGLNLFKAAINHRQEKVFNLMYQISAHSLDTMAKEVDKFMEPAQRVSLNNEKKTPRMLFTDEHRQLLTDAQQWTQNTSSSSTVVAALLVTIAFAALFTVPGGNKDSDGQARFLTDAVFLLFVISDAIALFSSATSVLMFLSTLTHSSQEDFLYALPLRLTLGLISLFISVATTMVAFSATLVLVLRDKIQWIAVPVTLMACIPILLFLWLQYPLLLELVRATFGKNIFGQQNNLLLH >KZM88795 pep chromosome:ASM162521v1:7:31372083:31372331:1 gene:DCAR_025870 transcript:KZM88795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPDAAEHERIFKKFDANGDGQISSTELGDALQNLGNVTVDEVKRMMSEIDTDGDGYISFQEFTEFAKANKGLMKDVAKVF >KZM88805 pep chromosome:ASM162521v1:7:31435105:31436755:-1 gene:DCAR_025880 transcript:KZM88805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSNDLYTQFGEERNTHETQALAGEVRTCNGLQEPCGEVQVPERFEKGGRTLRNRRSRDAIQDAFGWTEDLSDNTYTPYEEEEDDEREGTRGHSQGRGRGRSRGRGRGRGRGRGYGRGRGSFSEGGTSRPGIGNSSATSKPGIGTSYATDATGIRPGIDISFGAEIAEMPEIDNSRATNGLGGFSSLLQPQMNEGGIRSGNIVSIENIDMLKCSICFKTFYIPIFECVNGHTTCSTCYYGVQNTCPSCSSIGYTCSRVLEELLKAIKITCFNSKYGCQEAVSYTMKRELHEHQQSCTYEPCHCPLPGCGFDGSCRDLYLHFAGMHSASAIRFTFDSSFPVHIGANTKYKFLQEAGDHTLFILNYGVDALGNVADVICVGSRSVQNEYSYDLEAGNVQRSARVTSVTQSLPRWTGGLAPKPILVVTKDLIDSPWDHKIQVCIRSKGEN >KZM89002 pep chromosome:ASM162521v1:7:32969016:32971090:-1 gene:DCAR_026077 transcript:KZM89002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQLHMHSHATLPFSPLNKTLISSPPLSFFSQRLQHNRAFGLAKNVNRDFKRRRDVGIVVASSSSNVALWESWKPEKGAKSPSLSDVVWPCAGAFAAMAALAKIDQVLASKGLFITIAPLGAVCAVLFATPSSPGARKYNMFMAQIGCAAIGVLAFSVFGPGWLARSAGLAAAIAFMILTGAVHPPAASLPILFIDVAKMQQLNFWYALFPGAAGCILLCLIQEVVMYLKNNFKF >KZM88036 pep chromosome:ASM162521v1:7:23773558:23774778:1 gene:DCAR_025111 transcript:KZM88036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENYLLAGCDVVKLEKATKQAESVDEAYAKKAINAQASGKKAIYEAVASTTNCFKICIDNFVVSLGISGEDKSLEDHVSKLVKAIPFDARAPADMAV >KZM86786 pep chromosome:ASM162521v1:7:6862351:6863043:1 gene:DCAR_023920 transcript:KZM86786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDRSSLRSGVEGYTSVNITDAPSITVTPPRHETKSFNWNLLVDILSLILFFLLMLYCGSQVYLHRKDQVHKHDFVIDSIVTSPYNQPTNNKINSAWNLTFNVTNKSNSSTFFYENVGVTIFYGDQIVWATMLPNFFQRAGERNGLKMAMISSIFADGAKGFTFGDRRLDMSSGIDVKLVAMVTEHKKALSPHMYQVVVLCPGIQMKFDSGHKFQKFIGPARQCEVITA >KZM89202 pep chromosome:ASM162521v1:7:34939893:34940201:-1 gene:DCAR_026277 transcript:KZM89202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKHSIAGISKSIYNLPKNRKTPPLSQTSEEHIQRLISGTARGRISNFESVLNEFSSFSALNFDAHAVTLFDLVIIRDPCLLLETLEHFLLQAPNKIFQSH >KZM88908 pep chromosome:ASM162521v1:7:32212924:32214406:-1 gene:DCAR_025983 transcript:KZM88908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFFSLGGGGDGGGNHQTRQGNNNNNNEINPESWFLLSRNNTHNHPDQVDLHNKGFELWQPQDYPSGLNLVSDHSRSNDFFMLRSGASSSTSGGGGSISCQDCGNQAKKDCTHMRCRTCCKSRGFHCQTHVKSTWVPAAKRRERLQQLAALHRRREQQDQEDDNVFHYQTLQIPPKRLKDTYATAIPIASSGLELANFPAEVESSAVFRCVRVSSVGNSDNQYAYQTSVNIGGHLFRGILYDQGLEISHRHQLPGRDQQVNNLIRNASSSPGAEPDGSGAGTSRGGGGGAPAPSQATTFLDPSLYHSASLNSFMAGTQFFPPPRT >KZM86441 pep chromosome:ASM162521v1:7:2819523:2824085:-1 gene:DCAR_023575 transcript:KZM86441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYGDEGGLTVAREMSAGESGGNARFPASEVTPAPLTVSASFREGRSSSRRRAPARPPSLDADDFMSLMHGSDPVKVELNRLENEVRDKDRELSEAQAEIKALKLSERLREKAVEELTEELEKVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVHELEEAVLAGGATANVVRDYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKGEMQQLRDKLVITERAARSEAQLKEKFQLRLKVLEETLRSPNAISRNTSDGRSASNGRRQSLGGVDNISKLTSNGFLPRRSPSFQLRSSGTSTVLKHAKGTSKSFDGGTRSLDRGKILLNGSGPSFNYRDSCEEAKASETCNSNWKAEPDEKPIDFTAPEKEDTVPGLLHDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVASMRVDKEHENRAKRFGGGSRGPANSSQMLPARSKCSLSLS >KZM87256 pep chromosome:ASM162521v1:7:13220903:13223338:-1 gene:DCAR_024390 transcript:KZM87256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKNTTTKRKHLNDDDETQKCAADRRLLRCDYFKISSLINENRDDIAAGLDSQKFDSIIKEVEDLHLHVKKPREQVADAETLLNLTSTLMTAVKQHTTGGVTHSEFIACLMRNFAKHQKGETSDSSKNLLSWREIGLFVSPLFQNVRGCSTMLGPMDNHMKPRKVTARRNYARPTIKRARPEEIKHATTEEKTETDQNMLTMFEILSKKRSVKLEHLLLNRKSFAQTVENLFALSFLVRDGRVAIVIDESGSHIVSPRNQPPASSVSSGEVKYSAFIFRFDFKDWKLMKDQVEEGEELMPNRVDKLVSCLKAETATKCSKPEPDTLYRKEGTDTICSEAAPVQEIPMITPLKMYSRKHGMVAVTRPSCKRKLEVYQ >KZM87574 pep chromosome:ASM162521v1:7:18272203:18284586:-1 gene:DCAR_024701 transcript:KZM87574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTLRYAFGNVLCFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWILNSLNIPLSVETVCVFTAPVFSAFAAWATYFLTKEVKGTGAGLAAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNAIAYFYMVCSWGGYTFIINLIPMHALLCIVTGRYSSRLYIAYCPLVVLGTLLAALVPVVGFNAVLTSEHFASFLVFIIIHVVALAYYIKGILSPKMFKVAVTFVVSVGLVVCCAVVAVLVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIVACFLPLSDASSFVILYIVTSVYFSGVMVRLMLVLAPAACIMSGIALSEAFGVFTRSIILQLPGVSESQQISAGDAGSEPSADVIENVLKTDKDDEPVKERPSRKNKRKEKENMERPSKPSIKSRTEKRLLVLPLETSIIALFLLVLLGAFYVIHCVWAAAEAYSAPSIVLTSHTQDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSEATPTMLNCLMYKLSYYRFVETDGGKAFDRVRRTEIGKKYIKLTHFEEVFTTHHWMVRIYKLKPPRNRIRSKTKKSKSVQFA >KZM87797 pep chromosome:ASM162521v1:7:20562329:20564038:-1 gene:DCAR_024898 transcript:KZM87797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFEIAAVPYNPDGWGPLDSSSTSNGVVLPNHPSNVPFAPFSRSDKLGRIADFTRNNPNPRNNRVNPNDSAFDFTGDDSFAADDDASFRLVDGKPPPRPKFGPKWRFNQNYHNNRNQLPQRRDEEVEAKKREAERDRARRDRLYNLNRSGGNNPRREAAVFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLMCGGLEFYDRTYDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDSILSTLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLAVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGGKVSFEEANPFASEGEEVASVGYRYRRWKLDNDMYLVARCEVQSVVEVNNSRSFLTLNALNEFDPKYSGVEWRRKLETQRGAVLATELKNNANKLAKWTAQSILASADMMKLGYVSRVHPRDHFNHVILAVVGYKPKEFATQINLNVSNMWGIVKSIVDLCMKLKEGKYVLVKDPIKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPTENADGADSTTAATNDVEDKEIKA >KZM86752 pep chromosome:ASM162521v1:7:6459911:6460150:-1 gene:DCAR_023886 transcript:KZM86752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSASPKGEFTTRVQQVGSCQGKGHPVIKSVRESGKSYTVSEFEAKAKSFERNYFEKSSIDKGAFGNRKSLLERLFIA >KZM87724 pep chromosome:ASM162521v1:7:19785293:19789113:-1 gene:DCAR_024825 transcript:KZM87724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSESEQEKSVKRGSPEVKRKRVDKKKMKHSASRVEGGVDPSQGSSDCKSFNKKKKKNKHAARLEKETDVSQGDMAGGSDRHRVKRKRRVKEESFAGLQRFTDASSKGEIGEGSEQCQVKRMRVKHIRGVSCLAELDEQMNLTHAKMALEFYEKSQDDAEFEILRVLYSSCSRLQHPDSECAHLWFHVSFIAKPRKADWNVSPTHFFGELFRDLDSGGTHVTYCSTFQPSDDPGFNHGCIFCPLGQNFHPSDGYCVGRPPWHKEKLQFWHPPWHMKQD >KZM87368 pep chromosome:ASM162521v1:7:15143793:15145128:1 gene:DCAR_024502 transcript:KZM87368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNHTKILADRGYYSVETVTLLVALKVRYRDRLTILRGNHERQQIIQLYGFYDECLRKYGNANIWKFFTDLFDYLPLTALIESQVFCLHGGLSPSVDTLDNIRSLDRIQEVPHSGPMCDLLWSDPNDQCGWGISAQGAGYTF >KZM88866 pep chromosome:ASM162521v1:7:31885180:31885425:-1 gene:DCAR_025941 transcript:KZM88866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDLLSSSCSKSNWTIEQNKLFENALAMYDKDTPDRWMKIANATGGRSVEEIKMQYQKLVHDIALIEAGKVPLPNQWLNA >KZM86785 pep chromosome:ASM162521v1:7:6860648:6861016:1 gene:DCAR_023919 transcript:KZM86785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQQKEKDVAAARIRKEQLEQAAKDREHDLATKMAEDKELAAKKVAKKIVTSQGHSVVRPNPARGTHGGTVRPFIPPGKIAQAAVLGVEIMKHGTNKQFASTTKLQAAKMKRQKAIGKQPK >KZM86923 pep chromosome:ASM162521v1:7:8445529:8453551:1 gene:DCAR_024057 transcript:KZM86923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIHHLSSVSSFSPISISFRTKSTYSHSQSNPKFRCAIAETVAEPKAISASEPLLLSAVRGENVERPPVWLMRQAGSYQTICEKYPSFRDRSENVDLVVEISLQPWKVFRPDGVILFSDILTPLSGMNIPFDIVKGKGPIIFDPPSTTADVEQVREFIPEESVPYVGEALKILRKEVNNEAAVLGFVGAPFTLASYVVEGGSSKNFTKIKRLAFSQPKVLHALLQKFATSMIKYIQYQADNGAQAVQIFDSWATELSPEDFEEFSMPYLKQIVDSVKQTHPTLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMADGRRRLGTDVAVQGNVDPGVLFGSKDFITSRIHSTVKKAGKHKHILNLGHGIKVGTPEENVAHFFEVSKAIRY >KZM89072 pep chromosome:ASM162521v1:7:33592103:33594888:-1 gene:DCAR_026147 transcript:KZM89072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFNKFQKLQNLHTDKTEWTIRARAQSIWEGINRTTNEFKGLNVMLIDDSSTRIHAFLNAKISDFFKEDLKEGNIYRISNFHVKKYEGPEKNRVVRNEKHIYFDNYTKLVAEKTNATFFPTYAFDLYDLEDASRFVTDERFLIDVVGVITNKNVERVYSKDDNTRSHIRFVITDGSCELRVTFFNELAEQLEKQLKHTAEEQVTIIIASAKVNKFVFIDVVGVITNKNVERVYSKDDNTRSHIRFVITDGSCELRVTFFNELAEQLEKQLKHTAEEQVTIIIASAKVNQHEGLTCLNNYPATRFYLNPDHYSVKILKTRLAAFPLNTTSITVEEEYIEEVIDDKIYTISEIKKFTAQCIQKKYKCQVSVKKVEEKTNWYDNVCTSCDEEVNIVEGRFRLATVCNDSTGYLGIVFPDEEIQRITGKNVFDIENDSTQVGDSISFPPLLKAFEKKEFIVTLIIGETNVHNSCNVYLAHAIDEPPEMLGDHVPGEVVPANSKQDSISMNLEETLNRASDSPATEKSTNKQRPRKKTETVPFETEENVKKRKTVKKDID >KZM87334 pep chromosome:ASM162521v1:7:14648469:14650098:1 gene:DCAR_024468 transcript:KZM87334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPNQENEMSKMLNGQVHILNQSLKFINSMSLKCALHLNIPEIIKNHCAPMTLTELITALGINKSKANCLFRLMRILINSGYFISQKISENEQGYVVAPSASFHSTKDASLIRIDVSLVAPVLQPAFVKPWDYLTKWFLNEEFCDMTPFEMAFQMSYWEYLRKEENAGRHFNESVAIDARLIGGVMIKECREIFEEIESVVDVGGGTGTASMIIANAFPNLRCINFDLEHVVDGLTSSENLVHVAGDMFQAVPPANVALLKWILHDWNDEECVKILKRCKEAVESSKEKGGRVMIIETVVNPDEKQKQVDEETRETQLLLDMLMMVLVGGKERDEKEWGQLFADAGFTRYKITPIFGFRSLIQLYP >KZM86181 pep chromosome:ASM162521v1:7:422706:423272:-1 gene:DCAR_023315 transcript:KZM86181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQKHEQEITSSVPAEKMFHGLILDIDNVLPKAAPGAYKNVEIKGDGGVGTIKHITLPEGGPVTTMTLRTDGLDKKNCTIDYSYIDGDILMGFIEKIENHLSVVPTANGGSTTKTTAIFHTKGDAVVPEENIKYAEEQNTMLFKAVEAYLIAN >KZM87122 pep chromosome:ASM162521v1:7:11185776:11195989:1 gene:DCAR_024256 transcript:KZM87122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCGSSFVISASSPKNTHTTRISSLRILTRPLYTSQNKLRPYNFRKNSVQVSAKYARNEALQYRKVGDSDLEISEITLGTMTFGEQNTEKEAHEMLSYSFEQGINALDTAEAYPIPMKKETQGRTDLYIGNWLKSQPRDKVILATKVCGYSERSSYLRDNAKVLRVDAENIKESVEKSLKRLKTDYIDLLQIHWPDRYVALFGEFFYDPLKWRPSIPFVEQLLAFKELIDEGKVRYIGVSNETSYGVMEFVHAAKVGLPKIVSIQNSYSLLVRCKFEADLVEVCHPNNCNVGLLCYSPLAGGALSGKYLDLNSEASKKGRMNLFPGYMERYNKSAAKEATMKYIEMAKKHGLTPVQLALGFARDRPFMTSSIIGATSLDQLKEDIDAFLTVERPLPAEVMADIEDIFKRYKDPAIL >KZM88022 pep chromosome:ASM162521v1:7:23379134:23386216:1 gene:DCAR_031515 transcript:KZM88022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPWRTIIYPFLMAVLHWVDELKIVTEEELVDKAFDIAFKDEVTKTVPEVSEKCLDESRTEIGKISETRDNAIAESSKSGTDGACLDEIGISDSSERLCNNSKKRQGRKIKRQSSDRRKRKRDDNQTIDMKRRKRPKKLTVEESYTAKVKELEGIKEEQLEDKAAAKLHSFNGRINDSTMTSSERLDRTTSLKSNNSTAKARISSSREGQVVQPGKEVTLCVEVYHNKRTWQKNVFCNDLRDTSAIDYSKPILDWLKDSKKEALKNWEYILSGRLQPRQKALVDNKKKEILPGFKAVRMQETQFCELNFRLGAGYLYCHQGDCKHTIVIRDMRLIHPEDRQDRFAYPVVTFQIKPRIRKCSVCQIFRAKLVTVDDKWAEENPCCFCDACYYMLHYSNESLLYPDFSVYDYHHDQI >KZM86387 pep chromosome:ASM162521v1:7:2313954:2315204:-1 gene:DCAR_023521 transcript:KZM86387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKYNAAAAIATSFFFVFLFCMPSNAQLSTSFYDQTCPDLQSTVKTQVRTSISAERRMAGSLIRLHFHDCFVQGCDASILLDDSPTIQSEKRAPPNNNSVRGYDVIDRAKTAVEKICPGVVSCADILSIAARDATVLAGGPSWTVKLGRRDSTTTNFAQASNGLPFFRDNLQKLIDDFANKGFTIREMVALSGAHSFGQAQCFTFRDRIYNNASDIDAGFASTRRRGCPSSGRDTKLAPLDLVTSTSFDNNYYKNIMQKKGLLETDQILLSGGSTDDIVRDYSKNPATFKSDFAAAMAKMSDLSPLTGQSGVIRRICSSLT >KZM87224 pep chromosome:ASM162521v1:7:12700488:12700799:-1 gene:DCAR_024358 transcript:KZM87224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASEGPMKCSENEMKAAKTKPPFRPAKDDTKPFLQDPILRSDPTETEEAVLRLPKFKKPDSQSK >KZM86399 pep chromosome:ASM162521v1:7:2471884:2473027:-1 gene:DCAR_023533 transcript:KZM86399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMNQLQQQYGLLEKQFLNIQKLQDENHPQFVREVLTLYFDDSERLLHTLDTALNQTDVDYAQVHHSALDIYESSLSFGTQRVQNVCVTFRSCYYAKDLDGLVFLFTLTEWFFRIKYSLRPYLSVHFRSKNLSLFICPFILLKLI >KZM86919 pep chromosome:ASM162521v1:7:8401985:8408290:-1 gene:DCAR_024053 transcript:KZM86919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYSSRIAAAHSVNLRNELVCPAKKLFYFRVSNVRNLRVGDGLRVRGLANSEGGGFESIGAVDKVVEDSESLNGRNGVRVLDESDYKPNVDNGSGDGGDDAGNGKALGGGGDGEGGDPEEKEFGPLLNFTQVMREIEARGAKLPSDMLEAAKTVGIRKVLLDRYLDLQGSAWPLGFAMRSCGMLRNRMLADPTFLFKIGTEIVIDTCCATFAEVQSRGKDFWAEFELYTADMLVGVVVNVALVGMLAPYARIGRLSTSQGLLGRMQHAYAALPSSVFEAERPGCSFTVKQRIGTYFYKGIMYGFVGFGCGIIGQGIANLIMTAKRNVKKSEADIPVPPLIKSAALWGVFLGFSSNTRYQIINGLERLVEASPMAKQVPPVALAFTVGVRFANNVYGGMQFVDWARWSGVQ >KZM87648 pep chromosome:ASM162521v1:7:18917227:18919574:1 gene:DCAR_024753 transcript:KZM87648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-27 MAIVRQELKANNKVGIDPYQSLTVIDALQRLGIDYHFKDEIEQVLERQYMVISPYFFKNKDLCFASLCFRLLRQQHYHVHADNCVLPLNCLTAGLIARQKIYADAFDKFMDKEKKLVRKLLRGESTEALMSLYEASQLRIEDEDVLDEAEFFSCQLLNERIKFLNHHEAHTVRNTIAHPHHRSLAGFTKNHYIKDVIRGKARCGKALQELAYLDRAFMQAIQRRELSEFSSWWNALRLADELKYVRNQPLKWFTWSMAMLTDPSLSEERIELTKAISFIYVIDDIFDVYGTIDDLTLFTEAVNRWDIAAIEHLPDYMKKCFRMLHEITNEIGYKVCKKHGFNPVDYLAKTWAKLCTAFLEEAKWFASGHLPEADEYLKNGIASSGVHVALVHMFFLIGDGSTKELAESVKFDRCLISYNVAAILRLWDDLGSAKDENQDGKDGSYVACYMKEHKEASIENAREKVSELISETWKCLNKECLSPNQYSKTFIEGSLNLARMVPLMYTYDHSQSLPLLEEYTREQLF >KZM86292 pep chromosome:ASM162521v1:7:1368318:1372155:1 gene:DCAR_023426 transcript:KZM86292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPFPMYLAKMGSEMDAQGELCQVVDALDLAQAKMTKVHQNLSWAVVYNVVAVLIAAGVLPHSDFAMTPSLSDSVFVCISTGFTWHIFFTMDGSSKKRGRLKLCLTKEVAEERGAVRRLQNAARRDPCIVSLVCPWDV >KZM87596 pep chromosome:ASM162521v1:7:18479462:18481599:1 gene:DCAR_031947 transcript:KZM87596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNATLEEGDHSKKGLELVKSASDKNLDLLRPSARYFSMTKGQTIDAADREKGRYTLIRDVDDFQQGIYDKPLPCFGCGIGWFSFLLGFTFPLMWYYATILYLGNYYRKDPRERAGLAASAVAAMACTLLVMIIAVVMLFR >KZM87997 pep chromosome:ASM162521v1:7:23115698:23121950:-1 gene:DCAR_025098 transcript:KZM87997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKECAGASLDSIISGFNTRISELQQLVIARNMYPTSSLPDLSAIDSLVKAMELQVHQIKDRLREEKEAIPKAKKLIEASLQQQRKLQMMSAYVPSNPPERISTNNHEPRTIVQPENKQDIPFISLNYEEPPALPKEKKGRGPPPLWYITADELNSLSSYMKGRLTLEKVNAAISDMATYAEANSQLVTAPRKKLTEATLDRAMELRDIAGTDAVKGKHFFLETDIKGPSFKLDNTGKAILTVLRHLGRITESRIGHHRVIVLLKP >KZM87560 pep chromosome:ASM162521v1:7:18144053:18158640:-1 gene:DCAR_024689 transcript:KZM87560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLLIFTISLLILVISLLVSPPKTIKNETLNLNSTRNYIVRFVEYKKWEDHRDYLSDNLGLKLQWKWIERRNPAAKYPTDFGLLSIPDDDDSVMKKVLIEKLEKLELVKDVHVDMSYERRSLLGNFKTRERMGAFVDGRKRPGKIFTSMSFGEGDAFVAAATTANASINWSRNLLSQKSQVTSLFGAETIWSKGYTGAKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGEEAECLGFAPDAEIYAYRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDIPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSVIPENNRKNILNPASMKQALVEGAAKLSGPNMYEQGAGRVDLLESFEILKNYKPRSSIFPVILDTTDCPYTWPFCRQPLYAGAMPVIFNTTILNGMGVIGYVENPPTWHPFSEEGNLVSIHFTYSDVIWPWTGYLALHMQIKEEGSHFSGVIEGNVTVKIHSPPAPGEKVHRSSTCVLQLKLQVIPTPPRSARVLWDQYHSIKYPPGYIPRDSLDVRTDILDWHGDHLHTNFHIMFNMLRDSGYYVEVLGSPLTCFDANQYGTLMMVDLEDEYFPEEIKKLRDDVINSGLGLAVFADWYNVDTMVKMRFFDDNTRSWWTPVTGGANVPALNDLLAPLGIAFGDKILNGDFSVTGEQSRYASGTNIVKFPRGGYVHSFPFSDDSESGATQNILVSSTTKADTPILGFLEASSGRVAVYGDSNCLDSSHMVTNCFWLLKKILEFTSKNIKDPVLFSESIKQDKPLHQEDNQLPSRRTDVNFSTYSAVVGKELICRSDSRFEVWGTRGYSLQFSRRNRKLPGYPVSALSRNISFDLEMSGLKSFETAKSNILDSTNKYLGLFNKDDLDVPVQVASRWFVPVIVAVSAYMFATPRRKSTGKEDATLKAESKARSLTAISSPPIVTMEPVDVDLPIPENQKQELLK >KZM88071 pep chromosome:ASM162521v1:7:24151229:24153375:-1 gene:DCAR_025146 transcript:KZM88071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGNTSTTKRYAVVTGANKGIGLGICKQLAAEHGIIVVLTARNEQRGLEAVEKLKESVGLSDDQLIFHQLDVADPASVSSFAEFIKNKFGRLDILVNNAGVGGVALDDKVYLDQVSNNGTGQVDWNGIMSESYELAEQCLQTNYYGAKRMTEALIPLLQLSDSPRIANVSSSMGKLKNLPNEWAKGILNDEPNLTEARVDEVLNQYLKDYKEGSVQEKGWPHFMSAYVVSKAAMNAYTRILAKTYPTFCINAACPGYVKTDINMNTGKLSVEKGAKNVVKIALLPNGGPSGLFFVEGNISSFE >KZM86555 pep chromosome:ASM162521v1:7:4147566:4147868:1 gene:DCAR_023689 transcript:KZM86555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALTENFGDDSVLPFGGGTLGHPWRNVPGVVANRVALEACVQARNEGRDLARQGNEIIREASKWSPEPAAACEVWKEINMKLKQWILCNALILITLNCN >KZM87654 pep chromosome:ASM162521v1:7:18937487:18937690:1 gene:DCAR_031913 transcript:KZM87654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCNLPTDFLQTCRGSTNLAIHRKLAKFIDNRSLWLECEFLKWLAKFFIFGMTTHLLAKRSSWLEML >KZM86479 pep chromosome:ASM162521v1:7:3179329:3180399:-1 gene:DCAR_023613 transcript:KZM86479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLDHFSDLCNVTSTRKSKRKPMQTVDVKVKMDCDGCVKSVEVIRKQSKVSVTGYVEPNKVLKRIRSTGKRAEIWPYIPYNLVTYPYVAQAYDKKAPSGYVKNVVQALPSPNAPMVKYTTIFSDDNPNACSIM >KZM87696 pep chromosome:ASM162521v1:7:19515730:19519859:1 gene:DCAR_024797 transcript:KZM87696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLTGNDTASSDKFQFTPQSITAIGSIVLVEGCDQERSISWVHAWTLTDGVITQVVELKVGLHCDECIKKILKAVKKIEDIETYDVDTQLNKITVSGRVTTEEVMKALQKIGKQSTIWEGASSAQLV >KZM88664 pep chromosome:ASM162521v1:7:30117676:30117852:1 gene:DCAR_025739 transcript:KZM88664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEDNESMSYPHPPCRAPHLTKNQSAPELLEHMELPEYFPTQIEVVKKLFSESSPNT >KZM89152 pep chromosome:ASM162521v1:7:34360719:34365783:1 gene:DCAR_026227 transcript:KZM89152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIRTSRRSASAEMSSPEAKLGLQVEDLWDIQEPQLSPSEKLNACFESIPVSAFPHAADSQVIEINSDTSVAEAVKLMAQHNILSVPVVDVDAPEDSTWIDRYLGIIEFAGIVVWILHQSEKKDGSPNTDFIPESEEIMSPAIARAASGMSSPRYKGANPFDEASISGDFFEALTNSEFYKNAKMQEIRTSRRSASAEMSSPEAKLGLQVEDLWDIQEPQLSPSEKLNACFESIPVSAFPHAADSQVIEINSDTSVAEAVKLMAQHNILSVPVVDVDAPEDSTWIDRYLGIIEFAGIVVWILHQSEKKDGSPNTDFIPESEEIMSPAIARAASGMSSPRYKGANPFDEASISGDFFEALTNSEFYKNAKVRDISGSFRWAPFLALQSENSFLTMLLLLSKYRMKSVPVVNLGEGMIENIITQSAVIHMLEECAGLQWFESWGTKKLSELGLPLMKPSRVIKVYEDKPVLEAFKLMRQKAVGAVPVVESGGKAIGNISIRDIQYLLLAPAIYKNYRSITAKNFLSAVRSYLEDNQKSSPVLSDIVMCTRNDTLKEVIMKLDSMKIHRIYVAEDEGSLGGVITLRDIISKLVHEPHGYFGDFFDGVLPTPINSRV >KZM88339 pep chromosome:ASM162521v1:7:26954071:26962824:1 gene:DCAR_025414 transcript:KZM88339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMYSVTSIQPEKKLLHCDSASRFIDFRNRNSKSNLFLFTRASGFRRFGKRSFVVRNVSGESSAPILKDLVVEDEGATGDLSTFTPDAASIASNIKHHAKFTPLFSPEGFEPPKAYFATAQSVRDSLIVNWNMTYKYYEMMNVKQAYYLSMEFLQGRALLNAIGNLELTGEYGEALTKLGHNLENVAQQESDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEVAENWLEMGNPWEIVRNDVSYPIKFYGKLVTGSDGKRHWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKVSSEDFDLSAFNAGEHTKACEDQENAAKICFVLYPGDESLEGKTLRLKQQYTLCSASLQDIISRFEKRSGSNVKWEEFPSKVAVQMNDTHPTLCIPELIRILVDIKGLSWKEAWEITKRTVAYTNHTVLPEALEKWSFELMEKLLPRHVEIIEMIDEELIQNIVSEYGTSDPEMLKKKLSAMRILENFDLPASLDNIFVIPKKISAVDSDEEPKVGSGVGNEDTDRSSVVDIDKEKKVPDAAASIDDEVELEEKDLQEKKDLVPEPVLIPQKMVRMANLCVVGGHAVNGVAEIHSEIVKEDVFNDFYKLWPEKFQNKTNGVTPRRWIRFCNPNLSHIITKWIGTEDWVLNTEKLAKLREFAHDEDLHTEWRAAKRNNKVKVAEFLKEKTGYIVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTASEREKKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATINHDPEIGDLLKVIFVPDYNVSVAELLIPSSELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGAQAHEILGLRKERAEGKFVPDVRFEEVKEFVKSGVFGFNSYDELLGSLEGNEGFGRGDYFLVGKDFPSYIECQEKVDEAYQDQKKWTSMSILNTAGSYKFSSDRTIHEYAKEIWNIKPLELP >KZM87699 pep chromosome:ASM162521v1:7:19549830:19551608:1 gene:DCAR_024800 transcript:KZM87699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAWADGPEFVTQCPIRPGESYTYRFTVQGQEGTLWWHAHSSWLRATVYGALIIHPREGDSYPFQKPKRETPLLLGEWWDANPIDVVREATRTGGGPNISDAYTINGQPGDLYNCSSKETVIVPVDSGETNLLRVVNSALNQQLFFSIANHKMTVVGADASYVKPFTTNTLMLGPGQTTDVLITADQTPSRYYIAARAYASAQNVPFDNTTTTAILEYKSAPCPAKGTSIRPVLPSLPAFNDTATATKFSTSFRSPRKVEVPTTIDESLFFTVGLGVNTCPSGANANTCQAPNRTRFTASMNNVSFVLPSNFSLLQAHHQGVPGVFTTDFPAAPPVKFDYTGNVSRSLWQPIPGTKVYKLKYGSKVQVVIQGTSISTAENHPIHLHGYDFYILAEGFGNYNPKTDTSKFNLVDPPLRNTVSLPVKGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVENGVGELESLEPPPADLPVC >KZM88114 pep chromosome:ASM162521v1:7:24668671:24669202:-1 gene:DCAR_025189 transcript:KZM88114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPNTGLFVGLNKGHIVTKKELAPRPSDRKGKTSKRTHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAGGGTEKKK >KZM86286 pep chromosome:ASM162521v1:7:1299287:1299676:-1 gene:DCAR_023420 transcript:KZM86286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSNTSWVKTITTPFRKARTLFNPNGSSTRSTHKSHLHHQGHEDKRMMDLQLQGEVMACPYEDVQVMWSILDKSNQRMCNVSS >KZM88691 pep chromosome:ASM162521v1:7:30413984:30414447:1 gene:DCAR_025766 transcript:KZM88691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRSASRSLFSTARISISRSSSSSLPRLRSQPHLIGQRINTRRLLSTSNLGAIGCTQSLLPLHSVVAATRLTSHISVEARAWCELTQGLRTVVV >KZM88538 pep chromosome:ASM162521v1:7:29013749:29017751:-1 gene:DCAR_025613 transcript:KZM88538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVKTLKGSHFEIRAQPNDTVMAIKKNIEDLQGKDNYPCGQQLLIHNGKVLKDESTLAESKISEDGFLVVMLGKSKTMSSTGTPAAQSSSAPAPTPAPAVAPAPAAAPASAVIPNTTPVPEATLSPASAPSDTYGEAASNVVAGSNLEQTIQHIMDMGGGMWDTNMVSRALRAAYNNPERAVDYLYSGIPEMAEAAVPVSHFQGDQINAGYNAISDNGVAGAAPGAPNSLPLNMFPQETLSGVTGAGLGSLEFLRNNPQFQTLRSMVQRNPQILQPMLLELGKQNPQLLRQIQEHHEEFLQLINEPVEASEGDMFDQPEQDVPQEITVTAADQEAIERLEAMGFDRGLVIEAFLACDRNEELAVNYLLENAGDFED >KZM88682 pep chromosome:ASM162521v1:7:30350110:30352341:1 gene:DCAR_025757 transcript:KZM88682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNKAYLSQVDSWSTGYGPTAASVVCGDTNDGQRLYCTRIIARFARSVMDEIPKFVKMLSRDACRSNILDVPKVFTKKYGHRIPTTIKIVLRTGYTLWLDYDKKKSQFFGIKELFSDFSVTGGQLLVFTYSGGFMFDLCIIGVDGGEIQYPPIVHKLQDCSPQNVSIREIGWSFIRGVTIGPKVVDEVELPTSFVEHLGRYIPVDLEIYVSCGVKILGGYNRKEKKINGLSSLCNMVGYRHLNSFNVLLLTYYGREKFTVSAFDSAMVEIFVKVIPAVHGMLLST >KZM88653 pep chromosome:ASM162521v1:7:30000539:30003854:-1 gene:DCAR_025728 transcript:KZM88653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSTIEDWLRIIYVVFSFFAALCLGAVKSVLVGPVAAVILIFGNVGVILGLLPSHVYWTFYTLIKTQKFDAYLKVALVFALPALFAIWVGLSIAGSVLVGVGYGFFTPWVSSFEAFRHDHENAYLMFFHCVVDGTWGTIKGSCTVVRDFADMCIHSYPVYLKELRESPYTNEYKPLRLIHVPGCIIVGIMGLVVEIPLFTAIAIVKSPYLLFKGWQRLVHDLISREGPFLETACIPIAGLTILMWPIIVIGSIIMAIFSSFFIGLYGAVIVYQERSFQRGLAYVIAMVAEFDEYTNDWLYLREGSILPKPRYRKKLSSLDPSVGAHSADGPRIGSVIREAPPILMASLSSRRSVRETIHEVKMVQVWGNMMRSCEMRGKELLDAHVITVTDLCDWLKAKNSKEAGIVGVGVPCYTLFHNILYSIKAGSEGLLILDDVEITHLNRPEDKMIDWFFQPLMVLKEQIKCMEENEVKYMEKIILFGTNPQRLQAWDNGSVVPQNAVRVAQLEGIARRMVGMVRRISMFPTYRRRYRHIVKSLIAGKESCAPKEGSFRSTKEGSIKSISTRSSNSIEIV >KZM87457 pep chromosome:ASM162521v1:7:16573675:16574833:1 gene:DCAR_024591 transcript:KZM87457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFGSHHAPPFGSLTQLLLPFPTALYVLVCSIFAYFITENGIFHPSPYSLLLSNCLLILFEVKSSGEPSKEFFINVKSIVIDGKPVEFNSSLLSFDKEDAGGTKISSITPFTYLETSIFKALVNDFMKAAALREMKTVASVAPFGACFSSQTIAKGQTGPVVPLIDLTLPDNQRWRFYGGNSMVPLNKEVMCLAFVDAGYKPNPKTSIAIGGYQLENFLIEFDIDSSKLGISTSLLLMNTTCSQSRL >KZM88105 pep chromosome:ASM162521v1:7:24570171:24570722:1 gene:DCAR_025180 transcript:KZM88105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFILASLLASLLLILISTPAQGCSPSGKLKGKNPPPGQCNTGDGSECCKKGKTYTTYKCSPPVTQKTKATLTLNSFEKGKDGGGPSECDSKYHDDNTPVVALSTGWYNGGSRCLKKITISANGRSVNAKVVDECDSTMGCDDEHDYQPPCDNNIVDGSKAVWKALGLDENIGEVDITWTDA >KZM86909 pep chromosome:ASM162521v1:7:8294812:8296671:-1 gene:DCAR_024043 transcript:KZM86909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAISTSSSTVFLSSSKSISNLSPTILHPSQNYKHQTFAKNAAFAVRCEAVEVAAAATSKKKKRYEIENLTTWLLKQEQAGHIDAELTIVLSSISLACKQIASLLQRSSIINLTGTQGTINIQGEDQKKLDVISNELFCNCLRSTGRTGVIASEEEDVPVAVEETYSGNYIVVFDPIDGSANIDIALTTGSIFGIYAPDEQCLVDYDDDTLDEAKEKCIISVCQPGSNLLAAGYCLYSSSVVFTLSIGNGVYGFTLDPAYGEFVLTHEDIKIPNPGRKIYSFNEGNYDLWSDKLKKYLDHLRQPGSNGKPYSGRYIGCLVGEIHRMLLYGGIYGNPDNENAKNGNLRLLYECAPMSYLVEQAGGRAIDGHQRILDIVPKQVHQRTPIFIGSADEIEKLEKYLE >KZM86946 pep chromosome:ASM162521v1:7:8739484:8744851:1 gene:DCAR_024080 transcript:KZM86946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMDVTLKVVFNVGFFLLLLTWVLVELWKNRTRGESLPERVYFKGGYKVFTKITIGFNVLIMVLNVGLCVYNVWNLKILSLESLTSVVIWALSSFVSFCAAKIPNTRWPLVLLMWWQAYGVIDMLMVYLYFASYFDTIESDSFVLKPSFVDVASFPLLVFLCYNGTDFRFKSASELGEPLLPKADVSEANATDDAFSKAGIWSKLTFRWLNPLFKKGRVKKIELSDIPSIPQSEAADNAAALLEESLLKQKNQASLLPNAIFKAIWKPLAVNATFAGVNTIASYVGPFLITNFVNFLSNKDDNSSSYHGLVLAFIFLSAKTVESLSQRQWYFGAQRIGIRVRAALTVLIYKKSLLIKYSGTSTGKITNCVNVDVDRIGDFCWYVHGIWLLPVQVALALVILYRNLGAAPSFAALFATILVMVSNTPLANMQESLHSKIMESKDSRIKATSETLKSMRVLKLHSWEPTFLKKILQLRETERGWLKKYLYTCSAVAFLFWASPTLVSVVTFGVCIVLKTPLSSGTVLSALATFRILQEPIYNLPELISMVAQTKVSVDRIHDIICEQENLLSAKQLPSEDSNIAVELEQGEYSWAENNQYFESFKVKISDKIRIRKGYKVAICGSVGSGKSSLLCSILGEIPKISGNNIKVYGSRAFVPQSAWIQTGTIRENILFGKELNMTLYENVVEGCALTRDIEMWADGDLSVVGERGMNLSGGQKQRIQLARAIYNESDVYFLDDPFSAVDAHTGAHMFKASQPNLITKTVIYVTHQLEFLEASDLVLVMKNGRIVQSGKYRDLIAETTGELVTQMDAHSKSLNQVKPPKKFYKSFSSKGFVQENQTEDEVIEEVHNICHRDVSQEKSQQEETETGRVKWHVYSTFATCAYKGALVPLILLCQVLFQALQMASNYWIAWGTEEEDRVSKDKLIGIFALMSGGSSIFILGRAVLLSTIAIETAQRLFEGMITSVFRAPLSFFDSTPSSRILNRSSTDQSIVDTDIPYRLAGLAFALIQLLSIVVLMSNVAWQISILFLVVLAISVWYQAYYITTARELARMVGIRKAPILHHFSESISGASTIRCFNQEDRFLNRNISLINDYSRVAFHNSSTMEWLCVRINFLFNLVFFLLLVILVNLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQYTKVPSEAPLVIESSRPEPNWPANGKIELQNLHVQYTPSLPRVLKGITCTFHGQKKIGVVGRTGSGKSTLIQALFRVVEPTEGQILIDGVNISSMGLQDLRSRLSIIPQDPTLFQGTMRSNLDPLQQHSDHEIWEVLNKCQLAEGVRQDQRQLDAPVAEDGENWSVGQRQLVCLARVLLQKRRILVLDEATASVDTATDNVIQKTIRKETSECTVITVAHRIPTVIDNDLVLVLDEGKILEYDSPAQLLKDSSSAFSNLVAEFMRRSSKE >KZM87743 pep chromosome:ASM162521v1:7:20025818:20026144:1 gene:DCAR_024844 transcript:KZM87743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDWKETPEAHVFKADMPGLKKEEVKVEVEEGRVLQISGERSREQEEKNDKYHRVERSSGKFLRRFRLPENVKMEEVKACMENGVLTVTVPKVEEKKLEVKAIDISG >KZM87616 pep chromosome:ASM162521v1:7:18649709:18650071:1 gene:DCAR_024731 transcript:KZM87616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSAISMAMPITSASHKKIPSSETFFKPLPVRPSKALVASKAAVSLKVNASFKEKAITGLTAAALTASMVVPDVAEAASGVSPSLKNFLLSISAGGVVLVAIIGVVVGVSNFDPVKRG >KZM87849 pep chromosome:ASM162521v1:7:21184128:21189670:-1 gene:DCAR_024950 transcript:KZM87849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKLIKEVGNGTFGSVWRALNKQTGEVVAIKKMKRKYYSWEECINLREVKSLRKMNHANIVKLKEVIRENDNLYFVFEYMECNLYQLMKDRGKLFSEAEVRNWCFQVFQGLSYMQQRGYFHRDLKPENLLVSKHIIKIADFGLAREINSSPPYTEYVSTRWYRAPEVLLQATTYGSSVDMWAMGAIMAELLTLRPLFPGSSETDEIYKICSVIGSPTEIKWAEGLKLANAINYQFPQLRGVPLSTLIPSASKDAINLITMLCSWDPCKRPTALEVLQHPFFQSCYYVPPSLRPKAAAIRTPPAGTRGSLEPRSVKRYSGPTTTLKPPGALSPQKAQSISNPGVQRKLDISQQDATKSDKILRNPAVKQPRNRPSVRNSTTKIHTGKVHGLADTAEKLANMTIGSSKQPGRQTMHPPPMRAGGWTGHSDMFLGRPQEVHPGRTYTRKVA >KZM87855 pep chromosome:ASM162521v1:7:21302330:21305791:1 gene:DCAR_024956 transcript:KZM87855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLGEFKLPHFFNYPPYFTLQPVRDTREKQIQLWKELILDYCRTQKLFVIELEKDFPLFTNPTIERSLTHEAKDAFLSALVSDAGRAEWMDKSHRKCLILWHRIQDWAELVLRYVRENGLEDSVMTVEEIRLGTESRGTELHGMDRTILMRALKLLEHKGKLAIFKGTSTDDEGVKFSLQ >KZM87323 pep chromosome:ASM162521v1:7:14355359:14365022:1 gene:DCAR_024457 transcript:KZM87323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVDGSVKKEDKECSKNCFEQCCESYVSKHSTICSRRDYTWFLDFKFLADIVSFICVGILQLMNTKGHVSKSSTTSYLTPSQRQLAGVNLSYLAKPSSSFMDHLGNLLPLIYTAIFNRLQINPVHIRYRSIGLLALTLGWGSVAYTVEDAFASSKQSRPMFGSNENLDPNIHMFDLHVDWILFGDGILFDPFEVVKKEDKNARRTVLNSVVRAMYLSIQQFVQGETIQGYCKLQSDDVREAIRQITIDAKEKRCKFTETIELRIGHDPQKDKRFSGSFKLPHIPRPNMKVCMLGDAQHVGDVDESGKVQRLRKECPNGECGAGTFMANHFDRHYCGKCGLTYVYQKAAGGD >KZM87614 pep chromosome:ASM162521v1:7:18647754:18648302:-1 gene:DCAR_024730 transcript:KZM87614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPSPPPPPPKTSLPVLYYGVIVVGIVAVVLAIYNLIIIRWCANHRRRLQDLNQHLDSRRRMSSSSSMRGLNSFNLGESFKYKKGDDDSIQLGYGNNECPVCLCVFEEDEEVRELPRCKHSFHAPCIEMWLYSHLDCPLCRAPVEFPVSPVEFQVSPLNSASTPTLEHSMEVLIDPRLLI >KZM87190 pep chromosome:ASM162521v1:7:12255366:12256109:-1 gene:DCAR_024324 transcript:KZM87190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTSAKPLQKKPRSIRPMSPLLLLDIDVLLKICHLLQQDGFIDLFFLIQVWFPFQTPEAINKLLHNLDWSKVHEVIEPFKNLECRVFNNFVKHTVNIGVKGALCYHACKKLIRGNNPTQQLHTLSNIADDDNLCFLAYYVFKTIYDPSTLKQNGQILQQKISESAEFSFDLEKNCRALKGRFGKYNRFWLDRPDIFPQNGVCSSYVSGKAHNMDPYGLGCGYREIVTATCSECMILMINFKVFRGY >KZM88025 pep chromosome:ASM162521v1:7:23436715:23438122:1 gene:DCAR_031512 transcript:KZM88025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKRKVKEPKTDLDKVQSLFHNMEINKNVPKRFRLLYKHATTFMQSSGDSIQIPCDAEVFGIEKRIFILYENIVALLEFNMIGQAAIAAYMAYLHCVVRENGTKDQFAFCDPGASLNLMNNSFEDNLVNRFKEGNPDRLFLMPHNSNFHWVLVLFWGGEVFILNPLPRSTSFPNLEKAISRAVITFNVQAGRGNKAPSFKYITGCPKQPGGTECGYVIMRYMKEIVMDNEMTFFKRWASKNRKVICSKAELDEVRFETLSHIESFL >KZM89043 pep chromosome:ASM162521v1:7:33304421:33305118:-1 gene:DCAR_026118 transcript:KZM89043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDNASLAIQQPCGRVDKAYWPLPASYVMKTNPGYHVALLLTTTLYPPSTTTADSAPLRVTRIKLLRPTDTLVLGHTYRLVSSQEVMKGVWAKKHAKMQKKKQSEASSEVINSSAESTLKNKNKLVIKHDRPTKTSSKSSSVRPRGWHPSLHSITETAS >KZM86302 pep chromosome:ASM162521v1:7:1485090:1487814:1 gene:DCAR_023436 transcript:KZM86302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELCDLQIHINGQQTFFLNEKIISRFSGKLRRIIKQERRRTQIKNSGIQIDGFPGGPFGFEQVSRFCYSNGSFVVNFSNVCLLHCCGLFLVMTEKVSACNLLQQTEAFLEEMFSWSRTDVLTCLRSCESFFEYADSHGLIEKLLNTLLAKIAQHSDVFVCSSSSSSSPETTATPFRLSSSTKSMTPEVLGLTKSSSKKFWWFDELTVLPPMVIERFVKIFGAYGTDDTSLVLTRFILNYLKTSVQSKHDVMIKPCTISEYTRLADIAVYGVLTVRKSTFSCRGLFWILRLVTTFGISRDCRTGLERLIASVLDQAKLDDLLVSANDGNSVYDVNLVVRLIRLFVHTYSKEEYTQKMKKVGWLLDNYLREIAPDQNLKISRFLGVAESLSDCARDCFDGVYRAVDIYLESHPSLSLEERSRLCRCLNYKKLSLEACKDLAKNPRVPPRVSVEALAAQTSSTTYDIITNSPALDPSPKDHDHEYQSSSYSSYNQASTNDPIKSHNQRIMYNGSPGDQSMITHKNYTETTDYDHQDHKNNAAEENDEMRIDLQRMQRRVVELEKVCRKMKGKMSRMGKNASPMMSHARSKVLPRLC >KZM88710 pep chromosome:ASM162521v1:7:30579683:30580423:-1 gene:DCAR_025785 transcript:KZM88710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSENCILQPCLQWIQTPEAQGHATVFVAKFFGRAGLMSFISAVPHPQRPALFQSLLFEAAGRTVNPVNGAVGLLSSGNWHICQAAVETVLRGGALRPLTDLESSSSECTDILKLKDSTSTSRLRVEKRRRYEPEMKLPDPSPNFSGANLPAKMTLEKRRSETPSRTSEESVTTSCYESGSGLREVKLLNLF >KZM88073 pep chromosome:ASM162521v1:7:24158947:24164479:-1 gene:DCAR_025148 transcript:KZM88073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLTHTLSLQLPSKFPPFSPLILHSNCPSRFRCQAGQTGFFTKLGRLIKEKAKSDVDKIFSGFTKTRENLAVIDELLLYWNLSDTDRVLDELEEALLVSDFGPKITIKIVESLRDDIYAGKLKSGSEIKDALKKSVLDLLMSKGLKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLANRLKKEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKEKAKASSVLSQAVKKGKEGGFDIVLCDTSGRLHTNYSLMEELISCKKVISKVVAGAPNEILLVLDGTTGLNMLPQAREFNEVVGVTGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVDDLQPFDAEAFVDAIFSTNSGKRNGAS >KZM89159 pep chromosome:ASM162521v1:7:34462072:34462443:-1 gene:DCAR_026234 transcript:KZM89159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMIDSVTTIIKSISMIPDVPIAVVPSLGKSSESTDSDLPVANLFDVSSAKIMKFPRFFPHRLYCWNNLIILSCYIMSSC >KZM86446 pep chromosome:ASM162521v1:7:2881361:2881810:-1 gene:DCAR_023580 transcript:KZM86446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAPCRRSAATPIRIRPPSLIAIIIRLSPPIARHSVLIQRVVAYMTLSSHISPGRFRFHHHLLVAINHVSHEHRAIVAVANDCELDIERGVRGLRDDGHGHGEDEDQDMDGDSSHRGAARPFRREEGRSKGCMLREANGYWNGEVRFG >KZM88369 pep chromosome:ASM162521v1:7:27264696:27267206:1 gene:DCAR_025444 transcript:KZM88369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTYIYATDNILLNCGAPHNTNSMDGREWGIDALNTSTSATTEQKPSIDIPYTTARFFTSKFTYTIPVSEAGQKFLRLYFYPANYSNDYDTATSFFNVDAANHVLLSNFSASLNLQPESSTLVKEYVIMVSSVLMVTFTPSPGSYAFVNGIEVVSIPDKLYINGDYAKFVGQKTEFSIIDSMALEKFYRLNVGGPHISSTEDTGMFRSWDGDKPYLVKPGLGYEVTNVFPIKYLPATPSSMAPATIYFSARTLVKVNHGSNMTWSFQVDSGFSYLLRLFFCEIVDLVTDYNVRVFSIFINNQTAEDAFDVFAMAAGSKIPVHKDYVVIVSDNPDGSKSKTDLLLSLRPNKTSNPVAENTILNGLEIFKLSQPGGSLASHHPPHPPNPQSGPSPPLETGKDNEKGGSSHSLLVGASIGGSCGVIILFLLIVGFLFFRRKKNSQNVDNKSTHDKSRSTNTNNSSLPSVRSRKFSLKELKHATSNFDDNFVIGAGGFGNVYKGYLDNGTYPVAIKRLNQSSRQGANEFQTEIRMLSNLRHVHLVPLIGYCNDGDEMILVYEYMVNGTLRSHLYRGDNSPLSWKQRLKICIGAARGLHYLHEGAERVIMHRDVKSTNILLDEKMNAKVSDFGLSKMGPSDASVTHVSTIVKGTMGYLDPEYYRRHQLTTKSDVYSFGVVMFEVLCARPVIMQQVPKEQVSLAEWARNCYRKGSLSEIVDKNLMGEIAVESLNKFGELACGCLRNQGIDRPSMSDVVWSLEFALQLQEAYEDLDKKLHPGSGDSAVNLVSGAGDDFAKDDDDFFSTTIVAKASETSTTSTSSDQMKSGSVFSEILNPNAR >KZM87261 pep chromosome:ASM162521v1:7:13261107:13261883:1 gene:DCAR_024395 transcript:KZM87261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGPTLHPRYHQQDLPTDSLEAIRQRVFPSSNTRQADAGVGPPKQRVPGMKDLDMPVNILCRQGSHGNPTYRSATHVDDEESHGWTPGGGKYGFIKWIVIFLCILQYCGLMDEVGSSCSNKEVGDKPLNVSWRPRQLVFSPYAPGDGAFAKTQSLSVYVRSVSVIRSRGAVAIVPRVAATAVSRSDPVSEKKALIGP >KZM87344 pep chromosome:ASM162521v1:7:14834594:14834782:-1 gene:DCAR_024478 transcript:KZM87344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVADVEVRVLGLDAMIKILRRRSPRQLINTTAALEDLELNILHTNITKLSSHVSTDFSRK >KZM88726 pep chromosome:ASM162521v1:7:30731780:30732292:1 gene:DCAR_025801 transcript:KZM88726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSIASKLPSKTLSRLLLFTRKTPLCQPFCTLTKAQAQTQKLERIADELLSLSKLELHDYNILMRHKMGLNKYGPAAVGLQSGSGSAGPATEVKAAAEKMVFDVKLEKFDAAAKIKIIKEVRAFTDLGLKDAKDLVEKAPVVVKKGLTKEEAEAISEKLKALGATVVLE >KZM87516 pep chromosome:ASM162521v1:7:17637633:17638862:1 gene:DCAR_024650 transcript:KZM87516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFYSGYWNHPNEPRYSPSSIPLKRKPETASPKVISIPVHFVDSKNNRVKTGLNRSDAALRIQKVFRGFLLRRSVDRIVKIKNEVEEIERRIAKKETVELIRKDSKERLRINETLMALLFKLDSVRGIDFGVRDLRKRVIKKAIALQEKVDALVELPSQSSHEENEAVVDKDDSPVCDEEMPIENPPAAISADIMPIENAPAAMSNDDMPIENHVMPIENPSAAISAEDMPIENDVMPIEVSPAAASVDNMPIENEEMPIEDVIDSMDQSQDFEEEGNCVVKEVIEDCNTMNSKTEMQMQMHDEEADDCSEGVQPQAEEDTGEVTMGDHEKTVIEEAGEKKSNEDLQSQMIRMMSELVEKNEQQTRMINSLTHRVGQLEKAFLCDKLRRSNKKKKRQHDAAADEKQP >KZM89262 pep chromosome:ASM162521v1:7:35482071:35488328:1 gene:DCAR_026337 transcript:KZM89262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENDSFVYNRKRAAGRDKNDRPKSLQLKVRKLNPVNTICYVQGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGIGDEGLSVNAWGPSDFKYLVDAMKSFIPNAAMVHTHSFGHVPRLDGAANHDSRKMVDPIVLIDDEVVKISAILLQPVSAPDLSQNHKPDVVLKPGDVSVIYVCELPEIKGKFDPKKAAALGLRPGPKYRELQLGNSVKSDNQDIMVHPGDVLGPSIPGPVVLLVDCPTPMHMHQLISIQSLNKYYADSSNKEDNSRSVNCVIHLSPALVAQTSDYQTWMSRFGDAQHIMAGHEMKNIEIPILGASARIAARLNYLCPQLFPAPGYWSLQDLMGSTLDSKASRESCIPKICESISAENLMKFNLRPYSQLGLDRSGIPTAVSPLEIISGLLSEIPETADAAQQVAQFWSGVKETSGEMVSFQDNKLMIEEPWLAEDEIPNCLEDVTREEMEIVLLGTGSSQPSKYRNVSSVYVNLFSKGSLLLDCGEGTLGQLKRRFGVKGADDAVRKLRVIWISHIHADHHTGLARILALRRDLLNGVPHEPIIVIGPRQLKRFLDAYGRLEDLDMQFLDCGHTTEASLRALELDNEQPSLGKPSEFESQTVNSSLFTKGSRMQSYWKKPGSPVDSTVALPLLKKLKKVLGEAGLEVLISFPVIHCPQAFGIALRAADRLNHAGKSVPGWKIVYSGDTRPCPELIKASHGATVLIHEATFEDGMVEEAIARNHSTTSEAIEAGNSAGAYRIILTHFSQRYPKIPVVDESHMHKTCIAFDMMSVNLADLPVLPKILPYLKLLFKNEMVVDEIDEDTNLVTATASEVV >KZM87286 pep chromosome:ASM162521v1:7:13774338:13779360:1 gene:DCAR_024420 transcript:KZM87286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVDVDVPAKGGFSFDLCRRNDMLMKKGLKPSSFLKTGTTIVGLIFKDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDNISSQLKLHRYHTGRESRVVTALTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTIYPHGSTDSLPFATMGSGSLAAMAVFESRYVEGMSRDDGVALVRDAIRSGIFNDLGSGSNVDICVITKGQKEYLRNYETPNPRTFTSEKGYTFSKKTEVLTAKVTPLRELVEVVEAGDAMEE >KZM86666 pep chromosome:ASM162521v1:7:5475158:5477115:1 gene:DCAR_023800 transcript:KZM86666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Z-ISO description:zeta-carotene isomerase MANSILLTTPFPLSSKPKSFHLKLTSSFTHITINNPFKLPTRKLPNSQLSNFKKIQAIGETDERSSISIDPDEDLLVGEDAAVFELGQQKVSSWLYFGGVLGVVLFILQVGWIDNSTGIGKDFINAVSAISDSPEVVMLLLILIFAGVHSGLASLRDAGEKLIGERAFRVLFAGTSLPLAVSTVVYFINHRYDGVQLWQLQDVSLLHHLLWLSNFVSFFFLYPSTFNLLEVAAVDKPKMHLWESGIMRITRHPQMVGQVMWCLAHTIWIGNSVAVAASVGLIGHHLFGVWNGDRRLAIRYGEDFELVKSRTSVIPFAAILEGRQKLPKDYYKEFIRLPYAAITFITLGAYFAHPLMRAASFGLHW >KZM88964 pep chromosome:ASM162521v1:7:32650572:32651219:-1 gene:DCAR_026039 transcript:KZM88964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKHDLFPNNFKFIHQSKFVQSPFFLVFSLTCFAILLNYTTYTVLLTYVTYSYEYTLERPTEVIPRDDPVLLSLHISFAELQNISSLVQTLSDDSKGLPTLRDCNFLLQVATSQLHESIKLLTVGQGDHEAFIAPDMLAVNLLIKASLASQEKCLEGLEKIGSTVLNDFRVKVQRSRECTSNSSAIFTEKYPLTFKAFKRRACLFKYICATSDL >KZM89321 pep chromosome:ASM162521v1:7:35946336:35947582:1 gene:DCAR_026396 transcript:KZM89321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWSLYSFIPLYSMNSSGLIFEKFLKFLTIKDIDSDEIQVPKKILGRYGDRLPERFFFNFRNGYELPVKFTKDTGIIKGMQTLYQDFDLTAGEMLLFEYNGMTDLNVYVIGKDKREIDYPHLVHSTQKRVPRVVSMKNGGIRFINFVTDQDPLADEFEPPLSFEISCPLVPAYQSFVFSNGKEFEARYDAQRRRFRGLSAFCRDVGIDDFSGFNLLLFQYELYGNVTISAFDDAFVEVMFVGGPLSVGSISTNPSIHGSFSITVQPFHMSKYCYGVVLIFPNS >KZM87405 pep chromosome:ASM162521v1:7:16023863:16025916:-1 gene:DCAR_024539 transcript:KZM87405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTGRKRSPLGPLSPSSSNHGWTYTQCIGRKRGTNSSQHTTKNGANDSEVQNTENQHPNIQVLQSVSRSIEGLRCRQGLLTQSEKSRQPTCTSGSAHIQFTPGSAITQTRTPSQRRLDSVDLSGISYQSPLMHVMNQKKPCKPQTSATKFQLPPSRVSARENLPRAYPENFFQASGKTANSNDVNEDDVVVMVPNVHSFGIEIPGSSSGAKNLMYSFNEAEDVNHDNMNADAVNSPESNWKTKNGSAHPYQASSSATENDTTQYHDSAYNPNDAQFFNDGDCTDVDEDEEPCRGENVECDDPDDDAIPEGFQIIIYTASQQII >KZM86273 pep chromosome:ASM162521v1:7:1166895:1172638:1 gene:DCAR_023407 transcript:KZM86273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRACLNKNCAKGAVFFYRMMLLNNEGADNYTYPILVQAMGSRGYVFEGRQVHNHVVKVGFEVDVYVKNTLISMYVGCGRVRDARKVFDGSGVRDLVSWNSMLAGYVMMGDVNEAKVMYDSMPERNVIASNSMIVLLGRCGRLSEARRLFDEVGAKDLVSWTALISCYEQSGMYEEALTMFAEMNCCGIGMDEVVLVSVLSACTHLSIVKTGEMIHRLSLRIGIDSYVNLQNALIHMYSTCGNILAAENLFKVSCQLDQISWNSMISGYLKCGKSDKARELFDSMTEKDIVTWSAMISGYAQLGLFAETLTLFQMMLHQEVRPDEVTFVSVISACTHLAALSQGKWVHAYIRKNGFKINALLGTTLVDMYMKCGCVKNAQEVFLGMEEKGVSSWNALILGLAMNGVVEKSLETFSVMKKCGVEPNEITFVSVLSACRHMGLVEEATPRKVSFSSSASLYAFFAQFEEAKKYDHRELGKKQEFFTFHKLRKPYHSSSWSPNLPQVAGVFKVSVLEERLQRSFDSYYVEMQLWETSGHAANYKENMFALEVEGQQFALKPMNCPGHCLIFDSKNFLFVLPISAFFIGMSSAERLRD >KZM89255 pep chromosome:ASM162521v1:7:35412568:35415511:1 gene:DCAR_026330 transcript:KZM89255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSGKKMMFLRLIASVFLLVASVIVSAERGLKQETASYSQTPEYMAETNYLLRVVNFLWQEGQQGYVHVWPEMKLGWRIIVGSIVGFLGAALGSVGGVGGGGIYVPMLTLIIGFDAKSSTALSKCMITGAAGATVYYNLKLRHPTLNLPIIDYDLALLFQPMLMLGISIGVSLNVMFADWMVTVLLIVLFMVTSTKAFLKGVETWKLETMVKKEALKRIASNGTEGEGETVAYTPLPGGPNNNPPTASSESKTSEISLFENIYWKELGILVAVWVIILALQLAKNHMEPCSPIYWVWNLLQIPVVVGASGYEAVSLYTGRRVIASKGEAGTNYKWHQLVLYCCYGIVAGMVGGLLGLGGGFILGPLFLELGIPPQVSSATATFAMTFSASMSVVEYYLLKRFPVPYALYFVAVAMVAALTGQHVVRKIIDFLGRASLIIFILSFTIFISAISLGKYFWRLSCYFNCGIGIVNMIDRMERNEYMGFEDMCAYKP >KZM88104 pep chromosome:ASM162521v1:7:24560775:24562802:-1 gene:DCAR_025179 transcript:KZM88104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFYEEKYKNTFWLRQNFTQKRWRSFRKQATTTALLLLFFFLFIAVLVFAGYINITVHTGHSAEKPIISISRMAETPLLPPLDCLAWNETQKCPQSNPVLLKYMLNHDPSMNMACPEYFRWIHEDLRHWRETGISKDMVDRANRTAHFRLVIIDGKAYVEKYVKSIQTRDLYTIWGIVQLLRWYPGRLPDLDMMFDCNDRPVIRSKDHRGPNAAPPPLFRYCSDVWSMDIVFPDWSFWGWVETNIKPWANVVKDIKEGNKRTKWKDREPFAYWKGNPSVAATRADLMRCNVTDKTDWNARLFIQDWNKESKVGYKQSNLEDQCTYRYKIYIEGWAWSVSEKYILACDSPTLLVTQRFYDFFTRGMVPLQHYWPIRDRDKCRSLKFAVEWGNNNTEKAQAIGKASSRFIQEELKQEYVYDYMFHLLNEYAKLLKYKPSIPPNAIELCPETMACLAGGDYNKFMMASLVNSPRDSVPCTMPPPYDAQALKAFIDTQIGSTRKVEAWENEYWEKLNKKQ >KZM88589 pep chromosome:ASM162521v1:7:29507548:29508016:-1 gene:DCAR_025664 transcript:KZM88589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSCESTSVATAKLILQDGNLQEYPYPVKVSHVLQKHPSSFICNSDDMDFDDVAQPIPDDHDLVPGQLGGEKCGCRRKNVISGGAKSAGKSSSRRVADGGGVGVRNGGGRSSRRNFTAALSAIPE >KZM87250 pep chromosome:ASM162521v1:7:13142130:13142417:1 gene:DCAR_024384 transcript:KZM87250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFRFGEEEATNEHATNEHEEEVKMLFAEMDMCLREIEKEEEEEREAEKKKEEEEEAELQALLEEEGTTYEEAMAEFRAKIQSVFDSSDEEEK >KZM88052 pep chromosome:ASM162521v1:7:23995540:23996514:1 gene:DCAR_025127 transcript:KZM88052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDLSVIDEPYKFLKIVLNPDGSLTRDDQVPRAQAMPELVSEIANSPSQKQVALSKDIPLNTTNQTFLRLFRPLNHTTKLPIIIDFHGGGFIHFSATSARFHDLWNIAAAITPSLIITVEYRLAPEHRLPAAYDDALEAILWVRDQARGFEGCDPWMKELADYSNVHIMGTSAGGNIAYHAALRALDVDINPIQIKGLILNQPFFGGVERSPSEEKLYKNPYLPVSATDLLWLLSLPLGSDRDHEYCNPLDVVNPKIKRLPRCLIRGFEGDALVDRMKALAKMLDVHGVKVVSKFEEGGFHGAADPSLIQELFSKVKDFIYSS >KZM86942 pep chromosome:ASM162521v1:7:8658295:8660506:1 gene:DCAR_024076 transcript:KZM86942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGNEFSYPRTAETFPHGLRVLVVDDDLTWLKIIERMLMKCFYQVTICCLAKEALDILRERRDGFDIVISDVNMPDMDGFKLLEYVGLEMDLPVISKLLSTKTYNQVFKIVIPEEQKYRLYLSRLQKEDDLKTSFSGTRQSDLSSKEQVAADMGSDKISFKKFDADSCTSGFPESSVIQNTSESGGIIFLPMLTTTDDNFEIQNTSSISESNLIRTCGVPAANYMALSSFVPSQYSLSRNGQGIETKQEIRPRLEMKNDLSHHQYPNVQNQTLPNIVQFAPTIYQTPITEIDNPPHVEFKIPYSNRNLPKQSPERVEYELCPVQSDSSHRTCFYSEPYTRSSWSMKDEILEHILVSDIDSLNHLACLNGSDLLPEDPFLTSLELLNTDFCDCSNSELSTDYSPDLYDGLLFM >KZM88744 pep chromosome:ASM162521v1:7:30936016:30939932:1 gene:DCAR_025819 transcript:KZM88744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFARTIASTLDLDEPEQPKQPQNDDVNQPEDLSDESPPLSPNRSVKEDLSELTKTVTRQFWGVASFLAPPATSNSDPPTDDLEGSEIIPGIRSDFAEIGGRFRSGISKLTDNVASVSEITSKMASNFLQLGDDEEEERQQGDDGAVGVTDVVVDFVKDITVHPDTWLDFPLPDHEDDQDFDMSDAQQEHALAVEQLVPRLAVLRMELCPGYMSEAWFWKIYFILLHPRLDPHDAEVLSTPQILEARALLAHNIKKPTNANPEQDWSSRGASYVKDSTNLQDEEHLSASSTVALESVPIETSAVAVEFPVATVPESETEKHPITSTEIEIVDKSVIEEENVKQNKDQNLRSTSASDIVENNDEDDADEWLKEESSGTGGSKGTTIPLDNDDDDDVSFSDLEEDEGDAAS >KZM87315 pep chromosome:ASM162521v1:7:14216831:14218860:1 gene:DCAR_024449 transcript:KZM87315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGNYNHLKLDNFTSLLSDYGQVDGNNDDSSGKGQRLGSSRTPMSHSTNFSSIGFCRPSSRNPLSNIMNSNISGDVVHITQDAEILYDGELLNDDDDVEDNIFAPDEGIPTDSSIHTAPNLLSLTKLALSINNEMY >KZM89125 pep chromosome:ASM162521v1:7:34001361:34003000:-1 gene:DCAR_026200 transcript:KZM89125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATNILSLLFLFSLLSTLSLISSSPHQDPELVVHEVHRNINASRRNLGFLSCGTGNPIDDCWRCDPEWEKNRQRLADCSIGFGKNAIGGRDGKIYIVTDSGDDDAVTPKPGTLRHAVIQDEPLWIIFQRDMVIRLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGINIHDCKQGGNAMVRSSPRHFGWRTISDGDGVSIFGGSHVWVDHCSLSNCEDGLIDAIMGSTAITISNNFMTHHDKVMLLGHSDSYGQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPDRRFSKEVTKHEDAPESEWKNWNWRSEGDLMLNGAFFTPSGAGGASSSYSRASSLGARPSTLVGQLTVAAGSLNCKKGSRC >KZM86852 pep chromosome:ASM162521v1:7:7657708:7659003:-1 gene:DCAR_023986 transcript:KZM86852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYTQIPFGGHCWRYYWINRGKKCDWEKGKADCSKVATEGYFPECFKQMTTKPYTIRIEINESNVFNKTGFYWATNVCHGFKLEESETEEQQSIQTQGTSSTTQLPGISVLN >KZM88142 pep chromosome:ASM162521v1:7:24900201:24900713:-1 gene:DCAR_025217 transcript:KZM88142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKHFREGVAASVFDVTDQLDTLIRDKSRKCTVAYVHPSRNRVSRFLAKLGMETCKELYTFDRPIGGVEELLDWDQGMGVPHAAYMDIMIPYGAPDPVNFDISVPLVDQIDDLGLGQLNAPRFARSEMDIDEMEDVIEGAVEDSFVASFGPNEPKDPLWAYEPPIGDMD >KZM88796 pep chromosome:ASM162521v1:7:31373169:31376564:-1 gene:DCAR_025871 transcript:KZM88796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTQCITCATSEDSPASNTKVATSDNTEKKPSKYNELKSLKFKTLLQKMVWEFGLSCFLPASNRLEDDKNIKNSEHNKAWLLAETGPELTNADPHSSFRFSFCSQIDLESMTANNSCSSTVLMVNLDNGLIESGSRELKWSRIESLERTISPVVHTLVRFTYDELLHATHSFSKGRVLGRGALSYVFRGRVGYLRTTVAVKRLDKEDKESSKAFCRELMIASSLHNPLVVPLVGFCIDPDEGLFLVYKFVSGGSLERYLHEKKKGVKGGCSLPWSVRYKVATGIAAAIGYLHNGTERCVVHRDIKPSNILLSSNKNPKLCDFGLATWTQAPSVPFLCKTVKGTFGYLAPEYFQHGKISDKTDVYAFGVVLLELVTGRKPIETRTEPGEGNMISWAKQLLQQGAIEELIDPNIKFTQKNLKQITRMVQAAAACISNEESRRPNITEIITILKEVQTNPSNKKKSSFPGNGSVTDCYTPLQQSRSDMKDHLALAMLGVSEVENDDHLGYLWD >KZM87883 pep chromosome:ASM162521v1:7:21641261:21653804:1 gene:DCAR_024984 transcript:KZM87883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMRNLSRTIRACRNLALRKTLPILASSSPLPQFRLYHGSCDNYMKTAASKLDLPRPNPEITGSYAIPAILAGLFGGSLLEIAHAEANESDSKTPTPPESARSYKDLEEIARKERLRLEELLKSKGLKVGSYPRFIVGHKGQKVPPTCEIPQLISNLVSLLGVKVDSSTTGSDMTVRAWDSGVAWQLTLTRPNMRKETSGTVSGSNKAQEEDICILMFRSLISSDKAEIEFMKGGSFSPEELDAFVSLLQLAGQNKSLEQRQRGSPGQMPSKGKSISSLEAMGIKIYGLDEPNMGDSKSEISWENIAGYSQQKREIEDTILLAIQSPEVYDDIARGTRCKFETNSPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEVVMSKYYGESERLLGKVFSLANEIPSGAIIFLDEIDSFATARDNETHEATRRVLSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDSMIMFGLPDHLTRQEIAAQYAKHLTKSELAEFATATEDMSGRDIRDVCQQAERHWASKIIRGQTPKEEEGISLPPLQEYTDSAASRRKSLVGAACRNQFAHGFSKKPEYDI >KZM87131 pep chromosome:ASM162521v1:7:11348555:11350018:1 gene:DCAR_024265 transcript:KZM87131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVNVEPSNNDTRIDIVEDTIQEKPSEDDSINPTELVIQNIESSLNEELAAVPDAYGGFIYKISEKYRKLREESYTPRVVSVGPIHHGKSHLQPMEGYKLRCLKYFLEYFEITMRDLSKYATSMESSVRACYEHFSFKAEEFSKMILLDGIFLIQLFVYKAGESESPWKERDIMHDMLLLENQLPLFFVAGLLRISDPDHHKKESYEDPSAHVQDTFEDSSDQFQETSTDNYKETFEQLDGYFQETFLICAFEYFKDVGITRRLTFSPDCKGAWHLVHFLAIIHVPSSGIPRESSSKGRLEYNRSASELRKAGVRFKYEMGGFFEVSFDKKAGLLKMPQLTVNDTTEAFFRNLIAFEQCENDVKFITSYIIFMDSLINTVEDVELLVKHEIINNLLGEDQLVADLFNNLHKEVIEDQRKFCFADICENLDEYSKDCFHQWKSSWFKWKLILKNDYFSNPWSVVSFIAALIVIILTIVQTVCSILGL >KZM88840 pep chromosome:ASM162521v1:7:31661987:31666553:-1 gene:DCAR_025915 transcript:KZM88840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQAKFSEVSEQVQKLLDADMDNVSARRSAREAFKQIQLSIDHCLFKYCQKANTYYLMHIHNLGIARKLASSGYGVFAMDYAGFGLSEGLHGYIPSFHKLVDDVVEHYSKVRENPEFLTLPSFLFGQSMGGAVALKVHLKQPDSWAGAILVAPMCKIADDMAPSWLVTQVLIGVAKVLPKQKLVPMNDFTAMAFRDKKKIPLATYNVIAYKDKPRLGTALELLRTTQEIENELQEISLPLFILHGKADVVTDPSVSKALYDKAKSSDKKLNLYDEAYHSLLEGEPDEMILRVLGDIISWLDDHTKTTPTDLPIHDPTF >KZM88226 pep chromosome:ASM162521v1:7:25786909:25788090:-1 gene:DCAR_025301 transcript:KZM88226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLYTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCSKTNMVMVFGEITTKANVDYEKIVRKTCRDIGFVSDDVGLDADNCKVLVQIEQQSPDIAQGVHGHLTKRPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPIIPAKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPDPLSVFVDSYGTGNIPDKEILKIVKETFDFRPGMIAINLDLKRGGNNRFLKTAAYGHFGRDDADFTWEVVKPLKWEKPQL >KZM86165 pep chromosome:ASM162521v1:7:252337:253009:-1 gene:DCAR_023299 transcript:KZM86165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNMSPIFPMPHPQHYLLTDYGFNPQFHYFQVLEEARKQKKERVAAPTAQQFKKKHSSSSRSKRWLKNAFRFIRAKITHWPVHQTNQELHDLGSRVYQVRAALSGPLYTTESRSKSATPYRTSRSRSASGLNFTTKDKTIPYINLRELNMDQHPRRSNTASLPIYLVT >KZM87559 pep chromosome:ASM162521v1:7:18139682:18143087:-1 gene:DCAR_024688 transcript:KZM87559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTIHVSVLEFKGIASSSPPSSVFLKVSLGKTEYQTWDKGDFSFPLTTLRDSLTVTIQDPEGNEISKIGVHSMAIVEKGIWDDLFPLQEGGHVHMKLQFNLNEEERSRIRGMRELALKKKQLEELSKDTRYFNMASDASDSVASSPINNENATDNSEESSSSKMSLRTEVQHLPVRKNDTHPHLSQNNSLISGGTESVAKIKPVLLRMEDTPAGKHEKQFPQRKPASSIMKMINAFETTLVQEKKTPIQVPSKSQSNRARKEGLLKDQVVSNVKEPEPNSERPEYLTDLRLEQIGSEEVSSSGRLLSPPQISSEKLRRPTILGKLQQLPPDSMNKGKHIGDIKSSVATRQLIVSSISNAIKVESAEADAIMKIKSESNKDQDHSSTDERSSASKASSVLKQEEKGSVQLQPSDVYAWQEGSEKFSFFKGLKEVKSEYLNEYLGSSNEKQKTVAPHEDEQHQHGSSTAWIFPNDARRMCVTSGVTAVIDSYDGCHTEGKHAVGPEEMKESETDTLNLRNSKPECWADNAFTGSVKHS >KZM87715 pep chromosome:ASM162521v1:7:19669048:19669500:1 gene:DCAR_024816 transcript:KZM87715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKNSSSEHWHYSQLQDDNFEFHGRAIFFLLLLFSILLLIILLFFYARWFCRYLPITRAAANEAHAPPPHGVDDKVYLSLPVVLYGVLKKEISVVECCICIGVFEEQDKVKVLPVCGHYFHSECVDRWLSTQSSCPICRAHLRVDSPV >KZM86187 pep chromosome:ASM162521v1:7:465497:466076:-1 gene:DCAR_023321 transcript:KZM86187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQKHEQEITSSLSAEKIFNGLIVDVDTIFPKAAPGAYKNVEIKGDGGVGTIKHITLPDGSPITTMTLRTDALDKEACTVEYSIIDGDVLLGFIDKVETHLVVVQNADGGSTTKTTTIFHTKGDAVVPEENIKYSEEQNISVFKAVEAYLIAN >KZM86783 pep chromosome:ASM162521v1:7:6840723:6843430:1 gene:DCAR_023917 transcript:KZM86783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWLCCSCHAEEYPSHEGGFLKRRKNHAEEHHKAAKVSAPIKVEVEKPVPIIEVPELSFDELTEKTGNFGSEALIGEGSYGRVYLAKLSIGRDVAVKKLDVATDAESNNEFLSQVSMVSTLKHDNFVELLGYCVEGNIRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAARGIEYLHEKVQPSIIHRDVRSSNVLLFEDFRAKIADFNLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYESDFRPNMSIVVKALQPLLRTAAPPPEI >KZM87254 pep chromosome:ASM162521v1:7:13208456:13208644:1 gene:DCAR_024388 transcript:KZM87254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLMHAYKFNQSLVSVGSNITLGVDNFTKWFTKFNQFLLSALSWQIPQMQDLGRRLCVAEL >KZM89346 pep chromosome:ASM162521v1:7:36155218:36157020:-1 gene:DCAR_026421 transcript:KZM89346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAETDVGAAKQIILRWDSTVSEDARERMIFDGDRDEIDRYLEAVDHLQKSMDSVTLSDDQSNALEIAMARLEDEFRNILLAHTAPIETDSLVDPALVTRIDGDGDGDDSDDVSVTGESEVEATEGSSRGSGSYRSTTSIRQLDLVPYEIVTDLRSIAGRMITAGYLRECVQVYASVRKSVVESTFRKIGVEKLSIGDIQRLQWEELEVKIRRWIRAAKLCIRILFASEKRLSELIFQGLGPAADDACFMETVKGPAVQLFNFAEAISISRRSPEKLFKILDLHDALSDLLPDIDAVFSMKAMETIRVQSEEILSRLAEAARGILSEFENAVLREPSTVPVPGGTIHPLTRYVMNYISLISDYKQTLGQLIVAKPTTGSRLSDDVATPSMDFEQLEEHTPLALHLIWIIIILQFNLEAKSRHYRDKSLPHLFNMNNVHYIVHKVRGSPELREMIGDYYLRKWTGKYRQAATAYQRATWVRVLNCLRDEGLHTSGSFSSGVSKSALRERFKSFNAMFEEVHRTQATWLVPDTQLREELRISISEHLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLETSVLDFFEGNPVSQHSRRRSG >KZM89065 pep chromosome:ASM162521v1:7:33546934:33549504:-1 gene:DCAR_026140 transcript:KZM89065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNATKRVLGLGFRREAPAINQLRFYHERVVDHYNNPRNVGSFDKSDPNVGTGLVGAPACGDVMKLQIKVDDKTGQIVDACFKTFGCGSAIASSSVATEWVKGRQMEDVVSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRAKSSTAEASPAEKAADG >KZM86755 pep chromosome:ASM162521v1:7:6477009:6492502:1 gene:DCAR_023889 transcript:KZM86755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRVFNVAEKHSVARDVSAILSRNQGGLRSRDGRSVYNKIFEFNYQIQGQQCHMIFTSIHGHLMELEFEDRYRKWHSCDPLDLYHAPVRKYVPQDKLDIKKTLEEEARKCQWLILWLDCDREGENIAFEVVQVCTEANRQLNVWRARFSSIIEREIHQSVQRLGRPNQLFSDAVDVRQEIDLRIGASFTRFQTMLLRDAFVLDFAADGRNVVLSYGPCQFPTLGFVVERYWEIQSHEPEEFWTVTQVRQRETLKRPPHPLSTIELEKRASRYFRMGAKQTMKVAEELYLSGFISYPRTETDSFSTETDLHTIVQDQQQHPVWGSYAQRLLDPGAGLWKYPSNGGHDDKAHPPIHPTKFSAGESNWTPDHHKLYELVVRHFLACVSQPAVGAETTVDIDIAGELFCTTGRVILAFTPTTLTLDSGVTRPPPLLSEADLMECMDKAGIGTDATMHDHIQKLLDRYYATKDMNTTRFTPTHLGEALVMGYDDMGYELWKPYLRATMESDMKAVSIGTKNKDEVLTTCLQQMKACFQDAKLNKTKLFEAMDVFFERTNRSAANEQHTFGEVVRRCNLCLESDMVLKRKPFYVKDITCLTSFVCIEKNKYCDIGPVFMIQFKFRRLEIPMTFSVEHLGCVGGCDDILKQLIETCGTGSRDILGVSEYG >KZM89075 pep chromosome:ASM162521v1:7:33613065:33616981:1 gene:DCAR_026150 transcript:KZM89075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEATEIQEDTATKRTPKLAGTVNWGTATVIGVFAGMLYGGSKEASASVSKDAEVMLKLGSTPDKREQYRLMRDAMEKRFVRVTRGSIVGGVRLGMFTAAFYGLQNLLAEKRGVHDVYNVVGAGSATAATFGLIMPGSLMWRARNVMLGSALGAAFCFPLGWLHLKLVEKANEGTVTDESEGAKGGVGAAIERLEGNLTK >KZM86545 pep chromosome:ASM162521v1:7:4016935:4017105:1 gene:DCAR_023679 transcript:KZM86545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRYKVRFGSVRLRLVCGIPRTFCPLVNTEVFRGEGRRILRYRVEEYKSKTITEW >KZM88013 pep chromosome:ASM162521v1:7:23288038:23290439:1 gene:DCAR_031524 transcript:KZM88013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDQENTDDKMKTGNLFDEFRARRSTMPSLFGGRDPFDDPFFTRPFGSISGSGMFGTAGQFGNSSQVSEMKGPVIKELDSEDEGEQEGDGDQDKDGKNERSEASDGDCSNKDPIVEHPDDEDNEKESENVSHRTNYNKVERAEPQNRSFSFQKVTYGGVDGTYFTATTSRRKGKDGALLEESKQADKTTGQASHRISRGLHDRDHSLLRKIDSDGKVDTMQTLHNLEEDELTGFEDAWRGNVEKHFPGWTDGSIHFGDSGVGGSRQITQAGQGTNHPFGDTGESFSTRRPHNETKRDQNGGKTKKVVRINIE >KZM87729 pep chromosome:ASM162521v1:7:19830974:19835056:-1 gene:DCAR_024830 transcript:KZM87729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLWRLGLASTAMAGGVGGAVIVSSDDPATAIKLYYEYSLMGLPEGSSERSKVKHEVHIRGARKLEELCFKNGGIYIKLGQHLGQLEYLVPQEYVEILRESMLNKCPVSSYEQVCEVVKSELGELPDKIFKDFDPVPIASASLAQVHVAHDHDGKKLAVKVQHIHMTDSAAADYATVELIVNTLHQFFPSFDYRWLIDEVRDSLPKELDFLVEAKNSVKCMDNFRKLSPSIADYVYAPRVYWNLSTSKLLTMEYIEGAQINDLKGIRGLGLRPVDVANLLSKTFAEMMFRHGFVHCDPHEANLIVRPHPSSKRGIFGKRKPQLILLDHGLYKELDVETRTNYAALWKALVFADANAIKENCVKLGAGEDLYPLFAGILTMRPWERVIDPAVDHLVMRGSEGDHSELQMYASQYFPEITELLRRLPRVILLMLKTNDCLRAVNNSLVQHI >KZM86617 pep chromosome:ASM162521v1:7:4942052:4945267:1 gene:DCAR_023751 transcript:KZM86617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRSKNLVLALAVLVFYGLQGVQAMANAPDLIKLHTAVAIGAVCLDGSPPAYQLDWGKEDGAKNWLLHLQGGYWCTTTQECQTRMNDSSGLGSSTKMKRGSRVFSAIIDELMKLGMKNAQNVLLSGSSAGGLGTMVHCDRFRNRFPTSTKFKCLSDASYFITLNNPSGFVELHGSSKNLPETCTSKMDAALCLYPKNFVPDIQTPLFILNSAYDTFQIAATVRLPVNTSRSCLYNLTGCPDTVRKQWKMQCSHPIIYKNELLSPDLRLKILQEVTMGDLSKRGLFINSCHTHSQSEFQSKWLGDPKSMLDNMTISEAVGKWFNDEKLVQLIDRKHSSTKQCVLDASEPNACNNYTWGASYLCNT >KZM86518 pep chromosome:ASM162521v1:7:3682828:3684864:-1 gene:DCAR_023652 transcript:KZM86518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCRGAYVLALISFLFLLPVIHGWGVDGHLTVCRIAQARLTETAAAAVKKLLPVSAEGDLGSECSWADHVKFRYHWSSALHYIDTPDNLCSYQYTRDCKDEEGVSGRCVAGAINNYTNQLLTYSNAAASQYNLTEALLFLSHFIGDIHQPLHVGFTSDRGGNTIDVHWYTRKTVLHHVWDDSIIDTAEERFYDSAVESLIDAIQKNITGGWKDQVSTWENCGGNKPTCPDIYATEGIKAACDWAYKGVSEGSVLEDDYFLSRLPIVNWRLAQGGVRLAATLNRIFG >KZM88130 pep chromosome:ASM162521v1:7:24814876:24818294:-1 gene:DCAR_025205 transcript:KZM88130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCNKHAERDWASLPLLPLWKIKDKLDMFDNLSLASTCRDWHSLSSNYPKRQSIGDGMPWIMQMNQSMDSSARDFISTSRKKKITFDLPEFVNALLLYSKQGWLLMHRKNLSTKSKHYPVPDSLFLINPFTRAKIELPDDAYASKKYHGSFSAKNGYPYRVVLLNACLSGEMTLCTRRLIMDHIDARCGLITMGEKVYYLNLKENMTIFDMSTKLWKELAGPRNEIGYTYIMEHKEKIIKLFFREEVPHIFYSFDENTFSWEKIDDLKDISLYLSRKSSCFTAKDVGLTVNQLVPKYGGVLHGFRMTYGFSIVCHDLIEGGTETLELPLRIGSSAKWVDIDHYLINCGSASPEIQDSDHRTFTGDSSEIGSRFLSSGDSILVSETTPDHNLSPIYHTFRVFKRHSRYVFDVKKKGAFLIRLHFGGLNLNKFEGAVKFHVLVNGYVLLYDFSGGDVGNFVVKDYVVWVEDDKVVVSFVPATKSVGFVSGIEVISAPEDLIGDVGRFVSSEGVEVLNGLMKNGYENVYRVNVGGYKVTPFNDSLWRTWVTDDEFLKVNDGSNKFHFGGRIKYRMGGASREVGPDNVYNTARVIVSESDSIARSNITMVFSVFGGYKYLIRMHFCDIASISIGMLYFNVYVNGNLAYENLDLSLISNNMLASPFYADFVVDGDSSGVITLSVGPSNMSMPHALNAILNGVEIMKINNSFGSLDGPVSAKSIMECRPSIDISVWASVVAAMILFLVAPVFVKRRSNAVKESVAWSPLPVDDVNLKQGPVDDVNLKQGHQINVT >KZM86157 pep chromosome:ASM162521v1:7:193652:194271:1 gene:DCAR_023291 transcript:KZM86157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNYKKVALFLLAALVGKEHGVVAGLAGCGLIKSVVSVDCIVMQDLKTGHLKLTSPRAMLLSQSIGTTIGCMVAPLSFMLYYKAFDVGNPTGEFKAPYVLCYGFFSFVICINLVKYMLPKKIGKWMPLPMAMGVPFLVGIYFGISMCTGTRIVFAWEKLKPRKAELMVPAVASGLDPTILHS >KZM88045 pep chromosome:ASM162521v1:7:23915875:23951550:-1 gene:DCAR_025120 transcript:KZM88045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGGPENNNVLVKGSKSDGESEILDGRVENSNTEQFDTGDHSSVDLGNNRDSQGVESVSTDVDGEYFEQVSLKDGVQTGDKAEGDHGDLNQSWSSGNVRQFTGGNYEASEYSLEKVASGLSSPSSPGYNHDPIPEPDRHSIDDVGHNASLSTLDSTKDLYGGGGYSPVASPQKPKSKPVVPNVSPELLHLIDSAIMGKHESMDKLKNIVSGVEHFGNGDEQAESIAFLVVDSLLGTMGGVESFEEDEADNPPSVMLNSRAAIVAGELIPWLPCLEENEGFMSTRTRMVRGLLAILRACTRNRAMCSAAGLLGVLLRSAENIFVQESGVSEKLTWDGIPLCYCIQYLAGHSLSVTDLHRWFGVISKTLTTEWAGRLMFSLEKAMGGKESAGPACTFEFDGESSGLLGPGESRWPFINGYTFATWIYIESFADTLNAATAAAAIAAAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGMEAYFHAQFLVVESGSGKGKKTSLHFTHAFKPQCWYFIGLEHTCKQGLLGKAESELRLYINGSLYESRPFDFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEVGPVYIFKEAIGPEKIARLAARGGDALPSFGSGAGMPWLAADSYVQSKAEESTLLDAEIGESLHLLYHPSLLSGRFCTDASPSGATGMLRRPAEVLGQVHVATRIRPAEAFWGLAYGGPMSLLPLVVSHVHDTTLEPHKGNLSLSVATTSLAAPIFRVISMAIQHPGNNEELCRIRGPEVLSRILDYLLQTLSSLDVTNHGVADEELVAAVVILCQSQKFNHSLKVQLFSTLLLDLKIWSLCSYGLQKKLLASLADMVFTESSVMRDANAIQMLLDGCRKCYWTIREKDSINTFSLQEALRPLGEVNALVDELMVVIELLVVAAPPSLAVDDVRCLLGFMVDCPQPNQVRVLDKFYPCVHDVTFLAFLAFGKLDCWTSAIRYDIYMCVCVCVCVKLFFCLTCIGIAHIQVARVLHLIHRLVVQPNASRAQTFAEAFTSSGGIESLLVLLQREAKAGDNTTSDPSVVNTTELVVHLSEQKNDDEIGINIKETSLALEEGSIVSESGNKGSNPIATGVIERMTSVSEKSFAKNLGDIHFSISGENARNNAYNVENGDGILVAIIGLLGALVISGHLIFNSNATPDVTGNPLGLLQEGGTMFDDKVSLLYFALQKAFQAAPNRLMTSNVYTALLGASINMSSTEDVMNFYDSGHRFEQLQMLLVLLRSLPRAPKGLQNRALQDLLFLACSHHENRNSLTQMEEWPEWILEVLISNYERGTGTNSQDSRDMEDLIHNFLTIMLEHSMRQKDGWKDIEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGELLEFSARELQVQTQVIASTAAGVAAEGLSPMDSKAEAENAVQLSVALVENAIVVLMLVEDHLRLQSKLYSSSRFSDSTATPVASSNSEGSAISRASSTKEPLEALSSQRSLSRDSGGLPIDVLTSMADSQGQISAAVMERLSAAAAAEPYQSVSCAFVSYGSCAVDLAEGWKFRSRLWYGVGLPSAATFGGGGSGWESWRSSLEKDENGNWVELPLIRKSVSMLQALLLDESGLGGGLGIGGGSGTGMGGMSALYQLLDSDQPFLCMLRMVLVSMREEDDGETSILKRNISIEDGSSEGFYQRSGSTSSLDNNARMSIRTPRSALLWSVLSPVLNMPVSESRKQRVLVASSVLYSEVWHAVGRDRTPLRKEYLESILPPFVAILRRWRPLLAGIHELGAADGSNPLAVDDRALAADALPIEGALAMISPGWAAAFASPPAALALAMIAAGAGGGENIGPASSTKFKRDSSLLERKPNRLHTFSSFQKPLDVSIMKSAAVPKDKASAKAAALAAARDVERNAKIGSGRGLSAVAMATSAQRRSNSDRERVKRWNLSDAMATAWAECLQSVGSNSVYGKDFNALSYKYIAVLVGSLALARNMQRSEVDRRTQVDIIAQHRLCTGIRAWRKLLHYLVDLKCLFGPFAKQLCNPDRVFWKLDWTETSSRKRQCLRRNFCGTDHLGAAANYDDRLVSDHEQKVISPTKASALAAEAITMNVEDEDDEQGDIINIDGKKYDAGSHETQLRSSGAAEHSFKDSVESFNPQVTNNQEFVHSSSASVPGYVPSEHGERILFELSSSMVRPLKVSRGMFQITTKRINFIVDKAERNPLGDGFDYSSENQFQEKDQSWLISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGSTDSRKDAYRAIVQARPPHLNNIYLATQRPDQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYDSKTLDLTSPTSYRDLSKPVGALCPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLTRVEPFTTLAIQLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEILTNTNSIDFGTTQLGEKLDSVRLPAWAENAVDFIHKHQEALESEHVSAHLHEWIDLIFGYKQRGKEAVLANNVFFYITYEGTVDIDKILDPVQQRATQDQIAYFGQTPSQLLNIPHLKKMPLADVLHLQTIFRNPTEIKPYVVPSPERCNLPAASLFASSDYLVVVDTNAPAAHIAQHKWQPNTPDGQGAPFLFQHGKSSANNSTATFMSMFKKSPSYGSDDWNFPQARAFATSGFRSSAVVAITCDREIITGGHVDNSVRLVSPDGAKTLEIARGHCAPVTCLSLSPDSSYLVTGSRDATVLLWRIHRTSAPHSSSLSEVSTGSVDPTSASGNPTSDRSRRRRFEGPVHVLRGHLGEILCCSVSSELGIVVSCSNSSDVLVHSLRRGRLIRRLVGVKANLVCLSSYGTVIAWDEPFRTLSTFTLNGTPIAKAQLPWSSNITSMVVSADGRSAVVGLNTCVEDKEYGNSENRLNFLTPCICFFDLHTLKVFHTLQLKEGQNVTALALNMDNTNLLVSTATRDLIIFTDPALSLKVVDQMLKLGWEGDGLSPLIK >KZM89017 pep chromosome:ASM162521v1:7:33108013:33112308:1 gene:DCAR_026092 transcript:KZM89017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGAGPIVIGQACEFDYSGTQACKALREEGYEVILVNSNPATIMTDPETANRTYIEPMTPELVEQVLEKERPDALLPTMGGQTALNLAVALAESGALEKYGVELIGAKLDAIKKAEDRDLFKKAMKSIGLKTPPSGIGTTLEECFEIANSIGEFPLIIRPAFTLGGSGGGIAYNKDEFEAICKSGLAASVTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDAMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAINPANGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVIPRFAFEKFPGSQPILTTQMKSVGESMAVGRTFQESFQKAVRSLECGYSGWGCAQIKELNWDWDQLKYSLRVPNPDRIHSIYAAMKKGMKVDDIHELTFVDKWFLTQLKELVDVEQYLVDKKLFELTKDELYEVKKRGFSDKQIAFVTKSSEKEVRLKRLSLGVLPVYKRVDTCAAEFEADTPYMYSSYDYECESAPTMKKKVLILGGGPNRIGQGIEFDYCCCHASFALQDAGYETIMMNSNPETVSTDYDTSDRLYFEPLTIEDVLNVIELERPEGIIVQFGGQTPLKLALPIQRYLDEFKPKCASGGYVRIWGTSPDSIDAAEDRERFNAMLNELKIEQPQGGIAKSEADAISIASNIGYPVVVRPSYVLGGRGMEIVYNDNKLVIYLANAVEVDPEHPVLIDKYLSDAIEIDVDALADSHGNVVIGGIMEHIEQAGIHSGDSACMIPTQTIKASCLETIRSWTKKLAKRLNVCGLMNSSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLQDLGFTTEVIPRHVSVKEAVLPFEKFQGCDVFLGPEMHSTGEVMGISYEFSIAFAKAQLAAGQKLPLSGKVFLSLSDLTKSHLSTIARAFLDIGFKIVSTSGTANILELDGIPVERVLKIHEGRPHAGDMLANGQIQLMVITSSGDNLEDIDGRQLRRMALAYKVPIITTVAGASATVQAIKSLNRNKIEMIALQDYFYNGKEDGKAENLQTVSSSGQLS >KZM88012 pep chromosome:ASM162521v1:7:23280073:23283928:1 gene:DCAR_031525 transcript:KZM88012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVKNWFSKLEAVAHVAEAFMDQLAYEATRRKVEDRHKRGWEVKLARMVYNTSNVIKMFSKRMWVTVSYDFDFMNILNQMVVSLTSATSELENTEGLIKKLQMYLKGEKFLLVLDDVWNEKPEVWDNLRNSLLAVGGARGSNILVTTRKQEVIDAMQCLVSYQLEKLSDECSWALFKQRAFSQGGVLETETFAGLGRRMVERCGGLPLAIKTLGGLLHSKKSEQEWLLIENSEIWKSKGVLSSLRLSYDNLPYSSLKKCFAFCSTMPKDSKIYKDQLVQTWMALGLLLPPKDSNVLMEDVGNEYFNILLWNSLLQDVQRDKYGNITTCKMHDLVHDLATDLSKHHSTTLMGGHELDHTLKPIYVRLDKGVSNIRPAILKSNFLRAQVLYSGVRVVSDILPCLKHLTVLILNANNVTSELPSSLRKVKYLKHLDISCFHYRLPSYITELYNLQTLRVWNLEELPKNFCNLINLRHLVIENKYMKKSHRTRCMFVGIQRLTCLQTLPHFVASRDQNCLVGQLGGLNNLRGKLDLYGLSDVENMEEASNAKLDTKFNIEHLLLNWSNNDDEREDRGYKDEDVMEGLKPHRNLKELTIDYFKGQKYASWITVMINLVKITFKNCTRCETLLPLGHLPKLREMKIIGLSNIKVIGTDFYEVLGGISSDSSVPGTTQEVTTMYPSMKKLLLLNLPKLKEWLEPFTSTGRESLLVFPILEELCIRNCPTLTRIPRICFPSLKKLEITNLFNSMILETMSGNVNSLTCLLLWNIRARGGSSSSSNRLSALDELLRNNSMSLTTLHLNDYQGLTCLTLGDALEELEVVNCPDLTRIDVVEGSCKVNDLTIGSCPSLSDWAFVRSMRSTLVRLTLGPFSEELDEFPWSFSSSVISFISLTSLTLYGWRNVKSILPAEKLDESLSSTFPALTELHIINFDGVEDLPDLLATLPCLVTLYIRNCKNLRSLPTFNESHSLHYLDIHRCPILQEKCRKGRGPEWFKIQHIPAIKLMSRITLSCFLANWIVKSSSPTSVKKPEVV >KZM88304 pep chromosome:ASM162521v1:7:26627567:26628582:-1 gene:DCAR_025379 transcript:KZM88304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIFVIGILSIIISSAEGYSGGGWINAHATFYGGGDASGTMGGACGYGNLMSQGYGTDTTALSTALFNNGLSCGACFQITCVNDPQWCLRGTITVTATNFCPPGGWCDPPNHHFDLSEPVFLRIAQYRAGIVPVVYRRVPCMRSGGIRFTINGHSYFNLVLITNVGGAGDVNSVAIKGSNSQWQQMSRNWGQNWQSNSYLNGQSLSFRVTTSDGSTVVSYNVAPSGWSFGQTYTGAQFR >KZM88534 pep chromosome:ASM162521v1:7:28948308:28950799:-1 gene:DCAR_025609 transcript:KZM88534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGGSSWRHVMGVLARQVGCTVAAFDRPGWGLTSRPQKQDWEENQLPNPYKLETQVDLLLNFCSEMGFSSVVLVGHDDGGLLALKAAQKVISSAASVNVEIKGVVLLNVSLSREVVPGFARIILGTSLKRNLASLVRAEIIQAVNRRAWYDATKLTTGVTSLYRAPFYVEGWVEALHEIGRLSSETVLSPKDAASLVAAVQNIPVLVIAGAEDAVVSLDSVQTMASNFVNSRLVAISGCGHLPHEECPKALLAALLPFISRLLSIRDLQN >KZM88315 pep chromosome:ASM162521v1:7:26756826:26758008:-1 gene:DCAR_025390 transcript:KZM88315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLSQTASVNNKRPRPPSDISAVEPTSTAVPAAWNPSHDSSQLNVSLEGQRTDINVYRQPMRSAKYSNLTTESSHVRAPWSRKRRGSGSALDEFLRNRLTKIQQRGEIDSGVDPEAGHGMPPFCSSEI >KZM89000 pep chromosome:ASM162521v1:7:32951471:32952401:-1 gene:DCAR_026075 transcript:KZM89000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFQDAKRKNPYDGFFMRSYDEEEIGDEEYDEYFQQPEKKRRLKADQIQFLEKSFETDNKLEPERKVQLAKELGLQPRQVAIWFQNRRARWKTKTLEKDYDVLQNSYNSLKADYDNLLAEKEKLKAEVLDLTDKLLLKEDKGSKTVVFDKQKVSAAFQQERVSNDISVGEVLSNSVMDCKQEDHNSVKSDAVDSDSPHYSDEVYSSFMEPVDRSYVFEPAQSDISQDEEDDMGNNLFLPSYHVFSKTEDGSYSDQPSNSSYFGFPVEDHTFGFWGTEL >KZM87793 pep chromosome:ASM162521v1:7:20508522:20509900:-1 gene:DCAR_024894 transcript:KZM87793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDKNATDDDLKKAYRKLAMKWHPDKNPTNKKQAEANFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPNAGGPSGATYFQTGDGPNVFRFNPRNANDIFAEFFGGSSPFAGMGGGGGGMGGGPTMFSSGMFGDDMFSSFGEGRPMSSGPRKAPLIENRLPCKLEELYKGTTKKMKISREIADASGKTMPVEEILTINIKPGWKKGTKITFPEKGNEQPNVIPADLVFVIDEKPHTVFTRDGNDLVVTQRISLAEALSGYTASITTLDGRKLTIPINNVIHPSYEEVVPNEGMPIPKDPSKKGNLRIKFNIKFPARLTAEQKSGIKKLLG >KZM86876 pep chromosome:ASM162521v1:7:7900696:7900989:-1 gene:DCAR_024010 transcript:KZM86876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKALVDTGNGILIEEGVGEHIKGEKHLEFNLLREYVPPCIEHEQIRRDPTEKEKLEEGGMAFLDDELCDELSYHADCFPNVERKDEDLFMESDIK >KZM87230 pep chromosome:ASM162521v1:7:12811408:12816642:1 gene:DCAR_024364 transcript:KZM87230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYAEYLDGVYMKPKAQQLFQRDAQTIAPEALESVKAALASSEIEHKAETKKKAIPRKAAGQTWEDPILAEWPENDFRLFCGDLGNEVNDDILSKAFSRFPSFNMARASMLEIGQLNCTRATGEKEQILKL >KZM89285 pep chromosome:ASM162521v1:7:35703030:35703654:-1 gene:DCAR_026360 transcript:KZM89285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFLIFGDLSRAVRFKKVAESTLALHLREQPVIVAHSESTFDGSGIKRLLSNKFGLDKILDAAIKSVPKDQSGKISKEYHRVVLDFLAPSAGLPPIGAVDQVVLPSLGRKFKSTSLLGYQLSLNLCNDN >KZM86578 pep chromosome:ASM162521v1:7:4606833:4607273:-1 gene:DCAR_023712 transcript:KZM86578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEQRWEQIFECNNMNHGNVVMVDAVVQREVIFVGDREGEPDKKNVVHNIIEFKSSGSKGEEVSIGLSSQIFERMKWEEERAGWVDGGEREVRVKRVEEFGGSVEGWRKFGCYVLVESFVLKRMDGSVVMTYDFKHTQQLKCLWM >KZM86844 pep chromosome:ASM162521v1:7:7559265:7559615:1 gene:DCAR_023978 transcript:KZM86844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSKVAVAAAALMLMVLVIHHPAVEGITCGQVTSSVGQCLGYLKTGGKPVAGCCNGVRGLNSLARTPGDRKQACACLKTIAGAVKGINYGYAAALPGKCGVKIPYPISPNTDCSK >KZM87005 pep chromosome:ASM162521v1:7:9796744:9801012:-1 gene:DCAR_024139 transcript:KZM87005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGGPQLNLAKKESWRTVLILAYQSLGVVYGDLSTSPLYVYKSTFDEDIEHSESNEEIYGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVSSLPNCQLADEDLSSYKKEPSVPDLNDSNKIGSRLKSTLERYKVLQRFLLVLALVGACMVIGDGILTPALSVFSAVSGVELAMSKEHHKYVELPVACVILICLFALQHYGTNRVGFLFAPVVITWLLCISTIGLYNIIHWNPHIYKALSPHYMYVFLKKTQGGGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTTVVYPSLILAYMGQAAYLSQHHVMENDYRIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLGCFPRVKIIHTSSKIHGQIYIPEVNWILMILCLAVLIGFRDTKRLGNASGLAVITVMLVTTCLMSLVIVLCWHRSVFLAICFVVFFGTIEALYFSASLIKFLEGAWVPIALALIFMIIMYVWHFGTVKKYEFDFQNKVSVDWLLSLSPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLIFLCVKSVPVPHVNHEERFLVGHIGPKQYRIYRCIVRYGYRDAHKDDVAFENDLVCSIAEFIRTRKKESNGVNGNLANNDEKMSVVGTPSTHLDGVQMCEDNLDEPKIVSTSQHVDLVSAKLMKPRKRVRFVVPENPKIERETEEELQDLMEAREAGVAYILGHSYVKAKQGSSFMKKLVINFGYEFLRRNSRGPTLALSIPQASTLEVGMVYHI >KZM87059 pep chromosome:ASM162521v1:7:10559520:10563806:-1 gene:DCAR_024193 transcript:KZM87059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALMSRLWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTIGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIAVIDSSDRARISIMKDELFRLLPHDDLQSAVILVYANKQDLKDAMTPAEITDALSLHSIKNHDWHIQACSALTGDGLYDGLGWIAQRVGGKATS >KZM87948 pep chromosome:ASM162521v1:7:22374418:22374660:-1 gene:DCAR_025049 transcript:KZM87948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRCVTKQYLDSVFHQAKLARRARSQGMRAVRQGWGNWIVEQEPDHVNVIYNIPGPIPHNLNEIAIDNSLLRGFLLDD >KZM88290 pep chromosome:ASM162521v1:7:26517994:26520622:-1 gene:DCAR_025365 transcript:KZM88290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTEYKRLKGSQSFRLRLLLSTLTSIPVLIEDIRADDTWPGLRSHEISFLRLLETITDGCHVEINETGTKLKYKPGVVMGGKNLVHDCGLNRAIGYFLEPLLVLGLFGKKPLSIRLKGITNDLKDPSVDTFRLTTLPILKCFDVQEGLDLKIESRGAAPNGGGEVLLSVPIVRDGLKAVMWKDVGMVKRIRGVTFSTRVSVQFENAMRHAARGIFNRLLPDVHIFTDHKAGALSGKSPGYGISLVAETTSGCYLSADTAVSYGQRVEEGAFNDEENNELTPPEEVGEHIASLLLGEIEQGGVVDSTHQGLLFLLCALCPEDVSKGKLSPYGIETLRQIKEFLGIEFAFKPDPSNGTVILICIGSGMKNFARKMS >KZM86343 pep chromosome:ASM162521v1:7:1856366:1860146:-1 gene:DCAR_023477 transcript:KZM86343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPRLPEPVLAPAVTTAMLSYQSKSFSHHHALQFGAPGNLPLSFFAPARTERKAVGVPDCEGAATTKAPWNTPELFDLSALEGETIRVWEDGTKRIGRRSFSILRACMLPLHKWLINGNSTKEHDLVTKNDLEHLLHLLDGKGWDRPWHYLKDRSASNMAYQAWHHEPELVVHRAELEGMNSENQRLRDMVDEVTNNYNNLQKHLVAFMRQQQQKVDNNEVEADVVGDIIIKVSNL >KZM87631 pep chromosome:ASM162521v1:7:18785882:18787258:-1 gene:DCAR_031927 transcript:KZM87631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKVRMDVVNKKKSSHWEITSLELEHNHEVVTPSKMSLIRRERHVTAAQRNLIKTLHASGVPPRQQMNIFGQMHGGAEQVGFNSQHLRNVVRDFRKDNMGVNDAQAGLDLLYRLKEESGGKFFIKTLLDDEQRLKCLVWVDPCSMMAYRNFGDVVVFDTTYRTNRYAMPFVLFTGVNHHYQSILFGFALVRDELKTTFEWVLSTWLEAMEDKEPLAIIIDQDQAMTVAIESQLPNTSHLLCSWHISNKFPEKLATYYSKEGFKFDFNNCIYHSLTEVVFEDRWKALILKYNLEGNTWLQGLYALKHKWVEAYTRNTFSAGQKTTSRSEGMNAYFDAYVGSCTGLKDFVEGAQKALERQFMREKDEDYNTYHRSRCMQMKTALEHHAASIYTKEMFRRFQEQLVEANKYFVAKDRDRSLEDVEDTFYKCYRPLTGASQRTTYLVSFNKASLWGSCICR >KZM86631 pep chromosome:ASM162521v1:7:5100670:5101456:1 gene:DCAR_023765 transcript:KZM86631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGVLLALSFVASSILGKLICGETATFTITNNCPYTIWPATLTSSGPAISSSGFALASHTSNTLDAQASWSGRIWARSFCNDAGTSCGTGDCGNLQCGSGGAPPATLIEFTLNGDGGKDFYDVSLVDGFNLPVNVVPGGGCPQTSCPVDVNADCPGDLAVKDQSGGTIGCKSACVAFNQPQYCCTGDHGTPDTCPPTDYSKYFKGKCPQASSYAYDQSSTFTCPTGPDYAITFCP >KZM89087 pep chromosome:ASM162521v1:7:33774342:33775838:1 gene:DCAR_026162 transcript:KZM89087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEEYWKQMGDKTTVVIPGWQFISYFSDIKNVCWFLEPEFAKQAIRLHSLVGNAVTQDRYIVVGTGSSQLYQAVLYALTPTDSPGPMSVVSAAPFYSSYPLMTDYLKSGLHRWAGDAYNFSTDEPYIELVTSPNNPDGSIRQAVVNGDKGILVHDLAYYWPQYTPISHQADEDVMLFTISKSTGHAGTRIGWALVKDPEIAKKMTKFIEINTIGVSKDSQLRAARILQAVSDDYDSSGNAKKNEQFFEYSYNVMVKRWNELRAAVDKSQIFSLPKFPPGTCTFSGQTFEPQPAFAWVKCEQETVDDCESFFRGHNILTRGGKHFGVSPKYVRISMLDSNNKFKIFTERLSSMKF >KZM86562 pep chromosome:ASM162521v1:7:4332401:4334072:1 gene:DCAR_023696 transcript:KZM86562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLEQLNSCNNSEEQDINPPGFRFNPYDSELLEEYLLKLVYHENSPEGLGIPAVDLYCAAPQELTAKYEEHCENQWYFFTKRNRKYSGGNRPNRGAGNGFWKQTNVNRPVFKVLKDKTKVELGRKVTLDYHDKSLKTAGQRTDWKMHEYRVNHKLPGLPSNYNTAGSSSELDEWCLCRIYISSRSGNKADDAGANSEEENEQEEVPTEADATLIPQNTAPASNDQHFVAPQEYYGQNAGGLDANSFPLSTNPLINDAFSNSSNSFYYANTIDGQMNWMDYNNNFGLIDHVEIYPTADQLHDNGFAQPIRSLPATGLPMLPYSNFNQSSSSISGDFTSGQGDGSLASVVYGNRQNSFNRGSTKVVFDHFGN >KZM87081 pep chromosome:ASM162521v1:7:10809905:10811722:1 gene:DCAR_024215 transcript:KZM87081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWVGESDVVGKSGKKGKRSQKIEKGGEEEVRDHLDVEEGGIVKSGKKGKRSKKKEMDGVEEETDRMDVVEDGIGNSRKKGKKKEMDGVGEVMDCLDMEEDGIGKSRKKGEKSKEKDGAEEMNDQTNVENDRNLESTVENKKKKNKGNDMVAGGESMTDPQDKEYKVEKRKKKKKTKTFENQDALNKKTETEAKERNNIAGNVEKKKKKRKRTVEEEDDNDKGQTNGCYGEDHVNSLPDDNGMVTIGKVKMMEKKKKRQRKNEEITGKDGLLSKAKDNETTNVSGKDKTKSVNKDPENPKSKVKKKVRFSNDLEVFPSNSLVQGKRFTPEEDEKLRAAVKEYIQSHCLGEKGVEMVMNCISHRQVRNCWNEINKALPYRPKSAIYCRAHTLFERGETHEWTEEEKEMLLEQYKKHGNNWKLMAKEFKRHRLQVKDTYRRIKRERNRGHWSQEEYQTLFDNVNIDLRAKLDEEKKSKHGMLRDNICWTAISDKLSTRADSLCCMKWYNQLTSPMVAQGIWADSDDYRLLDALFNLDACCIEDVDWDDLMDHRSGDVCRKRWDQMVLHIGLHGVKSFSEQVEVLAKRYRPELTEAREAWDSKPLVP >KZM86812 pep chromosome:ASM162521v1:7:7293241:7295010:-1 gene:DCAR_023946 transcript:KZM86812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHSDLIVSFGEMLIDFVPTVGAVSLAEAPGFLNAPGGAPANVAIAVSRLNGNAAFVGKLGDDEFGHMLAGILKENGVSVDGVRFDEGARTALAFVTLRADGEREFMFYRNPSADMLLTADELDLKLIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGALLSYDPNLRLPLWPSAEEAREKIMSIWDKADVIKVSDNELEFLTGKEVSDEAALSLWHPNLKLLLVTLGEKGCSYYAKSFHGHVRGFHVKAVDTTGAGDSFIGALLCKLVKDDSILTNEAKLKEAVRFACACGAITTTKKGAIPALPTNSEVHELMSSNKMDKVKPSGWQKIMTTQKHQLIKTMCPGCYNVSN >KZM88699 pep chromosome:ASM162521v1:7:30494059:30496329:-1 gene:DCAR_025774 transcript:KZM88699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLCCALAAVSEKSKNEERKTYIVHMAKSQMPAGFDDHNHWYDSSLRSVSGAPEMLYTYDNVVHGFATRLTDAEAQSLETKPGILSVLPEMKYELHTTRTPKFLGLDQDQAFYPESDSVSDVVVGVFDTGVWPESKSFDDTGLGPIPRSWKGQCESGTNFSSANCNRKLIGARSFSKGYIAALGPIDELKESNSPRDDDGHGTHTASTAAGSIVPDANLFGYAYGTARGMAARARVAVYKVCWLGGCFSSDILKAMDSAVEDNVNIMSLSLGGGMSNYYHDSVAIGAFAATERGILVSCSAGNAGPSSYSLSNVAPWITTVGAGTLDRDFPAYASLGNGLNYSGVSLYHGHQLPGNLPFVYAANASDAANGNLCMTDTLIPKKVKGKIVLCDRGMNARVQKGSVVKAAGGMGMVLANTDTNGDELVADAHLLPATAVTQKAGDAIKSYLLNDPKPTITILFEGTKVGIQPSPVVAAFSSRGPNSITSEILKPDIIAPGVNILAGWAGSVGPTGLVEDKRHVDFNIISGTSMSCPHVSGLAALLKAAHPDWSPAAIRSALMTTAYTEYRDGKKLEDLATGKSSTPFDHGAGHVDPVLALNPGLVYDLKSEDYLSFLCALDYTESQIQLLARRKFTCDLSKKYSVTDLNYPSFSVVFDTAQTGNSVATDDGTAVVKYTRTLTNVDSPATYKVSVGSPSKSVQILVEPTTLNFTEVNQKKSYTVTFMGSSMPSNTNNFGQIEWSDGKHIVASPIAFSWL >KZM87115 pep chromosome:ASM162521v1:7:11086442:11088116:1 gene:DCAR_024249 transcript:KZM87115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCDKIGLKKGPWTREEDQRLTSYIQQNGHSNWRALPKLSGLLRCGKSCRLRWTNYLNPDIKRGNFSKEEEETIIQLHESMGNRWSAMAAKLPGRTDNEIKNVWHTHIKKKLKDYNSTQDIKRPKNKSEPQSKKPDSSTSKEIESLGHGSISPKSSTSELSSVTTDPDIIQQEVLISSTTVLLSEFGESICLTDIPDDQDMKVWLEIDEGFKCLKGDDDMSFWYNLFLTAEELPEF >KZM87380 pep chromosome:ASM162521v1:7:15336346:15338331:-1 gene:DCAR_024514 transcript:KZM87380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFDIYSVPLDSKNSAPLSELRLTDGHSLNFNGQFVTSTNIIREQQQQQQQRAIELVYATERGGVSTIYQDIIFNPTPQGLRREALEVSAQRVELLSGDGVSMKDRPSLVGDSLIYVSTHENPGVPRASWAAVYSTQLSTGLTRRLSPYGVADFSPAVSPSGVWTAVASLGDKGWNGEVEELGTDVFVFSTRDGSNRVKVVEHGGWPSWADEFTLYFHRRGDDGWWSIYKAILPKNGELSVDSVLTQRVTPPGVHSFTPAACGNESLVAVATRRSGSDYRHIEIFDLKAKKYRELTRPITPDAHHFSPFCSADSKMVGYHKCRGSSRGMKSEKPLFLENLRSPMPEISLFRVDGSFPSFSPDGNRVAFVDFPGLYVANMDGSGRRQVLSDMAFATAWDPKRKGVVYTSTGPTFETESTEVDIVSVNVDDNDLESSYKKLTHGGANNAFPWPSPDGKWVVFRSGRSGHKNLYIMDAVEGETGGLHRLTEGPWSDTMCNWSPDGEWIAFASDREIVGSGGFELYLIHPNGTGLRKLVQSGSVGRTNHPYFSPDSKKIAFTSDYGAVSAEPISNPHQYQPYGDIYTINVDGSGLKRLTHNSYEDGTPAWGPNYIQPVDVESAYNAAQCAFEDLSWLQIDSPASASHRNRVEDYNGSTKIQCGG >KZM87965 pep chromosome:ASM162521v1:7:22613684:22616988:1 gene:DCAR_025066 transcript:KZM87965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVFESKAIPGKLPATAKISNAQLAIVREDVGEYEQDPKDLKSQNSARAWKGKEPVQESDELTFDVFTLKGSRDSFSDSGADSFRGASHPPEPVDMDLMRPVYVPIGQTKSDGKCLIKSLSTKGPFIEDLSIRASGIKKTPPFLEEVNEAGVVITPVSAPRSSHNTENSPHPLDSEEKECVWDASLPPSGNASPHSSIDSIGVGSAMSLVYSCTSTYRSDGIMSDGMFSVDRNYESTKGSNRGDFSLESGKTSMSRASDSSGLSDDSSWSNFTGIANKPHKGNDPRWKAILAIRSRDGNLGMSHFKLLKRLGCGDIGSVYLSELSGTRCHFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFTCLVMENCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLMRNSAFDSDPSRRAAFCVQPACMEPSAACIQPACFLPRFFPQKNKKGNRKPRVEPGFHVNRLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGTGNRATLFNVVGQQLKFPDSPPTSYASRDLIQALLVKEPQNRFGVKRGAAEIKQHAFFEGVNWALIRCSTPPEVPMPMEPEVPVKSAPIDPVGFGSNSKRMVGADIKPGGKFLDFEFF >KZM88714 pep chromosome:ASM162521v1:7:30629493:30629642:-1 gene:DCAR_025789 transcript:KZM88714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPFQFSSTKRLENGSMRMRNEERNIRLHVLINKIALDHRKLFNILCN >KZM87375 pep chromosome:ASM162521v1:7:15253051:15255488:-1 gene:DCAR_024509 transcript:KZM87375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMIKLRFSTFFLYIFIICCSISVIPQCSCQSNSQNIETFYPFGLPPIFSTPNITDNQPPATSSPDTRAEQPRVPPPASKSSSKKAVVKAVVATAASTIVISALFFFMVQRYMHKKKNRVIANASFKNATAIETRRGDDFVRVNGNLKGVIVDEEGLDVLYWRKLEGENKAKEVFDKNEFFDTEDEKNVVTSRVDKNSRPVIQETPLLRGKSSSSHIWPADVEKSQIKTVVKQGSSVQLTSRSPPPTPPPPPPPPAPAVVSSSALPLPPPPPLPKKTPSAPPPPAPKPGGLTTSIKPPPPVPSSLEKVADNEKGQVKFKPLHWDKVNANVEHSMVWDKVKTGSFRVDGDLMEALFGSVATTRNSPKRGSNMSSPRGERTGPPSQIFILDARKSQNISIVLRSLSVSRKEIVDALIEGQDLELDILEKLNRIAPTKEEESLILAFDDDHTRLADAESFLFHVLKAVPSAFSRFSALLFRSNYDSEIFHLKESLKTLESACKELRTRGLLLKLLEAVLKAGNRMNAGTSRGNAQAFNLTSLRKLSDVKSTDGKTTLLHFVVEEVVRAEGKRCVINRSSSLSSRSRNSRDKTTDNSNSNEDKEFKENEYIMLGLPIVGGISSQFSDVKKAAGLDYDALHKACSTLSDDVAEIRKLVGESAMSGGGEGFIREMKIFLDSAEGELRIVKKEFTAIMELVNRTTEYYQAGASKDKGAQPLQLFVVVKDFLGMVDQVCIDITRNMQKRKTATTSTSPAYKNSVKFPLLPPNFLSDKSKSSSSDSDDD >KZM89339 pep chromosome:ASM162521v1:7:36061363:36063882:-1 gene:DCAR_026414 transcript:KZM89339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSFGASNYARDLLANAQLRHKIFTDHLVIKAFNEAERAHRGQMRASGDPYLQHCVETAVLLAIIGANSTVVAAGLLHDTLDDSFMTYDHIFGSFGSRVADLVEGVSKLSQLSKLARESNTANITIEADRLHTMFLAMADARAVLIKLADRLHNMMTLDALPVTKQKRFAKETLEIFAPLANRLGISSWKEQLENLCFKYLNPGQYEELSSQLVKSFDEAKITYSAEKLGQALKDEGIPYHVLSGRHKSLYSIYCKMLKKNLTMDEVHDINGLRLIVENEQDCYKSLRIVHQLWSEVPGKLKDYIHQPKCNGYQSLHTVVLGEEMVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKYSSFVLQMVEWARWVVTWQCETMVKDRSPNSYNELVKPPCTFPIHSEDCPQSLKPCCMADGPVFVIVIENDKMSVQEFPANSTVMDLLERAGRGSTRWTPYEFPVKEELRPKLNHEPVSDPSCKLNMGDVVELTPTISDKSLTVYREEIQRTYDRGISTSSVASDSTRVVGLRS >KZM86883 pep chromosome:ASM162521v1:7:8008586:8009818:-1 gene:DCAR_024017 transcript:KZM86883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGQHQYHHLHHQLQHQQISDAGGDRFPQWSIQETGDFLMIRAELDPNFMETKRNKALWEVVATKMKDKGYNRSAEQCKCKWKNLVTRYKGCETMEPEGVKQQFPFYNELQTIFAARMQRMVWNEAEGGGLGGSKKKALAQLSSDDEDDNIEESDGDQKGTTAGKKKKRKANRNTPGTSNTGGGNVNNSVDSIKETLQEFLKQQAEMEMRWMNGYEAREEERRIREIEWRQRMEALENERIMTERSWREREEQRRVREEGRAEKRDALITALLNKLRREDNTR >KZM87801 pep chromosome:ASM162521v1:7:20640103:20640282:1 gene:DCAR_024902 transcript:KZM87801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYCLEKKLNNQSKWLTPRSYEATNTNTITEHSKLKTIICRQTNPIANSFEDIKTKIER >KZM88350 pep chromosome:ASM162521v1:7:27065256:27066023:1 gene:DCAR_025425 transcript:KZM88350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSEDSDYTINSMLFRSLSHASSQSVVPFSEVPSRNLSPPSSQLVVPSNEVPPRRLNLGPSSQEVVSVRLQLDLGETPLVAQEEDQTSQQVVPSSEIPFKNLVSTFSQPVVPSSEVSPRRLNLGLSSQEVVSVSRIRDRLRLDLGETPLGAQEEDQTNTMVPPKTADA >KZM88588 pep chromosome:ASM162521v1:7:29498470:29503053:1 gene:DCAR_025663 transcript:KZM88588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKRGKYSMLGVGVISVHKPSQSIPGKISLDEDNLDHLCKAVECPKLDNGHLEGCTSSNEKSSSSPHVQNTLRQEILQLEKRLQDQVAVRGVLEKTLGGMPVSHDSKNEIPLPKPTTELIKDISELELEVMHLEQYLLSLYRKAFDPHQIPTISPSTKVETVKSPVTSTNENFLQRSVADSTSGRKACNTQADRQFVNPPINVCEEEGLVDPGVLRCQSSLSQYSTTSLAAGPLGRGVHACYSQPSSMVKYAQNNANLISLAEYLGTPIADHMPETPNKLSEDMIKCVCDIYYKLSDPPLANHRLSSPTSSLSSTSAFSPSDHSEMWSPGLRKYLSSDERLDNPFHIQGPKEFSGPYSTMVEVQHICRDTKKLIDVEHLLQKYRSLISQLVEIDPRKMNHEEKLSFWINVHNAMVMHAYLTYGIPQNNVKRLLLLQKAAYNIGNQIISADLIQSTILGCRMSRPGQWLPRLLLSSRSKLKAGDKRQAYSIEHQQPLLHFALCAGSHSDPPIRVYTSKRVLEELETAKEEYLRATIGIRKDHKVLLPKLVESFAKDSSLCSASVMDMIHKSLPESARKNLKKCQTSKSRKLIEWIPCNFNFRYLISKSW >KZM86912 pep chromosome:ASM162521v1:7:8353275:8354799:1 gene:DCAR_024046 transcript:KZM86912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLDLECGGGVRDEQEEEEGSVCFSEASCYSQFYSADGSSDDSSSDHEICQVLDSRRVSCSVVEPDYCRVDDSNNGVVQVNVQSERDCRICQLSVEMDAAHQENGIAIELGCSCKDDLAAAHKHCAEAWFKIKGNKICEICNSVARNVVGPNDTEVAQQGNEANAMPATAASATAAFSSSTTGTRKCLNGHRFLNFLLACMVFAFAISWLFHFNIPS >KZM88896 pep chromosome:ASM162521v1:7:32102396:32130734:1 gene:DCAR_025971 transcript:KZM88896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLTDLPSPSTAYAAYASISASFMLLQTTFHQIVPRPVQDFLLSAFFRIFKRTNTSLLNLVIDQFDGMSRNDLFDSFELYMSTKTNPKTHRLKLTKSSKEKHINIKLAQSEKIMDVFQDIEIKWLFICEESDNSARNSSGRPSSKIPDDFGNRPWQFKTKQWFELSFEKVHKDVVINSYIPYVLEESKAIRNAKKVVKLHTLANNAYFAETPAWDSINLEHPSTFEKLAMEPSEKKALRDDLDLFVQRKEYYKSVGRAWKRGYLLYGPPGTGKSSLIAAIANYLKFDIYDLQLMNVKHDSGLRKLLLATANRSILVIEDIDCSVELPDRNAKPVPSDRHPRDVQITLSGLLNFIDGMWSSCGDERIIIFTTNHKDRLDPALLRPGRMDMHIHMSYLTMNGFKTLASPYLEINHQHWRFREIEELLGSVEATPAEVAEELMRTCDADACLRGLVNFLKDKKRKRTIVESGEDASPGAETTDGDDTTPGVELIPKAKKIKTNGLQDVFCHK >KZM86401 pep chromosome:ASM162521v1:7:2499113:2499845:1 gene:DCAR_023535 transcript:KZM86401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLQYEEPKASKAPLLSLASRVVTAASLAASIIILKSAKVTFKIGGDKYQYSYNDSSTYQYVLLSMLVAFAYNLLQIPSAIYHLKTGKRLTNRYGFLLFDLYGDKLGVLLLATSTGAAFGATLEIKRSADNLETIGGQDASDYRSKLGDFSSMAYLSAGLLLIAFISSTLSSIISSLALSRK >KZM88108 pep chromosome:ASM162521v1:7:24583571:24597362:1 gene:DCAR_025183 transcript:KZM88108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSTSTYQTSICPTEAAIANDEEFDNSYLSVELQNDSDEWLALQVERGKKSDTSDTSEYQPTDQEESVETSDEDQLISTMRDKILKKKGKAESSTGAKKRKEKGKAKAKESQKGKQRQDEDEDEDDEDDEEDIQKPVVRTIPRFTAWSDKDLRARERKETSENLFGKGRIRSADESTEQTQEVDRNLDEERKREQMIVELENLAFILVESRKQFDAANRQFNKCLKSCIDYNTVNNNEEFLTRVEAAQVFVCSEADQFENQSRQQEKETDGASQYNFEPLGNPVSEEREVQAEKQAEEERQVEKERNIQEVEEEREVESEKGADGVQKEIEKERPVEKTVSPVQSSKEIEQEKPVEKTVSPVQSSKEIEQEKPVENTVSPVQSSMGSEVIRMLDAAEKDYQEKIRAQEMASNVNVVGIATEAVSGLHDERTSDTEMPVAEHGADKEEAVEEQAAPEALDVSSRKAAQLVKKDGKKVKIIFNTSRRMNVVSSTVPPPQKLTIKTTAQPNVQSSGEVLPSFSLGLTQVEKEAELERQRKSEEEAAQVDKGKRIIHAAEVLKSPWKIRLTRISTKINKEEQKLKDWLLTIDPEGYFLYFDTANAILDNSNCISFQPKQLVTAQVVDAFCHILNMNEMYKAEQSPLRLFVPHHVTVYVLRHSNSEEEEQHFKKFVRDFDDVLAAYDHIKFNDVDLSVEEKIARHAQDGTVTNREIVVQFNADVADGMPWKFVPTQREVRVKPGESALAFYTAENRSSKPITGMSTYNVTPMKEEERLCINGDKPTVKRGLVLSFGLKGGGCNLDWVIIERVK >KZM88126 pep chromosome:ASM162521v1:7:24772760:24773215:-1 gene:DCAR_025201 transcript:KZM88126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDSVFRGISLSILFELCLLISLQCSPAVEEHRSLTSHDGAAVARTTGFIVDLNLDTSSPDTFQRPPTPIPFDVLGYPQTIDSNFAGLIISGSSLHKGTYLSHKELDCKVQVGDLASPTKLGVELSKLNEVDAPKTEEEDVCPTCFEGLF >KZM87582 pep chromosome:ASM162521v1:7:18346631:18348785:1 gene:DCAR_024708 transcript:KZM87582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSHCSSSYYRHIVQSPYISWSMEGDYRGLSSKISVQPFATKLLNATVTNFPCLLQGGQHKHKKGVQVLSQNISPPSFAVEYLDGREDEYNGVIIDPESLPSSANAFASALKASLSNWKLKGKKGIWMKILQEQVDLVPIAIQEGFHFHHAEPGYVMLTYWIPTGPCLLPASPSHQIGIAAFVINEKQEILVVKEKCPCTCSGVWKLPTGYINKCLLLLAMQSEEIYDGATREVKEETGIDTAFLEMVAFRHAQLVAFEKSDLMFVCMLKPLSTEITIDEKEIEDAKWIQVEELIAQPYYQQDELLKTVTAICMAAHQNRCYGFTAHQLTSIFDGQPSNLYYRT >KZM86642 pep chromosome:ASM162521v1:7:5229777:5235067:-1 gene:DCAR_023776 transcript:KZM86642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGWRTVDWKLIMDKVTLPDGTVKEGKKWMSTPFDIATGISKSLGSNSLISQVNGVLWDMSRPLEGDCELKLFSFDTDDGRDTFWHSSAHILGQLKTLGLFPTHASDWVSSLPPAQGFDFVLWLALNFFVFYYEIMNSRERACHLAKQTLDEAIAELETLSEESYKDNTAMDTCTTHLVVDSDRFYVISTHLGATKHMDSNGHGSALDPEKSQLEGGCLSDDGVLESDDENGDDTNAQPQLQITVFRFCNFAVTTGYVNSFC >KZM89116 pep chromosome:ASM162521v1:7:33955405:33957036:1 gene:DCAR_026191 transcript:KZM89116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHSEQLASSQLLYLFVLWLIPLALTIFLIKRLFANSVSHRNSPPSPSKLPIIGHLHKLGLYPHRSLQKLAQRHGPLMLLRLGSVPTLIVSSAEAAQEALKTHDLAFADRPRSKLNEKLLYKYKDVSSAPYGEYWRQMKSICVIQLLSNKRVYDMRRVRENETALLVKKIAESSPSVVDLSDLLMAYTNDVVSMSAFGQKFSEGESGRQLRRLMKEFVSVLGGFDIGTYIPRLAWFSSLLGIYNKADAVAKEFDEFLERIVDEHVDTTKRERAEGAEDFVDVLLEIYKDKSITGYSIDRESIKAIVLDVLAAGTDTTYTVLEWAMTELLRHPQVMAKLQNELRSTGRKRSDIIVPDEYEKIPYLEAVIKETLRVHPPVPLLVPREARNDVNIMGYEIVAKTMVIVNAWAIGRDPTLWDEPDEFRPERFLNSSVDIRGHDFQLIPFGVGRRSCPGISFAMVTNEIALANLLHKFNWELPNGMKRGDLDMTETTGSTIHKKEPLLAIATPYSV >KZM87002 pep chromosome:ASM162521v1:7:9682187:9683718:1 gene:DCAR_024136 transcript:KZM87002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQLSQLAVTHLLQHTLRSLCNHETSQWVYAVFWRILPRNYPPPKWDSQGGAYDRSRGNRRNWILVWEDGFCNFEASTAEIEPSECPSDYGNHVYRPYQGLQPDLFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNEQEINFLSAWNNSADSQPRTWEAQFQSGIKTIALIAVREGVIQLGSTHKVIEDLSYVVLLRKKLSYIESIPGLDSRSGVAVTSRRQLSTSPGLLPPFYEPSSLHNSFDEQP >KZM88602 pep chromosome:ASM162521v1:7:29644954:29646396:-1 gene:DCAR_025677 transcript:KZM88602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRRIVRGAPMDPLRSSSAVKPRCFFKIIISHITPHSTLTIPRRFIAQGYDLADKVFLKAPESSVWVVDLERTTGEAVLRNGWPEFAAYYSISIGHVILFKYEGNSQFHVTILDNSCTEIEYPMSASHNEQANRSGGFRKRKEQALITSKEGRKIKGTGIVKPDTVIPSQAKGASESAIEEGKARALALAKAFKSNKPFFFRRVYPSHVDGTQHGMHVTTAFKEAYENWKDNEQLTLQIAERTWQAECRWTSIGYKIGKGWCKFARDTSLATGDVCVFELVNSSQKLFEVIIYRATVKNENW >KZM89254 pep chromosome:ASM162521v1:7:35409645:35410133:1 gene:DCAR_026329 transcript:KZM89254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAADGIFHKILPPRLEDAGLEDCALPPDSIREAFLKAASAVRSSLLSQSDDEDDSTCVHNPWPSTGDSADALVGILSESSPPGSCAGRKGGEVPENLGDKVVGGVSDDDVASVDEVVGIGDVEEGECCVEELKGLGIGEKTKKKDDAKEPDKPGLTEGYA >KZM87287 pep chromosome:ASM162521v1:7:13793692:13794876:1 gene:DCAR_024421 transcript:KZM87287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWGKIGAWAAEAEQAELEEKQQQAAEAAAAASRDSQSYPSLRETVNSKPKKKNKMSLQQFALSTGSSRVGLTPDEMLQLPKGPQERSGEFQSGRFGSFGRAGGSHRDDRRFDDDGRRAGTVTRISDYDQPSRADGVDNWAMSKRAVVNLGDRGSRNEGFSRGSGSEGVSRADEAGNWGTGKKVANVFDGGNRTSTSRHGALGSGGGTDGVSRADEVDNWAVGKKQQVLAAGPVRSSGFSGSGPDRWTRGGGNGLGGEERTKMVFDKPRTDRVVNESSVSKGEGNRPNPFGAARPREEVLSEKGLDWREVDMEIEAKKSSGSRPSSGQSNRPGSSQSEPAEGGSSLPQGVMKPKVNPFGDAKPRELLLEEKGLDWKKIDLDLERRRVDRFTT >KZM89219 pep chromosome:ASM162521v1:7:35045314:35047783:1 gene:DCAR_026294 transcript:KZM89219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVTQENNRSRKNKNSISDPQEPLLPTKHEEDVGFDEFNGASFTGAVFNLSTTVVGAGIMSLPATMKVLGLVPGILVIIFMAFLTEASIEMLIRFSKVGKSSSYGGLMNDAFGKYGRMVLQICVLVNNIGVLVVYMIIIGDVLSGTTADGVHHAGVLEGWFGVQWWNARTIVLLITTLVVFVPLACLKRIDSLRYTSALSVALAVVFLVVTAGITIFKLISGSIMMPRLLPEVTDVTSVFKLFTVVPVLVTAYICHYNVHTIENELEDRTKIKAVVRTSLVLCSSVYVMTSLFGFLLFGDETLDDVLANFDTNLGVPYSYLLNDAVRVSYAAHLMLVFPIVFFPLRLNLDGLFFPSARPLVSDNIRFASISFGLIALIFLGANFIPSIWDAFQFTGATAAVCIGFIFPAAVTLADRHKIATKKDKILCVFMIVLAVFSNLVAIYSDAYALLKRNESPRE >KZM86813 pep chromosome:ASM162521v1:7:7295552:7296596:1 gene:DCAR_023947 transcript:KZM86813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCLVKTLFSPVPDAQSTASQVIATVAGIELPQKHWPQLYNVLGFAEAYFTNDIKRDCIMRVVCVASAKFVSLEDAGESRLRTAAYETSNEMVRCSTEETIPMVMQLIPVIMMELHNTLEY >KZM88400 pep chromosome:ASM162521v1:7:27611733:27614192:1 gene:DCAR_025475 transcript:KZM88400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRMKHMLVLVLVVLCASAKPHAALSIIDGLLPNGNFEFGPKPQQLKGTKVMDPHAIPNWETSGYVEYIKSGQKQGDMLLVVPEGAFAVRLGDEASIKTKVKVVNGMFYALTFSAARTCAQEEKLNVSVSPNSEEKDWGILPMQTMYSSDGWDSYSWGFLAESNQIEITIHNPGMEKDPACGPLIDSVALKTLTSPKRTRANMLKNGNFEEGPYIFPNTSWGALIPPNIEDDHSPLPGWMVESLKAVKYIDIAHFAVPEGKRAVELVAGKESALAQVVKTTPGKVYDLTFSVGDAKNSCEDSMIIEAFAAKSTLQVPYQSTGKGGFKKAKLRFKAVSPRTRVRFLSTYYHMKSDHSGSLCGPVIDDVKLLSVRYPGRA >KZM87053 pep chromosome:ASM162521v1:7:10489521:10499409:-1 gene:DCAR_024187 transcript:KZM87053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTNSDQLAVETSNNSEKSDENEVLDGQDTDSSPNCDSNCDSVLDVSGKTLDLEYPEIRNGGGDAIESYYVYKNVFNLIPKTVGSLGKLKTLKFFANEINLFPPEFSYLAQLESVQVKISSPGLNGLPLQKLKALKELELSKVPPRSNAFLILREIAGLNLLTRLSVCHYSIRYLPPEIGCLNKLEYLDLSFNKMRTLPIEITYLNNLISLKITNNKLVELPSELCSLNRLNDLDLSNNRLTSLHCLDLGLMHNLQSLNLQYNKIRDPCQIPSWICCNMEGNDRDMIADEPVSSSVEMDVLESTVPEIYGSPRKGSSTALASHFSGSSSSNRNSAARKSGKGWKRRYYLQQRARQERLNSSRKCKVEDSAEYLTLKATDNHKLTDLASDSLEDAPSDIVYPEISEKELNSGEAETEKTVIRLEDDVISSHNDCFEESCSFDMSSAEKRKGKDEFVKNSSSLNPLLDTVVQDEETVLEISSSNLKSKRHPEKDLDSPKPRKSRRPFDRHLNLCLKYSTESFCSTEDHLPDGFYDAGRDRPFMPLRSYEENLHIGAREVILLDRETDEELDAITLCAQALVCQFKEMNGSAEEGQQVAIENLQIASLLALFVSDHFGGSDKSSIIERTRKAVSGSNYVKPFVCTCPTGNGESIRKSMKQGLDYPEDIVMQDLCERSLQSVKTKQNSIIVPIGRLRFGVCRHRSLLLKVKRRQQLLCADQAWLPAIYIPLSRVDGSLMEGNSLGHRISFPSLSSSEEVVKVGSTEIVRSKLGSVEAAAKVRTLETRGASADEIKNFELTCLGEVRILSALESSCIVKLYGHQISSKWIQSSDKSSEHRILQSNILLEYMEGGSLNDYLLKLCRDGKTNVQVELALHIARDVAQALLELHSKDIIHRDIKSENILMDFNRRKSDGSPIVKLCDFDRAIPLRSFLHSCCISHNGIPPADICVGTPRWMAPEVFRTMHECRLYGLEVDIWSFGCVLLELLTLQVPYSGLPESDIHEFLKMGKRPPLTDELEALCSQEDPTMAHSGTELDSSDPDFESLKFLVGLYRQCTEEDPTDRPTAENLYNMICARTSSLTSSRSSQE >KZM89011 pep chromosome:ASM162521v1:7:33053622:33056699:1 gene:DCAR_026086 transcript:KZM89011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPDKWTATVASIWIQCSSGSSYAFGIYSPLLKSSQLYDQSTLDTVSVFKDIGANVGVISGLLYAAVSSRRRGPWLVHLVGAVLCFVGYFFIWLAVTGILDRPPVPVMCVFMFLASQAQTFFNTANVVVAVQNFPEYGGTIVGIMKGFLGLSGAIIIQVYYSLLENNPSSFLLMLALLPSLLPISLMFFVRTYETNDGHDNKHLNHLSSIALILAAYILMLIILENTFTFQPWARIFMFLLLLLLLFSPLKIAINAQREETCRISPTSASRTRLLHNTESIDPKKNYAVGDPIENRDLQLYARQENDGLENHTRQIGEEMNLLQALGTMDFWLLFVAMICGMGSGVATINNISQIGESLNYTSVERSTLVSLWSIWNFLGRFGAGYVSDVYLHKRGWPRPIFMILTLATMASGHLVIASGFPGNLYLGSVIVGVCYGSQWSLMPTITSEIFGVLHLGTIFNTIAVASPIGSYIMSVRVAGYIYDKEASGHRTCYGTHCFMLSYCIFAALEIKDSASKIIQDQGTLKYVPTLEVALVWHSSKSNEVDLNIAARWSSDLGRFFVQSASWEFDHPV >KZM87191 pep chromosome:ASM162521v1:7:12257681:12259050:1 gene:DCAR_024325 transcript:KZM87191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTRLIAERFVKYVTEDDLFSDEMRVPHRFCEKHGHRIPQTLFLEFRNGYRVQITFSYDLGTFLGIQCLYDDFDLEGGELFLFQYNGVDGFNVYLIGRDFCEIDYPAIVHRSQNCRPHKVSLQKGGLHGIRFLTEGNPVHDEFVPPRSFIDRCVNDLSLRCFVDYILSNGKKVSGGFNHSTGALCGFHLVGQHLGIPDLNRYNMLLLNYAGNVNLTVGVFDDDFVEVLSQGTPLSIVESMPVPSVETNFTITVQPCHSQQYCPGVNISAEYRPLLNMWSRTDYISVYSGTAC >KZM88837 pep chromosome:ASM162521v1:7:31641911:31647944:-1 gene:DCAR_025912 transcript:KZM88837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDKEVSSFKELGVCEQLLEACDNLGWKTPSKIQALVLPHAFEGKDLIGLAQTGSGKTGAFAIPILQSLLENPQAFYACVLSPTRELAIQIAEQFEALGSGIGVKCTVLVGGVDQVQQSISLGKRPHIIVATPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKVLDDILSAIPRERKTYLYSATMTKKVQKLQRACLRNPVKIEAASKYSTVDTLKQQYRFVPAKYKDCYLIYILTEMSGSTSMVFTRTCEATRFLALVLRNLGFRAIPISGQMTQAKRLGSLNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELECYLPGKKLPEFEAQEEEVLLLSERVSEAKRISIMKIKEEGGNKRRRGGDDDEEEIQKFVGSNKNGKFSKKTKRK >KZM88404 pep chromosome:ASM162521v1:7:27632027:27632597:1 gene:DCAR_025479 transcript:KZM88404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVSTHLRPLAVSRSAQRPNRSIAPGVSSSTPSFRPAASLFSRSFTAPAGGGVLSKRVEDVMPIATGHEREELEAQLQGRDILDINYHEGPFGTKRYRVVLFSSG >KZM88212 pep chromosome:ASM162521v1:7:25519150:25522660:-1 gene:DCAR_025287 transcript:KZM88212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFGGEDAAKKSAMWLYPKIMGSNPPERWGHSSCYSNGFIYVYGGCCGGLHFSDVLVLNLDTMAWNNLVTSGTSPGPRDSHSAVLVENRMIVFGGSNGTKKVNSIHILDLGTTEWSQPICQGVAPCPRESHTATLVGGDKLLIFGGSGEGGANYLNDLHVLDLIAMQWTSPEVKGDVPIPRDSHSSVAVGSKLFVYGGDCGDRYQGDVHVLDIDTMTWAKLGVQGPSPGIRAGHTTVNFGTKVYVIGGVGNKHYYNDVWVLDTCSCSWNQLEICGQQPQGRFSHTAIATDTDIAIYGGCGENERPLNEFLILQLGAEHPNGRYNISICKNFGRQFNQDLKMSKEDSHNKQKTTILGANLNFIRNKARETELESKLSLQLNADTLHRKRRKSINSRICEIESEPEEHSLSLSQHSSPSQSDQDQNHVNRATSSVKASQLFPFIKNQSPVLSNTPSDHVPGNRQKSRTMINNPPQDLYVLGERMNHPNPLSFHRGVRNGRHEAPYVAAEVKSSEAPHFQNVIGADVQGKVDGAFDSGYLMTAMVNGRVFRGVLFAQGPEVISRPAATHNQQFLSSPRQIIRPTNSHVSHLSSLFPKHSLQPVSLHSSEPVQNLRQTHMNRPSPVVQSTANRDMNIRSELQGVVLTLGGPGSIGSS >KZM89121 pep chromosome:ASM162521v1:7:33983259:33986888:1 gene:DCAR_026196 transcript:KZM89121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDNGLGGGEEVAIDAIKHALRALRKRQLVEEGAHGPAFVALSRPFIFQGSVWKEKAENLETELQQCYKAQSRLSEQLVVEVAESRASKSLLKEKEELIASLQNDLSQASEECTSLKELLEEKTRAVDLVTSENQELKAQLEETRVRADAALAENKMLIDRWMLQKMQDAEKLNEANAIYEDMLDKVKASSIEQLARQQVDGVVRQSEEGAEYYVESTIPTTNKYKIPAHQGGCAAMVFEYNSNKLISGGQDQTLKLWDTNSGSLGRTLHGCLGSVLDLAITHDNKTIIAASSSNNLFVWDKDSGRIRHTLTGHVDKVCAVDVSRFSNRNVASAAYDRTIKIWDMQKGYCTNTIIFPSNCNALSFGLDGLTICSGHVDGNLRLWDVQTGKLLSEVVAHSLSITSISLSRNGNVLLTSGRDNVHNLFDMRTLEVCGTLRASGNRVASNWSRSCISADDSYVAAGSADGSVYVWSISQAKIVSTLKEHNSSVLCCSWSGLGKPLVTADRNGTICTWT >KZM87647 pep chromosome:ASM162521v1:7:18911173:18913238:1 gene:DCAR_024752 transcript:KZM87647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-26 MAIVRQELKASNKVGIDTYQSLTIIDAVQRLGIDHHFKDEIEQVLERQYMAISPGFFKNKDLCLASLCFRLLRQQHYHVHADAFDKFMNEDKKLVRKLLRGESTEALTSLYEASQLRIEDEDILDEAEFFSCQLLNERIKFLNHHEAWSVRNTIAHPLHRSLAGFTKNHFIKDIICGQAGCGKALEELAYLDRAFMQAIYTRELSEFSRWWNGLRLADELKYARNQPLKWFTWSMAMLTDPSLSEERIELSKAISFIYVIDDIFDVYGTIDDLTLFTEAVNRWDIAAIEHLPDYMKKCFRMLHEITNEIGYKVCKKHGFNPIDYLAKTWAKLCTAFLEEAKWFASGHLPEAEEYLKNGITSSGVHVALVHMFFLIGDHGSTKDLAESVKLDTCLISYNVAAILRLWDDLGSAKDENQDGKDGSYVACYMKEHKEASIENAREQVSKMISETWKCLNKECLSPNQYSKRFIKGSLNLARMVPLMYTYDDSQSLPLLEEYTREQLF >KZM89279 pep chromosome:ASM162521v1:7:35597650:35600528:-1 gene:DCAR_026354 transcript:KZM89279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEEGVYYALDLGGTNFRVLRVQLGGTERGIINREFREVSIPPNLMVGTSQELFDYIAAELAKFVAQEEDRFHLPFGRKRELGFTFSFPVMQTSINTGTLARWTKGFSIDDAVGKDVVGALSSAMERQGVDMHVSALVNDTVGTLAGGKYANKDVAVAVIMGTGTNAAYVERSQAIPKWHGPQSESGEMVINMEWGNFRSSHLPLTEYDIGLDAESLNPGEQMFEKLTSGMYLGEIVRRVLCRIAEESTLFGDTVPPKLKTPFILRTPDMSAMHHDTSYDLKVVGSKLKDILEISNTSLKARKLVVELCSIVATRGARLAAAGILGILKKMGRDISRDGEPQRTIIAMDGGLYEHYTVYRVCLETTLRELLDAEVSKNVVCEHFNDGSGTGAALLAASHSSYAS >KZM86619 pep chromosome:ASM162521v1:7:4956957:4957181:1 gene:DCAR_023753 transcript:KZM86619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGVKTPCYDTGNIKAKSNFRFTRGISSFVPHSKWGFNSGMNYTRLCPRKLLKRELWKKTLLFDSGSSERICS >KZM87591 pep chromosome:ASM162521v1:7:18428952:18431339:1 gene:DCAR_024714 transcript:KZM87591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRTSSFKKLDSDIMTNLIGSHSPSNNGRKNSINLKNCEPVRVTLETTASFKNLVQDIQNSDSDCFGNKPNGYGSKFPHKSVPRVSLPQPAILFSPRPISELDVAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKRSSVSYFNVEKPETAMSRWARARTRAAKIDPRHRYGHNLHMYYDIWFESQSSQPFFYWLDVGDGKDVNVERCSRSCLQGQCIQYLGPIEREAYEVIVEDGKLVYRQSGLYIDTIDGSKWIFVLSTFRKLYVGKKKKGVFQHSSFLSGGATMAAGRLVAHGGVLEAIWPYSGHYHPTEENFMEFISFLEDHHVDLTNVKKCAIDDDTFSSNIEGDESISISSIKITKVEETNASDINISNKKIEDANIGEPMFSIARRLSCKWTSGTGPRIGCVRDYPAELQCQALEKVNLSPRVASGANYANCGPIPSPRPSSKVRLSPRISYIGLPSPRTPIASN >KZM87914 pep chromosome:ASM162521v1:7:21982963:21989463:-1 gene:DCAR_025015 transcript:KZM87914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSGRLVEKIAKTIKNVVENKGSSWWYTPHMAAASRAIAERIPLVDIVVEVRDARIPFSSTFEELGFSNSSKHIIVLNKTDLANRSQTKRWTGYLENQSHVCCEVNSHNKDSIKGFLNLLQSEVRELKRNGQFSHTTTVMLVGIPNSGKSALANSLHQIGRISAEEKGRLKHAAVSPNPGETKEISSLKIASHPNIFVLDTPGVLPPDIVDDDVCSKLALTGAIADCLVGELKLAQYFLTILSTSNEYKRWVKLPSMKKKILPGDQQRNCSAVSETKTGRKKQVPDHTQDSVVNDVRQTLFETISSFSEDLEDEANFVKLYGLQLTGLHKALQVVPGIGEDSDCIVGKKLLNLFRTGRLGHYTLDQLPSNV >KZM86527 pep chromosome:ASM162521v1:7:3779967:3780989:-1 gene:DCAR_023661 transcript:KZM86527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFNREMQPYQESFDKKFRKQPDSTKESSRIMRKIRIICNDPDMTDSSDDERSNNVKKSEPYGPKRIVREIRLPIDGSGKPLNSSATESSCQDNNSKNTKKKRVMAKAPSQPQRSYTTYRGVRQRKWGKWAAEIRDPCQRKRIWLGTYDTAEAASRAYELKKLEFEALVVPPFSGNSSKNLTALDQHLIQPARSEESWSIVTPTSSSSVLKSRSSAKEFVCVDKHTAVEEGETLTLAEIGKSLDLGFELGPEIGNGQDGEMKLSSETGEELGMGMELDYLFLDDFAKPLDEFGSLEDLQIFGLDNTELSGLPDWDFNELDGEELTWKNESRIEERTLIV >KZM88788 pep chromosome:ASM162521v1:7:31318840:31326363:-1 gene:DCAR_025863 transcript:KZM88788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDQDNPLLKDFDFPPFDCVTADHVRPGVRALLKKVEDELVQLESSLEPSWPKLVEPLEKMTDRLQVVWGAVNHLKAVKDTQELRAAIEEIQPEKVKFELKLGQSKPIYNAFKAIRESSDWDKLSDAQKRIVESQIKEAVLNGVSLEDDKRDQFNKIEQELVKLSEKFGEHVLDATKKFEKLITEKKDIEGLPSTALGLGAQTAVSKGHENATAEDGPWVITLDAPSFMSVMQHAKNRSLRKEVYLAYITRASSGELDNTEIINQILKLRLEKAKLLGYNNYAEVSMATKMATVAKAEELLEKIRAASWDAAVKDMEELKQFAKDQGAPEADDLTHWDTTFWSERLRESKYEINEEELRPYFSLPKVMDGLFSLAKMLFGVTVESADGLAPVWNSDVKFYCVKDSSGSPIAYFYFDPYSRPSEKRGGAWMDEVVARSRVLSRDGASPRLPVAHMVCNQMPPVGDKPSLMTFHEVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGETLPEDIYLKLLAARTYRAGSLSLRQIRFASLDLELHSRYVPGGSESIYDVDQRVSQKTQVIPPLPEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLNDIKAVKETGQKFRETILALGGGKAPLDVFVNFRGREPSPEPLLRHNGLLAVSV >KZM88415 pep chromosome:ASM162521v1:7:27706117:27707198:-1 gene:DCAR_025490 transcript:KZM88415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTACFMIISKSDIPIYEAEVGAAPKREDVAQQHQFILHAALDIVEDVAWTTSTMFLKGIDRFNDLVVSVYVTAGNILPIMSLSD >KZM88291 pep chromosome:ASM162521v1:7:26522842:26525026:-1 gene:DCAR_025366 transcript:KZM88291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQRVMDYIFAYTWSQVIASSATKKGELLLADVSTQLKHGNITADIKVDTGSNLLGTITVDEAAPGLKTILSSKIPDQRSTKLEVQYLHDHAGISASVGLIPNPIINFSGAVGSSVASLGTDVSFDTKTGDFTKYNAGLSFTNADLIASLALNEKGNQLSASYYHLLSPLTNSAVGAEVTHNFSTNENTITVGTQHALDPLTTVKARVNNSGKVHALIQHEWRPKSLFTISGEVDTKAVDKTAKLGLALALKP >KZM87620 pep chromosome:ASM162521v1:7:18666965:18669466:-1 gene:DCAR_024734 transcript:KZM87620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLAWDPKGKQGPMTPLPDLVTIHPPKEEEEYRQPIATAVLPTADIDLGPSPIPGQIPVV >KZM87246 pep chromosome:ASM162521v1:7:13082699:13083343:-1 gene:DCAR_024380 transcript:KZM87246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSRRSTKRKYEAVKNDLYVELTNLTNESNKLHTDIRNANEDFFLMCKSMAERQADFLTQALFLEEKYGEVVGLLEGKPKQGECESSGENVVLPTPYADSFARFAEDSNYSLKGHGLLMAEEEKKMSQLFKDYEEVFNENMKVFGERAKAIAGMRTNLAAKLTKFNTSVHIPALSD >KZM88945 pep chromosome:ASM162521v1:7:32507446:32509956:1 gene:DCAR_026020 transcript:KZM88945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKEYTVPKGDLWGHLRQAEKVGEETEGNRRSSHVHDHQKSYNHLVCMSNYDITELGWENGQPLMHEHVGILPAVPDSKTTWDRTDDTLESIVHQATYPNVNLPEFDHHLNQNHQLTNKNSIVTPSTRIWGENSNHVEMSPPVYTKKRVQSSEHSDQCRGMNNLTISHQNHADKRPCGSGNATFAKNNDATMMTWASLESPRSMRSKTKPVDEDSACHAGSEIPDEEPVNKGETAPSHSSKRSRVAAVHNQSERKRRDRINQKMKTLQRLVPNANKTDKASMLDEVIEHLKQLQAQVQMMSSRNIPHMMMPLGMQQQLQMSLLARMGMGAAPGLNFGSMLDMTNIARTASQPHPSLIHPNSATTAVTPTFIPPQFMFPPMISRQVQSQANMVQEATGTNPVPFSDPYRALLTQSMNMELNNKMAAAVYQQQLNQAAQTTNGTSNPKHVQRE >KZM89089 pep chromosome:ASM162521v1:7:33784704:33785540:-1 gene:DCAR_026164 transcript:KZM89089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNAYNSPCAACKFLRRKCMPNCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISYLQRQVERLQKELDAANTDLIRFAWTEALPPLPQGVRPIDLIISTTRHNFVNLEGTTNIGASNGNITTSFHQTPCATFSYPNIPWNSITFDNSTSAADVINPEGGGGGGGGGGAGGEPVLESQMEYSSCSLA >KZM87454 pep chromosome:ASM162521v1:7:16560585:16562837:-1 gene:DCAR_024588 transcript:KZM87454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFIHYHIRFCLVIVFLFFLKSEAQVKKAKHAALVFPIRKDHRTKQYLTTLKVSSKENHVEVVINLSSQLTWFNCDIYNLPTYQPIECGSQKCKIAKAEGCSLFCDDCKNCNLPIPSKPGCTNNTCSVDSYNFFTNYLSDQGLGEDTFLVDSTDGLTISFNYKSPKPFQFSCANPIQLRKLPVGSVGMTGLANYTISLPRQMSTLFKLPHKFALCLPTKSDKTPGHMFIGGGPYMFPPYSKDIAKELIITPLVINPQSTAPLYAVGESSKEFFINVKSIVVDGKPVEFNSSLLSFDEEGAGGTKISSITPFTYLETSIFKALVNDFTKAAALREMKTVASVAPFGACFSSQTIAKGQTGPVVPLIDLTLPDNQRWRFYGGNSMVPLNKEALVNDFTKAAALREMKTVASVAPFGACFSSQTIAKGQTGPVVPLIDLTLPDNQRWRFYGGNSMVPLNKEVMCLAFVDAGYKPNPKTSIAIGGYQLENFLIEFDIDSTKLGISTSLLLMNTTCSQSRL >KZM87759 pep chromosome:ASM162521v1:7:20154992:20159531:1 gene:DCAR_024860 transcript:KZM87759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLCLRIVIIALLSVASCSLKVHKTPISPSDVSSYSCNMNYNISCPSYLQGHPQNCPKLSFALFCENNRTFLTLADADAEFSVEAINYANSSIRITRSDFATCSSFPLIPYSHDYDTMRDFSSPLGEYNTALTFIACSTPVINSSISRRYKRTSVCSSSSVTSYVVIGYMDYSEVENNCTIQKTSWVSSAWPGINKTSFMDIHDFTYGIELPFRYLACLKCPAPQSPYCLSVRNENYQFDCEPAGGLAYILAFLHACLLIFMAQTDIGPPELLAAGFLLPVTARFLCGMAFLLVFLVYKLKRRHLSAYDTIEDFLQGQNNLMPIRYTYSQIKKFTKGFKVKLGEGGFGTVYKGKLRSGHVVAVKMLSNYKANGQDFINEVGTIGRIHHVSIVRLVGYCVEGPKHALIYEYMSNGFLDKSIFKDGDEDDGVTTLSSEKIYEKSWKVECDIEYLPRGCDMQILHFDIKPHNILLDENFNPKISDFGLAKLRSTGDCTVTMTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMAGKRKNLNPYVDQSSEIYFPSWIYDQVKEGKEIETEDASDIEKELVKKMIIVAMWCIQMKPIERPSMNKVIEMLEGDLELLVMPPKPLICPQETPGTEDQELD >KZM86549 pep chromosome:ASM162521v1:7:4074398:4075438:-1 gene:DCAR_023683 transcript:KZM86549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSCLDESTSTETLSTTLTASIFTSSPTLFPKSPESLCRMGSGTSIILDSESGIEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEHEAAKAYDIAAQRFRGRDAVTNFKLLSQDDDVEIMFLDAHSKAEIVDMLRKHTYIDELEQSKKTNGYKKRMDKNDPSVKSMDRLFEKTVTPSDVGKLNRLVIPKQHAEKHFPLQNGNTSKGVLLNFEDFGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLNAGDIVSFQRSNGVDKQLYIDWKPRDGADSGIRVGSDFAPIQPVPMVRLFGVNIFKVPEDGVDGNGKRAREMELFQVPNNNKKHKDR >KZM88296 pep chromosome:ASM162521v1:7:26572860:26574539:1 gene:DCAR_025371 transcript:KZM88296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNSCWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQISTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPSYTNLNRLVSQVISSLTTSLRFDGALNVDITEFQTNLVPYPRIHFMLSSYAPVISAERAYHEQLSVAEITNTAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDTKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESAEDGEDGDEGEDY >KZM88809 pep chromosome:ASM162521v1:7:31455977:31458465:1 gene:DCAR_025884 transcript:KZM88809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGCLTFTTPAAVPSLIPSRSFCSTKLQLSNLAAHHSCSSGLERRARKTTKPLLNYSRNSRAASATLSENWDVCNESSASWMPRFEELDTTNMLLRQRIIFLGSQVDDVSADYIISQLLFLDAEDQKKDIKLFINSPGGSVTAGMGIYDAMKLCKADVSTICLGLAASMGAFLLASGTKGKRYCMPNARVMIHQPLGTSGGKASEMSIRIREMAYHKVKLNKILSRVTGKPESQVEVDTDRDNFMNPWEAVEYGLVDAVIDDGKPGLVAPIGDSLAPPKTRVWDMWKVEGTKKSKNNLPSEERILQNGHASSQGNDEKGTEQEAEAPAPV >KZM88175 pep chromosome:ASM162521v1:7:25192999:25198627:1 gene:DCAR_025250 transcript:KZM88175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTHQIHLKLLNGRTKTLNFATPSIQISQIKTLIHSITSIPAHHQILISKGKLLQEPNEFIKLSHNINPISLLLRLRGGKGGFGSLLRGAGTKAGQKKTNNFDACRDMSGRRLRHVNAEKKMEEWNAEAAERRLERVAEEFIKKQVKEGKKQAKGGESAAKKYVEKYVKDSEKCRMEVDKSVRESVGDYFKKSGKRKGGSVTGGKLDGESSVGCSSESPSSEQEKDTIEDGKIQSSENSPDGDFIEKEGRVKEPSVENHEQIMVQKKDSEELTEAKSCDVEKIVVGQSPVVTTLAENEVSHVEANGDHNSAPEKHDETVKAVSNVPVVEEPLDFNEYGSAAEMEVLGLERLKTELQKWGLKCGGTLQERAARLFLLKTTPVEKLPKKLLAKK >KZM86814 pep chromosome:ASM162521v1:7:7301422:7312367:1 gene:DCAR_023948 transcript:KZM86814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSAQPSEPADNIPANPSHGGRFIQYNIFGNLFEVTSKYKPPVLPIGKGAYGIVCSALNLETNENVAIKKIANAFDNKVDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRGSFNDVYIAYELMDTDLHQIIRSNQSLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSESELEFLNENAKRYIRQLPLYRRQSLTEKFPHVHPSAIDLIEKMLTFDPRRRLTVEDALAHPYLTSLHDVSDEPVCATPFSFDFEQHALTEEQMRELIYREALAFNPEYQQM >KZM88658 pep chromosome:ASM162521v1:7:30043940:30049326:1 gene:DCAR_025733 transcript:KZM88658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAVYLAKRRGDPQQSIQVSGSRCRMYRDDGLYQATQDQQGLIPWNGKQDIMIDRFDGRALLDFIRDSDSRRIRVPDKTEEEEELEEFVNFERYRDLIKHRRRGFTDEDGLQHVHLEMEAKNVALFGLDSRSQPAQPPANKGAYSQVGFSYDGEGKQETQYSDGDDNDEDEDEDEDDDEDFNSDDSNDEGMDLIAKDFGVKRYGWLVFMDKKAKEEERRQKEIVKGDPAMKKLSRKDRRKASQIEREREREVARGVGSRVLHHDPYRESRRSPTYEAYPRSRRHACCTSLILLATMFTLLTFFIASVRSRSRSYSPSRSRRHARGAHSDDVPRSKSRAPKIEYITEFGGAGEGAEPKIVGYSPPPSPRSEAGSLNRPSSGHILEALHVDPASGVSLDKEKNSKLPKPPASTSSALAKLSKATGSGSLSKQPAEKKETPQERLKRIMSKQLNKQIKKDTAVEMAKKREQDRQRLEKLAETNRLSRYRRRSRSRSYSRSPPRRHRRSRSPSRGKSSRRRHYSRSRSPSRSPSYSRSLSRSRSPR >KZM86835 pep chromosome:ASM162521v1:7:7481512:7483090:1 gene:DCAR_023969 transcript:KZM86835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTNVGTSQNNTNLYYTHKIQIEEIINEGVRISTAVYNLSETDNFSSYHEVDNEDLDNEELDGSDDANNSESLSGVCDDGQTNETTYTEGHTSNAPCRRKMSPTIMSLILNFNPLKDDVFEGQCFADKQTSISAIKEIHIKNSKAIMLGRALQHSMSKMCCLNVYDEFEL >KZM87469 pep chromosome:ASM162521v1:7:16756664:16759601:-1 gene:DCAR_024603 transcript:KZM87469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTGARDPTTSALHIVLGKRISLDIAQIVRWKATFTSKEEISVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTKVFLKHSAYEAEVTYVEDIIDDNISAGSRTKPFLGPPKKSERVACRVKCGVCNTKPVQISAEPNLHESKWLRSRGKFLSVGAAVIACRNEKAPDGLVADAHLSDGFLHLILIKDCPRALYLWHLTQLARKGGHPLKFDFVEHHKTRAFTFRSIGKESVWNVDGELFSAHQLSAQVFRGLVSLFAAGPEV >KZM86954 pep chromosome:ASM162521v1:7:8871841:8873536:-1 gene:DCAR_024088 transcript:KZM86954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDANSGFKNLKETFIVDVQKAERKALNVPLISPITVATVKIEKVDNVAIKIELVDGCVGWGEAPILPPITAEDLPLAMSKVAEACEFLKRSPPMTLGLVLGEVGSILQGHAFASVRAGVEMALIDAASYSIGKPLWRLFGGVSNTITTDMTIPIVSSVEAAQFASQFREKGFKTLKLKVGKNLKGDIEVLQAIHAAHPDCAFILDANEGYTSTEAIQVLETLHEMKLTPVLFEQPVHRDDWEGLGRVTKIAKEKYGVSVAADESCRGLADVKKIVEENLADVINIKLAKLGVLGALEIIELARASGLHLMIGGMVESRIAMGFAGHLVAGLGCFK >KZM88247 pep chromosome:ASM162521v1:7:26041995:26045388:1 gene:DCAR_025322 transcript:KZM88247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTITVYLYIPNIIGYIRILMNIFAFAICFSDRKLFSLLYFISFVCDALDGWFARKFNQVSTFGAVLDMVTDRISTACLLVILSQVYRPGFVFMSLLALDIASHWLQMYSTFLVGKASHKDVKDSTSWLFRAYYRHRMFMAYCCVACEALYITLFLLANNQTENITEVLVGAAQQSLLNSILLGFLLFGWAIKQSINVIQMKTAADLCVLYDINKKQKD >KZM88159 pep chromosome:ASM162521v1:7:25023014:25023808:1 gene:DCAR_025234 transcript:KZM88159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKILEITLISAQNLKTPSTSIRRMQTYAVAWIDPNTKLRTRIDRVGSENPTWNEKFIFRVSPDFISGDTSGVSVAIYAVGCIKDFLVGTVRLLLSSCLHKKTGIRTPAFTAVHIRRPSGRCHGVLNVAATVYQGTEFEMLTGLNAVTFHDLIGEGEKSNQLRRSRERRLSRVGSKESELGSGDSFDFDSVDFSDGAESTTSSSSNASTVLKDSNNAEVSGTKACKSDGLGMLCGLMLQRRFSFCPSDLNFAAVSKKMQENKE >KZM88920 pep chromosome:ASM162521v1:7:32298737:32304144:-1 gene:DCAR_025995 transcript:KZM88920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGVQSEREDKVSLELNEEILQSMEVGMAFRDYNGRISSLDFHKTSNFLVTASDDESIRLYDISNATCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRVVSLSLCSRNDHFISGSLDRTVLLWDQRADKCQGLLRVQGRPATAYDDQGLVFAIAFGGYIRMFDVRNYEKGPFEIFSVGGDMSDANAVKFSNDGRLMLLTTMDGHIHVLDSFRGTLLNTFNVKPVSSTSTLEASFSPEGSYVISGSGDGSVFAWSVRSGKEAASWMSSETEPPVIKWAPGSLMFVTGSSELSFWIPDLSKLATYVGRK >KZM88834 pep chromosome:ASM162521v1:7:31632755:31633375:1 gene:DCAR_025909 transcript:KZM88834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKSHNLEPQFTIIDPTSIILSQFQSDHNLLHLKTESIFLERGPNYKAYADLRESKLRSKNKFPPLDQEPPLTPPRKQVKFQGSLIPGPPRRKVSSVLAQSVPDFAAVVRKENRKPMMPMTPVIEKARTPPAGSKSGRMYGVGSKSCGSKSVNSGEKRNGGGLMGRKSYASMEELKGLSYAAANAINNGGEIRGGRRTILGYKH >KZM86355 pep chromosome:ASM162521v1:7:1949883:1950956:1 gene:DCAR_023489 transcript:KZM86355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLPKDIFLEILFLLPVKSLLRCKFVCKSWRSRISDPDFVKQHIARTTNNPNNDLFIAYDEFNLFLSVIDVTIDRSTRLNRRIDNFSHIVGSCNGLLCLADKAKELYLWNPVTRQVKNMHGYKYIVGENSADYGVAFGFGFDHASSDYKAVRIVQKINVINVINRVELYSLKENCWKEINAKLDFGLIDKSRGKVTSVNGSIYWLARKRMDDSGLVVLSFDVQSLKFGTIQFPDDLSVLPFYWRRNYDEFSVLQFKEYVALCYSLDLGGCVVIYTLLDGNCWCKNMTVRRLDYLVGCLKTGELIGLKYRYDGRRNGYHEDVVLYDSVNDVAKSIQPIPSGRRTLYHYTESLLDLN >KZM89143 pep chromosome:ASM162521v1:7:34282681:34283019:-1 gene:DCAR_026218 transcript:KZM89143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNITPDKRLEFIRSLEFEAEYRSVDPINGYKGLVNQNVEQIEEYKKSIKLLIEQAKHLRSIEHHREKIAAAEEKIRQLENIVVTQFQKIGEIYDDENKPEVKEVAGEDHA >KZM88949 pep chromosome:ASM162521v1:7:32529109:32531457:1 gene:DCAR_026024 transcript:KZM88949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAESYLTKNVCVIGAGPSGLVAARELRKEGHKVVVIEQNHDVGGQWLYDPHVEAEDPLGKCTTLKVHSSVYDSLRLQSPRETMGFSDFPVLVKDGRDMRSFPGHRELLLYLQDFCECFELREFIRFSTRVENVRMLNYGDQFGKDLIWVVKSIDIKNEEVLEEEFDAVVVATGHYSHPRLPPIKGIDGWTRKHLHSHVYRVPEPFRDEVVVVVGNSFSGQDIAMELLQVAKEVHLSSKSLDICEGLSKVISKHDSLHLHPEIDSLHEDGRVVFVDGSCLTADTIIYCTGYQYTLPFLDSKEIITIDDGRVGPLYEHTFPPSVAPSLSFMGIPTRESTKPPFKIRNVVIQRISRVTLASLMQIIGFPFFESQARWIAQLLSGKLTLPSQDDMMQSIKEFYREMDVAGIPKHSTHDIGDFEYCDKYADFAGSPHVEEWKKELCLAAVMRAQVDLEMYRDSSYEDYGLPHQNSKLAN >KZM87048 pep chromosome:ASM162521v1:7:10448206:10451876:1 gene:DCAR_024182 transcript:KZM87048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFLVGRAGRYGSRFPVGEVTCLDSHDLPLLHSSLNAPSPILKRAGLFPNYDLLYMYSRLNLKSGLYQILEHFLENAKLSANYFITDSEELLVCCLSLSVGFTLSFLFSSLQASGKFMLIGMPSVQRAAAVIDELPLGLQEKYLFCISPVDMCDDISSQGLTQEIFTPGMLQVPKTPKALKELESIHKLAIFSLSTVYSGRDD >KZM87200 pep chromosome:ASM162521v1:7:12336559:12337146:1 gene:DCAR_024334 transcript:KZM87200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEANWDKLPCELLIVIVQKLRLCQDLERFSAVSKYWKSVVSGLSQDEKPLLPPESPHLFLAEQVAEGALLSCDFNNDENEDVDYDVEEELDEDYDEACYQEYLAYDYGKHSVCNTRGLYSLSTRKTYYIDLPEASGRLILGTRMAAYTRERLANQSIASFFKAANSTSSTEYLSGKQDNDRLTCRTIYACKCI >KZM89256 pep chromosome:ASM162521v1:7:35416254:35417594:-1 gene:DCAR_026331 transcript:KZM89256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHTKSESDVTSLATSSPSRSSPKRAAYFVQSPSRDSHDGDKSSSMQPTPNFNSPMESPSHPSYGRHSRNSSSSRFSGIFRSSSGRKGGKRRNDKGWPECNVIVEEGDYDDENRFTRRYQALIALLGFIVLFTVFCLIIWGAARPFKAEVTVKSLAVNNIFVGMGPDFTGVPTNMLTVNGTLRLSIYNPATFFGIRVSSTPVDLIYSDVVVATGQLKKYFQPRKSHRIVLVNLEGLRVPLYGAGSAIIETKTGGYQVPLDLKFEIRSRGDVVGKLVTTRHRRHISCSLVIDTVKSKPIKFKKNSCDYR >KZM86493 pep chromosome:ASM162521v1:7:3357134:3357523:-1 gene:DCAR_023627 transcript:KZM86493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLDSLRRYWKKKQYQRLDDTADKKRELKLARAGSRRQQVEIVNEASKVMLKLISTVKFLCRFLKGKIVSLSNNDDKKISQNISDKPRNEVHSNEVVDARSVLEIFNSLVSSAEGARLLACSSLAIFV >KZM87877 pep chromosome:ASM162521v1:7:21558306:21559259:1 gene:DCAR_024978 transcript:KZM87877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLEEESRPKLLFQSRPIPANHEETNPKKPLFSFQNPTLFISLPISLLLFFLSLFHFQSEPLQSIFFWLALSFFVGPFAPSSITAGDIRVGLGPPLLPPPETDVPSSDSLEISRKPNRRSKIKKQEEPHQDFSFSVVPKEEKKKESSLSDGVVGVKEESEWTDGDLEVLKKQMVKNPVGMPGRWEVISEAFNGRHCVESVIKTAKSLGERKVSDSDSFDRFLKNRKPVDKRVEDEIENVNARLGNNGELSNEKEKSWSSGEDIALLNALKAFPKDVAMRWEKITAAVPGKTKAACMSRVAELKRDFRSSKAPPSEK >KZM89265 pep chromosome:ASM162521v1:7:35503208:35505187:1 gene:DCAR_026340 transcript:KZM89265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGSSVYSYEVSANGLRESPNGNEGALKHTYNTKPPLNNIGRTKCPSVEGTIEKKVAASKSVKKAFAHELHCPDTTEASIPSTGLNAPFRDSSIFRNQNNIPRRTLDFSSSPVEDSSTTNYNDIGRKXS >KZM87556 pep chromosome:ASM162521v1:7:18129712:18130380:1 gene:DCAR_024686 transcript:KZM87556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDYGIVPGYEHYLGVVDVLGKSGHLNEAVEFVDNMPVEPTAEIWEALVNLSRLHGDIELEDRAEEMLASFDPSRERVDKLPVPLPKRHSVPNMLQVNNKVSEYRCLNPYKGNRYENFKGLNGQMREAGYVPDTRYVLHDIDQEAKEQALMYHSERLAIAYGLISTPARTTLRIIKNLRICGDCHNAIKIMSMIVGRELIVRDNKRFHHFRDGICSCGDYW >KZM87204 pep chromosome:ASM162521v1:7:12442869:12446887:1 gene:DCAR_024338 transcript:KZM87204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEVIMSLFPSILSLLIIAISLFLCFFFFRKTNANVPPGSSGWPVVGESIRFLLSGPQKFIEERTKKYSEDVFQTSLFGQKLAVLCGPQGNKFIFANDTKLLASWWPLSLRKVLFFPEFVQATSDEVTALTHDFMHDILKPEALKQYIPVMDSMAREHVEVEWVGNEVVKVFPVSKKYTFDLACRLFMSVVDVDHVTRLAEQFALIASGLFSVPIDLPGTAYRRAIKGGKLKHKANVPPGSSGLPVVGESIKFVLSGPQGFIRERMEKYAQDVFQTSLFGQKMALFCGPQGNKFLFSNQTKSLASWWPLSVRKALFFPEVVESSTDKIASLQHSFMREILKPEALKHYIPVMDSMAREHVESEWAGHEVVKAYSVSKKYTFDLACKLLMSVDDAEHLIAELLRVENQFELSL >KZM87894 pep chromosome:ASM162521v1:7:21819996:21824359:1 gene:DCAR_024995 transcript:KZM87894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGMQDEEVGSKPLGRCSVFTYGMGHMLNDITSACWFTYLLVFLTDIGLSPGDSAAVMLSGQVADAFATVFAGELIDRFGYFKIWHAAGSVLVAFSFSSVFGGCMPCKILGNNSLKLQTIGYSIFAAIFNIGWAATQVSHMSMVNCITSNSTSRVMLTSCRNAFTMVANLSLYAIALLVFSVSTSKTVVNVENQYRWIAYSSIFFGCCFVAIFLIGTREPRLYRGDCKTYTRISWTYWFKKILYYQVALVYVVTRLVTNVSQAFLAFYVINDLQMAQSSKALVPAIIYICSFIVSIFLQEMRWTSQHLKAIFNAGCFLWISCGSIILFLPRSMNYYMYILSILIGMANALMMVAGISMQSVLVGEDLNGVAFVYGSLSFLDKMSCGIALYSLQSYQQSADTLSNMQECSPFHACFSVTRYGLGLVPALSALVGIIVTSTMKLHPSALNPLAEPLLAQF >KZM88268 pep chromosome:ASM162521v1:7:26327747:26330587:-1 gene:DCAR_025343 transcript:KZM88268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKGEQRTDFPIVCESCLGDNPYVRMTKANYSNGCKICSRPFVVFRWRPGRDARFKKTEICQTCSTLKNSCQVCILDLQYGLPVQVIDTALSINSSGFIPKSDVNREYFAEEHDRRARAGLDYESSYGKVCPNDTILKLQRTTPYYKRNLPPACSFNMRGKCNRGAACPYRHEMHVGGEFPQQNIKDRFYGVNDRVAVKLLNKAGEMASLEGPDDQKTGTLDVGENERMNEQQRGYYSSMDPQRMGAVNPFHSGLGKQHHP >KZM86452 pep chromosome:ASM162521v1:7:2929757:2930511:-1 gene:DCAR_023586 transcript:KZM86452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTNANNYEKSPQPSAPPAYQYGQPPPITGVPMQYSSEPAMWSTGLFDCLSDFPQCVVTCCCPCISFGQIAEIVDKGSNSCVTSGALYALLALVTGCGCMYSCFYRTKMRKQYMLEESPCGDCLVHLCCEPCALCQEHRELRIRGFDMSLGKLFLSF >KZM86295 pep chromosome:ASM162521v1:7:1417667:1418246:1 gene:DCAR_023429 transcript:KZM86295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEGEKGHMNEMKRHIFVDEPYGCEAIEFYKAVFRAVEVNRVYKEIETDDEIKGSVRVVSCELQFCSSIIIVSELTPKSSMLNQKNVAPPRGGTMLVYAKDVESALDLAVRLGSSILKDGKFEKQIKDPYGYIWIIRTGGRAAVVGTSNPQGETKRQRIL >KZM89073 pep chromosome:ASM162521v1:7:33600919:33602590:-1 gene:DCAR_026148 transcript:KZM89073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNTLGSTTGRRRKAEPPKPDKENVAPVQINTLSGSGTLNSTQNAGIHTATTTTPHSQTCHRTLSSTQCIPIRNIFNDISNAVTPLSNISNQGSSKMSRKGWSTNKDVVPFYLKSPTVNLNERDASISPGPAFNSGTIPVSAVCNTPFNLTNVMKLNQGLCTTGTQKRSAKRRKGVDENVLPQHPSNSLNHLNSPVLNFEERSASCMTDQAASISTRPPLSDVSNTAVNIHSRMDLNEGDKDYSPSPNTISEDYGPMRQVKAKKSKTRIPPTDKEGTSRQLFGIRQLNDDATIEHSAIASVLEDADQLFNQNG >KZM87097 pep chromosome:ASM162521v1:7:10940698:10940981:1 gene:DCAR_024231 transcript:KZM87097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICQGKSSWPELVGASGEDAAATIERENTLVDAIIVKEGTSVIDNFSCERVWVWVNCDNVVVSIPKIG >KZM87663 pep chromosome:ASM162521v1:7:19005909:19006082:-1 gene:DCAR_024764 transcript:KZM87663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIITRSFTFMMGTACGVYIAQNYDVPDIKKLFVTNFEKLKRTEEIHRKPNKEKDDL >KZM87943 pep chromosome:ASM162521v1:7:22300737:22300979:-1 gene:DCAR_025044 transcript:KZM87943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRLPTKQYLDFVFHQSKLARRARSQGRRAVRQGWGNWIVEQEPDHVHVIYNIPGPIPHNLNEIATDNSLLRGFLLDD >KZM86341 pep chromosome:ASM162521v1:7:1844656:1845277:1 gene:DCAR_023475 transcript:KZM86341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNRWQFAGIFYGLTRQQSVHIDRALRGLLNMQLTEEGCRDLRRWISADNTSYHFILTIVAGEGLYVAMRGPFRGVKARFENGRVTPIDVEIMNYHMDYSIGEAVVEEADRFIIIGADNIWNVLGNQGVVDCVPLRATRKLLRLLLRRHCGLQLI >KZM88098 pep chromosome:ASM162521v1:7:24439385:24439882:1 gene:DCAR_025173 transcript:KZM88098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVRQLDARMPSSFVPDFRQLAPKFVDRYTRFMKTVKGPGFTPPVFEHLDRADFSGTGLAGVAEQDIIPMTQPSQHIPEQPASSSRPDKLALVSLRKGKWKMNKPLSPTKQDTIATEWGWKDGVVKLHGGVKNALYELKPVNLLTLAPGSWIDDRIIYAYMVRS >KZM87534 pep chromosome:ASM162521v1:7:17899057:17908562:1 gene:DCAR_024668 transcript:KZM87534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVTKPSNGPLGNNNSKGTSAEKSSKGSEIQSKGATNLGNGSFSSGFKEAQLGNNSEQEIKKQQQLETINKFWFLLDHELVAAVNKGNHALLPMAEAKVDFLLGVVSRELLEEGVKGDEEALWSIHEFLYNNGWIEALQGQREAVRMALNQIHYGSIELARQEQKGISMTKGEREDNKGANVSELQAQAGVMAKRGKHGSRVRPVNLQQSQPHSQDSALYINGFRQLSQGQYSEANNDTTLLNFIRANADLVHPNTIAKASNGDQEGVRMALHQIHFGSLSARPIQKKPKFISPNKKDYMVEEQKIITYDFLKVHASMVEPGVLKDALGGNDKALSLALGQIHHHSLTGDHASTKPLPQSPSQTFREALLRNSARPSPTVKRSPPPNSQSSRKKGDMAPKSSIFFTELDDSLHMKDLWSLFKKEGKIRDIILPRKRDKFGNRFGFLLASDEKQADNIISALNGKLIGSRKLYLAKAKGAQKPPSQPPKSASSTRAPKVHITPPESPKSGLGAVNALIRGLSGDRFIAYFPHYMDLQDTDREFLKIGFAEVRDLHLDDLLPSRKTWVEVRGLPIVGWNEDNFKNILSSFGTILQFGKTRDEEDFYQTPKFLLETQSVSEIDEIKYINLMGKIWKVRFLETTGALSQLNDAIPNDFFSYSRDSPANDFIQSRRSCESTKKSEGECMSISSNPAGSTALPEHNHFNDHELVDDEEEVQEILAEDEEEILDEEVILEENRTGNVVLIDSEIETHTLTDEGCENLAREVSNSDINPLTPFSEESPITLAPIDEVAPNFGLGEVEDGPPPAPTLKRKRDVSHATLAGLRARKLRRSASI >KZM86294 pep chromosome:ASM162521v1:7:1408869:1409771:1 gene:DCAR_023428 transcript:KZM86294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVPTTKQSTTDFYSQISWRDFSPVFDQNHLPICWAVVAADTVVGLANAQGLSVEDHPNVPPLSVQQILDNMPFYNANYLRHRREEAGYLGHVPGAYAYIKDFGLHTATAYPYQRMTGYQATAEGHTHMLTNGFRNKYAARALERVSIESFSSYAANDYETNVFVGSVVKQLKKQPLGAGIYVSSHFDRFKGNGIFEDIADVPDTSKYGHAVVLTGFGHESGGRERMFWEIKNSYGLEFGDGGYAKIPVEAIKYVWHPNEANIKKK >KZM86982 pep chromosome:ASM162521v1:7:9367042:9367374:-1 gene:DCAR_024116 transcript:KZM86982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLATNITSAEFYKEKVAGFADTVIMKPLRASMVAAYLQQVFGTRKKPQGKEMLNGSFLRSRLCSKKILAVVDNRVNLKKFDADVEGAESGKDFILECNLIMDHGSSLI >KZM88370 pep chromosome:ASM162521v1:7:27269695:27274531:-1 gene:DCAR_025445 transcript:KZM88370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMIFLTVFTFQIRRCWVLRLDKTKFYAVGAGIFSGITVALYPISVVKTRLQVVSKNSTERNAFSVIRGLLKAEGIPGLYRGFSTVITGAIPARVIFLTALETTKVAAFSVVEPLKVSEPTQAAIANGVAGLAASLLSQAVVVPIDVYVGECYLHEYCSWKMQSFIRETIVAQGHGSESDSPPPSQWEIMSVQTGGGIFAGATASCITTPLDTIKTRLQVMGNERRPTTKQVIKQLIADDGWLGLYRGLGPRFFSMSLWGTSMILAYEFLSKATFFSFVLNFAFTQGCLCRGLMDDDTYP >KZM86871 pep chromosome:ASM162521v1:7:7866942:7867106:1 gene:DCAR_024005 transcript:KZM86871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATEINTYGHLDEIAEEDIRMRFILFKKEQFFSSTELQKLHNSKTGLIKHRRF >KZM89096 pep chromosome:ASM162521v1:7:33835084:33838033:1 gene:DCAR_026171 transcript:KZM89096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASILILKVVMILISMGWISVWLLKPTQLWTRKWKAAEKCASTTVFGYNGLDFAVYTFPLILVAILGLVYIKLKPDEARNRRGKSSTSALSNPLIVNSYVGVLTGIEILAILLFFLFLAWTFYAHISTDFKKMTPNKLFKLNKWQFKLFRMATRSGLLAEACLALLLLPILRGLTITRLLGIHFEASVRYHIWLATSMIVFAALHGGGTLFIWGEKKHISDEIWKWQKKGRIYLAGEIAFVTALVIWITSLPQVRRRRFEIFYYTHHLYIVFLIFFLFHTGDRHFYMVFPGVFLFGIDKLLRMMQSRPISCILSAKVFPSKAVELILPKDPRLKYTPTSIIFMKIPIISKFQWHPFSITSSSKVDDSTMSVIIKCEGWWTNSLYNIICAQLDLDANIKPCIPVAIEGPYGPPSPNFLRYDSMLLIAGGIGITPFLSMLQGIASIQNSGSNKFPSRVKLIYVIKKCQDICLLTPVCPLLMEQNIDQLHLNLEVFVTQEAHSDATVRELLTEFSQVRIVNFDSKCSRDAAYGPDRIIWMAVITASSSILFIVFLTFFNQIYLNPDQKSSEAKKPSTVTDLLLICSFILSITCSILASLVVRMKTLKKEPTPFSVKQGTKHSSEASTEPEEHKIHFTGRPSFQEIFDKYSEDTRGSSVGVFVCGSESMKESVASCCMLNSQDFMKCAAQKRKPFFSFHSLNFTL >KZM88648 pep chromosome:ASM162521v1:7:29935460:29935810:-1 gene:DCAR_025723 transcript:KZM88648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLLIIASLFLILTLSIEIRSAEGRHLGSKMKGDTKKLQSHFKVPEKDIQNVTGKTVSKTVYGNQDTASTVTVQTPPSPSVGSTLAPPPPDHATTDFRPTSPGHSPGVGHSIHT >KZM87769 pep chromosome:ASM162521v1:7:20266932:20268803:1 gene:DCAR_024870 transcript:KZM87769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATYYQPMTLWDDRSGNLTDFTTHFSFIINSQNRTKYGDGMAFFLAPVGSKIPRTATKGGSLGLTTDEQPLNSTDNPFIAVEFDVYTNPGWDPVGEHVGIDLSSMKSVTNVSWLGGKSSVLEGLKNEAWITYQSSSKNLSVVFTALSNNRTVNQSLSYMVDLKDYLPQNVTFGFSASTGNQSAICGIYTWGFNTSLELHESYLTDQAPTSSNDNAMLIGFVAGGVTAFCVALLALAYYIYRRNREDSEDEIAFDDTMDGEFERCTGPKRFSYRALARATKNFAVEQRLGEGGFGVVYKGFLKALNCDVAVKRVSRTSKQGLKEYASEVRIITRLRHRNLVQLLGWCHEKSDLLLIYEYMPNGSLDSHLFRGKSLLTWPIRHKITQGLASALLYLHEEWEQCVVHRDIKPSNVMLDSNFLTKLGDFGLARLVDHEKGAQTTALAGTIGYMAPECITTGQASKESDVYSFGIVALEIACGRKVIDANFDESRMRLLQWVWSLYGTGELLQAADPKLCGDYDEQELQRLMIVGLWCAHPDKTLRPSIRQAIHVLNLDAPLPILPPTMPVATYYAPLNMSIAPLAIAYGQNVWRHRLGESSGNYNTESSSYASIDSAAPSVLFPR >KZM88529 pep chromosome:ASM162521v1:7:28897070:28897729:-1 gene:DCAR_025604 transcript:KZM88529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSIQTLPLDIVSLLLRTVLAASGFADFYSMLKAWAQAQKKHLILRLLEDFPISGLYRFGNVGSMSDKAAFQQFMIVAEEMDIGDAIVYQRCVNIFLGFRNIEASYAALDELSSRGHFLAKIASWLLKILYRRHTSLSALHALVDIHRSPYYAHRIGPALASIKVIYSSIRGSHTLPTVEKKICCPIHSSGGEEFVAIERLQAGLCIFCELACMLNMF >KZM88616 pep chromosome:ASM162521v1:7:29735944:29741987:1 gene:DCAR_025691 transcript:KZM88616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQPENTRRLCRLINDSLAPFNSITDAKETDHTVCTDEDHHCLTKIVIELVSLLEVKNIYVQHIAGNILVVISKFLAASGSCYDKYVYLLALCLELSTCNCLQTLEPSTRFDESHSNLSASFLVLKQSLKSASWYTAAGVISVLRNMLKHLKMECDDQLLKGYLNSVRSCILNIPWNVFEEVSLGEMYLQHEDALNRNKLQNLPSLTLFNGNLVQFFCSLAAHGSASETSAAYMNEQPVACIIGNVMPKILGWCLRKQDHNNTRTSQYLRHKILKLMVRLTYQMHLQCEVLVSWLNIIGKYFQDLLAEPLTRVENNMGDSLEGSPFLISFSKDNKGISDHHLQRLAVFLFLRCSLSLVCQRDGTDERCVCDEEKLNHSCCNRRQGILGLYQWLRGHIAQDMFADNDIYIQKCTSFSLSFLQLFMHEDDILFKVLLQLFTVPLSVKPVSRGSITLQKVEDEDNMYRHISDLLNPICLFHLFLAELLYDYQVLLDYLISKDTGASSAEYLLRCLRAVCDSWISFVEFSWDEEVTNYTKFKKRKVLVDVLDLEGGESSVQRETDDSSLSLTKRCMRKDVYTSRQHQTTRPPFERAMECLLSLKSSLLNLHRKKLFPYNPDVLLRRLTKIEELCLKQKNLLTSE >KZM88907 pep chromosome:ASM162521v1:7:32203057:32204695:-1 gene:DCAR_025982 transcript:KZM88907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGITSFSELHSMKDRPALNASYVLQFFVLGLVGVVLNNGSYFIGLENTSPVFASTIENSVPAITFALAAIFRIEEVNLRRKDGFAMVFGTFACVAGALIITLYRGPIIYSPDSGSHQLESMSFQEDTEGKNWALGCVSLVIHCFLAIAAFTERNIEAWKIHSQSELLCVIYAGVVASGLAFAIQIWVVERGGPMLVAAYLPLQTLVAAVMASVFLSEAFSLGSIIGAVLIILGLYLVVWGKNEENKIKSEIEPLRSMLEKNCLPGHEKSLLVEPLMVSSNNF >KZM89185 pep chromosome:ASM162521v1:7:34750330:34756043:1 gene:DCAR_026260 transcript:KZM89185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSVTLCLHTSTSLHGTSSLAKKKICQSRCGNSDMGWLTKILKGSSHRISEGQYHGKYHEEKFWEGPSNSVDAWSDFEQEDIDHAIALSLAEEDLKGKKVVEVKIESEPESESEPEPEPEPEPEPEPEPEPEPEEEPEPHSELEKVEKPKMDVLLEEDEQLAKAIQESLYIDSTPQYDFGNLFQPYPYLYPPGFRICAGCNSEIGHGRFLSCMGGVWHPECFRCHSCNIPISDYEFSMSENRPFHKSCYKERHHPKCDVCKNFIPTNAAGLIEYRAHPFWEQKYCPSHEHDMTPRCCSCERMEPRDTRYLLLDDGRKLCLECLDSSIMDTHECQPLYLEIQDFYEGLLMKVEQQIPLLLVERQALNEAMEGEKHGHHHMPETRGLCLSEEQTISTISRRPKIGAGYRIIDMITEPYRLIRECEVTAILILYSLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSEIMAGSGSNMASTSSASSSSSAPPSSKKGKRSDFEKKLGEFFKHQIESDTSAAYGDGFREGNKAVLKYGLRRTLDHIRLTGCFP >KZM89267 pep chromosome:ASM162521v1:7:35517164:35517853:1 gene:DCAR_026342 transcript:KZM89267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGQLDPAPDSLSGQTKHIPYISNTISDSSKQNKKSNSNDHASDLLSSQTKRTQCIPSKRNTSSSKSKHPVYRGVRMRSWGKWVSEIRQPRKKTRIWLGTYPTAEMAARAHDVAALTVKGGAAILNFPQLAHSLPRPESVSPRDVQAAAAKAAAMSSSSSSSPSSVFDHESYELGLGEITELPSLNVEKESSDEVLLVDSPDMWLYPAPSWAEETELDGYLISGEFN >KZM87963 pep chromosome:ASM162521v1:7:22565892:22569879:-1 gene:DCAR_025064 transcript:KZM87963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNIFCSDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQDSFNNVKQWLSEIDRYASENVNKLLVGNKSDLTANKVVSYETAKAFADEIGIPFMEASAKDATNVEQAFMAMTSSIKERMASQPSMNAKPPTVNIRGQPVAQNSGCCSS >KZM87981 pep chromosome:ASM162521v1:7:22923990:22925034:1 gene:DCAR_025082 transcript:KZM87981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFDPVHDPTGFIIVPKTEPASSEFTSRIGLNLGGRTYFSSSEDDFVNRLYRRSRAIETGSVNAPRCQAEGCNADLTHAKHYHRRHKVCEFHSKAATVIASGLTQRFCQQCSRFHLLTEFDNGKRSCRKRLADHNRRRRKTHQTNQDQSSKSQLENAHNSSSENLTRSPPDSSLQSSSSVTMPISPPRISLDSFRQRLNYQGSSSSTNSRFLNE >KZM87338 pep chromosome:ASM162521v1:7:14787495:14788604:1 gene:DCAR_024472 transcript:KZM87338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYFPKVETFLPKELMLPSYFQEIVQGSHSFTDPNVWNILHSNSESISPVDDKSCVATLSTYNIPDLARTNFPEDSSCIPLNFLDFFPRLSQPTHDHVSEPSLISSSVDEKFPNLSLFLNDPSILNVSKQPSESEIFECHKNHLVQTSQHSDQATSECLRMNQSLLTSYAPKGLSDYWLSATKTQPMKNSGRRIQKPSYTKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAVAYDTAAYLLRGDYAHLNFPEQKHQLKANSMNGSTAALLEAKLQTMSGNNKPSEVRKATSKKTCEESIETKEWQVDELKGKKGLVSNEKNRRPQQVFCAENVNGVDQLSRMPSLDMDTIWDALLVSSDS >KZM86787 pep chromosome:ASM162521v1:7:6863838:6868690:-1 gene:DCAR_023921 transcript:KZM86787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPFSSKERDVNWDSPRTEMNSTDGKTSYSSTEDPHSNFEDMMNFDTYAGWCTSPSTSDKMPESYSLLPLAKDCMISGPLSFTQDSFESFCVIDNDVRSLVRAGETMMFDQTDPEFDFPLDFDDKDDGHAINNSHFCQQNNTMEIKNCIIARPFPPPLEERMIKALSLFMESSGGGILAQLWVPVKDGDQLKLSTCEQPYLLDRMLAGYREISRRFTFPAEVEPGSSLGLPGRVYASKIPEWTSNVGYYSKKEYLRVQHALENEVRGLVAIPIFSGNPREMSCSAVLELVYLKEKANFDLEMENVCHALQAVDLRSTAPPRFSPRFLSNNHRAALAEITDVVRAVCHSYVLPLALTWIPCTYDNGGGEDIYSIRVRGDKTKSGEKCILCIEESACYVNERETQGFVHACAEHYLEEGQGIAGRALQSNHPFFSTDVREYDITDYPLVHHARKFGLNAAVAIRIRSTYTQNDDYIIEFFLPRTMTGNSELLLNNLSNTMQKICRSLRRVSEAELLEQSGALPGIKKGLVTKSPTGTFSSRSNQHELINSNITCGDQVHKSTHVGMETDGSAQQATSSKRVLEKKRSTAERNVSLAVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLNSVEGVEGGLKIDPSTGNVVTSGSTFENFGDFGASKNFLFTNKSEPECYEMAENMSMARPSSCSNEKNDVKVEHESGANGNQVNSCALQNWKRENNSSIMFSEWLNNSDVADPIGKLINPGIGYGGGSDSSGSYFSKEDSKICGLNSGDMILEKYDSLLKCHSSSSIAVANEMYTGARVSSTRDDGASLAQVNQPTTSSMTDSSNASTTHGNSSSSPDIGEPKGSKTPICEANSRITIKATFKEDTIRFKFDPYLGCFQLYEEIAKRFKLQIGTFQLKYMDDEKEWVIMANESDLLECLEILDFMGTRNVKFQVCDVVFGMGSSSSSNFVTGGL >KZM88844 pep chromosome:ASM162521v1:7:31710179:31712560:1 gene:DCAR_025919 transcript:KZM88844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASDASREDNVYNAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVNIIKEYRGKIEAELSKICDGILNLLDSHLVPSASSAESKVFYMKMKGDYHRYLAEFKVGAERKEAAESTLLAYKSAQDIALAELASTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDIADEAGDEIKEDPKPSDD >KZM86447 pep chromosome:ASM162521v1:7:2887254:2889040:1 gene:DCAR_023581 transcript:KZM86447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAPNASANNEIHCQAEGMDLDHHHDGNVLRKHVAFFDQNNDGIIYPWETFRGFREIGCGILLSSVAALFINMGLSAKTRPGKSFSLLFPIEIKNIQMAKHGSDSGVYDTEGRFVNSKFEEIFAKHANSNSSALTSDELTGLLKSNREPKDYKGWLASYTEWKMLYILCKDENGLLHKDAVRGVYDGSLFERMAKMRELQLSNKKSA >KZM87098 pep chromosome:ASM162521v1:7:10941274:10941644:-1 gene:DCAR_024232 transcript:KZM87098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTCRSREGKSSWAELEGINGELAAQIIERENKNVNAVVVAANSFGTSEINCFRVRVKVDKDGIVTSTPRIG >KZM87445 pep chromosome:ASM162521v1:7:16479437:16480432:-1 gene:DCAR_024579 transcript:KZM87445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISFSACKQAPPQPLVNFRGGVVSMDPFMPWRRKDKVVILMGATGTGKSRLSIDLATSFPAEIINSDKIQVYEGLDIVTNKVTEEECRGVPHHLLGMIRSDADFTANDFRHHATIAVESIVSRDRLPIIAGGSNSFIKALVNDEFKSRYACCFLWVDVSFPVLHSYVSDRVDKMIESGLIDEVRQFFNPDSDDYTRGVRRAIGLPEMDEFLRAEARVDDETRDALLEMAIYKIKGNTSLLALKQLRNIRRLRKQMEWDMHRLDATEAFLKSGSESHEAWERRVGRPSRGIVGNFLCEEDSALSTSFIKMKASSLVGAAAVSTAVVAGAGR >KZM87774 pep chromosome:ASM162521v1:7:20284891:20288343:-1 gene:DCAR_024875 transcript:KZM87774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKRSSRSFCVIFLLFRYCSSTSIITPNEPLSDGNVLVSSEENFVLGFFSPANSTRRYVGIWYNKVTIRTVVWVANRDHPLTNTSGVLSLEKNGNLVLFDSQQPDVAVWSTNVSNLSAAQSNNSAELLDTGNFVLQGHDSKEDFLWQSFDYPTDTLVSNMKLGFDRRSGLNRFLTAWKSPNDPGTGSYSIRIDINGSVPQLFLYQNQNPVWRGGPWNGLGWSGVPELAVVASPKYIFNVSYVDNPDEVYLVDHLRNTSIIARMIANESTGTLQRLTWRPAEQKWVNLYFAPEDRCDTFGYCGAYSDCNLYDDVGEFVCKCLPGFKPKSQNEWDSREGKQGCVLKREGQLCGKGEGFVKLPKVKLPDTTTSRRNLNLGEKACADLCLKDCSCTGYSAANVSGGGFNGCITWYDKLVDLRNFSSGGQDFFFRVDSAELANYSKKPKRSHGYIKVLVPLLIGSAALFVLIILAYWLIMKKRKGIAETRLEGIRLFGRNISKGGKSVHSTSKNNPEVDETESTVDVKVYSLNTIISATENFSSANKVGEGGFGSVYKGKLHNGQDIAVKRLSNTSGQGTEEFRNEVTLIARLQHKNLVRLFGYCMRKEEKMLIYEYLPNKGLDGFLFDDEKRYMLDWKRRFDITVGIARGMVYLHHDSRLKIIHRDLKASNVLLDADLNPKISDFGMARIFGSDQNEETTRRVVGTYGYMSPEYAMEGLFSTKSDVFSFGILLLEIIMGRKNTSYYAENCVNLIGHVWGLWRESRAMEIVDREWLGESHEHDSQIFRCIHIGLLCVQESAAARPSMSEVVFMLCNEISLPPPDQAAFIFRTSDKVLTNTSSSSVGVVSVNNVTISTVEGR >KZM87888 pep chromosome:ASM162521v1:7:21735927:21737298:-1 gene:DCAR_024989 transcript:KZM87888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVPGFGEASPEKKAANNMHNFFTYIAVRIVGAQLESYNTEAHKDLMEFLDKNSLNDGDKFCAALMRESPRHKGLACTQVRSAYCKNDFEWDNLQRLASQMADESDTKLMRDYVLETSHDPRSD >KZM88817 pep chromosome:ASM162521v1:7:31516697:31518179:1 gene:DCAR_025892 transcript:KZM88817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFDQKISPLVDCNQLVAKSLSRAGIDRMFGVVGIPVTSLANRALAIGIRFIAFHNEQSAGYAASAYGYLTGRPGVLLTVSGPGCVHGLAGMSNAAANCWPMVMISGSCDQNDVGRGDFQELDQVEAVKPFSKLSIRVKDVREVPNAVFRVLDRAGSGRPGGCYLDIPADVLHQSVSESEAEELLDAAEKCVSGEVVEEVKSADIAKAVELLRKAERPLIVFGKGAAISKAEGVLKKLVEATGIPFLPTPMGKGLLPDTHELAATAARSLAIGKCDVALVVGARLNWLLHFGEPPKWDKDVKFILVDICKEEIELRKPALGLVGDAKRVLEILSKEIKDDPFCLGKSHPWIEGITKKAKDNVAKMEVQLAKDVVPFNFMTPMRIIRDAILGVGSPAPILVSEGANTMDVGRGVLIQTEPRTRLDAGTWGTMGVGLGYCIAAAVASPDRLVVAVEGDSGFGFSAMEVELVHYVP >KZM86686 pep chromosome:ASM162521v1:7:5714354:5717499:1 gene:DCAR_023820 transcript:KZM86686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLSLDPQAKTENSQSPKKPIVDSGNVPNGQIQSSDRSLTPLLPDPMDPTMWYANGYAPYYYGGYDGTGNGWDDYSNYLKPDGVDMSHGVYGGYGYAPYGPYSPAGTPVPTLGHDGQLYAAQQYQYPASYFQPAAAPAKGDISTAAPADQPILSIETPSGKSNGIANGGGVKGNKGSTALKPTYQNSSYMSSSYNANNSNGTGVFPGGVPASGYVYDASRSSVPWFDGSLYSNGKTGPLSSTSLNQYTSNGNGVPVSKNRNARPHHQFTGYNNPRPLSGLNSGNGYVNRMYSNKLYNQNGISYRSGFYGSNVFDSRTMESGWFSVDNKYKPRGRGNGSANYGNGNVDGLNELNRGPRAKSFKNQKGAVPAAVAVNGQDNLVTGTNGSTEDSRVDKENGQYNRADFPITYADAKFFIIKSYSEDDVHKSVKYNVWSSTQNGNKKLDAAYHEAQQNSGHCPVFLLFSVNTSGQFLGVAEMSGPVDFDKNLEYWQQDKWVGCFPVKWHIVKDVPNSVLKHITLENNENKPVTNSRDTQEVKLEQGLQLLKIFQDYTSERTLLDDFDFYEERQKRIQDRKSKQQLIQKQLVEGKPTDEATKEGSNVEVTTEKSVDVPSNLTKSSTRSVFAIGDAKVENVAAVEAQEVSKPVTVTAKELVSNGVANGC >KZM88192 pep chromosome:ASM162521v1:7:25335712:25343408:-1 gene:DCAR_025267 transcript:KZM88192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVSMCFSLLQVPRCRFHTLASSWWDNFHKLGRTGVKGSRLDHLRSNNSDLDGGHTRKYVRTVTTKTDQKRKSALNKKSEVVGHGMTLSSNELHTNKLEISTVERKDFGTQQKVTENKELAKLMTIIIFDIETTGFSRENERIIEIALQDLVGGENSTFQTLINPECYVPNSHIHGISTHMVSRPDVPRMKELIPILVEYIQSRQKPGGQVLWIGHNARSFDVPFLIKEFSRCSIEIPKDWIFMDTLPLARELVKSGGPNCPSKTSLQALREHYKIPLVGSAHRAMSDVYSLSLVLQRMTFDLKVPISGLLDRSFKASEMEHEVIEAELVLPTHLSFKKVQMYEKFPKGQSRGRHWKHLKQIIQAENYQNYPADEPNYVNIESPPSMHPNKKICDITGYEAPYHDPRTKLRYANTEVFKQIRSLPNEYVQSYLALRNAAVVLR >KZM86560 pep chromosome:ASM162521v1:7:4313555:4313932:-1 gene:DCAR_023694 transcript:KZM86560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINVKTTIKVNQAVTVMHECFPSVKEPWNGKDVAEDIIFSRPSEVKRLDYRGFYAVILEKMTEVVTLASVRIFGDKVAEVSLIALRFQYRRLGKCKTLMDAREQKLSNLGVEKIVLPPISSVVDT >KZM88151 pep chromosome:ASM162521v1:7:24979088:24982244:1 gene:DCAR_025226 transcript:KZM88151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNNDYDTKIDYVFKVVLIGDSAVGKSQLLSRFARNEFTIDSKATIGVEFQTKTLILDHKVVKAQIWDTAGQERYRAVTSAYYRGAVGAILVYDMTKRQTFEHIANWLEELRGRADKNIMIMLIGNKSDLGSLRASMSFPCAIILVLTAQIVGYGWAGVLRRYLLIDPVEMVAFDCGTDLVSALLPVYLSRAKDDTFDTFDVQRFPIFSNKRFTASGHKYGTTKIQFNLNISAYEKYSKLYLSPLSALSIGAGFLRFTATLTHVALFNGRDIWNQSRSAVKNAKVDINMKLMRSYKGVPQQCTTHALSFLSDLKLGHCMKIPPRCMYTASEHSSWLLCQSCSLLVNVREH >KZM89313 pep chromosome:ASM162521v1:7:35897227:35901694:1 gene:DCAR_026388 transcript:KZM89313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKLPPGLVSNLQNVLSNRKGSEKPEETNTESVASSDKVEAEFDEAKPIVFVTNADGIDSPGLRFLVQALVAQGLYNVFVCAPHLDKSHTGHSLTVGESVAVYSAQIDGAFAYELSGTPADCVTLALSGALFSWSKPLLIISGVHRGSSCGHNMFHSGAVACAREALFGGVPSMSISLNWKKDESQESNLKDAVSVCLPLINAAITDIGKGDFPKSCSLNVNVPTSPLKNKGFKSTTKSLWRSTPSWLAVSASRQPSAARFMSNQQSLGMQLAQLGRDASAAGAARRSSSQQKNVEVDSVGVSKKSDANRTVKYFIQELVDKDLEDTSEELDFRALENGYVAITTLSLSPSTDADAQTAASSWIASAIQEPQ >KZM88810 pep chromosome:ASM162521v1:7:31467694:31468611:1 gene:DCAR_025885 transcript:KZM88810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRSHFMHQGHPLLQGINTEGYLCGGCKTSGYGLNFGCTPCNFHMHEFCSNCPKILSSFLHPGHPLSLTISSPQNTAPPGICDVCEDTVEGLYYCCQTCHFNVHPLCTQFPRSLPRPHAVHSLHHLMFQKPYKDAPCHVCGAVCNSWNWRYRCGHCDGFDVHLQCLAKPHNKITRPQPPYRPLFANVQTTSPYGGVPHGIYAHQPNNLYGQMPVGAPLNMNSSIVYNPDHPFNGVPCNTNTCSTCNQAPFHSNSQHTHIHQAPAQAEAAPAQGQGRRRLGREIFHILTSVTNGVVSSLLADAIS >KZM86211 pep chromosome:ASM162521v1:7:661142:664280:-1 gene:DCAR_023345 transcript:KZM86211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALRREGRRFVVVSPNLLRSSLLPSEQEQVSLGVRSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAIQVRAENSRGLWQPFTALLGDTPSVDVKKNVIVTVSADKGLCGGINSTSVKISRALFKLNSGAEKESKYVVLGEKAKAQLIRDSKQHIDLTMTELQKNFLNYTQVSALADEILKNVEYDALRIVFNKFQSVVSFLPTTATIFSPEIVERESEAGKLGDLDLYEVEGGETKSEILQNLTEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELTEIISGASALEG >KZM86607 pep chromosome:ASM162521v1:7:4873588:4874782:1 gene:DCAR_023741 transcript:KZM86607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQRKRHPRASNKSKRKTSGSSKNNAESSNVVDVDDYHYDDVIVIDFPALCPKSDRKSRFIICVDDDNDGVDVECEESSGKLKDQWEKAFSRRKLEEEKAQELLLLQKKKDAESSLLQDIERRQKQRIEEVRGTQKQDEDILNLKEELRAKVKSDLSKLELTCRDMASVLSGLGIQVKGGANEVRVATKRALLSFHPDRAFQSDIRQQVEAEEKFKLINRMKEKFA >KZM86616 pep chromosome:ASM162521v1:7:4927075:4937115:1 gene:DCAR_023750 transcript:KZM86616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRERDKSDGLEIISVGSLYSGPLDKKYWSSSRGKDRYPYPVGYHAMRTQNGVTYTMQIHEGLKGPSFTFFGFKNQFVLRLFRELVANVNRKAASSNNCEGDLETKHQTRCTEPCRKHDLVQYLEKPEVTGKRSRRCGVSAVKSIYGTRSNAVPAPELRSQKKNIQSQKNCSCSPSNDSHGVCNKLGTIDASKTSKTIDEQKDCLHLVYSSEHFNNEICKEDVSVFVSSHEHAAMTGSMFNEKEPFNRNKVISPPLLKSSEKVEKEETLFRNASQTINDLYLCAPHSLDVELAIDLLYVDGTLNSKGVNDLAAACLITPDDSKTQSCLGEETVTSTENVCSEKSGTDSVGQEITTSMMTVLLPRALPLLKKDTRKKKTTLNTSGISVYKKRSKYKSSKTNLVPEVTSVALITEDADGEKQREEENISSTDLGSMKPPFADIGPMVLDNYDNNQSEMNVDNQYLTEPPSGDIAPMVPDSYDNNQSERDHSLLVSTAVQEDLVSSGRNYSAPKTTKLPVTVNMLQESTVGATLHFSHKEHQPLSERLQFHRKKKLTKEPAIPCQQKSVKTFSGAIEETVCTTDAEINPLESLVKEINDEKARDHTNKEIFGEVLGVGDLAAERDIPLSESIICRSLKDTGALEARATGSIATSDNFQQNLSYNLSKHDSKYEEKNDGQWSKKNRDRNFMLAEAPGRHIYKVTPPTKTQNSYRPAIFEWDSQDHDNKKSPQNQALPELDVSSPLLLDQGESFRNKLISRNIEETGSDLTAQTNMKHDSEGGNIFELMGCYIHPKPILSVLLSIKNDAICICVLCGSFVDKQQTLYIHKTPTQGQRKGCPSLIGHAPIVFPVTNDALCREIALDGSSLQFTPDGQYLVLLDCIKAPYCRRANISCPCPECTSASNKKNAVKIVQVKPGYMSVVATLKTANTIHCILVCEPNYLLAAEESKKLHLWVMNSTWSKEITERYFPISDCMDPYIMELKRIPKCSTLILGHNGFGKFSLCAVAVGTVAGHGIIGTMDGLVYMWELSTGATLGNLHQFKGSAVSCIATDGSSSGAFAVAADCWLRVYLHS >KZM88665 pep chromosome:ASM162521v1:7:30124661:30125722:1 gene:DCAR_025740 transcript:KZM88665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWARGERIGHGSFGQVNLAVSTSHEFASLMAVKSCSLLHSATLVNEKLILEELSECPEIIHCFGDNVSQENGVKLYNVLLEYASGGSLANKIKNSVFSECEVQRYSRDILKGLYYVHKNGYVHCDMKLQNVLLVEVGGNYVAKIADFGLAKRGGNLEAKCELRGTLMYMSPEMVAGGEQESAADIWALGCLVLEMITGTLAWKCRRKSDFGGLMMRIGVGDEVPEIPKKLSKQGKDFLGKCFLKNPRERWTAEMLLNHPFIAGYNEAMDVSCASPTSALNFPHCTSSQTSLSPQKFVPEFISRDEFDWNLRSSSAILPENRIYQLASDHQRFDWSDSESWVPVRGAYESNCS >KZM89020 pep chromosome:ASM162521v1:7:33127701:33129181:-1 gene:DCAR_026095 transcript:KZM89020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSSQAPVAAGEDGSGEIMLFGVRVKELNNSAAVEAAGAGAGYASADDVVHHQSTASRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDSVTAIPMEEENVHQDNVAPCVMQSPYPGSFGPVLFSKQVENLSGNQTAKFAGQVNDAPSMLSDSVSALPLIKSPAPSTSVDNNERFSMDDPMLSLNLSLSSGHHDQLSSRHPVYQVARNFSIGDSMISVV >KZM86692 pep chromosome:ASM162521v1:7:5791931:5792413:1 gene:DCAR_023826 transcript:KZM86692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKQKKVLDRETRDMVSALNRRLQSLHKMQLGGDTSHNDDDETDDDHGVRVITLAGTNVGATMRSELDDNDGDVDDDSENDPLATFVNSNIQAINNSIMLDGSYSTNDPGVHLEICDKQEAKTEQVKQMRGKKKEGGAKKKGNKSSKSEQHTDGNSSN >KZM88038 pep chromosome:ASM162521v1:7:23855916:23856527:1 gene:DCAR_025113 transcript:KZM88038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHVSSLRNQPPPAQARTKIESAVRKLVELPYLFLGLTRVGVVALDVPDRVKGRDVETAIEEVRALRMESGRERRAAEKERKAAEEERKVATEARKAIEESRAKAARWW >KZM87545 pep chromosome:ASM162521v1:7:18034776:18040409:-1 gene:DCAR_024678 transcript:KZM87545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKNDLLGDGRKLWLVWWDKISAYKIYNFYFEYFSLKRFRKSWWRNLLVTWVLIGTLFSMWVFWYMNSQALEKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHAKNPSAIDQGTFARYTERTAFERPLTSGVAYAVRVLHSEREHFEKQQGWKIKRMDHSDQVPVPKGVYDGEESEAPEPSLIQPNQEEYAPVIFAQETVAHVISIDMLSGKEDRDNILRARESGKGVLTAPFKLLKSNRLGVILTFAVYKIDFPSNATPDERVQATDGYLGGIFDIESLVEKLLQQLASKQTILVNVYDTTNLSSPISMYGSNEFEDLLEHVSPLNFGDPFRKHEMRCRFKQKRPWPWLAITTSYGILVITLLVAQIFHATMNRITKVEDDFQKMRELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQQDYVRTAQASGKALVSLINEVLDQAKIEAGKLELEAVRFDLREILDDVLSLFSGKSQDKGVELSVYISDKVPEMLIGDPGRFRQIITNLMGNSIKFTEKGHIFVTVHLVDEVMDMTEVEKESSWQNTLSGLPVADQSKSWAGFKILNPEGSNSSVSSSSDTINLIVSVEDTGVGIPLEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVGLMNGEIGFVSVPKVGSTFTFTAVFTNGGNSSYSQKIQQINQQSGYKEFQGMKAIVVDSREVRAKASRYHIQRLGIQVEVVIGLSHGLSVMSSGKKVIDMVLVEQEVWDKDLGMSALFVSRLMNFDQGVPPKVFLLANSVNSSRNGHATLGNYYPYVIVKPLRASMLAASIQRATGAKNRGNYRNGELPSLSLRSLLLGRKILVVDDNNVNLRVAAGALKKYGADVVCADSGKKAISLLEPPHQFDACFMDIQMPEMDGFEATRRIRDMESKINGRIQHGEMLKEAHKDISRCHIPIMAMTADVIHATNEECLKSGMDGYVSKPFEAEQLYREVSRFFV >KZM86670 pep chromosome:ASM162521v1:7:5511267:5515728:1 gene:DCAR_023804 transcript:KZM86670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLPLAGGITPATHPASKNSLIPPRRRILVVSAADSNIFPSFIPKQVEKVKDLHAQKMASRMQQLPVQLSGLNKPILSSCVRPIVLAKTNPLVLLHGFDSSCLEWRYTLPLLEDANLEAWVVDILGWGFSDLESRPACTVVSKREHLYQFWKSYIKRPMILVGPSLGAAVAIDFAVNHPEAVDKLILIDASVYAEGTGNLSKLPKVVAYAGVYFLKSFLLRFYATNLTFNGIPFDTCLDWSHIGRLHCLLPWWVDTTVTFMNSGGYNVRNLIKQVKHETLIIWGEDDQIVDNRLAVRLHCELANAILRQIPDCGHIPHVEKPKDAAKLIMEFVKAESLVLTR >KZM87394 pep chromosome:ASM162521v1:7:15508498:15512063:-1 gene:DCAR_024528 transcript:KZM87394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAPPPKQEELLPHPVKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTTLVPQMGGGNEEKAKMIQTLLFVAGVNTLAQTSFGTRLPAVIGASYTFVPTTLSIVLAGRYSDLVDPQEKFEKIMRGTQGALIVASTLQIVLGFSGLWRNVVRFMSPLSIAPLVCLTGFGLYEFGFPLLSKCVEIGLPQLVLLVIFSQYVPHLMSGERHIFDRFAVIFSVIIVWIYAHLLTVGGAYKHSPRTPQMSCRTDRAGIIGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFFAVSRYAGATPIPPSVLSRGVGWQGLGILLSGVFGTGNGSSVSVENAGLLALTRAGSRRVVQISAGFMIFFSILAKFGAVFASIPAPIIAALYVLFFAYVGSGGLSFLQFCNLNSFRTKFILGFSIFMGLSIPQYFNEHLVVKGYGPVHTNARWFNDMINVPFSSKAFVAGFLAMFLDVTLHQKDNATRKDRGMQWWIKFRAFKTDTRSEEFYSLPFNLNKFFPSV >KZM87956 pep chromosome:ASM162521v1:7:22492582:22494792:1 gene:DCAR_025057 transcript:KZM87956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCFFMKPDIDALEVKTNMDAQEVKPLDLWKEYNLMNASFGRFRLLVLVAYTALRYGVTMMGTVFATPKFQDYRGGIIEMEGHVYLDMPHSISIVGMDRIRRCFKIQNSYRDWGVEVEGESVGWIRYELVFDILQAGYVYEDIPLQRHGPGGSDGPCGSGSGPRGSGSGRGEVIRCWVELM >KZM88517 pep chromosome:ASM162521v1:7:28551424:28552056:1 gene:DCAR_025592 transcript:KZM88517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLIHNYSHYFHLQGLEDKAWRTKQSSVQLLGAMAYCAPQQMSQCLPKIVPKLTEVLTDTHPKVQS >KZM87725 pep chromosome:ASM162521v1:7:19795752:19795991:1 gene:DCAR_024826 transcript:KZM87725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEHIVIMECSEERWCEVGQDDDRRIWGGAARLRSGCWWRVWLVMEVVFGTEASSGGVFPSICLGPPSIQGPPIYIVL >KZM86462 pep chromosome:ASM162521v1:7:3032806:3035030:-1 gene:DCAR_023596 transcript:KZM86462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIDGFRDILKVQRFRRIVSYTGFYCFTAVVTYAYTNNTTRAGYSRADQYYASYPAGTELLTDAAKLYKAALGNCFEIEEWGPIEWCVMADHFARQGKSPFEYHAKYMAHLVSHGQLEDSG >KZM87540 pep chromosome:ASM162521v1:7:17969660:17970235:-1 gene:DCAR_024674 transcript:KZM87540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDETLTSISMLPSLIAESVQAMMQSYGPGGIYGVQVFDACTDLTGKPILICQIFKYGRNTAIEGDNTSLKAPMPCTLEEFEDWICNKRAIGIAVLKSKLEDFIRGRKACVLGTRMGGDVEEILTLYYNNDVLKTDTTELTAMYKRVTEGKYNHSMKTRELYKEMTPGQRRKTVVTLQSPSKEEGCSNPFE >KZM87211 pep chromosome:ASM162521v1:7:12493888:12501031:-1 gene:DCAR_024345 transcript:KZM87211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGVRRGCAPVVDNNNKTENLVATNKYIKTRAAVAREAAQKRDRKPVVEKPKAQRRRKKEEVVKKDDIPEKKGKEVVGKMADGSGGLSANKGTEEEEGNTAPFPERVQVGGSPIYKVERKLGKGGFGQVFVGRRVSGGNDRPGAGAIEVALKFEHKNSKGCNYGPPYEWQVYNTLGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNSSGQAMSSEMVACIAVESLSILDKMHAKGYVHGDVKPENFLLGQPSTAQEKKLFLVDLGLATKWRESSNQRHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYMGDNKSFLVCKKKMSTSPEIMCCFCPAPLRQFLEIVVNMKFDEEPNYSKLISLFEGLLGPNPAIRPLNIDGAQKIIFQVGQKRSRLNLEDDDDGQPTKKVRMGVPATQWISIYNARLPMKQRYHYNVADARLGQHVERGNADGLLISCVASCSNLWAIIMDAGTGFTSQVYELSPFFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKGTQYSQQSYKVSESFPFKWINKKWREGFHVTSMATAGTRWGVVMSRNAGFSDQVCTVFFRFVV >KZM86989 pep chromosome:ASM162521v1:7:9506066:9508847:-1 gene:DCAR_024123 transcript:KZM86989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKTKKVPKQICLHSNVPSMNKDWFDLDEFQNFYEDLTSVIEKEFSLSDRTTDKNKDGEGSSIQNIKNVNHDMLQTSPSHDLFKSNDALPALVSYTPESNKSSPFPAIEESKIEGDGVKKKKTIKFKFKSGEQRKSPRLIEAKKNPNDYAKHDTKVAAEEGERNSIEDINFELVVIAVVNNNEDEENLDSNNEDKADEDDFIEDDVLEFEDEFENASQYNWCKLVNESLRSTHEEWWDDPHKKYYTGSLVFLLFFYLDRSVHTEYRAERTRLVFIGYRDSLIENRNRSESIDGTFMKGEIDVHQDNEEAAILKLC >KZM88941 pep chromosome:ASM162521v1:7:32464605:32467967:1 gene:DCAR_026016 transcript:KZM88941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGHGRSPLNKANKQASIDPGLPGRQRSNPFDSDDETENRQSLFSLKRTLDPPLATHNVSSNPFDDDNNSGGSASSYSLSSGRSRYKNDFRDAGGLENQTVQELENYSVYKAEETTETVNNCLKIAEDMREGATKTLVTLHQQGDQISRTHAVAADIDHDLSRSEKLLGSLGGMFSRTWKPKKTREIVGPLIMRDDPVQRKGNHLEQREKLGLSSGHTERTNSRTPPSEPTNALQKVELEKSKQDDTLSDLSNLLGELKDMAVDMGSEIERHNKLLKPIEDDVEELNFRVKGANQRARRLLGNTRISSSSIMQSFLGYWISVAAMCILLITTPTIECSPAFHRADQHRHNSLFQRYNNMKKASPLYQRSVPPSTLQPGETDPRFEVDNRLVPGGPNPLHN >KZM86825 pep chromosome:ASM162521v1:7:7396683:7412508:1 gene:DCAR_023959 transcript:KZM86825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRFLSEVLVETHGGESMLGSIKIAVLPIAKVFTICAMGLLMASKYVNILPASGRKLLNGLVFSLLLPCLIFSQLGQAITWEKMLEWWFIPFNVILATISGSLIGLLVATIIKPPYPFFKFTIIQIGIGNIGNVPLVLVAALCRDKANPFGDSDQCAQDGNAYISFGQWVGAIVLYTYVFQMLAPPEEGTFDIEERAIPLRSTDKDNSPEQIPLLLEESEFADSSVTERGKVRITFNQIFTLEENVKTGESDNERHRVWVIKSLLHFLYEKLKLKQILQPPIIASVLAMGIGIVPFLKGLIFEPDAPLYFFTDSCLILGDAMIPCILLALGGNLIDGPGSSKLGLKTTAAIIFGRLVLVPPTGLGIVMLADKLGFLPPGDKMFRFVLLLQHTMPTSILSGAVANLRGCGREAAAILRDTYEIFAEPVDPEKVGDYHKIIKEPMDFGTMRAKLHEGMYATFEQFEVCSISPKLPAFLSSHSSAYSKNFIVNSLDTSYLHDVFLISGNAMCYNSPGTTYYRQGRAMDELAQKVFNVLKTDPENFESEFSGTRRRSGRRCQGKTKDCAFSSNARTSTNFRTGGVTSDVSSKEKRSHSSTSRRKLRGIPLAGRTGARCDQYDSFSGARDDTGSLLDIPDRRSTCTPEMLFSNTSESRLAHFNADPRPFLLVDQNDISYNKSLMLFVKDLGRTAQMVARQKLKGTQNNVGQIQDAALSGWETDIMTDTYSEKKVVDLILKENHTVRNPRSDIVKDKWTDADHDGKERCFNKIGVPRDKISGPNLNERPMDEGNSNASEKSSKRRRKKNHCTNKPLDPGSESFPSTFGMVTNKSSSSNKWQLADSMRTIRKHASKSTATSNTQVPRLQDLESCHSKSNTKDKTITTVGTTSSPWSTGLTSFSSQLSSKYQGTINPADKNHDPSDKGGGVTMISSSSKPGISTGSSSTRFTFDIPFLQSQLNQMKVMGQDKDRSEVQRGLLTQPNSLSAQAIQKHGVLCDPKGGYIKVPVHFADQPSSLSAAQSFFHIQKEPQSALRSLSLVNSASAATFSTASAADDTKLTLKL >KZM88366 pep chromosome:ASM162521v1:7:27254272:27255704:1 gene:DCAR_025441 transcript:KZM88366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSAGGGKSSLNYLFGSGENSNSPPPTQNQGSDSKNVAPPKPEEPTKPVEAEPKPVETKQSPANVHDSKTNNYLRSEGQNCGNFITDRPSTKVHAAPGGGSSLGYLFGGDGK >KZM87109 pep chromosome:ASM162521v1:7:11022245:11025378:-1 gene:DCAR_024243 transcript:KZM87109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDSGSCWDYIDVGTLLNDVASSDFNWVDQCSVPEFDAPVSSGAAPEPEGKECVEKSCTRKRGRNESCGSIGNKACRERQRREKLNERHANFNLISFMELSSTLEPERHATSDKLAILGDAIRVLDQLNTDIQENKETKVKLEEEIKTLKAEKNELRKEKLALKADKTLMEQQLKTMTSLPAGFMAAHPGAAYPAVPNNMPAHPGVTYPAVPNNMPAHPGAYAALPNNMPVYPSYGVFPMWHYLPPSVRDTSHDHELRPPAA >KZM88065 pep chromosome:ASM162521v1:7:24105432:24106241:-1 gene:DCAR_025140 transcript:KZM88065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLAELSRHCHNGIRECVAFFLQIGECVATWWRPNFETIMYPYCPPHISKPKECKRLYLIHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMINNETNDK >KZM88469 pep chromosome:ASM162521v1:7:28167178:28167591:1 gene:DCAR_025544 transcript:KZM88469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKNLHKNTGRLLVRVKPIFLKGIVENLFDAQRQWVLEIGFVKLLLFNIKEYPQPLSFSISKSYKPINSTISVGENIINFSEDDVQNVLGLPNGELMFENPYNRECSDVGLKFRLNFLIALTNRLPYLNLKILSYS >KZM88732 pep chromosome:ASM162521v1:7:30820281:30823794:-1 gene:DCAR_025807 transcript:KZM88732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKLCNTTITLFTDDKWRSLNLARIDSPNANIGHYNESVAFIGEGSGKDGIAQPSTLAIAYESSEDENEKLASRFRKLDDLTDVKLESSNMLEQVQQEREYVSAASDRVKAAVDAEVWRIRHMAEAAMAEAEEAEKEAVEAERLAEEKQSIADELERKLMEKKDRAVL >KZM87835 pep chromosome:ASM162521v1:7:20976381:20977711:-1 gene:DCAR_024936 transcript:KZM87835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRAMILFIVALMAACCSAQPKKSFEDNFSIMWSEDHFKTSEDGQIWYLNLDKDTGCGFQTKQMYRFGWFSMKLKLVGGDSAGVVTAYYMCTENGAGPTRDELDFEFLGNRTGEPYLIQTNVYKNGTGNREMRHMLWFDPTEDFHTYSILWNSHQIVFFVDKVPIRVYKNADYENNFFPNEKPMYLFSSIWNADEWATRGGLEKTDWKKAPFVSTYKEFSVEGCQWEDPYPACVSTTTKNWWDQYESWHLTKDQKTDFAWVERNLVIYDYCKDAKRFPTLPEECSLSPWD >KZM89310 pep chromosome:ASM162521v1:7:35882551:35886801:-1 gene:DCAR_026385 transcript:KZM89310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFLMVIICFMIVDVWAVLGFAGFKVPTSSIAPTTPSFHHHANKPELGSSPSPSPSQTYFKHHHKRQKFKDLAPGISHQLHPPTNSQQGPSLSPLISASTPTRSWSAISPAQAPTISSFQPQISPLSSSMKKKILPPPTVLTLPPPPPSEGCASVTCTVPLTYTPGGAPCSCVWPIEVRLGLSVSLYVFFPLVSELAREVATGLSLNLSQVRIMGANAAVQQPEKTMVLINLVPLRENFDNDTAFMIYRKFWKKQVYIKTSLFGASRAVHVSYPGLPPSPPSAHSSAVNIDDHQYPHSENDGMPEKPLGVDVASARKGGTDRSLVAVIIISSVTAFIVCMGVIWFLLVKCGCSICQPNQTDIVSSRSKPSGTNKSLMYGSRPSSASMSYSSSILAYTGTAKVFSLNDMQRATENFAASRIIGEGGFGLVYSGTLDDRREVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTDDQYRCLVYELVPNGSVESHLHGSDKESAPLDWSARLKIALGSARGLAYLHEDSSPRVIHRDFKASNILLEHDFTPKVSDFGLAKTTLDGENRHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVAWARPLLSSKESLETLIDHTFKQNIPLDSVSKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEIKESITRSSSQEDFLMDKHNEHTSELMEATGIDDPLFGYDPILDTKIPMSATDLRYASAGFEEQESMSFRRISNSAPLKMVKKKQLWQRLWGLSRGSMSEHGSTGN >KZM87176 pep chromosome:ASM162521v1:7:12095879:12100032:1 gene:DCAR_024310 transcript:KZM87176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSVGSRASFLVSLTVIALALLSCPSEGLNAEGMSLLELKKELQDDFNHLWNWNSSDETPCLWRGVSCTSDVVPVVDSLVLRGMNLSGNLSSSIGGLAFLTYLDIAFNAFNGSIPKEIGNCSRLNTIYLNNNQFQGQIPAEICKLSLLTDLNICNNKLSGSFPQEIGNLSLLTNFVAYSNKLSGPLPRSFGKLRSLKTFRAGQNSISGNLPAEIGDCQNLQYLGLAQNLLDGDIPKVLGKLKNLTDIILWGNDFSGFIPAELSNCTNLLTLALYQNNLVGEIPKEILGMKSLWKLYLYRNGLNGTIPREIGNLSSALEIDFSENSLTGEIPVEFSQIKGLSLLYLFENQLTGVIPDEFGSLKNLTNLDLSINRLTGPIPYSFQYLPNMRQLQLFDNSLTGSIPRLLGFYNKLWVADFSDNYLTGKIPPYICRQSILDTLNVESNQLYGNIPEGLLYCPSLSQLRLNGNRLTGNFPSELCNIVRMSAIELSQNKFSGSIPPKIGNCQQLQRLDLSGNNFTSKLPKEIGNLDKLVKFNISSNFMTGKIPPEILNCKTLQRLDLSRNNFEGAIPNELGSLSQLELLKLSDNKFSGNLPAALGNLSRLLELQMGGNFISGEIPNELGFLSGLQIALNLSFNSLSGGIPSELGNLILLEYLLLNNNHLSGEIPTTFANLSSLLGCDFSNNNLSGPLPSVPVFQNMDENSFTGNRGLCGGPLGDCNTKTSFDSVQPLPDGGAHRRKIIAVVAAAVGGVSLILIVVLIYMMKRLPVEIAATLQEKDTSTPVSDIYFPPKEGFTFQDLVEATNNFDDSYVVGKGAAGTVYKAVMQSGQMIAVKKLESNREGNNIDNSFRAEILTLGKIRHRNIVKLFGFCYHQGSNLLLYEYLARGSLGELLHGSSFTLEWPIRFTVALGAAQGLSYLHHDCKPRIIHRDIKSNNILLDDDFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKAPVQPIDQGGDLVTCVKKYIRNHSLTSGILDSRLNLEDKQIVNHMLTVLKIALLCTSMNPYDRPSMREVVQMLIESNRREGSFITPPIYDSSLEDDVPLKDDSL >KZM87032 pep chromosome:ASM162521v1:7:10268682:10269802:1 gene:DCAR_024166 transcript:KZM87032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVGRNGEGAVLPPPSHQQKVFALRQGIWNILCKWNALQMAVENKWGGTDSLDKSHLLAYDIESWFSISKAPLSVEDLDGLLHESLLLTFNTEIEDGSIEEVWFYFYYVQNVSVFHSAFIAETRIPYIIRSLSLHKGLSF >KZM88911 pep chromosome:ASM162521v1:7:32230167:32231074:1 gene:DCAR_025986 transcript:KZM88911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVNAALKNSLTSPEIGFWDDVCLSPVVKNGVVSEDDYVFVDDLLDFSKAEQIENEHVNDDKVQNDGVLVSDVKREIFEAPPVPVQENELSVPVDELAELEWLSNFVDDSTPGYSLTLPPPQKPPVKLAGPRKTGVRVRPLSYSTTSSSSSSRTFVMCGDVTHSAESLMKPPLKKPKTQHGERRCSHCLVQKTPQWRTGPLGAKTLCNACGVRFKSGRLLPEYRPAVSPTFSSEKHSNSHRKVMEMRRKKEGDGVGGFGKAVETF >KZM86289 pep chromosome:ASM162521v1:7:1325039:1333761:-1 gene:DCAR_023423 transcript:KZM86289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNKRKKAKNKNQNNLQGESKPHCLPPKQDHIIKTETEKLFERVKAKVEALKAAISTNAGSIPFHDTSASTPEKAESLEKKIENGGPDDLHDLPNVSERAEVTADTDPLLVSDKVQNLANIKVPQPVSNSGSDPKILKRENDDNTGLKPKKKMKKKASNIKIQTLLKQSDDSSPIVGLGPASIDPVKESSAQELPECEVPQPVSNSGSNPRIFSSKNEGSTVLKPKKVTMKKKKKKTTNIKIETLLENSSNISPIVGCDPSSFNPVKESLDQDLPDCEVPQPVSNSGSIPKILNCENNTTNIKMQTLLEQSANTSTVVGCGPASIDPVKESLVQDLPECEVPHPMSNSGSNPKILISENDNSTALKPKKKTTDVNIQTRLEQSANTSPIVGFGPASFDPVTESLDQELPECKVPQPVSTSGCDPRISKCENDDSTVLKPNQKTTDIKIQKNIEQSATASPVVAFGRGIFDPVEESLVQELPECEVPQPVSTSGCDPRISKCENDDSTVLKPNQKTTDNKIQTNIEQSATTSPVVAFGRGIFDPVEESVVQELPECETYPEHSANTSSIVGFGPDRFDPVKESLVQELPECENIKLESLRKNRDPVSKKDLEKSIDSIPEEVKVNIVAHKAAENMNAPNIPFYDMSASTPDKAYPLEKIIEKEEWDCLGDLLNIPRGAKLSPEAYPSFVRNRVHKLEKIQDEHEKRTVASVLQYITHLIKYKDKHLSGCYNKFVKDHKFPSIIEEKFQAMFSESSSEALPPAKRELLVNYVLVLSLFVDGYKSNAKDITKDLKIKTETAIKYYKQLGCIPRPTTAGLLVELPVPLKFPVPETSSTAN >KZM86976 pep chromosome:ASM162521v1:7:9306519:9309854:1 gene:DCAR_024110 transcript:KZM86976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQISLPLLLFLLLSISSADDSAIMTKLSTSLSSPPPDWTGTSYCQWSGINCDNSNKVTSISLSTKSISGSLPSEISQLSQLKTLALQRNKLSGTIPSFANLTSLQEIFLDSNDFTSVPQDFLLGLPNLHTFTLSDNFHLAPWQIPGYLIESTNLGTFAASNSSIFGTIPDFFGSLPTFQHLRLSYNNMTGGLPKSFGGSQIQDLWLNNQQSGGLSGGIDVLSDMSQLSQVWLHANAFTGPVPDLSKCENLFDLQLRDNLLTGVLPPSLTELPKLVNISLQNNKLQGPFPEFKSGVKFEVEKTKSNSFCLDSPGPCDPQVNVLLEIARGFGYPRSLAESWTGNNACDKWTFVTCDSAGKSVTVLNFPKQHFSGTISPAFANLTSLRNLLLNENDLTGVIPEILTTLNQLVVLDVSNNNLSGPVPVFKPTVRFTSTGNPFLGKNIGGGDGKSPGTGSDSGTPAGGAPGESKGNSTSGGMIAGVVIAVLVFVGVVLFVSYKCYVKKRHRRFGRVQSPEEGKEMAIGNVMTSNNGYGGTKSELQSQSSGDHTEMPVFEGGNVVISVQVLRQVTDNFNEKNILGRGGFGVVYKGELHDGTQIAVKRMESGVMGTKGLNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLSQHLFECQELGYPPLSWKQRVTIALDVARGVEYLHSLAQSSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDETMPDERSHLVTWFRRVVINKDSIRKAMDQTLDPDEETFDNICRVAELAGHCTAREPYQRPDMGHAVNVLGPLVEQWKPSRPEEEDSYGIDLHMSLPQALERWQSDEGTSSMNDISFGQTYSSIPSKPSGFADTFDSMDCR >KZM88604 pep chromosome:ASM162521v1:7:29649228:29653047:-1 gene:DCAR_025679 transcript:KZM88604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLASGSIHPAKAQRFFKIIVSEISPQSKLMIPKEFVKNHEEELRGRVHLKAPGSAAWPVDVVRTQGEVWLQNGWPEFAKFYSLSFGSLLVFEYLEHFNIRVRVFDTSATEIDYSLTCDPNLDDGESVPRVRKRDSSRRCELVRACKKTRASTEETFHNGFKLKQEKANGDRGSAKEEIERAVNLAASFKSEKPFFIIHMRPTYVSGVSVEVRKSFLETFRKWKNKGKGQVIFQIGRRSWLVGYTFSAGDRCTLTAGWKTFRTDNSLKVDDVCVFELINPSTKLFKVIIFQAKSRPDEYICDVCSRLVKFAYACEECTVDVCVFCGLEQRVLCHEGHQQHKLTLMNREALFKCDACGEEDKDSSYVCTTCEFWIHKTCALSPYIIPTQAYHHHPLFLIYSIPQMHRQFERECNICHEVVYNINWMYYCHKCTYFVHMRCAMSSDTVPIVTDTEAADIDDETVLLQFPLPHEESLFDLIITQCGKFQVDLQGEGESSTPIPDNPHIIEGHWSHENHQLEQLQFATSNDDNDDNDDDRRVMICNGCIQPITDTHPSYYACVQCGFFLHSFCATKLPPELPRGASSFHPSHSLVLYMSDQFYGYVDCEVCGYCTNGFYYKCEDCDIKIDIRCAFLPKRIKHRSHKRHSLVQRPFSNSICSVSNLKITNGVEYACETCSSFQIHIDCVIYPSRMNHRYDLHAVTLREPPFFYEGVFYCEICEEQVNNQRLLYHCDECDHSFHHYCLRWYESFKFGGIINRIIQEQPHALALVLKRTARDKSPLYICGICEEGYNFLFFFECGGCGFLVCCNCFGEITDSTNSTSGSGSVSC >KZM87239 pep chromosome:ASM162521v1:7:12974303:12976552:1 gene:DCAR_024373 transcript:KZM87239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSPTSRTRSVFSVARSNNTNYLSNIFSTRRSTTFACTLTIFFILSTIFFVFSHLSYSSKSPAFVRYIVQNRSHFSAFFSHIFPPDSSSYANFYPDTKAISTDPVVSDPPLSNATSPQSSPATDGLSVSDSGDMDLDSMVNVSAEMLEELIKCDLFDGNWVEDHDQLPMYRPGSCPFIDESFNCFHNKRPDNGYEKYRWQPKHCKIPRWNGRHMLELSRGKRIVYVGDSLNRNMWESMVCMLRNCVMNQTNVFEASGKVEFKTKGSYSFLFTNYNFSVEFFRSNFLVQEWWMPDGNGSNKETLRIDLMEKESERYEKADVLIFNTGHWWTHSKTSSGKGYYQEGNHVYDEMDGIEAYQRALTTWAKWIDSTVNFTSSLVFFRSYSPSHFRGGQWNSGGQCDNETEPIINEKYININDFFPYLDVLEKVLKGMKAPVFYLNITRMSDFRKDAHPSIFTKPYLTEEERRAPERFQDCTHWCLPGVPDTWNEIVYAQLLRKYYLEQKQKQREKPKQ >KZM88232 pep chromosome:ASM162521v1:7:25837365:25837970:1 gene:DCAR_025307 transcript:KZM88232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATVVEIILAILLPPLGVFLRFGCQVEFWICLLLTFLGYIPGILYALYVLTK >KZM87272 pep chromosome:ASM162521v1:7:13374719:13374949:-1 gene:DCAR_024406 transcript:KZM87272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMHTQSHIIKMSVASCLKLLLIFGVMLLFIAPSLAIRPVTYPALKPGPVVIPRAPPGVPSRYHPPPASPTENTGN >KZM89079 pep chromosome:ASM162521v1:7:33658593:33659856:1 gene:DCAR_026154 transcript:KZM89079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLYGLHSTPDNYSHTTPTNSLPFPATEFHPLDTFYHHPIPILESPDQMFSATSSSAVSDAASMQRYRNNSTSTGSEDEVSSAIRAKIASHPLYPKLLQAYMDCQKVGAPSDISDLLEEIEREKVYSKRSASSTYLGDDPELDEFMVTYSDLLVKYKSDLARPFNEATTFLNDIESQLHNLCNSSSRTCNISGLSLTLSFSLSLSPRSRV >KZM86750 pep chromosome:ASM162521v1:7:6447301:6449808:1 gene:DCAR_023884 transcript:KZM86750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRGRAGYKPHPCNKTEVLQWLKTLPLAPEFRPTLEEFEDPIAYIHKIEKEACVYGICKIIPPVSLPLMKSTFFQLNKSLVACSASPEGELRPTFTTRVQQVGSCPGKGHPVIKSVRESGKSYTVEEFEAKAKCFEKNYFKKSSIDKGALGPLEIESLYWNASADKPFEVEYANDMHISAFVELEKRMGGAGLSDDLNVGDTDWNLRGAARSRRCLLRFAKDDIPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNYMHTGDRKTWYGVPQHAAAAFEDVIRNHGYNGEMNPILSYATLGQKTTVLSPEVIVNAGIPCCRLVQNPGEFVVTFPRAYHSGFSHGFNCAEASNIATPEWLRFAREAEMRRAAINSSPLISHIQLLYDLALSFSSRGPASMDTRSSGLEEKNKGEGERLVKELFLQDVKHDISLLHSLGKGSAAILLPRDFILGNFPELRDGNNIPLYNKHINYSCTTTSQNLNINGFVQCEWLPYAGLFPCLACGVLCFACAAIIKPGEVDVHNLMLADFGNIGGSGVASDIAASNWWFRSAGSAKNAVLDSGLGNEYYILV >KZM87274 pep chromosome:ASM162521v1:7:13381267:13382249:1 gene:DCAR_024408 transcript:KZM87274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGFLNNIPWFGVNSNSQNENTVLSSTSMVSVSSSRHASIDINENVAVSSSTSMVSVSSARHASIDIRQWGWSLISVLPLAFSANEKIKMPTTINKKLKGQAQSPSKYGVGGSSNVYSTPRFRPYVSKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSPLWDKRPIDWLDFCCYCHDMGYDTHDQAELLKADLAFLECLERPHMATKGDVHVARLYKTMCISGLRGILVPYRKQLVRLQNQQLSINFGWLSSITRKGWNFQKS >KZM87364 pep chromosome:ASM162521v1:7:15088178:15090695:-1 gene:DCAR_024498 transcript:KZM87364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFEIPLLFKSSLGFDSAEINFTGPLKINVFVDNSIVHRSPERRMRELKKVSGLVFDEIAFDSISKSENFTELLKMARDAFVAGKNHWEDVVSGKTKIELGRKKKGNFSVSCPKSVSLSGVEFRKRGRMVLMPCGMALGSHITVVGRPYWAHLEKDPKIWRKKKEDEVENVMVSQFVVELQGLKAVDGEDPPRIFHFNPRLKGDWSEKPVIEQNTCYRMQWGTSWRCVGIKSTADEETVDGQVKCENWIRDDENLTEGEKTTWWLNRLMGRPKKVNLNWPFPFAEDKLFILTLYAGLEGFHVNVDGRHVSSFPYRPGFALEDATGLFIKGDVGVHSVFAAALPTTHSSFDPQRPLEMVPKWQAPPLPDSAVEIFIGILSAGNHFAERMAVRKSWLQHESIKSMNAVARFFVAMHKRKDVNVELLKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVRMVAAKYIMKCDDDTFVRIDAVLREANKVRKGRSLYVGNINYNRKPFRYGKWAVTDEEWPEEDYPPYANGPGYIISSDIANNVVSDFENHKLRLFKMEDVSMGMWVGKFNASKPVEYVHSLNFCQFGCIEDYFTAHYQSPRQMTCLWDKLKRRGKAQCCNT >KZM87198 pep chromosome:ASM162521v1:7:12322562:12323817:-1 gene:DCAR_024332 transcript:KZM87198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKSSSPREVSWDLKAAGDDDDVWDKFLPWDYGEILARAGVSPGLTTKKQAYLLLFGSPFLLDHGKLSFMFNKGGGEKCCMLSARELSIAWKDTPYFWRWTTLPESRFSEVAELQCVCWLEIRGKIATTMLSDKTNYSAYLVFKAKAECFGLEIAAESVVKFVDSEETEVSAVHLVSPRATDGGARYNIGHADRQVPQENARLPFERRDGWMEIQLGEFYNDRGKDNHGEVEAALVETKVQNWKGGLFVEGIEFRPKGMQI >KZM87517 pep chromosome:ASM162521v1:7:17645197:17652402:1 gene:DCAR_024651 transcript:KZM87517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKLNLPDDLLSSKSADQSWIPHVEASGGNADEKMLGMLDESKDLLVSESSIPLSPQWLYAKPSDTKMEMRAPSTLSLGNSADANQKEAWRPEGADEKKDWRRIPTDADSGRRWREEERETGLLGRRDRRKTDRRVENAPGRESPDNRTVPAADRWHDVNNRGASHEAKRDGKWSSRWGPDDKEKEARTEKRADAEKEDVHGDNQMNVNNNRAVSERDADARDKWRPRHRMEGNSSGPGSYRAAPGFGPERGRVEGSNVGFAVGRGRASAVVRPPSSGPIGDADFDKERSVPGKLSFSREAYCYPRAKLLDIYRRHQHDPSFATMPDSLEEIPSVTQLTTVDPLAFVAPSIEEEAIVADIWNGKVINSGSSYNAYRKAKSSENSGDVVDLEDTTSKQGSLPVSISEMLVDSSERYQDDDVNQADDARFFPNEGDVHHDMARKTPMTLEGIGLDKITSKMSISNDSSRAQELSDAYQFASHMKNSDLAFAKHPLFDGIESNSSLSNVTTLAVDLSSSHDVKSSEQYYFGNKQVSEERPDYSEYQLERITPPEELSLFYCDPQGEIQGPFLGVDIISWFEQGFFGADLPVRLADASEETPFRQLGDVMPHLQGMTEYASNPDRSSKVETSGAFEGMLDAKLSASLPVPEMMDNPRWQMSDFDGISANNVQSRMSEHEGLLDVPYSEGQSFQEFVAQDEEIVFPGRPGSSGNPGRTSRGAGDLPASMFGEPSAKELLDSRMQSSKSNQLHPFGLLWSELEGTYSRNDNNSNMPFNGGIQDQHMNSIGLRGASLASADSTHGADSWPDAYRSNMPSEANMYHDVMDDIQLSRFDQESKRFDLAENLLPQQFQQHHLQQHNMLSHGHLNDPILERNQMGEPVRGQSRLDAVRSINAVDQVLLNQHMVNELQRPHHLSSNVDPSIEHLIQAKFGQTMHHGHQQSDLMDLISRSKHGQMQPMEHQILQEQLNGRQLAMGLRQRVEMEERQRGSAWPVDESIQFLGNSGGGAHRSGSAGIGQLEFYQQQQRPSPEEHMSNLERNLSLQDRIQRGLYNPSLMQFERSMSLPGGGPGMSLDMINSMARGQGLGMQEPSARMQPAGQGPGFSGVYSHQSSHPSSANLFHPSHLDAVEGQWSENNGQPPSDWMDSRIQQLQINERHKRQSEAGRAAEDPSLWMSAGSSDDTSKRLLMELLQQKPGHQPTEQLDATGVSYDKRLPPSSHSNHSFNLLSDREPDLNQPFAMGSYGSNSGGPLHNKVIEEQVGLETTERFLLRSNSGALNDRAQYFSGMNENSQAIYPNANMTGKSSTDFLDLERKMHGSKSEVGTRKISASESSDEFVQHEGVAASNRGDMPNNVMSRHTSQAGAAAGIYDNKMQRSSSVGEDVKDRMAAVPLKRQENVLSKRPPVSRAASSQEGLSELASETIVRGKNILGGSTLPSEGRREAGGNPPNQTAEILSSKKDVRYRRTSSCGDADVSETTSFSDMLKSNAKKPPQPESHAAAAATESSEGGRSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQHADDL >KZM88444 pep chromosome:ASM162521v1:7:27943240:27953916:1 gene:DCAR_025519 transcript:KZM88444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALASTPTSFSPIPSFVSERSRCLLLSSSSFFAGGAQIWGQNCFISVSTSFNCTEFNRSRICVKRVRTVVSASADYYSTLGVSKSADNKEIKAAYRKLARQYHPDVNKQPGATEKFKEISAAYEVLSDDKKRALYDRYGEAGLKSGVGAQAGAYTTNPFDLFETFFGSNMGGMGGGFPGMDSAGFGTRGRTTVTKGEDIRYDMTLEFAAAIFGAEKEFELSHLETCEVCAGTGAKTGSKMRICSTCGGRGQVMRTEQTPFGMFSQVSVCPKCGGNGEVISEYCRSCSGEGRIRVKKDIKVKIPPGVGKGSILRVAGEGDAGPKGGPPGDLFVYLDVKEIPEIQRDGIDLYSTVSISYLDAILGTVAKVKTVEGTTELQIPPGTQPGDVLVLAKKGAPKLNRPSIRGDHSFTVKVSIPKRISTKERDLLEELASLNGTPTSRTQSRSKVQQAASVAESQNGSIVEESEESGQDDVWNSLKDLAG >KZM87026 pep chromosome:ASM162521v1:7:10245237:10246688:-1 gene:DCAR_024160 transcript:KZM87026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVSAHTLSFESINNHRDASFSSYLHDDEGILALSHSESNQNITSIISNSHELMFAATKVIEDKEIGVFSAEKYFKGDMDDDGDPKTTDSSSKICQREKEMSVNIDPVKPKVQPRTPSVRSSSSYNSQTVLLPTNHQSKNMTKAFCKSFLSSLSCKCSCSEKNSVVIDDRVVESKNQSKTLVEQTIRAGESDQKNKAPTRKWSDQEKMPNKKFDKMEFQTSREECFIFPGLNPKKGSSAATVESPGEDNAKRKSLEVFGSPRTPITNQVNKSLSFGRKATMFSWDLVSPQLDKTGILKNQDVLSDDYESDASSELFEIESFSTKSNSLLGIQTSDEASTCLTPTNCYAPSEASIEWSVVTASAAEFSIMSDSEDLRTANTKNQNRMTRFAKNAPEKELHKRLPNILSGCKSQKAVRVVGDAYKTSNKAIPISQGRHRLDPSKPVAEFQAETKLTGFNSRLGNNAFNTRVVSPADKSHLLYIK >KZM88596 pep chromosome:ASM162521v1:7:29559054:29562867:-1 gene:DCAR_025671 transcript:KZM88596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAVVVITVFTAFFSAHAQNSTCKRYCGDDNSRITVQYPFGFSDECEIPLKCDEVKKRMLIGDFEVKKVTTESIVLRFPANCSRSIEESAQLISRNYAPTNRSNFLIRNCKRPVNRCLIQAESFENRLSVKSCESKASDDVSCYTGKNMSVVDELNGSDCSVLFTSILINSPENNSAESVVLALEFEVVELEWWLEGPCKCAVNANCAQLLVAGGKRRFRCQCNAGFEGDGFREGEGCRKVVSVSDCNASRYISGRCGGTKRAGILAAVAAAGACLIAALAFICYCVRRRSTSRKYKISANRLLSEARSSTVPLFSYKDIERATNGFSEKQRLGTGAYGTVYAGKLHNDEWVAIKKLRHQDTDGIEQIMNEIKLLSSVSHQNLVRLLGCCIENGEQILVYEFMPNGTLAQHLQRERGHVLPWTVRLTIATETALAIAHLHTATHPPIYHRDIKSSNILLDVNFNSKVADFGLSRLGMTDNSHVSTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIVTALKVVDFSRSATDINLAALAIDRIGKGRVDEIIDPLLEPNRDAWTLSSIHKVAELAFRCLAFHRDMRPSMVEVAQELEQIRLGAWAPLDENMGMASSVASSCSSPFDGSEKSLGGATVKKLGVGSRRVVVPHRPLDCLTPMKEVSDSSPISVRDPWSSEQSSPSTNSLLRNIVR >KZM87990 pep chromosome:ASM162521v1:7:22988961:22990287:-1 gene:DCAR_025091 transcript:KZM87990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVNTTVCCMCGDVGFSVELFRCNSCLYRFQHSYCSNNYVKSSEPTEICDWCLSEQKSSPCSAASSKASSRDVGSMIITSKPSEYSGDSSPTKGHSGSSHMRKNIIVSGVPSPRTVARRYKLLKDVKC >KZM89042 pep chromosome:ASM162521v1:7:33299582:33301754:1 gene:DCAR_026117 transcript:KZM89042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAGTTQKCKACDKTVYLVDELTADNKVFHKSCFRCHHCNSARVLSRLSMCSQFSLPLYLQLSNYSSFEGVLYCKPHFVQLFKMTGSLDKSFEGTPKTTRVNRSSEQGHNNSKVSSMFGGTQEKCVACKKTVYPLEQVAVDGTAYHRACFKCSHGGCVISPSNYVAHEHQLYCKHHHSQLFKAKGNFSQLDKHD >KZM87218 pep chromosome:ASM162521v1:7:12575755:12576986:-1 gene:DCAR_024352 transcript:KZM87218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNLRASTRTNWRIKVRVTRIWRGITHNGEVAGINLIVVDALSGRIHAWIPAQNMNQYENLLIEGATYDVNNFFVRRYPTRNVHRCFQNDIFIHFNHMTEVFVTGGVNYIPNHVFQFSDLSSVMEPASQNEFLIDVGIVEQFHPISTFINKYNQQKDCDAELTNMPATRFFINPIHEAAEDLRDALRLAEWREDE >KZM88709 pep chromosome:ASM162521v1:7:30570446:30571801:-1 gene:DCAR_025784 transcript:KZM88709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHFTGTSTPPQMHQIAPFSGFYSSINQVVHSTLPPWPHSIFQPSSRSLRDSYSIEQLLIHCANAIETNDSTSTQQILWVLNNIAPSDGDSSERLTCAFLRALVTRAARYGTCKVIPSIPNVQKPIYKFSMMELASFVDLTPWHRFGFTAANAAILEAVESYSVIHIIDLSSTHCMQIPTLIEALANRVKIPTLVKLTIASATNNIRPIFNISYQDLGTKLVNFARSRNIVLEFKVISSTASNGFLSLIDELKIQQLVHPKRDEALVVNCHMMLHYIPEETLNPQMNFLDSSSASFRTMFLKAVHSLNPTLVLLVDEDADLTSKSLVCRLRSAFNYLWIPYDIIDTYLPHDSKQRQWYEADIGWKIENVVAEDGSRRVERAEAKNKCVERMRNAKFREVGFGEAAVDEVRSMLDEHAAGWGLKREQEHLMLTWKGHSVVFATAWIPNSFDQ >KZM88364 pep chromosome:ASM162521v1:7:27232257:27236706:-1 gene:DCAR_025439 transcript:KZM88364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVLHSATDKGTDVQNQLGCMSGIFQLFGRQYFLTGRRVNGHSHKRLPSGQYVNHGMEKSGTRQETPVNSILQQHKLLIRSSTSGIVNLQMRLKQVGKEKLMAPVEPSRTSFSSSCSSSTFSSADWNKKAQSEPSSSCQSSCTKITSPPLLTRQPSSSPHLLPQSLDIRDIVKDSMYRETNRLSVKTTKEKVGVKAMKHIDSPRPFQQHKSVNTKLTRNDGSLRTVGKSRGAPRTAKEQKYSSPTLTPRDAPRYSYDERISQDKLKSTAKLKELPRLSLDSRERCIRVSASQSRSNYQPIERGTENGISRQTTSLNQEPGSNKRPSAIVARLMGLEPTPDESQSLLKEDSDAMSRTSRIYDKSKQNQVTGSPIVSRIPGSKDDNPLKKSTSSSKSPLEPAPWKRTDGESLKIQEPLKKSSQASPSVYGEIQKRLTELEFKRSGKDLRALKQILEAMEKKREILENKKEEQLTQFESHRSECSPSSSFDRVSIQQRQTNNPTSPIVKGSSSPKRHGSQIVIMKPTTQIEKPATKTDCKPVFHKKWSGDNDHNILELVGRRKATHIALKRNHIRDASSWAQPPNIVKTKVEILKSSQQQRVPQHIGGDNHASYAGNSRNAGQRLQKKKEIDKLTYSTTQSPDPGMSRKESFMHPVQSSLPTRNFRAKPTYPQQDIDQLNRSSNESRNSSHQGDTVSMQSESSSSPDSQIGTEKPGQNHLEQITDLFRPKDPNKENFTTRLAEDVLNAELAAVTLEQPSPVSVLDITFYEEDFPSPVKKIPSAFQDYDTENSGEAERGAVYIDHIKNKKKSSCSYRFEQGKLENIDHLIHKLRLVNSQDEATKSCIESVCDDNNSEHRYIAQILVAAGILMDRGSNLTAIQLHPSELPLSPKLFCVLEKVMCNTKLVEENHEKERQSKFNKAIQRKLLFDVVNEILALKSTLTGSVKPWIHQNLRAENSMRGDKLFKELCSKITHKQSATDSSVVGDYDSITTVLSADMINESGWAAYNFELPEIVLDIERMIFKDLIGEVLCGGTTGLRLRLQSGTHCRKLFPK >KZM88571 pep chromosome:ASM162521v1:7:29296791:29303711:-1 gene:DCAR_025646 transcript:KZM88571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVPAAAQEAQNREAFRQAVVNTLERRLFYIPSFKIYRGVAGLFDYGPPGCAVKANVLAFWRQHFVLEENMLEVDCPSVTPEIVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKDATLTAEKGAEYKHILAVLDDLSPEELGAKIKEYGITAPDTKNPLSAPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGSKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFAEVASLEFLMFPREDQVSGRSERKLVIGEAVAQGIVNNETLGYFIGRVYLFLTHLGIDKERLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHMDKSGVALVAHEKFAEPKEVEKLVITPIKKELGLAFKGKQKMVVEALEAMGEKEAMEMKAALESKGEVDFRVCTLEKDVTIKMNMLTISKEKKKEHQRVFIPSVIEPSFGIGRIIYCLYEHSFYTRPSRDGDEQLNVFRFPPLVAPIKCTVFPLVQNQEYEEIAKRIAKSLIAAGISYKIDITGTSIGKRYARTDELGVPLAITVDSTTSVTIRERDSKKQIRVSIEEVSSVVKEVTEGLSTWNDVLWKYPTHSS >KZM88314 pep chromosome:ASM162521v1:7:26748231:26753935:1 gene:DCAR_025389 transcript:KZM88314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACSSTLPKNHKTRSIIHRPPKKVGGKLLKIVRRRKRKTDAPVNDFLHTTTTCRRSEVSSSSYHLTQYQWRHKQNDGSVICQEEAWFDTISILESDTDEDFSSVHEDLPSGQVLQYETSSCSVDRKCLYEEIHEKLMKIDNGKTEMLVDRDGIKDSDGFKLTKNYNGVKEDQRDCEDKNLENMKRYSLSPLEPVNFNEIIINTSHPGHQSQKRKSKVIRLSFKRTSIDGDETTEICESKKFFYRPKIGNLIPFRTDGTPGSWSEIEPSHFKVRSDTYFRDKKKSPAPNNCPYKPLGVDLFVCPKKVDHIARHLELPSVKAGGKVPPLLIVNIQLPTYPTVMFGGDSDGEGLSLVLYFKLSDNFEKDSSSQFQEMIKKFVEDDMEKVKGFTKESTVSFRERLKIMVGVVNPDDIVSCSTEKKLINAYNEKPVLSRPQHKFYQGSNYFEIDLDIHHFSYIARRGLEAFRERLANATMDLGLTIQAQKQEELPERVLCCLRLNKIDFINRGQIPGIIALDEDD >KZM88981 pep chromosome:ASM162521v1:7:32760421:32761992:1 gene:DCAR_026056 transcript:KZM88981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMVKLAFVVTLLVISIDGYNCKVVQFIFGDSLSDVGNNDRLSRSLAKANLPWYGIDFGNGTPNGRFTNGRTVSDIIGDKMGLPRPPAFLDPSLTEDVILENGVNFASGGGGILNETGGLFIQRFSLYKQIELFQGTQELMKAKLGTAAAEKFFQESQYVVALGSNDFINNYLMPIYRDSWTYNDEGFIDYLMETLGAQLKVLHTLGARQLMVFGLGPMGCIPLQRVLSISGNGECQEKTNNLALAFNKAASNLLENLSKSLPNATYRFGDGYDVVNNVIKNPKKYGFDNSDSPCCSFGRIRPALTCVPASTLCKDRSKYLFWDEYHPSDSANELIADEIIKKLGFKRVNENNGPSPAPADAPSTT >KZM89136 pep chromosome:ASM162521v1:7:34225876:34227164:1 gene:DCAR_026211 transcript:KZM89136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRMRISSWNSLEELELERSAPSKLIKDDSLSIYETTLMKLKEGSRRNTKLEHSDSVGMGIDSSVANMPPVVEEAEMLDTDCTSSVTFKNQSDCQPIPVEKEQQNKNVSILSLFARYKNSHRALGSPDDKAVVENGCISSDTSEITNHVN >KZM87891 pep chromosome:ASM162521v1:7:21759667:21760407:-1 gene:DCAR_024992 transcript:KZM87891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQNYSPWPPKNPNFNQSNPNYYNKTHPHDPLGVTNIYKSRNSRQFHRRKSSGRRFAPYAPRNTTSFLIRAKKSGGIAFPASPCPVTPAVLPTPEFSPAREVVVDTAKEEWGVNGYGTMSGLIRLRELGGTGEEGDRGCASSGSDVELERRLDHDLSRFEMIYPNSNGGEIDNRDSFLGRGDEESMSLKERLFSMESELEDMRRRVLALEMRGKLARGNVEEFGSDNEGGCYAYSGRSVGGAGED >KZM88851 pep chromosome:ASM162521v1:7:31757169:31758107:1 gene:DCAR_025926 transcript:KZM88851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRFRLRITRVFRPKHSPPTPTSAAPQPSKRRRIVSFKRRLSSALLCRCSSNFNNSSDDENTAKPLDFHWQNDQKEWHVALQNDDESPRQKIYNSSVSGGDSDDTDILAPTLLPPPPHKKRRRRKKRAPLLKHNRISTSSAETETLVSSSRSFSPEETETLVSSSSRSFSTDELETIREKPPKRHRYRKPKNKNLEPMTSSSRCSVTVSESESPARLSVFRKLIPCAVEGKVKESFAVVKKSVDPYEDFKKSMSDMIFEKEMFEEKELEQLLQCFLSLNSRQHHGVIVQAFAEIWNSMFSLNTATNSTISN >KZM88874 pep chromosome:ASM162521v1:7:31955679:31959218:1 gene:DCAR_025949 transcript:KZM88874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDVAYVTDQALPPPSTRAVKVHSRMCTELMKLVSIGAKLLPQIEEARPGGSAGMQALVLLSNTIEKAKLIIEDCCHSSKLYMAITGDAILSRCEKARNSYIQSLSQIQYVVPVKLSSEISHIISDLSGVTFVLDPSEEEAGKILKYSLQQFSSATYSIEESTIQSVQRAASRLHITSQKAIIIEKRSIRKLLDNVGDGAPPKRRILIFLLNYLKKYQSITRENLDNASTEHENSNVLASSHEQYIEVESHTTCPPAEAQVDILSRATPPEEFRCPLSCRMMYDPVVIDSGETFERMFIQKWFSEGHDTCPKTKRKLSHLSLTPNVRMKSVISRWCTAYGVSILDPLKQSEAIHLQDNSLNSVASLSNSMCNLSLPTDFSSVSMGYSDSSLNSESSFENMPERGDSVFLKRSGDSKTTTRNARNHTRDREHLQKLDTLPWESQCKMIDDYKIHLKNDNETIQFASEENFVDPLVNFLEKALDLNDVKAMRAGCLLMLAFVTKSRSGGQYLNERVXLLASVFHLEVAIEAVCIVEVLSHYQYCRTKIAASGVISSIIRVLEAQNRELSEAAIRILYNLSFDNEIQAYIVATDFIRRLVHYFEDSSLEKYCIAILKNLCNHEAVRVSVAETHGCIASIARMLETDSRENQEHAVYVLLSLCSQRDVYCQLVMDEGVIPALVSISVNGNDKGMASAMELLRLFREKTDTDDKQDCPESDMNTLSDSSDDIIEHKPSPKSRGFFKKIMFKNR >KZM86790 pep chromosome:ASM162521v1:7:6897900:6898550:1 gene:DCAR_023924 transcript:KZM86790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDMFSAGTDTSANVTEWALAELINHPAIMEKARHEIDTVVGKSRLVEELDIVNLPYLQAIVKETLRLHPTGPLIPREASVGCTIANYHIPAKTRLLVNVWALGRDPNHWEKALEFQPERFLASETSQLDVRGQHFHLLPFGSGLRGCPGTSMAMKIVQTTIAAMIQCFEWNVVGGEGDNSSTTVDMEEGKAVTLGRAQPLVCVPVARLNPFPSSI >KZM86669 pep chromosome:ASM162521v1:7:5501451:5504567:-1 gene:DCAR_023803 transcript:KZM86669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVESRGGAIACMFLSLFLLGTWPAVFTLLERRGRLPQHTYLDYTITNFLAAVIIAFTFGELGESTAENPNFLIQLTQVNWPSILFAMAGGVVLSLGNLSTQYALAFVGLTVTNVITTSITVVIGTSLNYFLDDKINNAEILFPGVGCFLMAVCFASGLHASNEKDNEAKFKTLANNKIEEIGEQVPIYKEICLISDARDIENVLGTTAKAKVGTADFLLEVENRRAIKVFGKSTYTGLGITFFAGLCFSLFSPAFNLATNDQWETLREDVPHLSVYTAFFWFSISCFVIAIILNISFLYRPVLNLPRSSFKAYLSDWDGRGWASLAGLLCGFGNGLQFMGGQAAGYAAADAVQAYPLVGTFWGIILFREYHRSSRRTYTLLIIMLLMFIVAVGVLMASSGQRKRL >KZM89111 pep chromosome:ASM162521v1:7:33922699:33925998:-1 gene:DCAR_026186 transcript:KZM89111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRFFITSSIIDLTIVGLDVMDRDSSVQVPQPWYLKICSKLSLDLGSVVYILGYAEKRELTVREGKVVKATDNLIKISTDGGNLDELTRPKLPVIRLMSAYPKSEQSCAPSQSDRFSSQPKLKMMVLHHCQTSIPIPGPSSYHVANARQEDTPITELQTVPHIQGFPTPEIYGSPKLTPAPFRKNESALIQLLDINFLPRIIKPAVLLLHQPARNMHLKLDENMVKAIPLRHAVGEEHSTDRGLDTVADAEIASTGSVNVSGSEVESSSFLVEASDMPDQRRKSNDYFSLTVSSIMKTRNNLEQQPTRPQQSAVHSSAKNIFCDRSKLQSYRQMDQCSVPSTASASTSGIFARPKTYPRAQNGGNGFNHPCASHHTLCHHKGGTGNKPLLPFIISSSFIGTYRTPSVIPRFATRKMTITNKYLVGCTVAAFDRPGWGLTSRPQKQDWEENQLPNPYKLETQVTGCKLHPLIAH >KZM88051 pep chromosome:ASM162521v1:7:23992256:23993239:1 gene:DCAR_025126 transcript:KZM88051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDPSLIDEPYQFLKLVVNADGSLTRGFEFPRVPPTPQLASESPDSSSSEKQLVLSKDIPLNTTNQTFLRLFRPLHHTTKLPVIVDFHGGGFVLMSAISAPFHDMLNRAAVFAPALIITVEYRLAPEHRLPAAYDDALEAIMWVRDQAREVDGSDPWMKELADYSNVHIMGTSAGGNIAYHAGLRVLDTDISPIQVKGLILNQPFFGGVQRTPSEERLSRNPYLPVTVSDLLWLLSLPPGSDRDHEYSNPLDVVNPKIQRLPRCLIRGFEGDALVDRIKGVAKMLEAHGVKVVSKFEEGGFHGAEMADPVKIQELFLQIKDFVYSS >KZM89322 pep chromosome:ASM162521v1:7:35948603:35952692:-1 gene:DCAR_026397 transcript:KZM89322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTFFGDLAVEFEKSVKETKESNIAIIIASAKVNQHEGVICLNNYPATRFYINPHHHSVKKLHERMLDPAYCVKPEEHVPETTPPLFSVEDIKNLTKDFIERKVRCQITVKRVDEKSNWYDNVCTTCGYEVTIVEGRYRCVVCSRNVPFPDKRFRLGTLCNDATGLIAIVFPDDEIQRITGKNAFDIEEELADEKIFPPVLKDFEKKEYVITLIIGERNVSKISNIYNATDIADPVEVLGNHSPAEAEDVKLTDDIPPMETPCTKTAPKGSPTTAASTNKVRSRDKKTDISYALEDNVPIGKCKIPKTDKRSRVHAFVPYNLADQFEAIIEIGNLYLLTNFTVKEYTTDDKFRCLRKHVQIVFNEETQLDILHENVVNVENCCFDFFEISELHTLSKQNTYLTDVVGIMEDHEPIRKIKNRNDVIQSQFVFNITDGSSSVRVTFWDDFAKHLADSLKQATDFPVILIIGCARVTTWGEQVVVTNVGATNFYINCNHRSVVELRKLLLEKKITSKSLSTENRSAVQFYKLESIPRLGPDHVERQIFCKVKITNFQENKIWFKTVCTSCYATTVKLNSEDTCQGCQRVVQYPDKQFQLVAMAFDDTGYILIIMEDREVKKLVGKSVFQIGEEGTKDEEFPPILNNILGKVYTLKIRINSENVLKKSNYYLVTDIMEGLYLEGNQQQ >KZM89361 pep chromosome:ASM162521v1:7:36346493:36347089:-1 gene:DCAR_026436 transcript:KZM89361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPNELIDEILCRVPVKYLIRCRCVLKEWCSIIDSNAFIEKHSKRTSECNLDRGVVISGDGIGKVFLTDVEALRDENVDVIELDDGFVSGAEFVGVSNGLICLCMENKSEFVVCNPSTRKYRKLPRVPRMFGKEFEGVEVPLYGFGYDRVNDDYKVVKIGECEKCTVVVVYSLKSNSWKGFRILGVMFVLYLNGVSL >KZM87233 pep chromosome:ASM162521v1:7:12838347:12841655:-1 gene:DCAR_024367 transcript:KZM87233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSWRHHTLIQSLLSRGPLKESEFRSIFTKITANRSDNDRLFHDYLKKINSCLAYVQFELRAFRNQYDGTVYYGGVNNVADEQSKLGTKYTVPQIAFYKGIVPNGGGPESQGVSSQVPAAFRNFSLSQKERTLDELGLCQIIYNPYNLLAERICPGCGPQWDYSVPKSEFVVHEEELQNGNSQNEPPPEPPRRKKQIRSRTEDDTSGSGPSQTCTVKSEMRTTRRSSQLVGASQI >KZM86645 pep chromosome:ASM162521v1:7:5266675:5271453:1 gene:DCAR_023779 transcript:KZM86645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMDDEKTLVSSKPHVMFISFPLQGHISPMVQFSKRLVSKGIKVTVVTTTSLDITNSNSINIEHILVDTTSQGKSSAEAIDEYLAVLETGITESLPEVIEKQTTEGWPVKFLIYDALMPYALEASQKVGVQGVAFCTHSSAVLAIYYHVYQRTLDFKSLEESSSVTLPSLPLLGVEDLPSYLNEMNPYTGLFTLCMKQFRGLEKAYGVMFNTFEELEGELYYYTSPNSFKSTSIRRGNKMSSRDAEETLIANKPHIMFVSYPLQGHMNPMIQFSKRLVSKGIKVTIVTTTAIEASSLAKTSSINMEHISIDTPPSDSDTPDVINEFLVLFRIGMTRSLPGVIEKQKAKGCPVKILVYDALMPWAVGISQKLGVQGVAFCTHSSAVFAIYCNVYSKTLDIDSLGEFERVELPALPLLGIRELPSLVYDVGTYQGLSRLVVSQFVEIGKAECVLFNTFDKLELEGLGVTRGLPEVIEKYKTTECPVKVLVYDPLMPWALDISQKLGIPGVAVCTHSSAVFAIYYNVFSRTLDIYSVGELFSVKLPSLPVLGIKDLPSLVYDPGAYEGLTKLFESQFLDMDKARGVILRLKNWNVRSVTFTPAHFYSHTFGRESGF >KZM86563 pep chromosome:ASM162521v1:7:4335742:4337271:1 gene:DCAR_023697 transcript:KZM86563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVMEKREQEALYFVIQGFVGKWWNGSDLYPDPCGWTPIQGVSCDIFDDGYWFVTELNIGLIHENSPSCVLNMEFSPHLFALKHLKRLSFVKCMVHRSHPVTIPTEDWSALSGSLESLEFRSNPGLIGEVPISFGSLTSLQSLVLLENEISGELPTNLGNLVNLKRLVFSGNRFTGQVPLNFGSFGQLLIMDLSRNSLSGNLPLTFGGLNSLLKLDVSNNEFEGDIPNEIGNLRNLTLLDLSRNKFAGVLTKSLQQLSSLRELVLSRNPISGSLMNLEWHNLQSLIILDLSRMMLEDRVPESISELMGLRFLGLNDNNLTGELSPKLAEMPNITAMYLNGNNFTGKLMLAESFYAKLGRRFGAWDNPNLCYTFNFSSTSHVPFGVKQCQGLVNLYATKAGTSSKLEGNVNEVPLSVASGEHRRNEISYAYVVNMMLLLLFMASSK >KZM87755 pep chromosome:ASM162521v1:7:20129090:20136258:1 gene:DCAR_024856 transcript:KZM87755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEATVLNSGHGGLVADGAGAGAAAAHKIVSQQQTRRAMHHHQSQIGTISQLLAGGVAGAVSKTCTAPLARLTILFQVQGMHCDVASMRKACIWREASRIISEEGFRALWKGNLVTIAHRLPYSSISFYAFERYKNLLQVVLGIENGNENISTDLGIRLFSGGLAGITAASVTYPLDLVRTRLAAQTNVIYYRGIGHTLRTISKEEGVFGLYKGLGATLLGVGPNLAISFSVYDTVRSYWLSHRPNDSTVLVSLACGSLSGVASSTVTFPLDLVRRRMQLEGAGGRARVYKTGLFGTFRHIVRAEGLRGLYRGILPEYYKVVPSIGIVFMTYEKMKQILSDIPSN >KZM87485 pep chromosome:ASM162521v1:7:17090250:17090891:-1 gene:DCAR_024619 transcript:KZM87485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEEAYSQFGRRSNQPENEDYGETQATSNNDGISINRLMVANLPRRPISRRRGRHRVFVVRRREAIHQRRDAYIQQFRHANHMINSEVHSSGMEFQSRFDSVYPEVSMTTIEYAEILESLAEDDDVELKTTPTAKSFIDSLKVKKVECEKDIPFCVVCQDMMNVGDFLMELPCMHNYHVDCIQSWLATRNTCPVCRFELPTSDLVLGSVEE >KZM87693 pep chromosome:ASM162521v1:7:19475832:19478325:1 gene:DCAR_024794 transcript:KZM87693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLVVEAKSSIRDVFTMAVKKIYNLEDISNTTLPELKDLSRLIHRDPTAEKVMSSIYEDHKDDDGCLYMTMTGVLPKIIADALVARTNQSVLDFVGLYKEYHHLREKMEKRQQAGWFGSILGFVSSFTPWKLRQTAERKLPS >KZM87971 pep chromosome:ASM162521v1:7:22705236:22708295:-1 gene:DCAR_025072 transcript:KZM87971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILELNDSGGDESCVVLNSKRALVGAGARALFYPTLLYNVVRNKIQPQFRWWDRVDEFILLGAVPFPADVQRLKELGVHGVVTLNEPYETLVSTSLYDAHGINHLVIPTRDYLFAPCYGDIRQAVDFIHNNASRGKTTYVHCKAGRGRSTTVVLCYLVEHKQMTPTVAYEYVRSIRPRVRLASSQWQAVQDYYQRWKERYICTSSTDTTLSCSEKTNFEAFDDSCMVLVSKSDIEGYELSLNSNVVYNKLTELSVACRVQLTSQAAIARLSCTWLRIHGHKFWRKKLQSSIGNDQQSSVRFDLQVC >KZM87148 pep chromosome:ASM162521v1:7:11604718:11605806:-1 gene:DCAR_024282 transcript:KZM87148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREEQQVSKSGNASAGRNFGGGGSDRTKKIFVGGLPPTMSEDEFRLYFQSYGNVTDVVVMYDQQTNRPRGFGFISFDSEDAVDRVLHKTFHDLGGKQVEVKRALPKDGGGGGRSMGGGGGGYQGYGSSGGNSSSYEGRDSNRYMQSQNTGGGFPSYGSSGYGASGYWYGNSNGMGSGGYGSYAGANPGYGGPAGGAYGNPNVPNVGYGSGPPGAPRNAYGTQAASGYGNMGYGNTPWGASGGSAGGPGGAAPTGQSPSGAAGYGNQGYGNGGYGGADGSYGNPAAYGAVGGRLGSGPNSNPSAGGELQPSGGGYMGGSYGDANGNSGYGSGNYGAQVGPNGGQVGYGGGYGGAPTRQMQQQ >KZM88768 pep chromosome:ASM162521v1:7:31160503:31164103:-1 gene:DCAR_025843 transcript:KZM88768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRGRDEYEDLDEYEDEYEDEEDQEDAEEEYEDEVHQPTEQELEYLELRQKLKESIRKQMKKDPSSSIANSREKKSSLPYSNFGSFFGPSQPVIAQRVIEESKSLLENPQLAESISKPKLSNHKVHASASSGSSSRLNGHPTKTNKVMQTQTKVQMLKNTRDYSFLLSDDAEIPAPKKEPHSRNVSLSRPEGRSAQLPLKSKEFSSNNGKKVLNSGEGSRAVPSDKQMKPRGPHSSSSAGKPTTSMDYRKQNGSNNGMDYRKQNGSNNGMDYRKQNGSNNGMDYRKQNGSNNGMDYRKQNGSNNGIGPGRPQLSRPQMPKSLPPKTYNNSSERKSAPPSAKNASPVMHKPNVSRSQQSAQKQTLDRRRELQESLKGKPTSRQPIKQPPAKGPSRVTSQQENRKKRPARKHPDEDAEDVEAISMIRKMFGYNPRKYADVDDDSDMEANFDDILKEERRSAKIAAREDEEELRKLEEEERRQRMRKEAKKRKLS >KZM89100 pep chromosome:ASM162521v1:7:33850343:33852318:1 gene:DCAR_026175 transcript:KZM89100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWASRVHSAKSFSAVHSAHLNNSDDMGDDDMRAWFPCPFCYVDIEVQAICVHLQEEHCFDLRNAVCPICAANLGKDATAHFTTQHAHLVERRRKSQKSGSWSNNSMGPSKNMREMNSYYSQQQMNGRGHMHESVPDPLLSPFLCTIPLPDQETKVEVDADISRTSELTTSEATSTKSAVLDEAQEQDYRERTQRAAFTQQLILSTIF >KZM86801 pep chromosome:ASM162521v1:7:7087938:7088673:-1 gene:DCAR_023935 transcript:KZM86801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEVIVNAGIPCYRLVQNPGEFVFTFPRAYHSGFSHGFTCSEVSNIATPEWLKFAGKDEIHRAAVNYPPLVSHIQLLYDLAPSFSSVWFTLHELQNVEKYHNEKSYAICRDGDTERDRGD >KZM87389 pep chromosome:ASM162521v1:7:15411849:15412067:-1 gene:DCAR_024523 transcript:KZM87389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNFSAAVLVACLAILALSAAATRKDTTTNIRWMDMGPAPVPRPDDSGAILTSVPSMVAVIVASFASTLMV >KZM86231 pep chromosome:ASM162521v1:7:793688:793951:-1 gene:DCAR_023365 transcript:KZM86231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLFLVTVTMALIVFGAALTDAKRLDPFGEVPRSLVESDISPKVNIKVAETNEDEENESYGNFGHDKAGSSAESHRTFNCATISCN >KZM88101 pep chromosome:ASM162521v1:7:24460053:24462281:1 gene:DCAR_025176 transcript:KZM88101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVGDLAAGLVRKLVSLATDEVIQVWKLQEDLETLCQRFELIGALLHDAHTKNLIMSTAKMWFSKLEDVAQVADAFMDELEYEVTRRKVENRHKVNAILGMGGQGKTTLARMVYNIDDVIKMFPKRMWVTVSDDFDFMMILNQMVVSLTSRPSVLDNAEGLIKNLQEKLKGEKFLLVLDDVWNENPEEWDKLRNSLLGVGGARGSKIFVTTRKQEVTDAMQCSDPYLVKKLTEEDSFELLKQRAFLNGGVLETEAFVALGKRMVGRCGGLPLAIKTLGSLLYSKKSEEEWLKIQNSEIWKSKGVLSSLRLSYDNLPYSSLKRCFAYCSIMPKDHYLYKDELIQIWMALGFLPGDRTVLMEDTGNEYFDILLGNSLLQDVEKDIYGYITYCKMHDLVHDLALEVSSNYSTTVNPSHEVDKGSKATYVRLEGFEDVKPSMFKLRFDTVQALYAQATIFNCVLPELKYLRVLVLNSFCNELPGLIGNLKCLKHLDISKMSDSDTKYKLPNHITRLYNLETLRISWDHELPENICQLINLRHLVIGYAETRYMFVGIERLTCLQTLPHFVVKKNQNCLVGHLGMLKNLRGTLKIYGLHEVENIEEARKAKLCEKSNIRHLLLKWNNEDEREKGEYNDEGCWKDWNLTLI >KZM87017 pep chromosome:ASM162521v1:7:10181444:10181824:-1 gene:DCAR_024151 transcript:KZM87017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHARMCIKYIIDLLTKIPVTAIDAFGVKSVLEVSVDYVGSHWVCNGCKALGQLISECPYTRRIWVQKTPPVSGDDEASNKQDSSPKTSANALLTAANSSTPANVDKGDSNTFFFSQENCKQLES >KZM87730 pep chromosome:ASM162521v1:7:19836176:19838342:1 gene:DCAR_024831 transcript:KZM87730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRGCFPMRFLMPKWLQYMSVAAKMVAMCDSYGEVSYVAKLFDGITQPSVFNSSSHLKASIKKISAASTALILMVPILPRFCEKIYRMAEGGSDYCSKKSDDFCGGAAIEVCEFVATLKFFDRFVSLEQELAQIKTSAVSNGSTKYTGGSYGADNAMQDEDSKHYAIKRMVTACGGTTYQECRLCVIGPEFMINVQDGSLFTGEPTEPIDVSASKSTTSHANFNNNQGIDDQSIASGKVLS >KZM86195 pep chromosome:ASM162521v1:7:526441:533896:-1 gene:DCAR_023329 transcript:KZM86195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARTEVRRNRYKVAVDADEGRRRREDNMIEIRKNKREESLLKKRREGLQANQFPQAPVVEKKLQLESIPGMVAGVWSDDSNMQLEATTHFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDYPQLQAVWALGNVAGDSPRCRDLVLASGALPPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQTRPALPALQRLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIDAGVCQRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQAVILAGLFGPLVNLLQNAEFDIKKEAAWAISNATSGGSHDQIKFLVNQSCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGNSGEVNYYAQLIDEAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEDETLPTGDGNQAGFNLGGADGNLPSGGAYFLCGEGCRSKQGLVWRRVRSGPVRLGQVGSKHHIVSCCHLTQLLLWLKMSRGRSRFNRTW >KZM87995 pep chromosome:ASM162521v1:7:23066047:23066466:-1 gene:DCAR_025096 transcript:KZM87995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTTLFLIFNILFFSLIQGQETPPSPAMNTTTSPPPAMNSTTSPPPAINATTNTPPPGLDCSGCRVNPIGLASCGFVISGGVLPPLVRLCCQALQSLTREEGFACLCNFINQNALNAGSLNIRAIVDQTLNKCSNI >KZM89302 pep chromosome:ASM162521v1:7:35830490:35832196:1 gene:DCAR_026377 transcript:KZM89302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVIFDFDKTIIDIDSDNWVIDGLGGTALFERLLTTMPWNSAMDAVMKEFHSQGKTIEDIVAILKQVPIHPRVVPAIKAAHSLGCELRIEGKLRILPYVDFLKAPHGCSNVCPPNMCKGMIIERIQSSLEKNEKIIYLGDGAGDYCPMLKLGPENFVMPRKNFPVWSLISENRDLVKAKIHEWTDGQELEQILLGLIASISEEEKRSISSAQLFSSDCGFQTIAAMHGHEALPRALPVRH >KZM89233 pep chromosome:ASM162521v1:7:35206995:35207651:-1 gene:DCAR_026308 transcript:KZM89233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEKNLDENTGRLLVRAKPMFLKRVVDNLLDVQRQWVVETGFEKVLVFNINEYPQPLTFLIVKSYKSIDSRISIDKKFDQWIISPSGQCFTGFVPLLDGC >KZM86943 pep chromosome:ASM162521v1:7:8661338:8661761:1 gene:DCAR_024077 transcript:KZM86943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAESIGGVDTSGFGMYSSGTGTGAITGGGLLPNQYATTPTAVQTQLPCSPAHSEIKERVVAASGAGETTGSDDGNGEEGETNHAKKGLMERIKDKLPGHHHHHH >KZM87771 pep chromosome:ASM162521v1:7:20276620:20280409:1 gene:DCAR_024872 transcript:KZM87771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVVIDQKDTSTVHIPEATLQKLPPINNGGQRQGYQTMATPSDGNGQQFVSNWRGVFSVSSYTQYFNVDTDIVLNRVTSSLFPSGDFFSKIDANPDLYGLVWISTTLVFVIASLGNCATYLMLETSDINNSWSFDVSYVDMGAGLVYGYVLIVPLVFYFLLRYLGSNVSLVRFWCMWGYSLFVFIPSSLLLVIPVEFIRWTIIVIAGAASSSFVTLNLRSCTQNDLPVVLAAACVLQYGLAFVIKMWFFA >KZM88763 pep chromosome:ASM162521v1:7:31111837:31112606:-1 gene:DCAR_025838 transcript:KZM88763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMVRSSVLAALRRAPKKSPAAPKRSFSSSAGHDDAYETAKWEKITYAGIVGCTILAVVNLSKGHPHSEEPPAYPYLHIRNKEFPWGMS >KZM87834 pep chromosome:ASM162521v1:7:20975019:20975883:1 gene:DCAR_024935 transcript:KZM87834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELDIQIPSTFDPFAEANAEDSGAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGKVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >KZM88528 pep chromosome:ASM162521v1:7:28880398:28893723:1 gene:DCAR_025603 transcript:KZM88528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSEERPIPFHAACESRPSSCRGGATTRLGRPKLTITEDVLERRRLSKRRQNVRRTIQQGATPNGVLNVSSREMHPPGHSTSQLNAADGGADGGEASSAEEGLHMETSAEGSALEQGSGTDHAAGGQADPGPGETRRQRASINKKKKEFKCRGRRCNGSCLVGVGRKRGTS >KZM89104 pep chromosome:ASM162521v1:7:33865698:33867569:1 gene:DCAR_026179 transcript:KZM89104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFSNSIGLTIVLLLMMARNTISYQFYDKTNCTSDSEVFGSNYLCTSDKLPCQTFIIYRVQQGNETLNSISDLFNLENVSDILFYNNVSDSIQASLPPGHEIIIPITCECPDRFSRAVFSYNASASESLSSIACSVFGGLVKAQSLREENPYYGRREGPEYSLVHVPVRCACPDSLDLSEGIKYLVTYPIMGSDSTDLIARKFGVTQQIIWDANRLSTYTAIYPRTVLIIPTTSVPSLNYVVASPGENPSPPQKSSPLGDIIPGEDRKKNVKFAAYVAVAVAGAVALVGVSFCMVILGIKRRSHLRFKNLSPIVPRSSNFSPDFLDGMSKLNNSLICFNLDELRSATEDFSEGSLIGSSLYRGKIGDTCLAIEEMRSMKEANHVVYILTKTNHLNVVKLLGFCYGTRPFLLFEYAENHSLRYCLSNSKLAEQLTWAKRMQIAFDLAVGIHYIHYCTKPTYVHRNINSKNVLITADWRAKISDFKLAKPLVFSDQTGETDWNESVIVGKKGYLSPEYMNEGHASTKVDVYAFGVVLLQLLSAKEAISEEKFLKDSIEFLTEETEDSAACLEKLKEFMDPVLNGDYNVGDAMNLALLAKGCVEKDTLHRPNMNDVLKAISRMLW >KZM86894 pep chromosome:ASM162521v1:7:8116257:8116439:1 gene:DCAR_024028 transcript:KZM86894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALSFVSIKRSSRKVSSSALPRSRSYAEPLDSHRAAAIEDCIQFLNSSSCLRKSNSVS >KZM88301 pep chromosome:ASM162521v1:7:26604807:26607183:-1 gene:DCAR_025376 transcript:KZM88301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLESVLSIEDRKQVQHKSTSLARFRDLYPPGFLKKVFAEIIATYLMVFVTCGAAALSANDESKVAKLGASLAGGLIVSVMIYAVGHISGAHMNPAVTLAFAAFRHLPWSFVPVYVLGQLTGALSAAFTLRVILHPIKNLGTTTPSGSDSQALIMEIVVTFSMMFIASAVSTDTAAIGDLGGVAVGSAVCITSILAGPVSGGSMNPARTIGPAVASSVYKGLWVYIVGPVTGTLLGILSYDFIRTDKPLHPISSS >KZM86838 pep chromosome:ASM162521v1:7:7495588:7501113:1 gene:DCAR_023972 transcript:KZM86838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILCSNNLVSASIHYYDTQPFIPQSDAFFFHGGSEGLYASKPHPINTNDNNNNPHSGDSFIRFETVSFQRTAESAEKQNPMQSRTGLIEAIVFEVKDRERIGGAFLNSSSICCTPQLSQDGSCTVGEIIIHKHSDNPEWPRRIQTFFEANKQEAKMGTVSVEINSTGMYYLYFMFCDPQLKGTVIKGRTVWRNPQGYLPGKMAPLMTFYGIASLAYLVLGLVWFLRFVKFWKDIIQLHYHITIVIALGMCETALWYFEYANLNATGIRPVGITLWAVTITAVKKTLSRLLLLVVSMGYGVVRPTLGGVTSKVLILGLIYFVALEALDLVQHLGTVNDFSSRSMQYLVLPVAFLDAWFILWIFSSLSRTLEKLQIRRSMAKLELYRKFTNYLAVFVLLSIAWIGYELYFNASDKYNELWQYAWIIPSIWTLLAFSLLVVICILWAPSRNPTRYAYSEETGDDFDEEAISLTSGVKVTGEMTSKLERKDLKGSSSTDHVMALDVEEDKQE >KZM88311 pep chromosome:ASM162521v1:7:26728139:26731471:-1 gene:DCAR_025386 transcript:KZM88311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLLKKCTSASLVDGEQKKQKLSEVKAGLDDAESLIRKMDIEARSLQPNVRAVLLAKLREYKSDLNNLKSEVKRILSTNLNQAARDDLLESGMADAQMVSEDQRGRLLMSTERLNKSSDRVRESRRTMLETEELGVSILHDLHQQRQSLLHANSTQRSTEVEGVELHGVDDNISKSKKVLTAMSKRMSRNKYIIGTVVAVLVLAIILILYFKLKN >KZM86185 pep chromosome:ASM162521v1:7:449652:449933:1 gene:DCAR_023319 transcript:KZM86185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAITTNVEVESSVPAQTIYKGFLLDMDNIIPKILPQAIKRVEIISGDGGIGTIKQITLGEANSPS >KZM87297 pep chromosome:ASM162521v1:7:13931575:13932670:1 gene:DCAR_024431 transcript:KZM87297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEIPENVKLSKLRVLSFSDVTFSSYESVEKLLLNCPVLEDLSIDYCEWLTGNSLSHYEFPPFHNLTELVLNIDLYCHETLLDNFLQNSPNLETLKFPQGLVCRFFDDSFHRSWGWSQLRVPECFSTHLKTVHIKKFNGINEELAFVKFLLVYGSALQNVSIETLNLSKDAEARQELLNLQRESTTCKLNIIDENGKCFL >KZM88000 pep chromosome:ASM162521v1:7:23159435:23162147:1 gene:DCAR_025101 transcript:KZM88000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLNVAVIGAGVAGLLAGRELQRAGHRVTIFEKQNQLGGTWVYDPRIESDPVGLDPSREIIHCSLYSSLRTNFPRHLMGFSDFPFTKIYDDSRTFPSHEEVLKFLNDFALHFGVDKLIRLSAEIVGVELRNDEWILESRTGGLIREEVFDAVVVCNGQYTEPRVANFPGRSFICILNQAGIEKWPGKQIHSHNYRVPDPFRDQIVVVIGAGPSAMDISGEIAAVAKEVHISTRPSSLLSKSVIFEKFKQHSEIDYVDESGTVAFEDGSSVQADIIFHCTGYKYNFPFLKTNNIVTVEDNRVGPLYKHVFPPEIAPRLSFIGIPNAITVFPMMELQAKWISLVLSGQVLLPSKESMLADTEAYYKSMEQFGSPIRFTHFLFPTKFEYLDWLADQAGAPRIDELTRRIFAQVFTNIFSSEADKFREWDVDSWIDTVM >KZM87180 pep chromosome:ASM162521v1:7:12152328:12153176:1 gene:DCAR_024314 transcript:KZM87180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDVFYECKRSAAIRTSRTSKNPGRRFWCCARLKVELGLSELKKFVFLELRELAFLLPKVPLDYMVEL >KZM88711 pep chromosome:ASM162521v1:7:30586489:30591470:1 gene:DCAR_025786 transcript:KZM88711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGARLVVSIDLKKAAWEQKQPLHNRWHPDIPPVAEVTVGELFRVEMVDFSGGRITKDYSAHDIKYADQSIVKLRSVYRPTQFIQVNSSMAPPTPKLIVSIDLKKKPSEQVLPLHNRWHPEIPPVAEVKTGEMFRVEMVDWTGGAIKDDNSATDVKYVDLSTVHYLSGPIRVVDKEGTPAKPGDLLAVEICNLGPLPGDEWGFTAIFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPELEETGHQSMKLCEVLHTRPLANLPSTKGCHLGKIQGKTAEWERIANEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANFSTGDMHFSQGDGEVSFCGAIEMSGFLELRCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASIAYKRAVLNAIDYLSRFGYTKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKASKLPVGPRLVRNPNIPQCTYDGNLPTTKNPCASS >KZM86920 pep chromosome:ASM162521v1:7:8411316:8417064:1 gene:DCAR_024054 transcript:KZM86920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELIGSPGMVSGLLLRIGQFLCAAASIGVMVSATGFSNYTAFCYLIASMGLQVLWSFGLACLDVYALKIKRDLQNPVLVSLFVVGDWVTATLSLAAACSSAGIAVLYAKDLDFCKVHLNIPCGRFDLSIALSFATWLLTAVSSHVMFWILASV >KZM89356 pep chromosome:ASM162521v1:7:36254969:36262345:-1 gene:DCAR_026431 transcript:KZM89356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGQDKSGSVQRVLKINRLELSELNIIEDCTTYSEVECCELLKRINDGNKSTGGLKFVTVCYGFVKFLGPYYMLLITRRRKIGVICGHAIYAVTKSKMITIPNSAVQSNMAYSKNENRYKKLLCTVDLTKDFFFSYSYHIMRSLQKNMSNHESGHVLYETMFVWNEFMTRGIRNHLKNTLWTVALVYGFFKQLKLQMSGKDFNLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVIEDVPGRCTQISSVVQHRGSIPLFWSQETSRLNIKPDIILSKKDPKYEATRLHFENLSKRYGNPIIILNLIKTREKRPRESILRTEFRNAIDLINKDLPEENRLKFLHLDLNKISRSRTTNVLGLLAKMAAYALNLTDFFYCQVKPCSRIRDVYNSSSLDDVDDCKACCNSKGVVCIDTDDVGGSTFIRPSFQKGILRTNCIDCLDRTNVAQYAYGLVAFGWQLHALGLQDTPNIDLDFPLADDLMRVYEMMGDTLAMQYGGSAAHNKIFCQRRGQWKAATQSQEFLRTLQRYYSNAYMDAEKQDAINVFLGHFQPHQGKPELWELDSDQHHNVGNRGSDFSEESASHAIDQALDASKNLEGRRSHRRARASSQVDDDDVKMYSHCSAVVVTSVAIAMQTAVVQLQFAL >KZM86496 pep chromosome:ASM162521v1:7:3382873:3383109:-1 gene:DCAR_023630 transcript:KZM86496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAWIHVANLQGGRASSNTNFQGLGSLLLLLWALVVSICFISAVILSCADGASREKDASNETYAAGCGAGCGGGCGG >KZM86961 pep chromosome:ASM162521v1:7:8968804:8969073:-1 gene:DCAR_024095 transcript:KZM86961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFWEYAENLILNLMEDPSERDKKFRQHIYETRARCEKAKENWALPLRPYGFWTFDRHNSQIFWDAKISQVRGRRDPYDDLLDDNDNPK >KZM87783 pep chromosome:ASM162521v1:7:20399178:20406422:1 gene:DCAR_024884 transcript:KZM87783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGNLVVYKDNNKKDLLWQSADFPSDALISNMKIGVDRRSGLNRFLTAWKSPDDPGSGSYTIMINANGSVPQLFLYRNWEPVWRGGPWNGLGWSGVPEMAVLGSARFIFNVSYVDNAEEVYLVDYLRNKSVISRMIVNESTGTLQRLTWQEHKWVNFYSAPKDTCDLFSHCGPYGVCSILNVGEYECNCPPGFEPKSRQDWYLRDGSQGCVRKQKGQICGNGEGFVKLERMKLPDTSKARRDMSLGMKACEELCLRNCSCMGYSAADVRDGGSNGCITWYGELVDLREFSSGGQDLYMRLDAVELAKYSKKKKKFPGFLKILFIVLLSAAVLVLLSIAYWLMMKKNREKARRKQEGTGLFSSNSHNLRMSVNDSPKGDQVENLETSTGDVKFYTLSTIIAATGNFSLAHKVGEGGFGSVYKGKLENGQEIAVKRLSHTSGQGIEEFRNEVTLIARLQHRNLVRLFGYCIQKDEKMLIYEYLPNKGLDCFLFVHNKLIEGLSNQFIQVWDLWKKSEALQIVDPSLGTSDERDPGIHRCIHIALLCVQESATARPSMSEVVFMLSNEISLPPPDQAAFILRTSDKALTNTSSSSLGAISLVDTEVLLNNVRANTFILLDCLRMYYYNDRGGLVLYDDNRKPPVFVWSTHVSNKSFVVSSNYSAELLDSGNLVVRQDDKDKDFLWQSFDYPTDTLLSNMKIGVDRRSGLNRVLTSWKSEDDPGTGSYSLMINDNGSIAQMILYRNREPLWRGGPWNGLGWTGVPDMTADFIFNISYVDNPDEVYLIDYIRNASIISRMTVNESMGTLQRLTWQQGDRKWFNFFSAPRDQCDIFSHCGAFGDCNVYDAGVYECRCPPGFEPKSPRDWNLRDGSQGCVRKREGRVCGNGEGFIKLGKMKLPDTTKARLEKKLGLKGCEDLCLKNCSCMGYSAADIRGGSNGCITWYDKLVDLREFSGGGQDFYLRVDAVELANYLKKPKRFPGFLKILLFVLLSASVLVLLSLAYWLIMKKQRDGEEVNELGTSTIDVKFYPLSTILAATENFSLAHKVGEGGFGTVYKVWDMWGKSRAMELVDQSLGNDPEILRCIQIGLLCVQESATARPSMSEVVFMLCSDIHLPPPGQAAFIFRTENFGPANTGSESVGALSVNELTISMVQGR >KZM88068 pep chromosome:ASM162521v1:7:24123977:24135734:1 gene:DCAR_025143 transcript:KZM88068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMCSFRQPNVSVIGGDEGTSYRILGSLNKRAQFSCVVFSGTSLFSEGSRRKKLKKVVCCSKGELDCSCLGYHSSVIGFGSGLKYCFQLSRGVAQSWRCQGNDSVAYVEGNGLGGSSESSDLESTKGSDGSLEGNKAVQEEEKSEVLSFEDLREVLQKACKELEVARVNSTMFEEKAHRISEAAIALKDEAENAWNDVNSRLESLKEIEYEEINAKETAHEATVALSLADARLQFASDLLEGAKQRSAFVEMETNSLREKEEAILDALNDIKACEATLLCCEADLKQVQIQKVELQKEVDRLKGVAEKAERDALKAEEDVANIMLLAEQAVAFEVEATQRVNDAEIALQRAEKNSPSLLLDYSESVPQNRLLSQEHDLSEGVITEEKANLEHLADNLVVRSTDENCETSSLISDALSDSQVDIRKDRFEDSIDLGNGKLSTKALLVETELEAEKSNNLVQTRKTEMQKDLTKDSSSLNAPKALPNKSSRFFSASFFSFDADETEFAPFSLFHGLTESGKKQLPKMVVGLLLAGAGIAFYGNRSERANVLFQQPDNITTTIDELTLIEKPVVHQIRKVSRKIRNLLEKLPHQEINEEEASLFDMLWLLLASVIFVPLFQKIPGGSPVLGYLTAGILIGPYGLSIIHHVHATKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGMGSAQVLATAILVGLVAHFVSGLTCPAALVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFLAIAEALGIAGLKAIVAISAIIAGGRLLLRPIYRQIAEIQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIMGTLGLLIGGKTILVVLIGRMFGVSTISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAAGGQLIASRFEQQDVRSLLPVENETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRTLDLPVYFGDAGSREILHKVGAERACAAAITLDTPGANYRTVWALNKYFPNIKTFVRAHDVDHGLKLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEFRSRHLAELTELSETSGSSLGYGYSQMMTKAKSQPTTDPLDDNQVPNGTPAV >KZM87879 pep chromosome:ASM162521v1:7:21564711:21566174:-1 gene:DCAR_024980 transcript:KZM87879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGACGYGTFGATINGGDVSAASDLYRDGVGCGACYQVRCSDSTYCSEKGVNVVITDQGSGPSTDFILSRRAFGRMAQTTDAAASLLNLGVVDIEYRRVSCSYPSKNITVKIDENSNYPHYLAFSLWYQQGNSDITAVQLCETKNLSCKLLSRSYGAVWTTTSAPSGSLSLRMLFSNDVTGDETWVVPVNNIPENWKAGDTYDTGVQVNN >KZM87146 pep chromosome:ASM162521v1:7:11565573:11572412:-1 gene:DCAR_024280 transcript:KZM87146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQLEAQLIPEWKDAFVNYWQLKKHIKRIKISRSSKHAPHHQDGNIGFSILDPIRLVANKISDCLSTTSTKTPQIIEVRSKTHHVLEEGDISEDQEEVYETELSQLFSDEDEIKQYFATLDDELNKVNQFYRSKESEFVERGENLNKQLQILLDLRHVLNDRRQRKLSSSKSGSGFFSRSMSFSSSARHSDTNSESGNDCCDSPTDNSTQTEDVIAALQKNGINFINAGKAKKGAKPKGAMRIDIPATNPARTISAVTSMLWEDLVNNPKKDGQGEFVNRKKIQCAEKMIRGAFVELYRGLGLLKTYSSLNMVAFTKILKKFDKVSNQDASASYLKVVKRSHFISSDKVVRLMDEVESLFTQHFANGDRKKAMKFLRPQQHRESHMITFFVGLFTGCFVTLFGVYIILAHLSGMFSPSTQIAYMETVYPVFSVFALASLHMFAYGCVLFWWKVTRINYNFIFEFQPSTSLKYRDAFLICTCMMTSVVGAMVIHLVMLSNGFSPSKADTVPGILLVFFIALLVCPLNIFYRPTRYCFLRIIRNIVCSPFYKVLLVDFFMADQLTSQIPLMRHLETTVCYFSAGSFRTHQYATCKSGKMYRELAYVISFAPYYWRAMQCARRWFDEGDVTHLANLGKYVSAMVAAGARLTYAQQPNTTCMIIVLLTSVVATIYQLYWDFVKDWGLLNPKSKNPWLRDELILKNKSIYYISIALNTVLRIAWLETVMKFNVGIIESRLLDFFLASLEVIRRGHWNFYRLENEHLNNSGNYRAVKAVPLPFRESDSDG >KZM86322 pep chromosome:ASM162521v1:7:1690734:1694163:1 gene:DCAR_023456 transcript:KZM86322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFDEELYQLELVDNLTKHGIDAEDVKKLQDAGIYTCSCLMTHWEKELTAITGFSKTKAEKIYVAAEKKILSKNDQAQPSHVTPDTPTSSRNEQETSNVASNNQTTYVHTPDTQQIEVDITSLERDPGLRLPIRACKSTIRSPNS >KZM86147 pep chromosome:ASM162521v1:7:98798:99010:1 gene:DCAR_023281 transcript:KZM86147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKSPPCSIPEPHFRIPNSSSPRIISSPHFHFLPSLKNTQQIDINKRENCIYKYQLEALNHLDMLLFH >KZM87720 pep chromosome:ASM162521v1:7:19687069:19687509:-1 gene:DCAR_024821 transcript:KZM87720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLKSSLAFIFIVLLPVLLQLILITQAQLTPPPPPQAQTQAPPTSPPPPQQAQTQVPTPPPPPPPQAQTQAPPPPPAGQTQAPSIACKTSVYPKLCRSLLSAFRNAPSNPTGYGKFTVKQSLKQAKRLSKTINRYLKKSKQKII >KZM87034 pep chromosome:ASM162521v1:7:10299345:10299634:-1 gene:DCAR_024168 transcript:KZM87034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMLHERCASSLLHYIPAFALFFGIGGAKFALVIGGPCNSYSGNNRTTRDGSKGN >KZM86160 pep chromosome:ASM162521v1:7:214385:215752:-1 gene:DCAR_023294 transcript:KZM86160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVNYVRNMISVVCMGRLGSIELAGGALAIGFTNITGYSVLSGLAMGMEPLCSQAFGSGNLTIVSLTLQRTIFMLLFTSIPITLLWLNLEPLMLLLHQNREITKIASLYCFYAIPDLVANSFLQPLRIYLRSKGATRPFMWCTVLATILHLPVTIFLTFNLHLGVKGIALSIIITNFVSLFFLVSYMFCTRSASSPALSMPLLSIASNNGPQGEDWGMLLRLAIPSCLAVCLEWWWYELMTLSAGYLKNPEAALAASAIVIQTTSLLYTLPSALSASISTRVGNELGAGRPRQACLSSRVAIGLALLSSTMGLLWTTIGRTSWIRVFTKDTEVFELTMAALPIIGLCEIANCPQTTCCGVLRGSARPSVGAGINFYSFYLVGAPVAVALAFVWKLEFLGLCYGLLAAQVSCVLTILTVIYKTDWEEESLKARHLVGGHDEYFTYPEIIKSQQRA >KZM89323 pep chromosome:ASM162521v1:7:35955761:35957310:1 gene:DCAR_026398 transcript:KZM89323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGLVAEKFCKLIDSGDTEKNEMTLPRRFCEKYGSRLVSSVHLKVRNGYVLHVEFEKNRGMLKGVLCFFKNFELKGGELLVFEYFGRSNINVYILGANCSEINYPNNMFQWFECLPSLVTFGDGGWRCVEYIYEDKSDLNEIILPPSFLERCGRYLEESVTFVLSNGQKFGGTYCHESGKLSGLNNICYIGGNDGLRSIHMLLFAFHTKSIVTISAFDEACYEIIFPGTPLSKGYNSDPEEVCSYFEITIEPKHMLEDCHVVDISNDFVELCSMWDSMQTITVYSGNGTWMLLICNRYYNYCWTIESGWQLLRDGLQLGVGDKLVFECPKMSFDHFSVRVIRKVI >KZM87626 pep chromosome:ASM162521v1:7:18707168:18711408:1 gene:DCAR_024740 transcript:KZM87626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNEEKSIEKEENTSRKQKMNIQGYPIEGLSIAGHETCVIFPSLSLAFDIGKCPQRAVSQDYLFISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPKVIKENVEKLFDVHRSMDQSELKHTLIGLDIGEEFCLRKDLKVKAFKTYHGIPSQGYIVYAVKQKLKQEYLGLPGNEIKKLKNSGVKITYTITTPEIAFTGDTMSDFILDSTNSDVLKAKILVMEATYVDSLVTVEHARDFGHVHLSEIINYANRFENEAILLIHFSARYELKAIKDAVSALLPPLAGRVFALTEGF >KZM87166 pep chromosome:ASM162521v1:7:11911813:11912145:-1 gene:DCAR_024300 transcript:KZM87166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGAIFRDRDILGINSWSCVNEGNTSVCVCLSVCISTYMCWVWLEKTVAVIAMGLVVAESRRKLLTTGMVLGVQPSVGMNPLISELNDCGEGSVVQLSGKIKCVWIILF >KZM89054 pep chromosome:ASM162521v1:7:33398766:33399317:-1 gene:DCAR_026129 transcript:KZM89054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPKVFMDIEIAGTPAGRIIFELFSDTTPKTAENFRALCTGEKGNDNNSRPLHYKGHNFISAHRGKFVLANGNEQSIYGPGYFMDENFVNKHTGPGILSMGNNGKHSNNSRFFICTSEYSAADFRNVVFGKVVDGMDVVYAIENSGERFIEHSESLLDIKHVVIADCGQLKQGDHVESLISL >KZM86611 pep chromosome:ASM162521v1:7:4882328:4883350:-1 gene:DCAR_023745 transcript:KZM86611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAAAKLPGFCLNKIRPHVRIRSPTVQTDSSTTDLKNELSGDRGVENAEDAASKSVNGRKIMLVVESSLEARNALHWALTHTLQSRDLLYLLYVTKPSKQGERFSKDGHPVPRIPDFLHSMKTTCKLKRPEVETEIIVAEGKEKGPTVVEQAKKKEVAMLILGQKKRSMSWRLLMMWAGNRRIGGNGVVEHCIQNASCMAIAVRRKSKDGGYLITTKRHKNFWLLA >KZM87255 pep chromosome:ASM162521v1:7:13214865:13220318:1 gene:DCAR_024389 transcript:KZM87255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKKVKKSKKEVTHEEGFEEKIQDEEDISNDSPKSAKEHALQLQRLQEKDPEFYEFLKEHDKDLLDFDAEDDDEEDEDAETDINGEEMQDDEDEQENIETDIDGEEMEDDEEHGTTVKDKEVKTSRTVITSAMVDTWCTAIKENSSLGAVRSLMKAFRTACHYGDDGGNDDLSKLSVMPSHVFNKIMLFVLNEMDGVLRGLLSLPSSGGKKETVLDVVHTRQWKNHNHLVKSYLGNALHVLNQMTDTEMISFTLKRLRYSTIFLAAFPSLLRKYIKVGLHFWGTGGGALPVVSFLFLRDLCVRIGSDCVDDCFKGIYKAYVLNCQFVNAKKLQHIQFLGNCVIEIFRVDLSAAYQHAFLFIRQLAMILKEALTMKTKESFRKVYEWKYINCLELWTGAICAYSSEAEFSPLAYPLTQIISGVARLVPTARYFPLRLRCARMLNRIAGSTGTFIPVALLLLDMLDMKEFNRPPAGGVGKSLDLRTVLKVSKPILKTRSFQEACVNTVIEELTEHLAQWSYSIAFFELSFVPAVRLRNFCKSTKVDRFRREMRHLIRQIEANSEYTNVKRMSVSILPNDPAASLILEDEKKSGSSPLSQYAAIIRQKAKQKRDSLTETSVIVGEDSAIFGSELPGNDDDDGEDGDDERDQEGGAVFNSSSWLPGRDSKAEVAKEDKKKRKKRKNRETEFQEAIDEDVVEELIISSDEDEGSMSDSPSNENDVEAEPPSKNKRSAQQKPTGKFSKKKAQFNPEKSKKRKKAQFNIEKSKKRKKAN >KZM86613 pep chromosome:ASM162521v1:7:4892323:4892733:1 gene:DCAR_023747 transcript:KZM86613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLHKNTGRLLVRVKPIFLKRIMENLSDAQRQWVVETGFEKLLLFNIMKYPQPLSFSISKSYKLIDSTISIGENIINFSEDDVQNVLGLPNGELMFENSYNREYTDVGLKFRLNFLIVLTNVLIRDPEHLISI >KZM87502 pep chromosome:ASM162521v1:7:17443181:17446459:1 gene:DCAR_024636 transcript:KZM87502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISKLCFSPTSTNSVSSNKHHHHPQLQKCAIAASFPKLINSSSRLSLSRPPRSKPSFICSELAGSESHVKRTVLHDLYEKQGQSPWYDNLCRPVTDLLPLIANGVRGVTSNPAIFQKAISTSNAYNDQFRELVQAGKDIESAYWELVVKDIQDACKLFESIYDQTDGGDGYVSVEVSPRLADDTEGTVEAAKWLHKVVDRSNVYIKIPATAECVPSIEKVISLGISVNVTLIFSIPRYEAVIDAYLDGLESSGLDDLSRVTSVASFFVSRVDTLVDKMLEKIGTPEALGLRGKAANAQAALAYQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFLDHGAVARTIDSNVSEAEGIYSALEKLGIDWNFVGSQLELEGVDSFKKSFDSLLDSLQEKANSLKLVSL >KZM87550 pep chromosome:ASM162521v1:7:18076599:18078576:-1 gene:DCAR_024680 transcript:KZM87550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAIRLLIIVTQQSKKRGLSLDEKREKMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVIQSLVDDDLVFKDKIGTSVYFWSLPSCAGNQLRNVYQKLEFDLRGSKKRMTELVEQCNDLKKGREDSDEREEALSKLKAAEQKHKELKDEMAQFADNDPAAFEAMKSAIEVAHAAANRWTDNTFTLQQWCSKNFPNAKEQLEHLYEEVGINEDFDYLELPAIPVSEVCEQEEANP >KZM87984 pep chromosome:ASM162521v1:7:22944893:22945456:1 gene:DCAR_025085 transcript:KZM87984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQSFMNVHAEVISLIFSYLILDASDFSSFAKLLMIWERERPSAQIKFVLEKLDWDRLYRFHNHPMEVTRDQFHGFVGYSVGHNVVQSLFFNSSQKLFLMEDVQLNLGILSSLASTHLPSSFTFLFFKSIYIRSDIDSTAREIFGIVNTVHLRGKVEELMDLLQSMYEHLFEMDYFLPQSDVCPNA >KZM89112 pep chromosome:ASM162521v1:7:33932998:33937946:1 gene:DCAR_026187 transcript:KZM89112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKGKLGLKDDEVNVGGKQVCGELFYVADLCSSTKLYELFNNFGRGVEYVRVCMDPTTGKSLGYGYVKYIKAQDVPDEVQILILQNFDKSVDHKGLNHTFSGYGNILSCKIAKDFPGQSKQNCTSPGKLYIKQAKHYTPKATKFITPDCSFKNILMGLMPITLKVTPGDEVILENIILDEDERVDPFIIKGFHEEFKDKYVCIFMLPMSPGHKKSMILDTTRLRDVHEQIKSSGGNFSVICVPTHIEHNKNDFEYFNPYHEHLKIKFCTSFHHIHLDDSACLKRMENMIGIPKDPEAAYVILGPMNGSCRKVVSILNSDFFKWHGADAFPFTTEKIEQLTCEDEALWSCKHDLGTLLSVPDRDYVISNDCTKVLPSPRCAMCMKI >KZM87266 pep chromosome:ASM162521v1:7:13321626:13326819:1 gene:DCAR_024400 transcript:KZM87266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDQMIGEQPARHCPPATVEVAAETSFSAGSSAASREILVQHLLVKEDDLKLLVELQKRVSEGEDLGDLAAEYSLCPSKEEGGMLGWVRKGQMVPEFEEASFGAPLNKVVRCKTKFGWHLVQVLSEREESVLKDIQPDELHVKMQDPSFIEEVQLIDVREPEEVERASLPGFQVFPLQQFGSWGPEITSKLDPQKDTYVLGFSTIYNISGGIHAYAVKADPTVPTY >KZM86938 pep chromosome:ASM162521v1:7:8611311:8612880:-1 gene:DCAR_024072 transcript:KZM86938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNRIQDELEKWNGWIANCELVKRVALGIAWVPGYIWKNLGFATARSEMRQTGEDTNISIPSSNFEVPDPNVSVTVVSRHSTIMPVNRIQDELEKWNGWIANCELVKRVALGIAWVPGYIWKNLGFATARSEMRQTGEDTNISIPSSNFEVPDPNVGWERN >KZM86588 pep chromosome:ASM162521v1:7:4645914:4650542:-1 gene:DCAR_023722 transcript:KZM86588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSRPNMITLMGFVFLGISASLGYIYSPRLDSPPPRWVHVAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACALEALAFGSTSMCGKYTFWFWVISAVPFYGATWEHYFTNTLILPAVNGPTEGLMLIYVGHCFTAIVGAEWWDQQFGKSLPFLNWIPILSEVPTYGAVLYLMIAFAVIPTVTFNVHNVYKVVQARKGSMLLALAMLYPFVVLMAGILIWDYLSPFNIMVNYPHMVVMGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPFAIANTLTARLNDGIPLVEENWVLLGYCVYTGALYLHFATSVIHEITTALGIYCFR >KZM86740 pep chromosome:ASM162521v1:7:6359967:6360203:1 gene:DCAR_023874 transcript:KZM86740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNMQVIKMVSMLLLVLASLVQIQATRPLERYDDKIFIQSLPRGPVKRSAQNPCTHIPGTKNSRRCTLATQHTFTRS >KZM86419 pep chromosome:ASM162521v1:7:2654068:2655730:1 gene:DCAR_023553 transcript:KZM86419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQVAGKIPHLVLRELAQECGPLMHLQLGEISAIVISNPRVAKEVLKTNDLACADRPELILTTIILKNCRDIVLALYGDYWRQMRKICTLELLSANKVRSFRAIRQDETWQLHQSIRSSIQSGSLVNISDLVGKLANAITCRSTIGEKCKYQDELVECVEEIAHLGSGFFMADMFRSIKFLPLITGMKPALERIRRKLDVIFDYIIKEHEQKLRNRKEGSEVVAEEEDLVDDMFTAGTDTSSAVLEWTMSELMKNPKVMKKLQAEVREVGNGKERIDETDIPRMSYLKLVVKEALRLHAPVPLLLPRECRKECEIDGYTIPVGTKVIVNAWAIGRDPEYWPNADSFIPERFENSSVDYTGANYEFIPFGAGRRMCAGISFGVATVELPLAQLVYSFDWKLPNEMKPEDLDMDETNAATSKRKNNLLLIATDYPIRSVN >KZM89146 pep chromosome:ASM162521v1:7:34325416:34331959:-1 gene:DCAR_026221 transcript:KZM89146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLHPHSPHSPTLPRKATDLFGDPIESHPIWFKQSSFLDPNFDPESYISDLRTFVPFETLRSELRAHLSSLKHELIDLINRDYADFVNLSTKLVDVDASVVRMRAPLSELREKIVVFRAAVEDSLVALKSGLQQRAEAQAAREVLELLLDTFHVVSKVEKLIKELPSLPADWSNGDVNSVEKGHLSNGIPLQHAESGTNLRETQSMLLERIASEMNRLKFYMTHAQAIIFCYRFQEIAGTLDSALMLGSLVPVHNPNSEQMESPELTLKQSVSLLACLRTCWSEDVLVISCSDKFLKLSLQLVSRYSNWLSTGLAARKFPNSGSNPGSEWAVSAALDDLVYVIHDVNCLAEEVCGNYLDHVLELLKSCSPEVLNLVKQSIVHGGTSLKDLVPQVINSITETVVEKSVDVLKQLKGITATYRMTNKPAPVRHSVYVSGILRPLKDFLDGEKATTYLSDETRNELIHRATFDITGRYHGQATDLVNLARRTESSLQKIRLGAQQRRGGLGSETLDNTISETDKEYGRNLSALGVDARKIPAYCDLWNCVATSERQNAITF >KZM88738 pep chromosome:ASM162521v1:7:30890945:30892788:-1 gene:DCAR_025813 transcript:KZM88738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAAAVVIQHPNNKVQRIYSSVSAHQVMSSNPGHYVALVMSSSTVFTENGTPVKQLKILRPHDTLLIGKVYRLVSFQDVFKELASKKCVKLEKLLKERGMELLRKGLLPPTTSNDTSLKKAEEKQGGGTGSKRRVINGRNHQGNGTGQWKPALQSIAELGVSSA >KZM88546 pep chromosome:ASM162521v1:7:29091075:29092863:1 gene:DCAR_025621 transcript:KZM88546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLPYVLLFLSTTLTTTAIVQLPSNMSIPAVIVFGDSIGDQGNNNNLTTLIKCNFSPYGKDFKGKFATGRFTNSKTPPDLLAAELGIKELIPAYLDPNLHSKDLPTGVSFASGGTGYDPQTSILASVLGLSDQLKLFKDYIKRLEDSMEKKQAHYIISNSLYLVIAGTDDLANTYFSTGVGRVEYDIGAYTNLMVDSAFNFIKELVKLGARRIGVFSAPPVGCLPAQRTLAGGSLRVCAKDRNEASQLYNSKLSAKIDLFAKKHPHLRVAYVDIYHPLLDIIQHPRNYGIEVVDRGCCGTGDIEVAVLCNPTSPTCLNSSNHLFWDSYHPTEAGYMFLTRRILDENIYKIY >KZM87467 pep chromosome:ASM162521v1:7:16706007:16708880:-1 gene:DCAR_024601 transcript:KZM87467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNHSRPTIMVTNDDGIDGAGLQALVQALVSTDQYQVFVCAPETERSAVSHSITWMHPLQVKKAEIQGTTAFAVSGTPADCASLGISKSLFPVVPDLVISGINMGCNSGYRMCLSATNQTSYWNDSMYSGTVAGAREAFFYGIPSISISYDWISGKSTAKDFKLSAEACLPIISAIIVEIRSKTYPLKCFLNIDLPTDISNHKGYKLTKQGNSIIRMGWKQITSEAEVGKILSTMTMVADSSKIQINGTKVSQESEDSLLFMRDILGIKEGDTDADYCALQQGYITVSPISALTRAEADSEAYFKTWLPAVTKCFSSPAQ >KZM89299 pep chromosome:ASM162521v1:7:35765164:35765520:-1 gene:DCAR_026374 transcript:KZM89299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNLTAPPKISPPRLPLRRSPASKSATMMMAKGAAKYKGTKMRAKKLTDMIEAKVMEAKQVCEGDRRSSECRVAWDEVEEVSQAMADLRRKLAKHEDPLEFFCSENPDIDDCVVDY >KZM89243 pep chromosome:ASM162521v1:7:35290016:35292695:-1 gene:DCAR_026318 transcript:KZM89243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGLLKSKFQIKCKAWIKLTSMRLEIIKRKRIAMQKYLMNDITDLLQNGFDSNAYARAGGFLEEMNLSSCYEYVELSCLCISTHLSALYKDRECPDECREAVSTLMFAAARFADLPELRELRSLFTERYGKSVESYVNKEFVAKLKSAKHSKDEKLQLLRDIARESSILWNSKALEQKLYKPPAVQQPPILVQNKSKNSSDAERHKQDNRMVILEERKQEFCSDRKDEVTNDGHKIPQSRRTPSKVIQAKKIDQNVQQSLFDPGSLFRDEVVGEKSTRVYSSDLPPTRPTVSKTNPILGFVPDCSNIEGEGSRKLDVQLDQKSKNIPKSVRTRRAKLLDPPPGRDSIGSFKGGETMCKNSDGKEQEEAKQHGCDTPDEERKTCKFLMHYSNKNATPGPTREETKPRRKDYNLQTRAASLPVEPSIPEETKRGPTRATSYQANINADGYAHPMLPDYDDFVARLARLQGRRK >KZM88272 pep chromosome:ASM162521v1:7:26372840:26377736:1 gene:DCAR_025347 transcript:KZM88272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALVLGFQPTRVLNLKAMYDTNVSAATSESIKERMPHVLSVAGSDSGAGAGIQADLKTCAARGVYCSTVITAVTAQNTVGVQGVNIVPEKFVSEQLKSVLSDMNVTVVKTGMLPTVGIVKVLNDSLKQFPVQALVVDPVMVSTSGDVLAGPSILDILREQLLPMADIVTPNLKEASALLGGIKLQTISDMRSAAKSIHDMGPRNVLVKGGDLPASSDAVDIFFDGVEFCELRSLRIQTQNSHGTGCSLASCIAAELAKGSQMLQAVRVAKHYVETALDYSKDIHIGNGLQGPLDHLCKLKRNVHSSSRLQRFNPDDLLLYAVTDSKMNNKWGRSIAAAVKAAIEGGATIIQLREKDTETNNFLEAAKNCLEVCRLHGVPMLINDRIDIALACDADGVHVGQSDMPAHVARSLLGPQKIIGVSCKTPEQAHQAWVDGADYIGSGGVYSTNTKANNSTIGLDGLKTVCLASKLPVVAIGGIGLSNVRSVVELGPENLKGVAVVSALFDRECIATEARKLHTLVSETLKTTSAAS >KZM89078 pep chromosome:ASM162521v1:7:33622671:33624517:-1 gene:DCAR_026153 transcript:KZM89078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMFSSSSSSKEEINMALAKAKDIVSSTPVVVFSKTWCGFCKSVEQLLSQLGASYKVIELDEESDGDDIQAALAQWTGQRTVPNVFIGGKHVGGCDDVTKKHREGKLVPLLTEAGAIANNSALL >KZM88550 pep chromosome:ASM162521v1:7:29123921:29127968:1 gene:DCAR_025625 transcript:KZM88550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDDGDQRLYHSDTEASDKTSFSGPLSGPPSGPLLKSGPLNKRGGKKSARFNIPGETGSSTASHNGSVISHDDYVEITLDVREDSVAVHSVKTAGGGDVEDSELTLLAKGLEKRSSFGSSAVKNTSQRIRQVSQELKRLASFTKRPQKRYDRSKSGAVHALMGLKFISKADGGAGWAKVESKFDDLSAPTNGLLPRALFWECIGMNKESKEFAGELFDALTRRRNIMGNSINKEELKEFWEQISDQSFDSRLQTFFDMVDKDADGRITEEEVREIISLSASANKLSNIQKQADEYAALIMEELDPDNHGYIMIENLEMLLLQAPTHHIRGGESKALSQMLSQKLKTTNDHVVSRTLRDTKYFILDNWQRFWVLALWIAIMAVLFTYKYIQYKNRAAYEVMGVCVCLAKGAAETLKFNMALILLPVCRNTITWLRNKTRLGVAVPFDDNLNFHKIIAVAIAIGVGIHAISHLTCDFPRLLHADEESYELMEPFFGEDQPPNYWWFVKGWEGITGIIMVVLMAIAFTLASPWLRRGRVNLPKFLKKLTGFNAFWYSHHLFVIVYALLIVHGIKLYLTHDWYKKTTWMYLSVPILLYAGERLIRAFRSSIKPVKIRKVAVYPGNVLALHMSKPQGFKYKSGQYMFVNCAAVSPFEWHPFSITSAPNDDYLSVHIRTLGDWTRQLKTVFSEVCQPPDTGKSGLLRADVIQGENNPNFPKVMIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIVNNIKAKEEEESAIEDGTGTASGISSNSASPFKKKSPGPSSSSNFKTTRAYFYWVTREQGSFDWFKGVMNEVAELDNNGVIEMHNYCTSVYEEGDARSALIAMLQSINHAKNGVDVVSGTRVKSHFAKPNWRNVYKRIAVNHANSRVGVFYCGAPPPVKELRQLASDFSHKTSTKFDFHKENF >KZM86945 pep chromosome:ASM162521v1:7:8720465:8728807:1 gene:DCAR_024079 transcript:KZM86945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGKAIADPKGKRKIDDDKTGGRNKKRSGVLQFFEDSAREADESDDSLDSLFGDDEDGDFLEDIFDEEANVSAEQQRNVALRVKEEEMTEEEHEKMLEKMVQERYKPGSSFVTYAEDRVDSQRSTERSTVTSCDPIMWKVKCMVGRERHSTICLMQKYVDLQSMGKKPQIITAFAAEHVKGAIFIEAYKKNDIYEACNGLCSIYPSRVAAVKPGEISNLLTVRSKPCNITLGTFARVKSGKYKGDLAQVVAVNESKRKATVKLIPRIDLQALAAKFGGGVAAKKTAGPAPPPRLISSSELEEFRPLIQSRCDRDSNTFYEVLDGMLLKDGYLYKKVRIDSLSLYGVLPSEDELLKFESSRNEESNDVEWLSELYGEQKKNITRRNDKAGGKGEGSSSSNLDSDLEVHDMVFFSRTGFGVIVGMEKDDSFKVLKEGSEGQVIVNVKRRELKKASFDNKFTTWDRHKKIISINDSVRILEGQLEGRQGVVKQIYRGTVFIYNESEQENSGYYCCKSQNCEKFKLLGDACKEKGGAQASSSFDDCPSSPKSPLSPKKPWQERDSTLNQGGKDELFSVGQSLRIRIGPLKGYLCRVLALRRSDVTVKLDSQHKILTVKAEHLVAVREKGVLTGDGLESKPFDLLGTQEDGPGGWMDGAGTSADVQGWGTGGQTTESNSWGAFPSSIAPNPETGSLDPLNSMDIDSKKDEGATWETKLAPTQSSSWGAPATRETALATTEQVGGWGGSDGGWSKAASDTVGGSNTSDSWGRAKLPGDDQAGQSTDAWGAAKDKSTSTCDPSASSWGKRTDPTNNQDAGWGKSEGTWGKENNASDNTTSAWGSANVKNQVDSWGKGKDGEDGPSEGRSSWNTSTTVADKGTGGWGSGNGGGFDGSGGGRGGGRGGNGGRGGAGGNSCYKCGESGHMARECSQGGGGGNACYKCGESGHIARECSQGGGGGSNACYKCGETGHMARECTQGGGSGGGNACYKCGETGHMARECTQGGGGGGSRGGNACYKCGETGHMARECTQGGNKGGNACFKCGETGHMARECSQGGGSGAGNNVRSSWSHSNKGETAWSTKSDTNQNSTWGANLADDATGSWGASAPEKESRGWSSKAVQTTSTDAPVSETGGWGSSWNKTSDTSKDGGSSWGTASSEKEKERGGWSSKASWNMSTPTPDKEIGGWDTAGNDGRASGRGGAAGNACYKCGESGHMARECPQGGSRGGNACYKCGESGHISRECPQGGSRGGSACYKCGESGHMSRECPQGGSKAGNACFKCGETGHMARECSQGGGSSCYKCGETGHMSRECSKAGGSGNNCFKCGESGHQARDCTQGGGGGYSTSSWSAKNSTQLETSRLNFEKDVGSAAWGSAAVPKSSWGAAKADDGALASENVGGWGNTDSGRNQSTPAINDSTVSDSWGDSKSEKVTGGWGSAAEPGKPTSNWSTGTEGKNQSVSWGGTADNGNSKEISDRSMSAWNTSGGKDTGGWNTSGEKQTGGWGAAGGSNAGEGGFSGGQGFGGRASSGNACYKCGESGHFARECSQGGGGRGGGRSGGRGGGSGCYKCGQEGHFARECPSSNN >KZM88577 pep chromosome:ASM162521v1:7:29383862:29385558:-1 gene:DCAR_025652 transcript:KZM88577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSALFSASPLRFHPKRPSPFPSLTNPTRKPLSVSAIFPQTIDPLDTTTIAVIGGSSVAAIAAALSLTDPEKRRQLQAEEVGGGDKEVVREYFNNNGFQRWKKIYGDTDEVNKVQLDIRIGHAKTVENVMKMLTDDGPLSGVTVCDAGCGTGCLSIPLAKEGAIVSASDISAAMVSEAEKQARDELLSGDGKLSPAPVLPKFEVKDLESLDGKYHTVVCLDVLIHYPQNKADAMIAHLASLAEKRLILSFAPKTFYYDTLKRIGELFPGPSKATRAYLHSEADVERALRKVGWKINKRGLTTTNFYFSKIFEAVPV >KZM87744 pep chromosome:ASM162521v1:7:20027145:20028569:1 gene:DCAR_024845 transcript:KZM87744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCPNLNREDGLQTVLEVPIPEETFTMFPDNKNSTISTTTAWHNIKSWMKPQAERSSPRSSGASRNSTNIQLLLGVVGAPLIPLPVHSDHTVSSRNIKDHPIEAAMSKYIVQQYIAATGGQKAINSIDSMCVIGKVKMETSDFISGDTLGLSRDDNNKMTRGKNGGEMGGFVLWQQKRAELWCLELVVSGYKISAGSDGKVSWRQTPWNHSHASRGPPRPLRRSFQGLDPRSTANLFSDSICVGEKTINNEDCFVLKVQAEPTTLRARSSNNVEIIQHTVWGYFSQRTGLLIKLDDSHLLKIKAKVQESGNVLWETTMESLVQDYRTIDGVNIAHSGQTSVSLFRFGESSESHSKTRMEEVWRIEEVDFNIKGLSMDCFLAPGDLKEE >KZM89008 pep chromosome:ASM162521v1:7:33015904:33023700:1 gene:DCAR_026083 transcript:KZM89008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKKRSVARTKHSPAVVSGGSDAGDVSPCLVDETQQNPNFCFKNKQAEKQVEVVKRVEEPSGEIKLECERALNVLNRGNHAKGLRLLKELCCKNLRPVDLGLVYRVQATACVKAVMMLDDVGRKAKFVRNAIESARKATLVVPNSVEYALFYAKLLFEEADEAKEYSEVFEECERALGVENPVDPALTSLQEESHLKMLAADVRISLVQNELRGLMQRANIGSITTFVRHLGNEEHKAYLVPVRNGVEDPMDVGLVQAKKCNEIKKAIKTHEERRKEIEVRVAAARLLQQKSESLVDQDDSDEVLDKSTGPGRGKGERKKGGNTKKNASSAEWKDYVQSYWNSMSLEMKINLLKINVSDIKTHFSLSNDAQAYEVLSEAISFAEAKMQWRFWACCRCNEKFTDSESHLHHVLQEHLCELSPEMQSVLPRNVSDEWSDMLLNCSWKPLDVIAAVEMLNKQSNPIDPNFIYESYENDMDEHADCFVDSSNSENVCDSAPQDEEMFDRFESKGQDNISDSIWGECNENSGCKTTFGPGSWPLSDNVVCAKLLQKIGSVLQLLVKHKCLAECHLSKITHFAMTELQKVVSVSLLLNYGVVQEPLCICFLRAPELKKVLQFLQELSDSYGIDLQSEKNNLQDDSNSDIQADTTQKVVLDGLNSFLLSNENFMPCKVSPSRSDDAVTDGAVSTVSASVANVNRVLDADALLSWIYTGSASRDHLNHWMHTKEQKANEGMEILQNYEKEFQQLQTLCERKLEHSAHEEALQSIEDICIKEGKRRVHATALNCHSYVPVLRKRQAELTESENDFMPIASRIELNVISNILREAESLHANQFNLEDFLEGLDSDVSIIVPGEENKRMMKKSLHQIDSWILGEIQRLKEQSSDEFNKSEDKISQIVARMYNLKVQLGHASSHDYRLIVVPLMKLFIRAHLENIAEKYATKKSDAAREAFLAELALDSKKHIVNGNDISRHDKSKEKKRSKDWRKTKDVKATASNELHNHPQNIAESISSVPVECDKGHLDHETVVDGSGVDLAYKEELKLEAEVKKLEETLEYQMMIENQAKQKHLAEKHKSSAMKTLEKNAALDLPDNNIINNDNDKHVSGKMMNCWQVPLCQRQRNGLWDGAGHVLGETSDEASLKICVTHNNYSGHIIKQSYGVGDDGSAGFDQWRGRKGRREKNSNKIAEGKYQSLPIEKLKLNDRLHDDAYLVSGTKSLRQLRSEEHEEERYQADLKRAVCQSLGDVGPIPNEFSLEYVDEAEVYGTGLKNEAGEYNCFINVIIQSLWHIRRFREDFMRRSALGHVHVGDPCVICALYDIFKALSLASSNLRREAVAPTSLRTALSNLYPQSNFFQEAQMNDASEVLGVIFDCLHWSFTSGFGVSDTESIESNGMGSWDCANHACVVHSLFGMNVLESLNCSNCRLESREMKYTSFFYNINASALRSMKVMHPESCFDELLSLVERDDQFMCNPEVQGCGKFGHKHLILSTQPHVFTTVLGWQNTCESVDDIRTTLASLATEINIGVLYSGLDPKSRHRLISVVCYYGQHYHCFAYRHDHKRWVMYDDITVKLIGGWEDVITLCEKGQLQPQVLFYEAVN >KZM88545 pep chromosome:ASM162521v1:7:29082232:29089796:-1 gene:DCAR_025620 transcript:KZM88545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSDAQFKSSISSPVARKKLKYTILHTASVRIQTHTIPLISSISHIPINFIRLDPNRPYTIGRKLSRCDYVFEDRRVSKKHCQILFDAYCNKLVIADGELLDSYGNCCSGSDSGRVRVSLNGVFVNGIRVGSGEVVELRGGDEVSVVCGNEEGGCSVGRRIGFVVERVEFVEEVVDRKGVGFREGVFPRGDVLLRKCREILKEEPKLCIQKTRPGFVCRGIENDDSELALSNRGNKHVSGTADLQDEGLLRYNSVFIDNELKNNLDGVGQLNLDLIHTGVNCETELCVVSGKNSQKINRGEVIGDRLMNNYKQKTSSSLIVLPKDILMVSEVDCDHQCSTVNSDGLRKIKDAPVHSENGMGNGKPAAVSVGCKVKMDGPVLDCVLENNSEGHFVPPPGNKFYLNRLHFMSHGSSGHDNVVSLPDLLYPVKTIIPTYLPVTIVCHNTGKCWSSSLDDRMSVPYLDYPNLIVVYPQFPEAIAFGQDRKKHGIACHHPKLIVLQREDSIRVIITSANLVPKQWLFVTNTVWWQDFPRLSTPDIISLFTQSTEGEVNQNTRSDFAAQLGKFMATLIADVPNQAHWIMELTKYDFRGAVGHLVASIPGIHSSKIPCILDPRYSLNGASSYISGSCGMKILGSIEASVVGLSHLFRASADSSGSQLKRLAVFLGKCRENAYGMSEIVLRRNVNIPADANAVAVLIPNPDKFIEGDCIQLGFLPRNIAKWVAPLSDAGLFSFSAYIYRKDVLASALEGSNTKVTLILYVSQAGNLHIVQGTSFMDISGVTQPILVSAICSLIASIQRSSGLWRLQEVLGHYKWPEAFETDFMFGSSSIGSISAQFLAAFSAAAGKRSLQFSESEESDPDWGCWSISQEMRKPSIKIIYPTIERVKSASCGILASKYLLCFSQKTWLRLRNIGILHDAIPDPIERVGHPMHVKVGLRRFQSKIKASSCGWVYCGSHNFSAAAWGRPMSRPGVVGRINAVLGSRLHISNYEVGVVFIVPPPDALNHVNENKESLDDIALPFVIPAPKYKAYDRPATAQAMREALAELTELEKQKYARAEILEEPEEEIPDEDEEVIEATDYVPVEKEDDNAYAEQLWSQVDSSQSC >KZM88511 pep chromosome:ASM162521v1:7:28453204:28457640:1 gene:DCAR_025586 transcript:KZM88511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLEGIKNETVDLESAFLKFLGFMWNPLSWVMEIAAIMAIALANGDDRPPDWQDFVGIVVLLFVNSTISFIEENNAGNAAAALMAGLAPKTKVLRDTKWSEQDAAILVPGDVISIKLGDIVPADARLLDGDPLKIDQSALTGESLPVNKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQMVLTAIGNFCIVSIAVGIIVEIVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKDMDKDTVLLYGARASRVENQDAIDACIVGMLSDPKEARAGINEIHFLPFNPVDKRTAITYTDSSDNWYRISKGAPEQIIELCNLKDDVSKRAHSIIDKFADRGLRSLAVAQQTIPEKNKDSPGGPWVFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQHKDEAIAHLPVEELIETADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIIHAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDKVKPSPLPDSWKLKEIFATGIVLGTYLAVMTVIFFYAAHDTDFFSDNFDVKSIRDKDHELISAVYLQVSIVSQALIFVTRSRSWSFVERPGFLLMGAFIIAQLIATFIAVYANWDFANIKGIGWGWAGIIWLYSIIFYIPLDLLKFLIAYTISGKGWNNLIDRRIAFTNKKDYGRGEREAQWALAQRTLHGLQPPNTSELFTDKTNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDMEGIQQHYTV >KZM87500 pep chromosome:ASM162521v1:7:17429784:17431808:-1 gene:DCAR_024634 transcript:KZM87500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRFLRARNHDIEKATNLLIKYLKWRKEFVPGGSISPSEISSQLADNKLFMQGLDKMGRPVVVCYGGRHKPTNLDEFKRFVTYSLDQICSRMPSGQEKFVCIGDLEGWGYSSSDIRGYLAALSILQDCYPERLGKLIIVHVPYIFMTAWKAIYPFIDSKTKKKIIFVDNKKLTPTLLDEIDECQLPEVYGGKLKLVPIQDC >KZM89047 pep chromosome:ASM162521v1:7:33339226:33340854:1 gene:DCAR_026122 transcript:KZM89047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQEKHKANPVFRRSISRMRSPSSLSIAVNSLEPDDHKFSNTHGWARRILSSLNQCIPGFRLAYVAPGDSGSYNLVDHPKKIALNYLSGYFLIDFFVVLPLSQVIMGLVLQKSNGHSGANDVVNVLQVVMIFQYMAMLSRVVPMLVGQSQSSFLRDSWSTKFVICLVGFVLFSHMVGSCWYLFALQRIDQCLRNVCSHFWCLKYIYCQQNDHGRFREDPALWKKWKDNTNATACFGPENFNYGIYVQAVSLTTKSLPMRYIYSLFWGFQQISTLAGNQTPAFSVLEVLFTMFITATGLLLFSLLIGNMQNFVQALGRRSLEMFVRRLDVEQWMSHMKFPDKLKMEVYDSERYEWTVTRGLNESMLMENLPEVLQKNIRRHRFEFVRKSPIFSLMHDSILDAIFERLKRKTYLRGHRIMVCGDLIDKMFFIVQGKLEIIGKDKNVAPLHEGEVCGEELITLCLEHIASNGI >KZM87792 pep chromosome:ASM162521v1:7:20499307:20505484:-1 gene:DCAR_024893 transcript:KZM87792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIARSLESSDLNFSRYGDTFFEVVFTGGRTQPGTTKSDEGERHPYSVIDCEPKRELILPSVIYIQKILRRRPFLIKNLENVTRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVGKGLVLSFITDFFKEYLIDNSLDDLIAILKRGKMEDNLLEFFPSAKRSPEAFSEHFTKAGIAPLVEYNEKKIFEVKLKEMKSALTTQIAEEADISEVIESVKQHVKDAKLPDIEVVRILWDVMMDAVQWSGKNQQQNANLALRQVKTWAELLNTFCTSGKLELELLYKVQVQCYEDTKLMKIFPEIVRSLYDLDVLAEDTILHWFRKGTNPKGRQSFVKAMEPFAKWLEEAEEEE >KZM88132 pep chromosome:ASM162521v1:7:24823804:24824871:-1 gene:DCAR_025207 transcript:KZM88132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYENKGTDVNWSSLPTLLLWTIKDKLDIFDSTSVAAVCRDWRFGSLNYPKKQVVGDGMPWIMQENGKEDTSSHEFISITGKKRFSLDLPELRNSQVLFSKQGWILMRRKNFGDSYERLPDSLFLMNPLTKAKIELPDVAEIWEYYGCFSTKSGNPEQVVLLGAGRSCDTVLRTNYIGDVVWNKHTSIDHPIVFEGCRGLISIKEQIYYTNIWGKMIIYNMVTHCCQEIPGSRNEMGVHYLVEHEGNVIKIFATDYSEKACFRISRYSDSHTNWQRLSNDEMNNISLYLSRLHNCFCVRDRGLNAYVLQPDYGGLPRSRILLGHNVLCYDIVDGNTQVLQLPFEISASAKWVDIG >KZM89040 pep chromosome:ASM162521v1:7:33286693:33291070:1 gene:DCAR_026115 transcript:KZM89040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRHQRLLQDEDGYSSDDMRRKSPRSAMREVMKFRNLQQHIVPVLEPLIRRIVKEEVDLAVRRHMDSINWDSSNEEMQPPDSKNLQLHFVKGISLPVFTGSRIEGDQGAAMEIALIDGVSEQVVTRGPISSGTVEIVVLEGDFDGNEGENWTAEEFKNNLVKERAGKKALLTGDALLTLQHGVGLVGEIYFTDNSSWTRSRKFRLGARVVDDKNGIRVREAKTESFIVRDHRGELYKKHHPPCLSDEVWRLEKISKDGAFHKRLSVENIKTVKDFLTLLILDPAKLRDILGAGMSARMWEVTVDHARTCELGRTVHVYSHTAEKKTAVVFNVVGQVMGMVSECQYVSSDKLSETELAEAQRLVILAFKQWEEVTSCDDETFLVNGCSLLSDVHYPTNSSPMAESSNIIDISSLDRISFDYPQLIASTPNIMPSIFSIGCSSKVDDHGIHFIESIDSRFSETMIFQNQATESLICEPEPFTPSLCDEHLQYLDTDFSLQNASLGSQSDQHGMVDGFMYAHSAAAVAIVKAHRRWIILFSVLRWFSILRIVARKSL >KZM86850 pep chromosome:ASM162521v1:7:7636084:7637429:-1 gene:DCAR_023984 transcript:KZM86850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNLQLGGTSWTVQLGRRDSTSASLSAVNLPGPGSSLSNLISSFSNKGFTTKEMVALSGSHSIGQARCQNFRNRIYNEANINASYATTLKANCPRSGGNNNLAALDAGSGTSFDSSYFTNLISQKGLLHSDQVLFNNGSTDAQVRSYSTDQATFLSDFANAMIKMGNLSPLTGSSGQIRKNCRKTN >KZM86445 pep chromosome:ASM162521v1:7:2874883:2876283:1 gene:DCAR_023579 transcript:KZM86445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLVSRTGRHLQKYSMGRRLVVGCIPYRYKIGKDGNTVIDDDDHELEVLVVSSQKGQKMMFPKGGWELDESVEEAACRESLEEAGVLGNVENELGTWCYKSKSSEIYHEGYMFPLLVTEQLELWPEKNLRQRVWMSVDEAREACEHSLWMREALDILVERLSSSSSKKERTCHPL >KZM86933 pep chromosome:ASM162521v1:7:8553480:8555112:-1 gene:DCAR_024067 transcript:KZM86933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLINAALTSSVFSAYTTFAASSMLVRTVISDVQNIGSQILPAGVQEKILFKLGGLLGSRLSPQITLVIDEYRGLALNQIYESSEAYLRTKITPSVGQLKAFKGPQDTAISLSMNKGEKIVDVFEGIQVTWEMISIESQKTSFDYDNLLSSEHVERKSFELRFNRKDKEVVLTSYLAHVMERGKRINNHKLFTEIEKLVAEVEATPAEIAEQLMKSEEADVSLKGLVKFLQDKKIATSEATVEGEKVVDMILDGAGNDQKIEKRRVKKNTKKNRRNGKKQQ >KZM88061 pep chromosome:ASM162521v1:7:24063911:24067470:1 gene:DCAR_025136 transcript:KZM88061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAIVADLAGGLLGKLVSLAAEEVVQAWNFHEDLETLHQRLESVGALLYDAHNKKLIMSSAKNWFDKLEAVASVANVFMDELSYEVTRRKVENQYKALLDFFIPSKNTLLYHLKVARKIKSIQSSFDKIFKMAVDLGLQPVAYLGSTVQDRDIRSTTSYEDKSIIVGREKDVSYLVHTVCKKHEEDLQVVVVAGMGGQGKTTLARLVFNSNDTINNFPERMWVTVSDDFDYIKILNEMIESLTSKNLGLKIPQGLTNELQKSLKGERFILILDDVWNEESVKWDNLRNSLLQIGGDKGSCILVTTRKQERSSRDRGIRDLGEKHGEEVWWPTSGNKSIGGGVILEEVKRCGGLPLAIKALAGLLYSKKSEQEWLEIQSSETWQSKRVLPSLKLSYDNLPSLSIKQCFAYCSIMPKDSVIYKDELIQIWMALGFLQPPRSTALMEDIGSDYFEILLGNSLLQDEKKDDIGNIISCKMHDLVHDLALEVSEHHAVTVKAGKELSHDCKAVYVRLDNGVSNIKPTILKRAFERVQVLYAGADVILHVLPYLTHLTVLVLNVDYSWDARKLPFSLRKMKYLKHLDISRCDFRLPTDITELYNLQTLRVGNLNQLPTRFSNLINLRHLCIKNISSYGERHVLNGIRRLTSLQTLSYFVVSKDQNCLVGQLGGLKHLRGEVGLHGLDEVKNIEEARKAKLCEKSSILCLELNWGSSGEDSGNEEEVKDKEYNDQDVMEGLEPHPNLKKLKIVGFRGKKFASWITMMLNLVKITFRNCNRCEVLPPLSHLPKLRKILIDGMANVRVVGDDFCSGQSVFPQLEELEIRDCPKLRKILPVCFPSLKQVTFVELPNLEEWEAAVISTGASSQSEFPKLESLEFWRCPRLRKIPNSSFPSLKQLQITHLKSDMILGNMSRNVSLLTSLRLTSIGDGRGYSSSLSSFSNMESLINNSLSLTKLELIDCKGLNCLTLGSSLEYLEIHDCPHLTSIFLVEGSAALNYIGIAKLPPSLLDGGFPQIHSCRLEYLRLGPFSDDWDYMPWPISSSSSSNLIKLDLYGWEKVKSLVPFEQPLFTGYPALTTMSLYNFEGMKALPDSIAKLPSIKELRIWDCHNLESLPTFEESHTLQTLSICECPVLKQRCRKDQGPEWFKIQYVPNVKW >KZM86404 pep chromosome:ASM162521v1:7:2544789:2545859:1 gene:DCAR_023538 transcript:KZM86404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGLNLRNWNMYGQHYNKPGNLNLHLFPSLERHVQNPFLGRESSVLLNHNGGAFHPSLSKSTAHMDPGVNNWAHPRDRYVQMISANSGLAAFHEHPGAHSMNMLQQQEHSESPKDARVCLEDMHVKQEGGSPVKKKKRTDVNMEPVSDSWVHRDRFVQQMVSANPSFAGFHEHPPAHSMHMLQHQQQSESPKIGMEDVDVKKEIGEPVKKRQSAAASKPRKPRKSPSIPKENGSSTGHRVKPAKKSMNVVINGMDLDFSSIPIPVCTCTGTPQQCYRWGCGGWQSACCTTTISMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAADNYSFANAIDLRYHWARHGTNKFVTIR >KZM87480 pep chromosome:ASM162521v1:7:16978544:16983283:-1 gene:DCAR_024614 transcript:KZM87480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSPEMCSWADMPEELLREVLVRIEATEKDWPMRKTVVACAGVCKSWRCIMKEVVKLPELTGSLTFPISIKQWDAHSWYSFPNCALSIADNFYMFAQYNLAALADSGKFLLAAQKFRRATVTDYIISLHGDEMSKGSSKYIGKLRSNFLGTKFVIYDAQPSHAGDKMMKSRSTRLVASKQVLPVVPAGNYPVAHISYELNMLGSRLSSGIVVLKPLXFRSKSSRMEKFLPVPLNGQKDAHLILKNKSPRWHEQLQCWCLNFNGRVTVASVKNFQLVASAEDGEAGPEHDKVIIQFGKVGKDVFTMDYRYPISAFQAFAICLSSFDTKIACE >KZM87950 pep chromosome:ASM162521v1:7:22399656:22399898:-1 gene:DCAR_025051 transcript:KZM87950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRCATKQYLDSVFHQAKLARRARSQRRRAVRQGWGNWIVEQEPDPVHVIYNIPGPIPHNLNEIATDNSLLRGFLLDD >KZM87547 pep chromosome:ASM162521v1:7:18058540:18059133:-1 gene:DCAR_031971 transcript:KZM87547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRDEGVKIPMLVKEHYFHWKVKMRMHLLSLDPSYINCIEKGPHVPVKINTALRLDGSEVEDVEVPKNPSEFTEEDEKEVHKDNKAMNILFNGIDDDMFDSVINCPTAKEVWDTIQTLCEGTDQVRENKMQLFVQQYESFHSKSGESLNDLFNRFQKLLNGLKLYGRIYMVKDSNLKFLRALPRDWKPMTVALRQA >KZM87641 pep chromosome:ASM162521v1:7:18860403:18860651:1 gene:DCAR_024748 transcript:KZM87641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSTQTTAAQMRVANEEAASNAAFDVRNNMEQVVPGSELYNCAGQEFLANKNNRGFFMRAPNNQVRYDMILYAFNKFSGP >KZM89336 pep chromosome:ASM162521v1:7:36041221:36042402:1 gene:DCAR_026411 transcript:KZM89336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWQQLHQSFFCYHQQMAMVDSIETHPAKKMKLQDKEIPKSQYGINTVNFENSQPTMEYSVYMEIKVVKQLFSSPEDPLCFFRRMMKDIGCAESQISKYGCSSFHFLAQLTPDKAKKLSELDSVREVKPFKKSAPCFARKLYVLEHCPLYPEI >KZM88345 pep chromosome:ASM162521v1:7:27027567:27028319:-1 gene:DCAR_025420 transcript:KZM88345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCTTSIAMELFIGPARHQPLTTSITFDTDGSIPSNHLHNFEHSSISMTFLIYAIFAILLDKFEAKAKYSLTQLLGCVAFCQQLLVFHLHSADHMGVEGQYHVLLQIVIFICLGSSLVGINYPESFVISFVRSVSILYQGLWLMIMGIMLWIPEVIPKGCFLNMEDGHQVVRCLSDEDLHRAKALVNIEFSGCLIGVTIFAMSIYIILVKKAALRGGVINFDTNQYYNSIKIILSHHPVKHVTNRKYYN >KZM86325 pep chromosome:ASM162521v1:7:1706698:1708345:-1 gene:DCAR_023459 transcript:KZM86325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKDCRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KZM88228 pep chromosome:ASM162521v1:7:25806519:25809927:-1 gene:DCAR_025303 transcript:KZM88228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKDEQEAGSKKRVSSTYESLGWLTESTVMPKKLKPIEGVGASSIFELKAQLYRSQEESKRLGKDSAQPSSSFEHLDVHKAKKKILAHDPFANKNHGVESRAHKDKLELKALNEGAVSYAALEKKAELYDKLARGELSDEEDKEKYCVDFSSKIVQEEPQHPQDHDTSGTGQTEYGGSSMRPNIMPMGLGRAGATFDNEEHKRFVKEVHEEVNQAREKVSEAKLRRQEQMALHREKLKQAYLRKRLEKIKASKTEQNSI >KZM88427 pep chromosome:ASM162521v1:7:27807123:27809402:-1 gene:DCAR_025502 transcript:KZM88427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNAFYLQDRLAAIIIFQVLVIPCSLLSFSANLPVSSSSSSSAATNNSYNVISYGAVGDGTTDDSKAFMKAWKACCEDETHNSALVIPQNRTFLLKPLQFSGPCKSSNIHFKLAGKIVAPNKKQDWIGSHINAWLTFSYVNGLVITGDGQIDGQGSAWWSHPCLNHLHPGITCKGPSSNLPGIRFSSYQNYQITRQALVFYRCNGLLLSGITHRNSPRVHIILSNCYDAVISNLRITAPATSPNTDGINVSGSRNVTVKDTYIGTGDDCIAVSGGSSFVNISGISCGPGHGISIGALGKGGRDQAEEIHVKNCTLRGTMTGVRIKSWQGGTGYARKISFEKIKLIDVNNPVIIDQFYCPRQINCQNSTSAVELSDIRYTSISGTSGTDQVINLSCSRSHGCTNISIERVYIKSTTPGKRVYAKCINAHGTWSHTVPDVKCLLP >KZM87929 pep chromosome:ASM162521v1:7:22133785:22137130:-1 gene:DCAR_025030 transcript:KZM87929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKPPTTSTSKNIKDLIFITLLAFFFKILLIPSYHSTDFEVHRHWLALTHSLPLSHWYLDETSPWTLDYPPFFAHFEHFLSFFANLVDPIIVDLHKGLNFDGPSVIVFQRLSVIVSESVLIYGVYLLGRKCGFRERVLMWVLVVWSPGLVIVDHVHFQYNGFLLGILLVSLAALEDRNDLLGGFVFAVLLCFKHLFAVAAPVYFVYLLRHYCRGGVFKGFGRLVMMGTVVAAVFVVAYGPFVYYGQIQQVFSRMFPFGRGLCHAYWAPNFWVFYIILDKVLSVLLVKMGFDIKAPTASFTGGLVGDSSPFAVLPTVTPLATLILVLLAMSPCLYKAWKNPQPRMITRWVAYAYFCGFLFGWHVHEKASLHFVIPLAIVAVQSMDDAKHYYLMSIVSSYSLFPLLYEAQEYPLKVLLLTLHVILMWYGFSSQLSKTVVSNTSAQRKKKDDNYDLKKNSGTNPRKFTLNWIEKSYLSGLLLVEVWSQFLHPAFLGIRLPFLPLMMISIYCAFGMMYSWMWQLHWIVKSH >KZM89149 pep chromosome:ASM162521v1:7:34344542:34347422:1 gene:DCAR_026224 transcript:KZM89149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVREENEHYFFFKHTNTHIHTADAVFENKLNTIQQQQHIISHWSAKRPPQKQSARPPNSSGPGSASPLKAMELTPAANRKKRKLPEKQIPDKVAALLPESALYTQLLEFESRVDAALSRKKLDIQESLKNRQHVQKTLRIYVFNTFANQRQRVPEKENAEPPSWSLKMIGRILEDETDPGATSMGQSSNVSYPKFSTFFKKVTIYLDQSLYPDNHVIVWDNSRSPAPHDGFEVKRKGDKEFTAMIRLEMNYVPEKFQLSPALSEVLGIEVETRPRVISAIWHYVKARKLQISTEPSFFMCDPPLKKIFGEDKVKFGMIPMKISSHLTPPQPIHLEYKIKLSGNGSESSICYDVQVDIPFSLDKEMANFLANLDKHKEIDACDEAISSAIKKIHEHRLRRAFFLGFSQSPADFIDSLIASQSKDLKLLSGDANHNAEKERRSEFYNQPWLEDAVIRYINRKPAAGNDVPGST >KZM86596 pep chromosome:ASM162521v1:7:4686207:4688809:-1 gene:DCAR_023730 transcript:KZM86596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGSPDKNKAEERNEDSRKYNAAEVPTDWRLSGANLTNASMGLIPAENRMGICKADLMESSSCSTASMVDSFCATIWDQPTTDSQNMGFCDVNVQNITSSSSRFEFRKDNLGPPRTDLEQAIDMGWNPNNPTLKETMLLPTSTRMVPQSLSQFPTDSGFIERAARFSCFSGGNFSNLANPFTIPESMSPYTRGPAPMQGTQEVLAGSRLNSLSVGQSQINEMSTAELSKDDIMPIEHGPRRGSPINIERSNSFLRSNDQAKQALCEPGNESDEAEFSGGGAQAEPSALGSGGTPAKTHGFKKRKRIGQESELDQVRGASVTPEATNDDSDIQRKGDQNPTSTISKPGGKHGKQGSQASDSNKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDILHSRAGPSSTLGFHPGMSMPYAPMHLPQTGLIPAGLSGMGPSPDELRRSLTSHLTSMNGGYKEPNPQVPNVWEDELHNIVQMGLNSNITLDEEDLQGPLQTAHMKAEL >KZM86766 pep chromosome:ASM162521v1:7:6649054:6651037:-1 gene:DCAR_023900 transcript:KZM86766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVHCQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLAEQEHAKRQFFEYLQGEAESGLERLHQCAEKELQLYLNAEGPSKEFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVNSHPACSRASSSKSLGGGSSKGKNNRGKGSASKSSSSRNLDDSGHWSCEYCTFANVKAATVCQMCQQRR >KZM88239 pep chromosome:ASM162521v1:7:25942643:25944436:-1 gene:DCAR_025314 transcript:KZM88239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFEKLEKVGEGAYDKVYIAREKSCWVCSVAMPISSIKLIDVKQGISKTRDMVLYLVFEYMDTDLKKFIRSFRQTRENIHLPTVKGYDDIPKKIQDADVKKDKIEKSWVVIYEDQLKMFMACYYKDDHMLLEVDVK >KZM86603 pep chromosome:ASM162521v1:7:4820348:4820797:-1 gene:DCAR_023737 transcript:KZM86603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFSSLKALSTEYCSTADSESFADSESGWTNYIGDRADDGTESDDSMTSDARSSSPRPASRRFHRYNQHSDDDQVQDKLRGQATSSVSKKMQQFQKVVSKKSMKHPCNSASNINKQANHDDDQEEEAGEQLIRYQQSYRTTVGSTTDA >KZM87376 pep chromosome:ASM162521v1:7:15284605:15287217:1 gene:DCAR_024510 transcript:KZM87376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRSDGGDRDDKGEKGRCEVFMDNRDHWRILAKKAWIDRQSISEDEVLELKSNVLKYWFLLDYDLLDAIQKGDVRWADIAMARIEYLGVVLDQDLIGKCLEGDEEALCETHRFLMNEGWWDRADNLDLSKTIWRRDKEWEVKIDKQAKDLKDYVISRKELVHPNVIKMVLQGDREGLRMAMNHIHYNSLRERRVIKNMQAVLEKDKGDAEKKLKEGVKTGKIEEQSYKNALVEKGDQKRWVRKEHRFLGQKKGGNHDRFQKNSVVFVHNLPENSNSLQIWNFMRKWGRALDCILPMRKDKLGKRFGFIKLQSIAEAENFIKGVHGKMLDGNIIRAQFANKQIKQVGIKERKDFKQSKMSSLSQGRKQEPLPCTPDEIIKKTVGAIKLEDADHKLSLEIERSLVVSTLKACSIVEVLNTIESLGYDGVLVRRLSSSKFLVTFSSSDCFSNLDQDLFGLGFLDCKSVSLEDLIPPRKAVLVCLGLPVTLWKFSNFSKILEGIGYITAISRLLDENLQFKNPMFEVETKEMSEINKVLTVQHEGRQIVVQLKEMTRVEIEDNIMEELKGTEMDEDHEEINHNICSQEGSIHDDEIEGREEEAAVPILINNDKEVNGDQTLGNDSAQEANSADNYLGAAPMHDNNKDNEKNNHLVQGDFFKSQNTLELRDTVLETQETLETQEELVVQESQVESVIQETTKDLEVLETLETREDLMGQESQVESVIQETSDELVLKDPNENSISSKCNPIWSVREAESSSIADAHNSEATSRSSSVYVARQEKEQSSSGSIKKAEDCFLKLKLGRKRGRPPKRKLRKGGQPFAPCPNGGQWTCQGRACEAEKIFESCLLMGLESKVEKGEALKRIALRLEDN >KZM88029 pep chromosome:ASM162521v1:7:23657416:23657727:1 gene:DCAR_025104 transcript:KZM88029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKQAEDFKAAAKKAIYEAGATKKCYKIGLSNFVAYLATSEGRSLGDYVNQLIEEIPHDNRAPVDAAVDMAGLKGDRAIKDEPRDYHLTGFAENVALQGNYS >KZM86630 pep chromosome:ASM162521v1:7:5084910:5097290:1 gene:DCAR_023764 transcript:KZM86630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNEATSTVLSRIKSLDPENGSKIVGYLLIKEIGEKEMIRLAFGPENHLISLINQVKAEMAAAANTPSASPFIPIANPNRPNPFAQSSPRIIVPNNGFMSPSSPSSPWFHSPKQGASASSLSYAAVVNGAAGNNSPSPFASPSNPSFVSPFFQNGDDEALFLEDGGKNMDFTDPIVSPGGRSDSMLFPFGNCSENHPQFLHRRSVSVNDVFLGQSDESSSNVLGGGFGWKPCMYFAKGFCKNGGGCKFVHGGFGGDSPDGSPKFDGFDELMRMKAIQHQRMAMAAGAPMPFNNRCMSLLNDSPRSAAAAALMMGDDYLPFGRCRHDRSDFAGFGFREHPNSSSRQIYLTFPADSTFKEEDVSTYFSTFGPVQDVRIPYQQKRMFGFVTFVYPETVKQILAKGNPHFVPFPAPGYPQMNPGLGHSIETEGINQEELIGVNTGNDEAVSPTMPDDPQDNESNDSNGNGCDSNKAKVPSVNESDPPASNLEHILPDSLFASPTKSQQSLVSSAAEMDDTIPSTSTLPSSNLFPSLTPGRTMASLSSRFSEMPSVTCILRWATYKDDPTCPQCKHPFEFLNLHRSLDGSINDYMIEESVCLLLRASWFVPMVVECRDEVEDEVDDYYAYEDEEDDLNEVYLGNSSSLRIGNRRWGDNGFVRGGRQEARPVFRPNVQDSGGGSSSREPKNKETMKDTAAMGRRAKRALKREAADKAAAAKHQQRLARLGRT >KZM87675 pep chromosome:ASM162521v1:7:19273726:19277413:-1 gene:DCAR_024776 transcript:KZM87675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLLSIRHRFESTFSNHRQEIFLFLSRIQSHGNGILKPHQLLSEFQAISKIDRLKLEDSAFVQLLNSTQEAIVCSPWVALAIRLRPGVWEYVRLDVHQLVVEELTVPDYLYLKEELVNASDDTFDMLRSNGNFVLELDFAPFTASIPRPTLTKSIGNGVEFLNRHLSAKMFQDKDSMHPLLDFLRLHHHNGRTLMLNNRVQTVNGLQDILRIAGEYLSKLPSDTPYSEFEHKFQEIGFERGWGDTAEHVSEMFHMLLDLLEAPDACTLETFLGKIPMIFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPAMEREMTKRIKEQGLDIIPRILIVTRLLPDAVGTTCNLRLEKVFGAEHSHILRVPFRTERGILRKWISRFEVWPYMETFTEDVAKEIAVELKAKPDLIIGNYSEGNLVASLLANKLGVTQCTIAHALEKTKYPDSDIHWEKFDKKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTMPGLYRVVHGIDVFDPKFNIVSPGADTSVYYPYTEKKRRLTALHPEIEDLLFSSVENKEHICVLKDRYKPILFTMARLDNVKNLTGLVEWYAKNPKLRALVNLVVVGGDRRKESKDLEEQAQMKKMYGLIDTYKLNGQFRWISAQKNRVRNGELYRCIADTKGAFVQPAFYEAFGLTVIEAMTCGLPTFATIHGGPAEIIVHGTSGFHIDPYHGEEAAELLVNFFERCKTEPSHWETISAGGLKRIQEKYTWQIYSERLLTLGGVYGFWKHVSKLDRIEIRRYLEMFCALKYRNLAESVPLAVD >KZM87572 pep chromosome:ASM162521v1:7:18263342:18266334:1 gene:DCAR_024699 transcript:KZM87572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLCQVKIHKLLLIPVIIVALVVVFQVINFPYRNNILVVSKESNFSRAIELSSSPAVNEGVGSTRIVPNLDEDTMYKSEAIHLDTSDDEAMPHLSRAGEIMMKSERRDKSSTMRHVRNADNSSTNGNEMSLNTDNILKSDFTFPQDDDHHNSSPLSAEWDLSNHSLGTQHKSLKSVTLRNKAMVALHTSGRNHLNKAIPIENMTASWLQLSSAATSSNRVHWSSPRDRELQYARLQIKNAPEKRNLPDLQSSVFQNVSMFKRSYDLMEQTLKVYVYNEGDKPIFHDPKMRGIYASEGWFMSLMKKSKPFVVRDPRKAHLFYLPFSSKMLRISILEQNQSSQTGNIFLMNYINLIAKKYRFWNRTNGADHFLVACHDWAPKLTRNHMGNCIRALCNSNLGSGFKIGKDVSLPVTYIRTTRDPQRDIGGNPPLERPILAFFAGSMHGYLRPILLQYWNNREPDMIISGPMNRSIEGKGRYRKLMKNSKYCICARGYEVHTPRLIESIFYECVPVIISDNYVPPFLEVLKWETFSVFVLEKDIPNLRNILLSISEEKYLAMQQRVKMVQQHFLWHKQPVKYDLFHMILHSVWYNRIFQLKST >KZM88118 pep chromosome:ASM162521v1:7:24711343:24713520:1 gene:DCAR_025193 transcript:KZM88118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAADTEESYDLGYEPSPSSVDQTDHSPTETTSYSTISGDSFKHRRMYSEISASSELTYDSSYSDTPSPVCWPAMKSPNLTALTRLETRQQKPENQEPVDIELEMMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYASVFGQHLKLEPLHLEKKLMWKREMNCLLSVCDYIVEFVPASHNLKDGTAVEILTNRPRSDISINLPALRKLDAMLVEQVLESFHDMEFWYAEQGSMSGNSTRSGSFRRIIPPPQRTEQKWWLPVPCVPSNGLSDESRKHLRHQRNCTNQILKAAMAINSSILAEMEIPDTYIASLPKSGKESVGDTIYRYMSQCSANKFSPDYLLNYLNISSEHEALELADRVEASMYTWRRKACLNPSKSSWNMVKDLMSDIDRSDKNTVLAERAEILLFTLKQRYPELSQTTLDTSKIQCNKDVGQAILESYSRVLEGLAFNIVAWIEDVLFMDKSTKNLVVESR >KZM86926 pep chromosome:ASM162521v1:7:8465456:8467615:1 gene:DCAR_024060 transcript:KZM86926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTVLVTGAGGRTGKIVYKKLKERSQQYTVRGLVRSEESKLKIGGGDDVFIGDVRNADSIVPAIQGIDSLIIVTSAVPKMKPGFDPSKGGRPEFCFEDGQYPEQVDWIGQKNQIDAAKAAGVKQIVLVGSMGGTNTNHPLNSLGNGNILIWKRKAEQYLADSEVPYTIIRAGGLQDKDGGLRELLVGKDDELLPTENKLVPRADVAEVCIQALQFEEAKSKAFDLGSKPEGSGTPTKDFKALFSQGGRIDHGSGCGVLDAREELKA >KZM87728 pep chromosome:ASM162521v1:7:19821136:19825298:-1 gene:DCAR_024829 transcript:KZM87728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPNRPQIVLFGSSIVQMSYSHGGWGSILSDIYSRKADIILRGYYGWNSRRAIEVLDQIFPKDASVQPSLVIVYFGGNDSMGPHSSGLGPHVPLPEYVENMRKIAIHLKSLSDTTRIIFLSSPPLNEVYLRENQSAALSPLIRTNELCREYSEACISLCHEEGVKVIDLWTAIQQKDDWLTACFTDGLHLSAEGSKIVVQEILKVLKEADWTPSLHWKSMPTEFAGGSPYNLVGADGKSTLDPSDWTFYREIKWD >KZM86983 pep chromosome:ASM162521v1:7:9394121:9396440:1 gene:DCAR_024117 transcript:KZM86983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDKIWSSLQFGMEIQANELNSTSEQIPSCYFNPNYDNSVDQSDPFESALSSIVSSPATSNLGLQAKNGDQQNIVLRELIGRLGSICNSGDLYNANNSTNTSCYNTPLNSPPRLNLSVMDHQIRAGNLPFSPDPGFAERAAKFSCFGGGSGGKLGQVGGSQMGIQESKNGFSSEGNMKVVSRSSSLEKCEVGAESMEESSVSEQIPGGEIGLKGQKDGNGKKRKAIPRGKAKEIVSGPSVKEDKVSDENDESKAKRSKADEGNQKQCKDNSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQQQVEFLSMKLSTVNPRMDFNMEDILSKDIFQSCASLPQNIYPVDASAQTFPYAFQSQQGSNLQSYMMPNGTKSQSLMNSLTATIRRNPGTQQPPNDGYNTGVASQIPSYWDDDLQNVVQMGFGQNQQQNFYALPNAASDATNHMKVEP >KZM87515 pep chromosome:ASM162521v1:7:17623014:17628399:1 gene:DCAR_024649 transcript:KZM87515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLVDDKSESVSSHIIVIHNAVIVTMNPQLEVFRHGGLAVEGDIIKAIGQSDHVLSQFSHLPSAQFIDLHGHFLLPGLINTHVHTSQQLGRGIADDVDLVTWLHHRIWPYESNMTPHDSYISTLLCGIELIHSGVTCFAEAGGQHVPEMARAVELLGLRACLTESIMDTGEGLPASWAIRTTDDCIQSQKELYKKYNNTADERIKIWFGIRQIMNSTDRLLLETRDAAKELKTGIHMHVAEIDYENHVVRDTRKVDYGTVTYLEKIKVLQSNLLAAHTVWVNEKEIQMLSEAGTKVSHCPASAMRMLGFAPIKEMLDAKVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREVYSKGTTDPTALPAETVLQMATINGAKSVLWDREIGSLEVGKKADMIVVNPFSWTMVPFHDCISSLVYSMRSENVISVMCNGRWIMKDQKILNVNEEEIISMARSASTELLKRAGNQIPSKMNFV >KZM87429 pep chromosome:ASM162521v1:7:16307658:16308488:1 gene:DCAR_024563 transcript:KZM87429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRTLDVNLIAAKGLKNVNLFSKLEVYAVVTVFGGDPRAKQRTPTDKINGVNPTWNFPMKFTVDEVAAQQNHLTLVITLRAERALGDKDVGEVRVPMKEIMVDSKGVQFVSYQVRKASGKPKGELRFSYEFSEKIAGVSHVTSKSDEPVTAYPAGAPGVGSSSGYPPQAGAYAPAGYPQGGQPVAGYGYPPQPQPGYGYPPQQPGYGYPPPPQQGYGYPPPQPGYGYPPQQVQQPAKKSKFGGGGLGLGLLGGALGGLLIGDMISDGFDDGGGDF >KZM86977 pep chromosome:ASM162521v1:7:9313503:9323927:-1 gene:DCAR_024111 transcript:KZM86977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELAESDQTNIDGVRMTWNTWPRTKVELSKCVIPLAASLSPLHPHPSILSLPYSPLHCKTCSAIISPFARVDFAALIWICPFCYQRNHFPHHYSAVSETNVPAELYPQYTTIEYTMNETVAKSPPVFLFVVDTCVVEEELGFAKLALSQAIGLLPENALVGLVSFGTQVQVHELGFGEMSKVYVFRGGKELGKDQILEQLGLGRRTGGFGKAGVFDSGVSRFLLPASDCEYTFTSILEELGTDQWPVPPASRAARCTGVALSVASGLLGACLPGTGARIIALVGGPCTEGPGAIISKDLSDPVRSHKDLDKDAAPYFRKAVQFYEELAKQLVSQGHVLDLFASALDQVGVAEMKVIIERTGGLVVLSESFGHSVFKDSFRRVFEDGEQSLGLSFNGTLEINCSKDIKIQGIIGPCTSLEKKGPAVASSVIGQGNTTAWKMCGLDKTTCLTVFFDISSSEKPDPTGNINPQLYIQFLTSYQSPDCQQKLRVTTITKRWTDGAVGSEDLIQGFDQETAAVVIARLTSHKMEMEEGFDATRWLDRNLIRLCSKFGDYRKDDPLSFTLNPLFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENVPNSLVMIQPSLISYSFNGLPEAVLLDVASIAADRILLLDSYFSMVIFHGMTIAQWRNMGYQNQPEHQAFAQLLQAPHNDAQLIIRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNASEMAAGMDVIFTDDVNLQVFIEHLQRLAVQSS >KZM88957 pep chromosome:ASM162521v1:7:32573987:32578328:1 gene:DCAR_026032 transcript:KZM88957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEGSTSPPRRGTASGSGGKEDRPRSFGTTARAKCWANAQVVPGRHPERWRQDAAVSSSSLRTPYNDEPDDGTISLYEPDDGTISFHELDDESKGGESVAENCQILQTRVNRFKSDKEAVGTDELQQYACDIKFSDRELDVIEMAVYGDVIRPGNECRCRTVAEMLGKLKSKDRTAACKLPTNDGPRT >KZM88489 pep chromosome:ASM162521v1:7:28278345:28279181:-1 gene:DCAR_025564 transcript:KZM88489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPQIQQEIQTENDSDEEINPCTMLLIEQHQENKEQDTEAAAAKDEVHVITHHLNSIKSNVVIRQLPSQGISFKLWPAASTLVSLLDNHRNAAFSALFDHKPLRILELGSGTGLVGIAAAAILGAHVTVTDLSRASPNLQFNVEANLKTIGVNGGHVEVAAMAWGNDEEMEAVKNKGEYDVIMGSDLVYHDHLYEPLLRALRFFMLGDEVDKERVFVMAHSKRWKKESVFFKKAYKEFDVKVLHRDDPCDGDRIGILVCTFVAKNKNKKNIQVLGCE >KZM87794 pep chromosome:ASM162521v1:7:20516506:20526856:-1 gene:DCAR_024895 transcript:KZM87794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFFSRGSGDDSPASLSAEPPPYSPYTATPPVPSGPPRPIRLVYCDENGKFKMDPEAVAVLQLLKEPVGVVSVCGRARQGKSFILNQGTYSTQIFSLAVLLSSMFIYNQIGGIDEASLDRLSLVTEMSKRIRVRASGEKSTASEIGQFSPIFVWLLRDFYLDLVEDNRRITPRDYLEIALRPVQGSKRDVAAKNEIRESIRALFPDRECFTLVRPSNNENDLQKLDQLPLNKLRPEFRSGLDALTTFIFERARPKQMGGTVMTGPIFARITQSYLDALNKGVVPTITSSWQSVEEAECQRAYDIAAEVYMSTFARSKPPEEAALREAHEEAVQKAMAAFDATAVGSGSTRQKHELRLKHFLTKAFEDHKKDVFREASLQCSNAIQTMEKHLRTACNAPDARVENVIKVLDGLLSKYEASSHGPEKWRKWTMFLQQSLDGPVSDLIKKQMDQIGAEKSSIMLKCRSIEDKMGLLNKQLESSEKYKSEYLKRYEDAINDKKKISEDYMNRISNLQSKCSSLEERCSSLSKAVDSARHEGMEWKRKYEYLLSKQKAEEDQASSELAILRSRSSAAEARLAAAQEQSKSAQDEAGEWKRKYDIAVREAKNALEKAAAVQERSNKQTQLREDALRDEFSGVLADKEVELKDKTAKIEHAEQRLTTLSLEMKAAESKIKSYDMEIASLKKQIKELGVKLETVKATAQSFEREARTMEQEKVHLEEKYRSEFNRFEEVQKRCKNAEGEAKRATELADNARAEAVTAQKEKSDAQRIAMERQNQIEKAKRHLESLERQRSDLAAELERYKIAEMDARSKVSMLEARVEEREKEIESLLQSNNEQRANTVQVLESLLETERAARTEATNRAESLSVQLQATQGKLDLLQQQMTTVRLNETALDSKLKTASCGKRVRVDDYDGGMESVHDMELNDRTPRGSKRTRSTTSPLQFTREEGGSIFLGEEQNNSQQTSSEDYTKFTVQKLKQELTRHNFGAEVLELKNPTKKDFVALYERCVLQKS >KZM89050 pep chromosome:ASM162521v1:7:33356101:33358456:1 gene:DCAR_026125 transcript:KZM89050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINNFDQNDRRILTFPAIHPCENIYPGTLLESLVTLSRKISNYQTRFFATQRKNSKQAIRQVGILLMFLEELQESRIVLPGSINLCFSELHLTFQRILFLLDDITREGARIWVLMKAHFVASQFLVLVRSVATALDVLEMDSVRVSSEIRELVELVAIQARRVRLELDFEDEEVMKKVIVIINQFENRFEPDQGMIKGVLDYLGIRRWDECHKEVKFLEELSGLMCLDGNEREMPLISSLAGLMRYCRGVIFTNSGFGEGGQVDGRGNVEVEVVSFLNLEDFRCPISLELMTDPVTVSTGQTYDRASIQKWFKSGNLICPKTGERLETTELVANSSLRKIIQQFCADHGVSLAKTRKKNSDISRTIVPGSPAAAEAIRFLSGFLSSRLSYGTDEQKMKAAHEVRLLGKSSIFNRYCLIEAGTVPPLLTLLASSDSPTQENAISAILKLSKHSKGKKQIIENEGLNLIVNVLKKGLKLEARQTAAATIFYLSSVDKYRKMLGETTEAIPALVELIKEGTNCGKKNAVVAIFGLLLYPRNHHRVLAAGTVQVLTNLLSTSDKKDVITDSLAILAKLSESFEGSSMIVQASALSIILRIFKNLASRVLAKDPGLMTALYSLLSDVDGTAHSNKKARMIINIVHRFHETSTSQLINHQVQEQSIHVQ >KZM88897 pep chromosome:ASM162521v1:7:32131906:32133907:-1 gene:DCAR_025972 transcript:KZM88897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATTKTIMSTIGTIAATAMVARSLARDYVPPEIQDYFHLGLRQFFRRFSNQLTMVINEYEEIESNEIYDAAVVYLGSKVSPNTRRLKVAKLKQENNISLTMERNEEVIDTFNGVEFKWTWVCQQLEKSNTYYNDHQTRSFRSEFRFFKLSFNRKHKEQAVNSYLPFILKEAKDKEQEFRTLKIFTLHSDYLFSSPDKWQSNKQIDAVRQGTTLVSQQFAVMIWEEQTIAIVAAEPTVTEPRGAISASTF >KZM86802 pep chromosome:ASM162521v1:7:7089735:7090245:-1 gene:DCAR_023936 transcript:KZM86802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNMNGYELQNHINEEFRLPVILMYADSTSAELKEIQNGAVYVMLKPISIDEIKYIWQLSIWWQKKINGTAPSIREINNHSEENVNTLSSNGIYSGA >KZM88569 pep chromosome:ASM162521v1:7:29271793:29272785:-1 gene:DCAR_025644 transcript:KZM88569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHKARTPLGSHKFLHKSGRLDSLRNVNSQKIKTPGCTQRAATKADHLKDISEGLSTSKELLKILDYTWEMDDRSCTFKSLVAALKCELEQTEAKVGKLIQEQQVEESKMGCLMKKFAKERYLWKTKEQERIQNAVESIARELQVEKKLKCQFERLNRKLGKELATTKASIFTIEKAFDSANTRSRKGENINGDDTEMDYSPDSDLHSIEFNMDNNCKTYDWSFISASRKENYEDQMLRCNMIKNVRDRIVYGS >KZM88567 pep chromosome:ASM162521v1:7:29263928:29265312:1 gene:DCAR_025642 transcript:KZM88567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNGFNSGETMRKQEAAEISSIKYDGKNDKVEQSKVDAMRKFVEKHDPSTKDVDNLTLRRFLRARDHDIEKASTMFLKYQEWKRSFIPNGHISINEIQNEISQKKQFIQGFDKQGRPITVLIGCKHFQNKKGGVDELKRFAVFALEKLCSRMPTGEEKFVVIADLKGWGYSNCDIRGMLGALSILQDYYPERLGKLYIVHVPYVFMKAWKIVYPFIDNNTKKKIVFVENKQLKATLLEDIEESQLPQTYGGKLPLIPIQES >KZM87529 pep chromosome:ASM162521v1:7:17794653:17796330:1 gene:DCAR_024663 transcript:KZM87529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHQSPASHDQILSLNNIYDICDDKSKQRNGSFLELMASMGGPAAAAIVSRDSSKQRRDHDHPSSSGNEVDEFKEKKKSKKCRYAFQTRSQVDILDDGYRWRKYGQKSVKNNKFPRSYYRCTNQGCNVKKQVQRLSKDDGVVVTTYEGMHSHPLEKSTDNFENILTQMQIYHTTN >KZM87546 pep chromosome:ASM162521v1:7:18050713:18051681:-1 gene:DCAR_031972 transcript:KZM87546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVDLLYNIPHPNSPTLFLEKSISEIGDHPSQDLLGHQSISSLTTSEVVELPNPSLFTDSLVVTVDASTANASIANSFTSSIGISHQSVSAVLSTNVLNNNQPLMNDNVASMAIPQPLIEEVPLADATQLDHLSITRAASEELIIVTALLGLRESELEERLSCRQAKGEDVSKRLRTSSSQAKGEIVDTTLDGEGEGVVSQGETLMQEYRDNERNAGTEETRMETAVDPLDASKFIHPVSAYQIMAGQSNVQAEETLGLLHTSEALERAQIAHAAVPKGMLSTQGDSKYDDSLADEDDDEDEEVAGPSEMPDWVFSQIQFW >KZM86234 pep chromosome:ASM162521v1:7:817704:819225:-1 gene:DCAR_023368 transcript:KZM86234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLHRMVVTGDAFQILILLFVQLGICLAAERFSASFVFGDSLVEAGNNNYIQSLSKANYPPNGIDFGKPTGRYTNNRTIVDIIGQQVGFKDFTPPYLAPTTRGAVILKGVNYASGGGGIVNETGALFVGRINFDAQIDYFANTRQDIISQIGAPAAARLLETALFSITIGSNDFLNNYLVPVVTEVRRRIDPPEVFINTLISRYRVQLTRLYNLGGRKFIVPNVGPLGCTPYQRDVNLIIGDNCATRANQIVQSFNRQLKSLLTELTAKLSGSTFLYANVNHIFVDILQNYKSYGFENANSACCFSAGRRGGIIPCGPGPSAVCPDRSKYVFWDAYHPSDVTNSILAKRLMDGNTDDISPFNLRQLAQL >KZM87450 pep chromosome:ASM162521v1:7:16536034:16536354:-1 gene:DCAR_024584 transcript:KZM87450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKELAAKLDAATTNRGGGKAGIADRTGSTKGGHAKYECPHCKITAPDVKSMQIHHDSKHPKIPFDDTKISNLHAGAPVVAETSAPRPGVRGSLKK >KZM87927 pep chromosome:ASM162521v1:7:22122686:22125985:1 gene:DCAR_025028 transcript:KZM87927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIKKLWCCEWVVFCCLFLAALVASKNHGNPANDLVDIINKNRTAVKLSQLSNNPGLGCMALQYVEQCKGNCSSNNALTCQPSEDDFTEVFAPNCGVELPTFGSVSGRIFGCQHKYLDPSEAFLHVIAQNNKSVSLLRNKTFTEVGVGLVGVHKHKGPYFWCVLLSNSQRNSTFVLQDLGEGIKQKKGCYSGTSTPCSDAHDVGVFPNLVTAALISVYFLEIFL >KZM89230 pep chromosome:ASM162521v1:7:35181091:35182341:1 gene:DCAR_026305 transcript:KZM89230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVISKSMNNLSFTSSSELRFSFSSEERVLDDEDLLALIFLRVPWKKLMTLKCVSKQWLCFITSSRFRKLLPPLRASGLYIHRLFKLGRPDRLYLIGLDNPQTNRRIFTSPHHSFVPPKFRILHSCNGLLLCAHNTNFRYNYYRNCCVWNPSTKQLDNISQHPPGTRVSHIGLAFNPLKSLHYKVIAFVSEHGFKNVGFIYIYSSVTSTWRFSVQSFNPAPHLNFTDGVYWNGRVHWLSELQDVNSVPKSDASECLCFNVDEERFETFPRPPIAVKATPRKCLYFGESEGHLHVIEVFPSTTSLKVYEMKSDYSGWFVKYKIPLAPISEVFPETTQHKTCLPGKNNFAVTVHSLIRRESFQEDPLLVLEIPGKLIRYNIVDRSTKVILDFGADFDRKKIDYWEFGMLKVSQHIASL >KZM88179 pep chromosome:ASM162521v1:7:25229947:25233730:1 gene:DCAR_025254 transcript:KZM88179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAEIRTTPRDKNFELSIAAPQLHETKNFDKEVRQKTAERDVLLEPIVINPKVELSSAIQDMIKSTAEGLDSGKCPVKSSEGTGGTYFMQNSTGSKYLSVFKPIDEEPMAMNNPQGLPLSENGEGLKKGTSVGEGAVREVAAYVLDHPKSGLRSLFGEKNGFAGVPPTVMAKCRHMGFNHRENVIKKIGSLQMFMDNNGSCEDMGPSSFPVEEVHKISVLDIRMANADRHAGNILLTKDEEGKTILIPIDHGYCLPKSFEDCTFDWLYWPQTRKPYSPDTVEYIKSLDAEEDIALLKFHGWDLPVEYARTLRISTMLLKKGVERGLTPFDIGSIMCRETLNKESIIEEIVQEAEDSVLPFTSEATFLESVSQIMDRRLDEMTK >KZM87335 pep chromosome:ASM162521v1:7:14722030:14726641:-1 gene:DCAR_024469 transcript:KZM87335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELLALVFATRYVDLFTNYVSLYNSVMKIIFLGSSFSIVWYMRRHKIVRRSYDKDQDTFRHYFLLLPALILALIIHEKFTFKEVLWTFSIFLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRAFYILNWVYRYFTEPHFVHWITWIAGLVQTLLYADFFYYYFQSWKNNAKLQLPA >KZM87726 pep chromosome:ASM162521v1:7:19798252:19808788:-1 gene:DCAR_024827 transcript:KZM87726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFICSVFKAGLVSLLILLPHAPPRASPFPPPWRLLILMLFGTAPNVEVSILRVIYLKLIIVFVGKLRTRFVIPGCCHILAVRFVGGICLINVDIAVCCCVILARVLRVLNWLDCERHKCGEICHDGECPPCRERGVYRCQCGKVEEERECYGRSFRCEVACGKLLGCGKHVCEKGCHKEECGECPFQGRRMCPCGKRVYQGMACDVVVPLCGATCEKMLSCGLHRCPERCHRGSCAETCRTVVTKSCRCGSLKKQVPCYQDLACERKCQSLRDCGRHACKRRCCDGDCPPCSEICDRKLRCRNHKCPSPCHRGSCAPCPVMVKISCFCGETHFEVPCGTEKEQKPPKCRKLCRIASMCRHGLNRKPHRCHYGACPPCRLICEEKYPCGHKCNLSCHGPKPPPNPEFTLKPKSKKYIRPSESTPGLPCPPCPELVWRSCRGRHVGAERMMVCSDRREFSCDNLCGNLLRCGNHYCTKICHALKNQSPVPERGESCEDCDLPCQKDRIPACSHHCPLKCHGKECPPCKVLIKRSCHCGSMVHVFECIYYNSLSESEQLKVRSCGGPCHRKLPNCTHLCPETCHPGQCPSPEKCVKKVTVRCGCQTLKKEWLCKDVQMAYCHDDRDPKEIPKSQFGLGLLPCNSDCRKKVTPADSELKFRKSEVLEKEEPEKNLPKRRRRRARLEEGQKVSRLQNMFATIKRVFLLAVFIISLIAIAYFGYKGLLRLSDWMNEMEVQRQRKRRPRI >KZM86965 pep chromosome:ASM162521v1:7:9051089:9051397:-1 gene:DCAR_024099 transcript:KZM86965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQFVVIAALVVLFIVGMASTVSAGPAAAAGGAAAGGHAAEKGGHSPAPAPSAGGEAPSAGGGEAGSALGATPADAPSAASSLQVSAFFGAFAAGVASLFI >KZM87420 pep chromosome:ASM162521v1:7:16188435:16190321:-1 gene:DCAR_024554 transcript:KZM87420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSCIIYILQLVIVSVAAAEQVQSSSASSSLFEREALLSTGWWGNQIPTFNISKRYHCKWTGIQCNKAGRVLSINLISTVIHDEVGKLNFSSFPCLQTLDLSSCGLKGSIPDQIGMLSKLEYLSLYNNSLTGKLPPSLGNLTQLHVIDVAFNHLSGLVPSTLGGLTNLVSLYLYMNNLTGFIPSELGNLTNLANLYLSDNNLRGPIPVLENCNNLRYIELSHNFLSGNIPLELGSLGKLYSLALGKNNLTGAVPAALGYLFQLNYLNLSSNQLNGSIPVSVFKTCRRSLEYLDLSGNLLSGRIPEEIGRCSSLRSVSLGRNNLTGEVPQSLSCLSHLTFLDLDHLCNLSETIPPTNAPQGFDNTDNNSHNNRHDDESSSHQEKTLLLIILLTVLPVIIVVPLLILAVWLLRRHSPILNQELMNERNGDMCSVWNFDGNVAYEDIIRATNDFDIRCCIGTGGYGSVYEARLPSGKTVALKKLHRLEAQEPAFDRSFKNEVHILSSIRHKNIVKLYGYCLHNRCMFLVYEYMEKGSLFCALADDAHAMELDWSKRVGIVKGIAHALSYMHHDCTPPIVHRDISSNNILLNSQMEAFVADFGASRLLDPDSSNQTMVAGTYGYIAPGYL >KZM86395 pep chromosome:ASM162521v1:7:2431417:2444985:-1 gene:DCAR_023529 transcript:KZM86395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTWLQYEYLKKIGEGSYGTVHKCRKSVNGEIVAIKTILFYDYEGVPRSVMRETNLLKQMDHPNIVKLLEVLTANNKVSLVLEYADYDLQKFILSDPANTRDPHFIKRLLHDILSGVQYCHRNRIIHRDLKLENLLINSQDKIVKLADFGLSRTIDTPLGPLTKQVGNLNHMAPEALLGSAQYSTPIDIWAVGCMFVEIVTHQDLFAGFSSSILLAKIARTIGTPDEQIWPGVTAMFPPNVMRQQFPPKDFAEIAPGLEPAGINLLSRMLRWNPSERITAAEALAHEYFDEATPKLVLQMMDVRGLTISHVKSHLQMYRSMKSDDGINKDESLIHQRRKQSLEDHYDGYIDQEATGSDQYASLLLTNLSTEESDSKDQIFFMSPKAPQTKRARIDAMSCNSADQSLQHCSQRISETLLTRDQYYFDDYMQLAGEKSEVNKEGLLSFYRSQAAPMATAFSLPHDLFNNISPFANHANAVLEESDFFKVSEEEDKTCSSLRSCKLESSEDKHQNEAEDGGGCGLSLSLSLQHPCTQRSNVSSVSEISETISSSYSRHDFSSCHGTSSGKPNLSLNLSIALCDT >KZM89248 pep chromosome:ASM162521v1:7:35356328:35356573:1 gene:DCAR_026323 transcript:KZM89248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQVQRPQHPEPKSGGLYARTQFWSNFRASLINAACLRCAVAAVVAAAASPGLVAAAKDI >KZM87787 pep chromosome:ASM162521v1:7:20461836:20467162:1 gene:DCAR_024888 transcript:KZM87787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSCSLPKLCFNSNCNQLLHHNSRRGWRRRTGDFADLCDRCATAFEEGNFCESFHLNASGWRSCETCGKKIHCGCIVSSHMFVLLDAGGIECITCTNKSHVLTPNPAWPPPSLILPVLPERVKDLSVTNWSSIAASGPKPCRQAPTFFDQDLHPKMPVEVDISTGINRHIGSKKLTNSPIEKRNNLDASGRLVNGSLKHGASLLLENGTSVSKCDEQPSAYGNDKPLSAVSKDETSSPHIHLAHNHASKSKTGDHFKVSVSYAQRSTPPTAAVKNFGSPNGVGSSGESRTCNERPQGRNQLLPRYWPKITDKELQQMSGEYPNFLYVSTNCVITPLFEKTLTASDAGKIGRLVLPKKCAEVYLPSISNSEGIPLLVQDLKGQEWVFQFRFWPNNNSRMYVLEGVIPYIQSMQLRAGDTGKTSIL >KZM86155 pep chromosome:ASM162521v1:7:175475:180704:1 gene:DCAR_023289 transcript:KZM86155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGLMEQDLSKLDVTQLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDESCPRPKCYKAYGSGKEDAPQCDVPGFENSKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAIEIMRLKHIIILQNKVDLIQENVATNQHDAIQKFIQGTVADSSPVIPVSAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILKGVLKVNQYIEVRPGIVVKDETGSIKCTPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVYVELEVNFFLLRRLLGVRTKGTERQGKVSKLTKGEILMLNIGSMSTGARVLAVRNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGITLDIPPYSL >KZM87062 pep chromosome:ASM162521v1:7:10585499:10589333:-1 gene:DCAR_024196 transcript:KZM87062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKELRSQMVPEWEGAYVNYEYLKTLLKDIQHYRQLHVPPPPNPPGLTRRLSLYRNFSGLTGLKVPNSPTTSTDRTDQDIERQPILVNAVKRPNGEDGFETTFLADREDGGEYELVFFRRLDDEFNKVVKFYKGKVEEVLKEADELNRQMDALIAFRIKVDDPEHSWFETSAAVDMNQLASAAATSAAVLSTSLSSGKKSESKRSGDHMEIIDEDRSNGRSNNRPAPLEILDRVKINSSVDTPLSTIKVVLQVPSQNELKFSNDNLQKVEGQLRTVFIEFYYKLKLLRSYSFLNTLAISKIMKKYDKITSRSASRSYMRMVDNSYLGSSDEVRRIMDRVEISFIKHFANSNRKKGLKALKPKTKKQKHGVSASLGFFVGFTAALILALILIIRSRQIIEKEGSKKYMETMFPLYSLFAFIVLHMLMYAANIYFWRRYRINYSFIFGFKVGTALGYREVLLLSFGLAVLALGSVLANLDMEMDPKTGDYKALTELLPAGLVVLVIAIMICPFNIIYRSSRYFLLTCIFHCVCAPLYKVLLADFFLADQFTSQVQAFRSIEFYICYYTSGNYRVRENNCKSNDVYNTFNFIVAGIPYWWRLLQCLRRLFEEKDAMQGWNGMKYFATIVAVTTRTAYTRNNSTEWKVIAWISSGVAAAFSTYWDLVHDWGLLNRKSKNRWLRDKLIIPHHSVYFGVMVSSQYY >KZM86218 pep chromosome:ASM162521v1:7:704699:705391:-1 gene:DCAR_023352 transcript:KZM86218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKLIFISFALISLRYANAVCVPRDNAASHPNPHAPPNLRPVSVNAVAESDPAPAPMTPAQSGVVDAVLGPQPQANGNENPEVKRICDATDYPDVCQAVFNRYTGPTDFVSLLNIAIGAGLDVARAATGTADNMSTQQGAPTDHASVYSDCKDSYDSASIDFQNALDALPVKDVGTLNTMLSAAITDASDCQDSLEGMDSPLNLFSEKLRKMASNCLAMVTHMQQPGPA >KZM87036 pep chromosome:ASM162521v1:7:10314727:10314879:1 gene:DCAR_024170 transcript:KZM87036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLNVVVGEGVCKGCAAPCYPRHSARVDPKIRRLDCRGIQASSMVRLKS >KZM87539 pep chromosome:ASM162521v1:7:17959796:17961393:-1 gene:DCAR_024673 transcript:KZM87539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADADAVARAFVDHYYFTFDNRSNLGSLYKEASRLTFEGEEIQGSVNIVAKLTSLPQKFKHRIGTIDGQSSGPAGGILVSVDGSVEIEEYAPSRFSQVFLLMPTAAEGSYYVLNDIYRSIHG >KZM87298 pep chromosome:ASM162521v1:7:13942205:13945104:1 gene:DCAR_024432 transcript:KZM87298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGNLSLLDIISPRTIITDRKNRAELLLNLFKKESLSQQSPILNLNALIASNGFEFDKHSEIKRLNRSGKVNSKVNNAVDYESSSDDESNGIDDDEVDSYDWEKEMKKRVKEIQEMKELEKKAEELVSEIGEEDGEGSGESEEEKKMRVRKELEKVAKEQAERRKTAELMFDLGQRAYGKGMYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHKDCIALYRQLELKHPSFSIRRQAAELRYILQAPKLKISQEEMVTIPLIGSSYDNYAGTWNDKYKDSDGRLNRSTTNQLPSSKDFVGDFLVWRPPVDLEKNQAFWVLFLRILVQIKRMLHAVKNLTVSFICTMDRELKAEVKFAGVFRYINLQTAEVCKYGTILAPRFAPV >KZM89052 pep chromosome:ASM162521v1:7:33385341:33387296:1 gene:DCAR_026127 transcript:KZM89052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLKPTALLLFGFLFAIVSSFSAVSLGATTFRPKENPFTAKASLIRYWKKHVYNDIPQPAFLLSKASPLSAVDTAYFTKLAVQKSLPGHLSTFCSSANLFCIASYDRPNTKGSSFAIYNNKNFSNYGTGKFSGADSFKNYSDTGENSADSSFIRYSRSSIKHNESFTTYGTDSNVGSANFTSYAGGAKGGSGDFKQYYPKTNVPDLRFTTYASEASQHNLSFKSYTDETNSGSQSFVNYAKKANANPVEFTNYGNNSNVVGSSFNSYSELGNVANDTFTSYTNNGNNNANNFKNYGTGIHNGTDSFANYRDQANVGDDTFQTYGKNSTSEKANFVNYGQTFNEGTDTFKEYGKGTTNQVSGFKLYGVNTTFKDYAHTGVTFKQYAKRHSNVSRGSVNFGGKFFRESMLKEGVVMKMPDIIDRMPKRSFLPQAITSKLPFSSSELKELKRVFGASDNSSMEHVLKNALVECERAPSPGETKRCVGSVEDMIDFAVSVLGHNVVPRTTENVNGARKNIMVGKVRGINGGRVTKSVSCHQTLYPSLLYYCHSVPKVRVYTADILDVDSKARINHGVAICHEDTSSWSPGHGAFVALGSGPGKIEVCHWIFENDLTWTTAD >KZM86208 pep chromosome:ASM162521v1:7:645870:646403:-1 gene:DCAR_023342 transcript:KZM86208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKCIDLNLSPDDMLQNSESNMHPGQFEFIQVTQENFKENDIDLNSLPFFEGGATHEKDTGL >KZM88629 pep chromosome:ASM162521v1:7:29804013:29805439:1 gene:DCAR_025704 transcript:KZM88629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLNSSLLYLNVSDLMAHIAVIDNTSEKVEKLNDYVKELNAEMIRIKESNCHSPLCILILTEAIQKLEKEIQHYYKDMKDNREIEGRVTLENGNPKGAEMPGKSSDANKGNSMSSIQLGGKSIAYDTTKQTDAVHDNSSGSMREIAGSYENPRTQNNVNINQSGSAVIHYQGESRVIIRETSNFNNVQHSSIAMVGLNFSGNYVTHVNHHPPASMMDPQKKPRLRWTHDLHREFMRAVDELGGPNVATPKKIIAKIPMHNLTTDEVKSHLQKCRQHARKSNLAAQTTSAALPHNPH >KZM87173 pep chromosome:ASM162521v1:7:12036092:12048054:1 gene:DCAR_024307 transcript:KZM87173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQFQGGKVSGFPIGASDNEVINALTAENEKLKVRLEEKLSDIEVEGHVLRQQTVQTGSPTAEGQNGHHEHIEDLIRCVTKNVGFSQKKPVAAVTMFRCLMQWKSFEADRSRVFDILIQMIGSKIEDEGDNELIIYWYSNTSTLLFLLQRSFKTKTQPTGFFGRMTQGFLSSPSDVGGTQEVEPKYPAIRFKQQLTAYVEKIYTIVRNNLKKELSPSLDYFTQVLPLVHCSIKNIHTMWFCFNKHWDSILHTLKKSLRTFKDNYIFSQMKKIMTADVDVNSLLLDDNSSIPVTVDELNDPTTKVEGISDVEPPSELVELEAFKFLKG >KZM88422 pep chromosome:ASM162521v1:7:27783205:27785944:1 gene:DCAR_025497 transcript:KZM88422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPELNFNLPSGEMERREEVAADELIPQSTVIIRTSCSSRRSLNNVDTSNIRRTWPNGFSLIRWAHYERLMGGPRDPEAAAEKLWRKREKRLRRAQLENFNAHTPMPLPLLNLRFLIPIIMTHEGYWLSEIEKVSVRVGLHIQIKDMNPTRKKYAEKGYDSNSPARRFFAVVEKQ >KZM89329 pep chromosome:ASM162521v1:7:35990864:35992538:-1 gene:DCAR_026404 transcript:KZM89329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIPPAIEVTVSLSSSICDNSGISSNVEITHVQIVTESSNMLPDPVSVLRAESVSSRERSFNCLKRTLSGTVESERKISVSETILNGDSSWVPTDAMIQQNKEDVADDCSGIKSKQLLALNASTGMSLPIAVEIEEIDNGQIFAKVISLEKQSVEGKMSASADDVSSNILTLKSSVIALQLPKEKITGCEESKGVHMKYVPLWGHVSICGKRPEMEDAVVAIPQFLEVPTKMLLSDHVINGMSQNAGVITSHFFGVYDGHGGSQVANYCRERIHFALKEKLEGIEDFESEVRTAVTQQEQWEEIFTSCFQKIDDEVGGKVSQTETGGDCDTSNGNLEPIAPETVGSTAVVALLCSSHIIVANCGDSRAVLYRGKEAMALSNDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGKLFVNIHCGDVNSGCGSSHCC >KZM87831 pep chromosome:ASM162521v1:7:20947200:20955056:1 gene:DCAR_024932 transcript:KZM87831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKLSGKVCMNMDEECLDSKEYNHSNKEGQGVPQFTLCFDIDANNFLNIWANDKTTGQKNKITVTNDKGRLSKEEVYKSEDEEHEEVVKPLNQHKIGDT >KZM89142 pep chromosome:ASM162521v1:7:34278000:34279373:-1 gene:DCAR_026217 transcript:KZM89142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLDEMLEKRGVKVDKVLNFAIDDTILEERITGRWVHPASGRSYHTKFAPPKAPGVDDVTGEPLIQRKDDTAAVLKSRLDAFHRQTEPVIDYYNKKNVVANLHAEKPPDAVSAEVHKVLS >KZM86594 pep chromosome:ASM162521v1:7:4674784:4675586:-1 gene:DCAR_023728 transcript:KZM86594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQILVLLLSLILVVLFIVTGSHLTIVVSCKGVRGSAELLQKNDASEEAVLVNNVHTAAVRELKLKTGWKIAVDKVHSRRDSFLSPTQRSSSTAREKVESPAEIADRKRELKVGRRSLIVKEKKNESGFVAYSADYGKPRHHPPKNN >KZM86247 pep chromosome:ASM162521v1:7:998904:1001318:1 gene:DCAR_023381 transcript:KZM86247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETEATTASELALADTDINWDRLDKTRFHIIGAVLFSAQQALLHPTHVVKTRMQVASPNSIHKSGMAVFKHILKTDGIRGIFRGYGTSAVGALPGRVIALTSLEVSKDMMLKYTEALNMSEATRIGLSNGFGGMLSNLISCVYFVPLDVVSQRLIVQGLGGTTSCNGPVDVVRKVMKAEGFRGMYRGFGLTALTQSPASALWWGSYGAAQHIIWRSLGYRDDLEEKPSHMEMVTVQATAGMVAGACSSVITTPIDTIKTRLQVIDNFCVGRPSVMKTINTLLQEDGWWGFYRGFGPRFLNMSLYGTTMIVTYELISMFS >KZM88762 pep chromosome:ASM162521v1:7:31105715:31108402:1 gene:DCAR_025837 transcript:KZM88762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRQSPRRNQRNKGFKVKHALQICLFVAVSIWLLYQLQHSRNKKAATGDSTKISERLGYGNDNTKLGRKDLHPQFKGSADDVDRQKEDQEIESKTDEHEDDQEGAEEESETEEQKVESNESKDTDAQEEEIEEDKEQENRESEDESKESAEERNDNEKENVERNDETGYNKEAENKENESEDIQEKSNEDGDTTGNEDSKENVSEETLEKVNGEGDATNNEESKEGESEEKHEQSNGENDTMGNEDSKENGSEEKHQSSEENVTTGNEDSKENGSEEKHEESSEENVTTGNEDSKENGSEEKHEESSEENVTTGNEDGKENGSEEKHEESSEENDTTGNVESKEIQEKNTGEILSTNNEDNKENGNEEALEKNNGENVISNNEDGKENESEETQEKSNGENENIQEKNSDENETTGNGDSKEKGSEETPENGSGENVATNNEDSKENGSEETEQKSNEQNDTAENKDGKENGSEESEQKNNEQTDTAENGNGKENESEETRDKSNEENDHAENGESKENKVEEGESKGIEEEKLRNEKLIESKSTSEEHGETINDEKSTEAKEGETKSEDIGATENQVSGGNEGEAATDENHEGGEKASSELKTLNDSETQGEDKNSTSGGPEENNEGKELEETAKDNSEENQNGSGLNVTESAVFSKDDSAILLLGEGNSNTSLLEMAENSNQTTKATQSDEFNGKSEDSPGGNSESDPISDNSQNQSKTVENTGENNENPEETTKGDSTEPNLSPPVTTENQNPATEESTESMNNIEPVVDGQSANSSTTNNTGENSANSTEKENNSTVQSQNSDTGKETEEQHRSSGENANEVSGENNTSDSSTPAFIAEKEVSSNPNDSSDVSNKDEANSIPQDAKQAETDTNTLQESATEVNDNKVAAEK >KZM89010 pep chromosome:ASM162521v1:7:33043403:33046291:-1 gene:DCAR_026085 transcript:KZM89010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREKQRGKKEFQISNWNPDFQWISEINQEDPSGQDELLRWIGKYGFLLEDDMLKALGKKNMKLLNPAVARINYLSTKIERSSLLAASRGEEEVIIDIQTFLMNEGWWDRAQRIKLRDFGLDIGVSQTRLNEDENMTRAFIQSYKELVHPSVLNMVEKRDPEGINLALNHIHSGSLNKSRRARAQRTAVKDFFSNIDDGDTKLGKEDRQRKSLDSKEALMRFIFSHSDKVDPLTLQGVSYNKDKDISEALRQIHSNSLRISIEEKMKCKSSYLEAAKSNRTTAVPKVIPLSNKKSPVPLRWDSLFFTGFEGVSVGDIWKGIKQVARIKDIVIPKRLDKYNKKFGFIKPSSVEDANRLLQVSNQLVLGGRCVRIERARDRHPQLLKKSSVPLEGKETKNDEMKEGNEGKESGKENIQVVLEEGMEEWVDMLARSVRIDLEVDYAPDSLWELILSKGFGDLGVRKRGPYSFLISFPDSLSLEEMDWKALEIDIKKVSKAALEDLCLSKLLSQWGVLASSSFPNIFQNELSNPRVCLVTPSMKEIHGNLNVHISGRDFTVEVSEIRNVVFWKDEVPYKKNNADSIFSSKSSQARTVEESVKPLLHPEVMSVGVLSDKSDTKSFGSSVELLQDSCDDSINYIEPVSEELRYRQARTENWNRAVESSTSFFPGQEDVRKECLNESSGNSINTKKWNRRGECESHYNSDKDSLSHDVLNADTSSNNSLTHSNKSFPELCSKLQNLRIKSKGGRMRKGRKFGCFDKNRRQNRKREAVPDWMIGRYVRVWNSAKTRKARGKMIEVRKKRAKETEQGKKGEMGNISAEDIYHLGVTLGLKPTKEKGQMIDLIEGRL >KZM87379 pep chromosome:ASM162521v1:7:15324934:15328653:-1 gene:DCAR_024513 transcript:KZM87379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDVIEIPLPTLIDRISKSKTLKHKENQLMILMHVSSFALSPYRIRMRSKSGYSSSTGWWDIFHAGSGSGAGPDILPLPGGGLSVDCRLLTSQTNYKMKVLQMIMQWLGIKRLKLLVI >KZM87650 pep chromosome:ASM162521v1:7:18925904:18929434:1 gene:DCAR_024754 transcript:KZM87650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-28 MPSSTADTWCISKDLNLVCKNQSPNFSRQPKITTSEHYLKQSHNMAIVRQELKATNKVAGIDTYQSLIIIDALQRLGIDYHFKDEIEQVLERLYMAISPCFFNKNLCFASLCFRLLRQQHYHVHADAFDKFMNKEKKLVRKLLSGESTEALMSLYEASQLRIEDEDVLDEAEFFSCQLLNERIKFLNHHEAHAVRNTIAHPHHRSLAGFTKNHYIKDVIRGKARCGKALQELAYLDRAFMQAIYTRELSEFSRWWNALRLADELKYARNQPLKWFTWSMAMLTDPSLSEERIELTKAISFIYVIDDIFDVYGTIDDLTLFTEAVNRWDIAASEHLPDYMKKCFRTLHEITNEIGYKWARLCTAFLEEAKWFASRHLPEADEYLKNGIASSGVHVALVHMFFLIGDGSTKELVESVKFDRCLISYNVAAILRLWDDLGSAKDENQDGKDGSYVACYMKEHKEATIENAREHVSKLISETWKCLNKECLSPNQYSKRFIKGSLNLARMVPLMYSYNESEPPTL >KZM88756 pep chromosome:ASM162521v1:7:31039232:31045022:-1 gene:DCAR_025831 transcript:KZM88756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVSHGPLALADIDWDNLGFTFVPTDYMYVMKCDDGENFTNGELQRFGNIELSPCAGVLNYGQGLLEGLKAYKTEDGNILLFRPGENGMRLRLGAERMCMASPSVDQFVKAVKDTVLANEKWIPPPGKGSLYIRPLLMGSGSMLSLAPGLEYTFLIYVTPVGNYFKDGLSPIDLMVETETHRATPGGTGCVKTIGNYAAVMKAQRAAKAKGYSDVLYLDSVHKKYLEEVSSCNLFIVKGNVISTPATEGTILPGITRKSIIALARGLKFQVEEQRLTVDELLDADEVFVTGTAVVLSPVGSITYFGKRISYGTSGVGAVTQQLYTALTRLQMGLAEDSMDWIVKLK >KZM88601 pep chromosome:ASM162521v1:7:29636964:29642824:1 gene:DCAR_025676 transcript:KZM88601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYHGPPIAGRDLPRAVPIKVDRIPVASVVSQVGFPDKLSLPVVQPISASDFAMKYSKEFKLGAKVVISPVSVMEFEQKDGSDDDCVAKEKDGLISGISVSTNAVKVLEEARVGLGDFAVSGELSSSGTLELGDGQYGSSEFSDVINSSTELETPSISHSIDLLAGGGSSGTLGFSDSFDQSRELSGSSAALRDSNGCKETLDFNDLNQSGWISTESELSSAYPSSRVSSHKTGNYVDETTCDERHTPVVTFRDVESVEGDISEEYRQIKPDIVRLRSGSEEGEKPSKIISPHLNVGGPINVDASNGNTGVYINGREITKIELRMLQLAGVQCAGNPHFWVNDDGSYQEEGQKNTKGYIWGKARTKLLSAVLSLPVPSKSIHSSGEQVSNVTSQIVPDYLEKVTVQKLLLIGYSGSETSTIFKQAKILYRDIPFGEDERENIKLLIQTNVYGYLCIILEGRERFEDESLNDMRKDKPFEICGNEGDKENKNESIYSICPRLKAFSDWLLKIMASGNLEAIFPAATREYAPIIEELLKSEALKATYSRRSELEMLPSIASYFLERAVDILRIDYIPSDVDILYAEGVTSSNGLACADFAFPLPASDDSFDTVDQHDSLLRYQVSPMMLHQHIITLKHSNMKDFV >KZM88882 pep chromosome:ASM162521v1:7:32024669:32024845:-1 gene:DCAR_025957 transcript:KZM88882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRTDPLRRDYILDHILEIGLISFSVAGSILPALDDIEGCLWLDVSIAIAHTHENVR >KZM89277 pep chromosome:ASM162521v1:7:35584985:35588080:-1 gene:DCAR_026352 transcript:KZM89277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLKLWVSDKLMCLGYSESMLVQYVVSVSKNAKSPADIVDSVKDDLKGCDDDALRAFARELFDKFDTREVGENVYNRREREAVMLAQKQRMYTLLESDNDNDDDDDDNVGEVSGKKCFRKRVEFEDDADEEDVVPKRKKIVKDEGGSESGEEEERLRDQREREEFEQRIRAREAGAKRKLIESKVRGEEDEEGSRRGKALARDDFGALRKVSRFNYTKKRVTKKIEQMQDDLCLLEGEKLTEAEQCELSYKKQLCELVKKQGHEADEIDEYRMPDAYDQEGRVNQEKRFSVALERYREVKADDRMQPFAEQKAWEDYQVNKAIMKFGSKDKKPMSEYELIFENQIEFVRDELIRGEIYDEELCNELTNKSVARSAFEKLQSDRKLLPVYPYREELLQAIEKHQVLVVVGETGSGKTTQIPQYLHEAGYTKHGKIGCTQPRRVAAMSVAARASQEMGVKLGHEVGYAIRFEDCTSEKTVIKYMTDGMLLREFLGEPDLSSYSVVIVDEAHERTVCTDVLFGLVKDIARFRPDLKLIISSATLDAVRFSDYFDSAPIFKVPGRSFPVEIHYTKAPEADYLDAAIVTILHIHVTESPGDGDILVFLTGQEEIESAEEILKQRTKSLGTKIAELIICPIYANLPTEQQAKIFEPAPEGARKVVLATNIAETSLTIDGIKHVIDSGFVKIKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTLHNYRHDMEDNTVPEIQRTNLANVVLSLKSLGISDVSEFDFMDPPAQECLLQALKLLYALGALNRKGELTKVGRKMAEFPLDPMLSKMIIASDKYKCSEEIISIAAMLSTGSLIFYRPKKKLVHADNARKLFYTGNVGDHVALLNVYRSWKENDYSHQWCYENYVQVKSMKRARDIREQLAGLLERVEIELTSDPGDLEAIQKAITSGYFPNSARLQKNESYKTFKYSQTAHIHPSSGLALKFPKLVVYHELVLTTKEYMRQVTELKPEWLVEIAPHFYRLKDVKDSEAKKKRPSAEGGLDI >KZM89341 pep chromosome:ASM162521v1:7:36088194:36090036:1 gene:DCAR_026416 transcript:KZM89341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKNIWRSVLPGCFKLNNKCEETKKKDLKESLSKRLSLSDISYQSSSFSLISDLSNSVIGLSLHIFTLAELELITHNFCSSNFVGEGGFGPVYKGFIDDKLRPGLEAQPVAVKRLDLEGRQGCREWLAEVIFLGQLTHPHLVKLIGYCCEDEHRLLVYEYIARGNLDNQLFSKYSVSLPWLTRLKIAVDAAKGLAFLHCQEPPIIYRDFKASNILLDSDYSAKVSDFGLAMDGPQGVDAHVTTSVMGTEGYAAPEYITTGHLSTKSDVFCYGVVLLEILTGRRAIDKSRPSREKRLTDWAKPFLRDPKKLDRIMDPRLEGQYSKEGAKKAAALAYQCLSHHSKSRPTMTHVVKTLEPLLDLKDIPVDFFVYTVSTDGERSQNDKDLSMRMQEDGAKTCKIVKKSSMKKEGHRARRLTKSSKSRAVYSDTALYTTHRNAYELPLHQEKEITVREG >KZM89128 pep chromosome:ASM162521v1:7:34107725:34138083:1 gene:DCAR_026203 transcript:KZM89128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRGRAGYKPRPCNKTEVLQWLKTLPLAPEFRPTLEEFEDPIAYIHKIEKEACVYGICKIIPPVSLPLMKSTFFQLNKSLVACSASREGELRPTFTTRVQQVGSCPGKGYPVIKSVRESGRSYTVEEFKAKAKSLERNYFKKSSIDKGALSPLEIETLYWNANAEKPFEVEYANDMHISAFVELEKRRGGDGLSDDLNVGDTDWNLRGAARSRRCLLKFVKDDIPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNYMHTGDRKTWYGVPQHAAAAFEDVIRNHGYNGEMDPILSYATLGQKTTVLSPEVIVNAGIPCCRLVQNPGEFVVTFPRAYHSGFSHGFNCAEASNIATPEWLRFAREAEMRRAAINSSPLISHIQLLYDLALSFSSRGPASMETRSSGLEEKKKGEGERLVKELFLQDVKHDISLLHSLGKGSAAILFPRDFIVGNFPELRDGNNIPLYNKHINYSCTTTSQNLNINGFVQSCGVLCFACAAIIKPGEVDVHNLMLADFGNIGGSGVASDIAASNWWFRSAGSAKNAVLDSGLDGWGENWDDGAVRAKANQERQCFDNYENERDINDKGPHWYRNAEKDSGSSNDENFGRRHYPLRPDVEACSLELGLADLITGWPFPWQEPTCCTRVVNSPFGEAEQAIKEVLSCRNEAFIKGNETGVVILHGWGENWDDGAVRAKANQERQCFDNYENERDINDKGPHWYRNAEKDSGSSNDENFGRRPISFEGYWNEEGDAVGRNGKAQYNKEPHCSGNAEKDSVGFNDGNFGSRHYPLRPYTEDYSFYMRTGTCMARMCSGEKYDFRQHIQKINVPVDGPNSLRLSKGFQIHVSEGMIRKLLNQLTSKSCKNINSSCTATSQNQNIYTQENGPDQCERLASAGLFSCLACGVLCFACAAIIKPTEVGKHNLLPADCGNIRGSGVVSDVAASDWWSRSPGYANNVVLDSGLGKGHPVIKSVRESGKSYTVEEFEAKAKCFKKSSIDKGALGPLEIESLYWNASADKPFEVEYANDMHISAFVELEKQMGGDGFVGPISFIPSYVIIAQLLGDPLNYLLXKKSSIDKGALGPLEIESLYWNASADKPFEVEYANDMHISAFVELEKQMGGDGFVGPISFIPSYVIIAQLLGDPLNYLLFT >KZM86708 pep chromosome:ASM162521v1:7:6057745:6059901:1 gene:DCAR_023842 transcript:KZM86708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKVERLFNKVGTFFKGTDKLPWCDSDIVAGCENDVAEAEKGSSDEIRRECIMKLSWALVHSSRPENVQRGIAMLEASLVGNNSPLQIRENMYLLAVGYYRSGDYSRSRQLVGRCLEIAPDWGQALNLKSAIEERITKDGVIGVGIAVTAVGLVAGGIAAALSRKK >KZM86900 pep chromosome:ASM162521v1:7:8181084:8182355:1 gene:DCAR_024034 transcript:KZM86900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDFRKNSKKLKVDYHPILDTMDMDITTKVFGVKSSPFVVAVVSRNLPVEMTGISYGRGEVRLSDYPGEHVVLNSGTIIECEEDAATSKFVSTIIVAAVLFVRTNDVQPHDIKVDVHLFDGRVCQGQVFACDFHYNLAAVRIQTDSPLQTPTLKGLDDVMSIDPSELLQLSQDRSFQLRPHSNLFKIFHGMKIITIWRALRPKNFIIVSSGVLCIDAPYTELDCNELCWVKDRKEDPLGEKGENGGTIVNCFGDVIGMLFYGSAFMPINIVLRWWHHFKSCRNYRRPWLGVEISNLYSSRLEILEQFMSKFPNISAGVVVMKVEEDSPAAHCSTIHAKDVIVACDGKLVRSKLDLFELLWDKVGDTVELNVVRPSNGNQLKVNVTVGESTPDRFYRWPLIHWTRFHTILPTRTSTLHDDIKWP >KZM86207 pep chromosome:ASM162521v1:7:631696:640896:-1 gene:DCAR_023341 transcript:KZM86207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSFHSHSSSPPKLPHSSEKYSTELRFSALRRHSPRLSPLKQSNYRRIFTTRTENNKLSISASLSDNGSPATSFDYDLVIIGAGVGGHGAALHAVEKGLRTAIIEGDVIGGTCVNRGCVPSKALLAVSGRMRELQNEHHLKALGLQVSAASYDRQGVADHANNLASKIRNNLTNSMKALGVDILTGVGTILGPQKVKFGGVGLSDNVITAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPEWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFATKITPARNGHPVIIELTDAKSKEPKDTLEVDAALIATGRAPFTKGLGLENINVATQRGFIPVDERMRVIDEKGNLVPHVYCIGDANGKLMLAHAASAQGISVVEQVSGKDHVLNHLSIPAACFTHPEISMVGLTEPQAKEKAEKEGFNISIAKTSFKANTKALAENEAEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKYAVHAHPTLSEVLDELFKSAKLSDEVSSSSARQPVAV >KZM89021 pep chromosome:ASM162521v1:7:33140136:33142440:-1 gene:DCAR_026096 transcript:KZM89021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKDFKHNVLSCLTRLSDRDTYSAAATELEQIAKALKHDNILPFVACITATDSSDKSLVRKQCVKLISIISQTHGNLISPHLAKILSSVIRRLCDPNSAVRSACIDAITSLASHVTKPPFSLIAKPIVDALVTAQEMNFQSGAALCLAAAINGSPDPEPNFLKRVMQRLEKLLRSESYKPKAALLSVFASVIGVNGALSQQGVRNLVSCLVEFVSSEDWGARKAAAEALEKLAVVERNLMIEFKVSCLKTFEARRFDKVKVVRESMNEMVKAWRELPDVLSEVSPTTKSQCSSNEFASDGQNPQSSKKSIDSTSGALQKKQNHSFVNDSPRTNDPSPVSASKTSLNKAAPAMFRKLDRVMPTNQKVNTATPVTVASVGSNWSEKVFDNPEEKKNKIDVPRARSHPDPVPFKDNVSESSVVARNETEDVYRTEKDGENLSVIQKQLLQIENQQSILLNILQGFIGTSRNGMRALESRVHGLELALDEISYELAAPSGRMSNTNSTPKICFKLPGTGFINSKIRRTISPLLVHNMADENCRSNAFRLKDRRFQQQGDCGFIINPLAEVHDYSRR >KZM87736 pep chromosome:ASM162521v1:7:19937227:19940774:-1 gene:DCAR_024837 transcript:KZM87736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFQVGGSRRDAALDAMRAFGFEDSLTRKTLNELLKVYGKDGWPFIETDCYKVLTEAILDTLDQKQLEQDHENLIDNKPQQDDDNLVQLLPDQDHFNKALPGLLIADRPHADETQDQDNVTPKINESDVACGDRVQELSVQVLQAEDFAVGRCPEGQKQLEQQHGNLIDNQPQQDNNNHIELQPDKDHFPEVLPDLLITDRPHIDESLDQDEIAPQIDELQVGCGDHVQGRLEKVLQADEVHFAVRKCSAHQAINPKPETCVADDIAKEGADMPRRKPCFGWISDEEEDDYIILKRSNQ >KZM89200 pep chromosome:ASM162521v1:7:34922019:34924064:-1 gene:DCAR_026275 transcript:KZM89200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLNHHIAPPFVFPSVNSTVLPEPSTFFSHHLTKSPLPTNSFFQNFVLKNGDQHEYIHPYIIKSSLSSLSICYPSLFLSTSFFYQVFILDLTFSILNNSNPNSTHVVSSYDDLSVTLDLPSSKLRFYLVRGSPFVTCEVLDKVEILISTIHAILDFYANSEKTKYTIKLNNNQTWVLYASSSIKLRHDGSRITSSAFSGIIRVAVVTNTENEVVLDRFSSCYPVSGRSSFKKPFSMRYKWEKRGWGDLLMLAHPLHIKLLKKSRKVTVLENFKYKSIDGDLVGVVGDFWVLKTEPVSVTWHSIKGVKEDSYCEIRNALKKDVEVLDTKTMGTNSSYFYGKLVARAARFALIAEEVCYPDVIPAIKKFLKDAIEPWLDGTFGGNGFLYDEKWGGIVTKQGSTDTGGDFGFGIYNDHHFHLGYYLYGIAVLAKIDPAWGRKYKPQAYTLMEDFMNFRKKKNSKYTRLRCFDLWKLHSWASGLTEFADGRNQESTSEAVNAYYAAALMGLAYGDAHLVATGSTILAMEIQAAQTWWHVRQGDELYPEEYTKENRILGILWANKRDSGLWFAPPEWKECRLGIQLLPLLPVSEVLFSDVKFAKELVEWTLPALAREDVGEGWKGFLYALEGIYDKHGALKNIKKLTGYDDGNSLTNLLWWIYSRGDGEEGSHGSFCSYNHICH >KZM87474 pep chromosome:ASM162521v1:7:16912222:16914554:1 gene:DCAR_024608 transcript:KZM87474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGEKYGFRQHIQKINVPVDDPNSLRLSKGWSKIRENLSSPFQGVTTGFSHGRMEESCSRPPKDTSSLALIALTYEDASDLESELREVSPAPGLDEDSLKSHVFCLQHAAEFKQKLDALGGMPTLLVCHPDYPKFLAETK >KZM88431 pep chromosome:ASM162521v1:7:27823227:27826333:1 gene:DCAR_025506 transcript:KZM88431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVLLHGTLHVTVYEVDKLHVEGGGGGGGGFFSKMRENIEETIGIGKGTAKIYATIDLEKARVGRTRMIEHEPTNPRWQESFHIYCAHHATNVIFTVKDDNPIGATLIGRAYVSVREFLDGEKIDKWVEIVDENKNPISEGSKIHVALQYFKITQDRSYARGIMSPKFPGVPYTFYAQRQGCRVSLYQDAHVPDNFVPKIPLAGGKCYEPHRCWEDVFDAITNAKHLIYITGWSVYTEITLIRDSKREKPGGDVKLGEILKKKANEGVRVLMLVWDDRTSVGQLKKDGLMATHDEETEQFFQGTDVHCVLCPRNPDDGGSMVQGLTISTMFTHHQKIVVVDSEMPDKGSHKRRIVSFVGGIDLCDGRYDSPYHSLFRTLDSAHHDDFHQPNYTGASIEKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDLLVNLRELDDVLIPPSPVTYPDDNESWNVQLFRSIDGGAAFGFPDTPEDAAKAGLVSGKDNIIDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFGWKSDDLKDEDVNALHLIPKELSLKIVSKIEAGERFAVYVVVPMWPEGIPESGSVQAILDWQKRTMEMMYKDIIQALRDKGMDDDPREYLTFYCLGNREAKKSGEYQPTEEPEADSNYLKAQQARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPHHLAARQPARGQVHGLRMSLWYEHLGMLDDTFQHPESVNCIRKVNQMADKYWDLFASENLEKDLPGHMLRYPVEVTSNGDIKEHQGMEYFPDTSARILGAKSDYMPPILTT >KZM88846 pep chromosome:ASM162521v1:7:31715073:31715366:-1 gene:DCAR_025921 transcript:KZM88846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRCHMLRSCHYAAARHSDHVPTSLVSLTKPLFLPSRLITATTTSHGYNHQTSNSTSPAPFFNSNSYTQTMLEQPNIFSNHCLPTSPFYLQPSCIS >KZM86420 pep chromosome:ASM162521v1:7:2659704:2661035:-1 gene:DCAR_023554 transcript:KZM86420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRIRQVFTSVREHTLVRYAKVATAGGFCDLDLIVVKATSPDDFPLHDKYVHQLLKIFSISPSSYHDFAISFARRFGRTQCWRVALKCLVLLHRLLRSLPDDNDFRDHLLWIRSNGYLSLNPCHFRDFSSSASEDYTLFIRSYACLLDEALECSYVGVELQFVDREEMCLVSEGDKMEVFDELLEMLLQVQSLIDRVMECKPSGIAPRNFVVQSAMKYIIRDSFICYSIFRRKIVVVLEHLTQVHYRNSITAFSIYKKAAIQANQLCEFFDWCKTLLLCGSYEFPLVDKIPQIQIKALETFLNGMWQITDQSSSSTSESSITSTLESPSSSNRDEKIMRLEFLGSKDCGNAKDNCTMTKIKKFEEMEPLIQFDDDYDQNSGWEALLEASINSLCMASERNVVFLPPDKYCQACGYGYATDINDPNGWQIQVYNPNVLNPFNY >KZM88149 pep chromosome:ASM162521v1:7:24971615:24975155:-1 gene:DCAR_025224 transcript:KZM88149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRPLRKIQHVSKQDLSARRIRIIMYNAEFFLWRGRGHGGDFGAWCWYKTIALLEESGFKVTAIDLTGSGINSFDTNNILNLSHYTKPLADFLENLNEVEKRNQAVRFSFGRCFAHYFAISMRIGTAIVFDWEKLKPRKAELMVPTVASGLICEEGLWILPSSILALAKIKPPICMTFLAS >KZM88649 pep chromosome:ASM162521v1:7:29948204:29948969:-1 gene:DCAR_025724 transcript:KZM88649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVFNFSLEPWEVPRQKEDYDHSWLDKEIEQEEDERMKKILLGVKETMGSLDNETIKNRIFEERKCLVEWEQYANDLNTTKGFEVGDYPYLSRKLFGSFITRYYCPPDTTITDYELDKLTGLARSAIDQYNSTHQTVFANVTVVKAMRSFSCGHWYYLTFQANVPHSPPRIFQAKVYEEPHWYFTNF >KZM89222 pep chromosome:ASM162521v1:7:35090147:35093940:1 gene:DCAR_026297 transcript:KZM89222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIITMTLFFRTEFKQDTTTDGGLYMGALFFGVVMLMFNGLTEVAMTIAKLPVFYKQRDLLFFPTWSYALPTWITKIPVTILEAAVWVVLTYYVIGFDPNVGRFFKQYLLLLLINQMASGLFRMIGALGRTMTLAMTFGGFSLLILFALGGFVLARGDVPDWWLWGYYSSPMMYGMNAMAVNEFLGHQWNKLTSNGDTVGVALLKSRGFFPYSYWYWIGAGALVGFILLLNLGYTVALKYLDPLGKPQAMIPVESTDELTESTAENNQNKKKGMVLPFEPHSITFDDIKYSVDMPQEMKEQGVSDDKLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSITVSGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPAEVDANKRKMFVNEVIELVELDNLKDALVGLPGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGMELYAGPVGRQSCELIAYFEGIQGISKIKDGYNPATWMLEVTAASQEMILGVDFTEIYKNSDLYRRNKALIKELSTPRPGSSDLSFPTQYSQSFFVQCWACLWKQRCSYWRNTSYTAVRFLFTTAIALIFGSMFWDLGSKMDSRQDLFNAMGSMYAACLFLGVQNAASVQPVVDVERTVFYRERAAGMYSALPYAFAQSMPVWWRWYSWVCPVAYTLYGLIASQFGDVEDKRLTDNNQTVKEFIEDYFGFEHDNVWAVGLAVVGFALLFTITFAYSIKTFNFQKR >KZM86398 pep chromosome:ASM162521v1:7:2461118:2462283:-1 gene:DCAR_023532 transcript:KZM86398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALQQHILTAPYGSWASPITSDLVLASGKTLGGFTLGSNANLLWLESRPSESGDIYKRTCVAGANSSLVESPTEPKWSFTGKDFICSLCTNSTY >KZM89091 pep chromosome:ASM162521v1:7:33800609:33803797:-1 gene:DCAR_026166 transcript:KZM89091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGFSGASLPSPGSDATKRRVTYFYEPTIGDYYYGQGHPMKPHRIRVAHNLIKHYNLHRKMEIICPFPAAPADIGKFHSEEYVDFLASVTPESSHDHEHARHLKSFKMGGDCPVFDGMFGFCQASAGGSIGAAVKMNLQEADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKRHRRVLYIDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDVGHGQGKNYALNVPLNDGIDDDSFQDLFRPVIRKVMEAYQPEAVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTMRNVARCWCYETAVAVGVEPDNKLPYNDYFEYFGPDYTLHVRPTPVENHNSPADLEKIRNLLLEQISRLTHTPSVQFQTAPPVTRVPEQAEERMDYRPKPRIWSGEDCESDAEA >KZM89272 pep chromosome:ASM162521v1:7:35562887:35564821:1 gene:DCAR_026347 transcript:KZM89272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIISDTFSGLEIAQQTPDSDSDLQALQQHIQTPPQKVDQHMYEIDLEETSDSEPPVTFKKFYREFKLGVPNVHSRATPLFEVASVRIDNNQFQEFSSVEIYGTIVLIDNHGNEFYIFDCKRRDDAKAHILDPRTPTSVILENFQPRGVPSKLCLLFQLKRRLPGAAAEEDIVIFDDYKHIDCTREVLYDKPQVYVFDSDKGRSIITVTCTIFQCALTAEVGIVVEKTGRGVPESGVAEITGRIVAVTRGLSDDLHARTILAVSCEQFKFGNYTPLSTLAVPAYSSVEFQGQLSVNGQDLSCDLTFEPCNESDIFHEKQICRRPYRIRVIVFWKHGATSLPEGDSAFSLRGKVKCYDCRGCLSIFDSDTGGVFTSTSSYNSDIVKLVPDLGRGFVGSHLGIVTELMDNQGREISFGSAKYDFHTIEVWRDKRICSVIRGQNGFAAVHYTIFSDAVLVKLSFEAMYNFKSGGASGCKVHGSINTWYSNFNYYTHYAKKYYRCTLFEKQECDALNLADGEKIPLSKSVIAVPVYGLLVVEAIVYAQNGKHSEKLKFKKTFSPAENQRVIAESRLGKSFGLFMDVEWVRDIPLI >KZM87799 pep chromosome:ASM162521v1:7:20605297:20605838:1 gene:DCAR_024900 transcript:KZM87799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNQSSSSKADRKTIEKNRRTHMKGLYTELGSLIPRQNHRLDQAKSLTDQLDEAEKYIKKLQGKLEKMKEKRERLMRDNPNARLYGGLATRAQIEIHESGDALVLNLVTDLECEFMFNETIRMCHERRVGYHQCKLFRHREFSIPHYTLQGT >KZM86461 pep chromosome:ASM162521v1:7:3027738:3031383:1 gene:DCAR_023595 transcript:KZM86461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGHVFHRDLKPKNILANADCKLKICDFGLARASFDDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAVDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLVTDLLGTPPPETIARKFPNADPLALRLLERLLAFDHQNRPSAEEALADPYFHGLANLDNEPSRQPISKLEFEFERMKLIKDDVRELIYREQADIGVSSTNVAGVPLWCRADKLHVSKVYPKCLIVHSPLPIRLNFVGTMTTFHCSGVDRFKQQFAHLEEPHVKGEKTTPVQRRYASLPRERICPHKDEDTDHNNDTEMRTTAAVARTTLLSPPRSQRIMDSETTNLEATEMQNGVCQPHCKRHGLLRSSSISASKCIEVAEKGCKDQQNEDQINGLSQKLATFYA >KZM88432 pep chromosome:ASM162521v1:7:27829123:27832523:1 gene:DCAR_025507 transcript:KZM88432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCHLQQSSGCLGCDNSRNLLSLLSKPSKGQKIQAQTVTKPNPSEDFWTSSTFDMDNSAVQSRGSISSISTSNQIVDGSGSGNGNLPSEFVNHGLLLWNQTRQQWVGNKKSEKNVEQLREPRLSWNATYESLLGRNKPFTQPVPLTEMIDFLVDIWEQDGMYD >KZM89081 pep chromosome:ASM162521v1:7:33678915:33682952:-1 gene:DCAR_026156 transcript:KZM89081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSEEETEINDFGLENYSEKCYQQLRDGNFEGSGFMRDAKEKGQHLGLVKYLQNLGRREVSERISPGVVNHSLNSDNNELFVYPWVGIVANIPVTFEEGKYVMANGEKLKSEFIAKGFNPTRVTPLWNYKSHICFAVVEFSEGWRGFHFAMTFEKSFEAAGKGKLGYLETKHWGTEVYGWIGREDDYYSEKAFGDFLRKFSFLRTINDIEAEEKRKSKDFMSDLSEVIAEKKMSLRAIEVQYNETLISIHKLITEKDKMFHAYNEDTNARIHNIVSEHQKMETELKLCEKELQKREARNDEERKKLALEKEMNERSALEQKKEHEKVCKLAEDHKREKEELRKRTVELQKHIDEKQELQLEIERMRESLSILKLMRSVKDDNFKKKINDTLKVLQQRDKEIEDVEALNRELVVQERKTNDKLQEAREELINFLKWKPTQWLGVKRIGELDVTLFFAACKKKYGGPDAEEKGAELCSLWADHLRDPNWHPFKIVALEGGYGHKEIINYEDEKLKKLEAEWEDQVQMAVITALKEINEYNPNGRYIIWEMWNYKEGRKATLGEGVSYLLELMKKRS >KZM87346 pep chromosome:ASM162521v1:7:14871855:14874179:-1 gene:DCAR_024480 transcript:KZM87346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMRKSNKGSDVSGVGGPPRFFGGVLTRAKTLALKKAGVVPAPVGDGGAYIQLRSRRLERPVLGVEQKKRRGPSEARARAEEKLGDGSGGEVGSGQLGGDLGSRGSGGGNALPFEANGREEREASFCSSPRNQNVVRTSGSVTRAVNSTEDNGIVLNPVLVPTPHEFEAFFSEAEESQRQQFIKKYNFDPLEEKPLPGRYEWVKVDP >KZM88140 pep chromosome:ASM162521v1:7:24883819:24887997:1 gene:DCAR_025215 transcript:KZM88140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCCKNLASSLITRRRFCSKSDEKIVASVLFERLPVIIPKIDPVVYAFQEFSFRWRQQFRRAYPDSFLNKTENRGQGDHNIDYVPAPRVTEADKTNDKKSLQRALDRRLYLLIYGHTYGAAGDEPVWHFPEKIYESEGTLRKCAESALKSVIGDLSNTYFVGNAPMGHMIMPHKENGKELYTLKRFIFKSQVVAANKYDIKKGKDFVWVTKSELLEYFPEQAGYLDKMIIGLL >KZM86542 pep chromosome:ASM162521v1:7:3996233:3996918:1 gene:DCAR_023676 transcript:KZM86542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYDIHGVDQNSSYENDGDDMFYAELERRILVLINTADESGDHANNSTRRINNYSSRRDQRYFDWTETENPPSSVPSTISNLWRSANVNGTGVFIPHMVKAKRRNKNRGRKVKTEREIYKARAVANKN >KZM86472 pep chromosome:ASM162521v1:7:3106384:3108671:-1 gene:DCAR_023606 transcript:KZM86472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSDKLVPENYYSVLGLCSDSSAQDIRRAYRKLAMQWHPDKWSKSPELCGVAKRKFQQIQEAYSVLSDSKKRKMYDAGLYDPDDEVDEGFADFVEEMASLIHKTRREERQYSMEELQSMFWDMAQSFEIPDLSTSSQEEASWFNNPQKQTFGYESSQWFCWQSPMDDTRTAKRPRAT >KZM86589 pep chromosome:ASM162521v1:7:4654882:4655421:1 gene:DCAR_023723 transcript:KZM86589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFMTSLDRDKASILYKTSSSSATLVTEDSGIRNILPNSKICGFEFDPCGYFMYAIEGLVVSTIHITPEHGFSYAKFRAVGYDPNSVSLDRLVVRVLNCFEPKELSIALQANFASKLLEKTSSVDVKGYCLEERTCEYLGMDGSIVYQKFVKNQSCESLRSVPKSCWKEEEKEEKEYE >KZM87147 pep chromosome:ASM162521v1:7:11597830:11601195:1 gene:DCAR_024281 transcript:KZM87147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKDGGGYKSVREIYADVRLIFKNAMIYNDERNDVHVMAKTLLGKVDEKWLQLLPKVDEEEKIQREEEAEAEIDMQLAQNATHAKIVKDLNIEIEEFDRNLEELRNQVLQKCRKMSTEEKKKLSAALTSLSPEDLDKALLIVSHDNPSFHATSQEVDLDIDALSESTLWKLKFFVKSAMQFPRKSSASMGGNNQQNNSNKRKICDALAMSTQKRSKK >KZM86406 pep chromosome:ASM162521v1:7:2549490:2550202:1 gene:DCAR_023540 transcript:KZM86406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLYMGFACEDDLEKSKRITPFRDDGVVVMSENETGNHDSEFGPIEHPVEPLDEDQPVKCPVLNSAVLNNGSKPDEQILENLQKRAEQQSRQEQRVIVPATEAPAQRTLRKRHHTQTSFEDYSSSPFLRMPPRDLTFFDVLQQVDKA >KZM86501 pep chromosome:ASM162521v1:7:3483609:3483887:1 gene:DCAR_023635 transcript:KZM86501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYRQNTMAEKYNYSSMGDTVGSNTSSVYNSCYNMTTQMGYHCQTNFAQNPNYSYNIGGSLRKADNVGVIKWAIIVKTPWPKKETFLQLEG >KZM87061 pep chromosome:ASM162521v1:7:10579613:10583574:-1 gene:DCAR_024195 transcript:KZM87061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHCLGTIDKISHFVTIMEFVPIGTILAVLTAEVIKTAQAAQDVAFEKESFKVLSKHLYDIEPVLKELQLKELNDSLAARKALEVLDVNVKRARSLVDKYTNRARLYLLLKCRFIVKEVQDVTRDIGKSLADLSLANTDILLGISNQVNRLHNEMQTAEFESSLSQRQIVDKLTQGLTDQRLDPNEILKDIAKAVGVPVDPSEISKELESFKKEKEEAEIRKERAEVFFFEQVIALLSQADAARDYEEVRKQYFQRLQVVERSYANVKCIEPYRSFECCITGCVMVDPVSLCTGTTCEREALEAYFSLGNKSDPETGELLDDCSYRSNLQLRQSIQEWKEQIFCHKIMNCKSDLLATDDLVLQALGHMQDLIRENSINRYWITIGGLTEITISVLGKTDNEDVKRKILVTLTNAIQGHTKNKDFLIENEGFEHVIACLESDSSISETAVQLLYELLMEGSCWNVSYCRKLSQKYGAINGLVKLRHHMVIESSEKAAEILMSLCEEDELNIIKIAEAGWYEPLAKRAIQGSESSRMMMVRALVSLKMDEENSKILVEEGLIPTLLQMASGNLESKELSLSLLVKLSSYHDNKGHIAAAGGIPIVISLISPVTRTSIIAKSSEVLENLASNGDGIKFFVDENGNNLELESVIINLLTFQQNPTLPHTTRKPALRAVLGLCRFDAGLVKMAVLKANGVSAVISLLDNSDLEVRETAIYLLFLFSQHESQGTVEYLLRPRRLEAFIKILENHEKGEVQMASAGVIANLPKSEILLTEKLIESDGLKAIIDILRSGSIEAKENALSALFRFTDPTNLESQKIVVELGAYPLLVDFLKEGSVTSKARAAALIGDLSMRSVELTVVSKGIGCWFFFPGRRNPRCPAHGGVCSVNKSFCLLAADALPHLVKLLQEEVHATAFEAIQTLSTLVSEESPQRGARVLHESGAISYMLAVLSWGSQSLKEEVLGLLEKVFMSKEMVEAYGLTARANIVRLTGRSIHEDGHLQRKAARVLLLIERNSSNSRPLSSSAVVNGIGE >KZM88419 pep chromosome:ASM162521v1:7:27761473:27770589:-1 gene:DCAR_025494 transcript:KZM88419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERINGGKSGDGNPNVFVLEHAQGRRNKNKIVTSVDWNGDGSLLATASYDGDARIWTLDGELKSTLRKHKNAVISIKWNKTSDYILTGSLDKTAIVWDVETNEVKQQFELHSGHVLDIDWRNNVSFAASTNKLIHVCKIGMNYPVKTFYGHQSEVNSIKWDPTGSLLASSSDDYTLKIWSLKQDNYIHDFTEHRKGVSTSRWSPTGQGTDNPNKQVLLASASFDSTVKLWDVEQGKLLSNFTGHRDAVYSIAFSPDGEYLASGCKDKSIHIWSVKTGKIVKSFKADGSIFEVCWNKEGDKVAACTNRKTVLVMDFRM >KZM87367 pep chromosome:ASM162521v1:7:15119788:15130822:1 gene:DCAR_024501 transcript:KZM87367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWKYSRLGNTEILGGLTTSRTIQLGRVTPQVPGNRTVYCNDREANALAKFKGNSISTTKYNLLTFLPKGLYEQFRRLANCYFLMISMLSFTPVSPVSPYTNVAPLSIVLIVSLIKEAFEDWKRLQNDKSINNSAIDMLQGQNWESVPWKKLQVGDIVRVKQDEFFPADLLFLASTNPDGVCYIETANLDGETNLKIRKALEKTWDFLTPDIASEFDGEVQCEQPNNSLYTFSGNLIIQKQTLPLSPNQILLRGCSLKNTEYIVASVIFTGPETKVMMNSMNVPSKRSTLEKKLDKVIIALFCLLFCMCLIGAIGSAVFVDDDYFYLNLKTKRQGELDQFNPNNRLKVAALGMFTLITLYSPIIPISLYVTVETIKFIQSSNFINNDLHMYHSATNTPALARTSNLNEELGQIEYIFSDKTGTLTRNLMEFFKCSIGGEMYGAGVTEIEMGGARRNGIILEEGEKSSNTIFDKGFNFDDARLMRGAWRNEPNSDACKEFFRCLAICHTVLPEGEETPERIRYQAASPDEAALVSAAKNFGFFFYRRTPTKIFVRESHVEKMGQIEDVSYEILNVLEFNSTRKRQSVICRYPDGRLVLYCKAITLLIYERLADGGDDLKKRTRDHMEQYGAAGLRTLCLAYRDLNQEMYDSWNEKFIQAKSSLKDREKKLDEVGELIEKNLILMGCSAIEDKLQEGVPTCIETLSRAGIKIWVLTGDKMETAINIAYACNLINNDMRQFVISSETDEIRETESKGDQVEIARCIRDTVKNELHKFHDEAKQYLQAATGPKLSLLIDGKCLMYALDPSLRSMLLNLSMNCSAVVCCRVSPLQKAQVTRLVRKGAQRITLSIGDGANDVGMIQAAHVGVGISGMEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRVCKVVSYFFYKNLTFTLTQFYYTFQTGFSGQRFYDDWFQTLYNVIFTSLPVIFVGLLDKDVSAFLSKKYPELYKEGIKNTFFRFRVLGIVAFFSVYQSVIVYRFVISSSTESVTSSGKMFGQWDVSTMAFTCVVITVNLRLLMTCNRITKWHQLSVGGSLLALFLFIFVYSFFFTYKGIFYTIFLLMSTFYFYLTLFLVPIAALLGEFLYQGVQRWFFPYDYQIVQEIHRHEPDNAGSALLEVGTKLTPEEARSFAFAQLPGQSSRHTGFAFDSPNYESFFASQHGVSAPHKAWDVIRRASMKHRKAPKHR >KZM88090 pep chromosome:ASM162521v1:7:24279568:24281435:1 gene:DCAR_025165 transcript:KZM88090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTSSTLSNSTSSTHRPIKLKLDDIPESCVALVLSYLDPPEICQLARLNRAFRAASSSDFIWDDKLPGNYKYLVDRFLDVGSAHLLKKDIYARLTKPISFDKGTKQTWVDKRTGGVCLSISAKAMSITGIDDRRYWNHIPTEESRFHTIAYLQQIWWLEVDGDIDFEFPTGTYSLLFRLQLGKITKRLGRRVCNPGNIHGWDIKPVQFQLTTLDGQHAVSRCFLDNLGNWAYYHAGDFVVEKPNALTNIKFSLTQIDCTHTKGGLCVDSVLICPSSLGKNLRCTV >KZM86424 pep chromosome:ASM162521v1:7:2696769:2699195:1 gene:DCAR_023558 transcript:KZM86424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEDEIMEEGFGVDSGNKLKGTGESAGANHDDIKQVLSGYYAVSFPHHPKIKIMIEETLESIVHFFSEHFHDNQVSCSEDSIDYKVLRGGSVTLAAVCQVHPQLPLDKAVIKHKCIDSLVGVIKNHKLAVVKEEATWAILNVIRGASINQIQ >KZM86771 pep chromosome:ASM162521v1:7:6704746:6713820:1 gene:DCAR_023905 transcript:KZM86771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKITVTSLLLGILIHVIVVYADVKNLNIWPMPSSVSHGYNTLYIAKDFKLRTYQSKYADASGILKDGFTKLVDIIKGAHVIDYNYPGSSSSAILQGIHVIVASPSDELQYGVDESYNLDVPMQGKAAYALLKAQTIYGALHGLQTFSQLCNFNISTRAIEVRQVPWTISDQPRFSYRGLLIDTSRHYLSLPVIKKVIDSMAYAKLNVLHWHIVDTQSFPLEIPSYPKLWNGAYSLEERYTMADAAEIVRYAQRQGINVLSELDIPGHAQSWGVGYPSLWPSDKCMEPLDVSNEFTFKLIEGVLSDFSKIFKYKFVHLGGDEVDTTCWEKTPHVSEWLKKKNMNGTDAYEYFVLRAQKIAMSLGYEVVNWEETFNHFGNKLDRKTVVHNWLGGGVAERVVAAGLRCIVSNQGKWYLDHLDSTWQDFYMYEPLTNITSPAKQKLVIGGEVCMWGEHIDASDIEQTIWPRAAAAAERLWTSSNQLAKDSQDVYPRLSHFRCLLNQRGVAASPVNGYGRNVPSGPGSCYQQ >KZM87415 pep chromosome:ASM162521v1:7:16139729:16145542:-1 gene:DCAR_024549 transcript:KZM87415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSPAAPILHGSPSAVTYPQAYTVKELYDKILKSVNEQRTAPPNAWLWSLIENCANVEDIKLLFDVLENLRRFRLSNLRIHENFNENLCREVTKACVRVGAIDFGKKALWKHNVYGLSPSVGSAHHILMHAKENNDANLMVEVMKLLKRNDVQLQAGTADIVFSICYNTDKWDLISKYSKRFVRAGVKLRETSFDVWMEFSAQRGDIESLWYIEKLRSDTMKRHSLSTGFSFAKGCLLERKPEIAAATIHVLSQTLSEAKKPGIIVELQKLVSDWTLKVIKCQKEEDRKDLAAALQADIPAMVNGLVELGLDVNVSTEDLLAKDLLC >KZM87475 pep chromosome:ASM162521v1:7:16917281:16917529:-1 gene:DCAR_024609 transcript:KZM87475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSKRNAKFITFYQVMHSMYADQTTMTGITDGPMGLPWKAGSKAHNCKKLYHRANRQKPKENIATSKFSYFKSISKPTPTL >KZM86658 pep chromosome:ASM162521v1:7:5370871:5371209:1 gene:DCAR_023792 transcript:KZM86658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPKIFKSLKKSKTLRPQQNPQKSPIHNIQDFYPDGYNLKYDIPALQQQQQQMVDGLSAESTFRPRRQKSFSNAHYVDGRYSSEEARDSSKQIVRFTSHRRMFSCITGA >KZM88987 pep chromosome:ASM162521v1:7:32835080:32837016:1 gene:DCAR_026062 transcript:KZM88987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTELFDLEKHFAFYGAYHSNPVNIYLHMLFVWPTVFTTLVILSFTPPIFSSFSEVDLFGQHLVLNVGFLLTLTFAIFYVCLDKKAGCLGAFLFFACWVCGSSVAQYLGFSVAWKVVLAAQLFCGTGQFIGHGVFEALHMFFHYEPYPGFHATVKAKVEAEIKMWQDKKQKKIT >KZM88506 pep chromosome:ASM162521v1:7:28416718:28417845:1 gene:DCAR_025581 transcript:KZM88506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRKNSTRERRGSIPVYLNVYDLTSYNGYAYWLGLGAYHSGVQVHGIEYAFGAHEYPSTGIFEGEPKQCEGFTFRKSILVGWTEKSSKEVRKVMEELSKVYKGNAYNLITKNCNHFCNEACIKLTGNSIPRWVNRLARIGFLCNCMIPVSLNTTKVGHDKMENKGVGEGEKKKLRSRSKRQTQTTSSNSSSSTSSPPFVLRSPPPSS >KZM86979 pep chromosome:ASM162521v1:7:9345209:9347775:-1 gene:DCAR_024113 transcript:KZM86979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCEHPCFIHVELRRCPENCNHNKQPFLLDILVPKQKDALSCGYFVLLYAILFLQSCPDTFSATKGYPYFIKKSWFSDDTIESFRDPLKKLFSELVVNVPLVPGNNPTLENKITTLIRSYWSSLGTGRRFADNGEKHNSCGVEEITKMPNNCKRSRAISKEIGESIKISKESMERQKILRGSLEDCEFPKSTEFKMPNNYKQSGAIIKENGESINISKESKESQKVLRGSLEECEFPNSTEFKELRKDLDYYQYLQRQLVVHVVAASKNKETKETNSPAQHFMKRFIQMNQDYNNIIGFLVDKNK >KZM86924 pep chromosome:ASM162521v1:7:8453806:8456406:1 gene:DCAR_024058 transcript:KZM86924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYCEHNDIIPRVYAIQTQIYFCIGNVTPTQMLVLPLMIQETENKLNFQHNVTLTVSLSVGTPQQNVTMVLDTGSELSWLQCNTTGSGRPVFDPTQSSTYLPINCSSPACTTQTRDFPIPTSCDSNKHCHATLSYADATSSEGNLASDTFNIGASGMPGTIFGCMDSGSTSNSGEDNKTTGLIGMNRGPLSFVSQMNFSKFSYCIPGSDHLGVLVFSDANSTWLKSLNYTPLVQIPNSLPYFDRSAYTVQLRGFKVSEEIIALPNSILKPDHTGAGQTMIDSGTQFTFLLGPAYSAVKNEFLNQTKGNLRELNDPDYAFQGAMDLCYLVPLNQTNLPVLPSITIMFDGAEMNISGDKLLYPVPGEVRDNCSVYCFTFGNSDLLGVEAYIIGHHHQQNLWMEFDLENSRVGIAPIECDIASQRIRS >KZM86810 pep chromosome:ASM162521v1:7:7276825:7281626:1 gene:DCAR_023944 transcript:KZM86810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDRSVNLGGGLLPPSGRFSPFRPSGSALNVKTEPSGSTAVPQLRPDSLAEAGRFGHGLSSDTNHFSYDISQMPDNPPKNRGHRRAHSEILTLPDDLSFDSDLGVVGALDGPSYSDETEEDLFSMYLDMDKFNASATSSFQVGEQSSASGQPSILAPASGQAAPSTDNMVFASTDRPRIRHQHSQSMDGSTTIKQEMLMSGTEDPSSIEAKKSMSAAKLAELALVDPKRAKSFYITILHPCLLTCNLRGIILAWQRNRIWANRQSAARSKERKMRYIAELERKVQALQTEATTLAAQLTMLQRDTNGLTAENSELKLRLQTTEQQVHLQDALNEALKEEIQHLKLLTGQNIANGGSMVNFPPYGASQQYYPTNQAMQSMLTAQQFQQLQLHSQKQQNQFQQQQLLQQFQQQQHQYREQHLSQGGDMKMKGATSSGNLGESSQDISMSKE >KZM88701 pep chromosome:ASM162521v1:7:30507517:30511421:1 gene:DCAR_025776 transcript:KZM88701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKGRSVLSVRWSLGLPTVCLLCFFFFVAGLFASMFLSHQELSAGLRPKPRFLESKVEEIQFNMLPRGETGEDSLTQIPFQVLSWKPRALYFPGFAAPEHCETIIKIAKARLAPSSLALRKGETAESTKGTRTSSGTFMSASEDKTGTLDIIEGKIARATMIPRTHGEAFNILRYEIGQKYNSHYDAFHPAEYGPQKSQRIASFLLYLSDVEEGGETMFPFENGLNVDNYDFEKCIGLKVKPQKGDGLLFYSVFPNGTIDMTSLHGSCPVVTGQKWVATKWLRDQEQDD >KZM86500 pep chromosome:ASM162521v1:7:3479908:3482825:1 gene:DCAR_023634 transcript:KZM86500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPGTGIDIIFNLFSNQDVGSDLLSPIVTTATHAEEALSMLSNNSYDLVRAEVHMRHMNGLQLLEHINRDFSLSVILMSADETDMYMALKNRAQYFLLKPILADDLSSVWQYCELWRSKRNNIVPRVTQITGTSQAMVRSRNRNDNSNNNVGGMSICDAENNAGDHRNGAVEPFLEIFWK >KZM87206 pep chromosome:ASM162521v1:7:12456534:12457040:-1 gene:DCAR_024340 transcript:KZM87206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQKPHLLFLLITLLTLTTHSISTSPPTVYELLPKFGLPSGLLPDNVKSYSLSDDGSFVVELEKTCYIDFDYTVYYDKKITGTLKYGSISNLDGIQVKRFFLWLGVDEIKVDLPPSDNIYFQVGLINKKLDVGQFETIHACGDKVNSAGSDHQSWKRVLQVCVLLD >KZM87391 pep chromosome:ASM162521v1:7:15437818:15439043:-1 gene:DCAR_024525 transcript:KZM87391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRVVSDDNLKTCTWAEVPDWYWLATLEDHAEVPIIEVPAVPEGSEVPAYGANSKNFTIKLHHGGEFDEKFEKYNDGELKYFDMCSPVDFKLFDLQSMCEEIGMGEGCYNLWFSIPHQPLSAEMLLPLDSEKDVGVMVDLLIYSNCMEVYTSAKDQGEDDMFDFSFTQLAEDEREWRVGDMYDELEEKQMDMDVGEAANEEVQDDVSFHGDSSNLDSTESEVEPSPKKKKRRIPPPNPPFRLRKKGRYSMLRVRLHF >KZM87205 pep chromosome:ASM162521v1:7:12449377:12451592:-1 gene:DCAR_024339 transcript:KZM87205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGVALLLILGSVCSGIVWSPEVSQNNQNSNIIIKEGHSVVVVEYVGEGKEGKTKVSIYPKDYYDGLEKVEEAKEFVSENVGKVKNIVVEGRGKVMDGVDKVKEVVGEGSSKVKDKVKEVAGEGSSKVKDGAHEVKEFVDERSSRVKDEVHKIKEAVGERSSKVKDGAHKVKELVGEGSEKVKDAAHKVIESDTMETPRRALEDIKSNLSNLADIDRHDIEEVVCDAFGKCKQGLEKVEGATKVGVTKGVGKMKEVVADGSSKVKDVTEKVKDSGAMDTPKRGVEDIQRNVSMIGDTIEAPRMNSLMGVVQLLGFSISFGMSMWMTFVSCYILGDALPREQFGMLQSKLYPIYYKIQAYSTGVALMAHLFSRGKKIVSSSAEIFYSINLVMSLLMSLGNFLYLEPKATQIMSERFKVEKEEGREGVTSHPRSGIADDAGDPVTATTEQQGEVRAETHTARLSEKLQMINRISSYANIAALISLSMHMVYLGLHIQVLKMLGDNKLYLNINFFLCIK >KZM86609 pep chromosome:ASM162521v1:7:4878459:4878749:-1 gene:DCAR_023743 transcript:KZM86609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQMVKDYETRVIQWVDKVFPPGTRADALKHWAQVGAPFLVAWLVLLLLMFCCKCCGRGRSERTMRAPGRNYRMPRREFEGNPGSYFRDLRRRNR >KZM88931 pep chromosome:ASM162521v1:7:32399128:32402366:-1 gene:DCAR_026006 transcript:KZM88931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSVPCPKICALAANLQLGQSKPNHSSVAFRPDSVSNRTFLSGSSLHSSSSILSLQASNRNKHDVLRVSDQLNAVSIEKPSKSVVVPETEPEKSTKKSSFQDAIPDASAITAFMDQVADLIELVDSRDIMELQLKQENCEVLIRKKEALPQPPAAPYVMMQSPSHQIVAPPQSPPAQAPQSSAKQSASPPAASAPPAAAPKSSHPPMKCPMAGTFYRCPSPGSPPFVQVGDKVQKGQAEQTGTIVDILVEDGKPVSVDLPLFVIEP >KZM88572 pep chromosome:ASM162521v1:7:29306567:29310225:1 gene:DCAR_025647 transcript:KZM88572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLKSQSSHSPSMRQLRCKFTRIVCNHDQLKIAFHQLKSQIESGLKEAEDVFRSLAIPLSKLVGLKTVEMAEEGRYSNFFMTSELQTQNCWGNNGRTDSSEGSPSATDRVHQTHKFEEDFTTRATTAGKDLMQKQKFQLTQLVYLLKKIEAQVNSSQNEVSQNISDHQVSMQKYFEKAIRYVSTFYQSGQNYEAFLVTIQILKATFSRVHNVLGSVEGDVDNMMNKLATLMCNPMIEYVKGLKAEITSGTFPKLLTIVEEMVEANKDRRLELEEARMKLKGAEEWKIEALGRLQESEGRARKMKVQLGLLLESEKRSLNLERNTGKEFLCLREDQTKDEKLLWELLKKKRKYQPPDSPFAQTGFLCTGTSNTQRKLTNGRSLIHCKPIKRSCVQGLNPQTPRLDYLIPLGSTPSTTTHNCFKMRKRITP >KZM88685 pep chromosome:ASM162521v1:7:30382788:30383678:1 gene:DCAR_025760 transcript:KZM88685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIKGPWSPEEDDMLQQLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDETIIRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCCSMSADEAEDFPDPRPLKRSVSDGSGLPGSGLYLNPPSPDGSDVSESSLHVTASTCHVFKPTPGRTCGLFPAQIETTSVGKNDPPTSLSLSLPGISSSPTESTQLPPNSVPVIPAPAATVNPIPVTQQPPAVSAPIVNAEFLAVMQEMIRTEVRNYMSGMSIQEMNNNNNNSVEGFRNALVKRIGISKID >KZM88498 pep chromosome:ASM162521v1:7:28366781:28369730:1 gene:DCAR_025573 transcript:KZM88498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKINVDAAIGGSKEGXRGFDAPASIVISNTGVIMQHNAISLFQAYGAAGYPFSKQKIEHLISQDDRARYYPSLTALLASPQRDYLINNKGHKVNISELEDKTVILYLYESGCTKALTARLKDAYKVLVEEEKMKLEVVLVYIYDSWNTLGRTNEKSFMQEFGTMPCEELMKFSYRGYPFTRKRGLHLQVEAIKKVNLSMLWDPEPVFIRGCGSEVPCSDIVSKGVIVFCDAVQNELYIRFKIKLQEVYQKKRGQSDEFEVIHIGSKKGQEVPWLRLHPDYPYKDSTVRKILHDIFHYGYGILVFDHDGKVVRATSNPHMEREDEFPFHYGRFETEIGFDLIERFHWDFWD >KZM88525 pep chromosome:ASM162521v1:7:28836137:28839239:-1 gene:DCAR_025600 transcript:KZM88525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKGYRMELNKFDSIQEFTTTRFDWKCRLRLQSLWRASDMKTKEFWGLNMVFIDDSSHRIHAFASAKYCKELINEMHEGRIYVLTNFKVKDYLGDETYRAVRNQKHIYFTTHTKIEKDVDFCLPIERNAFDLFYMGELENLAKDNRFLVDVVGEIRNVRANIKSTKNNSEKILTKFDLFDGTAHLLHTVGVTLFDNFGTEFEQTLRGCKEQQVFVIISAAKIGISEDRPNLTNYSATRIFINPGHYSVASPPVEEIVFPTLTVKEIQSLAPDSGECKVTCKVRVTKVQEEASWFYATCTKCPKEIVKDKGVFNCVDCKRIIPFPDKRFRICTLCSDNTGTIAIIFLDEELYNITVNVTDDNLKKGSRVYEAHQIVDKIESGGSFDPSSVIDSEMANAGTVDLEDDNINTPHTGISSTKTRPRVDIEPLPFETKEESPAKVPKKEKQKKVLK >KZM86582 pep chromosome:ASM162521v1:7:4623804:4624951:-1 gene:DCAR_023716 transcript:KZM86582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRLLSHYRNNPEFLSLPLQGPNSGYLVIQDEESEIYSCFGLCKNRILIDLPFPQNKILTTIHSSGAGEHRHTSYQDATFVPVLNQPLSSKRYYVIETHGKRKGMAYACSKEEDMTTCCFCRCVKDVKPRPFDPQDVYQQFEIANCDSACSQRGTFYTKSVTQDAFPPGFLRTKGWQISTKTPKNYTLGEALGIDSALRAHLPSLNFPLSHKSSKAVVVGKWYCPFIFIKDGTSTPRDQMANSMFYEMTLEQRWEKIFEFDYTDHGNVVMVDAVVPRESVLVGGREAVWNKKNVVGNTIWFKSFGSQGEDASVGLSLEIVERMKWEEERVGWAGGDEREVRVNRGEEFRGDAEG >KZM88295 pep chromosome:ASM162521v1:7:26567946:26569067:1 gene:DCAR_025370 transcript:KZM88295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHHPPQRAGDTYHVVALTSSTLGSLKIDTPFLQTHQNDEKMETFDEFDDKKIRNEEFSIGMLEAKSWSKMIDEKIPKVVPRTPVRTPPGEPETIDAMELMQGIEELSPVHRNYRSFSFSVKSDLIQENCKDSCRPLWMDVGKNDLNSDSFVSDFDADIITQFRKSLEDLPPDNAFHLRPVVGLVADSKNLSGGYKDEVFGKEKVVLYFTSLRGVRKTYEDCCHVRVILKGLNVKVDERDVSMHSGFKEELKELLGTNVGGGLPKVFVGKKYIGGAEEVRRLNEDGQLEKVLAGCEKVDDGGDGRINGGALCEGCGDVRFVPCETCSGSCKIYYEAEYYEDDEEENDEAQFGFQRCPDCNENGLIRCPLCCN >KZM86379 pep chromosome:ASM162521v1:7:2160080:2160331:-1 gene:DCAR_023513 transcript:KZM86379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRKNNIFKKNSAPESREIPTIHSPEINVRRELNPRKLFEDEPQGSFDGDSAFSNPTYCRGRKKSTANGTNSFGKNNLDMEN >KZM87244 pep chromosome:ASM162521v1:7:13042926:13043615:1 gene:DCAR_024378 transcript:KZM87244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAESDSSAAGRKRRVTALDEFLLNRVSKMQHKGYVSSPEPHSAHSDVPSTPRTALAAIDTNTCMNKTVIETTFAGKDVAPDVPSEVSCLAPKSINIAFHQEKENRISSVRTEHRSVWTVLRVQTEPNHNYRFSKTSIRNQPVK >KZM89049 pep chromosome:ASM162521v1:7:33348400:33350943:1 gene:DCAR_026124 transcript:KZM89049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHMMYTLGTGQALSRVSNGRFSPHHCIIYSINAFFGPDMGSFSLWLTSTLGFGAAYGSAIEDFIHHPFYYFVFLGYPLCLFYSWVSRFLLHKGFLDSISGAPLTKRQCFLLIAAGSLSHFFLDHLFEENGHSSVYTWVLSTGWWTSRAPVNPDAVVVVSFLCTLLIVGFIYINRVKSLKQFTKQSNQSVKLTIIVASLYGIWCWSQIYFVDPRRAAVGEEADLGVLVFLGIYFFLPHWLCIMSMNETNIIDVEKQLPR >KZM88099 pep chromosome:ASM162521v1:7:24441469:24442388:-1 gene:DCAR_025174 transcript:KZM88099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHQSDSSDTVTHVSDSLSPSEEALSESWFRSWSTPSDNEMLDIIDRLPLDDPMEEEIEPREWVASGEMEALDCPSYANGFFIDAPVVEEEDFNLYPMEEEIEPREWVASGEMEAKAGRCPPPYFIHIRLLGEDALDRDKRFVLRPWDGGELVNVERIAEINNLRPRETRFQKDQFRIAYVKGFVHNLQTDNLDDKPNPFLLAKFRLYDGSESIQVSLGDDTKDHPMITTGEVREGSVLVLYEATCFISMDETPHHKLSIGHSNILGIFN >KZM89282 pep chromosome:ASM162521v1:7:35643643:35647974:1 gene:DCAR_026357 transcript:KZM89282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGTQVASSHYIHQSLSKHFLDSQHHPMAAAKKRSMPFHPLGFHLPQSQQQQPMFGSGFQQSRGQWHPNGWDWDSAKFLAKPVESDVIRGGPSTMSVQSVMQRSHGDEIIAGNSVDLRGNHVVEDDENLLLELRGSRVNFSEENVLRPNKRVRSGSPAGGNYPKCQVDDCKEDLSTAKDYHRRHKVCEVHSKATKAIVGKQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRGRRKTQPDDVTSKFLPPTNRENVSNGDVDLVNLLAILARAQGNTEDSSINGSSIPNKDQFIQILQKINSLPLPADFAAKLPPLGVTSNIAPFQSESENKLNGNNSPSSTLDLLAVLSGNQAGSSPDATAVPSQRSSHGSDSEKTRSPCTNMQTRHQNEFVSVGERSSTSYQSPTECSDGQVQEIRTNLPLQLFSSSPESDSPPKLASSTKYFSSGSSNPMEERSPSSSPPFVQKLFPTVSSREAVNPKRLLNRLEFNGSSKAGKDKGCSTSLDLFGGTNKCVDDSSVQSLPYQAGYTSSSGSDHSPSSLNSDAQNRSGRIYFKLIDRDPSQLPGKLRTQIFNWLGQSPSEMESYIRPGCVVLSIYISMPSSAWEQLEENLLQNITSLVQDSEDPFWRKGRFLVNTGRQLASYQDGKAHLRKATRAWSFPEVVSVSPLAVVGGQETTILLKGRNLSDQYTKVFCTHAVGYKLEETSGSASDDTTYDSITLRNFTVADEAPGILGRCFIEVENGFRGTSFPIIIANATICKELNLLESAFDKVAAPRDAISEESFLDSGRPISREDVLHFLNELGWVLQRKRYMSMFEVPEYKLHRFKFLFIFSVEHDFCALVKTLLDVLLEICLGRDELSRESLQMLLEIHLLNRAVKMRSRKMVDLLINYFVPTDSGKTYIFLPNLAGPGGITPLHLAACMSDANSMVDTLTTDPLEIGLHGWDSLLDANGLSPCAYAQMRNNHSYNALVARKLVDRKNGQVSVPVGDEIQEQSLIAGQIHQASFQIRQGQRSCSKCAVGAARYNRKTSASQGLLHRPYIHSMLAIAAVCVCVCLFLRGAPDIGLVAPFKWENLGYGAV >KZM88642 pep chromosome:ASM162521v1:7:29879247:29883626:1 gene:DCAR_025717 transcript:KZM88642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFISKPHPTPVNAPISSNPTRKGLLKASWARWQGSVRPVDVDRGSGLNKNFGFLKNFECKYEIVDEVWRGNFSYTCRAQCKKGKFKGLDVAVKIIPKAKMTTAIAISDVRREVKILRALTGHHNTVEFHEAYEDHTNVYVVMELCEGGALLDRILSRGGKYTEDDAKAVLIQILNVVAFCHLQGVVHLDLKLEDEDPQLKVIDFRLSDYVKPDEMSNAIAGTPYYMAPEVLHGSHNNEADVWSIGVISYILLCGSRPFWARTLSGIFEAVLKADLSFDEPSWTSVSSEAKDFVKRLLNKDPRKRMSAAQALCHSWIKNSHDIKFPLDILVLKLMRVYMRSSPLRKAALWTLMRNSTDAMKDSRVHDLLVQFNALQFRWMNFEEFCAAALNVHQLMALDQWEQQARCAYELFEKDGNRAIMIEELAYELGLGPSIPAPAVLNDFIRHTYGKLSFAG >KZM89207 pep chromosome:ASM162521v1:7:34982096:34982732:1 gene:DCAR_026282 transcript:KZM89207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKIISSWWCKASLYVHEPAIRRAYEVLRTHPHVRVVHVSSTSLSMRLLAASWIVLASTLLIAEVIIPRRNKIAHEDEFWASVLENDTVGGESVSRGEKEFKKNQYFS >KZM87788 pep chromosome:ASM162521v1:7:20472339:20475352:1 gene:DCAR_024889 transcript:KZM87788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRYSNGNSASSNLLPMNKADIADSARNCFEVEIHNNAKAVPGAKLDLCSKREHTGLGPKSKRLRIETEELMELKLTWEQAQGLFRRPPNDVPKVVVIEGCEIEEYEEPPIIGMPTIVATDDVGESVQWAQCGNCSKWRKVPGYAIVPSGWTCSGNLWDPKRSLCSVDQELTSEELQDLLPAAGAKKLKTDKENPDSLEALEGLDALANLAIMEDGDVDASSSQFTTKHQFTTKHPRHRPGCSCIVCIQPASGKGQKHKPTCVCNVCSTVKRRFKTLLLRRQTKQSEKEAETAQQKLQQSEQSGDEEVQMFCETRNGSPSHNLVMNKGFSHDQSKKKSSNSHFEGQIDLNIHPERDGDLSSLLNSVGITRTLQDATQQKISSLGGNSTNSQPHLSGVVGNYSNGVLHDGSRH >KZM88617 pep chromosome:ASM162521v1:7:29748371:29749525:1 gene:DCAR_025692 transcript:KZM88617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKGEKHFVLVHGACHGAWCWYKLATLLRSAGHKVTVPDLAASGANPKKVQEVNSFSVYLEPLMEFMASLLADEKVVLVGHSMGGVALSVAMERFPEKVSVAVFTTAFMLNPDLNLLTISEKVEKNIDSNMDSQVMFDDGLDKRPTSFLFGPKMLESKDLTLASMLVRPHPTNTDPNSLEETKVTKERFGSVRRVYVVADQDIILPEGIQRWMIELNPPDEVKVINGSDHMIMLCKPTELCSCLEEITQKYC >KZM86799 pep chromosome:ASM162521v1:7:7069547:7073278:-1 gene:DCAR_023933 transcript:KZM86799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYELQNHINEEFRLPVICWSKIRENLSSPFQGLTTQDSVMNLLKWESIISSADCGNIRGSGVVSVVAASNWWSRSPGYANNVVLDSGLESSQELKSRLQRTPGLVGEKPEAKSALMKQTSSIEEQKQLLGSRLQLEKGPENQR >KZM86627 pep chromosome:ASM162521v1:7:5058993:5062278:1 gene:DCAR_023761 transcript:KZM86627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNPKRHPPPPHKILNLPTAKTRRKKSRNAAMKPNRNDYYKGKLESLFDQEREFSRTSPDVVVANNTTGVAAEKWRFQVEMLRAECNFLRMEREFALKKLERNRVKMERTLHSAVNALVTGRKKIYEGENVGVVLQEEIEELSEKLEEIQRSYGVGDYEAQKCSNFDRKTAILQKKLEKLGGLSDEKCTQQTPEMAETSMLSIDTSHESNPNFSEVEVLRMKMEGVSKGMLDRMEEEYGSMLSTTGNSSVASSSASTSMRIDHLDSSSFSTRQQHQAKFLTGPLWFITLSSYFVFTLGKVQFERVSLEEKICSGRCKDVVRRIVEQVRAETEQWSQMQDMLAKVRSEMEELQNSRDFWEGQALTSDNEIHSLQASVEEWRGKALESETKTKELETEVSALRKELEKTKTEAKRVTVIPEDLESSPLTKKLEKEKHKLVCHMKQMDVAPISLGRQLEKEKRIYFRKVKEKENDNLHRLVNQKGGGGGGGGKENTSSNLQTEFVAHRRTAFRDIGNVKHYF >KZM87659 pep chromosome:ASM162521v1:7:18953918:18955997:-1 gene:DCAR_024760 transcript:KZM87659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESSNSLQSIRYKRGRLQLLDQRRLPLETLYLDIHGATDGWEAIRDMVVRGAPAIAIAAALSLAVEVSALDSFNGSAADAASFLSNKLDYLVSSRPTAVNLSDAATKLKEIVMKAASSASEAKAVFQAYIEASEVMLEDDVASNKAIGSYGASFIVQHLKDSKKVSVLTHCNTGSLATAGYGTALGVIRSLHADGLLESAYCTETRPFNQNLSLMQIGIHVQPLFSF >KZM86558 pep chromosome:ASM162521v1:7:4207301:4208434:1 gene:DCAR_023692 transcript:KZM86558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTCKDFKVGKCEGEKMVDGETLPLVLQPSDLNKKDMKTLLLSLENNKEWFEKMLIKNSAVLIRGFDVENAVEFNDIVETFGWEDIRYVGPAPRTHIHKRIWTANEGPLSEFIYYHHEMVLIKEYPKKLMLFCEIPPQEGGETPFVPSFRVAERMLEEFPEVVEEMEKKGLRYTFTALSSDNTGSMRGRGWEDAFATNDRAIAEERAKALGMDMEWLPNGGVKTILCPHRPTQVFEGRKGRRMWFNTIVGMHGKELSSATMADGSEIPEHVVKRCGEIIEEESIQFKWQKGDVLFLDNLATLHGRRPSSAPRRVLVATCK >KZM88470 pep chromosome:ASM162521v1:7:28173310:28173967:-1 gene:DCAR_025545 transcript:KZM88470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAGENGTSKLVNKEKEWMSMVAEQIITSSSFISNYRKRRPPAPPSPRSAPPRQPGISDYKPQSSSLLKFWNIISGMIRKATQPPPPAPSTTAPRSGMSNYRKGLPPPPPPPHPSPTIGHIILATPLKPEMSDYGKVARSPPPAPFTSPAKVDAESTIDVIFGRLLKPPPPRDIWIADC >KZM86581 pep chromosome:ASM162521v1:7:4614755:4621836:-1 gene:DCAR_023715 transcript:KZM86581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTAVSSLSVSSSLVLLCRPEEIILKSLLAGLYKPVSHFRSHPESLSLPPEGPNSGYLVIQDIEPEEIVSCFGLSKDKGDIHLPFPQNIKLTTAYYTGEDTFYDNLAFFPVLNQPLSRNLYYVIESHKKRKGKAYACSKEEDVTTCCFCSQVHDVEPRTLDPDDIYQQFEIVDDHHEGSFYAKSVAHNGIPPYLLRKEGWTVQYETSKEYVIDGMWTPSDQMTNSIIYEMILEQRWEQIFERDNVDHGNVVMVDAVVLREVVSVGGREAVWYEKNAVDYKTIWFASLGREEVSVGLRIEIFERMKWEEERVGWVGNEERVVRVNRVVEFAGGAQGWRKLRCYVLVERNNPESLSLPPEGPNLGYLVIRDEEPTTYSCFGLCKRGYPVTLPFSQNKNLTTMFISGGEYSQIYYNDVSFIPVLNQSLSSNRYYVIERDGKDKGMAYACSTEEDKVTCCFCRHVQDVKPRPFDPHDTFQHFEIANFISGSNFVAKSVVPNAFPPHFLRRKGWNVHIKTPKNYVLDGTSTSRDQMENSMFYEMTLEQRWDQIFERDNIDDGKVAMVDAVVQTEIVLVGGREAVWNKMNVVDNTVRFKSFRSQGEKEVSVGLSLEIVERMKWEEERVGWVGGDEGKVRVNRVEEFGGGAEGWKKFGCYVLVESFVLKRMDGSLVMTCDFKHCHQIKCLWY >KZM86739 pep chromosome:ASM162521v1:7:6339705:6349450:1 gene:DCAR_023873 transcript:KZM86739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRYSRFPFRLSSKTPSLSHFYSSSSSPKTPDQENAKTLQLFSWGRGSSGQLGCGTSTSDQIKLYPSPIPTLSLPPSSRLSSSISGRLLRSGAGAGLPEVGISCGLFHSAVTVEGQCWIWGKGDGGRLGLGHEDCVYVPTLNPHLCDVKCVALGGLHSVCLDSSGQLFTWGYGGFGALGHSVYTRELYPRLVEGPWDKPICHVSTSGTHTAAVTESGELYTWGRDEGEGRLGLGPNRGPDQAGGLPIPSKVKALPVPLAAVSCGGFFTMGLTEEGEIWNWGANRNYELGRGDSIGGWKPQPIASLKDIRIIQIASGGYHSLALSDEGKVFSWGHGGHGQLGHSSKQNQKIPLAIELIADQRVVYIACGGSSSAAVTDTGKLYMWGNSKDQQLGVPGLRDVQTSPVEVKFLSEDDELGNYKVLAVAIGASHAMSVVSRVYRGYLLYNTRSPSEFQAVLSTEYVEISDFYKQESEALVPVVTGLLIAEVNVKTMSELGTGGVSLV >KZM88478 pep chromosome:ASM162521v1:7:28214153:28214996:1 gene:DCAR_025553 transcript:KZM88478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGGVVSARGSSSSLFMKKDPRKKRNQSRLFKINCVSTFSADPYKTLRINKGASESEVKKAFRQLALQYHPDVCKGSNCGVQFHQINEAYDAVMASLRQQEEEEVTPTWASTPSDDETMRGMFDPDYDMWEEWMGWEGAGIRDYSSHINPYI >KZM88030 pep chromosome:ASM162521v1:7:23673822:23674148:1 gene:DCAR_025105 transcript:KZM88030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGNKWEEVVIGKQRFCCFLMKCKTLMLRDAAATISTPVPPVPDLNVHPFDLNMLPTEEEVEEEEPMCQIYKTLVLVWVNVIYVSYARMSMQLRIYLEVFDVDINII >KZM89157 pep chromosome:ASM162521v1:7:34447936:34449048:1 gene:DCAR_026232 transcript:KZM89157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNRALKEKFKELEQHEYWDHSNNSSSVFDLMSRSPRELMSSLQDEEEELLSSNVSSVCSDCLEDEESEDEEVRSVVVSSGSVYDDKLSNEDVDNGINRIYEEGKKVEINKVSLCNEDVDDGINKIYDGGKKVEISKRSSADVKNAEKIRKVGWEFSVLISVLVLIGLVIAVMFSVRSTWYVDQGLDQASKGPYHYPWAMILQAQAR >KZM86548 pep chromosome:ASM162521v1:7:4063553:4068137:1 gene:DCAR_023682 transcript:KZM86548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSHEEKMSSVAEVDVELKLLQQQSPFLSSKEQEADNQFIFSSGPSYVKETLACILLLYEKETAGSAEKPFTAVGPTHSSASEEKFIGSRKISGITKREYILRHKSIQLEKSYRTCGSKGLSVRNATLKISKEMGTCLPQDTLHNDLLNTNGLPPTFSLDTVNNTISSIPKTNFVSAIPTISDQNSLPAPDTELSLSLSSKNNSVPMPASCSSGAPNFGYSGFPNEKPAGQWVPEDKKDESIMKLAPRVRELQNRMQEWTEWADQKVMQAARRLSKDKAELKTLKQEKEEVERLKKEKQSLEENTMKKLCEMENALCKASGQLERANAAVRRLEETAGSAEKPFTAVGPTHSSASEEKFIGSRKISGITKREYILRHKSIQLEKSYRTCGSKAIPTISDQNSLPAPDTELSLSLSSKNNSVPMPASCSSGAPNFGYSGFPNEKPAGQWVPEDKKDESIMKLAPRVRELQNRMQEWTEWADQKVMQAARRLSKDKAELKTLKQEKEEVERLKKEKQSLEENTMKKLCEMENALCKASGQLERANAAVRRLEVENSTLRQEMEAAK >KZM88259 pep chromosome:ASM162521v1:7:26222001:26222755:1 gene:DCAR_025334 transcript:KZM88259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFVFAWVAFGGWFDGSLMGRGGAVVARVVTRWWCSGGKDAVGCKQRGFEGRLLTGSRRRQIKEGCWRLDGGLLGEIKQMGDCGGETRGKQGRERGWLGTAKVDGLW >KZM86508 pep chromosome:ASM162521v1:7:3572712:3581404:1 gene:DCAR_023642 transcript:KZM86508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTKTVGPSGPVPRRDPYEVLNLSKQASDQDIKTAYRKLALKYHPDKNVNNPEASELFKEVAYSYSILSDPEKRRQYDNAGFEAIDAEGVDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTIRPLPLGSSVSGKVEKQCAHFFGVTINEEQAEAGIVVRVTSAAQSKFKLLYFEQDATGGYGLALQEDSEKAGKVTSVGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSELKAGTHVFAVYGDNFFKTASYTIEALCAKSYEDTTDKLKDIEAQILRKRTELRQFETEYRKALAHFQEVTNRYSQEKQTVDELLKQRDSVHSSFTVSKLTIQTSGDTSSVSNGNSSKIPSEDGKADSPGEDGSSDGKDKSAKKRWLNFNLKGSADKRSA >KZM88828 pep chromosome:ASM162521v1:7:31580574:31589909:1 gene:DCAR_025903 transcript:KZM88828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEKASLCNCVVNFLLEENYLLSAFELLHELLDDGRDAHAIRLKEFFENPSQFPPDQISRFNSLRVADPQTLLEEKETLVEKLAIAEYELRLAQEDATKLKGELQKKTDITPSELSGSPSEASANYEPNPELRKSDSSFSELGPLKEHERRDLNCAVKEYLLLAGYRLTAMTFYEEVTDQNLDVWSKSSACVPDALRHYYYQYLSSTAEAAEEKIAILREHESLQKEIDRLKSEKQASIKYKDLADGQVMALTKSLETLQNSIKEKEILVKDLKQSLDHQRKELNDYRAETTSLKMHIERTQSGQFLVQSNVEQVESLSLESYKEQLEALQKEIEQLKAATSVPNFVIPVSHKDERTEANDDVVKLPERDATKSSDQVLGGSLEREDNQSVSLDMSPGISIAPKKPAISSLYESSLTKEVKNTPKYNAGSPRDENGLLLKTDSLGEANIEKMGLLTIQILSDALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEKQRRIIMDGCVTLAKDVGEMRTETELLPQCWEQINHKYEERRLLVAQSCGELARFVRPEIRDSLILSIVQQLIEDSATVVREAAARNLTLLLPLFQNMVEELMFQLVCDPSGVVVETTIRELVPALLSWANRLDNMLRVLMSNILSSAQRCPPVSGVEGSLESYLRVLGERERWTVDVLLRLLAELLPNLHQKSIETCPFPSASDVAGTLFSTSLLELYAGGHVEWPTFELLHCDCFPTLIQLASLLPQKEDKLRSRITKLLLTVSKLFGDDYVTNIMLPVFLIAVGDKADLTFIPNRIQTRIKGLRPKSSVAERLATMCVLPILLAGVLGSPSKHENLTEYLRNLLVQNAVQENQSVRRNAEIVNSVRFLCSFEEHHNMVFNILWEMVVSSNIYMKISAANLWKAIVPYIDVKVASTHVLPALVTLGSDQNLNVKYASIEAFGAVAQHFKNDTIIEKIRVQMDAFLEDGSHETAIAVVRALVVAVPNSTDRFRDYILKISRICVLSAAPIPSSDVVRRRDRANAFCECIRAVDATELPATSVRDYLLPTIQNLLKDSDALDPAHKEALEIIVRERSGGSLDTFSKVMGAHLGITSLFGEGGLLGKKESGDLSAMADQLTEASKPIPAAPDPAQTADDTRFRRIMRGGFTDILRGKTEQ >KZM87018 pep chromosome:ASM162521v1:7:10182600:10188379:-1 gene:DCAR_024152 transcript:KZM87018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRPTIMVTNDDGIDGAGLQALVQVLVSTNRYQVFVCAPESERSAFSHSITWQHPLQVKQVEIQGATAFAVSGTPADCASLGISKALFPVVPDLVISGINKGSNCGYHIVYSGTVAGAREAFFQGLPSISISYDWVGGKSTVNDFKLSAEACLPIINAILVEIKSKTYPQKCFLNVDLPTDVLNHKGYKLTKQGKSIIKMGWKKITSEAQVGKILSTMTMEADTSNIDMNATKVSQGSQNNLLYMREIVGVQVGEIDTDYSSLQEGYITVSPLSALTHVETDCEAYFKMWLPGVTERFSASAL >KZM87863 pep chromosome:ASM162521v1:7:21420297:21420887:-1 gene:DCAR_024964 transcript:KZM87863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSHGQETTTSHHLTLPPGLTQEDFLELNPLITEFHTYTLTPSQCSSLLSQRIHAPPHAVWSIVRSFHKPQLYKHFIKNCTVKPTFTMTVGDTRDVNVISGLPAATSTERLDILDDDRHVTGFSIIGGEHRLRNYRSVTTVHGVEREGKMLSVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLAVVSEGKSE >KZM86564 pep chromosome:ASM162521v1:7:4342913:4343161:1 gene:DCAR_023698 transcript:KZM86564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPKSYISWKLLSIFCILILSTSPCTATRPGRMMMTTTKEVLPEIIPENMKHYQPRYQGLVSSKLPKGDNHPRPGPSKRHH >KZM88094 pep chromosome:ASM162521v1:7:24301412:24303451:-1 gene:DCAR_025169 transcript:KZM88094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYSWITYILIALHALLAVAEASNTSSTTEAEALNSLGWWGNQIPLHPKENHCNWRGINCSEAGRVISIDLGSGEYHIGDELGKLNFSSFPFLERLDLGYCGLVGNVHYQIGTLSNLVHLSLHNNNLTGKLPSSMANLVQLKMLDLSHNNLSGSVPSVISSLKNLHFIDLAFNNFTGFIPEELGNLSNLVKLFLQKNIFTGTIPLALSSLTKLQHLDLSDNQLIGDIPFQESNLSQLLLLDVSNNRLSGSIPVFKTCYSLRHIDLSNNLLIGDIGTAFSTLTDLEILNLSSNQLNGTVPVFKKCSLHTLDLSHNLLTGQIPKELASCHDLRQVRLSYNNLSGGIPVEFQTLYSTKFDLSHNSLSGSIPETYSQVPPPLAISPSDVSDDGLNDNPDGDESDGGLVYHQDKWGVPILYIALPSATGVLILILALVFISRCAPKENQIKMIAKYIRDIIYRHAPKENQIKMIAKNGDIFSVWNYDGKIAYEDIIRATNNFDITYCIGTGGYGSVYQARLPAGKTVALKKLHRLEAQEPAFDRSFRNEVQVLSNTRHKNIVKLYGFCLHNRCMFLIYEYMEKGSLFHALRDDAYALELNWTRRVNIAKGIAHALSYIHHDCTPSIVHRDISSNNILLNCEMEAFVADFGAARLLDPDSSNKTVVAGTYGYIAPGSHILTYSF >KZM88418 pep chromosome:ASM162521v1:7:27721172:27722156:-1 gene:DCAR_025493 transcript:KZM88418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSLAENNPLHLKSLNHISLVCKSIEESMDFYKNVLGFAPVRRPGSFDFNGAWLFSYGIGIHLLQSEDPENMPKKGEINPKDNHISFQCESMGAVEKKLKEMGIDYVRQRVEEGGIYVDQLFFHDPDGFMVEICNCDNLPVVPIAGEMARSCSRLNFQQPQQIPVVRL >KZM86151 pep chromosome:ASM162521v1:7:140431:144376:1 gene:DCAR_023285 transcript:KZM86151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAYAATTFFLLPAHNPTRFSRKLLVLRSSAISPPLIRRFRRNRKNYLRPKILRTRPDPVLLEPLFPGAEEILIDPLPDNPLPDPLIEETLKECDESHEVSVSDVNNNVGLGKFSKFTVFRVGLFLVGAFVVQTIFAVLLFGSGQNGIVDSENESRVLGLNGNGVGKSRVSVGGGGVVYVDEVEMRKKVREIQVMARDVREKERREGKNGNDGDLKGDDVGVDVTRIEKEVVRRLGRRGKGVKKMSVGYMRNDDGDKDGLVAEDINEALLVKKAESESIYVSTIDEAKGCRSLDDGEVDNRSAILRSEALEKTRDDSDGMELLDSARTVEKPNADIVRNDMVDSGEEDVSNATEVTRKKSSKGKGRVKLGKAEPLNGKAVKLDESHKLNGASSQRSTWWLNLPYVLAVVMRTGGDDQEANGLYRIKSTSHSLDDSSHVVAFEDRGDATNFCYLLQSFFEDLDNFSTDIIPIPIEDLAEAVESHWMEVVVVKKGQLQLYAGQPLSDVEMTLRSLVERS >KZM86621 pep chromosome:ASM162521v1:7:4963222:4978072:-1 gene:DCAR_023755 transcript:KZM86621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEELGFTFEPPSDEEIDDYANQSSDSDSEQQEHNQQASSEEENDDVVHNKKKQSPWDFSSFTESVADEHARRSTTSIDYKISKAIQQLAISIPKDDEVDVDEKPDRQEPFRDEDGDEDAGAEHGEKKAKPFFSSADGVSFRANSFMELNLSRPLLRACEILGYNKPTPIQAACIPLAINGRDICASAITGSGKTAAFALPTLERLLCRAKGTPPAVRVLILTPTRELAVQVHSMVEKLAQFVKDIRCCLVVGGLSTKLQESALRSKPDIVVATPGRMIDHIRNSMSVHLDELAVLILDEADRLLELGFSAEIRELIRECPKRRQTMLFSATMTEEVGELIKLSMNKPMRLSADPSTKRPARLTEEVIRIRRTREGNQEAVVLAICSKTFTSKVIIFSGTKQAAHRLKMLFGLAGFKAAELHGNLTQAQRLDALELFRKQGVDFLIATDVAARGLDIIGVQTVINYSCPRDLNCYIHRVGRTARAGREGYAVTFVTDNDRSLLHAIAKRAGSRLKSRTVAEQSINKWAELIDQMEDQVALILQEEREEMALRKAEMEATKAENMILHRDEIYSRPKRTWFATEKDKKLIAKSVKESLEKGAGSGNQVISAQQAEDVKMKEKKKREREKNLPRKKRRKLEAARMELEDDNEFESHGKDKKEKGISLVDVGKIRAKSAKAAMKSAEAGKNPRKQSNKSKRPSKLPQSRTSEMQELFQSDMSEKRQKRVGLGVGKKKSSFNSKSSLFLVPIIYSREMQMNDEPHVLDDSGIRSNEDSDNEPSTWDVDGSADNIRR >KZM87669 pep chromosome:ASM162521v1:7:19084303:19088553:1 gene:DCAR_024770 transcript:KZM87669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKALTLLLLCLVTVCNCMEVTYDSRSFMIDGERKLLISGSIHYPRSTPEMWPDLIKKAKAGGLNMIETYVFWNAHEPEQRKYDFTGNLDLMRFLKTVRDEGMYIMLRIGPYVCAEWTFGGFPVWLHNLDGVKFRTANDVFMNEMQNFTTLIVDMAKKEKLFARQGGPILITQIENEFGNVEHPYGEAGKVYMNWAANMAVSLDTGSPWIMCQQKDAPPIVINTCNGWYCDDFTPNNASSPKIWTENWAGWFMSWGSVRPKRAAEDVAFAVARFYQTGGSLQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNLNEPKYSHTKQLHNLLMSMEKPLTEGKVTHIDFGNGAEGTVFVDGQTTSCFFSNKNTSSDALIKYQDMNITVPAWSVSILPDCQTEAYNTAKVNTQTSVMVKRENGAENQPSALKWSWRPENLDVAVIQGKGSIHASEILDQKVLNDMSDYLWYMTSVDIDEKDPVWGTNMSIRINGTGHVLHAYVNGEYVGSKWAKYDVHNYDFETPVKFKPGRNQISLLSALVGLKNYGAFFDDYRAGVCGFVDIVGRNGDEIVTKDLAGHQWVYKNSLHGLDNQLYSQTSKFSAKWQLGYLPVNRSMTWYKTTFKAPLGKDPVVLDLLGLGKGYAWVNGNNLGRYWPSYLAPEDGCDDECDYRAKYDASQCNYGCGEPTQRWYHVPRDFLSATGVNELVLFEEFGGNPSQVNFKTVVVGSACGSAYEHKTMELSCQGRSITQIKFASFGNPMGTCGSFKKGTCEGSKDALSILQKACIGKETCSLVANENIFGPTSCADSPKKLLVEAVCAI >KZM88995 pep chromosome:ASM162521v1:7:32924709:32926442:-1 gene:DCAR_026070 transcript:KZM88995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAASVELNVTKQDEMIWKARAENAKQHAMAAFDPHPHDVTNQLNSHVHKATEKDHNSTRRGLHGIKRTGPCRATNPIDQCWRCDPNWMKNRMRLADCALGFGRNTTGGKGGKIYVVTDPSDTDMINPKPGTLRHAVIQAEPLWIIFKHGMIIRLNEELIMTSNKTIDGRGAQVHICSGAGLTIQFVQNVIVHNLHIHDIKAANGGMIRSSVDHYGLRSRSDGDGISIFGSSHVWIDHISMFNCQDGLIDVVEASTAVTISNCHFTRHNEALLFGATDSYTEDKIMQITLTFNHFGKGLVQRMPRCRFGFVHVVNNDYTHYLMYAVGGSSNPTILSQGNRYVAPKDPNAKEVTKRDYADESEWKHWIWKSQEDLMENGAFFVESGDPKHVFPTGKDMIVPKPGTLVSKLTRFAGAIACKENKPC >KZM87267 pep chromosome:ASM162521v1:7:13327426:13329906:1 gene:DCAR_024401 transcript:KZM87267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSQESTAEPTNDAAGEIKEESSQGDKSNGGGGNAASTPAKATTPPNASPKPSKAHPIGPVLGRPMEDVRSTYTIGKELGRGQFGVTHLCTHKQTGEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAFEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHQMGVIHRDLKPENFLLLNKDENSPLKATDFGLSVFYKQGEQFKDIVGSAYYIAPEVLKRNYGPEVDIWSIGVMLYILLCGVPPFWAESEHGIFNAILRGHVDFSCDPWPAISPQAKDLVKKMLTTDPKQRLTAIQVLSHPWIKEDGEAPDTPLDNAVLDRLKQFRAMNNFKKVALRVIAGCLSEEEIMGLKQMFKGMDSDNSGTITLEELKLGLAKQGTKLSEFEVQQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLFTAFQYFDKDNSGYITMEELEQALREYGMSNDKDIKEIISDVDADNDGRINYEEFVAMMRKGQPEAAANLKKRRQSFDANKL >KZM87172 pep chromosome:ASM162521v1:7:12008362:12025234:1 gene:DCAR_024306 transcript:KZM87172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNVGFGCDEKSLEDSLCKRVIVTRGEAITKHLDPAAAAISRDALAKTVYSRLFDWIVKKINDTIGQDPGSKYLIGVLDIYGFESFKTNSILPRSTHKTFAEKLYQTFSSHKRFKKPKFSQTDFTICHYAGDVTYQSEFFLDKNKDYVIGEHQDLMSASRSPFVSGLFPPLPVQSSNKSKFSSIGSRFKQQLQSLLETLSATEPHYVRCIKPNNLLKPGIFENKNALHQLQCGGVMEAIRISRAGFPTRKTFDEFKTRFRLLEPDILGGRYDEVTACKKLLEKTGLKGYQVGKTKVFLRAGQMAELDAHLTELLGKSAIIIQSKFRSYHAHRDFTRLRFCVIPFQTYCRRQVARQRYETAKRLVQSAINIQAGIRGMSARLNFRTRKQTKAAKMQVNTCNDIGVFSYLQVAKGTGPPQAASAKIKLEPKSNLEPKSKLDPKSNLEPKSKLEQEVEELTGQLQLEKRMRVTHSLNHK >KZM87552 pep chromosome:ASM162521v1:7:18105988:18111647:1 gene:DCAR_024682 transcript:KZM87552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKTRDEREEERGLFWDGVIEGMPLFAKELIAGGVAGGVAKTLVAPLERVKILFQTRRAEYHSVGLLGSFNKIAKTEGPLGFYRGNGASVARIVPYAALHYMAYEQYRRWIAYSFPEIGGGPILDLVAGSFSGGTAVLFTYPLDLVRTKLAYQVVGTSKLNVKGIIPSEEAYKGILDCFSKTYRGAGFRGLYRGVAPSLYGIFPYAGLKFYFYEEMKSRVPEEHKKGIMVKLVCGSIAGLLGQTFTYPLDVVRRQMQVQQLSPSNSQEMKGTMDSLVMIVRKQGYKQLFSGLSINYLKVVPSVAIGFTVYDTMKAFLRVPSRDEAGIVVTNQTSNKQASLQS >KZM86437 pep chromosome:ASM162521v1:7:2790164:2790509:-1 gene:DCAR_023571 transcript:KZM86437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTAGDVAPFLTKLYEMVDDETTDGLISWGSRNDSFVIWDDVEFSTVLLPNNE >KZM87281 pep chromosome:ASM162521v1:7:13637112:13649220:-1 gene:DCAR_024415 transcript:KZM87281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSNSSSNEQNGISPPQENGVVDALSLLNSEKSVHELAMQPIVQGTDDHLVEFSEALRTVAKALRQVAEGKASAQIEAAEWKRKYELERARNKQLEHKVQLPVLSNEAEEKFETCCEKSDQHKHDIVSFEKGNITTAERSSKQISLRWESPPQTVLIMSKPDSTTVRILCADMVRWLKEQQKLNIFVEPRVKSELLTESSFYNFVQTWEDDEEISLLHMKVDLIVTLGGDGTVLWAARKFKGPVPPIVPFSLGSLGFMTPFYSEHYREYLTSILHGPISITLRHRLQCHVIRDAAKSEHETEEPVLVLNEVTIDRGISSFLTNLECYCDNTFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLIFPEHVTLRVQVPFNSRGSAWASFDGKDRKQLGPGDALVCSMAPWPVPTACREDSTSDFLHSIHEGLHWNLRKTQLSDGPRDT >KZM88001 pep chromosome:ASM162521v1:7:23163243:23170636:1 gene:DCAR_025102 transcript:KZM88001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNVAVIGAGVGGLCAGRELQRAGHRVTIFEKQNQLGGTWVYDPRVESDPLSLDPKREIIHSSLYASLRTNFPRHVMGFSDFPFTKIYEDSRAFPSHEEVLRFLNDFAEQFGLVELTRLNTEVVRVELKEDEWVVESRMGELTREEAFDAVVVCVGHHTEPRVANFPGIEKWPGKQIHSHNYRNNKPFRDQIVVVIGAGPSAMDISIEIAKVAREVHLSTRSSSMLSKLAIFAKLNKHPEIDYVDESGRVVFEDGSSVEADILFHCTGYRYNFPFLKTNNIVTVEDNRVGPLYKHVFPPELAPRLSFIGIPYATTGIPLMELQAKWLSLVLSGQRLLPSKETMMADTEDFYKSLELRGIPIRHTHSLVMKFEYPNWLADQLGLPGIDEQIKKIQGQVFKILLSSEGSDLREWDVDRAGVAGLIAARELKRSGHQVTIFEKSGQIGGTWAYNPQTESDPLSLDPNREIIHSSLYSSLRTNHPRHLMSFSDYPFAKFYGDPRNFPGHEEVLKFLNEFWVEFGLTELTRLGTEVVRVEAVDDSGYCEWIVESRKGELSEVEVFDGVVICNGHHTVPRVAAIRGIGQWPRKQIHSHNYRVPEPFHNQIVVMIGAGPSAYDISRDIAEVAKEVHLSSRNSTCGGFYKLVNLSNVRQHAEIDYVDESGRVAFVDGSSVCADIIFHCTGYKFSFPFLRTNGIVTVDDNRVGPLYKHVFSPEIGSRLSFVGIPCNITLFPMMELQSKWISCVLSSKVVLPSKEAMLADTNEHYRSLEERGIPKHSTHSLATTGFQYLDCLASQVKVQPVEERLKNIYKQLVSVFFSSEGDQFREWDVDSWVNGNHLKLLAASEKRSGSKLLAAFEENVGHDNSKVVESS >KZM86777 pep chromosome:ASM162521v1:7:6770171:6788804:-1 gene:DCAR_023911 transcript:KZM86777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSAALSTSSSTKPPYAIITNHLYKTPPPFTTTFFQSHSPLPSSSIPIAAPIRASYSSDYESSSSSSSSSSPAKALRRLLESPGLHQGPACFDALSAKLVQSASFQFCFTSEESSMKTAISSETSETTISAARLGLPDTGFISYGEMLDQGSQITEAVSIPVIGDGDNGYGNAMNVKRTVKGYIKAGFAGIIIEDQVSPKACGHTRGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSFDESIWRSKAFSDAGADVLFIDALASKEEMEQFCRISPIVPKMANMLEGGGKTPILSPLELENIGYKLVAYPLSLMGVSIRAMQDALAAIKGGRIPSPGSMPSFEELQEILGFNSYYEEEKRLRLVSASSKDFTMRERDEDDKEQRSQSLQEPVVEVLTPEVYSEFGAGSSADPFSGIWSRKLRVKIIGRDGSEKLDVRIPAGFLDGITNIVPALGGINIKELMDDAALEVGGKLLLDFNDARGDRIQVFLE >KZM87245 pep chromosome:ASM162521v1:7:13080496:13081137:-1 gene:DCAR_024379 transcript:KZM87245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARGTKRKHTEIVKNQLSADCTKLNYDSEKFNEDIKASREDFGLMCKSMYNRLSEILTQGLFLEEQHGRVLDLLDGRHHGQESESIGGKETLTMPTIEDVKKLARDSDETLRNNRIMKEIEIQELEKVFKEYKAIMKQNIVCLRERAECIEKQRRELSPKLIQFARAVAEESG >KZM86466 pep chromosome:ASM162521v1:7:3074360:3076458:1 gene:DCAR_023600 transcript:KZM86466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLDINQVPSEEELIEEDDQNPNHDGAPPRKKLRLTKQQSRLLEESFRQNHTLNPKQKETLAMELKLKPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLSEQNRRLQKEVEELRAMKVAPPTVMTSHSSDPLLASTLTMCPQCERVTTTTAQPNAGPIRPSASKPSAMAAAKVPVIHSRHSSPAC >KZM88919 pep chromosome:ASM162521v1:7:32291008:32294376:1 gene:DCAR_025994 transcript:KZM88919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSALVILVLLFSHALLSCADTGTEIEALNSFRLSLNDPLGVLNGWDPTTRSAPCDWRGVTCFNGRVSELRLPRLQLSGPLTDKIANLRMLRKLSLRSNGFNGTIPSSITKCTLLNSVFLQYNSFSGEISPELSNITNLLIFNVAGNSLSGEFSGELPRSLRVFDVSSNAFSGEIPRSLASLYKVQLINLSYNRFSGSIPASLGQLQKLEYLWLDHNVLEGTIPSAIANCTSLVHFSAEGNSIGGVIPAGIGVLPKLQVVSLAHNNLSGSVPVSMLCNVSVYPPSIRNVQLGFNGFTDIIEPQNGTCSSALQVLDLQQNEIKGVVPVWLMNVSSLTMLDISGNLFFGAVPIQIGNLWRLEELRMANNSLSGLVPAEIKRCGNLRVLDLEGNQFVGEIPDFLSEIKGLKMLSLGGNQFSGAVPSRLGNLTQLESLNLRDNNLNGSLPDEVTSLSNLTVLNLKGNKLSGVISSKIGNLRMLSVLNLSDAGFSGPIPSSIGTLYKLTTLDLSKQNLSGKLPFDIAGLPNLQVISLQENKLSGDVPEGFSSLLGLSYLNLSSNAFSGQIPSTFGFLKSLTVLSLSNNNISGSVPPELGNCSALRILDLGSNSLSGQIPPDLSRLSYLTELDLGKNNLTGEIPDEISECSSLKSLALQSNHLSGNIPSSLSGLLNLTSLDLSANNLSGEIPANLTIIPNLMKLNVSSNNLEGKIPAMLGAQFNQTSFSGNGELCGKPLDKKCEGESSSNRKKRVILLMIVAASGACLAAFCCFYTYSLLKWRKRLKAKAAGEKKSTPTRASSGGRSSSVDNGGPKLVMFNNKITLAETVEATRHFDEENVLSRNQYGLVFKACYADGMVLSIRRLPNVLLDDNMFRKEAEALGRVKHRNLTVLRGYYTGPDLKLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHTAAMVHGDVKPQNVLFDADFEAHLADFGLNKFTVAPPVEPSTSTSVGTLGYVAPEVILTSETSNESDVYSFGIVLLELLTGKRPVMFGQDEDIVKWVKKQLQRGQISELLEPGLLELDPESSEWEEFLLGVKVGLLCTVPDPSDRPTMADIIFMLEGCRVGADIPSSADPTNHHSPA >KZM88434 pep chromosome:ASM162521v1:7:27837473:27839781:1 gene:DCAR_025509 transcript:KZM88434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSSPTKIPPALLIPVTTCSSYNTNNHRNSSKLILPNTALTNLTISSSASIATSFIHVSNPNAWSGTSRAATPSPPSPPDPDKLPTPPPGVPMTLSRFKDVAQVFVGVLFWMAVFFWASAWDGRDKPDKGSRFRR >KZM86314 pep chromosome:ASM162521v1:7:1631235:1633805:1 gene:DCAR_023448 transcript:KZM86314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWHDLYTVITAVFPLYVAMILAYGSVRWWKIFTPEQCSGIDRFVAIFAVPSLSFHFISTNNPYEMNFRFIAADTLQKIIMLVVLGLWAKLSKKGNLDWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIVWYTLLLFLFEFRGAKMLIMEQFPETAASIVSFKVDSDVVSLDGHEFLETDAEIGNDGKLHVTVRKSNSSRRGLGLGSISGMTPRPSNLTRDGDNDFYSMTNFGPGEVYSAQSSRGPSPRPSNVEENCALPVAASRLGFHPAQPEISSTENEEFIGDTMSLTRAGRGDDEETEKKGHTRSVSSSSSGLHPKVPMEHGIGTEKIMPPTSVVTRLILIMVWRKLIRNPNTYSSLIGLSWALVSYRWDITMPKIVDKSISIISDAGLGMAMFSLGLFMALQPKIIACGNSVATYAMAVRFLTGPAVMAAASVAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVYPAILSTAVIFGMLIALPITLMYYIILRL >KZM87179 pep chromosome:ASM162521v1:7:12147468:12149422:-1 gene:DCAR_024313 transcript:KZM87179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPTKSIFVSALVLLLLFVGTMASVKDDLSNKLSTRNSTERRKLGSSTCGTGNPIDDCWRCDPNWHRNRKRLAECGIGFGRDAIGGRDGHFYVVTDSSDHDLANPKPGTLRYAVIQDRPLWIVFKHDMVIKLFKGLIINSFKTIDARGAKVHIAYGGCITIHYATNVIIHGLHIYNCKPTENADGDAISISGSSHVWIDHNTLSNCTDGLVDAVMGSTAITISNNHFTRQNQVMLLGHSDSYVKDKHMQVTIAYNRFGEGLVQRMPRCRHGYFHVVNNDYTNWEMYAIGGSANPTINSQGNRYLAPINPFAKEVTKRIQTPTEHWNGWNWRSEGDLLLNGAYFTPSGAKATASYAKASSLGAQSSLMVGAITSGAGVLHCRRGHQC >KZM86993 pep chromosome:ASM162521v1:7:9533840:9535103:-1 gene:DCAR_024127 transcript:KZM86993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSRARIHLNGWQQAAVAVGSAVGALLDPRRADLIAALGETTGKPAFERVLERMKRSPEGRAVLLDRPRVISEKVGHAWDLPSNTFGAAYASFMGSRNFSPDDRPPVRFMETDELAYVAMRAREVHDLWHTLFGLPTNLIGETALKVIEFEQMLLPMCVMSVVGGTARFSEKQRSLFYQDYFPWAIRAGMKSTDLMCIYYEKHFDEDLEDVRKKWGIIPAPQPHVAKSV >KZM88890 pep chromosome:ASM162521v1:7:32079466:32079699:-1 gene:DCAR_025965 transcript:KZM88890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSLKNHSSLGSLETPANCDRAERKKLKRTRAYFEFQSCLDLAAEDEDKMDMFRIVLRDIDSKWRSPSVDTEDQS >KZM89204 pep chromosome:ASM162521v1:7:34964329:34965701:1 gene:DCAR_026279 transcript:KZM89204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSAHWTLVFGLLGNVVSFGVFLAPIPTFYKVYKKKSTEGFKSVPYVVALFSAMLRIYYALLKSNTLLLITINSVGCVFQTIYICIFLIYAPRKARTLKLLVSMNVVGFGLIVILTQFVAKGAANRVVIVGWICLIFSLCVFVAPLCVVVPNVLGFTFGILQMILYMVYRNTKKDSIEAKKIPELFEDDSVIIIDDQKPPELKAKTDDVMRLSAMVCSEMKPVDRNSNPNELDMIEIQVVVPKKQATPIVA >KZM88678 pep chromosome:ASM162521v1:7:30324797:30326401:-1 gene:DCAR_025753 transcript:KZM88678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSSSTQSAASSSSLRLSPPLFHRSCSISTPMKVDNSDSDLISELPDECIALVFQSLSSGDRKRCSLVCQRWLRVEGQSRHRLSLNAQAELISFVPALFLRFDSVTKLALRCDRRSKSVNDEALTLISMRCPNLTRLKLRGCRELTDVGMTNFAMHTKCLKKFSCGSCMFGAKGMNAVLNHCSFLEELSVKRLRGINDGGGAEPIGPGVAAGSLKSICLKELYNGQFFGPLIVGSKNLKSLKLLRCLGDWDSLLEMVANKKNNLAEVHLERLQVSDIGLSALSNCPELEILHIVKTPDCTNVGVVSVAENCKLMRKLHIDGWRTNRIGDEGLLAIAKHSARLQELVLIGVNPSSASLEAIASNCQKLERLALCGSETIADAEISCIAAKCAALKKLCIKGCPVSDTGIEAFAWGCPNLVKIKVKKCRDVTSEVADWLRVRRGSLMVNLDVCEVEAEAVDASASDSGVQEEVVDYQPMLSEEIVAGVNNTSAPSSSNTRASSSKPRFGLFGGRSFVACALRRWSNRHSSSNSSP >KZM87666 pep chromosome:ASM162521v1:7:19025743:19030453:1 gene:DCAR_024767 transcript:KZM87666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMENPTRPGILKRVTTFFSPHATLFTILWILAFSSVFFWRQNVVDRFLVSRSPEKWPDNPEFRPFVFNLVDFGGVGDGVSLNTEAFERGVFAISKLAEKGGGQLNVPAGKWLTAPFNLTSHMTLFLAENAVILGIDDEKYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLRDVVITGHNGTINGQGQSWWIKYRRKLLNYTRGPLVQIMWSSGILISNITLRDSPFWTLHLYDCKNITVRNMTILAPIYGAPNTDGIDPVLPFVMVRTDSCEDMLIEDSYISVGDDAIAIKSGWDQYGISYGRPSKNIHIRNLVVRSMVRSEVTTKCADKLIIVSVYSSRVSCSVSHHSSFLYNTSLFWLTNNSAGISIGSEMSGGVSNVTVENVLFWNSRRAVRIKTAAGRGGYVQDICYRNLTFKDVRVGIVIKTDYNEHPNGEYDPKAVPVLRDISYTSIHGEGVRVPVRIQGSEDIPVRNITFQDMSVGITYMKKHIFQCAYVQGRVIGSVYPAPCKNLDLYDENEQLVEKSTSQNVSDIDYSF >KZM87360 pep chromosome:ASM162521v1:7:15065479:15066092:-1 gene:DCAR_024494 transcript:KZM87360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIGPAYPGRGIPWDHKGKTEVAQILISCNRNRINFMYFVYVEDGGTKLVLSEKIGREETSHSMETVNFDYPSEYITRVSGAPTKGLVSIDFNYQVAGKFGGFFGSYETDSIETIGFYIDPLQKLANQPGEIAVGGSDGLEASGETS >KZM88172 pep chromosome:ASM162521v1:7:25171757:25172551:-1 gene:DCAR_025247 transcript:KZM88172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGMESIQYVERHHKHVPATHQCTSSVSKHIKAPVDIVWSLVRRFDQPQKYKPFVSRCTVQGELKIGSVREVNVKSGLPATTSTERLELFDDTEHILGVKIVGGDHRLKNYSSVITVHPEVVDGRAGTLVIESFLVDVPEGNTKDETCYFVTALINCNLKSLVEVSERMAMLACPQV >KZM89295 pep chromosome:ASM162521v1:7:35746573:35750066:-1 gene:DCAR_026370 transcript:KZM89295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIRRKIHENPELGYEEFETSKLIRAELDKLGVKYKYPVAVTGVVGYIGSGEAPFVALRADMDALSMQEMVEWKHKSKNPGKMHACGHDAHVAMLLGAAKILQEHRESLKGTVVLIFQPAEEGGGGAKRIVDAGILKNVKAIFGLHVSPGLPVGKVSSRHGPLLAGTGFFEAVISGKGGHAAIPHHSIDPILAASYIVVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVVIGGTFRTFSKDTFVHLKMRIEEVIIQQAAVQRCNATVDFLSSEKPCCPPTVNNEALHQYFQNVAGDVLGISNVEHMQPLMGSEDFSFYQEAIPGYFYFLGMKNETKGKFPSPHSPYFEINEDAFPFGAALHATLAARHLIEIQTKTPLIRDDHHDEL >KZM86201 pep chromosome:ASM162521v1:7:555597:560849:-1 gene:DCAR_023335 transcript:KZM86201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCKPVAFLFVSVVIWVYAIRGGESRPPFACDPSNGLTKNLPFCRVSLPVGVRVGDLIGRLTLHEKVGLLVNNAAPVRRLGILGYEWWSEALHGVSNVGPGTKFGGAFPGATQFPQVITTAASFNDSLWQRIGQVVSDEGRAMYNGGMAGLTFWSPNVNIFRDPRWGRGQETPGEDPMLAARYGASYVRGLQGNVGNQLKVAACCKHYTAYDLDNWNGVDRFHFNARVSKQDLQDTYDVPFKACVTEGKVASVMCSYNQVNGVPTCADPNLLKNTIRGEWHLNGYIVSDCLDLDCGPYLAVFTEGAVRQGKLTEADVNTALANTLTVQMRLGMFDGIRQPYGNLGPRDVCTPAHRQLALEAARQGMVLLLNRGRAPPLSTRFHHTVAVIGPNSDATVTMIGNYAGVACGYTTPVQGIARYVRTTHQAGCTGVACNSNQLFGAAETAARQADATVLVMGLDQSIEAEARDRSNILLPGHQQELISRVARASRGPTILVLMSGGPIDVGFAKADPKITAIIWAGYPGQEGGTAIADVLFGMTNPGGKLPMTWYPQSYLAKVPMTNMDMRANPARGYPGRTYRFYKGPVIFPFGHGLSYTTFAHTLAHGPSSVAVSLANNHSFKNSTILNDNLRVIHVNCEGLSVGVHVDVKNTGTMDGPHTLLAYSSPPGAANSDSNKQLIGFEKVHVVAGAQQRVRIHVDVCKHLSVVDKFGIRRIPMGDHSIHVGDLKHSISVQISV >KZM86301 pep chromosome:ASM162521v1:7:1474957:1480258:1 gene:DCAR_023435 transcript:KZM86301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCMTHKGKRCVLEACMSSDGGNSNLDFADSATRVAKSFVANRLSNELDERNVASDGGNSDLGSGNSATKVAKSFVANRLSNEMDERNVSSDGEYSNLGSANSATRVAKSFVANRLSNELDERNVSRDSGSMGASKFVSFEEDPIVDKLRTQLGVLHPIPSPPVNKNIVGLFVFFFFVGVVFDKFWTSRKMKVSSNDGNAGNRPQVPTSFSSFLEKDLQRKESVEWVNMVLEKLWKVYKPGLESWLTGLLQPVIDDLEKPDYVERVEIKQLSLGDEPISVRNVERRTSRRLNDLQYQIGIRYTGGARMLLMLSLKFGIVPIVLPVGIRDFDIDGELWVKLKLIPSEPWVGAVQWAFVSLPKIKFVLSPFRLFNLMGIPVLSMFLTKLLTEDLPSLFVRPKKIILDLLKGKAVGPAPTDFKSGEMQEGNKDFVGELAVTLVDARKLSYVFLGKTDPYVTLKLGDQAMHSKKNSQTTVIGPPGEPIWNQDFSMLVANPLKQKLYIQVIDSLGFTDLTIGTGEVDLTSLEDTVPTDRIVALQGGWGLFRNVSAGEILLRLTYKAYVEDEEDEMIGKRSTDTDVSDDELSESDESSATYVQPKKNLPNGTETFMDVLAALIVSEDFQGIVASETVNTESSDNVTSNLSASRPSGPEVEPASRSDGPEVQSAPSNSGSDSEGFRGSALFWLSVITSISVLIALNMDHSSLFNP >KZM87598 pep chromosome:ASM162521v1:7:18483797:18487555:-1 gene:DCAR_024718 transcript:KZM87598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFQCEDCGDNLKKPKLLGHFRMCSANKLSCIDCGQVFGQQSVQGHTQCITEMEKYGPKGQVKASTNTTPNPKSDSKMKPEVDINVGLSERPPWFCRLCNTSATSRQTLLLHAEGKKHRAKARAFHASMQPPKQTEELTPTTKVSSESNLKDRVVGNQDVVEPKELGLPEVAPAHNGSEMGTVHANSLTSKKRKHSSDKQNVEVGNGEDEIECKEKKSKQSVGKDDQVTGTKSFTREAKKNIKWKKLIASALESNSDGVLKLRKLQKFVQKALVDSEIMLDESQVKETVEQKINSSSRFSVDGKYVRLVVKN >KZM86640 pep chromosome:ASM162521v1:7:5211595:5218246:-1 gene:DCAR_023774 transcript:KZM86640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLCMSITPSLTTPPTSTATVEVNKAKQVKSRVLILGATGRVGGSTALALSKLSPDLQLLLGGRNKDKGESMVATIGANSEFAQVNIDDAKSLEAILSDVDLVVHTAGPFQQAEKCSVLEAAIRTQYHYVTVMAAELVRAARSENKGEPQRLRFYYYTAGSGGAGPTILATSFLLLGEEVVAYNKGKRIELKPYTGKLNIDFGKGIGKKDVYLLNLPEVKSTHEILGVPTVSARFGTAPFFWNWGMVAMTKLFPMEFLRDRNKVQQLVKLFDPSVRVVDGISGERVSMRVDLECSDGRHTLGLYSHKKLSVSVGVSTAAFALAILEGSTQPGVWFPEEPEGIAVEAREVLLQRASQGTINFVMNKAPWMVETDPKEVILGIYV >KZM87884 pep chromosome:ASM162521v1:7:21679296:21686163:-1 gene:DCAR_024985 transcript:KZM87884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRWRLFLEALVLVELIIFGFGLVQGTTDPSDVQALQVLYTSLNNPSQLTGWKSSGGDPCAESWKGISCEGSAVVSIQISGLGLSGSMGYMLNGLVKLRTLDLSGNNFHDAIPYQLPPNLTSFNIAHNNLTGNLPYSIATMFSLNYLNASSNSLAQSIGDIFLNLTDLSTVDLSSNNFSGDLPASLNTVSNLSTLHLQNNQLTGSLNVLTGLPLTDLNVANNQFNGWIPRELISIPNFRYDGNSFNNGPAPPPPPYTPPPPGRSHNNRSNSPSSKKPGDSGGRSSNPSNGNKKGLTAGAIIGIVVGSLLVLLFVVLVFVFCARKGRKKENSLATSGGRLPVILEKVSSEAREHRTKTTATAANLSPPPENLVVERMQGKNGSVKRVKSPITATSYSVATLQTATNSFSQDNLIGEGSLGRVYRAEFSNGKLMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVPLAGYCAEHGQRLLVHDYIANGSLQDLLYFADDRSKMLTWNARIRVALGTARGLEYLHEVCLPSVVHRNLKSANILLDEELNPHLSDCGLASLTPNTERQVSSTQMVGSFGYSAPEFALSGLYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPSLNGMYAAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLMQRASVVKRRSSEDSGFIYRTPDHEAYEMSY >KZM87116 pep chromosome:ASM162521v1:7:11089208:11092408:-1 gene:DCAR_024250 transcript:KZM87116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPAHVLVTGAAGQIGYALVPMIARGVMFGPDQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNYAVMVGGFPRKEGMERKDVMTKNVSIYKSQASALEKHAAANCKASAILLCYYKLHNVLLILQHHLYSNIFSCLIQVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLNVQVCDVKNAIIWGNHSSTQYPDVNHATVCGKPVRELVADDEWLNGEFIKTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCANGDWKIVQGLSIDEFSRKKLDLTAEELSEEKTLAYSCLS >KZM86232 pep chromosome:ASM162521v1:7:807502:807759:1 gene:DCAR_023366 transcript:KZM86232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKIALLVLMVTLVLTSATTEGVDAKLSRKVSAGVNNNQKVTNKNVAAGNFEVINDADGFYPRDSSPGSHRRYDTKDAPGQGRH >KZM88516 pep chromosome:ASM162521v1:7:28545488:28545984:1 gene:DCAR_025591 transcript:KZM88516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYEQTMLRMTILTLTEEEEGLQAFNPLQFRVPCMCLGFLKEVYHLRNFTEPRRGQYTAHDENDTKGDLPDFWKGYMYLGPPTKLCSKCKAVMWNEERNNKCAKHSDPSFSICCRNGQVELEPEKQPTSTE >KZM87186 pep chromosome:ASM162521v1:7:12208458:12210089:1 gene:DCAR_024320 transcript:KZM87186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALFSFSLLAFHFAVLLSLYCAEMPVQASCKTFFQCLTNRSDHLTPISQVLFTPESASYTSILQLGLRNLRFTSPEFLRPQVIVTPVHESQIQSVIYCARKHSMHIRIRCGGHDFEGLSYTARVPFVMIDMINLQSVIVDLENNTAWVEGGANIGEAYYRIAEKSSNLGFPGGVRGTVGVTGLISGGGYGAMVRKYGLAADNVIDVRMINANGEILDRNSMGEDLFWAIRGGIASSFGIIVAWKLKLVAVPENVTIFSVARTLEQGATDLVHQWQYVAPKVDRDLYIRIQIKAVNLNVDGSEKTIIVYFESLFLGGADKLLSIMQTSFPELGLVKDDCREVSWLKSALWFAGNSAFRFGESVELLLNRSLIPYLHYKAKSDYVQEPISKEGFQMIWKKYFEIEAGAANILMTPYGGKMDEFLASELPFPHRAGNLYMMYSGVSWQANTSRDEQLKRLNWLKSLYTDLAPFVSKNPRAAYINYNDLDLGIESLTYEEASKWGFKYFGNNFERLVRVKTLVDPDNFFRHEQSIPAFPSSSKDEL >KZM88018 pep chromosome:ASM162521v1:7:23332015:23338572:-1 gene:DCAR_031519 transcript:KZM88018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATTLTTTPYLPTPPKPHPRFPIRCVSTQSPPPQTTPTDPSLKLNKYSSRITESKSQGGSQAVLYGVGLSEADMEKPQIGISSVWYEGNTCNMHLLSLAEAVKEGVVEAGMVGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMAAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKMPGTIMAMGRLNRPSIMVYGGTIKPGHFQGHVFDIVSAFQVYGEYVSGSITDEHRMDVVRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKLDECRLAGKYILDLIKMDLKPRDIITPKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDSVPFLADLKPSGKYVMEDVHKIGGTPAVIRYLLELGLLDGDCITVTGKTMAENAKLFPSLAEGQKVIRPITDPIKETGHLQILYGNLAPEGSVAKITGKEGLYFSGPALVFEGEESMIAAITENPSSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKEVALLTDGRFSGGSHGYVVGHICPEAQEGGPIGLVENGDIITIDISKRRMDVQLSDAEIEERRKRWARPAYKAERGVLYKYIKNVQSASNGCVTDE >KZM89301 pep chromosome:ASM162521v1:7:35787432:35788718:1 gene:DCAR_026376 transcript:KZM89301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTQQYVKMYVDRNSLRSLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGQRVATGSYSNLFRVFGSVAGSTEATTLEASKNPMRRQVQTPARPARSLSSSLTRVVRRGAESPGIDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >KZM86422 pep chromosome:ASM162521v1:7:2665545:2670109:-1 gene:DCAR_023556 transcript:KZM86422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRSGGRKLSFDILNTATFEDNDSTKRPMHRSKSDPPALEANAATSDSKRNRRKKRNKSSRKNAGSECLEDIIEDQCETSHVCNGSVSKNVVYEEMSAVPEEKSVVSVEFCQLHGGELRQRSVNAREENVNANEDENGNGNENEKFSGDRKEVDGVVKDKRSRKLEREESLDWRRVVMAKDPNYFLSIEKSPLKYFVEEMNCGNSLRTTSTLANEEDRERVYDTIFRLPWRCELLISVGYFVCFDSFLSIVTIMPIRLIMVAWRNLNARHFIRPSAAELCDFGCFVALACGVFLLEQTDISLIYHIIRGQGTIKLYVVYNVLEIFDKMCQSLGGDVLHTLFNSAEGLANCDQENTNYWLWRFISDGALAVISSNILVFFCCIIVHSAEAITLSTCVVAHNNTLLALLVSNNFAEIKSSVFKRFSKDNVQVMVYSDSVERFHISSFILFVFAQYTVEAEYPWFEKFLFNASMVYICEIMIDTIKHSFIAKFNDIKPIVFSEFLEDLCRQRLKLEEENEKKKLTFVPLAPACVIIRVLSPVYAAHLPQGPFVWKLFCIFFLSAITFLMLTTLKVMVGMGLQKHATWYLERSQKRKLHCD >KZM87953 pep chromosome:ASM162521v1:7:22436409:22436654:-1 gene:DCAR_025054 transcript:KZM87953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRCSTKQYYLDSVFHQAKLARRARSQGRRAVRQRWGNWIVEQEPDHVHVIYNIPGPIPHNLNEIATDNSLLRGFLLDD >KZM88093 pep chromosome:ASM162521v1:7:24297322:24298974:-1 gene:DCAR_025168 transcript:KZM88093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDKIDALVDIQSAEELLLNAQDLVLIALHRAREVKGFSARWKTIITKLELVPACLSDLSSHPYFSKNTLCNEYLQANLKTLNEAIALAEMCGKENYRGRLQMQSDLDALSGKLDMNFRDCGLLIKTGILGEVAVNSRTELEFSTRELLTRLQIGHLEAKHKALDGVLEIMQEDIKKVSEVLGRSNIAALVHLLTATSQRIREKTVSVICRLADCKCLESWLVEEGVLPPLIRIVESGSAVGKKKATLSLQRLSVSVETARSIVEHNGVRPLIEICQTGDSVTQAAAACTLKNLSAVPEVRQSLAEEGIIKLVITLLDCGILPESRDHAAKCLQNLTSTNNNLRKLVISEGGIPSLLAYLDVSLPQDSAVGALRNLIGSVSVDNLVSADLIPRLVQVLKSGSLNAQKAAATAICRVCSSIEMKKMIGEAGCIPLLVKMLDAKSDSAKEIAAQAISSLMTLPRNCRELKQNDKALLSLVQLLEPSPQNTAKKYAVSCLVSISSSKKCKKLMISYGAIGYLKKLSEMDIPGAKKLLERLERKKLRSLFSRR >KZM86655 pep chromosome:ASM162521v1:7:5344931:5346831:1 gene:DCAR_023789 transcript:KZM86655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLNLSDAASIVNVIKYGAKPDGKTDSTQAFVKAWVIVCNSAQAVTMYVPQGRFYIRAVVFRGPCKNKIIVQIDGTIVAPADYRALGSTGSWILFTRVNRVAVIGGTLDARGAAFWKCRKSGQNCPQGAISITFEWGNDLKLSGLTSINSQAAHLMIKRCNNVMVRNVRLNAPDQSPNTDGIHLHTATGVIITGTTIQTGDDCVSIGPGTRNLWMERIQCGPGHGVSIGSLGKNANEAGVQNITLIDSAFTGSDNGLRIKTWARPTNSFVRNVVYQNIQMRNVRNPIVIDQNYCPHHQCPHQTSGVKITGVTYKNVQGTSASKVAVAFDCSPTQRCTGIKLHDVKLSYLDRDARSMCKNSGGKTTGISMPNSCLKN >KZM87636 pep chromosome:ASM162521v1:7:18833522:18834538:1 gene:DCAR_031924 transcript:KZM87636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQERGWESFCEAPEAVPLAIIREFYANAKENRDGFTVVRGIRVDYSAEAIRRVIGGRAKRRNEEDWVVERIGRAKRRFDDDPVDLERLVYDMCVPDTTWKMTAPPLPAHVSFPAAALNRYAKAWNAFICANIMPSSHGHEVTVDRAILLFGIVSGKYIDLGHVIHQGILRFLQGGTTGAIPYGTIVTKLCRSSGVRWPANEQLQLPAAPIDHSAISRMTEWDGGVPHPRGLGYIYDEMPGGRPGFIRRERTRASGAGTSQTERSSEPMGDVHYRRLARRMDTMHDIHQRFAFDLTQALGSAFQAQGVTVQWPVFGAGMQYPPPDSPPAEEGEDSDSE >KZM88348 pep chromosome:ASM162521v1:7:27056372:27056737:1 gene:DCAR_025423 transcript:KZM88348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQCLCGSWAVEKISWTEYNPGRKFLTCVNGRCNFFKWSKPEFDARSKSIINGLLRRLKGKDDEHFAEMIRAKEEYRDFYKQEMNDAKKEARNWKCFAVLMLLYVCQRWFASIGGDENNV >KZM86196 pep chromosome:ASM162521v1:7:536865:539267:1 gene:DCAR_023330 transcript:KZM86196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWEASDWSGGCIRKNLQDCSTDGFVKYTGVKLPDTQHSWYDMRISLHECGRVCLQNCSCSAYANADTRSGGSGCILWFSDLIDFVGYKEHGQDIYVRMPASEIGEWDGRRSRGQRHIWIPGVLAWKCYNEDRLVELIDELILESSKQMEVFRVILIGLLCVQEDPIDRPVMSEVVQMLSSNTTLPHPKKPGFFIERRLHETEHLLSNPNFSSGNQMTVTSIVPRE >KZM89127 pep chromosome:ASM162521v1:7:34097734:34100145:1 gene:DCAR_026202 transcript:KZM89127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRGRAGYKPRPYKKTEVLQWLKSLPLAPEFHPTLEEFQDPIGYIQKIEKEASVYGICKIIPPVLLPLMKTTFDQLNKSLLACSASLEGELKPTFTTRVQEVGSCQRKHYPVIKSVRESGKTYTVAEFEAKAKSFEKSFFKGSSIKKGALSSLEIESLYWNANAEKPFEVEYANDMHISAFVELEKRRGGDGLNEYLNVGDSNWNLRGTARLEGCPLRFIKDDIPGVTSPMVYMGMLFTWFAWHVEDHDLHSLNYMHMGDKKTWYGVPQDSAAAFEEVIRNHGYRAEMNPISTFASLAKKTTVISPEVFLNAGIRCCRLVQNPGEFIVTFPRAYHSGFSHGFNCAEASNIATPEWLRFAREAEIRRAAINYPPLFSHIQLLYDHALSFSSRVPVSMETRNSGLEEKHKGEGERLVKELFVQDVKHNTNLLHSLRKRSPPILLPCDFFKGNFPDLHNEPEH >KZM88191 pep chromosome:ASM162521v1:7:25332300:25333688:-1 gene:DCAR_025266 transcript:KZM88191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHSQLIVIMVPFPAQGHLNQLLHLSRLISSYNIPVHYVGTSLHNRQARTRVQGWDPKSISGIYFHEFNVPSFASPPPDPGSPLTHLQPCFDTAQHLRGPFATLLKNLSSVAKRVVVIHDSLMSSVVQDVGSVKNAEAYVFHSVSAFTVFLHLWEEMERPFPISVDMLKDVPSLEGCFSAEFKNLISNEYKCIKFDSGRIFNTCRFIEAPFLDLLGRQEISKGMKQWALGPFNPVVTLSQNKCRSRHGCLEWLDKQAPDSVIYVSFGSTTSLTENQILELAVGLDKSNQKFIWVLREADKGDIFAENDQISQIFSMLPKGYEEKIKGKGMIVRDWAPQLEILEHSSTAGFMSHCGWNSCMESITMRVPILAWPMHSDQPRNAVLLTKFLKIGLAVKNWASRKDLVSAETIASAIQKLMESEEGSEIRKRAAKMGDDVRKSVADKGVSCLELDSFVAHISR >KZM87163 pep chromosome:ASM162521v1:7:11891665:11894930:1 gene:DCAR_024297 transcript:KZM87163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFLSNNSQVKSHILSLFLALGRGLQSEQNNVQETKGASDGGLDLTMSDMVVPDSLSGGGGTMFMSRMGVVNIGDLLEEVQMLRNVVANKEYLGTSRDVMSRTAAETWTADVTCGNSQISREAAKDKSVGMQGLMKGESENQNAKFADQFETDLPVEGDPNTNDDVVDVYFFMN >KZM87403 pep chromosome:ASM162521v1:7:15983813:15984570:1 gene:DCAR_024537 transcript:KZM87403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLHSFSSSLSAPAASPSQLISPRLHHRRFPVTAASPSPAAADARVSILARNLEKLDADQQSIRLATGIDVDNADVCNQGVFVLQELESHDLEQSKFMIDVNVIATFHLIKATLPGMKGRKGCCPGSIAIISPQAGQVSHTY >KZM88264 pep chromosome:ASM162521v1:7:26284874:26285841:1 gene:DCAR_025339 transcript:KZM88264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLVEEKQLRRASSIHVNVFFGEATTQFPTARGGEAYRNIAVGLSETYGIDYSVS >KZM87916 pep chromosome:ASM162521v1:7:22027866:22030805:1 gene:DCAR_025017 transcript:KZM87916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEIVKKKKEECTLDGSVDRHGSPSVRSSSGNWFAAILILVNQGFATLAFFGVGVNLVLFLTRVMGQDNAEAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQSIFVVGLVSLSVSSYIFLVRPNGCGDEHVACGSHSKFAVLIFYVSIYLIALGNGGYQPNIATFGADQFDEDHPKEGIAKVAFFSYFYLALNLGSLFSNTILGYFQDKGMWGLGFWASAGSAVVALVLFLVGTPKYRHFAPQGNPLSRFSQVLVAAVRNWTVKTPPNGEELYEVYGKESSTNGERKILHTNGFKFLDKAAVITSKEENLNNVNDDVQDIDPWRLCTVTQVEEVKCILRLLPIWLCTILYSVIFTQMASLFVEQGAVMKTTVSTFHIPPASMSSFDILSVATFIFIYRRVLDPLVARFKKSSGSKGLTELQRMGIGLIIAIMAMIAAGTVELFRLQYAKNSGSLSIFWQIPQYVLIGASEVFMYVGQLEFFNDQTPDGLKSFGSALCMTSISLGNYVSSLLVTIVMRFSKNEKMPGWIPGNLNKGHLDRFFFLLAALTAADFIVYLICAKWYKYIKVEGRNGGTNRGEDNDLRV >KZM87821 pep chromosome:ASM162521v1:7:20825273:20826880:-1 gene:DCAR_024922 transcript:KZM87821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQNNMIGTSTPSDLPVKRKRGRPRKEKNVVKENPHLALTPASDDIQKTQEAELSQSTNVDGNMVGQEVSGVIEGNFDSGYLVSVRIGNSSTLLRGVVFLPGKVSPVTALNDVAPGVKSYKFRESPFPAVNPTRQANGSVSRSVPSIDQPLQQENKVPIAPNQVVPPVLQSSAPVTLSNPSASIVFPLNNMPQNGNGSPLAGKIAPEQKLGFEGLSESSLVTVPLTNVSKIEADMSMGGMKVNQQPYTDFRPENQPASTMVQMPNMPNFVSSTSLDVGKLVAEATPQFAFEKQPPSLLKNLKMVEQDEVMQVFETPAPEPMIDIISGSITTNQLPPVPNQTEKSEVDLHHTSPTSDTQFLLSEPQTESEPCHTEQVQSDMHNSNLKVLQTPEVTYSQLVPTESGHVDSGVESSEPMYTKTVNSSLKLNENTAVSEPQPVILEPTSEPMDIVKDMPVCPTNGGQPEPAEMELGEHETSQNGKHGHDVGSSKEPVALPAKPAEETVLSGIGDSKIASSPIHNTDMDFPRVEAQSQC >KZM87992 pep chromosome:ASM162521v1:7:23002527:23022658:1 gene:DCAR_025093 transcript:KZM87992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFSVTAASQIRHLLNNVTAANFDSVYQQLSEFIGYGTEESILVLQTCFDQLNFQWKDYKNIQLEPIFVSIFRNILNRPNFSTLLCQSIKSLSVNEDLLDSLCKAMQLAASERIVLGLALSESENADVRMCGKSFCISQIAQLCSSHESLGSAEQIQNILIFLTQSKGLCNHVDSFIEILSLVHLKGNSEFILAPLLTNELREVNFFSNLDMFNENSENEFDIILAEMEKELCMADLMKEFGYGCTVNVTQCKDMLALFLPLTEVTVARIFGSVVHTNSELDRYQNTYSTFCSAISGSSLSDLPHLDSWSVDVLIESITQLAPGINWINVFENLDYEGFYIPNEASFTLLMSIYRRTCQEPFPLHAVCGSVWKNAEGQISFIKHAVSVSPEVFSFANSARQLAYTDAVEGDKFHLGHSNHAWLCLDLLEVLCQLAERGHAGSIRPVLEFPRKHCPEILLLGVASITTTYNLLQYEIYSSVFPGLLKNTTGTSVFLHLWHVNNSLLLRGFLDAFSIDPENIIKVLDLCHELKILSKVLDMVPFSFGLRMAALGSQKELIDLETWLSINLVIYKDTLCEECLKFIKEIQNSTQEQSSACFNQCSSLRNIYSETISTFLKVLQANASLISSSDLLEEIEKQNMTVMQSNVRVKNPSGTESTADGYANDVETEINSLFHQLFSGQLAVDAMIQMLDQYKESSEKREQSIFECMIANLFEEYKFFSKYPDRQLNIAAYLFGSLIQHQLVTHLTLGIALRAVLDALRKPVDSKMFIFGTKALEQFVERVIEWPQYCNHILQISHLRGTHSELVACIERALVKISPSRSELDVGPVPAVDQHQKSIPAANMETQVSAFPIIGSTTMRIAAQASSVQLQQRQQSLLEEERKASLPASSYMKLTSPPAMNPSVSTSDASSTLKAFSAALSSSNNLVRPSRAAPATRFGSALSIETLLAAAERRENLIQAPASEIQDKISFIINNLSPANVEAKAKEFSEFLKEQYYPWFAQYLVMRRASIEPNFHDLYLKFLDKVNSKPLNKEIVQASYENCKVLLGSDLIKTHSEERSLLKNLGSWLGKITIGRNHVLRAREIDPKSLIIEAYEKGLMIGVVPFTSRILESCQNSLAYQPPNPWTMGILAILTEIYAMPNLKMNLKFDIEVLFKNLSVDMKDVSPSSLLKDRAKEIEGNPDFSNKDVAPSQASIVGDVKPGIVSNLNQVELPHDVAIPSHPTVPSHVLSQYAAPVPQSMSKSEDEKVAPFVISDQLPSDQALLQVQSSFPVSQLPAPASSIEQQVVVNPKLHSLGLQRHFQSVLPPIMDRSMKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIRNAAHLMVASLSGSLAHVTCKEPLRASIISNLRTSVQGLTLANELLEQAVQLATNDNLDLGCALIEQAATEKAVQTIDGEITQQLSVRRKQREAVRPAYFDTSLYNQGHMGVLPEALRPKPGRLSHSQQRVYEDFVRLPWQNQSGQTSNPSLVGPSSTASVGFSEGYSSASSLYPTIGTTGMGAVADSSGLGPEDLDAGSAKQLNSSTVHSGLAECVDQHNFGSGVIPISTCEVPAVHTNAVKESGEHAQLLSSTSTTEKFGSSILEQSLTTGDALDKYQLVSEKLENLMTADCTEADIQALVAEVPEIILGCISRDEAALAVAQKVFKGLYENDSNIAHVGAHLAILAAIRDVSKFLVKELTSWVIYSEADRKFNTDITVGLIQSDLLNVADYNVHMAKLLDAGRNMIDNNGWMHMIAEPATDFSISLIKTLARNNPKVISELHHLVDALAKYLNPDTQLAARPGSPESLQHLVEIARNPSALSDLTVGKEDNVRHSRDRKVPRIAISTIAWQASGHSAASREEFNLLGSVEPDPVGFRDQVSMLFAEWYRICELPGPKDSASARYVVQLMQSGLLNGDDMSDRFFRLLMELSVSHCLQSHQQTQPLSFLAIDIYAALVFSILKFCPVDHGSSKLSLLSKVLAVAVRIIYKDAEGKKASFNSRPYFRLFINWLFDLATLEPVSEGANLQVLIALANAFHALQPLKIPAFSFCDVIPSSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLVEITQAPCILSEVDTALRAKQMKSDVDEYLKTKQHGSFLSELKKKLLLPPVDAAQAGTRYNVPLMNSLVLYVGVQAIQQLQARTPSHALGSGSLAAFHVGPALDVFQLLITELDTEGRYLFLNAVANQLRYPNNHTHYFSFILLYLFAESNQEVIQEQITRVLVERLIVSRPHPWGLLITFIELIKNPRYNFWKRSFTRCAPEIEKLFDSVSSLCGRSKPVEGVVSGGLSDML >KZM88424 pep chromosome:ASM162521v1:7:27795912:27798398:-1 gene:DCAR_025499 transcript:KZM88424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVLSRIKPRHITKLKPSLPLYPSSTNINKIVNQVCDILRTRHIQWEQTLETKLSECEVVPSDIAHLVFDKIQDSELGLKFFYWVTDRPYGCSLDASAYSSLLKLLARFRVFAEIESLLESLNCEEGLVSCDAVDCVIRAYSYSGLVDKALEFYTFAIEKCGVVPSVVGCNAMLDVLVRVGRFDVARRIYDEMVERDNGSEDSFVDNYSTCIVLRGLCKEGKMEEGRKLIEDRWGQDCVPNVVFYNTLIDGYCRNGNVSGGYLLFKDLKRKGFLPTMETYGALVNGFCKKGDFVSVDKMLKDMKLNGLSVNVQVHNNIIDAQYKHGCPVKAADTLRKIMESGCEPNIVTYNTLIAGSCSTGNVGEAEQLLELGTKRGLIPNKYSYTSLIHVYCQQGNFDKASHLLFQMTEGGHKADLSTYGALVHGFVVAGEVDVALSILGKMMENGVSPDAAVYNVLISGLCKKGRLRSATDLLSEMLDRNILPDAFVYATVIDGFIRNSALDEAKKLFEQKIERVNGFSNNAISAISEVQHESQEQNKSLFLDFFKRSMSDGWSPQIAAYNSILICLCLYGMLKTALQMNDKMASKGCLSDSVTFAALLHCICLEGKSSEWESIMSCSLNGPDLNVALKYSLMLDQYLPHGVSSNASAILHSLVEDYRSHNQEVTDLEASAT >KZM88730 pep chromosome:ASM162521v1:7:30812661:30813716:1 gene:DCAR_025805 transcript:KZM88730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGMPSTTSNLFTNSANQPNQITHQPIQNIYVNTHAPPPGFAPIQAGFGQNYYNNFEGQPAYSTYINPAYQAQGSQIPNPAGFSFHVGQMTVDAPFYGQGTPAYQYQVQQMRTHDNFVSHQQAPPHGQVQRNHVVQDIPVAQPEYRPIIPETQSRIQWTPELHEAFTRAVEELGGCFSAYPKYRG >KZM88044 pep chromosome:ASM162521v1:7:23910837:23914322:1 gene:DCAR_025119 transcript:KZM88044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPIGADLASGLLIKLVSLVTEEVIQAWNIHQQLQTMRGRLESIDALLSDAATKKLTMSAVQNWFNKLEAVAHVADALMDELVYEATRRKVEDGHKVRDFFIPSKNTLLYRFKVAHKINSIHASFDKLFKWAGDLGLQPVAHVVSVVQPREIRNTPPFEEESEIFGRDDDVSYLVQTLCKNHHEHDLPVIAIVGMGGQGKTTLARMVYNREEVIKMFPKRMWVTVSHDFDFMRILNEMVESLTSATSVLKNTQGVIYALQKNVKDERFLLVLDDVWNEESGEWEKLRNSLLGVGGARGSTILVTTRKQEVINTMKYCVPYPVKKLSEQDSWALFKQRAYSPRRDSENETFAGLGRSMVERCGGLPLAIKALGGLLHSKKSKQEWQLIKDSEMWKSKDVLPSLRLSYDNLPYSGLKRCFAYFSIFPKDSVIYKDELVHTWMALGFLSPHDDRKALAEDIGNEYFSILLWNSLLQDVERDEYGNITCCKMHDLVHDLALDVSSSYSATAMPSHDFNQLSKAKYVRLKGFKDVKAQKVEAYIDLVQALYADCTVFGLMLLNLKHLRVLVISSSILLEELPKSIGTLKNLKYLDIPSSLSLPNNITRLYNLQTLRVGPLQELPKRFCNLINLRHFVIKRNYVNNNPRTRCMFIGIERLISLQTLPHFVVSRDNNCLVEHLGGLENIRGTLELYGLGDIKSIEEAAKACLGTKSNIERLKLVWSNNENEMEEVEYNDEDVMEGLTPHPNLKELTILDFKGKNIASWIAMMTNLVRITLSDCNRCEEFPPLGHLPKLREMEICRMRDVRVIKSDFHGDVYSGSSEFSNSGPAKLVTTLYPSLTKLSLCDLPKLEEWLEPIMSTGLKDLSALVVFPKLEVLEIMSCSELRRIPSSSLPSLKKLKIRHNSMILETLSNKVSSLTELRLNDISNRDGASSSSQNINALMDKLLKQNSKSLTLLNLNDCPGLTRLTLGVAMQGLRVVNCHDLTSINVFEDSVGLKYLMIGSCPSLLEWTFVQSMRSTLVRLSLSRFSEESDEFPWPAFSSSPISFPNLSKLALIGREKVESIIPEGKLDDRLSSAFPYLTHLNIRDFEGLRALPESIARLPSLEGLHIWNCKNLRSLPTFSESHTLKFLEINGCPVLKERCTKGSGTEWFKIQHIPDIIW >KZM88106 pep chromosome:ASM162521v1:7:24573161:24574752:-1 gene:DCAR_025181 transcript:KZM88106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSQQPMINLSGSGRKRNKENQSDASLAVFLLAMDSQIIAGGQSHNIEF >KZM87247 pep chromosome:ASM162521v1:7:13086579:13090375:1 gene:DCAR_024381 transcript:KZM87247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRMFDHIQSLDKSRSNWKIKARLTRFWPTFSPETAAIKGFNLILLDDDVYPDNWRAIDKEVAEGKVYVLENFQVRETIGKLKPVSTRLCIRLLSSNVIEAVEDDVMIPKHKFEFMDMGDLLDECQRLTENQNPEFAYDVIGVVEHFKKVKKVQTKYGERDQSRFIFSDGSLKFKVTLWGDLASSVSESFKPDLEKPVIGILTSAKLSTFREEHQIGALPSTKIFFILDIDSVAEFRERLIEEGYKPPEDSDEGTSEPPLSLVIEKTSFKDLIENSVTYRDKRTVIVKFLITKIEDEDNLWFNSCVSCQAEVEKVDKKFKCPECKRSFGYAEKRFRIVALADDSTLVTNVILMDRFVKRVAGTTVANILSEIKKDSSVPVLSTLFQTIIGKDVTVFIKLTDATVAGDSNLYNIVDICDSPTDEVAIVQASPSNTSASFTMDGALADIELFQTLGSSQSVTKKIKMEGTKE >KZM89216 pep chromosome:ASM162521v1:7:35025744:35028704:-1 gene:DCAR_026291 transcript:KZM89216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVRGGRGGGSALSVGIRNFFSYRIFVSAMFTLLFLATLSVLFSSHPPPLPAHSSVIQSTGNAYMHRTFLALKSDPLKTRLDLIHRQANDHMALVNAYAAYARKLKLDISRQLKLFDDLAQNISDVALKPKYRTALIESDAPVDEDLLRQFEKEVKDKVKIARLMVGDAKESYDNQLKIQKLKDTIFAVNELLIKAKKSGAFASLIAAKSTPKSLHCLAMRLMGEQIANPEKFRDDAPKPEFEDPTLYHYALFSDNVIAVSVVVNSTVMNAVEKSKHVFHVVTDKMNVAAMKVWFKMQPVEGAHVEVKAVEEYTFLNSSYVPVLKQLESAKLQKFYFENRAENATKDVNNLKFKNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQKDLTPLWRIDMEGKVNGAVETCFGSFHRYAQYLNFSHPLIRDKFSPKACAWAFGMNMFDLDAWRREKLTEEYHYWQNLNEDRTLWKSGTLPPGLMTFYSTTKSLDKSWHVLGLGYNPSISMDEINGAAVIHFNGNMKPWLDIAMNQFKSLWSKYVDNTMEFVQMCNFGL >KZM88200 pep chromosome:ASM162521v1:7:25420201:25420701:1 gene:DCAR_025275 transcript:KZM88200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRDASGRRSTKSLVEVDAKCELPNNLFVFILNEEGKSPVEVCLRVEYPWRPIWCTKCSKFGHSIHVCPILAGLIYQEQYMLKENSRGGQDNKDQEDGFTVVQWKVKEKMVDPRGTDQQAKRNGKSAKFQFHSVKKGVIIKDKQCNSSLDNFGSSGGKQGQQLQIT >KZM88327 pep chromosome:ASM162521v1:7:26847314:26851137:-1 gene:DCAR_025402 transcript:KZM88327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLLGVASPAIFSTNYSCISKPNSFNPRQSFQNCNFRCFNSGHFKNSSFQPVHASAASIGLDAKRRIDESDCYTLDGIRSSLIRQEDSIIFSLVERAQYCYNADTYDPNAFWKDGFQGSFVEFMLRGTEALHAQAGRYKSPDEHPFFPEHLPEPILPPLQYPQVLHPIAESININNRIWDMYIKNLVPRLVKEGEDGNCGATAVCDTICLQALSKRIHYGKFVAEAKFRASPDAYETAIKKQDKAQLMDLLTYPEVEDVIVRRVEMKTRTYAQEVTDDDAEPVYKIKPSLVADLYGTWIMPLTKEVQVEYLLRRLD >KZM87719 pep chromosome:ASM162521v1:7:19683374:19686421:-1 gene:DCAR_024820 transcript:KZM87719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLKSSLAFIFIVLLPVLLQLILITQAQLTPPPPPQAQTQAPPTSPPPPQQAQTQVPTPPPPPPPQAQTQAPPPPPAGQTQAPSIACKTSVYPKLCRSLLSAFRNAPSNPTGYGKFTVKQSLKQAKRLSKTINRYLKKSKQKIELGHEERNAIQDCGQLFELTVDYLETITLELKKAESLTDGLIGKIETLLSGVMTNQDTCYDGLVDSGSNLAAALKEPISDATVLYSVSLGLVTRAIGRDRKRGPRKGNVWPGQGFPTNITLSKLKKYLREYAKGGPGRRLGDEESGIVVDDIVVVDPEGYGNFTTIQAAVDSAPMNSKPEDGYFIIYAVKGVYEEYVVVPKQKKNVMLLGVGINRTIITGNHSVVDGWSTFNSATFAVMGERFVAIDVTFRNTAGPEKHQAVAVRNNADLSTFYRCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNSASVFQNCNIYARKPMPNQKNAITAQGRSDPNQKTGISIHNCTIQAAPDLAEDTNGTTKNYLGRPWKEYSRTVYMQSFIGDLISPDGWLEWNGTVGLDTLYYGEYRNHGPGSNTSSRVQWPGYGRLTATQAWNFTVFNLTLGDTWLPLTTIPFVEGLLNDVD >KZM86574 pep chromosome:ASM162521v1:7:4564313:4564672:-1 gene:DCAR_023708 transcript:KZM86574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSSMFCTWFVGACISVTCENDQQSPILSGRRGSSRRRRLLSGGGGRAQLASSLIPAWCGSSSIQGLMSSFEPCNEFYSSRGLSSSDFLFGDSIFGSRDVPRRRRIQPAASWSGVC >KZM86862 pep chromosome:ASM162521v1:7:7781884:7782549:1 gene:DCAR_023996 transcript:KZM86862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPQPPNLPTVIIQHPSTGVSADPQPPTATSAITSFFVNISETVRHRLAHCRPWSELVDRSAFAKPESVSDATARIRKNYTYFRVNYLILIAIVMAFSLVTHPISLILLLGLLASWIFLYLFRPSDPPLVIWGRTYSEREILGILVITTIAVIFLTSVGYVLTSALMVGLAIVSGHGAFRVPEDLFLDEQDSASTGFLSFLKGATGPSPSPVITATVRV >KZM88524 pep chromosome:ASM162521v1:7:28820255:28822277:-1 gene:DCAR_025599 transcript:KZM88524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKFTPLASIQSGFNETNLKIKVRVIRMWRGITKKGEEFTSFNILLLDGKNSTIHAFIPAVCAYDLERKIMLGTINIISDFTVQAYKATESFKCVRAQNQLIFSQETKIQQLDEKQAKIANEFFDLYDHSEVKPFANQTAYLIDIVGIITDHEIFINNLTNRHGEAQEQAKFAITDGSSLWKVTFWDKFARLFVKAIWEKLETPVIIIIAGCRVLNWNTEVILTNVASRKFYLNYEHHSVKHLRRMLKDPDFAKKVARNTVSRKADLLTVEQIKALGTDFIQRNVLTHVNIMHVDENQPWYLFVCTSYNVEVQPENGLYCCQPCKRIVPYPEIRFRLVVLASDVTGTVQIILHDRQIRTLIGKRARQVVQEQGTSKHFPRDFTLMAPKPYTIKMEIHETNITSKNSLYWATNICHGFKLEETEVPVQQTVTTNDEQATSSTINVQGLSGLNCNSSAITKD >KZM87749 pep chromosome:ASM162521v1:7:20059482:20060992:-1 gene:DCAR_024850 transcript:KZM87749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSYHQAGYPLLPSELLTDHNHNQSLQASDNHQLPTEFPYDFASFTCPPHDNQEDDFFTGLTRQFALSTLLDSNKLQYPAVTASQAGKKWRLSSSPQSVLGPVFSNGSPTGPLHGPSSPTGPFSSTDYSWDLIHEAAGQVNTHSQLKNQQALRTQSALLRTANSFPPHRSSSSHQCYFVDNHLKSHCDSIWEWTEACQKGGDGNVGCRRPNARSVNPHGLFNVNGVGVDSSLFFPGRRKNSLGNECGAFKRKCAGTGVFLPRRGPDPVQSRPKFGSSAAEAMNIKYGARFAEDVLAADVIAMRRNAVLAQQWRNEVKMMMAAASCKGKWDTLPE >KZM86498 pep chromosome:ASM162521v1:7:3395073:3399556:-1 gene:DCAR_023632 transcript:KZM86498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGDRSARDIICDAGAGAAAGAIAATIMCPLDVIKTRLQVHGLTELAHTGRKGGIIVTVFQNIIRAEGVKGLYRGLSPTLVALLPNWAVYFAVYGNLKSLLHSHADSNGQLTFGANIIAAAGAGAATAIATNPLWVVKTRLQTQGMRPGVVPYKSTLSALRQILLEEGIRGWYSGLLPSLVGISHVAIQFPAYENIKCYLAKKDNTNVSELSAGKVAIASSLAKIGASVVTYPHEVIRSRLQEQGQVRNSKPQYAGGIDCLKQLLQKEGFSGLYRGCGTNLLRTTPSAVITFTAYEMIHQFLDRALPPDDKHSKAHPKPDDNINPSKQSKGMGEGNNFKLSRSEITSNEQTPLIPLRNTDQRTAER >KZM88772 pep chromosome:ASM162521v1:7:31200238:31201398:1 gene:DCAR_025847 transcript:KZM88772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNTIKDAFDRVVKKQKLSSSKSQDMIERVSQEIEQALSRMQSVVGTTSQPDHKVVLAEVKTKLKEMSPLSQLDNPQKELSVALNKYPKILEKSFNPDISKAYRNVDFDVHTVNQILASHFYREGLFDIGDCFIEEAQEPEYADKKSPFLELFRILEAMKSGELEPALEWAATNREKLDQSGSNIEIQLRRLQFIDILQKKGRDEALKYCRKYMTPCAHLCMPDIQKLMACLLWAGRLESSPYYDLFAPHHAEKLAEELAQQYCKLLGESYESPLSTTIAAGVQGLPTLLKLMNVMTGRKQEWQNMKHLPVPVDLDKELQFHSIFVCPVSRDQASEENPPMLLSCGHVLCKQSITKLSKNNSTRPFKCPYCPTEVEVAHCRQLHF >KZM87723 pep chromosome:ASM162521v1:7:19758885:19762746:-1 gene:DCAR_024824 transcript:KZM87723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSESEQEKSVKRGSPEVKRKRVDKKKMKHSASRVEGGVDASQGSSECKSFNKKKKKKKKHAARLEKGTDVSLGDMAGGSDRHQVKRKRRVKEESFSGLQRFTDASSKGEIAGGSEHRQVKRKRVKHKRGLSCLAEFREQLHLQHAKMALEFYEKSQDDAEFEILRVLYTSHLSLQHPDSECAHLWFHVSFIAKPREADSNISPTHFFGELFRDQDSGRTHVTYCSTFQPSDDPGFNHGCIFCPIGQKLHPSDGYCVGRPPWHKEKRVCGHPPWRMKRD >KZM88633 pep chromosome:ASM162521v1:7:29824374:29828148:1 gene:DCAR_025708 transcript:KZM88633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWWRKLSVGDSRSPSLSISFSDDVPSKSGIFRRRAWSFKPQNCLLTSRAKKQRPLSAISMMRRSPSNGYSIAPQPLPLPELATLLRQEAAHPSNNSVSVPLPSPPASKSREDREKSDAHRRDGDALPLTSGISSKIASQGTLASRRSPGRPYGTEMTRNNKLNVPLSIPASSFSSPSLSPANNFSETLASHHLSPPAFHNWSAPELHSPDMILGHAHPYQPFEKTGISADNSPIHIQKMNFGHSSISPQGVVSPLNRKPADTSTTRRDSSNQTNVHPLPLPPPASTASQSVLSPKFAAKQGKSHWNKGKLIGRGTFGSVYVGSDRETGALCAMKEVELVPGDEKSAECIRQLEQEIQLLRQLKHPNIVQYYGSETVGDRFYIYLEYVHPGSLVNYIRDHCGAITEPIVRNFTRHILSGLTYLHSTKTIHRDIKGANLLVDAYGVVKLADFGMAKHLSGQAANLSLKGSPYWMAPELLQPDMQNESDPDLALAVDIWSLGCTIIEMMNGKPPWSEYEGPAAMFKVLKETPAIPETLSPEGKDFLQCCFRRNPAERPSARMLLEHRFMKNSQQDKAPLGAEYPIHRIDKAQVSSAESAQRKVLNSENRKTGGEIAELKVTPRLSTSSLDGLSISNQSGVGHTMDYSSPAASPSSSKKIGRTRTQ >KZM87301 pep chromosome:ASM162521v1:7:13956450:13956659:-1 gene:DCAR_024435 transcript:KZM87301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLQHNNEEDADGKEDGEPDEDEDGGVDEEQPMTVRRSTRLRAKTQFKFKNTLETAIDLEADDDEDA >KZM88838 pep chromosome:ASM162521v1:7:31654545:31655779:-1 gene:DCAR_025913 transcript:KZM88838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTAKKKISKDNGLDPSEFEESVAQALFDLENTNQDLKSDLKDLYINSASQIDISNRKAVVIHVPYRLRKAFRKIHLKLVRELEKKFSGKDVVVIATRRILRPPKKGSAAQRPRSRTLTAVHDAMLEDVVYPAEIVGKRIRYRIDGSKIIKIYLDPKARNDTEYKLETFAGVYRKLSGKDVVFEYPMNEA >KZM88936 pep chromosome:ASM162521v1:7:32442378:32443820:1 gene:DCAR_026011 transcript:KZM88936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSVEVVVVDISVSRITAWNSEQLPIYEPGLDEVVKQCRGKNLFFSVDVEKHVSEADIIFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIEDLFSPDRVLIGGRETPGGQKAIKALKAVYANWVPEERIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVAHAVGKDTRIGAKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKNRFVNRIVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLIDDKAKLSIYDPQVTEEQIQRDLSLNKFDWDHPAHLQPLSPASKKDITVVWDAYEATKNAHAICILTEWDEFKNLDFQKIYNNMQKPAFLFDGRNVVNPQKLRDIGFVVYSIGKPLDPWIKDLPAVA >KZM86427 pep chromosome:ASM162521v1:7:2744825:2747464:-1 gene:DCAR_023561 transcript:KZM86427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVVEFLLENLKQLLLYHVELISGVKEQVESLHRELSLMNAFLRDSKEKRSQYEVVREIVRQIRDVAYETEDIIDTFVATAALQRSRNRLSRFVHAFDYKSVARQIESIKVRIKDIYDAKKFGIEILKGGGSSTKRLPHKRVPVVEEDNVVGFDEEAKTVVNRLTTGSEQLEVISVVGMGGLGKTTLAKKIYTDRSIEYHFFIRAWVYVSQDYSRKEVFLALLGSLKQLKDEMHKMSDEHLADMLHEYLSTGKYLIVIDDVWKIETWDDLKVAFPNRNCGSRILLTSRNTDVAIHANPVNLPHPLRFLTTDESWELLQKKVFGKGSCPSELGDLGNQISLKCGGLPLAIVVVAGLLSKKDKTRDWWKKVADKVSTYVARDPKQCLDVLALSYHHLPDHLKICFIYFGVFPEDSAIPVWKLLRLWVAEGFIQQTGQECLEDVAEEYLEDLVERNLILVAEKRTNGRLKSCRIHDMLRDLCLREAKEEKFLKVIERNFQDAPMGPINDRRLCIHSHVLDYIRSKPSNAHVRSFLCFAIEEVELPRANTSFIHEAFKLVRVLDVRSIYLYRFPSDIVQLFHLRYVAIYGNFKVLPESISKLWNLQTLIVETTERELDIEVDIWKMSQFRHLHTSGSSRLHGPPAKTRKQYADPFVRRNMQTISTISPDSCTENILARTPNLKKLGIRGKLLTLMEEKGGSSMFDNFAQLGSLETLKLLNDAFPLSPFQANLKRLPQSYKFPPNLKKLTFSDTLLDWKNMSIIGMLPYLEILKLKEKAFMGPHWEPLDGGFGLLRVLQIGRTDLVQWDASSHHFPRLERVVLKHCENLRSVPYGLGGVSALKMLELYWPTLSAADSARLIQQQQHSMMNDGFKLLVYPPGR >KZM86255 pep chromosome:ASM162521v1:7:1028021:1028668:1 gene:DCAR_023389 transcript:KZM86255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEEHHQTHPLTCTSCHARVEKEAESSEKATKLKNRLLYYLFLVALTAVETGVILLCALTVLKSKTPNFRVRAVAVEALRVSNTTNPFFSITFKAEFNVRNKNFGHFSYHNTTVYFYYEDVKIGKAFIHKAQVDARSTRKFYIRVKLTSSYVSKSSLLFIQDLKSGVLPITMQAKMTGKITLLKLLKNDKSTNLNCNMDVIVKKRQLKNLKCK >KZM88519 pep chromosome:ASM162521v1:7:28637087:28638619:-1 gene:DCAR_025594 transcript:KZM88519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKLVSDTELSRGWLLNGKCLSGTAVCFELARQARLLQYNNYGDRDLYVTGDLSKNDVVTQAMIVVPRCRVSQLRTKKGLTKVFYNVRSWPASEAES >KZM88568 pep chromosome:ASM162521v1:7:29266655:29270902:-1 gene:DCAR_025643 transcript:KZM88568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHTLTRRLNQTAQMINSCTDYGTLFSVLQECRLSPNSINTNRTHSSIIKLGYGNYPSLVSLLVSAYISCDEPILAKRLFSEVHCLDFGLVDSNLMIARFMKMGEADVAKKVFEKMHTRDLVSWNSIIGGCVKNARYEEGFSYFRKMLRSEYEPDGFTFASIITGCARTGALGHAKWIHSLMIERKIELNYILSSALIDMYSKCGRIEEAKAIFDSVQKEDVSVWNSMINGFAVHGLASDAIAIFTMMEEKNIPPDAITFIGILTACSHSGLVEQGRKFFDLMETHYLVHPQIEHYGAMVDLLGRAGLLEEAFDLIKRMLVEPDVVIWRAFLSACRTYRNSDMAEVAVEKISRLESGDYVLLSNTYSSLRQWDSAARVRDGMKQKGVHKGSGKSWVETGGLIHHFKSGDHSHPETVEIYKVLEKLISRTRMEGFMSSTELVLMDISEEEKEQNLNYHSEKLAVAYAILKSSPRTEIQVSKNLRTCIDCHYWMKIVSRILNRVIIVRDRIRFHRFEGGLYYGTLFSVLQECRLSPNSINTNRTHSSIIKLGYGNYPSLVSLLVSAYISCDEPILAKRLFSEVHCLDFGLVDSNLMIARFMKMGEADVAKKVFEKMHTRDLVSWNSIIGGCVKNARYEEGFSYFRKMLRSEYEPDGFTFASIITGCARTGALGHAKWIHSLMIERKIELNYILSSALIDMYSKCGRIEEAKAIFDSVQKEDVSVWNSMINGFAVHGLASDAIAIFTMMEEKNIPPDAITFIGILTACSHSGLVEQGRKFFDLMETHYLVHPQIEHYGAMVDLLGRAGLLEEAFDLIKRMLVEPDVVIWRAFLSACRTYRNSDMAEVAVEKISRLESGDYVLLSNTYSSLRQWDSAARVRDGMKQKGVHKGSGKSWVETGGLIHHFKSGDHSHPETVEIYKVLEKLISRTRMEGFMSSTELVLMDISEEEKEQNLNYHSEKLAVAYAILKSSPRTEIQVSKNLRTCIDCHYWMKIVSRILNRVIIVRDRIRFHRFEGGLCTCGDFW >KZM88540 pep chromosome:ASM162521v1:7:29030696:29031955:1 gene:DCAR_025615 transcript:KZM88540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIRQHERINLAGLKAEIVRKIGPERSKHYFKLLDRFLKLKVNKVEFNRICKGIVGRENIPLHNQFICSILENVYTAKVPPLANGVDVRKAAVVVDCKEPLGDVREQNGFHPVINQPQNPSSLSNGSILPLSPKKARTGIRERRGGDRRSALGANGKAQQPLVTQCSDFRVASENGEFITPNRTVQQHQGLKRQADKDTQLLDDHPVKLSKVSAVGTISVHSTDQTELIAKKDVTEVSARRLLNPPLGIPSSAASTGGARKLVPLASSSKILSSFDSGDLMDTVTLKEHMEQIATANGLEGVSSESAEILNNGLEAHLKGLVKSCHELVAARSGLFQSDHISQKHQVHLRTFNGYRPGYHYPTQSSSSPSEVTHEHRPRCPTSLLDFRTAMELNPQQLGEDWPLLLEKIRAHADEEEM >KZM86975 pep chromosome:ASM162521v1:7:9256989:9257449:-1 gene:DCAR_024109 transcript:KZM86975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYGLVLPKFVKFLTAAETNSDELLSILTYGNRFAPSLLFKFHNGYEIVVQFLAEEGTFYGLSTMYDDFELEGGEMLIFEVNGIFDFNVYIIGLDLTEIEYPNVVHYMQNSHPKVGKILMTSRL >KZM87161 pep chromosome:ASM162521v1:7:11879832:11886759:-1 gene:DCAR_024295 transcript:KZM87161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSERRCGLSLFYTKVLQKNFKEDCVCYGPSTVSKNPIYHWLSWVKTGMTMTEKILARASEKSQLRPGENVWVDVDILMTHDVCGPSSFDVFKKEFGQNAKVWDREKIVIIPDHYIFTKDERANRNVDILRDYCTEQDIKYFYDIKDLGNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGITDAGFVLGTGKLLLKVPPTLRFVMDGEMPDYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLSMEERMTLCNMVIEAGGKNGVIPADATTYKYLEDKTSLPYEPLYSDEQARFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFIAAAKVFLASGKKVKVPTFLVPATQKVWIDVYSLPVPGSGGKTCSQIFEEAGCDTPASPNCGACLGGPKDTYARMNEAQATPSSGYPLYCDCFQIYQFQGIFDARVLSSNGITIFTKNFHGRMGHKDGQIYLASPYTAAASALTGYVADPREFLQ >KZM86962 pep chromosome:ASM162521v1:7:8974528:8975858:-1 gene:DCAR_024096 transcript:KZM86962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRRGLCYPRDSGFEKNVFKRKKEFNATGNFRKRSKKIDYLDILPDDLVLSILCKLSSTADSPADFGRVLMTCKRLNELGLHSMVLSKASPKLFAVKASRWSESAHRFLKQCAHSGNVEACYTLGMIRFYCLRSRGTGASLLAKAAIRSHAAALYALAVIQFNGSGGSKTDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNVAEGRRFLVQANARELAGVLQDTPEAFKSSSWLIGGCSNCPLLSDFGCNVPERLPHPANQFLSDWFGGKSPGPGLRLCSHLGCGRPESRRHEFRRCSVCGDVNYCSRACQALDWRTRHKAECTPPERWEDDHDGEGDHIGDGGEIAGDAMDES >KZM87509 pep chromosome:ASM162521v1:7:17574227:17575693:1 gene:DCAR_024643 transcript:KZM87509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFHIHNPWVFTAGILGNIVSIMVYFAPVPTFIQIYKRKSTMEFQSLPYTVALFSAMLWMYYAFLKKNAVLLISVNSVGIVIETIYISIFLFYASKQARKETLKILVFLNFVLFAVIFAGTTLWFEGHHRVIVVGWICVTFSISVFAAPLNIVFQVVRTRSVEFMPLSLSFFLTLSATVWFAYGLLLQDPCVALPNVLGFILGVLQMILYAIYKNSNGNKEEAKLTDEKKQVAEVHINIIDIVKKVPGAVDQVYPLDSQTSSCSSSNGVSSDDDEHKDADETKPTQDIAPVSGEEK >KZM88163 pep chromosome:ASM162521v1:7:25051213:25052076:-1 gene:DCAR_025238 transcript:KZM88163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSNDLSPVTYKVHRSFTSKQLSSPPAKWGPKDFSRVVRISFTDSDATDSSSDDDVPNEHITRRKIKKKYISEIKIAAFSEKPPENSNGVVKKKKLERPTKPNVKKLVPPNRPKYRGVRLRPWGKWAAEIRDSSRGGRIWLGTFGTAEEAAVVYDKAAIEIRGANALTNFLKLPENIPAPEVLPEVECKLEPEVESPTSVFRFRGKGEVDNGDWKPAEEVELKDGLWGLDEFESCYLSASSPLVYGEMMSVVEDMRCLDDEESLSYDFVKDFKSCAWDVEDFFGDL >KZM87827 pep chromosome:ASM162521v1:7:20897939:20900140:-1 gene:DCAR_024928 transcript:KZM87827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVAKNGLKQYLVQLQQHPLRTKAITAGVLSAISDIASQKLTGIQKLQLRRLSLKVLLGVVYLGPFGHYFHILLDKLFKGKKDPSTVGKKVLVEQFTASPWNNLLFMIYYGSIIEGRPWNQVKSKIKKEFPTVQYAAWTFWPVIGWINHQHVPLQFRVIVQSAIACCWGIFLSVRAAKAVTLTPAIKG >KZM88843 pep chromosome:ASM162521v1:7:31700852:31708497:1 gene:DCAR_025918 transcript:KZM88843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGSGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERYIDEGMHPRLLVDGFEIAKKATLQFLEKFKTPVVMGNEPDREILKMVARTTLRTKLYEALADQLTDIVVNAVLCIRKPEEAVDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYMLTCNVSLEYEKSEINAGFFYSNAEQREAMVAAERRSVDERVKKIIELKNKVCAGTDNNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLILACGGDAVNSVDELTPDCLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDESVVLGAGAFEVAARQHLLNDVKKTVQGRAQLGVEAFANALLVVPKTLAENSGLDTQDVIISLTGEHDKGNVVGLNQHTGEPIDPQMEGIFDNYSVKRQIINSGPVITSQLLLVDEVIRAGRNMRKPT >KZM87322 pep chromosome:ASM162521v1:7:14294929:14298113:-1 gene:DCAR_024456 transcript:KZM87322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAKLHLFSNLPSNPPPQPQPTPQSHRPPKPPIPIPKYPPPKPKKPPTPPNPAFKTHHNRSKYYKPVKQGVLASDDGRTILIGDSGVSYQLPGAPFEFQFSYSETPKVKPLAIREPAFLPFAPPTMNRPWTGKAPMKKAKRNVRLFGSGEEESDGVRRMEMRGGYEVKELFGGELRPRNEVLGRPLSKAEARELIKPYLSSNRQVNLGRDGLTHNMLELIHTHWRREPICKVRSLGVPTVDMNNVCRCLEEKTGGKIIFRVGGIAYLFRGRNYDPRNRPRYPVMLWKPATPVYPKLIQEAPEGLTKAEADVFREKGKKLLPICKLAKNGVYATLVRDVRSAFEECAIVKINCTGMHASDYKKIGAKLKELVPCVLLSFDDEQILMWRGHDWKSMYKRSPKAPSPVHDTTANGMNRSDQESGSRKVQLSPKMMSLWSRAIESNQAMVLDDLGLGPDALLEKVEEFERTSQATVHSFPALILPSEDVSGNRNEGPEEESSLDDGGYQSYDSEYDDDSDINDSFDGMDSSAPLGSLPIDLIAKQFSDSE >KZM86774 pep chromosome:ASM162521v1:7:6742388:6747001:1 gene:DCAR_023908 transcript:KZM86774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGDPYIYSSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPVHSPPPPPMHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYYYKSPPPPVKSPPPPYYYHSPPPPVKSPPPPYHYNSPPPPKHSPPPPYYYKSPPPPVKSPPPPYYYHSPPPPMKSPPPPYYYSSPPPPVKSPPPPYYYSSPPPPVKSPPPPYYYTSPPPPVKSPPPPYYPHPHHHSYTVKVVGKVYCYRCYDWKYPIKSHAKMHLKGAVVEVTCKAGDKDVVAYGKTKINGKYSITVKGFEYGKYGGAKACKAKLHMAPKDSKCNIATNLHWGIKGAKLKVKSKSKYEVVLSAKPFAYAPKTPYKKCYKPAPTPAPVTPVTPPYYYKSPPPPPKSPAPTPYYYKSPPPPSKSPAPTPYYYKSPPPPPKSPTPTPYYYKSPPPPTKSPVPAPYYYKSPPPPTKSPTPAPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPTPAPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPTPTPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPEKSPPPPYHYSSPPPPEKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYVYSSPPPPVASPPPPVYIYASPPPPTHY >KZM88999 pep chromosome:ASM162521v1:7:32949097:32949342:-1 gene:DCAR_026074 transcript:KZM88999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIWQDSSVKVSGVTFREVIGTSKRETAVKIDCSKTVPCDDITIENVYLKSSRQGKKASSYCNNGSGQLYGQIVPKVSLK >KZM89114 pep chromosome:ASM162521v1:7:33941803:33943319:1 gene:DCAR_026189 transcript:KZM89114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIYVGEASIGGKLVSAACCIGILVHQHCHRVPVSDLHQRTVCLLFYEDTLECRNWTEKLKNLYVVRNDFEVVVVFSITFGLGHSMEFKNRRRALKFWKVFSDMPWLAIPLDDPKCRQLWRIFSKQCSEKLLIIYSRGQYFEENGFKVLVETGFKKYPFMRKEVVRTSVAAKKEKNLSSFLGRNELIRGRDLYYAGREKFTASKLLGCSVVLLFLGVSGFRGFSDLLNGLHFNHGVTAHGVESFEIVYIPVTESPPEIVPDCDWMLVSPKEHLIPVFHHFFDDEITKNPGKQFTMAMVSFGQFGHYNHRGIIYTNCSKDAYNLFLETFPFNDNDDKESFKVLYNAAINKPRKKTDD >KZM89224 pep chromosome:ASM162521v1:7:35117678:35118460:-1 gene:DCAR_026299 transcript:KZM89224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILDHLDVLSCENMDHKILLMLTVMKKLSRLEDELEKVEKTGDILSEELVEEMLVTVKHQNFVSLFGNKKNFDFSFDSLDKLVEAAEDPRGFYMMLFEMLSLLPKNDHSSERFKICMINNVDYFSDKLDFENAGHGWVLETGFDFRVALAHLYIPRPNNDDQQLKLEINRSNLLDDSIEKITQAEAQDLVQGVNVMYADEEGMGDWVRRDWLVSLACQIVRSPDAFKTSEDDLARDETRLYPNEGFFLTLVFMVIRVA >KZM86515 pep chromosome:ASM162521v1:7:3650998:3652845:1 gene:DCAR_023649 transcript:KZM86515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEHKMISANGLNIHIAEKGQGPLVLFLHGFPKLWYSWRHQLHFMASHGYHAVAPDLRGYGDTTGAPLHDASKFSMPYLVGDLVALLDVIAPNEKVVVVAHDWGATIAWHLSLFRPDKVKALVSLSVHYMPRNPNIRVMDVMKAFYGEDYYVLRFQEPGDMEAGIANSIGTKTFLKKFLSHRDPAPWFFPKGKGFNGDSPDAPLPSWLSEEDLDYYSAKYERTGFTGPLNYYRAFDLTWELTAPWTGAQVKVPTKHIVGELDVVYNVPGAKEFVHKGEFQKYVPLLQEVVVMEGTAHFINEEKPDEINNHIYQFLKQFKDFQT >KZM86792 pep chromosome:ASM162521v1:7:6906279:6907223:-1 gene:DCAR_023926 transcript:KZM86792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTDSLVTPTTRRLEGKVALITGGSSGIGECTARIFVQHGAKVVIADIQDDLGHTVVEALGNSNSLYVHCDVTNEEHIQHAIDKTISTYKKLDIMFNNAGVPGPNKPRILDNLKSDFEDVLAVNVTGVFLGMKHAARVMVPARSGCIITTSSLCSNLGGAASHAYTASKHAVLGLTRNLAVELGQFGIRVNCVSPYGVATPLAKNAVGVERNEDFEYIMNSVANLKGATLRTDDVANAALFLASDEAKYISGQNLFIDGAFGIVNSAFKIFEYPADQS >KZM87606 pep chromosome:ASM162521v1:7:18574683:18578579:-1 gene:DCAR_031940 transcript:KZM87606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGYWSLILYGIGGILATSVGLLVAFQEKLIYVPVVPGLTKSYPITPARLRLLYEDVWLRSSDGVKLHAWFIKLAPDSRGPTIVFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGFPSQEGIINDSQAALDHLIQRTDIDKSRLVVFGSSKGPKVLNRFVRSPWSTIDVVGQIKQPILFLSGLRDEMVPPTHMQMLYAKAAAHNRSCQFVDFPNGMHMDTWISGGDHYWRTIQSFLEENVPEKDE >KZM86690 pep chromosome:ASM162521v1:7:5765790:5768461:-1 gene:DCAR_023824 transcript:KZM86690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCCGCCGEFSISVIYSWLRDYDRIQSFAVILIYIQIGCALIGALGALYNGVSLINLGIGLFALVAIESSSQSLARTYAVLLCSSVLLDICWFILFTHDIWTISSEKYGKLIIFSVKLTLLMQIVGFSVRVSSSFLWIQMYRLGVSHVDHSNPQEADMDLRNSFINPSTPVIVRQPSGSDDVLGGSIYDPAYYSSLFEDGQEHGYSFRVRYSSLTM >KZM88041 pep chromosome:ASM162521v1:7:23899137:23901395:1 gene:DCAR_025116 transcript:KZM88041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNGCSLKPRGPCLKYNTPKSNYAAFATNVRAVKSRSILNSWKSNQGLNSVSLKGVQFPHLFAGSSPLLKGDLCGLSDAISKIPARRRTVFTPRAAKDVPTSFRFPAMTKKPRWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFMTYPFLQILGNLPPWFLIAYFLVAYLAIVRRKEWPHFFRFHVVIGMLLEIALQVIGLVSRRWLPRAVYWGKIGMHFWTGFAFAFLFTVLESMRCALAGMYADIPFVSDAAYIQIPYD >KZM87542 pep chromosome:ASM162521v1:7:18010509:18012137:-1 gene:DCAR_024675 transcript:KZM87542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADEMAKTFVKQYYSAYDDDRSNLGVFYREASMLTLEGQKIQGPVNIVAKLTSLPFQKCKHGITTIHCQSSGPAGGTLVFVDGLLEVDGQEYAPMFIQMFHLMPVPNASTGSYYVLNDIFKMDYA >KZM88928 pep chromosome:ASM162521v1:7:32378797:32379300:1 gene:DCAR_026003 transcript:KZM88928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASILTSHPLISPLSPSFTHSGDHRQLPATNLRFSKPRVTSSATSSAEKTRSYSTNTFQAANTAVSLYQILGIPMGATGVEIKSAYRKLARTCHPDVAQVKSASTEEFIKIKAAYSTLSDPEKRADYDRTIFRRHRSVLSSQEPAYSRFRGSGYTSRNWESDQCW >KZM87383 pep chromosome:ASM162521v1:7:15379786:15380983:-1 gene:DCAR_024517 transcript:KZM87383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSIPEVILSSGNAKAMPVLGLGTGGLPFVTPEAVVKAVLEAIEVGYRMFDTAFLYQTEEALGEAISQAISLGLIKSRDELFITSKIWCTDNHGDRVLPALRKTLQTLKLEYLDQYLIHFPVSIKAEANPFEPKPEDVVPMDFKSVWTAMEECQTLGLAKSIGVSNFSCKKLADILAFAKIPPAVNQVEMNPAWQQRKLKEFCEAKGIMIAAYSPLGAAGAVWGTKGVLGSEVLIEIAKSKGKSVAQVALRWAYEQGIIIVTKSFNKERLKQNREIFDWELSAEECKKIAEIPQRRANLAQFLVSETGHIKSVEDLWDGEL >KZM86514 pep chromosome:ASM162521v1:7:3641096:3648332:1 gene:DCAR_023648 transcript:KZM86514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEDDKAVKNAEELLIKIGLDERTATNTVANRKLTSNLLSLIHQAGVANGCERGVGNLIYTVATKFPANALVHRETLLKYIVSSKIKTLAQLEAAFLFLSVTASEDLNVTEFEKACGVGIEVTLADTEKTVDEVLEDNKNTIIQKRYRTNVGELFSHVRKRQPWADPKIVKQLIDAKLYSLLGERTAADCEKPLKKKKEKPAKEERNNVESTPLPKHSEEEVNPFLIFPSPKENYKVHTEVFFSDESVLRACNSKDILDNHLKETKGKVLTRFPPEPNGYLHIGHAKALFVDFGLAKERHGGCYLRYDDTNPEAEKQEYIDHIEEIIRWLGWEPFKITYTSDYFQKLYDFAVTLIQKGHAYVDEQKPEDIKRYRKEKWNSPYRDRPIAESLKKFDEMKRGLFEEGEATLRMKQDMECDNFNMHDLIAYRIKFTPHPRTGDKWSIYPTYDYAHCIVDSLENITHSLCSLEFETRRASYYWLLDALGLYQPFVWEYSRLNVTNTVMSKRKLKCLVIEKWVDGWDDPRLMTLAGLRRRGVTSTSINAFVRGTGITRSDCTMIRLDRLEYYVREELNKTSPRQMVVINPLKVVITNMEEGLVTDLDAKKWPDAQTDDSSSVYKVPFSSIVYIEHTDFRVKDSKDYHGLAPGKTVLLRYAYPIKCTEVVLADDKETVLEIHAEYDPLKMTKPKGVIHWVAEPKPGVDPLKVEVRLFDKLFRSENPAELDDWLGDLNPQSKVVMPCAYAVPTLHTAAVGDSFQFERLGYFVVDKDSTSDKLVFNRTVTLRDSYGKGGK >KZM86600 pep chromosome:ASM162521v1:7:4778201:4792202:1 gene:DCAR_023734 transcript:KZM86600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLPVQTHSLSFPFHFPCNFHDQQQCNTRISITLPNHTFSSPRSSRFLPLHRPATRHFKVMANARKENKVLEAGEVELLMATCITRTLSPALTLEHGLDQIDNAIRHLKSNPPFTTIGMYRFEVLVSPSTRALNWFSSQPESSTIYPQFFMSNEIENSTSVSFRLDTIRGVFGIGTAVSFKGSYSSASAHCASFKSYFSDYSTLVAAYGYMDLRSDPGFYGTGLSYFFVPQLELIELDGISILSMTLAWNDSSLCKFKEAVEANELSLYQARDYLWPRTGESDKKFINSTLTRPEVLTNDNAQMVALNALSVSERDLMHDPTEMKNGAHSHQFTVRFSPTITISCNMYPSNYVAKTSNAFQDYANINTLWASLIIEECSRLGLTYFCVAPGSRSSPLALAASAHPLTNCTVCYDERSLAFHAIGYSKGSHIPAVVITSSGTAVSNLLPAVVEASQDYVPLLLLTADRPPELQDAGANQSINQVNHFAPFVRHFYGLPVPTDIIPARMVLTSVDSAVYWATSSPCGPVHINCPFREPLDSSPKNWMLSCLKGLNSWMMSADPFTNYVNIQHTFPSNEAHGSLREIVNIMKGAKRGVLVLGAISSEDDIWAALLLAKHLLWPVVADILSGLRMRMYTSSFSEIRGNFVFVDHLDHTLLSDAVRDWAQADVFVQIGSRITSKRISQMLEDCFPCSYILVDKHPNRHDPSHIVTHRIQCTAAQFADHVLKASINGISSNWTSFLCALDTMVSREISFLIHQEQSLTEPYIAHVILEALNCGSAVFVGNSMPIRDADMYGSNWTNCTHSNDILLSSGLPCHGIRVSGNRGASGIDGLLSTAVGFAVGCKKRVLCVIGDVSFLHDTNGLALLKQRIPRKPITILVINNHGGAIFSFLPVAATTEKRVLEQFFYTSHDVSIQNLCLAHGIKHVHVNRKVDLQDALISAQHEALDSVIEVESCIEGNTSFHSCLKKFASHAADHSFNVLTKLSLSNSALRGSTYCTIQKLEYSLYRIRLCAPPTSARVTRDSTTFYREGFVLALFLKDGNAGYIFPSVRCGLEMAILNAIAATEGSSLLNILQPLTESEKQTSAVPPNVRICALLDVKGTPSEVAYVAGTLVKEGFTAIKLKVARRADPTEDADVIKEVRKIVGSQIQLRADANRKWIYEDAIRFGSSVKDCDLQYIEEPVRDGDDIVRFCEETGLPVALDETIDNIQGNPLKTLEKFSHAGIVAIVIKPSVVGGFENAALIARWAQQQGKMAVVSAAFESGLGLSAYIQFSCYLDLKSAEIYRLMNKEAPVPVAHGLGTYRWLTQDVITEPLSITRNPFNGFMEASSADAGRLLRNIRLDQNYIVSRNSEEKVRPYQLQVHSKGFSMSINVHEIGKDTNENVVVFLHGFLGTSEEWIPVMEAISGFSRCIAFDLPGHGKSKIEVDTVTEPAKEHIFSIEAVSDIICELLSSINAPKVTLVGYSMGARVALYMALRCVDMVEGAVVISGSPGLKDEPARNIRRMKDDSRACSLTSYGLKCFLDTWYAGDLWNSLRCHPNFKAIVTSRMRHNDVHGLAKVLSDLSVGRQPPLWEDLKHCKSPLLLIVGEKDDKFKRLAQEMCSTISQESRGNRKSTTCETVEIPGCGHAVHLENPLPVVKAIRSFLTNKRV >KZM86707 pep chromosome:ASM162521v1:7:6044135:6052633:1 gene:DCAR_023841 transcript:KZM86707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIEDGSISGFLPSTKLFAVHYPGYPSSVTRAVETLGGTDGIVKARSSQPNKLELRYRPEDPYSHPAFGEIYHCNSFLLKISKGAGETLSQDPEISFPESSETEHIVQLRTEPVSTSATVKSLASEEVQGNICAEIVAQVSESYHFNGMADYQHVLAVHADVSRRKKRNWADVDPQFEKRGLLDVDQEDLMILVPPLFSPKDMPEKLVLKPSMEVTLKQKQETVVQHRWETWFFLFFLYLTIIHVPRKAIWEKYLAKSSEQWLWQTAVCNLFDERPIWKKESLAEQLHDKGLIIGDNMLRRLLYRAAYYFSNGPFLRFYIRRGYDPRKDVESRVYQRIDFRVPPSLRSYCDANATLKHRWSDIRSFQVFPYKCQTSLQLYELSDDYIQKEIRNASAQPTCSLATGWFSSRVLDTLRFRVAVRFLEIYPKEGAELLLKNASTRFEKSRKMQFIVKDQRSNDGCKEVEKEGIDDEEKELNDGDEDEDEEEYDDDEIEDDIAEDEFNTYDELDLVNQSLIVLASKRFKVYRSCENSYMFLDTGQQNISKNYLQELFGSFPISDAGGSVLADTANSDDEYPIYEQYSDINYSTDDEY >KZM87443 pep chromosome:ASM162521v1:7:16461276:16461677:1 gene:DCAR_024577 transcript:KZM87443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETENIFTNQDYDNIPEDVDDDDAFDSAYFEAEEEGRFEEGFLFNEDQSVDPEHMEKVKMFKAQHEASKAKLQELQKLVDEKRTTDELVKLEKQKLWDAKCKEKREDISRKVGESWDIARQILSGPQRGTFQ >KZM88687 pep chromosome:ASM162521v1:7:30389085:30391580:-1 gene:DCAR_025762 transcript:KZM88687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISNSLNSSIAGGAFIALLLVQCLLVQSQYFASPENHFINCGSNNDTTLNSRKFIADSSSDGAVSTSTATIPPLYQSAKVFTSLSRYKLTLVNNGTYVVRLHFYPFSSPARNLLDSNFSVSASGYTLLSDFRVHKSDKLPVIEEFIFFIDSGTFEIIFAPDKSSFAFVNAIETFLAPENFIPSSAPHVTPSGSDEDDYNDLLTQILRPVYRINVGGELLSPDNDTLWRNWIPDDNFLYHKEVAKNIRSYGSKPNYPLGGATKYSAPDPVYQTAKEMKIDPQKTSNFFNITWQFNVTKNVKHFMRVHFCDILSDSENSDKFNLYIFSKFSSEIRDKNSEVRTPFYNDFVVDSDTFGWINISIGPREENQTAFLNGLEIMELVNDESRDSSGENKISKKFLIIIIVCSVVGVVAFALVLLVIFVIRKRRKAKAVEKSDWPLVNLYGGNSYSGLTESAVKNESNFQTLNLELKLAFSEIMYATNKFDSKSIIGKGGFGKVYKGTLRNGIKVAVKRSESGHGQGLPEFQTEIMVLSSIRHRHLVSLIGYCDEMSEMILVYEFMEKGTLQDHLYKGTDPKSRAMPVLSWNQRLDICIGAAKGLNYLHTEGSGGGIIHRDVKSTNILLDKDFVAKVSDFGLSRSGLPEQTHISTGVKGSFGYLDPEYFRCMQLTQKSDVYSFGVVLLEVLSARPAINNLLPREQVNLADWGVYLQQKGELEKIVDPLLVGKINPNSLRKFGEIAAKCLREEGADRPSMVDVIWDLEYALQLQCKPHEESITTDVSWAMPLPVVQRLPSTSLTINEDEMHLRIDDVLDSSYADASEVFSQLKIDDAR >KZM89177 pep chromosome:ASM162521v1:7:34670389:34676830:-1 gene:DCAR_026252 transcript:KZM89177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPRAHIEEIRKTKFSIGGRLNPLTEDLHQAVTNLSQELYSKDVHFLMELIQNAEDNDYLEGVEPSLEFVITSRDITATGAPATLLIFNNESGFTPRNIDSICSVGRSTKKGNRRRGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFNEGPCPHCNVGYIVPEWVKANPTPSLLKSIHGKHIPLPTTTIILPLKPDKVQSVMHQLSNIHPEILLFLTKIKRLSVREDNEDPMLNTVSAISILSETNFVTRKNIDAESYMIHLSADQVGNDDLEKECGYHMWRQRFPVKNEHKVERRMEVEEWVITLAFPVGERLVRGMQSPGIYAFLPTEMVTNFPFIIQADFILASSRETVLLDNKWNKGILDCVSDAFISAFTSLVKDTEAPVSTLPHLFRYLPVNKGPCLELNVVRESIRQKLLNQSIVPCESYSEQKFFQQPCQVGGILPAFWNILDKARGQGVAFHNISSHGTYILSSSFDIHEYNYILEFMGVKMVAAEWYARCIQSSNIVMEVSEDVYLDLLLFVAENWVYSFQNTNMKNASLLKHVDIHGNVSLTSVSTVSRCDSGKLFRSTEQSYASWLINWSKLFKSATDHFFMPISTQQAIELSSKQWILLDWLSNKVNVKAVSVFGYAHLLTKLIKDDRDLAIAYSHFLYQSFSKKYVSEQDVKEICKIMPLVNSYGQMMAQQRKVLLPASGSNWVELIGSNLWTSEGYVELGKDYLQTKTYFGIRTTDKKLIDFLKKYLPASDIPHISPPNAIIPSVSAPLTKKNVFLLLEWIRYLKRNRYNIPPNFLSCIKEGSWLKISLNGSSSYRPPSQSFMGSSSEAHILQQVSELVDIPEVDMKFYGRKLTDYKEELKLIGVMFEVNEACRFIGNQLMSIAASSNLTRENVLAILKFIKFLRANLNSPAQFINSIQGGKWLWTKQGYRAPGESVLYGDEWKAASEVSNIPFIDETYYGANLNDFREELELIGVIVNFAGNYKLVGDNLKSSACSSSLTPGALHLMLDCLRHLNSNNIVNALKDNNCINTHVGFRTPSECYLPGSDWGCLLQVFSCFPLIDVNFYGSEIFLFKDELKQIGVVVDFGEASKKFERVFRQQAELQFIGKVNVLTLLQCCRKLKTSNPFPTDLKKCIREVNWLRTRLGDYRAPTDCILYGQSWKSISSISLLPFIDDSDNQYGMAIHKYDKELKSMGVVSSFKDGAHYVLNGLNLPQDPSRITPEHVYSLLECIRRYKPKNDNLETHELFPSTFLEKIGRQWLKTYSGYSTPQRCLLFSPEWADFLERGDGPFLDEDFYGSKLREYKNELHSLGVIVDTRDGCSLLASYLGFHSIFTPVSRIYNYLIEFKWKPNDNDKKDIWIPSSREYGQWVSFENCVIQDKHGLLGSRLHVLEKHYTGKLLSFFSITYGVKMSPSIDDYCEIWKTWEASGHQLTHDESCAYWGFVVKNWSLRTEETLARRMLKLPVHSGSNAINLVNKQDVFIADDLQLKDLFEKSSFSSLFVWYPQPSMKSLPRTKLLEIYSKIGVRNISESVQHKLSAVDTVSLKQLNPREIFIGKELLRLILGFLADISPNMEAGIRHNVVRVLLQVAVLEAGDKITMCHTLSLSSGKILKVEARQMLRWERQISKLFVQKLAKNGGHKNFIEYASEFSEVVAGGLLWENEDHARQLADLVRLGFLVEFNEEAIMYLMKTKNLQTFLEDEEFLSSIFPDE >KZM89014 pep chromosome:ASM162521v1:7:33070897:33074411:-1 gene:DCAR_026089 transcript:KZM89014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKRPKLDLFNSLSRQRSFQVLVFIGVLYMLLVSLELPFVFKTRLSSGSQDAFNDVVSKPFILQSEEELQEKEAPIRPFNLPRKVLNQSLYGYDYRSLSRLNFDGIVVNTSSKDGFLGLQKSAIEAFDVGKKFWVELNSGKLEVLGNNTAVNRTESCPHSISLSGAEFVEKGKIMVIPCGMTLGSHITLVGKPKQAHPEQDPKISLLKEGQYVMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSGLRCEGWKSRADEETVDDQLKCEKWIRDDDNHSEESKTTWWLNRLIGRTKKVTVDWPYPFAEEKLFVLTLSAGLEGYHVNVDGRHVTSFPYRTGFALEDATGLSLNGDIDIHSIFAASLPTSHPSFAPQRLLDMSNRWKAPALPNGPVEMFIGILSAGNHFAERMAVRKSWMQHKLIKSSNVVARFFVALNGRKEVNVELKKESEFFGDIVIVPYMDNYDLVVLKTVAICEYGVRTAAAKYIMKCDDDTFVRVDAIIKEANKVASDRSMYIGNINYYHKPLRYGKWAVTYEEWPEEDYPPYANGPGYILSSDIANFIISKFEKNKLRLFKMEDVSMGMWVEQFNSSRPVEYIHSLKYCQFGCIDDYYTAHYQSPRQMMCMWNKLQQHGKPLCCNMR >KZM86795 pep chromosome:ASM162521v1:7:6943678:6945520:1 gene:DCAR_023929 transcript:KZM86795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEKNVLRMNAGDGESSYAKNSRFQKASLLRSSKLLEDSTNEYGINAFPQCFSLADLGCSSGPNSLLAVTTIIANVRALCREKDIPAPEFQVFLNDLPDNDFNTIFKTLKPSYSSSPVNGKGDALGDDCFVAGVPGSFYTRLFPSRSLHFVHSSNSVHWLSQVPVNLEKNGENIFIAKASPPGICEAYSDQYTRDFSTFLRLRSHEITTNGRMVLTFQGRSSADPSDSSCCILYEMLAKSLQDMSKQGFISAADICSFNIPFYSPTTDEVKAIIETEGSFHIEELQSYKNDIEIQATNRDTLCRIMAKQIRAINEPMLIAHFGNTFMDELFEQYAKELNEHLSKERIEHLDIVISLTRKSD >KZM88433 pep chromosome:ASM162521v1:7:27835777:27836013:1 gene:DCAR_025508 transcript:KZM88433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQKKKILGSRPPACVNKCFSCRPCMATLVIPPHHTKSKTATTTSNYRKSNKYSSDEDDTYYLLSWKCKCGNKVYQP >KZM87980 pep chromosome:ASM162521v1:7:22885692:22886129:-1 gene:DCAR_025081 transcript:KZM87980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNHLPLVRFSTDEEVAGEGVYPQQLPTPLVRETDVDSPRRQLPVEARPHPSRIPRQPGPPDTMVHTRAPSDIVSEGSSKKRFIIKLAKRNQAVEEGSVRAPLRTLSPEARHEQPAMLPQGEIQVDRASARPLLNRNKLPRDNE >KZM88349 pep chromosome:ASM162521v1:7:27062120:27062869:-1 gene:DCAR_025424 transcript:KZM88349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNEVLKDVFPLLDGTDLASCMLVSKQWRDIAQDDYFWKCLCFKRWPSICKRPSAPTETYYKLFKTFYKRQHQKKLLPPRLSFSDLEFYIDIWSEDSLIFSEVIAGPVLQKGVWNPPPGICDVLRFHLEGPEYKMTFSVDPRFSVPLCQTISVSMLVGRKDSNKVACIINKSVFDYIDRTSYRALAFDYLEFSSSYPFVSGIRAWMSLLFMDKGSEEVIDVFGVEIDFCDAANSEDEVLWLFDMLDWN >KZM87381 pep chromosome:ASM162521v1:7:15373051:15377190:1 gene:DCAR_024515 transcript:KZM87381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFKLLFILGLGLSFDLDYGYALKVPFRPKDVLPVLPKQISWPVLNNFHSAVDLLPAFVGSVTPHGVINQWKGSCFHVNEARLDFTESKNQSLGGGVLYLKTSEAHSWTCMDLYVFATPYRVTWDYYFSAREHTLNIDSWEEPAEVEYVKQHGISVFLMPSGMLGTFLSMVDVLPLFSNSVWGQSANLAFLKKHMGASFERRPQPWRTTINPDDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDDFGKLWVGESGHENEKGEEIIVAIPWEEWWELSLKDDSNPHIALLPLSPDVRKKFNSTAAWEYARSMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVTSVMSMWTRMQPAYAANMWNEALNKRLGTEELDLYGILAETESRGLTFDQLLSIPEQDEWVYSDGKSTTCVAFILEMYKEAGVFGPVSNSIQVTEFTIRDAYMLKIFEKNQTRLPSWCNIGGNQSPFCQILGEYQMELPEYNTLEPYANMNENCPSLPPTYERPSHC >KZM87289 pep chromosome:ASM162521v1:7:13828996:13831029:-1 gene:DCAR_024423 transcript:KZM87289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNMNKFYEKVSALEEGGSHYCSKKSDDICGSACDEDSGRVISMSRIRCIMRGLDLKTYIFLFVMIPTCVFGVYLHGQKISYFLRPLWEAPPKPFHEIPHYYHENVSMENLCKLHGWGIREFPRRVYDAVLFSNEVDLLTIRWKELYPYVTEFVLLESNSTFTGLPKPLVFANNRDKFKFIESRLTYGKVAGRFKRGENPFVEEAYQRLALDYLLKQAGXITFNLWESGWKIQESWRASVHRYQTGKTKYAHYRQSNDILADAGWHCSFCFRSIQDFVFKMKAYSHFDRVKFNKFLNPKRIQKVICKGADLFDMLPEEYTFKDIIGKMGPIPHSYSAVHLPAYLLQNADKYKYLLPGNCIRESG >KZM86457 pep chromosome:ASM162521v1:7:2973115:2973459:-1 gene:DCAR_023591 transcript:KZM86457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHCKMSWCTMKLVSTEVKTMRCPRKNTRQNFSTSKRVTFLVLWVIFIITQLVPVFSAEPQHSSHPSSRVLSRKALVFQNVPFHAAASPSPSPLEAVYFTDKRRIHTGPNPLHN >KZM88442 pep chromosome:ASM162521v1:7:27932693:27936779:1 gene:DCAR_025517 transcript:KZM88442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATKNGLHEEQRLKIIRDSIRVVPHFPKPGIMFQDITTLLLDHKAFKLTVDIFVDRYRNQNISAVAGVEARGFMFGPSIALAIGAKFIPLRKPRKLPGEVISEAYVLEYGTDCLEMHVGAVHPGERVLVIDDIVATGGTLSAAIRLLERVGAEVVECACVIGIPELKGIGKLNGKPLYVLVEPRQ >KZM88152 pep chromosome:ASM162521v1:7:24983212:24987411:-1 gene:DCAR_025227 transcript:KZM88152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVNGREDSGTLDSPSGGGDMADEDGGVLADGEFMGPSPPSSPRAAQSPLMFTPQAPVVPLQRPDEMHIPTPSWMQTTSGFEDMSHEQGIPTMITWSYDAKEVFVEGSWDDWKTRKPLQRSGKDFTIMKVLPSGVYQYRFIVDGQWKYAPDLPWTQDDAGNSYNILDLQEYVPEDIESIAGFEPPQSPDSSYSNMQLGAEDFAKEPPLVPPHLQMTLLNVPSYHMEIPPPSRPQHVVLNHLYMQKGRASPSVVALGSTHRFLSKYVTVVLYKSTQR >KZM88794 pep chromosome:ASM162521v1:7:31365770:31366198:-1 gene:DCAR_025869 transcript:KZM88794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKKVFNNFDANGDGKIDASELQSVLLSLGTDATPKDIAGIMSEIDADGDGSIDFNEFATFHLASSDDVRAVDKELRDAFDVYDRDKNGVITASELHFTLKSLGQKCTLRDCEKMISAVDDDGDGGVNFDEFKKMMHNKP >KZM86551 pep chromosome:ASM162521v1:7:4104269:4107851:-1 gene:DCAR_023685 transcript:KZM86551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIGFTVAAVLTVYVVSRLLYRWRNPKCNGVLPPGSMGLPFIGETLQLIMPSASLDLPPFIKSRIKRYGPVFRTNVAGRPVVVTADREFNYYILKQDGKLVDTWSLDTFAEVFDQASQSSRKYTRNLTLNHFGVEALKDKLIPRMEDMVSKTLADWSSRESVEVKSASITMSIDFAASQLFSGDLENAPLKLSDMFNDLVEGLMSFPLNIPGTAHHKCLKIHKEVRKTMGDVLRKRQMSSDKTPRDDLLDHLIQDMNKETFLNEDFIVQLMFGLLFVTSDSISTTMALAFKLLAEHPLVLEELTNEHEAILEKREHLESPLTWDEYKSMTFTRQVINEVLRLGNIAPGLFRRALKDIPVNGYTIPAGWVIMIATSALHLNHDEFNNALEFNPGRWKDIQASVVAKSFMPFGVGMKQCAGAEYSRVLLATFLHVLVTKYKWAMVKGGKIVRAPIIRFPEGFHFKISEKAN >KZM89013 pep chromosome:ASM162521v1:7:33061804:33068845:1 gene:DCAR_026088 transcript:KZM89013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIEEDSFSSQPNSQETKKKKNLSGDGDENGSDVVRRVRPARACTLRAALKVYEVEEDCGSERKKSRKRKERSLCREESPDQSPPQDEQCSKIVTPLVAEPTLSQLPRWSIRSMWELGSVLNFLNVFRPLLNIKVEFSIEELETALITPNNTLADIHIPLLKAIPPIARMTLGHNTWVTVLCRKLRQWWHWVADGELPIIASHGSEVEAYNKLDPGVRVVILKALCDIRVEQEDIRSYIDNSIKHGVQLSVFRKERIGGDSRGISYWYEDDPVIGHRLYREIREIELTKGKGKSVQPISSSCYQWETVATSLDEFQDVSEKLLASKNRNECSVGKKLHNDMLPEIEKVHKRKEKMLKKQHREALLLDNMMGVNGLAPGHSLRGRKRVSYTFDDYDRSINEAIKSTKTKQPFSEHPVRREGLRHNSSSNGSSRLGGRSQNPQRDSFNALSPKSPNYVESDYEDNSGPLDRSNRRRQRPQRYSEREFVEAVSDQEAGFDSDNDIVGEVVYDEDYLRKRKDMKKITSSSEGDEEYYWEGERAEEEEEEEDYESLSLSEDSSERPRLKKLRGQSRRESKSRSVHDIQSGLRRSRRATRNRIDYRRLDGSDSEYECLEREGLNAQDKHTNKFESAEFSMGGSEDTEDNDEYQEMKSEAPVEEKPNAVETEHQVPTKGHSTDQDESEGVQQRRFLDLNELAPGPGFDDGLNSTMKDEDTDNL >KZM88058 pep chromosome:ASM162521v1:7:24023392:24024769:1 gene:DCAR_025133 transcript:KZM88058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRQAREDPWRQLLPADHLNSYLLQTKSGSHAEILTVHVRRNVSNIEEARRANLYVKSNIRHLVLSWENNEDERGDGEYKDEDVMNGLEAHPNLKELTVEGFMGKNLASWITKMNNLVKITLKDCNRCEVLPPLSRIESLEIKNCLRLRKIPDSCCRALKEVIIGNMESTMILETISKNASSLTTLKLGGGKSCRSTSSSYYSSDMESIINVLLRSNSLSLSTLELIECKSVQRLTVGVSLERLWVYDCPNLMSIKLDDEGSAGLKSLSVRKCSSSLLNTVSAQIQSSTLERLKLGPFLGNIDEFPWPFSSSSNSFPNLDSLELFGWDKVKSRPLFEQLQSTFPRLKELIINNFKQVKDLPDLISRLLFLEKLIILDCNNLDCLPKFEESHRLQLLKIIRCPSLRERCMKDSGPEWFKIKHIPIIKWE >KZM87531 pep chromosome:ASM162521v1:7:17870892:17872692:-1 gene:DCAR_024665 transcript:KZM87531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNRLDFNVSRIKSYASVEQYHDALSKGCHNGGVDAILDELPFIKIFLDTYGSLIPVSHFHLPVALPKGSALTPHISMALLNLTGDGLMHAFKTKNFGPEYSDGDYSFDTASRISSFGTRDLAGLFIISGTLGILALYLDEVKQRNIAQQTSYYNLQGFWDAQKAMKSMEVFGGFSDLESPVQLQDNPRRPWTSPSKKLQSEVGEMDDNCKLPMDYL >KZM87859 pep chromosome:ASM162521v1:7:21375770:21380664:1 gene:DCAR_024960 transcript:KZM87859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGRESGNVVHIIPTNQVIDVEKWGSGSADQAIWATEEDYGAWNREASVDVNSNYDGKQSQSRSGSEPPNKKLRNAQSVDLQSNNRSKAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHSIEELRRPPPNWQEIVAAHEEEQGISSEPREEFQIPSLDSNVFPPEMQRSSKGRHCKKFFTEEGCPYGDNCTFVHDEQSRARESVAISLGPGSGGGYSGGTVNTAGNVLSPSTLKPSNWKTRICNKWEQTGYCPFGNKCHFAHGAEELHRYGGGLMDSEGKDFSSPLDAKLGMAASKSPADNLAASVNHSDNYHVGGQSQRLANIPYGYDNLSSLSKEFENLSSVTLAPSQLDCQSLAGRLATALSAHRKMPPSNVDANAPEQGHYYTSPPLTIIITIIILVFLLVGFFTLYFCKCFFQNFLESRVGQRTSSNRTAADNPNAAAFTGLDPAIVNTFPTFTYSSVKEYRRAKYSLECAICLLEFEDDHIIRLVKICSHAFHQDCIDLWLEMHKTCPVCRRNLDSILEGTPSCTPSHDEEDSMKDSVAITIRDDHEGTNHGEKKRGTNDFIGRSNTTGHSIFTSREEEDRFTLRLPDHVTQELIQANRWPKNLHTSREPKRNVYIVGEGSDGNIKNVKVPPIFLDNG >KZM88356 pep chromosome:ASM162521v1:7:27174550:27174958:1 gene:DCAR_025431 transcript:KZM88356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMVTETLSQSTFTPNQSHETEHDRYQEADTNSPSSGSVIRKISTPDRLKVPKPFKYPERYTSPTDLMVSPVSKGVIARTRKTGPLLPPSNSQNEVCRN >KZM89097 pep chromosome:ASM162521v1:7:33839939:33842746:1 gene:DCAR_026172 transcript:KZM89097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYGETLRRFSSQVVDGKLNLDMKGLREKVCSLYSFPAGSELVLTYIDEDTDVVTLADEEDLNDIVRQSLNPLRITVKLIRKKSASSQSNSSGNSTPVREPEVQIPLHVKSNSATEVLNSLPDTVRETVLKLSTELGFDSNSPSGYADLLDSLAKMGLSYLKEASVSGAKGGPVKSFIDATVTKDGNSSLAVGTPQVSGKKSIDTKQDNRSGFLENFVMEATDMAIVKDKPSTLSAKATPDSFDVTGGSKQDFLENFAMEATDMEIVENKPSTLSPKTTFDSFNVTAGHKQDKVKDDDFRLHDKWGNCNIGFSEGNKVGNNAGSTQKMETKNSTESRQFWNYVMGPQPSNNARSGHKLEAIKSTDPRQGREFDPWTGIESSFSNFPCLNKHQNPHRVAPFKRSYTTSDGISSIFHRGVRCDGCGIHPISGPRFKSKVREDYDLCSICFADIGTEAEYIRMDHPISYKKPEYCLGQSLPTLPEYHSRMKLDSCFIKDVNILDGTIMTPSSHFTKIWRMRNNGRVVWPHGVHLVWIGGNMLSKALSRDIKIPADGCPVDKEIDVAVDFTAPVHPGRYVSYWRLSTPSGHKFGQRVWVIIQVSSSQDPLGKNAHGINLNWPPVGVGGIMHQGSLLNSGNYGNYSKPMMEKVTNIPKRDQGLNLPTEFTPLVAGAELNSGLCEASLPLKFPAANMSKVEPTSLSVQPNPDAGVPNSMVGVVQGTDVVEQSLLQDLEDMGFKQVDLNKKILRKNDYDLEQTLDDLCKWDPILKEMQ >KZM87602 pep chromosome:ASM162521v1:7:18538527:18538757:-1 gene:DCAR_031944 transcript:KZM87602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPFHFRHPLISKEYLILYFFFLLFFSFLKKTFTPHNHLKLKHLLLSSKGNSQNSNHQQAATPQEASISQSKFNI >KZM87525 pep chromosome:ASM162521v1:7:17735523:17738511:-1 gene:DCAR_024659 transcript:KZM87525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLICDSPNSSPWKDPTSTPDLISAVDFISPAAWENVSGLEDQQKRTLERLQTKGVLWKHPKDQTHEAIVFRLSHGGDVEADGNCLFTASCKAMGMAGADARELRRRCVKRFVEDLGGFSKNERDLIDDAIRHMYCPDLKCGWGVHVVQELKLVAKKDDRVQLDLAIDELVNLGMQRELAAESIYRERCIAVEDGSSWAKYMSISGSPDDEYDIISLQYTEEGLLTVDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRSEISGPPFFLFMKGTGWCAAGADHYEPVVAHPSTLISQEKVAMVL >KZM86693 pep chromosome:ASM162521v1:7:5793427:5795100:-1 gene:DCAR_023827 transcript:KZM86693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPALVAVTTLLLSAAAVVFAEDPYIFMDWNVSYGTISPLGVPVQGILINGQFPGPTINCTSNNNVVVNVFNNLDEPLLFTWAGVQHRKNSWQDGMPGTMCPIQPGTNFTYHFQVKDQIGSYVYFPTTGMLKAAGGIGPLNVHSRALIPVPFDINPADEFNVLAGDWFNKGHKALKRLLDSSHSIGRPDGVHINGKSLKVGEAVSQPMFTMEPGKTYRYRLCNVGMRTSLNFRFQGHAMKLVELEGSHTVQELYESLDVHVGQCMSVLVTADKEPKDYYLVASARFSKQPLSTVAVVRYANSNVAPSTELPPPPPENTAGIAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLANTRTIVDGKLRFGINGVSHVNPDTPLKLAEYFGKAQQVFKYDLIRDEPPAQQTKVTLSPNVVNATFRNFVEIIFENPEHTIQTWHLDGYSFFAVAMESGKWSPEKRRNYNLVDAVSRHTIHVYPNSWAAVMTTLDNAGMWSLRSDMWERFYLGQQMYVSVLSPERSLRDEYNMPDNFPLCGIVKGLPLPAPYTP >KZM86440 pep chromosome:ASM162521v1:7:2815637:2817269:-1 gene:DCAR_023574 transcript:KZM86440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKHELALQQFRDSVEKLGSSTENCEEPTLMRFLIARSMDPNKAAKMFVQWQKWRSSFVPSGSIPASEVPDELEAQKVYLQGLSRNGSPVVVIKGNKHFPPKDVPQFKKFVVHMLDKSIASAFREKETGKEKLMGIIDLQKMSYKNIDARGLITGFQMLQSDWESVS >KZM87658 pep chromosome:ASM162521v1:7:18952305:18953030:1 gene:DCAR_024759 transcript:KZM87658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLTVPSRVRTEAVDPVDTTLGMEEPVTSVYATLPELTQVPTLSAPLTTPLPVHTTVREDDYIMVDLDRHFHPTLPKITALIPSVSNVALTDYKTLTPAVIGATTEQMPSPIYATIEPETHVPLAAISPMLGSSGTETPVCDTAPPVPTIPVSFATSDLGELFAPFPVTTMGQMEDPIATILAFLFEEPRLMYDELYARYREIFETRDTLLRIIVNSRPVATISEEGVRLLRWYVRPLPP >KZM86873 pep chromosome:ASM162521v1:7:7876187:7879873:-1 gene:DCAR_024007 transcript:KZM86873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNKVGTFSSFYPFNQAGTSTGSAGGGGSMGEKFLERIHEHLRVNSIRSRSSQQIPNVNVDYSMLAQGLAIYGLPQKDLIEPNIEPYLKSVDFIESLADVYRRLETCPQSERWRVYTEQCALLRGLTDPKLCKRSLRLARQHAVDVHSKVVLSAALKYERREEELLGISSVECSGKYLDCPKATLVPGYSPEFVDDKCGCHPVSMEVDEAEHSVDEEECSISAEECDMSFWIGSEEIRCNRYFMSSLSRPFKAMLYGGFMESKREKVNFTQNGISGKGMMAAEIFSRTKSVEKFGLEVVLELLSLANKFCCDEMKSSCDVFLADKVVDMETAMLLIEYGLEETSYLLVAACLQVILRELPSSLNNPVVLKLFCSSEAKERLALAGHASFDLYNLLTQVAMNEDLKANSTVMLLERLGECAADGWQKQLAFHLLGCIMMERNEYKDAQKWFKIAVEAGHTYSSVGVARTKHKGGHTYKAYKLMNSLIANYTPSGWMYQERSLYCNGKEKMMDLNTATELDPTLSYPYKYRAIMQMEDNNTAASITEIEKVINFKVSPDCLELRAWFLIAQEYYEGALRDVRAIVTLDSSYMMFHGKLHGAHLVELLRQHVQQFNLAECWMELYDRWSCVDDIGSLAVVHQMLSNDPGKSLLLFRQSLLLIRLNCNKAAMRCLWMARNHATTDHERLVYEGWILYDTGHWDEALAKAEEAIALKRSFEAFFLKAYALSDPDSSLDSSNSTYVIQLLEDALRCPSDGLRKGQALSNLGAMYVESGKLDLAADCYMTALDIKHSRAHVGLARVYYLKNQRNAAYDEMTKLIEKSKYNASAYEKRSEYCDRDLAQSDLSMATKLDPLRTYPYRYRAAIFMDDRKEAEAIAELTKPLAFKSDLQLLHLRATFHDSMGDSTSAIRDCEAALCLHPSHKDTLELYNKALKQVKREET >KZM88166 pep chromosome:ASM162521v1:7:25103087:25107078:1 gene:DCAR_025241 transcript:KZM88166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGHARLVRCPNCKNLVSELKDYSVYQCGACGIVLRVKDKNFEVDGVSGDFVLGDDGSINDVGSNGSSASMIRRIGNSDEMHERYVYNSIAKGDRPSFEYQGGMDENVAGSSREFGGSKYGGGHVYVSQRSDRRFDRRSGGENGDDEYYHGTQRGDVGRVRYSASMHSYRGTSELGRNYDYAEAAQFVKSRGDFSEFTKVDHVEQEKAEILRKLNELKDQLSRIDVASKPKEKVFTDRTAFHKDPYSNPEIWLSDGSRVQKRTSLQYSGADKHVAGPSYISHYNEPPSYIDSREMAPPKFYPPMHTPNHLQEYEGPLRSPVPGGPLYRVPSPPQPQYSHPYYSPQYVNSDVTPSNTIQPYSHNINMHTPSCSCLQCYNRHPQVIPTVTSSGYGDRRYLDDPKNPILYPRDNSSALGPQNYDPGSQRIYHNSQWSKDQNLGVGVSNQQRHLKVMPSSGGRRCYPIAGGAPLFACCSCFELLQLPKKFLFRQKIQKKIRCAACAKLIFVEVTDKKLILSVYEEAKESPATDDDSSYMAKNNTLNFVADSRSMDVPSDDYDSSTFNIHSADRKQVSASPEKVLSSNHSAGVKNEHSTSSFTSENEDNNNTNRNSNSAELPTEAIPSRPPSGSPLKDHIDYSNRYTLANQSEKGSKIDLSEHEMGMPIKVISQQNSGKDTAAATELDISPNEYFNTGTSIDSGDISREEDQTRASKANSYFPGIIRESSQDRSDNSFEDEITNVSVNGHPIPDRFVKKAEEFAGRIQPGEYWYDSRAGFWGVMGGPCLGIIPPSIEEFNFPLPETCAGGDTGVFVNGRELHQKDLKLLGKRGLPTDVDRSYIIEISGRVLDDESGEELDSLGKLAPTVEKTKRGFGMRTPRGAR >KZM86153 pep chromosome:ASM162521v1:7:153309:155387:-1 gene:DCAR_023287 transcript:KZM86153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDLLSGDELLSDSFPYKETENGCLWEVEGKWVVQGAVDVNIGANPSAEGGEEDEGVDDQAQKVVDIVDTFRLQEQPPFDKKQFVAYIKKYIKLLTPKLEGEKQEEFKKGIEAATKFLLGKLKDLQFFVGESMHDDGSMVFAYYKDGATDPTFLYFGHGLKEVKC >KZM86280 pep chromosome:ASM162521v1:7:1234913:1236745:-1 gene:DCAR_023414 transcript:KZM86280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVQKICFLILMLVLSTPLNGDDNTYNVQDYGAVADGTTDNTQPFMDTWKAACSSGGTMVIPAAGGNFFVNKIELEGPCSGQVTFQLDGVIVAPSGNPYPDSWFTFHAIDGFTMQGSGMFDGNGPSAWAQCPVCSASIGLYAITNAHIQDITSLNSKGFHFVMVGGEGVTFEHINITAPGDSPNTDGINMAQSNNIQIIDSDIGTGDDCVAIEEGCTNINVTGVNCGPGHGISIGSIGKLEADKDVQGVYVQHCTLTSTQNGVRIKSWAPSYPVTVSNVTYEDITINNASNPIIIDQTYCYDNKECPGESQVRISNVKYIGVTGTSASQVAVSLQCSNNVPCQDIYLENIDLTLSGGGQTSSQCVNANVTYSGTQNPPPCNQ >KZM86987 pep chromosome:ASM162521v1:7:9501963:9502343:-1 gene:DCAR_024121 transcript:KZM86987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTKRNHVETPEEAKAKYKRFCSRLNIWILKHDSKIDDIGLIFSPIHDVDHYYVVCFNIKNPSKENLDNNRIGDGSNAVYDGLPERLVFFYFYF >KZM89092 pep chromosome:ASM162521v1:7:33805951:33808118:-1 gene:DCAR_026167 transcript:KZM89092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGFSGASLPSPGSDATKRRVTYFYEPTIGDYYYGQGHPMKPHRIRVAHNLIKHYNLHRKMEIICPFPAAPADIGKFHSEEYVDFLASVTPESSHDHEHARHLKSFKMGGDCPVFDGMFGFCQASAGGSIGAAVKMNLQEADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKRHRRVLYIDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDVGHGQGKNYALNVPLNDGIDDDSFQDLFRPVIRKVMEAYQPEAVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTMRNVARCWCYETAVAVGVEPDNKLPYNDYFEYFGPDYTLHVRPTPVENHNSPADLEKIR >KZM89095 pep chromosome:ASM162521v1:7:33816341:33821795:-1 gene:DCAR_026170 transcript:KZM89095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAQSSHPLDPLSSAEISVAVATVRAAGATPEVRDGMRFVEVVLSEPSKNIVALADAYFFPPFQPSLLPRTKGGAVIPSKLPPRRARLVVYNKKSNETSIWIVELSEVHAATRGGHHRGKVISSQVVPDVQPPMKRGIEDMDLVMVDAWCVGYYSDTDAPNRRLAKPLIFCRTESDCPMENGYARPVEGIYLLVDMQNMVVIEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQIVQPEGPSFRVSGHYVEWQKWNFRIGFTPREGLVIHSIAYVDGSRGRRPIAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEDGKIEAEVKLTGILSLGALQPGESRKYGTTIAPGLYAPVHQHFFIARMDMAVDCKPGEAYNQVVEVDVKVEEPGNDNVHNNAFYTEETLLKSELQAMRDCNFPAARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGPEAKFLRRASFLKHNLWVTPYAQDENFPGGEFPNQNPRVGEGLASWVKQDRSLEETNIVLWYVFGITHVPRLEDWPVMPVERIGFMLQPHGFFNCSPAVDVPPNACELDVKDGDPKESGDTKSVSDGLMAKL >KZM86373 pep chromosome:ASM162521v1:7:2107340:2110405:1 gene:DCAR_023507 transcript:KZM86373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLRVYVILILVGFCVQIVVVNSQNLTCNSNDLKALEGFMRGLESSIDGWKWNESSSFSSNCCDWVGISCKSSVSLGLDDVNESGRVVELELGRRKLSGKLSESVAKLDQLKVLNLTHNSLSGSIAASLLNLSNLEVLDLSSNDFSGLFPSLINLPSLRVLNVYENSFHGLIPASLCNNLPRIREIDLAMNYFDGSIPVGIGNCSSVEYLGLASNNLSGSIPQELFQLSNLSVLALQNNRLSGALSSKLGKLSNLGRLDISSNKFSGKIPDVFLELNKLWYFSAQSNLFNGEMPRSLSNSRSISLLSLRNNTLSGQIYLNCSAMTNLTSLDLASNSFSGSIPSNLPNCLRLKTINFAKIKFIAQIPESFKNFQSLTSLSFSNSSIQNISSALEILQHCQNLKTLVLTLNFQKEELPSVPSLQFKNLKVLIIASCQLRGTVPQWLSNSPSLQLLDLSWNQLSGTIPPWLGSLNSLFYLDLSNNTFIGEIPHSLTSLQSLVSKENAVEEPSPDFPFFKKKNTNAGGLQYNQPSSFPPMIDLSYNSLNGSIWPEFGDLRQLHVLNLKNNNLSGNIPANLSGMTSLEVLDLSHNNLSGNIPPSLVKLSFLSTFSVAYNKLSGPIPTGVQFQTFPNSSFEGNQGLCGEHASPCHITDQSPHGSAVKSKKNIRKIVAVAVGTGLGTVFLLTVTLLIILRTTSRGEVDPEKKADADEIELGSRSVVLFHNKDSNNELSLDDILKSTSSFNQANIIGCGGFGLVYKATLPDGTKVAIKRLSGDTGQMDREFQAEVETLSRAQHPNLVHLLGYCNYKNDKLLIYSYMDNGSLDYWLHEKVDGPPSLDWKTRLHFGLARLILPYDTHVTTDLVGTLGYIPPEYGQASVATYKGDVYSFGVVLLELLTGRRPMDVCKPRGSRDLISWVLQMKTEKRESEIFDPFIYDKDHAEEMLLVLEIACRCLGENPKTRPTTQQLVSWLENIDVSS >KZM89071 pep chromosome:ASM162521v1:7:33589455:33591577:-1 gene:DCAR_026146 transcript:KZM89071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTKYDSFKDLRKSKYDWKVQARILHFWRGFSKTKQSFKSFNILLVDSKRVRIHAFVPGTEADELAKLLEVGKVYLIENFTVSDYTSDDKFRCVRKEIQIVFDNQTKITPLEEKAVNIEKHVFDFFDLSDLKSLVNQQTYLADVIGVMEKPKPLAKIKNRHGILQDQIKFRIADGSTIVKVTFWDEFAVRFSAALKHNFQCPIIIIIGSARITEWSNEPTIANASPTSFYLNCDHRNVAEFRKRLSSESFPDMNLDYSTNATLDVYKVQSIKEFKEDQILKEVLCQVKIRKIQNISSWFVNVCTSCYKETQLLENSYQCKFCDRKCSYPDKKFQVCIFASDDTGAIDIMLEDREVRTVIGKSVFNIIDEGQSKENLPVILKSMENKDYTIKLLIKKENITEDYPIYSAEDIMEGFKIETDSDDESTPHPIEQMQTQPSASSYHLDSLSGISYTSKKREK >KZM86209 pep chromosome:ASM162521v1:7:652772:654607:-1 gene:DCAR_023343 transcript:KZM86209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYLIILCLISAMGWRHPWKAMTIIPVAVGISFVTLSFLSCALKVCFVSYVDRDKKVMEGFRTRGHLYCDFLHEIWYVSAKCTLRYWDGNFKYLIQGS >KZM87064 pep chromosome:ASM162521v1:7:10608481:10609544:-1 gene:DCAR_024198 transcript:KZM87064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIAEHNIMSSGQRRSSRLAESPRVFNQVKKRQAYVDLGEKDHSPIQDEIRRIPRAEPKTNVHDIRLEMNLVRDKRAPISQDDDDFVTPLKRLIESERHLA >KZM88375 pep chromosome:ASM162521v1:7:27405271:27412569:-1 gene:DCAR_025450 transcript:KZM88375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEKTGEVEKQESKGTSFDINDFTVIKEGEAEILMHTKNAVFYNKAQVNNRDISIAVLRAFISKRKQEHEAYSSRRKKTPAKTQEIPSSEPKAPADSVNGTVNGKHEASEPLDENEACRIVEEPRETQEKVRKELKPPRVLEALSASGLRALRYAREVEEIGKVVALDNDPASVEACKRNIIFNGSAASAKVDSELADARVYMLTHPNEFDMTRVLSEVPNVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLSCIESHANRYKRYIVPVLSVQMDFYVRVFVRVYTSASAMKNTPLKLSYIYQCVGCDSFHLQPIGRTVSKNNSVRYLPGFGPAVPQECSDCGKSYNMGGPIWSAPIHDQEWVTSILEDVKSMKDRYPAYERISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMNVIWDIMRCWIKSHPVKAQAPDQAGSVILSKEPTLEANFARAVASLSKAQSKKVARFLPNPERHWGPKLRAGRTITSKHVSLLGPEALKGISEHEGGDEPEAKRKKXGKNCDNLIANFARAVASLSKAQSKKVARFLPNPERHWGPKLRAGRTITSKHVSLLGPEALKGISEHEGGDEPEAKRKKTEDTPSIS >KZM88734 pep chromosome:ASM162521v1:7:30846322:30848359:1 gene:DCAR_025809 transcript:KZM88734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPEMPSTTSNLFTNSANQQNQITHQPIQNIYVNTHAPPPGFAPIQAGFGQNYYDNFEGQPAYSTYTNPAYQAQGSQIPNPAGFSFHVGQMTVNAPFYGQGTPAYQYQVQQMRTHLLQRNHAVQDIPVAQPDRPILPETQSRIQWTPELHEAFTRAVEELGGCFNTTPKAILKRMKMNGITGITREQLKSHLQKVRNTAPQNSGIPDNEARMTNVTFDSGEFALVVGNTALQNKSDPQISDNEAHNHTIMTNVALDSGEFPHVDEYPTNNQSFDSDFDDFDEILQRFCDDC >KZM87145 pep chromosome:ASM162521v1:7:11561708:11564642:1 gene:DCAR_024279 transcript:KZM87145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDCHPLLKGGRRESKYTHGLTPSDMETLASLCEVFLPPIQQNSAHDIENAEPSVEHIQSFLKASGSENLVPDEVAEILMKRGFFEGVLVVRLVLRILCSRLGTFLLCGSLCLGNKWPYCNKFSRIPLEKREQVVQKWFRHSFLTPIRLGFIFIKFLCTLVFFSQANEYSENPAWKAIGYNVNNLDQKLSEKEERPLAKGMVETIYETDSSFVRSLTQKGLTVTKDPKLVNTYKFACDVVIVGSGCGGGVAASVLARSGYKVVVLEKGNYFTKNDYSSLEGPSMNQLYENGGILSTIDGKTMVLAGSTVGGGSAINWSACIKTPKVVLQEWSDKHNLPLFTSSEYEAAMNTVWKRIGVTERCVEEGFQNQILRKGCERLGFEVEAVARNSSENHYCGSCCYGCISGDKKGTDTTWLVDAVDAGAVIISGCKAEKFVIEKNSRNSNYERTRKKKCLGVIASFNLKENNNINKKSILHIKARVTISACGALLTPPLMISSGLRNQNIGLNLHLHPVVMAWGYFPEETSLEFKGKHYQGGIITSVHKVVDSKSNDVRAVIEAAALLPGSFAGLCPWKSGLDYKTRMLKYARTAHLFAMVRDRGSGKVKAEGRISYTMNKEDTENLKAGLKEALKILIAAGAVEVGTHQSDGQRFKCEGTSAAELEEFLDRISAAQGPKSMVKNWTTYSTAHQMGSCRMGKDYTQGAVDDNGESWEAQGLFVCDASVLPTAVGVNPMITIQATAYCLSNKIAEILKIKASQN >KZM86884 pep chromosome:ASM162521v1:7:8014674:8015099:-1 gene:DCAR_024018 transcript:KZM86884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEILTIEIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHNVFKRDGNDLIVTQKISLAEALTGYTAQVTTLDGRILTTPISSVISPTHEEVIKGEGMPIPKEPTRKGNMRIKFNIKFPTRLTAEQKTGIKRLLTS >KZM88190 pep chromosome:ASM162521v1:7:25309801:25312145:-1 gene:DCAR_025265 transcript:KZM88190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRTANRCFVQEDAKRAPKLACCPSSGATAKQVDSGPASATDGQDYCVPGFVPHSNNPSYMNVSPDTKWWLQMQPNYGYKKGFLNDQLNTMDAEVDIHGVGFASLNVKTSRVHLNNVGTLVTRNLNSDPTHGSEGRVCASFIKKERSVNKQELKAEYAKGVQESVKMKDGKESYEFVEMDHIDGASLNTSDEWCLDSGSPLIGSGKKDPWWKTADSDELASFVTQRSSVHVENCDLPQPQVNHIRRDPNVRARLPNYNGSFNSSVDMKPQNADRSNRSSYRSGGGTPKSTCGSNWSVEGNSKSGVDSPLSYNTTRSGKAETNTSDKDDCKAQLLEALRHSQTRAREAEMAAKQAYAEKEHVVKLFFRQASEFFAYKQWFQLLQLENLYYQIKNNKNHPMSDLFPSVLPWMPIKNRKMRKKAIKGKCSKQGRSRCNIHKYTFMFALGLSLVGAGLFLGWTIGWMFPALLA >KZM86773 pep chromosome:ASM162521v1:7:6733951:6734483:1 gene:DCAR_023907 transcript:KZM86773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQSPIGKTGSSSSIDSVRKEERKSCFCGRRARLFTFWTLKNPGTRFYTCATPKEDNGCHYFEWFGEEFCPRSLDVITHLNHRRIYLEEKLKVVEEYLAESMEKKKLLKVERNLLIEVRMKLEAEKNRMKRQMKLCVFVVVVLIAVLIVSK >KZM87111 pep chromosome:ASM162521v1:7:11045003:11045419:-1 gene:DCAR_024245 transcript:KZM87111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRGGKNKAGGAAKEEVKHRGVRRRPWGKFAAEIRDPSRNGQRLWLGTFETAEEAARAYDKAAFSLRGHSAILNFPNEYYSQLADCRYQSSSTTNNYGSSSSSGNNQKQVIELEYLDDSVLEELLESDEQKKLNKR >KZM86530 pep chromosome:ASM162521v1:7:3837736:3847620:-1 gene:DCAR_023664 transcript:KZM86530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPQLIPLGTGSDFARTLNWKNNPEDAIERIAKGCCLGDIFFRLLNEGVMQDKGLGLTLELSGEKMVNITISIMLQTSICKDTFLKEITGNQGHEKHIDLGTYVMLLVHCKPFLGIRTRILRLRSMRVSGKYILKLQLCPLCIGNAKFFGGRMKITPNADPRSGNFEVVTLQDFRCYHFIRYLHRLYNGTHLSVKNVSSQPCFQTQQLFCLGVPPVTCIVSDAIMTFTMEAADEFGIPVVLLWTASACSLLAYMRYHQLVERGYTQLKAALQSGIDKLADTVGLTLGPRGRNVVLDEFGTRKVVNDGVTIARAIELPDAMENAGAALIREVASKTKDSAGDGTTTASILAREIINLGLLSVTSGANPVSIKKGIDKTVLALTEELENKARPVHGRDDIKGRACYTELQVVVPENGIVGLHADNLVIERVTVNREVAEFEVYPHYLHLDNDNRWCSVSSASSAADAAGQENSDNGLQSSGEFKQVDKATSDLKNTNVRQKDIVTQETNDSCDIRCSVRTHPPEEAALGKSEGNTKNAETISKSKEEASRSTCNIATILEAEFPENKCYQYSHRPSFSGSDYEDYKDDSFRSQFGKVKYRDGKDAARYGKCIHPKRDSLYDSSGLKSSTSGLRGTSNSVTDRDYGHHLEHDHSRQKDKLYDYSGYHSNIREVQFCILEAGAFGVPQSRKREFVWAASPEETLPEWPERMHVFAAPELKVALPGNKHYAAVRSTQSGAPFRAITL >KZM87269 pep chromosome:ASM162521v1:7:13345269:13348529:-1 gene:DCAR_024403 transcript:KZM87269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILSGAAIPILSQSFLGWQLLLSSIFLGRRYRFNQLFGCFLVAAGVVVTVASGSSTGSLVEAGIFWSILMVVSFLFQAADTILKEMIFLDAGRRLKGCSVDLFVVNSFGSAFQALFICLLLPLLSKMWGIPFNQLPNYLRDGAACFLNIGTVSGGCDGAPLLPLLFIVVNMGFNISLLHLLKISTAVVSCLASTFSGKLFGSQVNFSNICVFIYVATAISRCCILPPIRLCSRCPCPCRRDAYICLDSFTKCPGSFNTSLELKLLHERLVSKLASLDEKGMVE >KZM87491 pep chromosome:ASM162521v1:7:17243605:17249663:-1 gene:DCAR_024625 transcript:KZM87491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDQRRRRKVVPGINGIVPKFIKVIYGPDTLIVSLRLPERFLDAVGGRLPNNVVLQYPNGREIHVHYQRINQSLVDLDPLFNELEGEEGFYLLFEYNGNGLFSLSVIDKALTEVEYQHSRKIPRAPVLYQDKVNGKRWKFMARALGQTFENGSVEILWLLLILVGGVSQWIFMITVRQKIMTFNEPIIIDSDSEYDLGELEEAEMAAQIQEGIVGDAGMDMEGNAPQGEVGQNGDNIENNDQIRQFTKVLTQSNTDQSSHGVIIPFSVKPRNRGWKAGEQVSLITGLGSWTCTLEMHHKCARFSGGWNNFSLENDLEKDQVLRFNLVEAENSIVFHVN >KZM87063 pep chromosome:ASM162521v1:7:10597321:10600448:-1 gene:DCAR_024197 transcript:KZM87063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKELKSQMVPEWAEAYMDYEFLKTLLKDISHFQERNRPAASLGTDNPHGLTRKLTLYRAFSGLTQRTLSPRSPRNHDIESQPILVNSVKRSNGEEGYETRFLMVAEAGGEYELVYFKRLDDEFNKVVKFYKSKVDEVMKEADVLNKQMDALIAFRIKVDKPKHDFFESRVEMSRLASAVEASSEATVLTASASTAMRASRKVAHMDVIDEDKSNSGVKSDDISSDDKDSEGSRRPRGISTVRPPPLEILERVTINKPIETPRSTIKGVLNVPVQTDLKFTKENLNKVEEQLKRAFTEFYHRLRLLKSYNFMNLLAFSKIMKKYDKITSRNASKSYLKMVDNSYLGSSDEVTKLMERVEVAFVKHFANSNRKKGLSTLRPTAKRQRHRITASLGFFAGCTVSLIVALILIIRARKILDKSGRHQYMNTMFPLYSLFAFIVLHMVMYAGNIYFWRRYRVNYPFIFGFNQRTALGYREVLLLASGLAVLGTSCVLANLDMEMDPKTGDYKAVTELLPLGLVILVLVIVILPFNIIYRSSRYFLLTCIFHCICAPLYKVVLSDFFLADQFTSQVQAFRSLEFYICYYSSGDYKRRENDCNKSDVFNTFNFIVAAIPFTWRLLQCLRRFFEEKDNWQGLNGLKYFATIVAVTTRTAYSRSHSNGWKAVAWIASIIAAVSSTYWDLVLDWGLLDRKSKNRWLRDKLVIPHKSVYFIAMVSSLSFLKAP >KZM87316 pep chromosome:ASM162521v1:7:14243090:14246847:-1 gene:DCAR_024450 transcript:KZM87316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRNSAQNTHFELKGGEYILFEYFGHFTIGDGGWRFVICGVEGDGVIDEIDPPAGFIDRCGFALPHRIMFVLSNGKMFAGSYNSEACRFSGLSSMFRILGIDSFNGVSKFLFTYDGTEFVSQEHLLLLGSDINFSCTLCWCEGMESSGLTLPNFIKFLTNVDTKSDYLKLPTGIVSQYGGRLQECFLMKFRNGYELPVYFSDDQWTISGVSNLYEDFGLNGGEMLLFEFNGKSDFNVYVIGSNLTEIEYPNIVHYLQKNRPRVVSVRNGGLKFVTFVNEDNPMSDEFEPPSSFKRATPMIRGYQNFLFSNGKKIEGGYHHDTGIFHGFKKFCLILGIEDFSNYNVVLFTYEHDKTTKVSVYDDYFVEGLFPRSPVLAGLNSHNPTVFGRIEIYVKPFHMFKYFYEVDISTVYERITAYWRRRDYITAYLGDRAWKLEVRARNRKCQRKTILEGWIQFREDLGLAVGDLLILECPTNSRHHFSLQVIKQPLA >KZM87089 pep chromosome:ASM162521v1:7:10853770:10855654:1 gene:DCAR_024223 transcript:KZM87089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQDIDMDSDLFAAAISGKRDILKIKHSRTAVGDQLTPTKNTVLHLASESGREECVEQILRTFPDLLCKKNSKGETALHVAVRGGHLNVVRVLLDYAEIADEESWKKKLEESAEKKLDKESVEKNDHRRLMRMLNKEHESALHEAVRHNHVNIVKFLVEKDPDDKYSANKHHMTPIYLATFKGHVASLEIIFSKKKEFGSDDRPDGRTLLHAAGISTNTTALNQKDKFLSDDDKGVSNNTPLHYAAKHGCFVPSLIKHKLVNRDALNKDSHTPLDLICGDNSGSGSEQEKVKNLLVQIGAAQNLNLSKELDMYKCLPRQYATDENLEMTRILSGTRKFVVAFIATIMLAVAANLFNLSGHNSHQNDSYL >KZM86319 pep chromosome:ASM162521v1:7:1654645:1664066:1 gene:DCAR_023453 transcript:KZM86319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTKKSSSTADGAPSVAAKTMTKGKRGAEVEMRVVTKKPKKEEKELKNEAVKMIIENKTVKRSKRKESSSSSSDDSSEEERKPASKQPVPKKSQETNGIKSKRSKKGETSSSESSSSSEDESSSEDEQKVKPSVKQPLPKVRSKKDETSSSESSSSSEDESSSEDERKVIFSGKQPLPKVRSKKGETSSSESSSSSEDESSSEDERKVKPSGKQPLLKVRNKKGETSSSESSSSSEDESSSEDEQKVKSSGKQPLPKVAQQKTASKTKQSKKEDSSTSSESDSSSEEEPDVKPAARLSAKPTIEPRSKKQESSSSSSSEDDSSSEDEQKNKPAAQQPVPKVAQRKAESKSMQSKEDESSTSSDDDYSSEDEPNVKPTGKQPLPKVRSKKGETSSSESSSSSEDESSEDERKVKPSGKQPLPKVAPQKTASKTKQSKKEDSSTSSESDSSSEEEPNFKPAATQSAKTTIETRSKKQESRSSSSSSEDDSSSEDEPKNKPAAQQPVPKVAQQKTESKSVQSKKEESSTSSDDDSSSEDEPNVKPAARQSAKIKVETKRKKQESSSSSSSSEDDSSSEDEPKGKPSAKQPLPKVAQQNSESKTKLSKKEESSTSSEDEPNNGTVTSVVVAKNGTSGKHATSKVADSSSDDSGSDSEGGKGSKNKAPVVAVAAKMDVSSEESDSDDSSDSDDDELKAKPRRKQVPVGALLKKDSATSNKKDESSDDSESSDSSSSDDDSPVPNAALSEKASSRTASKRKLSTKVDNEEDSSSESEEEEPKKKKMKVQESSSEESSDDGTSKDEEKKVPKTPRQKDADEVVVDAESVKTETKKTPQTPGALQVKASGTKTLFVGNLAFSVERADVEDFFKDVGEVADVRFAVDGDGNFRGFGHVEFSTIEVAQEAMELNGQTLLGRPIKLDFARERGAYTPSSGKENSYQKGGPTQVHTIFVRGFDTSGDENKIRIALKQHFETCGDISRISVPKDYESGAVKGIAYMDFKDSDGFKKALGLNDTEFGESYLTVAEAKPRGDSGGETRGGRSGGRFGNRSGGDKFGSHDGGDRSRGVRGGRSVRGGTRGRGRGGPARPSMATVGTGKKTVFDD >KZM87557 pep chromosome:ASM162521v1:7:18132856:18133749:1 gene:DCAR_024687 transcript:KZM87557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPRFVIEASEAESMAKKYGVSVPELLPRLVKSAQTLARPPTSNYHVAAVGLSSDGRIFIGVNIEFPGVPLHHSIHAEQFLVTNHALHNSPRLLYIAVSSAPCGHCRQFLQELRQAGEIQILITSEPQKDVIYKPLLSLLPSPFGPTDLLDQQTPLILESHDNGLCLMQNKNLANGVDQDCEKLKSLALEAANHSHAPYTHCPSGVALMDVNGNVFKGCYMESAAYNPSLGPVQAALVAFIASAGASYHDIVAAVLVEKEEAAVRQEDTARLLLKMVAPDCDFRVFHCCSSLNGCV >KZM88207 pep chromosome:ASM162521v1:7:25488380:25489150:1 gene:DCAR_025282 transcript:KZM88207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAVKEGVKLYVYTIRSSHVERARHKAIEAALVDALSQGLNAKEAAQQAQQEGKKAAKLATRQAKRIIGPIISSGWDFFEAVYYGGTMTEGLLRGTGTLFGTYAVGFLGEQKFGRVGYLLGSHLGSWVGGRVGLMVYDVANGISILLQFTETIETISSDGISESEAKDYVTSVLDTVQPPEGGNVLIDIISKIASQIFPSTSDSSEYQTYGTESSEPSEDSYGYETPTYTSSETPEDANVYESADYESPDMHEEL >KZM88496 pep chromosome:ASM162521v1:7:28346972:28348312:1 gene:DCAR_025571 transcript:KZM88496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHNAISLFQAYGAAGYPFSKQKIERLISQDDRARYYPSLTALLASPQRDYLINNKGHKMKSKDSELVTELEDKTVILYLYESGCTKALTARLKDAYKVLVEEEKMKLEVVLVYIYDSWNTLGCTNEKSFMEEFGTMPWLALPFRDSNCKKLQRVYLYPSELGGPQPDPSLVVIGPYGQYFEPFGASDVLMKFGSRGYPFTRKRGLHLQVETIKKVSLGMLWDPEPVFIRGCGSEVIFLSSMHV >KZM87210 pep chromosome:ASM162521v1:7:12479258:12479824:1 gene:DCAR_024344 transcript:KZM87210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPILITLSILLSLITIPATGEHHNFVKSLDRKSLRIGREKISHFRVYWHDILSGPSPTSVMIVKPSSNISTSAFGLIRMIDNPLTEGPDVKSKLLGRAQGFYGSAAQENISLLMSMNFAFCEGKYNGSTITVMGRNEVFDKVREMPVIGGSGLFRFARGYVRASTHTFDLKTGDAVVMYNVYVMHY >KZM87695 pep chromosome:ASM162521v1:7:19500000:19501676:1 gene:DCAR_024796 transcript:KZM87695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDNGENVGGADEANGVKVKRVVNLQIAASRRELLSTDDPPSLSDGSLSAHANKMNFFSTFGALQSPSLKFQRLVTQRDEISRTVPCSRSRNVRERLSRVFSKKIEWNSLKKICKEWIRNPMNMVLLLWIICVAVSGAILFFVMTGMLNSALPKKTQRNAWFEVNNQILNALFTLMCLYQHPQRLYHLVLLFRWRSEDISRLRKVYSKDGTYKPNEWVHMMVVIFLLNLNCFAQYALCGLNVGYKRSERPALGVGITISFAIGCPAIAGIYSIVSPLGKDYHLELDEEAQQLQNNTSDSSASQLRSKTLEKIRSFAPRALEERPQWSGGIFDIWEDISVAYLSLFCSFCVFGWNMERLGFGNMYVHIATFLLFCLAPFWIFNLAAVNINDEVVRSILGLTGVFLCVFGLLYGGFWRIQMRKRFNLPSHNFCWGKPAVADCALWLFCCWCTLAQEVRTGNSYDIVEEKFYKKKGDENTQLPMSPLPREDGGGYHVKSGSNSPLGNSPSPNILKANSPSPSRFSNEYYSQITVAEQHSSIVEGIMKPPSPSVIQRDAS >KZM86318 pep chromosome:ASM162521v1:7:1649100:1652551:-1 gene:DCAR_023452 transcript:KZM86318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNHLLHIDLFKNPNSIIAEYIWIGGSGADLRSKARTLEGPISDPAKLPKWNYDGSSTGQATGKDSEIVIYPQAIFRDPFRKGKNVLVMCDAYSPAGEPIPTNKRCKAAEILSHPEVVAEAPWYGIEQEYTLLQKDVHWPLGWPVGGFPKPQGPYYCGIGADKAFGREIVDAHYKACLYAGINISGINAEVMPGQWEFQIGPVAGIAVADQVWVARYILEGDWNGAGAHTNFSTKSMREDGGFDVIMKAIEKLGLRHKEHMSAYGDGNERRLTGKHETSNMHTFSWVSRLCYFEDRRPASNMDPYVVTSMIAETTILWKP >KZM88761 pep chromosome:ASM162521v1:7:31096209:31096776:1 gene:DCAR_025836 transcript:KZM88761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDQNLLIHAHHKLIRSTIYLLFLLISTSTHHSYTAAGRILGDPLAGSQARISFTEKEDKSILSGQIGSRPPRCERRCGSCGHCEAIQVPTNPQIKAGNKNSTTVYKIAYSRGEDNSNYKPMSWKCKCGNFIFNP >KZM88899 pep chromosome:ASM162521v1:7:32152050:32153432:1 gene:DCAR_025974 transcript:KZM88899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSISIIMLVTLLTFAPLCFSRKTDTGYLYPQFYDRSCPKAAEIVKSIVSSAVAKETRMAASLLRLHFHDCFVKGCDASILLDGSSGIISEKGSNPNRKSVRGFDVIDQIKSALESACPQTVSCADIMALAARDSTVLTGGPSWEVPLGRRDSRGASLSGSNNNIPAPNNTFQTILTKFKLQGLDIVDLVALSGSHTIGKSRCVSFRQRLYNQSGNGQPDYALDQYYSAQLKTRCPRSGGDQNLFSLDFVSPTKFDNSYFRNLLASKGLLNSDQVLVTKNEASLELVRKYAENNEEFYEQFAKSMVKMGNIFPLTGKRGEIRKSCRKINT >KZM86476 pep chromosome:ASM162521v1:7:3156012:3157535:-1 gene:DCAR_023610 transcript:KZM86476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLGGKKRAKIMKINGETIKLKIPVQAGVVTKDYPGYVLLDSEAVKHFGIRAKPLGLYQELKPKRLYFLVELPKIAEERATRKVRSNINMSAKDRLESLKLARRSVSDLTLMQQKSSIGEERAGGEMPNDQPVRLKMRLPKAEVQRLMNESKTEAEAAEKIMQLCLGSSKGEVAPAQSSLVEPRVHWSEGEASRILAGRRDPLISIKQNRMDNDTAEHTFIY >KZM86654 pep chromosome:ASM162521v1:7:5339555:5341066:1 gene:DCAR_023788 transcript:KZM86654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLILSCILFFTFTALFSLSNAANTINVIKYGAKPDGKTDSTQAFVKAWVAVCNSAQAVTMYVPQGRFVIRAVEFRGPCKSKINVQIDGTIVAPGDYRALGNTGNWILFIKVNRVSVNGGTLDARGAAFWNCRESGKNCPEGARSITFNWANDIKISGLTSINSQAAHVVINGCNNVMVSNLRLNAPDQSPNTDGIHVQTSTGVTITGTTIKTGDDCVSIGPGTRNLWMERIQCGPGHGVSIGSLGKDANEAGVQNITLINSAFTGSDNGLRIKSWARPTTSFVRNVVYQNIQMRNVENPIIIDQNYCPNNQNCPHQTSGVKITGVTYKNVQGTSASKVAVAFDCSPTQKCTGIKLQDVKLSYQNRDARSTCKNSGGTTSGTSMPNSCLK >KZM86815 pep chromosome:ASM162521v1:7:7313993:7314208:1 gene:DCAR_023949 transcript:KZM86815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHYDYKYWFGNIKVVGHPQPPLSLGSGGGFYLPANAKQDQAKVEIHSVDDEFKHLVSEASLKKPFATIV >KZM86908 pep chromosome:ASM162521v1:7:8280581:8282724:1 gene:DCAR_024042 transcript:KZM86908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICAEHGIDSTGRYQGDTDIQLERINVYYNEASSQRYVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSCEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYFEDEEEEGQEM >KZM86623 pep chromosome:ASM162521v1:7:4998818:5003345:1 gene:DCAR_023757 transcript:KZM86623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQQQHQMNSGGLTRYQSAPSSYFANLINSNGYPGEDDCDQFLNTKALSPETDGFFSRFMSSCGDEESNAPAVLASEGNQSQFVAPMKHEPDIFDQQEQMVYHSQTQSDHKTVGMSSAMENPYNVANSAGMDRVSQVKMGVIGESNSNLIRHSSSPAGLFANINVNKDGYAVMGGVGGFGAGSGRNAEVSFSSASRFKNQIDHPQGVPSTSGMMPHGSEIGGRTMGMGSTETRSFGQSRRNDGGNMTGGFPSTSWDDSALLSDSYLKDFAEDDKKTFTNLNSSQNQSGEGGTRTPPTLAHHLSLPTSSAELSAMDRLLNFQDSVPLKIRAKRGCATHPRSIAERVRRTKISERMRKLQELVPNMDKQTNTADMLDLAVDHIKDLQKQVKDLVLSAMQEELDNLCDQVAYFKDQPDTLWGISSAMNADEPYDDSLCGNIRTAGCGCRSCIFHHLSSNDLMKLTYSDSG >KZM86375 pep chromosome:ASM162521v1:7:2130992:2131536:1 gene:DCAR_023509 transcript:KZM86375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVHMDCPGCENKIRKALRRLDGVDNIEIDMGIQKVTVTGWADLEKVLKTARRNGRQAELWPAPGEPEFENVDHYYNTDQYQYQYQHQHQSHPSVHTSSEDEYNSSYNYYEQYGHEHGYRYQPAHTTIMDRQASAYFSDENTSACSIM >KZM88310 pep chromosome:ASM162521v1:7:26724887:26726906:-1 gene:DCAR_025385 transcript:KZM88310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYPKGHHGEDEVDDFDEYDPTPYGGGYDIHLTYGRPLPPSEETCYRANSSGSGGGFDYNRPQYSTQAKPSAYGSDAVDDEYKSYNRPTTRPGSRPGASGGGVDESEYGGGGSGGYGRKSGHDSEYGSGGGGGYGSGGGGYGSGGGGHHGSGGGGYGHHEQVGSGYGRKNDDDEYGSGYGRKSGHEQHGSEYGSGYGGRSERTEYGGHESRVSEGYGGSGYGRSEESEYRKPSYERRGGDDDSDDEKKKRYGHKKYGDNDDDDDDDDEKKKYRQHHNRRHYDD >KZM87806 pep chromosome:ASM162521v1:7:20689958:20693410:1 gene:DCAR_024907 transcript:KZM87806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEKAAWKEVLLKISPTLDADEKRADIIVFLQTIISQYFKGIQVFPYGSVPLKTYLADGDIDMTVICYPNMKDYIANDIYKLLKYEEQNMSAEYTIKETRMIGAEVKLVKCKVENIPIDISFNQLGGLSTLCFLEQVDHLVQKNHLFKRSVMLLKAWCHYECHILGAFHGLLATYALEVLILYIFQRFNSSLNGPLAVLYRFLDYYANFDWNNYCISLEGPILTSSLPNLVVTDQGNDGADLLLSKEDMRSYTEMFSSSTFCVNQDMQIFGAKYLNIMDPLKEKNNLGRSVSKANAARIISAFKYGARNLGRILQLPRESISDEIKKFFGSTLMNHGSSFAELERLSPLPVSEEDFPLESVEIDDIYDDGDDNDDCNDNNVEGTRPASNVSSLLKAWPLDKPMDTETVKESELLDLRGDYASSARNLAYSLCTLGYSSTIPALSTRPPTTGQFQEMPSTSAQWNLNENAAVARRRFNTGNNH >KZM89286 pep chromosome:ASM162521v1:7:35705686:35706331:1 gene:DCAR_026361 transcript:KZM89286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTAITEQPNSRPKRVCHNCGSVQISTVHTLDLCYCGLPRVLKTSWIDNNPGRRFWSCRMYLKNERSGCGYYLWHDPPIGGRYQNIIPGLLRKIDKLEDEIKALKKKQKMTMWLMVVGGILVLMMVLAIFF >KZM87077 pep chromosome:ASM162521v1:7:10780388:10781928:-1 gene:DCAR_024211 transcript:KZM87077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISDELYSDILEPSSAVMGSKSTSVANHLNSSDSLGDVLSNEDGSSWNGSDNDVEKPSDMDREWWRRRDQFHTIGYRDGLIAGKEASAQEGFNTGFSESVFVGYKWGLVRGVTSALASLPGAAGKMLVKSEEKQNKFLQLHKSVDSISTSDALKLFHDDMCNKLEKERAPSVPTSQKDKESVTGSNDNLLETLFEDIEALIFGSALEVNLETSK >KZM87768 pep chromosome:ASM162521v1:7:20233497:20235779:1 gene:DCAR_024869 transcript:KZM87768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRRATKGSHNGHRWEEVIAISEDSFKDIEKDALKWSKLIERDAIAALKAGNKHIFRFLVNRLERIRRCVGIDILRKVEKGDEVAVEEALKSMLLCSWEGPKVKIWDAKEQDRLEKGEKRYKQFILNNREWIDVNLQAELIKGEDDEWRVAGNQIHYKSMRNLSRKEYEENRETRYFVEALIGEAGKSVSGDQDRADDNGWTQVKRKKRSSGKPGATIFIAKIPVKAKARDLWDFFGKVVQVVDIILPRKRDRRNNRIGFVKVQEMEAAIRAIKALKEMRFDGVKLDIVLADNKRKYEGLKQKEERGMPKNQIPMREELENSGGDKDGKDSVCAPKQTLLKKGSIIDMKYCLVGFSVFPLKGEILQEVLVEMGMSHIGVKELSCWRYLLSFNSEEELNEWNGVKVKDWIHITRSLNEEDLLPKRRLLVQIRGLPMQYWSEENLKKISQDFGIWGWWCNRPDSQMIIENPLIWLYSSCLKEINKDLDIAAEGITFRIKLIEIKDDHRHCFKDVYRNYESSKLMGKDRKGKKKEKQPVGFLNKDSFLISHVSEIEGGNGKGSKEVRQEAEVFELQEDHKGKEGTLKQKGLSLEWDFPNSIDRGDRVLLEVGDLEKLDSSQEESLCSQLKTVKLKGVGRPRKKKGKGKCPFDIGRCKWWKQGHRRGIKDSPRRVDGGLKCNNLKVAHQIMHTASLLGLELAKGREEVTVSIRNQLISGSI >KZM88864 pep chromosome:ASM162521v1:7:31879090:31880565:1 gene:DCAR_025939 transcript:KZM88864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAFSSPSGAVSGAISTKNVKQLSSTSTRLSVFKKSNSASAGNLSLNLKASAKPSFHCKSLASSQNFSVSDAGKVQELSVYEINERDRGSPAYLRLSQKSVNSLGDLVPFSNKIYTGDLQKRIGITAGLCILIQHVEEKKGDRYEAVYSFYFGDYGHMTVQGQYLTYEDTYLAVTGGSGIFEGVSGQVKLQQLIFPFKLFYTFYLKGIPALPEELLGAAVPPTPAVEPAAAAKACEAGATIANYTQ >KZM87618 pep chromosome:ASM162521v1:7:18657689:18663227:1 gene:DCAR_031935 transcript:KZM87618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSTSNPILCASFNQDNSCFAIGTRDGFRLFDANTGRLLYERDFLAIVGAGEQPSLSPRRLCLFNTTTGMALRELNFLTSIVSVHLNRKRLIVVLQENTYIYDINSLAILDTIDTVPNFKGLSAFSPSLDGCFLALPANATKGSVLVYNVMDLQSHCEIDAHNSPLSALALSSNGMYIATASEQGTIIRVHLIAEATKSYSFRRGTYPSTIFSLSFGPATELPDILAATSSSGSLHVFSLGLAINQRSRRSNSFLGSFLPHSVSDSLDPAHHRILHNAVPAGIRSYAVIRKVEKVADRSPSGASVCRATISLITYNGYFLEYILNINQHGECSWNLEREFNLLTLLP >KZM89066 pep chromosome:ASM162521v1:7:33559739:33564521:1 gene:DCAR_026141 transcript:KZM89066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDIRNRLLCYKQDWTGGIRAGIRILAPTTYIFFASAIPVISFGEQLERDTDGTLTAVQTLASTSLCGIIHSILGGQPLLILGVAEPTVLMYTFMFKFAKDRKDLGQELFLAWTAWVCVWTAILLFILAILGACSIINRFTRLAGELFGLLIAMLFMQEAIRGVVDEFRIPRRENPNQAAFLPSWRFGNGMFALVLSFGLLLTALRSRKARSWRYGAGWLRGFIADYGVPLMVLVWTAVSYIPAKDVPKGIPRRLVSPNPWSPHAYSNWTVIKDMLNVPPMYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKQQLLRNKLVSAARKSISKNSNLSQLYRNMQEAYQEMQTPLVYQTPSGLGLKELKDSTLQLASSSGYIDAPVDETVFDVDKDIDDLLPVEVKEQRLSNLLQAFLVVGCLAAMPLLKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSKRYKVLEEYHATFVETVPFKSIVAFTLFQTVYLLLCFGITWIPIAGVLFPLLIMLLVPVRQYMLPKFFKGAHLQDLDAAEYEESPAIAFNMSFGGQDTETRNAQISSGEILDGIVTRSRGEVRLPKSPKVTSSTPASQEGMKPAYSPRASPEAYSPHMKELMSTRPKVKGIEIRQTPSPGPSILGQSSHSSPSG >KZM89059 pep chromosome:ASM162521v1:7:33449399:33450310:-1 gene:DCAR_026134 transcript:KZM89059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHTAISQSPSFDTYSSSNRLALIAARVVHEFQPHADLDDYLSNHETYSHSHHPEKTEQLGEKIGQLNRIDDHEENEEEEDEEFEFACVGKQFDSASDCEGQIGPAYPLFDTKLLSDENYVEVDRNSDSPAKETATVSSSPVRLPLGKLFSEERERESPSCSSSEADELDRVPAEMYCVWKPKTAEKSPENCKKSNSTGSSKRWKFRDLLRSNSEGKDSFVFLTNPIKRRDANSKIKKSEKDVKVSGKVNDVSGEVPATLAFRLRNERVKESDQRRTMVPYKKDIVGMLADVNGVSRNLRPF >KZM88198 pep chromosome:ASM162521v1:7:25403923:25405459:1 gene:DCAR_025273 transcript:KZM88198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSLGVLIDIVDEEWMRDTLPDDAIEAFEEHLNNDEHFKKTNSKTKKKDRLFAEKSPKISPEISEDIEEIERQFVKVGQEDAEGMMPEIESLTPELLPEHKGLARKVLPNIMGLLNSRIWSLWSLRIPSDVNYD >KZM88595 pep chromosome:ASM162521v1:7:29551429:29555110:-1 gene:DCAR_025670 transcript:KZM88595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIAHELAELEALAPLDPVETQAGSVEATIESNAVGGTESTCNTTKQPVAESSDPENLNSLKQADELMEKGDKASKDQDYAEASDCYSRALEIRASHYGELSPECVNAYFKYGRALLYKAQDEADVLGAVPKKEKPYENSDDKDGSLRSVKSVESSATSVVVDASKNGSSTHQDEVLNEDDAGKDECEDDNGSDTEDLGEADEDESDLDLAWKMLDIARAIAEKDSVDTMEKVDILSALAEVSLEREDIEASTSDYLKALSMLERLAEPDSRQIASLEQSFSGFKCYALTGFRNFRISLCLEIGDKTQEAIPYCQKAISICNSRIKRLMDEAQNPSALNSPVSNQSVEISSSVPLESAADKEKEIETLTSLLDMQLLASNPPAPVLPDLMAILAAQAKGMEKGASSAGVSSSRFGAATSGNLESPTISTAHTNGAAEVTHLGVVGRGVKRVVMHSESTDSSPMKKAALDPPADKANDKAS >KZM89359 pep chromosome:ASM162521v1:7:36290000:36293990:-1 gene:DCAR_026434 transcript:KZM89359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNGNRMRYLIVKPENGGIVDLFRFLVWNNRVCASKFVESSDDDVDDLTSWPKDHRWVIIVSIVMRKLIAVFGKPMEWAGYLFEFFLNLLSLNGDNLFALIYNFFRGKMVMPQRGSDTFISAIGHLDGRIDLDKNDLFKQLGDKPASEEKIGISMHLANRSLVDLSMMAAKLAYENANVVRNVVNQHWKMHFIDFYNCWNEFQKERSTQVFILCDKPKDADLILISFRGTEPFDADDWITDFDYSWYEFPKLGKVHMGFLEALGLGSRGNTATFSELLQMKSTKSTFFDPEQEQSSLSVDPPKQLGPEMTEMTAYFAVKSKLRQLLRKHKAAKFLVTGHSLGGALAILFPTVLVLHEEEEIMQRLLGVHTFGQPRIGDRQLGIFMEAHLEYPEPKYFRVVYCNDLVPRLPYDNKTWLYKHFGVCLYFNSLYVEQKVNEEPNKNYFGLRYLIPAYLNAGWELIRSFIMDSMYGPEYREGWLSILLRVVGLILPGISAHSPTNYVNSIRLGKMKVTEMSPL >KZM87512 pep chromosome:ASM162521v1:7:17591852:17593732:1 gene:DCAR_024646 transcript:KZM87512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQSGKFGSFTRINGYKKNDFALSPKTPSPRNHSAQDDEILQESHTHTEIVDTEDFRGNVQQLSAEIDEFLDALSSSPPPDVPDSVEALSKLVESRIALYTTSRHKFGLTTTHEGEEEAFIASVKQIYKLTNAFTEFSSDDTNTSLNRTSAVLQKAMMFIEDAFWNLLEDSRKDCCLISKAEEDNIEKKQMEKLYILANTMVCSGYETECQQVYTLERRTVFKDELEKLGFEKYNIEHIQKMNWDLLEGEIARWSTVVKHCSTDLLPRERKLVDSVFSDYPSISWNLFANLARTVLIQLLGFAEAVAITKSSTDKLFKFLDMYETLRDLIPAVSSDSGEESENELKSEIENARDRIGQAAVNSFTDLENSIKNDVAKTPVPGGAVHPLTRYVMNYLKYACEFNDALKDIFEKHTKFDSSASDESSGSTSPFSQRLVQVMDLLDANLEAKSKLYKDPSLCYIFLMNNGRYILQKAKGTAEIHLVMGDTWCRKRSTVVRHYHKSYQRDTWGRLLQCISLEGLQQGNGRVSKTVLKEKFKNFNTMFDEIHKTQSTWIVSDEQLLSELRASISAVVTPAYRSFLGRYRHVLDGSRSHVEKYIKYQPEDIETLIEELFEGNALSMARRR >KZM86316 pep chromosome:ASM162521v1:7:1638192:1640762:1 gene:DCAR_023450 transcript:KZM86316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWHDLYTVITAVFPLYVAMILAYGSVRWWKIFTPEQCSGIDRFVAIFAVPSLSFHFISTNNPYEMNFRFIAADTLQKIIMLVVLGLWAKLSKKGNLDWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIVWYTLLLFLFEFRGAKMLIMEQFPETAASIVSFKVDSDVVSLDGHEFLETDAEIGNDGKLHVTVRKSNSSRRGLGLGSISGMTPRPSNLTRDGDNDFYSMTNFGPGEVYSAQSSRGPSPRPSNVEENCALPVAASRLGFHPAQPEISSTENEEFIGDTMSLTRAGRGDDEETEKKGHTRSVSSSSSGLHPKVPMEHGIGTEKIMPPTSVVTRLILIMVWRKLIRNPNTYSSLIGLSWALVSYRWDITMPKIVDKSISIISDAGLGMAMFSLGLFMALQPKIIACGNSVATYAMAVRFLTGPAVMAAASVAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVYPAILSTAVIFGMLIALPITLMYYIILRL >KZM87499 pep chromosome:ASM162521v1:7:17413681:17428060:1 gene:DCAR_024633 transcript:KZM87499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGSTVPSEFMDLYALPPSSYFSERQVGFWKTDSMPESYGLKGEGMSQAAGKNLFASSPLDYRMFADSSLVTLSPSDALHGHFVPATSEYEEEEPFESPEEIEAQTIGNLLPNDDELLLGVTDGFDYITRPGNGDDIEDLDFFSSGGGLDLGEKQISCDISTARLGGSSNSIAEENPSRTLFVRNININVEDIELRAVFGQYGDIRSLYTACKHRGFVMISYYDLRAAQNAMNALQNKPLMHKKLDIHFSIPKGNPSEEDSNQGTLAISKLDFAVTNDELRKLFSVYGDIKEIRDVPHRCHHKLIDFYDVRAAEAAHHALSRRELAGKPELTHPDGNTRFMQSFRELEQDYISPYLQQSSSFDVNTGLASHGQITSFSMENSNRLGVNYSTGSPVSQYQDIAFQHGVSSSVPSNLPSAIRAELLGLQSSITDSGHLLSKRSLEIPSAPIFHPHSLPDHPDESVVFSSTGSESYVPPLPHHYMWNNSSMLHSVGFGNNDISQHMWSNSPSNLNGIFSPQHLQKMHTFHRPPNHMLNTNLPISSNQVGSAPSVDPSLWDRRPVYAGESPDASYFQPGPFGNMRNNSNSLHPLEFVSPDIFPGFGGNCFDLPIASKVLQSPQQSSMMFLSSGQMYPRMSPFNSSHERMKGRRNEGVSNQADSKKQYELDIDRIIRGEDKRTTLMIKNIPNKYVYIMFKYVDTHTHITIVIPFIMEDKSHRIKKSLMKNKCNVGYAFINMTDPSLIIPFSQPFPVCLTNRAPNFGHSWRKYGTNMTDSLQVAADVDADSSSQQIYQGFYGPWSVDSSDVREVILYRSGLVTAAASFVFAASTAFLPDHSFLTDLIKSNYDFLYALCAAGLGLSLFLIHIYVTEIKRTLQLFWGLGVLGSLATSFTLAEPAGQNLVQYVADHPTAVWLVGPLFAAFTGLVFKEGLCYGKLEAGILTFVVPAVLLGHLTGLMDDGLKISLLGLWTALFVIFAGRKFTQPIKDDIGDKSVFMFNSLPEEEKKLVVEKLEQRNYTDNLDKRE >KZM86553 pep chromosome:ASM162521v1:7:4122223:4124459:-1 gene:DCAR_023687 transcript:KZM86553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNGKLKINEDSDDNEDSDCDSISFDEPGVLHKVNTFKGEGNVLFQKRDHESALLKYEEAINWLPKDHNDVPYIRSNMAACYMQMGLVEYPKAINECNLALEVAPTYSKALLKRARCLAALNRVESARRDVEMILKSEPNNMSALEILEDLKRAERKSSSVEDNDISLPPVDYVEPALIRILKERVKKRRYGHVENKEELKVKKKAEEGKKKDRGGENKETISRKNDYFLRKEENGEDKSGDDKVVVKDKCSVSEDVITKTVKLVLDDDIRFAQLPQNCSIRLVRNIVQDRFPNLMGALIKFRDPEGDLITITTTEELRVAEKCGGPLGSVRLYIVEVSPEKEPLYDGKALNMISEADDSDVCKAKAMGNGPCCVEEWIVQFARLFKNHVGFDSDSYLDLHELGVKLYTEAMEETVTSEDAQDIFEMAVEKFQEMTALALFNWGNVHLNRARRLVCIGEDISSETILALVKSGYEWADKEYTMAGIRYADSLRFKPDFYEGHFALGQQLFEQAKLCWYYAIGNKIDLDTWPSTKVLDLYNKAEENMDFGMQLWEELEEELLNEGLQNSEKRKADLRKMGLDRLYKDISVDEAEERAGSMGSQIYLLWGTILYERSIVEFKLDLPTWEECLEVAVEKFELSGASTADIAVMTKNHISNGESMDGFGFKIDEIIQAWNDMYDAKRWESDVSAFRLEPLFRRRVPKLHSVIEHVSLYCS >KZM86366 pep chromosome:ASM162521v1:7:2046973:2050420:-1 gene:DCAR_023500 transcript:KZM86366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVGEIQVMARDVREKERREGKNGNNGHLKGDDVGVDVTRIEKEVIRRLGRRGKGVKKLSMRNDDGGKDGLVAEDVNEGLIVKKAESESSFGSTIDEAKGFRSLDDGAVDNSSAVLRSEAQEKTRDDGDGMELLDSVRTVEQPNADVVRNDMVNSGEEDVSNTTEVTRKKSSKGKERVQLGKAEPLNGKAVKLDESHKLNGASSQRSTWWLNLPYVLAVVMRTGGDDQEANGLYRIKSTSHSLDDSSHVVAFEDRGDATNFCNPFTKIWTTSDLDEAVKSHWMEVVVVKKGQLQLYAGQPLSDVETTLRSLVERS >KZM87219 pep chromosome:ASM162521v1:7:12578525:12580045:1 gene:DCAR_024353 transcript:KZM87219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQFLKLLDGEDCNSNDMAVPWKFTVTNGHSISEIVKLVLRTGYVKRVSYNRTVSKLVGMQHLYLYLGLTGGEILLFDYRNASTFSLHVMGKDGGEISYPSISHSTGESSNIQENLLASVTLPKYFAVEVKPSHMLAYCHGVDISIEFKNITNMWRTKEEITVVDGCRTWNLQIRKRSNNKRTAILRGWIEFRSGLHLDVGDRCVFKWKDECYRRFIVEVAKAVYIVQSE >KZM88791 pep chromosome:ASM162521v1:7:31347811:31349511:1 gene:DCAR_025866 transcript:KZM88791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCFSPEEEETDILYQRDAVASAGVVELITNSTSLSHVGQAMQIWGSCNRELSSFSTRFNLANDNQPRPNHGSDLAFILSPVVFQIPLKSADGFLGLHNTSNGDSPEYQIVGADSDHLTNPKRLPLNEHVQNNKNSSSSSFNTAYYEELLSNSSLNTLSTSSAVTIAVLLSLAILLTIVCVIVLYKRRRWAAKKAAEAANNLEKLLREEDQGIDQRMGSVRGLLEWVWNLYGSGEPLSAVDRKLNNEFDARQVECLMVVGLWCAHPDHNLRPSIRQAIQALNFEVAMPILPTNMPVPLYQLPLSITAAISSEASMTFTSIIALTLDVKQVYIGCKIQLRTPHGSSYTYV >KZM87333 pep chromosome:ASM162521v1:7:14644701:14645756:-1 gene:DCAR_024467 transcript:KZM87333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSTCALSLLLVLVSFFLVEIEARESKFFTKAVVIHADTTVENVTHHVEAPAPSPSVSPSPAPVEAEINRGYGLYGRDETPNTSGTVTGDSLFENKSPAEEFEEKFGKDEFDNNELPSNYNEHSYVTVSHDKGEKFENKELSNNELPSNYNEHSYVTESHDDNKQRFGNEELSNNELPSNYNEHSYVTHPQGDNKERFGNENFNNNELPSNYNEHSYVTVPRNTNLYDTKFSPSTNSEDEFVNNEEKLTPEEEQLFDQNSFVNSNANYFNDNHNHNSETYNGNQPQGLSDTRTLENGKYHYALHGSPPKRRNEGYYGNNGEPKSQYEFDTMEEFERQEGYSQIPGEFVNP >KZM88224 pep chromosome:ASM162521v1:7:25767110:25769452:1 gene:DCAR_025299 transcript:KZM88224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFITGCGAAIVDESLDKELEESDDKEPEDRKGWLASWTEDEDFEKKEEIKIFVMVTSINEILETNNEENAPKRIILSCTSVKDEKSCPEHEVARKKLKTVTSSSAALSDDHEEDHHKNRAAKAAPKNIISSTSGDHVEKCYQYNAEDDESSEDEEDDRHFKTWPSRYVAVEEERHFSRRSKRREKGSHGSHSHKRRVFILKFETPCHVKSYYLLELISFTMRRPRPSF >KZM88660 pep chromosome:ASM162521v1:7:30085860:30091877:-1 gene:DCAR_025735 transcript:KZM88660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ZEP description:zeaxanthin epoxidase MASAVFYTSMNSSPALFSRTHFPNSITKDFSDEFLNTHQVNYYLPARKIGSLKRVASKVRAAVTDAPVVSQSGGENLREGKKKLKILVAGGGIGGLVFALAARRKGFEVVVFERDLTAIRGEGQYRGPIQIQSNALAALEAIDWDVADEVMKAGCITGDRINGLVDGVSGNWYCKFDTFTPAAERGLPVTRVVSRMTLQKILATAVGDEIILNGSNVVDFEDDGKKVTVILEDGQRCEGDLLVGADGIWSKVRRNLFGYTEPTYSGYTCYTGIADFVPADIDTVGYRVFLGHKQYFVSSDVGGGKMQWYAFYNEPAGGKDKENGKKERLLQIFGGWCDNVIDLLMATDEEAILRRDIYDREPTFNWGKGRITLLGDSVHAMQPNLGQGGCMAIEDSYQLAMELDKAYNRSAESGNPIDIESSLRSYESSRKIRVSVIHGLARMAAIMASTYKAYLGVGLGPLSFLTKLRIPHPGRVGGRFFIDIGMPLMLSWVLGGNGSKLEGRPLQCRLSDRANSDLKRWFEDDDALERATKGEWVLFPVGNTSASSEAIFLSKDEGKPCIVGSVLHPNIPGTSIAIPSPQISSLHAKITCKNGAFSVTDLRSEHGTYLTDNEGRRYRIPPNFPTRFHPSDIIGFGSDEKVAFRVKVMKFPSQVAENTEGSAALQAV >KZM87940 pep chromosome:ASM162521v1:7:22243381:22243557:-1 gene:DCAR_025041 transcript:KZM87940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAGIVGVGVVRGRGNGLVKTAVKVYALEVAPASIITREDSVFFFLFVYMPYLYFVA >KZM88214 pep chromosome:ASM162521v1:7:25584573:25588365:1 gene:DCAR_025289 transcript:KZM88214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLDSEGSDTDLDLESGGTTSEEDGRIVPDLSAEHGKKVLRRTWSGFVGLNRSARVGNGLNSYDEVSISGRASADNVNIISDQLARDVDSLEKKISREKKKTSNSKNPSKPPRPPKGPLLDAADMKFVKEFSELARLKRRRVERMNKLKKKKSEQESSSCSNVIAMVVTCVFGFVIIFHETNFRIQFIHSTDFLVLTYDSNEECSLPLSSENLESASSLDDVLLLDLILK >KZM89264 pep chromosome:ASM162521v1:7:35493730:35495919:-1 gene:DCAR_026339 transcript:KZM89264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGAFGGNRGARPVPPEKGVFPLDHMHLCDLEKKEYISCLRSSGHKSELCRHLSRMYLECRMKKNLMAKQDMSELGFGKEPVLEASPEDGTRNAMIEE >KZM88821 pep chromosome:ASM162521v1:7:31539573:31541749:1 gene:DCAR_025896 transcript:KZM88821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLETTQDHHESRGEALEALSSSSSLAKRWEVLVMGEMKKVSYIAMPMVVTTVSQYMLRVISMMMIGHLGELSLSGASIATSLTNVTGFSLLFGMSSALETLCGQAYGAERYQMLGIYTYGAIISLLLVCIPISILWIFTEKLLLLIGEDPLISHEAGNYSIWLIPNLFPYAILQLLNRFLLAQSLIYPMLLSSVAAFVFHVLISWMLVFKFDLGSAGAALGIGLSYWLNVILLGIYVKYASSCEKTRISFSKDVFPSIREFFRLGIPSAIMICLEWWSYELVILLSGLLPNAQLETSVLSICFVVSSLYYFIPYSFGAAASTRVSNELGAGHPEAARLAAWVAAFLAVIAGVTASAILFSCRSILGYAFGEEKELVDYVKDMVPLLALSVMMDCLAALFSGVARGVGWQRLGAYVNLGAFFVCGIPMACVLAFVFHWRGKGLWTGLTTASLLQGLMLMMITLFTDWKKQAREARQRTIESRSQLTVE >KZM86206 pep chromosome:ASM162521v1:7:629667:630412:1 gene:DCAR_023340 transcript:KZM86206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEVKLLGSWPSFFSKRVEIALKMKGISYEYCAEDIFNKSPELLRYNPVHKKIPVLVHNGKPICESLVILEYIDETWKSGPSLLPKDPYDRAMARFWAKFIDDKCFPTMWFIRMSKSEEERGKAIEEARDQLLTLENLLKGSKFFSGDEIGLVDIAANFIARWLGVMEESMGLELVTKEKFPRLSEWIDDYLKNTIIQETLPTKEELLNRFRNMFQRTQ >KZM87708 pep chromosome:ASM162521v1:7:19600092:19600589:1 gene:DCAR_024809 transcript:KZM87708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWNKPPAGFWKINVSGHSDEGIRSSAIGCLMRSRSGHFSCGYYGIVEYSEPIYTDLLAIYYGFKMADEENARYIEVESDSASAVYLVNNPNQNSEYSDILLNIRRLKDLAHQSCVLRYVERSSNLMAIRMSAYSYEKRVPITRLRCCPSDIFPELAADWYFSS >KZM86525 pep chromosome:ASM162521v1:7:3762394:3763862:-1 gene:DCAR_023659 transcript:KZM86525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESSIANAVGGKTARACDGCVKKRARWYCAADDAFLCQACDGSVHAANPLAQRHERVRLKTASMTQLSLEIPSWHYGFTRKPRTPRGKHHHRHKSEKLTTNPVHYEPEIVPNNENLSEENDEQEQLIYRVPIFDPFAAEMSLSDTSTESVTLRAGDDDGEKHKVGFYQSDMDLEEFAADVENLLCKGLDDQESFDMETLGFFNSRGKDSVESPSTWCAGTVIKFEEADEIKDSAIVNYQIEAEIDMTKETFELNFDDYDTPENNFEEDYEKAGGFGNVIDQLKEECEAMETNVEEKKSKILLALDYEGVLAAWPEQKSAWMTGDRPQLEDSTCWPDCMGECGNVHDNYASRYGEMGGLRMLDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRIKGRFVKRANFLAAIN >KZM89212 pep chromosome:ASM162521v1:7:35010556:35011146:1 gene:DCAR_026287 transcript:KZM89212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSAQQSMSQQETETKVQAMQERDQLTDKALSQHAQKSASELTDFAKHELKSHMQAVEQAKASADGTTEAAKSATETNK >KZM88779 pep chromosome:ASM162521v1:7:31247098:31248560:1 gene:DCAR_025854 transcript:KZM88779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCHSYLYAAIMHAMAHVPGKSAQDCFDRVHADHLTPRPRSRAKVLNSSPVLYSPSKLLDSIRSKSKRPGHTKQKGQAVQKTMRKLLQKEFQVNREQNADLFSILEPQVSLSPNALQQGVNCSTPKQENPKLLRRCQETSSSDRRKQCLQINSMNEARGASPPVLKPVKNKALHEKYIDKLHARRRAGSAKAAKSSLQARDRKECRVQKNAVESAKNALLDDARVAIKQYQHKQANDFSIRPDDDDDVLFGDEDTDGENSL >KZM87901 pep chromosome:ASM162521v1:7:21860106:21864840:-1 gene:DCAR_025002 transcript:KZM87901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLPQFKCSFSIHLRPLLHQTSTQPLKDREPFYFRAQCSATVTTVLDIPSLEAHSNPVAANRPWSPSTSTAVLDLEKLHLASLGIHSDFAATNRPWTYVSDIDPALEASFGASLPTKTSLTSKEAVIAAAALEAVALAREAAKTAKDAVMMVNHINSTTSYIKALAERPQPQLRQTVDTMVVGESEVAQSECVSDVVEPTAEEAELLQAELVKSIAVRSRRQPQRKARRARAAKNTSATVVSVKSGSSSQKRRGSREIDHSDPLRYLRQTSTSSILLTATEERELSKGIQVLLRLEKIYKELSERYGGEPSFMQWALAAGVDQTTLRRQINYGTFCKDKMIMSNVRLVVSIAKKYVGTGMNFQDLVQEGCRGLVRGAEKFDASKGFKFSTYAHWWIKQSVRKFLSDQSRTIRLPFHMVDATFKVKEARKNLYNANGRKASNEEIAEATGLSMRRLSAVLLVPKAPRSLDQNIGFSLNLKPSEITADPEAETSEELLIKQSMRQDLEKVLNSLKPREKQVVICRFGLDDGRMKTLQEIGELMGVSRERIRQIELCAFRKLKNKRRTKRLHQYQLA >KZM88082 pep chromosome:ASM162521v1:7:24196620:24199659:-1 gene:DCAR_025157 transcript:KZM88082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFVADLASGLVRKLISLATEEVIQAWNLSEDLLTLRERLKLKAVAHVADVFMDQLAYEVTRQKAANKIKTIHKSFDKIFKWAADLGLQPIAQLSATVQVRGIRHTAPFEDETQVVGRDEDVSNLVHVLSEIHDEDLLVVAVAGMGGQGKTTLARMVYNKDVVINIFPKRIWVTVSDDFDFMKILNQMVGSLTLTTSVLDNTEAVIKNLQKHLKGVKVLLVLDDVWNEKADKWDSLMNSLLGVGCAKGSSILVTTRNQEVIDAMQCSVCYRVEKLSEEYSWALFKQRAFTHGGVLETREFVDLGRRMVERCGGLPLAIKTLGGLLYSKKSEEEWLLILNSEDSLIYKDEMVHIWMALGFLLPPRDTSLLMEDIGSEYFNILLSNSLLQDVETDEYGNITCCKMHDLVHDLAVDVSTNHSQTVTPSQDSNQLSQAIYVRLEGFEDIKPNIYKAYFYTVQSLYSQASIISVLLPNLKHSRVLVLKSFSNELPSSIGNLKYLKHLDISNSLGCKSYKLPDYISRLYNLQTLRISALHELPKKACNLLNLRHLFVENKFADNNPRRCMFIGIERLICLQTLPHFVVSRDQNCLVGQLGGLKNLRGNLKLYDLRHVVNMEEASKAKLCQKPRIQHLLLDWSNNENEREDKEFNYEDVMKGLEPHTYLKELTIDNFMAKKFASWITMMDNLVKITLRNANRCEEFPQLGHLPKLREMKIVGMDNLRVIKSNFDQATNLVRTLYPSLTKLILRGLTRLEEWLEPVTSTEDQTRFVSFPKLEVLDIKRCSKLTRISSSCFPSLKELGISNLDSGVILETMSRKIHSLTHLRLSDISNRGGASSSSYINMDSVINKLLNNSPSLTTLNLYDCQGLTSITLGVATEYLKVVNCADLMSINVVEESSALNYLIFGCPILEVRCWKGNGIQQIPNIDS >KZM87975 pep chromosome:ASM162521v1:7:22722495:22732539:-1 gene:DCAR_025076 transcript:KZM87975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVLQGKLGEAIVADLASGLLGKLVSLAAEEVVQAWNFHEDLNTLHQRLESVGALLYDAHTKNLIMSTAKNWFDKLEAVAYVANVFMDELAYEVTRRKAENRHKALDFFIPSKNTLLYRLKVARKIKSIQSSFNEIFKLAVDLGLQPVAYLGSTVQDRDIRTTHSYEDKSNIVGRDKDVSYLVQTVCKKHEEDLQVFVVAGMGGQGKTTLARMVFNSNDTIHNFSKRMWVTVSDDFDYIKILNEMIESLTSTNLGLKNPQGLTNELQKSLKEKRFILILDDVWNEESVKWDNLRNSLLEIGGGKGSCILVTTRKQEVIDAMRSCVYYWLEKLSPDESYELFKKIAFSDGGVLETAAFATLGRSMVKRCGGLPLAIKALAGLLYSKRSEQEWLEIQSSETWQSKSVLPSLKLSYDNLPSLSLKQCFAYCSTMPKDSVIYKDELIQIWMALGFLQPPRRSNALMEDIGSEYFKILLWNSLLLDEEKDKFGNIISFKMHDLVHDLALEVSKHNSITVKAGEELSHDCKAVYMRLDDGVSNIKPTILKRAFERVQVLYAGPDILLHVLPYLTHLTVLVLDADNQVVTHELPFSLRKMKYLKNLDISHYDCRLPTDITELYNLQTLRVRDLYEVPKGFSNLINLRHLYISRKYRRECIFNGIERLTSLQTLPYFVVRKDQNCLVGQLGGLKNLRGKVRLYGLHEVANIEEARKAKLREKSCIQRLWLNWRNTEFGHQDSEYIDEEMEDKEHDDQDVMEGLEPHPNLKTLTIVGFSGKKFASWITMMLNLVKITLRHCNRCEVLPPLGHLPKLREITIKGMENVRVEGDDFCVFPKQLERLNIENCPRLTKILPRYYPSLKQLCFRQLPNLEEWEAAGVSTGASSQSKFPQLESLEIENCPRLRKILPSYFPSLKQLCFRQLPNLEEWEAAVISTGASSQSEFPKLESLEIESCPRLTKILASCFPSLKQLFFRYLPNLEEWEAAVISGVGQVGDELDFQYISEDEADEGGDLSKGQGYADELDNSDCLVYSGRGEQAA >KZM88778 pep chromosome:ASM162521v1:7:31234605:31235099:-1 gene:DCAR_025853 transcript:KZM88778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVPEGYESLSCEFHTDSALLLSQLLDESHVEDYNDERLISVIRSLEAEIIEPAMMTEDDASFMELEWDDNLVDMGNYMWDGLQQDLSNSENCSTPSDMDDLDNYNWMDMEERIGFGVVGEDYYQNSQYRSDGYNGNHHSYVTSALEEQSYGSLWQDTDVVM >KZM89351 pep chromosome:ASM162521v1:7:36203820:36214361:-1 gene:DCAR_026426 transcript:KZM89351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVNLSDFHEIAKGVLPKMYYDYYSGGAEDQHTLKENVEAFRRINIRPRILVDVSKIDMSTTILGYRLSAPIMVAPTAMHQFAHPEGEVATARAAAACNTIMVLSFNSTCTVEEVASSCDGVRFFQLYVFKRRDISALMVQRAERNGFKAIVLTVDTPKLGRREADIKNKMIAPQLKNFEGLLSTDIHSTDKGSNLAAYASETLDASFSWKDLEWLRSITKLPILLKGVLTREDAIKALESGVAGIIVSNHGARQLDYSPATINALEEVVLAVRGRIPVLFDGGLRRGTDVFKALALGAQAVLIGRPIIYGLAAKGESGVRRVIEMLKDELELTMALSGCPALKDITRSHVRLGYDRLQCKI >KZM86637 pep chromosome:ASM162521v1:7:5184801:5191346:-1 gene:DCAR_023771 transcript:KZM86637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIFECWTAFGQSSTSPFGTQSTFGQASSGSSNPFAPNPFGSTTPFGTQTGGSLFGGSATGVFGSNQASSPLPSTPVFGASSSPAFGSSVPAFGASSTSGFGNTSSSSFGGSSVFGQKPSFGGFGSSTTQTSPFGSTFQQSQPAFGSNLFGSTPFGGSSQSAFSAPSTPTFGSSSTPAFGATNTPAFGATSSPAFGSVSTPTFGSTGNAFGVSNAPVFGSSSPAFGAASTPAFGATSAPAFGTSSSPSFGASTTPPFGASSPSFSFGSTPAFGQSTSAFGSTQFGASPSPFGAPSSAFGAQTSSPAFGSPGFGSSTFGGQRGGSRVAAYTPTAEADAGTGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNSAAQPAGAAGFGTNTQPNPFSSSSTFGQASAPANPFSSNNATNPFGQKSAPFSTPGFAASTSAFGSSAFGSTTNANPFGSTSSAAPSLFGSTTSTFGGTPASPFGSAATTSAFGTSTSIFGSTSAQGTTSSPFNSGLNFGNTQQSPLFQSNTPSIGQSSSAFGQTPSFGQTTPGFGQTNMFNTPSTGFGANMFSTTPSLLTTSSQMGFGQTMPSQSSPFQLSQPSQNAGAFGNFGQTQAAGLSGFGGTPGIFGQSSYGQSSATPNTAVVQQAPVLNPFGTLPAMPLMSIGRAGTSPSIQYGISSLPVVDKPAPARISSLLTSRHLSQRRVRLPARKYHPKNNGLKVPFFSDDEEAPTTPKADTLFIPRENPRALVIRPLEQWPGRTNGDKRSLSKEHTPVQKSDEDIANNGPTDEQSDRVKLGQKPNGVHDEHLVQKDDAYITLTGHRAGEAAIVYEHGADIEALMPKLRHSDYYTEPRIQELAAKERAEPGFCRHVKDFVVGRHGYGSIKFLGETDVRRLDLESLVQFNHREVIVYMDESKKPPVGQGLNKPAVVTLLNVKCFDKKTGRHFTEGPRIDKYKEMLRKKAEDQGAEFVSYDPIEGEWAFKVSHFSRYELRDEDLGLDDWE >KZM86486 pep chromosome:ASM162521v1:7:3289425:3292654:1 gene:DCAR_023620 transcript:KZM86486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNITNVTVLDNPSPFPNPFRFEISYECLLPLQDDLEWKLIYVGSAEDETYDQVLESVLVGPVNVGSYRFVFEADPPDPVKIREEDIIGVTVLLLTCSYLGQEFIRVGYYINNDYDDEQLKEEPPQKVLIDRVQKNILADKPRVTKFPINFQPENNENELQQPPLSPGHATEINENGEQPSTSACNNALSLEPASQTVN >KZM87638 pep chromosome:ASM162521v1:7:18849763:18851286:1 gene:DCAR_024746 transcript:KZM87638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYARNLFDITSERNLYLWNILIRGYADRGPCHEAIILYRNMHQSGLLPDDYTFPSVVRACAVHSAFWEGRQVHCNVLKNGVSLDVVVQCSLVTMYSQSGETWNSELVFGEMVVKNIVSWTSLIAGYVQNGFFEKGLSAFRDMMAFGVRPNAVTLVSVLPACASMEFFNSGKLIHAYSFKLGFISDISLVNSLVALYGKCGHVDIARSLFDRMAVRTVVSWNAIIAAYEQNKAGADAIKLFRRMQTEGVEYDFITLVSVISACASIGALDTGKWVHDLVRCKGLETNVSITSALIDMYAKCGNITLARDVFDRLSYRSVVSWTSIIAACASHGYGEDAVLLFSKMKEEGIKPNIYTFTAVLTACRHSGFVEEGRKHFESMTRDYLIMPGIEQCACMVDLLGRVGELHEAYEFINNMSNADAGVWGALLGACRIHGNLELAEHVADRLYKLNFHSISLYALMVNIYAEAGRWEDVARMRILIDEREPKRIPALSSVEVNRRFIQLRQE >KZM87619 pep chromosome:ASM162521v1:7:18657689:18662508:1 gene:DCAR_024733 transcript:KZM87619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSTSNPILCASFNQDNSCFAIGTRDGFRLFDANTGRLLYERAVGAFIIVEMLFSSDFLAIVGAGEQPSLSPRRLCLFNTTTGMALRELNFLTSIVSVHLNRKRLIVVLQENTYIYDINSLAILDTIDTVPNFKGLSAFSPSLDGCFLALPANATKGSVLVYNVMDLQSHCEIDAHNSPLSALALSSNGMYIATASEQGTIIRVHLIAEATKSYSFRRGTYPSTIFSLSFGPATELPDILAATSSSGSLHVFSLGLAINQRSRRSNSFLGSFLPHSVSDSLDPAHHRILHNAVPAGIRSYAVIRKVEKVADRSPSGASVCR >KZM86468 pep chromosome:ASM162521v1:7:3087382:3091056:1 gene:DCAR_023602 transcript:KZM86468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTNGSANSHSRTRLQRLRCSVQNYDWGRVGYESAVARLYCKNSGVEIDENKCYAELWMGTHASGPSFVVGENGEESLKSWIENNPGVLGDKVFDKWNTNLPFLFKVLSIAKALSIQAHPDKKLAELLHRTQPDMYKDDNHKPEMVLAITDFEALCGFTDLEELQDILRDFREVSEVVGTAYTDQVLNCTEKDEVNKKASLQALFTKLMSTSKEVISGVLAKLINRLNLESKARELTSKEALVLRLQKQYPDDIGIIAALLFNYIKLKPGEALYLGANEPHAYIFGECIECMATSDNVVRAGLTPKKRDTEILCSMLTYKQGSPEILPGVNINSSIKRYTPPLDEFEIDHCVLKDENSVVFPAVPSPSIFLVTAGNGTMKSEAEEIVSEGDVLFAPANTRISVTAASELHLYRAGVNSRFFGLD >KZM88371 pep chromosome:ASM162521v1:7:27276742:27278374:1 gene:DCAR_025446 transcript:KZM88371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFQQFRHIQEPGWTLGWTWAKKEVIWSMVGAQTTEQGNCSKYKDYVPHSCMKNPKVVDLLPGTPYNQQIANCCKGGLLSSLLQDPSNAASSFQLSVGESGSNNKTVRVPKNFTLEAPGPGYICGPAKIVKPTKFISADGRRMTRAMMTWNVTCTYSQFLAQKTPACCVSLSSFYNETIVPCPSCSCGCKNNGSLSGSCLDQKSAYIASPSKNNTAPLVQCTSHMCPVQVHWHLKLNDKGHWRANVSVTNFNYRMNYTQWNMVVQHPNFVNMTQIFSFNYKLLAPSEYINDTAMLWGIKRRNDNLLQASQHGLGNVESDIIFRKDSSTFTFEKGWAFPRRIYFNGDPCVMPSLDSYPYLPPDNSSPITSSRNTISPPASSPNRSSRQEILAFTLMIALVSYLLFCFAYV >KZM87558 pep chromosome:ASM162521v1:7:18139162:18143087:-1 gene:DCAR_031968 transcript:KZM87558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTIHVSVLEFKGIASSSPPSSVFLKVSLGKTEYQTWDKGDFSFPLTTLRDSLTVTIQDPEGNEISKIGVHSMAIVEKGIWDDLFPLQEGGHVHMKLQFNLNEEERSRIRGMRELALKKKQLEELSKDTRYFNMASDASDSVASSPINNEVSGKESALPYSDTISRNLQSNNGKEEALLQKHIAPNATDNSEESSSSKMSLRTEVQHLPVRKNDTHPHLSQNNSLISGGTESVAKIKPVLLRMEDTPAGKHEKQFPQRKPASSIMKMINAFETTLVQEKKTPIQVPSKSQSNRARKEGLLKDQVVSNVKEPEPNSERPEYLTDLRLEQIGSEEVSSSGRLLSPPQISSEKLRRPTILGKLQQLPPDSMNKGKHIGDIKSSVATRQLIVSSISNAIKVESAEADAIMKIKSESNKDQDHSSTDERSSASKASSVLKQEEKGSVQLQPSDVYAWQEGSEKFSFFKGLKEVKSEYLNEYLGSSNEKQKTVAPHEDEQHQHGSSTAWIFPNDARRMCVTSGVTAVIDSYDGCHTEGKHAVGPEEMKESETDTLNLRNSKPECWADNAFTGSVKHAIKIAIVVGFGALVLFTRQREPRSNRKAEDPFFSSLPYTGLQTSVEK >KZM88185 pep chromosome:ASM162521v1:7:25265477:25266271:-1 gene:DCAR_025260 transcript:KZM88185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRQDNIALRKQVADLEGQKKTLVENFHAVEDQKKALAQEMETLKADWQVLSDRRGLADKELETQRLFWEGKEAASERTFTELRKRAEEAEAVVASRPTEEMAIRKYQASVEYRAALAKAFEEGVASVKESAEYLSLVAGAGGSSSGVDALEKRVADLASSLKKAKSKAHKRKKKNKKLADRVKELESAGGSSGGSAGTKSPKALETVDENPAAEETEVSRSAAEERENPDTVMADAEKQPGTEAATPEGDEELVDDSTETPTL >KZM88915 pep chromosome:ASM162521v1:7:32252350:32254319:1 gene:DCAR_025990 transcript:KZM88915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSGLTGSPSINVLPKGQGSLSKKVLVFNNFGKFGELFQLPRRSNWAGSAQRCVVRRTPLLRCAMDASYGNSSDDSADIFPRINVRDPYKRLGISREASEDEIQGARNFLVQRYIGHKPSIDAIESAHDKIIMQQFYDRKNPKINIKKKVGEVTQSKVMLAITSRFRTPSTNFIVKTSIAFVVLGVLTVLFPTEEGPTLQVAVSLIATMYFIHDRLKNKLRAFLYGAGTFILSWLVGTFLMVSVIPPIIKGRRSLEVTTSLISYVLLWVSSTYLR >KZM86657 pep chromosome:ASM162521v1:7:5356719:5364811:-1 gene:DCAR_023791 transcript:KZM86657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSRGDEDHTRPPANNNMSRERSKDIDNNPTTSTQNEKIGEPRRGNDNVQPARKAGQVEDDVNKHNKRPVEKEIAKDGDRPQVSDAPPQHENMKGKQNVDKENKSERAVDNAPASRNDTVSQQSAKGENPACQLDNAPSKANKKVEGDRDNRQQFAKDEKKPVSELDNVHSKGNKKIEGDRDNHPKDVHSLDNKKIEGDRDNHPKDEKNLRCELDNAPTMGNKRIEGDRDNRQSKNENETEGKHHITNKDNNAPSKSTTRDQLDDKGKNENRSPPDKKSTEGDNTSMCILDKAPRTESKTTKEGNNRNSQLSDDHDSKSAPSDASQSQNKSTGKPSIDNTQSMCVLDKSSGQPSIENTQSMCVLGNTGEENVNNKNNSNNDDASESSLPLPLPPGRSISSQTPGEQSKQQLQNDENNSALKNTRKTQSHQLRTNTGTRIKHNRPQLQHNHSLDEHNQGKSDSEEEQVKSSYEYITPPDGKSLPEFIGNAENAPGILKAPRRGAVHPDRPTFLELRPHPLRETQVGKFLRTIASTDTQLWAGQECGVRVWKLPDVFEPGIGIGGRARRGDEEAAPFYESVSTSPAMCLAIDSGTRLVWSGHKDGKIRSWKMDEELNDDPFPEGFSWQAYRAPVLALVISSYGDLWSGSEGGAIHVWPWEAIDKSLSLKMEERHMASLLVERSGISLRSKVTLNGVCNLSSQDVKILLCDKVVAKVWAFGTSSISLWDARTKELLKVYNVDGNIENRADMPLGQDQRVDDETNPKLASKSKKEKPHGFLKRSRNAIRGAAGVVRKATTKSVTEESKLKIEAAVLASNGMIYSGCTNGLIIQWDGNGNRLQEFNHRPCAVLCFCTYGSRIWVGYVSGIVQVLDLDGNLIAGWVAHNGPVLKLVVGRGHFFSLATHGGIRGWSTVSPGPIDQIIRPELSKKELSYTRQENVKILVGTWNVGQGKASHDSLIAWVGTAVSDVGIIVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGNWWQDAIGKALDEGSTFERVGSRQLAGLLIAIWVRTSIRTFVGDLDAGAVACGLGRTIGNKGGVGLRLRVYDRIMCFVNCHFAAHLEAVSRRNADFSHIYRSMAFSRSSNLLSNASAGVSSTAQGVRGTETEETINPAKGKPDLAEADLVIFCGDLNYRLFGISYDDARDLVSQRSFDWLRERDQLRAEMKAGKVFQGMREAIIRFPPTYKFDIGKPGLGGYDSGEKKRIPAWCDRVLYRDSRSSTSLECSLEFPVVASILQYEACMDVLESDHKPVRCILNVNIANVDRSIRRQETGKIIKSHETIKSLRHELCGIPETEISTTHISLQSQETGSFKITNKSATEKAIFQFICEGQANIKMDDEQELVYRPRGASGFPRWLEVIPARGVIGPDQVADILVRHKDLNATEQLVEGVQTWWSEDKCDKEALLKIIVTGNYSLDTRSHTVHVRHCYSSKGTQTDSSPKGKG >KZM89102 pep chromosome:ASM162521v1:7:33859316:33860746:-1 gene:DCAR_026177 transcript:KZM89102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISALSSSSINLINPANPNPNSAFSPTSSRKFILTSSFLANPSTLSSQFQTPTSPFRSRATRLTVRAARGKFERKKPHINIGTIGHVDHGKTTLTAALTMALAAMGGSAPKKYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKEDQVDDAELLELVELEVREELNKYEFPGDDIPIVSGSALLALEALMENPAIKRGDNEWVDKIYALMDNVDSYIPIPQRQTELPFLMAIEDVFSITGRGTVATGRVERGTIKVGETVDIVGLKDTRGTTVTGVEMFQKFLDEALAGDNVGLLLRGIQKIDIQRGMVLAKPGSITPHTKFEAIVYILKKDEGGRHSPFFTGYRPQFYMRTTDVTGKVTTIKNEKDEESKMVMPGDRVKMVVELIVPIACEQGMRFAIREGGKTVGAGVINAIIE >KZM86855 pep chromosome:ASM162521v1:7:7695050:7701557:1 gene:DCAR_023989 transcript:KZM86855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSRYEIRNEYSLADPEIYGGADKDDNDPEALLEAVAMAGLVGVLRQLGDLAQFAAEVFHDLHEEVMVTATRGHGLTVRVKQLEADFPVIEKAILSQTSPLAFYSNQGTGWHPSLHTNQNLITGGDLPRFIMDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKVETSSYGLSSAEVQKDKRSRKSKKKGSRLRNAGTPEVFPASHAKLQQLFLEDRVEIGVTDSAGFVKLKRKFIRHPFYSETGKSFMEKFISVSSPEDKVVHEVAYGSSTLELPFQTASESGTGVSYLKESMSVERTVLTPIMDEVNKDLQNRELYSESMQYPLVVVDEKEIAVDGERTNNDNEYDNKSDDVASEVDTFMDALATMEPEIETDTELKSKHDIGFEDMEKQLIDCDANEELLQDESSDSQSTGNSNASDNGKDSVKKRSSSFLYSDTGRTSAENMPVDVDFAAKVSPSTKSCEDEVTIMSMEKHSSSEELSPAQDYQPPKLDIPDDSSNEVTNLPRYRSDSEGPCSSVHHSDSFPTHIPLDEGVDRGTLKGAQIVKESSNYDEHDTKFFKIEENSRSRGNNIPWTSRHSSVPSQTEVDEPLVHTASAENHTLEKLDGDLSVLPSVSGHISENILEVISKKGDDKCSYDDLLEVEHEVIFTNDLIDSQISPRHSVIIHADIKSSVPALLDSETSNTVLQPKVLDSVDDVLPTSKSIIVDSNIASDSSYNSSVEEQQEAEWADDVPAIPIVSAFDASKEEETPTSVFPEADSSGTGEISSSISLIGSKATAVTTKSDHLYSGVSGSSTGFPVPSEAADDGLLEVSSCMNLNEIGADAQAIFLDPLNIESPGSLVDVKQTEVGQSENIIVAAIVENHDSDFDNPVSERNLQTEDFNCVQNPGHYGSEVDNEILLQNYNESDKQTTEVNQEIGSPDLDCVRSKMNLRDHLDSEMVGYVPELSSQPVKTTEFSSYSIYSVRNTEPVSSVENNLHEHSGDTFPSSIHDFPDVSTLSEPELTLQTDEFDTEHLHVDESKPNKVSQLEELSSSKNLDEGSSDAHFEPYFVASKSVVPVNSDSLAVESLYGDKASFGSSSKLDSNHADYVGNLITSASPSLVTPISELSRQGGQEVNISVEARDELSAVHPGILPLPELPQVSLPEMPPLPPLPPAQWRIGRAQQHASLPSSDRDSVLFPLMFQSKTSSNTQMGYSKNMDDDFLSPVLTSNSRSRDIPTSDRLDDSEQCLTLPYTDESHGYHMLVGEAGSMMPSVTPSSTVTGVDESYMSQSVPNHPSSQMHLELCLETESTSARSESGLMTLSDTNIPQPTVADERPRDVCTSEGEIARSVSNSLFPAIEDGTLNGDRPMKLPRPRSPLIDAVAAHDKSMLRKVTRRSRPEVQKVEERDSLLEQIRAKSFNLKPAVVTRPSIQGPKTNLRVAAILEKANAIRQAFAGSDEDEDSWSDS >KZM86317 pep chromosome:ASM162521v1:7:1643784:1646434:-1 gene:DCAR_023451 transcript:KZM86317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRDDEAYPDGARKNGPEKDDHEKKEVLSVEMIFKDKEVPTWRSQLTVRAFVVSFVLGILFSVIVMKLNLTTGIIPSLNVSAGLLGFFFIKVWTAFLDKSGLLKVPFTRQENTVIQTCVVATSGIAFSGGFGSYLFAMSETISKQATEANDSQNTKTLSLGWIIGFLFVVSFIGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKKQVKELGKFLSFSFLWSFFQWFFTAGSDCGFGSFPFLGLKAYENRFYFDFSATYVGVGMITPYIINISLLVGAILSWGIMWPLIENREGDWYKSGLPSSSLHGIQGYRVFIAIAMILGDGLYNFAKVLGKTTTGLVKQLKNKEPSTILPLADKASPEAEAASFDDQRRTQLFLKDQIPSWLALGGYVSIAAISTAILPQIFHQLKWYHVLVIYIFAPVLAFCNAYGCGLTDWSLASNYGKLAIFTIGAWAGKDQGGVLAGLAACGVMMNIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVISPCVFWLFYKAFDGIGTITSDYPAPNALIYRNMAILGVEGFSSLPKNCLTICYIVFSGAIMINGVRDFAPKRWAKYVPLPMAMAIPFYLGSYFAIDMCVGSLILFVWEKMDKVKADAFGPAVASGLICGDGIWTLPSSILAFAGVKPPICMKFLSRGTNVKVDKFLNS >KZM88453 pep chromosome:ASM162521v1:7:28024029:28030935:-1 gene:DCAR_025528 transcript:KZM88453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESSFYFNMKYFEEEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKNDRPKAVEVLVKDLKVFASFNEDLFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCAQPNGACAPSPANNPLLGSLPKVGGFPPLGAHGPFQTTAAPAPTPLAGWMSNTPTVNHLPVSSGAIGFGGPSMPAALKHPRTPPANPSLDYPSGDSDHVTKRTRPMGICDEVNLPINVLPMSFPGHGPSQAFNSPDDLPKNVARTLNQGSSPMSMDFHPTKQTLLLVGTNVGDIGLWETGSKERLVLKNFVVWNVTACSTTLQAAIVKDPGVSVNRVIWSPDGSLFGIAYSRHIVQMYSYHGDDDIRQHLEIDAHIGGVNDLAFSHPNKQLCVITCGDDKTIKVWDANTGAKQYTFEGHEAPVYSVCPHFKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESHIVEWNESEGAVKRTYLGFRKRSLGVVQFDTTKNRYLAAGDEFSIKFWDMDNVQILTSIDGDGGLPASPRIRFNKDGSLLAVSTNENGIKILANSDGLRLLRTFENKSYDASRAPESVKPIISVAAAAAAAAASSSGPADRVVSAVSLSGMNGDARNMGDIKPRIADDSSDKSKVWKLTEVSEPSQCRSLKLPENMRVPKSEACSLLPVRSLQISRLIYTNSGNAILALASNAIHLLWKWQRNDRNSSGKATANVSPQLWQPSSGIQMTNEVTDANPEDAVSCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLSVLVSSGADAQLCVWSSDGWERQKNRYLPIPAGRTPTAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECLKQWVPRESAAPISHATFSCDSQLVYASFLDASVCIFSAAHLHLRCRISPLAYLPHSVSNSNVHPVVIAAHPQEPNQFALGLSDGGVHVFEPLESEGKWGVPPPVENGSASTVPATSVGASGSDQAQR >KZM87209 pep chromosome:ASM162521v1:7:12477924:12478364:1 gene:DCAR_024343 transcript:KZM87209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFRVYWHDTVTGPAPTAVTIVKPYYNNSSTEFGLVRMIDNPLTEGPDVKSKLIGRAQGFYGSAAQENISLLMSMNFAFLEGKYNGSTITVFGRNEVFNKVREMPVIGGSGLFRFARGYVQASTYSFDLKSGNAVVLYDVYVMHY >KZM87580 pep chromosome:ASM162521v1:7:18329866:18337627:1 gene:DCAR_024706 transcript:KZM87580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFTSQEVSALQGGGNASAKEIYLKEWDPERNSLPDSSNVERLRTFIKHVYVERKFTGERSLNKPPRAKSGDADDFSENKKMDSYQGGSRSPPFNDTYERRYTDRPSPGGRSDDRNYRSSYDERRSPGSDGDYGRSPARTEIVNDWRRDDRFGNGKKSEDGRVGNGGLKVEATSPDFQRDLDSSSPPMVRPVRDILGENVSPLRVIEPPPKANGGKSADASLHTQRTASSSSLASSTGNPAEVKVESSLIDFDAVPEPPANSVAPTVTLNDNWANFDSFAEVKVSQAPSHANVLESALSDLMVPAPPGQTSATASANATSGNMSVLSTTNATMPVGYVSATDIGTIGPVAPASNSLAVPSGGIPSAAAGQTADFFLGVAGGQWNHQPFPHPTPGSQPPAQPFVPGGPLGVQVSRVDSGPLEAISGSLAQPSVVETRSVGKKELPEDLFTANYSTFPAPVPGWHTGPSQGLGSNMQYFGLNMQYNVPAPVPTYTQPSISSNPFDFNSEPTPAQASPFPSLLPLQGALPSVAAPTGLPHSSTFGTTTPAWMPDQYSNQTGMSSHAQTYGSSVAPNLGFCRHQGAAGFGFGPAAFSSPAPNQQPGALYASPVPQNTFSSSGGNPFG >KZM86537 pep chromosome:ASM162521v1:7:3929467:3932457:-1 gene:DCAR_023671 transcript:KZM86537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSTSSQSSCSSRSNGETVSPSCFGISCGRRKRFSDHVNMLKHLSSIPNRIFTNGKSRSSCIFTQQGRKGINQDAMIVWEDFINEDTTFCGVFDGHGPHGHLVARKVRDTLPLKLLSFIDSYEYKHNKQSTACCKGNNVKSDGVESDKDGSIEGKEESMWREAFLKSYKTMDKELRSHPNLDCFCSGSTAITVVKQGSNLFMGYIGDSRAILASKDINDSMVATQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVQRVWLPFDDAPGLAMARAFGDFCLKDYGVISIPEFSQRTLTESDKFIVLASDGVWDVLSNEEVVDIISSAPARSSAARILVDSAAREWKSKYPTSKMDDCAVVCLYLDGKMDLESDYEEQNYSSATLQSNHSGNAGESDDGQNSEPSLQRNYTVRSSEENDSYKRVAAAAIEVEGTSETVSHEDQNWSGLEGVTRVNSLVQLPRFSEERQRP >KZM88697 pep chromosome:ASM162521v1:7:30477561:30478784:-1 gene:DCAR_025772 transcript:KZM88697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRSVLACALICIVLSNASAQSPGAAPANAPTQSPPQKSQPPTKPKAPAPVAAPTVTPTAAPVSAPPTPAPVSAPPTPAPVKAPVAAPVAAPPAEVPVSSPPVPTPTLSPPAPTPEVAPVSAPTAAVPAPAPSKKKGKKASSPSPAPSPDLSSPPAPPAEAPGPDSSDSPAPSLADESGAESLKSVQKIVGSLVLGWAVFGMLF >KZM87917 pep chromosome:ASM162521v1:7:22041265:22048531:1 gene:DCAR_025018 transcript:KZM87917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPGILTDWPWTPLGSYKYVVLAPFVVHSIHSYITKDENERDLSNFLIFPFLLWRMLHNQIWISLSRYRTAKGNNRIVDRTIEFEQVDRERNWYHSLHHTQFRTNYSLFMPFYDYMYGTMDKSTDTLYETSLKREEESANVVHLTHLTTPESIYHLRVGFASLASKPQSTSQWYMWLMWPVTFWSMIVTWFYGQTFVIERNIFKNLNLQTWAIPRYSIQYTTVKQRESINCLIEEAIVEAERKGIMVLTLGLLNQGEEMNSNGELFIRRNPKLKVKLVDGSSLAVAVVLNSIPKGTTQVAIKGNLSKVSNSIAIALCRRGVQVFISCEYGYKRLTETCDSETQKNLVLSDSSSQQIWLVGDKLGKKEQMKASKGTLFIPFSQFPPKKLRKDCFYCNIPAMSAPVHLQNLDSCEAIRSCIFAFNIEQGKQGVIRNWEQEQMASSPGLLTDWPWKSLGNFKYGLLAPFVAHSIYSFATKDIGERNYFNFLIFPFILFRMLQSQLWISYSRYRTAKNRIVDRSIEFEQVDRERDWDDQMLMVGLLYYMISLVDPEVAKVPLWRTDGLIITILLHIGPIEFIYYWLHRALHHHYLYTRYHSHHHSSIVTEPITAIVHPFAEHIAYLVLFGVPMVTTNLTGTSSLVSLFGYIIVFDVLNNMGHCNFEFIPSWLFTAFPPLKYLLYTPSFHSLHHTQFRTNLSLFMPIYDYVYGTFDKSSDVLHETSLERQEDSADVVHLTHFTTVDSIYHLRLGFASVASKPQKSTWYLRLLTPLTWWSMIMTSFFGQTVISERNRFKDLKLQSWAIPRFKFQYFWKWQRNTVRGLIENAILEADARGIKVLSLGLLNQDREINRNGGFYVEKYPELNVKIVDGSSLAIAIVLNNIPEGTREVLLRGKLTKIVYPMISVICQKGIKVATVYEDEYLMLKDHMKYHHNLVLSRSYDQKVWLVGEGLTDEDQAKAPKGTVFIPYTVLPPKKARDNCFYHHIPAMLIPASVENVDSCENWLPRRVMSAPRVAGMVYALEGWTEHECGDRILDIGTVWEAALKHGFRPLSVPY >KZM87856 pep chromosome:ASM162521v1:7:21306944:21309235:-1 gene:DCAR_024957 transcript:KZM87856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSIPASQQSVSSISVNTKPDQPNKIKTVKISNLPSGASARDIREFFSSSGEIEYIEMHSYFEQSQIAYVTFKDSKGADNAARQTGNTILGSAVIITLASDNQLPRSASSSPQAIKGGAESPLRKAEGAVSSILAKGYVLSKGALDRAKTFDERHHLTRSTSEKIASFDKKIGLSEKVIFGASVVNGKVQEVDQKLQVSEKVKSAYATAGQTVTSARSAVMNNGYVNSGASMVTGAFDKVATAAEGVGKNTKAKLGMNDKQASTNNSGSPQPSDGREQLTSAPSMNKKTS >KZM86914 pep chromosome:ASM162521v1:7:8364170:8367531:-1 gene:DCAR_024048 transcript:KZM86914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLDPQFTSIPNSHPLDDVRFRDHVQQKNGNKDDELVKHMTNLPAFLQPMDTRQSFQGKLLHFGVLDWQRLEKWNNHQDIVQSSSSATTPSCMPAQSSTLSTAPSHTKNYASQRNKYPSSDGLRPNSAYQDKQAVWSRGKVTKPRGFETAPWSNVDGKQNFHMKAKSSGEMFSDFDHERGRRKESDKCNKSAKELLSLNRRKNTLSTSSHVTKSAQDALVGTMMDEKIDIPRQPCDHDSIVLLLPKSSPSRRGTKSIQLPKSRTSFDSIPGETDLNLGCLSPEEELHYLQLYSEVPHSCPLPQNVATRVESNVEQCSSVNSLDVKLQFDASGLHQRPKEEPFIPLYSKCRELHSSRLESSYQAPRRLNQGISAKQVTPGKHVGDSSYARKARNATLKKDLSITRSESSYYTVNSGPLESGTCASWDAFNRDNTSATSKISSTPDPPSRINQDTLEQAATMVMHTSPSRRFTFSRMTRSLSYKEGTSLPPLSSSSAKVKSGPLSVEAFRGLDKFDPNSVTAGYDPNLSPSTKTETSRTLDHDLPEQPGMTGRHSSPSRFSFSRMTRSFSFKEGSSVPRLSSCGTESGPVRSEAPSGPNDLSQDNLRVSNSARFGPSATTETPKRLAQNIAKQPDTAGRHTSPSRRFSFSNRRKISKSLDFKEVSSGPQLSFKSHPAALDAVIGLDRFNQDSISTSNRTRSSPLRRLLDPLLKPRGVLSSAETVKPLKQDLTSVNLKPLSTSELLQNHRHETSNVQALLQLTRKNGLPLFKLVVDNGSDVLVAAVKQLPTPGDNASCLIYALYSVHEIKNKNGSWMSQGFRGKRSSFGYNIIGQMKVFNSCPPGYGGENLKDQYVSRESVLYGVEMQHGNKETPELRPSKELAAIAIKNLNQVINDREQGHNGKLCSHEGFTDQFVEHTRDGRETNDSDSTTVILGGVHGLPEKVLPSPSLICRYGGSCDCGGWDVGCKLQILTTQDQKRNSGPSSSCSTGLDLFSEGGHQENKPSFSLSPFKTGVYSVEFNSSISLLQSFFMSIAFISSQKLHEIFEGMLDARSFTQPNIDKLEFPIAIPGDIPAKYVTKPPPSPVGRV >KZM89335 pep chromosome:ASM162521v1:7:36036558:36037766:1 gene:DCAR_026410 transcript:KZM89335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMYRKHNYNFLPEDNKLRYIRDQNPSFSSTLLDQIYRSIDETSEHRAADVDQDLVFYNRTVMTVKKKKQYIHSDVEAKDEADFQRIENWMEKRKSGERIIKTREKSADTDLMYQRKKGEKYGGFMNLNSSWSSSDSSSGGLFSSEAESNYGVSSRSSSTTTFRPKPIRTSTDIKKITRDEERDHDSGGVVKRSKLKALKIYGDLKKAKPPISPGAKLAGFLNSFFTAGGNSKKGKISGADSSNTSPACSTSRSCLSKSPGKLSNNGTKRSVRFYPVSVIVDEDCQPCGHKSLQHSQEVKKTPVSDQKKRTNQELMYHIMRKDQSVEEAARELLKNYQRKVERQMEVEDEDDDDASYASSDLFELDNLNDGIDIIGSYMEELPVYETTYLDTNRAIANNLAV >KZM88463 pep chromosome:ASM162521v1:7:28128805:28133233:1 gene:DCAR_025538 transcript:KZM88463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEALNHGPQVQKEKKQKEGILGLIMGPVYWFRMLGKELKWSFVCGVIIVYGINQGVAIGLNKVSIQYYMKDVQKLQPSEAQVYLGLVQIPWIIKPVWGILTDVIPIAGYRRRPYFLFAGVIGVAAMLTLALHKNLTLTFAILSLMAGSAGVAIADVTIDACVTENSISHPSLAGDMQSLCGVSSSTGALVGFLISGFLVHIVGPKGVFGILAIPCGLVVLVGMILREPRVHSVGYRRVNQKVMDAGRAMWTALKSRHIWRPCLYMYISLAVSINIHEGMFYWYTDAKAGPSFSQEVIGSVFSVGAVGSLVGVLLYQNIFKSHPFRQVLFWSQLLYCASGLLELILVLRINLRFGVPDFLFVVFDEFFHKIIGRLKWMPILVLSSKLCPSGIEGTFFALLMSIDHVGILTSSWAGGLLLHILNITRTQFDNLWLAILIRSILRLLPIGLLFLIPTGDPSENILPSEMLTPKKADTMISENMEMASLITGT >KZM86382 pep chromosome:ASM162521v1:7:2174500:2187684:-1 gene:DCAR_023516 transcript:KZM86382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEQGREASSKKQAASQLVKDKNLVDVIFSWSVDDILNGDLYKDQVGKIPDAFESIENYLSSFVYPLLEETRSDICSKIESISTAPFGKVISVERPKQQSRKLFYDLMVEDWKYNSYNSEKDPYRAKPGDVIAFTAATPGQYLDVERLRMRCNLGYVTNVLRDDCMYAHFEVRTSKELELGKDGWNKSLYAVFVANVTTSNRIWKALRFNQNLDVIKETLGTNSMVQGCCMLCPSEMKKKLEDRLCLPSYLNKSQRQAILECVFKSHCAHKSSLELIWGPPGTGKTRTLAVMLWSLLQLKCRTLICCPTNVAISEIASRVMKLVNEDDTSLYSLGDILLYGNIDQTAYVIEEMCLDYRVKKLSECLGDGTGWRHCIITMIELLEDCVSKYKNFCDSKIVKDDHKYVNDGESFLNFIRDNFIATASSVRNCISTIFTHLPKKFIREHNYKDMVALMTMLDSFESFLFQTNVVGEELKEAFVCEEEFESLTHVNANVSRFLNAKGECVRFLRNLLSALDGLDLPKCDKDSIEEFCYKMASLIFCTASSSYKLQSVKMYPIKLLVIDEASQLKECELLVPLQVPSIKHVVLLGDECQLPAFVSSKVSAEAGIGRSLFERLSTLGFTRHLLDTQYRMHPNISKFPNAKFYQNRIMDAEIVKSDIYEKCYIPDPMFGSYSFMNISCGRESHTDTHSLTNMVEVAVLLKIIHRVYKACEAAKVKVTVGVVSPYSAQVSEIQRRLGKKYDDNDSFKVHIRTIDGFQGGEDDIVIISTVRSNQRGSLGFTSSPRRINVALTRARHCLWILGNEETLSKSDSVWEQLVLDAKVRQCFFNADDDKGLQAVIMEVKKELDQLGDMLKEDSMFFRNARWKLMFSGSFTKSFKKLEPETQNLVLLFLSRLANGWRPKGVNSVVSSESFTKILKQYKIRSYYILCSVDIYKELRYIQTLKVWEILPLQDIPKMITRLEHIFKMYTEDYISRCSKKCVEGKLEVPMTWAASENIVQYKSLSNTETGESSNTSDLDGRCLENSSVRESLLLMKFYSLSCGAMSNLLSGCDGESLGIPFELTDQERDIVLFNRSSFILGRSGTGKTTVLTMKLFRNDQLYHVASEGYHEVRTDPSYEEQRNEYNKGDILRQLFVTVSPKLCYAVREQFSQLKRSVCGGDSMPECHLVQTDAIDEAMHSADIQDSLYELPTNSYPLIITFQKFLMMLDGTIGISYFDRFPILRQSSHSAMGKSRSVALQTFIRTKEITFEKFDSLYWPHFNKDLTRKLCSLTVFTEIMSVIKGGLQATNDAVGTLCQQEYVALSSNRGSTLSMEKRVHIYKIFLDYEKRKVANGEFDQADLVLDLHRRLKDKRYNGDEIDFVFIDEVQDLSMRQISLFKYICKNVHDGFSFSGDTAQTIAKGINFRFEDIRYLFYRDFLGQENEKGKISSLFQLSYNFRTHIGILKLAQTVINLICHFFPYSIDFLNPETSLISGESPVLLETEGLDALRILFGNPGNVIAFGAEQVILVRDDRLKKEICDSVGKKALVLTIFECKGLEFQDVLLYKFFSSSPFENEWRVIYEYMNDNNMLVSTASTSFPRFDLEKHGILCSELKQLYVAVTRTKQRLWICENSKDFSGPMFDYWKKLCVAKVRKMDDSFIKEMQVESNEEDWRSRGIKYEMLILDSPYSDSKIFGLFHENNYEMAMMCFERAGDPFWAKLAEASLYQAAARRRESNSEMARKYLKRAAEIFDAIGKAESAAGLFVEIEEYERAGFIYLNILPEPKLEKAGECFCLGKCFRQAAKVYASGGLYSKCISACIYGKLFEMGMKYMQEWRQTGILVKYKVEINRIVQEFLHNGAIYYYEQHDHKKMMKFVESFESKDSIRQFLNDFRLHRELLYLEEKWGNFQDAARIAKQIGDSLLEADLLSKCGVFKEASLISLWYVFTELTRTTKGKYSPYNFKHILEKAMSTAKSHSDSLYDFACMEASILSAPKSAEEIPEAGLQFVRHWRQNVPARLVKTSCELDKTEQELLERCARRYHNLEDIETMMKFVEDFRSIQLMRTFLKTVNRVHQLILLEERNGNFLEAAEIAELTGDVLWKSDLFRKAGYFEEASLLILWYVFAESSWAVGNRAWPLRQFAQKRELLDKAKQIASNHSDQFHLFVCSEANILSNDELSLSEMGHYLYASPVQKSLRSKVLASRRALDAHMISLPSAYEWNGELLNNLGENVEDRIVRNQVSIETLVFLWNYWKDHILELIQHLCCEAGQDSALEDYILNLFGVRRKCCNQEIVYVILNSDAMWVREINSTSLSRTGDSVCIALHNFVPAALNHLASELLSVGIQVLDKLSLLYTYCQNISLSVFSQSSCLVHMLKIAKFLGNHKFPNCEYLDKSMVHKYLNLSSEKLYDIVFHIDCKKSLSKEMITFRRRAEATSGLISFMNAHTIRQMGNLVMLMLGSGKYSLHLNDNIMKFIARNQRWKELVEEISQIRSGPNSCISDLNDMSRGVSVASCIFAVLKEFTCGDWRSKPASVLPTSLLYLAERLLVMVSYFQGHFFVTKSACVEWLMYERWNVGSSPMELQVVFDDVHNVIASQIEILLLNKNETLLWLEKLNCNLEEYYSLLVLLNNLGENVEDRIVRNQVSIETLVFLWNYWKDHILELIQHLCCEAGQDSALEDYILNLFGVRRKCCNQEIVYVILNSDAMWVREINSTSLSRTGDSVCIALHNFVPAALNHLASELLSVGIQVLDKLSLLYTYCQNISLSVFSQSSCLVHMLKIAKFLGNHKFPNCEYLDKSMVHKYLNLSSEKLYDIVFHIDCKKSLSKEMITFRRRAEATSGLISFMNAHTIRQMGNLVMLMLGSGKYSLHLNDNIMKFIARNQRWKELVEEISQIRSGPNSCISDLNDMSRGVSVASCIFAVLKEFTCGDWRSKPASVLPTSLLYLAERLLVMVSYFQGHFFVTKSACVEWLMYERWNVGSSPMELQVVFDDVHNVIASQIEILLLNKNETLLWLEKLNCNLEEYYSLLVLRLMVLLCLICVNSGKHFEKLFSLLDRKEIRSELPAEFCNALGRRNCYFIDALSHAFVRIENPLVIVSLVGNVEDCSCSSAIFLNKKKLSQNDLVSELFQDTCDTSTIHDHIEGDDMGRPYGLIWEIFFQLVTLNEETGNLMQFMSKAPVVKVEVEKLSSLLSHTIASSHGASGFKNEEDLFIEANAMVEELNQLSYALDIRNLCEENIQTVVMISKQLQSRRPKLEPFLDHLFMQMHQENMHN >KZM87456 pep chromosome:ASM162521v1:7:16569009:16570274:1 gene:DCAR_024590 transcript:KZM87456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSIFFQLALLCCLLSLSSAKTGRPSHPKGLIFRVSKDPSTLQYTTQIKQRTPLVPVKLTIDLGGQFLWTDCEKGYTTSTYRPARCNSSPCSLAKSGNCMTECYSPARPGCTNNTCTLFPDNVIAPIATTGTLGSDVISVQSALDGTHVTISKFLFVCGSTSLLDKLSTGVTGMAGLGRTNVSMPSQFFNTFNLKRKFGVCLSPSNGAIFFGDFDSSTAPLTYTPLLINPISTASIVTEGEVSADYFIGVKSIRINQKPIPINTKLLSINATQGSGGTKLSTVHPYTVLETSIFKALVNAFVKELNVPKVRSVAPFGACFSSKSIGSVYTGPAVPTIDLVLQSKDVYWRIYGWNSMVEVSKDVMCLGFVDGGNPTTSIVIGGHQVEENLLKIDVESSRLGFSSLVYSKQICANYNFVAKS >KZM89085 pep chromosome:ASM162521v1:7:33744626:33747019:-1 gene:DCAR_026160 transcript:KZM89085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGVQVCEMVKETVKYLAGVAGLSGYGSSTTAEQVVAQEFRSCSRLTAIITGATSGIGAETARVLAMTGVRIVIPARDLKKGYQVKERILKENPEAEIILLEIDLGSFSSIQRFCSQFLSLNLPLNILIMRPRYVLANDEPGNRNNAGKFANKMELSEEKVEMTFATNYLGHFLLTELLLEKMAETAAETGMQGRIVNVSSVIHSWAKGHHFKFNQMLQPSNYNSTRAYAQSKLANILHAKELARQMKLRKVNVTVNAVHPGIVKTGIIRDHKGFITGTYSLLRGFKIFKVDTTDCNEMQCSLPANDDAQALDLWRQTHAFIRQRLRR >KZM87608 pep chromosome:ASM162521v1:7:18582354:18586690:1 gene:DCAR_031939 transcript:KZM87608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCMPRSKQPTTTPHDEGEHSPDDHHIKFSDRIPPLHPNTKLQQSTRTITSQIKDMALKASGAYRNCAPCTGPMTQPQRQKSFSESDSGSDKFRWSYRRTGSSNSGKVWGKEMEARLKGISSGEATPASTLFSASGRRVEPVVLVEENEPKEWVAQVEPGVLITFVSLPPAGNHLKRIRFSREIFNKWQAQKWWVENYDKVMELYNVQRLNKQAFPLPSPPRSEDDQSLKIESLQGSPVTPPLNKERLPRTLYRPMGMGYSSSDSFEQHPMHSRHNNDSCGLTATPKLSSISGTKTDTSSMDASIRTSSSRDADCSGDLSISNASDLETEWVEQDEPGVYITIRALAGGARELRRVRFSREKFGEMHARLWWEENRSRIHKQYL >KZM89283 pep chromosome:ASM162521v1:7:35648728:35655376:-1 gene:DCAR_026358 transcript:KZM89283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTNIQGEVPLQLFSITQLQTVILRNNHLNGTIDVGSSFSNQLQLVDLQSNNISAPVQRAGDNSEIILIGNPYCESGGTEKYCTLPQQSNSSSYSTETNNCVPALCSSDQRSSPNCICAYPYQGHLYFKAPSFSNLEDPSFYTSLQSSMMSFFQSTRLPVDSVSLSDPTKNLDDYLVITLEVFPLGKDRFNRTGISSLGFVFSNQTFKPNKTVYGTYYFNADNYGMFTSDVTRRTSKSSSTGIAIGAAAGGCVLVLLLLLAGIYAFRSWDSNSSSTGGPQLKGARCFSYEDLMKCTNNFSEANAIGSGGYGKVYRGSLPGGMLVAIKRADQQSMQGGHEFKTEIELLSASWVFVLSKDWHRRLRVALGAAKGLQYLHDLANPPIIHRDIKSNNILLDERLNAKVADFGLSKPMANRSRGHVTTQVKGTMNLMLDQLK >KZM86557 pep chromosome:ASM162521v1:7:4188540:4192991:-1 gene:DCAR_023691 transcript:KZM86557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRLGFLVAASIAAYAVKQVNVKRSGSSKPVTKPSEKDSDQFTYLIDSLQELENEEEEEKEEVKLISGEINAALNNPSDFEDEIYPELESLLSGEIDFPLPTEKYDMSNNIQAEKDKLYETEMANNASELERMRNLVKELEEREVKLEGELLEYYGLKEQESDVVELQRQLKIKTVEIDMLNITINSFQAERKRLQEEVSLGASAKKDLEVARKKIKELQRQMQMEATQTKGQLLLLKQQVIGLQVKEEEAFKKDTEVEKMLKSLKTLEMEVAELKRKNRELQHEKRELAVKLDVAEAKITSLSNMTESELVASVREEVNNLKHTNEDLSKQVEGLQMNRFSEVEELVYLRWVNACLRFELKNYQTPAGKMSARDLNKNLSPRSQERAKQLMLEYAGSERGQGDTDLESNYSHPSSPGSDDFDNTSIDSSTSRFSSVSKKPSIIQKLKKWGKVKDDSSALSSPARSFAGGSPSRSITSNRPRGPLESLMLRNASDSVAITTFGMQEQDDSSAPQTPRLPPIRTQASADSLNNVASSFGLMSRSVDGAIDGKYPVYKDRHKLALEREKHIKEKADQARAVKFGDPSTFKPLKSASLPPKLAQVKEKVVFTGDSSDQSGDGKMVDSQAVSRMKFADIEKRPPRVLRPPPKPTRGASAVSNAAPSSGLSGGPPPPPPPPGAPPPPPVPGGPPRPPPPPGSLSRTAGGEKVHRAPEVVEFYQSLMKREAKKDTTSLITSTSNTANARSNMIGEIENRSTFLLAVKADVETQGDFVQSLAAEVRAATFTDIEDLVVFVNWLDEELSFLVDERAVLKHFDWPEGKADAFREASFEYQDLMKLEKQVTSFVDDPNVPCEAALKKMYKLLEKLEQSVYALLRTRDMAVSRYKEFGIPVNWLQDSGVVGKIKLSSVQLARKYMKRVASELDALDGPEKEPNREFLVLQGVRFAFRVHQFAGGFDAESMKAFEELRNRMQAQASESKEQEA >KZM86762 pep chromosome:ASM162521v1:7:6593703:6596530:-1 gene:DCAR_023896 transcript:KZM86762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSEFEQVKLVKRGTEESQGRRKRVDKKKKHGASRLQKGTDVSEGDMAGGSDRHQVKRKRVKEWSSAWIDEYGKKLALRHAKRALEFYEKSQDDAEFEILEVLNRSNSRLQHPEHPEYKSSNLWSHISFIAKLKKADCNVSPTHFFCEFFRDVDARETTVTYCSTFQPSDDPGFNHVCIFCPRGLKFHPSDGYCVGRPPWRRRSRHNVGYVQPPWVNRKA >KZM87128 pep chromosome:ASM162521v1:7:11279113:11281290:-1 gene:DCAR_024262 transcript:KZM87128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDSPDMVRCQINFKRLTLTDITIDIKRTPKKKELVKAMEAADVKNKWENSSWGRKLIVKKRREALTDFDRFKLMLAKIKKASLVREELAKLKKQTKA >KZM87908 pep chromosome:ASM162521v1:7:21908484:21913690:1 gene:DCAR_025009 transcript:KZM87908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPIPCSISTPERCIKHLSLTSPEFIVLDQSPQSSITSAKGTTWSKKATEFSAGRKSSELTEASLAEINRRNAVVVKCSASSSPYYKGLTDFTLDINKDKVPANGIHHAGSPFSTSAENTTWSKKGKILVRKKRSELSEESLHEFNRRNVVSEERRNSTSSSPYYKGLTDFTLDIKKEKVQVLETHEEASLEVGVTNRCKKDKNSPRKISDLAGESFKETNKEDAVMEEERYDSSIPRYKGLTDFTTDIIKEKVPRSEYDEANCITSEKSILPIDQPSQSSITPECSTGSKEVVTPCTEKKTLELSKESNYEYIKGNTVLQEKDHAVSSPYDKGITDFTLDINKGGIPAIEIHEATSALTKFDCLPSDRSSELSGSSRVSIWSKKAARFFTRKKGPSDLTEEGLEEFNRRNAILEEKRYFTSSPYYRGLTDFSIDINKEKVPVIEIHERASVTSSTRSSFVVRMQQLGTFCFFFKNKEKKDLFSSSSSSKSRMWKDMDSGKEAKSSSTKRNTGHYSSNEGKPLRERELQTDAPQELLTTSCLQPPQPPQVSEPAQTSESKKTKATKSDIEEKEKPLQVSKPAQRSESEKPNPTKQRDREEKRMPPQVSGLARTSETVMSNATEQSDTEEKRKLFTWADHYRPDTLSDFICNRDTAMELKSAANSEQCSHCIFEGKPGVGKRTMIWALLREAFGADKVQLREECKEFGLKGEAIKSIQVNVTKSSQHVEVNLSELKGYEKHVIVELMEEKSSKLANNVSPCTFADCKGNFPPDIIXAPQELLTTSCLQPPQPPQVSEPAQTSESKKTKATKSDIEEKEKPLQVSKPAQRSESEKPNPTKQRDREEKRMPPQVSGLARTSETVMSNATEQSDTEEKRKLFTWADHYRPDTLSDFICNRDTAMELKSAANSEQCSHCIFEGKPGVGKRTMIWALLREAFGADKVQLREECKEFGLKGEAIKSIQVNVTKSSQHVEVNLSELKGYEKHVIVELMEEKSSKLANNVSPCTFADCKAIILYEADKLSTDALLYIRWLLERYRGCNKVFFCCNDVAKLHPIKPLCRVVKLLPPSNEEIVEVLKFIAKKEGIELSDKLAEKFADNSKNNLRQAIRSFEATWRSNSELKEDQDILTGWEDAIAKIASDILKEQSPQQLYAIRGELQRLVDHNVSPTFIFEARTLVGELKRHLEESLQLQIDKIYQEYNGDAYGIHRNGFSRNEAKGVADKHNEEFRRTVQHFMKIEEFIAKFMSWYKNVDVSLKLGT >KZM86384 pep chromosome:ASM162521v1:7:2214780:2216722:-1 gene:DCAR_023518 transcript:KZM86384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYDIKPPIPTVGGVEKNRIPGHPRLRAFDIYGPEEGVPPPRKGEARANPEEYSLTPEQIRVLAHNMLEGYTSLPADHPTVKETRYAIIRVAVDVLNNLYKNDQPGADKDKTDQPGAHKGKAIDIGDGADVDQNGRDDESTDSGDRDMSHPYIVVSRGGPVIKG >KZM88527 pep chromosome:ASM162521v1:7:28859320:28861365:-1 gene:DCAR_025602 transcript:KZM88527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGYKPKSVRKRKNSAEKQNVSGNSAKRFTYPLSPLIADKNGLRNISSPTVTPQDNVATLRTPFSNITNIVENNVTSNRRSSLGDGGLRQMTNLTVTPEDTVATVRTPLCNITNIVQNNVRSNRFSSLGGGGGGHRKRTNTIVTPEDNAAAIRTPLSNITNIVQDNVKSKRRSLRGLYDNKFEETARNLFPETSSHNAEKNKYLQDDDIECSVVQDPVLSDDSEDEFSSG >KZM88269 pep chromosome:ASM162521v1:7:26333118:26338448:1 gene:DCAR_025344 transcript:KZM88269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGMMFDHIQNLDKSRSNWRIKARLTRFWTTFSPETSTIKGYNLILLDDDNSHVHAYVYPDNWRAIDKKVTEGNVYVIENFQVRDTIGKLKPVSSRLCIRLLSSTYIEPVADDAMIPRHKFEFMDMGDLLDECTRLTENQNPEFAYDVIGVVEHFKKVTLWGDLASSVSESWKPDLEKPVIGILTSAKLSTFREEQQIGALPSTKIYFNLAIDSVSEFRERLIEEGYKAPDEDDEGTSEPPVTTRIVMVKFIINKVEDDDNWWFNSCVSCQAEVEKIDKKFKCPDCKRSFGYSEKRFRIVVLADDSTLVTNVILLDRFVKRVAGTTVANILNDIKKDNSVTVSDTLFKTILGKEVTVLIKLTDANVAGDSNLYNVVDLCDSTTSEVAIVEASPSNTAGSFTMNGDFHIHAFVIEEACTSLGLSIFEGNMYIIENFVTRRAIGDLRPVTSDVCIIINGSSTITAVPLELGKFLRHKFELTKLGDVYSIARNLDIVGTIIDCGKVKVDVSGNGERHYMRFNIYDGKNMLRVMFWDEKIELLKPLFDHEFPTKPIVILSSMRAHFFKAYVTHGCVAMLSFNLRLLNQL >KZM88394 pep chromosome:ASM162521v1:7:27550541:27552362:-1 gene:DCAR_025469 transcript:KZM88394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLVSAAIKSPSLKNKDKKKATTRSFRAGLQFPVGRIHRLLKTRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >KZM88558 pep chromosome:ASM162521v1:7:29184111:29187063:-1 gene:DCAR_025633 transcript:KZM88558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKRRIIPFVFLLIISSFAFQLFASTDPIFYESFDEDFSGRWIASEKDEYQGVWKHSKSEGHDDYGLLVSEKARKYAIVKELDEPVKLNDGTIVLQFETRLQNGLECGDDWDEDAPLEIEDAEAVKPEGWLDDEPEEIEDPEASKPEDWDDEEDGEWEAPKIENPKCEDAPGCGEWKRPMKRNPAYKGKWHAPLIDNPNYKGIWKPQQISNPAYFELDKPAFEPIAAIGIEIWTMQDGILFDNILIASDEKVAESYRQTTWKPKFDVEKAKQKAEEETSSLSDSLKGFQKVVFDQLYKIADIPFLQNHKFKILDLIEKAEKQPNITIGVLVSIVVVIFTALIKLLFGGKKPATPKVRVEPKKEEVTEASNNGGDSSEEKERNEDTTAAPRRRTRRDD >KZM86443 pep chromosome:ASM162521v1:7:2863753:2864343:1 gene:DCAR_023577 transcript:KZM86443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKSLFSLKKKTTSSRGSSRRPTPMVSRTASMNTRAQIEEELEHVFKKFDVNGDGKISASELGSIMGSLGHNASDEELESMIKEVDADGDGFIDLKEFIELNTADIDSEEGLENLREAFSVFDIDKNGSITAEELQNVLTSLGEESTLAECRKMINGVDADGDGMISFDEFKVMMMRGARFDGLGSQSNGVEIQD >KZM86442 pep chromosome:ASM162521v1:7:2827670:2830030:1 gene:DCAR_023576 transcript:KZM86442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLDGSVIGADQTSADYYFDSYSHFDLVDNLVTIARSGTKEFMEALKAGADFTVTRDMEGEQLGRGTKITLFLEEDQLEYLEERGIKDLIKKHSEFISYLIYLWTAKTTEKEISDDEDEEVMKEEEGDVEEIDEDKEKKESKKNKIKKGVVHADNRTESWWPRLATSEDLISILTTLIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLVPDENDPEYMRSTLGREATPLSGPEMQKCSRHFTNSQLRLDR >KZM88620 pep chromosome:ASM162521v1:7:29754604:29756008:1 gene:DCAR_025695 transcript:KZM88620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIREAHFVLVHGSCHGAWCWYKVATQLRSEGHRVTALDFAACGINQKPLQEVHSCHDYFEPLLEFMTTLPLDEKVVLVGHSFGGVGLSLAMETFPEKISVAVFVTALMPGPDLSYVTISNEDLTLATMSVRPHSLQVKSDASESLIVSKEKYGSVHRVYIVADQDMILSEEVQRWMIQLNPPNDVKVIQGSDHMTMVSKPQELSSCLLTIAQHHC >KZM87523 pep chromosome:ASM162521v1:7:17719469:17720302:-1 gene:DCAR_024657 transcript:KZM87523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNVVVSTLEYNKAVPTISIRPPQPNMAENKKSDLQALTTKRDDGKKQLVPKRSSTKDRHKKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAGSSVSEQGNSVSATLHSRLDELGQSRAGWNGVNGNFGRSSVFWPSLAGFGSGYVPNSGVQVNDQNLSLISKFGMHGFEFANSSLNSSSFATFFSGNGQQLPGLELGLSQDGHNGVLSSQTISQFYQQVGQDTSSPLNLPQQQRHSDKDESQE >KZM88657 pep chromosome:ASM162521v1:7:30025952:30026688:-1 gene:DCAR_025732 transcript:KZM88657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAIFSRLDLFPSFVSYACVFNFHGFRKIVQEKWEFKNENFKKGEKELLLQIQRRRIENRSLALTVNTGNSGSPTAGQTTPANSEVDLVSGSTSHISLPKNTVIIDLAMVHQYEAISKEHERLKILHEQVCSELAESRIKCEELTGILLQQREVGSEMKSQSCGSTAGAVCVGDKGKSVNVVNGGDQNDDEDPKNVKIFGVMMKQEKKRGGDEIFDSCGGSSGNKERKMGGEKVGTS >KZM87357 pep chromosome:ASM162521v1:7:15052650:15055992:1 gene:DCAR_024491 transcript:KZM87357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEETKKQLSSSWLCLSAKCFPITTSYSKSAGNSSDDDEIYHSAADNLPKQTTPRKPGNETFERLATVGLLANFNVFLMTQFHMDQVYASNVINIWSGISNFAPLLGAYISDAYVGRFRTIAFSSFAVLLGMVCLTLVVSVPGLHPPKCTDQEANLSQCVGPTGSQFGMLILGLGFLTIGTGGIRPCSLPFGLDQFDPTTDKGRRGITSFFNWYYVTFTIVLIIALTVVIYIQDSVSWILGFALPTGFMAISMVLFFVGMRIYVYIKPEGSVFSGIAQGLVVAYKKRKVKLPTDGNVQGVYYDPPPVGASALSRLVLTNELSFLNKAAVILDGEVKPDGSKVNPWTLCSIQQIEEVKCLINVIPVWASGIICFLAMTQQGTFTVSQALKMDRQLNPHFKIPAGSLAVISMITIGLWIPLYDRVVVPSVRKITKIEGGITYLQRIGIGIFFSALSMVAAGIFEKMRRDSALAHPNPDGIAPISVFWLAPQLILMGFAEAFNIIGQIEFYYKEFPDTMRSLAVSLVFITGSGANYLSTLVVNIVHSTTAKNGKPDWLTKDINVGRVDYFYYLIAFMGALNLAYFLVVSRRYRYKRARMVGDEKPGFDVELAADGKP >KZM87184 pep chromosome:ASM162521v1:7:12188734:12189436:1 gene:DCAR_024318 transcript:KZM87184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSGVCVGTRAPGSEEQDQREYMDIYSDEEDPVAFGPASVHPNNIIYGGYAFHVDYYAVDNEGMDTPVVMPVYEAEVEDYVLGAGF >KZM87519 pep chromosome:ASM162521v1:7:17689195:17690229:-1 gene:DCAR_024653 transcript:KZM87519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISMAISSPARSQSTSARMNTSLSLRPTTSFKASMPQNISPVSTTNNRIEERQPKVHGRDYRVRIPVLCAARIFQLTRELYHRTNGETIEWLLRQAEPSIIAATGTGTVPAQSISCLYDVTSSSSTSVFSPSSSTMVAPNVDPSRIEVNHPMSPPVTMMADVYPPMMQNSQHVEGLEANLPLSLSTTMHLPVAYNPESFDMQGAERVVLNAPMNSSAMIPDVFSPRMHNAQPVNDVGVAIPLNQSAMEVRNVYPQEFPTFIHAGGDEANPDLGGSTTVMFPYVFPPEVWKEVEANDLVSSSAGIDLNVVPPGMDDLQQSEGVEVDQFASSSTGVVPTTGDDAI >KZM88398 pep chromosome:ASM162521v1:7:27596124:27600608:1 gene:DCAR_025473 transcript:KZM88398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIRAPFRGVAQDVRGRASCYKHDWLAGIRSGLGILAPTMYIFFASALPVIAFGEQLSRDTEGSLSAVETLASTAICGIIHSLLGGQPLLILGVAEPTVIMYTYLYNFAEGKEDLGKSLFLAWAGWVCVWTAIFLFMLAIFNAGSIIIRFTRVAGETFAMLIALLFIQEAIKGIVSEFNIPEGENTNSEKYQFQWLYTNGLLAIIFSFGLLYTALRSRKARSWLYGTGLLRSFIADYGVPLLVLVWTTLSYSGPSKLPSGVPRRLFSPLPWDSASIHHWTVIQDMGKVPPVYVFAAIVPAIMTLLCGLIGIPPSNGVLPQSPMHTKSLAVLEIQTIRRKMVQSAKESMKEQASKLEIYNKMQNVFIEIDSSPVANSESKDLNDALMNTTDGITETESEFKVFLELKDLKDVVMNTSDGQTETEPFDPDKHIDGNLPVRVNEQRVSNLLQSLLVAASVFAMPVIKLIPSSVLWGYFAYMAIDSLPGNQLWERLTLLLVSPGRRYRVLEGDHASFVESVPFKSIVGFTLFQSAFLLLCYGVTWIPIAGILFPLPFFLLVSIRQHILRKLFQPNYLRELDAAEYEEIAGAPRQLFSGPLTSRDRETIQSGNVTGEVEVCDAEILDELMTNRGEIKVRALSFHDEKHIQVHPHDTPESSSMRND >KZM86377 pep chromosome:ASM162521v1:7:2146499:2149490:-1 gene:DCAR_023511 transcript:KZM86377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVGHEKLEKNQESQPEISRTSAEDEALRRNTDCVYFLASPLTCKKGSECEYRHSEAARLNPRDCYYWLNNNCTNPKCAFRHPPLDGLLGTQVAPPVGQFFPLTQGVPTPSAQALHVPGKQAVPCIFFQQGYCLKGDMCPFMHAPFSSNDKVAQLAVATPVTEFQSYKKTFGGLQKSTQQKNSQNNIGKPVEVPVQVKSVTETQMAPLRNGITANKTLTVYAGLDDELPRYKPANVHSAATGKSARSNHSQQVDLVENQSILNSKDADEFSRDHSPGFDVLVDGELGESDYYHDEDQFGGVRGRNERILNPLNDYEIERTSDYRSMADGDRDMYNDPRGYDSYDHYGRKEHRVSSDRMLAGSAHLERRRHLIADSADRTDESDLRHHLLKQKRGDNSRSVVSHSRSRENRFDDRIYRPPQRDHMRARESVISSRLRGRIKIPGRSTSPNNRTGSWSERESDRGRYRGRMSPERLHGRLKERMQGRVQEDFNNEDRSIRNPSMRLESKNNINESGAPFTGPKRLSELKVGKSESEQHVNDRQLLGKRKYPKLDGAPQQGEGDISFEGPKPLSEILKRKRGVTSADYENGSTSRNNNDNYRKEANESVMIPKDTKKPEDVLSSLPVRETSLVERNEEPKHAVADINEAGEKMKSTGDQSSLQSEPEEGLIGDEEMENHDPEAYEQAEGYSDYEQADGEDYNLEEGENLDPGDEYYEEEEDADDFAKKMGVSYS >KZM88808 pep chromosome:ASM162521v1:7:31452019:31452778:-1 gene:DCAR_025883 transcript:KZM88808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFTIGENSSSSSDSDDIDLRVPKRRRMPFPSRVLYRHGGSSNPPAAGPSGIRREGATTTTPASNATTAVATVAASASQADSDDSFISSASEGEDEEVEIDELDESEEEEVTPRPAVTPRPLLVNNSSKNLVKAASKDVVPSGSGGRTSGRGPAILADTDVLDCAICFEPFTSPVYQDKVLGAV >KZM89234 pep chromosome:ASM162521v1:7:35210033:35210554:1 gene:DCAR_026309 transcript:KZM89234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFISKIKVAAMLMLLLALVQQSQCNESFKDCYIKCYVFCMIEPSQTLCTCTTRCFKNCILPSIYSSTATTQSRRRSDDLAHQHSQNIAFCKLGCASTSCSALSTISNPTVLAPALDVPLSIIVPLVSADWNQKF >KZM87846 pep chromosome:ASM162521v1:7:21158149:21170460:-1 gene:DCAR_024947 transcript:KZM87846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVYEGWMVRYGRRKIGRSYIHMRYFVLESRLLAYYKRKPQNNVVPIKTLVIDGNCRVEDRGLKTQHGHMVYVLSIYNKRENYQRITMAAFNIQEALIWKEKIESVIDQHQESLVANGNKYHSFEYKSGMDNGRNGSSSDRESQYSAAEDEDESESQPNLLRRTTIGNGPPESVFDWTKEKTDLANQNTNNQAFSRKHWRLLQCQNGLRIFEELIEVDLLPKSCSRAMKAVGVVEATCEEVFELIMSMDTTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPTFVWPRDLCYVRYWRRNDDGSYVVLFCSREHENCGPQPGFVRAHIESGGFNISPLKRRNGRPRTQVEHLMQIDLKGWGVGYVSAFQQHCLLQMLNSVAGLREYFCQTDERNAPPRIPVMVNMASASVLPKKGLKLQEEALHNRSHSHDQTNANKNSMMDEYSDEDEEFQIPDDEACASRLDSDYKKIAIEEEPRVEIDLSCFSGNLRRDDREKSRDCWRIADGNNFRVRSRHFCYDKSKIPAGKHLMDLVAVDWFKNTRRMDHVARRRGCAAQASIFLFGFCWDQSFLCHLVASEKGLFSIVFNLQVPGSTHYSMIFYFVMKELVPGSLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPNYLEIDVDIGSSTVANGVLGLVIGVITSLVVEMAFLVQANTTDELPERLISAVRVSHIELSSAIVPNLEPDSSN >KZM88914 pep chromosome:ASM162521v1:7:32240477:32250504:-1 gene:DCAR_025989 transcript:KZM88914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAILTPTQKYAAGALFGLALHKAQTHQTRPLASIFDEDEDELDGGDSRTPYSVSADPELWIHQTSGLLRPVFSFLEINDQAWPGLEETAGSPSGTHHVGAFIRLLAEDSSETSSEMKDQEHGLSNAVSSMAATMDPSEEFAYHKKKHRAYEQEWREILSSAAQPQPEVKDEDRETDEKKDNDHETDEKKVDDHETDEKKDDDRETDEQDTSGKRSIMAEPSVGSGRKCDTKPFEELTLLDDKRKVAVLFELLTACLAKTPELDNTAKRRKNDYDARHRVALRLLSTWFDIEWIKMEAIETIVASSAMAILKERNSKQQEADSSQSRWAKWRRGGIIGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLAAGAGLSGAKMARRTGSIDEFEFKVVGENRKKGRLAVEVVISGFVFEEDDFVKPWEGQADNSERYALQWESKHLIAVSTAIRDLLASSLAYTLMQQGAMLTVLGALVSALAWPAALLSLIGFIDSSWSIAVDRSDKAGKLLAEEVLEKGLHGQRPVTLLGFSLGARVIFRCLQCLSESDNNAGLIERVVLLGSPIAIQDENWETARKMVSGRFVNAYSTNDWTLGVAFRASILSRGVAGVQPVDVPGIENVDVTEVIEGHSSYLWATQQIIEQLELNAYFPVFNATPMKPGEDTYSGNSFLGGYIQPAGKGEGI >KZM87251 pep chromosome:ASM162521v1:7:13151771:13153021:-1 gene:DCAR_024385 transcript:KZM87251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGNAATMPDEFVDRFGPMIPFRIALSCLKIMWVVKYDLHKRHIYGLGKFFKFYGFKIFNLAQLDYLGEGLFVVTLFKDNAMELEYPVGNPNNLVMDKEWEEQNRDDYVVKPGTPQLDRCVSSIFFNGCSNKDGYASLLVLDSDMEMDGERMVKYS >KZM87604 pep chromosome:ASM162521v1:7:18560606:18564227:1 gene:DCAR_024722 transcript:KZM87604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIEARKRMGEDGGKGKLLNDIQSLSKALNIDKTQPNTSLKNPSKSNFKDTSSNQEPSSKDKKSMWSWKGFKALTGALNKRFNCSFSLHVHLVQGLPPECDGLSLRVHWKRRDTEFTTRPARVLDGVSEFEQQLTHVCSVYGSRNGSRSSAKYEARHFLLYVSVVGRPELDLGKHRLDLTRLLPLNLEELEEEDSSGKWTTSFKLSGIAKGATLNVSFGYTVVENNAVRPATHSDLPRGLSLRENRDDDSALRRGKTLPSRSHVLSHSVREVKDLHEVLPAARSTLSDSVNILYQKFDEEKLSISVEKPKLQYMKPKSYTDDTEEDNVKTQCEVSAQSEIPDLEYMNTKSYTDDADEDNVKTQCEVSAQSETPDLEYMEPKSHTDDADEDKVQTHCEVTAQSLEEHNTVLLTEEKVKLGLDIVKSTVGSLQHNLDINVGSPDRVASEEDTKIQESQGHIDDFVETDFNFKEDDFCNTDSLMKELESALSSVSNLLKESSDSQEDECQILSEEKYLGAESIDKVSGVEKSISMDDVTDSAACEFLDMLGIEHSPFGLSSESEPESPRERLLRQFEKDSLANGCSLFNFDDELDMTEFGDDILSGSGLGSISEDVHHSSKGELYENANVDTQALGRKTRASVLENMETEALMREWGLNEKAFQCPPPDSSGGFGSPIDLPLEQPIPLPSLGEGLGSIVQTKDGGFLRSMSPELFRNAKVGGSLVMQVSSPVVVPAEMGSGITEILQGLASVGIEKLSMQATKLMPLEDISGKMMQHITWEANPSLEAPERQVPLQQDSEVDRNLYSGEENAKRSSSRLRSSKRSSNSFNHHVDSECVSLEDLAPLAMDKIEALSVEGLRIQSGLSNDDAPSSVTSHFTGQSSALKGEMVNIGGSLGLEGAGGLQLMDVKDNSDDDGGLMGLSLTLDEWMRLDSGVIDDEDQISERTSKILAAHHATPGDRFHGKSNGDKKRGKGSRKCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERLFVPPKPKIYCNVSEIRPYIDDEDESEPTAKEVMGEKMQEEEVIPVFKINEVHVAGLISEPGKKKAWGSKTQQQSGSRWLIANGMGKSNKFPVTKSKAPAKTSPEMTRIQPVETLWSISSRVGAKLKELASLNSPIRNPDVIIPQNDTLKLH >KZM87460 pep chromosome:ASM162521v1:7:16597525:16604277:-1 gene:DCAR_024594 transcript:KZM87460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDFIGSVRRSLVFTSSGGGEEPNTLGGLVGKIGSSIRRSRIGLFPRPMPPALPPIVKAKRDDESSIRWRKGELIGSGAFGQVYMGMNLDSGELLAVKQVSIAANSASKEKTQAHVRELEDEVKLLKNLSHPNIVRYLGTAREEHSLNILLEFVPGGSISSLLGKFGSFPESVLRMYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATMTGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPDHLSAEAKDFLLKCLHKEPDWRPTASDLLQHPFVTGEYQESLPVNRTSVICFNTQRDHSGKHMDVSKAKLKNSINPGNRLSGIGSSDMGNVRCSTVYPENLLGDAPNWGSSNYDDDMCQLDDEEDFFSDALIKRSSTSLSADVNKSFNPMSEPNDDWQCKFDDSPVLEKNATNILPSETTNKHSVRFGSYGNGDDFTFPSGTLTTDDEDEVTESKIIAFLDEKALVLKKLQSPLYEEFYNSLNPMCSISAVRTENKENLAYNCNLPPKSRSPNRAPSRRFSAAVDVAYTASPENRSKHVSVGSSSHQAVQEPKSPPYEEMKVDPNQEPVSLSASFSERQRKWKEELNEELERKREMMRQAGVATTSSPKDRIFSRQKDRFRFAFPVK >KZM87808 pep chromosome:ASM162521v1:7:20704035:20704190:-1 gene:DCAR_024909 transcript:KZM87808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAEVVPRGWHLDGSTRHSCYAATYSVPARASWTTCTRVTDSNTQLRDEW >KZM86875 pep chromosome:ASM162521v1:7:7893417:7894113:-1 gene:DCAR_024009 transcript:KZM86875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKAATKMATEEERKELDARARSGETVVPGGKGGKSLAAQEQLAEGRMRGGQKRREQLGVEGYKKMGKNGGRTRKEQLGVEGYRKLGRLGGLSNCATQYESKPGTSMISESASTSTTLDPASAPALPSNHAATKN >KZM89210 pep chromosome:ASM162521v1:7:34996399:35000558:1 gene:DCAR_026285 transcript:KZM89210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENKELLSKKLKKIKSNSSDSKKKSKNRNPIEKKCSEVKNGEEKVKSVKKIRKHDEVKQAGNIDEENRIDEASRRKKKRKVAKAKDSDKAEIISSEIKDSSDGDIEAEEKQRSKLRKLKRKGKKTKKSEIEKVNESDHEVEGSNLQKGKSKKVRKAKKVRLLSQDEDVLEDNEVKQDEVYEISSGDEDSSQGMKKWIMEYHQSRPGVKILQDKIDDFIVSHEAKLEQERKEREALAAEGGWTVVVHQKGRKKTTESESGTAVGAAHATVTDRIAKKKNKEVGLDFYRFQKREAQKSEIMMLQSKFEQDKKRIQQMRAARKFKPY >KZM87913 pep chromosome:ASM162521v1:7:21968375:21968911:-1 gene:DCAR_025014 transcript:KZM87913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHNNHNGGTSSAYRGVRKRKWGKWVSEIREPGTKTRIWLGSFETPEMAAAAYDAAALHFRGREARLNFPELMDYLPRPASSSADDIRLAAQESAMRLKRSTEEPECGGSSSSSYRLPVTVGLSPSQIQAINESPLDSPKMWNRFNDGLMLDDQRTYFCNDMEIDAWEEIPDDSLWD >KZM87373 pep chromosome:ASM162521v1:7:15245597:15246699:1 gene:DCAR_024507 transcript:KZM87373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESVVNAPDVQNKMGGSVSPKGALEVSISFGRFENDSLSWEKWSTFSPNKYLEEVEKCSTPGSVAQKKAYFEAHYKKIAAKKAELLEQEKMMRTDSSRSNELNCTDCSTSTSGTDAEPERGILNGQSFSEEVELETNSLSEVSSSLVNEPADVDRNGNSFECQNTPVETVGEKINGKLQFEEVNYPGKADLITEEISKISEGHHDTDEKPLKIIDETISMVKGQEENSESYAHNTDQKVIPDL >KZM86728 pep chromosome:ASM162521v1:7:6250694:6252194:-1 gene:DCAR_023862 transcript:KZM86728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKNRASRVTFDAVLVTILVLLVPKVVSDDADPIPSDKSQVSAWFDRNVAPASGREGLDPALARAESKPPRIISVRQDGSGDFETISGAIRSVPRCNKYRVIIFIGGGHYFEKLKVDHYQTFVTLYGDPSDVPVIWYNGSARTYGTTNSGTLTVETTADYFMAVNLRIVNTTPRPDGRLLAQALAMRVSGNKAAYYNVKMHGYQDTLCDDKGYHFFKDCHIEGTVDFIFGNAKSLYLSTELHVLEGAMPGDVITAHANDDPNADLGYSFVHCSVTGNTMKTYLGRTWRSHPKVIFSYTEMGDVVHPRGWSSLGRPQYAKTAYFAEFENRGPGATPDQRPGFVKRLSGAVAKQFITLAHINASTWLLPPPVLEGIVDDTCS >KZM88028 pep chromosome:ASM162521v1:7:23582783:23583649:-1 gene:DCAR_031509 transcript:KZM88028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNVAVIGAGIGGLCAGRKLQRVGHRVTIFEKQNQLGGTWVYDPRVESDPLSLDPDREIIHRSLYASLRTNFPRHVMGFSDFPFTKIYEDSRAFPSHEEHLRFLNDFSEQFGLVELTQLNTEVVRVELKEDEWVVESRMGELTREEGFDAVVVCVGHHTEPRVANFPDNRVGPLYKHVFPPELAPRLSPSLESHMRLDLI >KZM88223 pep chromosome:ASM162521v1:7:25745395:25747262:1 gene:DCAR_025298 transcript:KZM88223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFITGCGAAIVDESLDKELEESDDKEPEDRKDTLMTPVYRENTLEKQSCQGNNPKIQGHQGHLADQSLTMTIKKNNPTMNPPTIKKTVTPTNRSHYPPHPDASS >KZM86490 pep chromosome:ASM162521v1:7:3331687:3333250:1 gene:DCAR_023624 transcript:KZM86490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFVSYHACYSISYSLAKQSQYLSKSKLPKPESSRNGCGSTGAKINAVAVTETLDTKVMQTRQNIPTFKQFADPHRQALIIDNGVTYRQTVVIRSYEVGPDKTATLESILNLLQETALNHVWMSGLLGDGFGATHGMARNNLMWVVSRMKLQVDHYPIWGEVLTLDTWVGASGKHGMRRDWELRSQATGVVFARATSTWVMMNQKTRHLSKMPDEVRAEISPWFIEKRTIIEDTPEKIKKLDDTAKHATSSLKPKRSDLDMNHHVNNVKYVGWLLEAMPDKFMDEHQLCDITLEYRRECGSSDIIQSLSEPDEDNSINGTLKYTHILQTKGELRNEEIVRGRTTWKKKHSNTQFPKY >KZM86142 pep chromosome:ASM162521v1:7:56418:57636:1 gene:DCAR_023276 transcript:KZM86142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTHGVENESGVSNFIPILIGDQQICSEMNTIQHKLVTSLQLNESQLLDASSSSPCDVSILRQKYFSQFILDVAWLLKGSALGNEQQFLSSSQIQRFNGLLEFLIDNQSVVILERVSYYVKIMVNNSLISGVNDADIKLCKKNICHANARLTRKFQGKVNYAVPVLNYVSQGDYLEASSKIDLFTYVPVTNQVRKFRLQFHMRPFCPIIRYHLK >KZM87612 pep chromosome:ASM162521v1:7:18614820:18618672:1 gene:DCAR_024728 transcript:KZM87612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLNSLQLSRTDWKIKIRVTRAWDSFSSSHEFIGMNLIMDFHVHAFVIPEALEGLDHPFYEGGMYIIENFMTRRAIGTLRPVTSEICIILNEGSIVTNIPLEIVRFPRYKFEITEIGDIYSVARNLAPGQPPIYALDIVGIILDKGETVVEATTPGMRQSIHFNLYDGRNMIRVVFNDEKVTLLGHIFDGDYQLDPIVILTSMRPHFRNGVLQVSSTEATKVYANIRYHVVWQIRQRIMFNSLRSLELSKTDWKIKIRVNRTWNSFSTNRELIGMNMILLDIEDYHIHAFVVAEACASLGSYFFEGNMYIIENFATRRSIGYLRPVTSAMCIILNESTSVTPVPLELGLIARHKFEITELGDVYSIIRNLAPDQLPLYALDVLGVMLDIGDVKVEDSAATPRTCVRFNLYDGRNMTRVVCSGEIVQSLLPILEGDFQTNPIVILSSMKPHFHKGVLQLSSTSASKAYINISYDAVSQMRRRLIDQC >KZM88017 pep chromosome:ASM162521v1:7:23325451:23330336:1 gene:DCAR_031520 transcript:KZM88017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSRLPVSASTRGKLISAGYTSFSSLSNFSPSELAIAVKIPEKEADEILKLATHDGKSDNTKGTQAVVSGGQTAWDMLKEDEGLRCITTSCAALDKILGGGINCKEVTEIGGVPGIGKTQLGIQLAINVQIPHEHGGLAAKAIYIDTEGSFMVERVLQIAAACLSEYYELPRRGSNANQPNRQPQDLLENIYYFRVCSYTEQIAAINYLGKFIKEHKEVKIVIVDSITFHFRQDFENMALRTRLLSELALKLMKVANEFKLAVVLLNQVTTKYSEGSVHLTLALGDSWSHASTNRIILHWNANERYAYIDKSPTLQSSSAPYAVTGKGIRDSVSDHKRAKLMYALCFSGFSVRLDLLELSS >KZM87257 pep chromosome:ASM162521v1:7:13224385:13225959:1 gene:DCAR_024391 transcript:KZM87257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKALKPGKQLHSSLLASGADMEFNSLGSKIVGLYASCGDIKSAKLMFQRTQNPSVFAYNWMISSLAFSGSYENALGYFSLFQESRNVANEYTFSSVLKACVGLMDCKKGREVHCRICTMGLGLGLEVGNGLVDMYWKCGWVVYARLVFDRIVERDVASWTSMICGYFSVGEIEEAYGLFERMKLEGVEVNGFTWNAMIAGCAREGDCDGAFALFCRMVKEGFVLDVVTWNAMISGFVQSKRTIEAVKLFKDMMVAGIRPSHVTVTAVLPVCGLMDSVRRGKEIHGFIYRMELYMNVFVASALIDMYSKCGTVIDARNVFETIPIKNVASWNAMIGCYGKHGLVDSAIQLFERMTTEGMQPNPVTFTCVLSACSHGGFVDKGLEIFRSIRKCYDIEASQEHYSCVVDLLCRFGRMDETYDFVKEMPLAVTESIIGAFFNGCKLHNRRDLAKKIVNVLSEMETRRPGGFVTLSNICGAEEEWEEVEYVRKLMKEKGIHKRPGISLFETRDVSSRSELKSKGFTL >KZM88372 pep chromosome:ASM162521v1:7:27282233:27283869:1 gene:DCAR_025447 transcript:KZM88372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFQQYRHIQAPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKYKGNVPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDPSNAASSFQVSVGGAGTTNKTVKVPKNFTLKAPGPGYTCGPAKIVKPTKYVTADGRRVTQVMMTWNITCTYSQFLAQKTPTCCVSLSSFYNETIVPCPTCTCGCQNNSTHPGSCVDKKSPYLASVVSSPSKNNYAPLVQCTSHMCPIRVHWHVKLNYKDYWRVKVTVTNFNYRMNYTQWNMVVQHPNFDNLTQIFSFNYKPLTPYAAINDTAMLWGVKFYNDFLSQAGPLGNVQSELLFRKDSSTFTFEKGWAFPRRIYFNGDNCVMPPPDSYPYLPNASSRQQISLLTLMVTLFSSLAFYFAYV >KZM88531 pep chromosome:ASM162521v1:7:28915363:28918243:1 gene:DCAR_025606 transcript:KZM88531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTNIRAALQTGADGQRIQVRVGRVWEATNRKNGTVLHTNVILMDDQGDHILVIVRNNQKNLFLPKLKENGVCNITNFKIVPGPLTYKSVDKDMAINFFYKTSIEQVENNDAIPNYIFELQPFDRVRARVGRVNNLIDVIGMVTSIGRLEKRTNGSEKIDVALLDNKNQKMIVTLWDEKAYQFHAGIEVGSQSAMFVAITGLLAKQFSGTNKRPVRADDKDTQQLAIKDVLELQIPPGKDVRCLCTATITEVLNGNGWMYNCCSTCARAVHPTDGIFSCNACNESTVTVTQRYRIVASIQDDTGTTTVTLFNKEAEQLTGIPIQKLLNELGEGSDIERIPAAVNNIVGKVCAFQIKITKYNITHGCEEYTVARVSESSSATPSTSGTVESGNKAKRLRME >KZM86144 pep chromosome:ASM162521v1:7:64225:67423:1 gene:DCAR_023278 transcript:KZM86144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITNTRDIENCASKGPQDLEEPFIEHTNKVVVISEDEETSETNVSVGMVLFSTCVAVCGSFEFGSCVSIHISNARARAHTHTRLEIKVGYSAPAQSAIREDLNLTLAQAMRMSAAFCIAGWLAVYLSMEALLLDIGRFLTGYGIGVFSFVLMIVIGASLAFLMGTMLSWRNLAITGLVPCIALLVGLFFIPESPRWLAKVGLEKEFEVALRRLRGKDADVSAEAAEIKAKGEVLCKFSIPIDCGVQIAVGLMVFQQIGGINGVGFYASETFVAAGMSSGNTGTIAYALIQVPITIVGALLMDRSGRRPLLMVSATGTFLGSFLTGTAFLLEGQTLLLDWVPTLAVSGVLIYIASFSIGMGAVPWVIMSEIFPIHIKGIAGSLVVLVNWLGAWAVSYTFNFLMSWSSTGTFFVFSGSCALTVLFVAKVVPETKGKTLEEIQSSINS >KZM87448 pep chromosome:ASM162521v1:7:16516773:16518839:1 gene:DCAR_024582 transcript:KZM87448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCITIFYFAKEEPNCKVVPVFPESYKPVKVPFQKGAGQKFRQPSGTGIDLGFFELDDLSKSSAGDVFPLVISAETCSPLDENSADHPPNSSPHRQITQAVLEKKDTDPFRVKVMKQILWIDEVRYELREIYGIGNSGEAISDNDSGKECVICMTEPKDTAVLPCRHMCLCSECAKALRIQSNNCPICRQPIEELMEIKINSTGL >KZM87778 pep chromosome:ASM162521v1:7:20312164:20313485:-1 gene:DCAR_024879 transcript:KZM87778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLMDIEALKAIGVNEVVLAINYQPEVMLNFLKDFETKLGIKITCSQETEPLGTAGPLALARDKLVDESGEPFFVLNSDVISEYPLKQMIEFHKSHGVVMQESTGQVERFVEKPKLFVGNKINAGIYLLNPSTLDRIELRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKNSPSKLTTGAHIVGNVLVDESAKIGEGCLIGPDVAIGPGCVIECGVRLSRCTVMRGVRVKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >KZM86742 pep chromosome:ASM162521v1:7:6387697:6388119:1 gene:DCAR_023876 transcript:KZM86742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSIESRSSLPESYRDERDKQKKLEVMKDSQFHKILAIKPHNVCNTLIKVMVETYDCATKSFRLGNTQGQIFEEDVAYLLGIKHIGVVHKKSNASVAIPNFYIKLVEGHGKKDVLLSKRVLHELRQKLDTDNASEKDSH >KZM87909 pep chromosome:ASM162521v1:7:21915067:21928335:-1 gene:DCAR_025010 transcript:KZM87909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFLELESQDGVRMPWNVIPGTKQESAQCIIPVSAIYTPLKPLPSAPPLLPYSPLRCRNCRSVLNPFSIVDYSTKIWICSFCLHRNHFPPHYHSISDDNLPAELFPQYTTIEYQSGEAGQAPMGSNVFLFVIDTCVIEEEIGFLKSALTRAVGMIPENSLVGLITFGSYVSVHELGFGMIPKVYCFKGGKEISKDQVLEQMGFFAKKPKPTGVIAGVRDGLSQESIARFLLRASDCEFSLNAVLEELQKDPWPVPADQRAARCTGTALSIAAHLLGVCVPGTGARIMAFLGGPTTEGPGTIVSRSLSEPIRSHKDLDKDSAPYYHKAVKFYEGLSKQLIHQGHVLDVFACALDQVGVAELKVAVEKSGGLVVLAESFGHAVFKDSLKHVFQSGDLDLGLASNGIFEVNCSKDIKVQGIIGPCASLEKKGPLCSETVIGQGNTTAWKMCGLDKSTSLCLMFEIVKKESPDAITQSANNQFYFQFLTYYQHSSGQMRLRATTDLIAGFDQEAAAVVTARQVSFKMETEAEFDPIRWLDKSLIHMCSRFGDYQKDSPSSFSLSTRFSIVPQFIFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFQSAPEAALLDVASISAERILLLDSYFTVVVFHGSTIAQWRKAGYHTQPEHEAFAQLLRAPTDDAAAIVKERFPVPRLVVCDQHGSQARFLLAKLNPSATYNSDGPPVHGGDVIFTDDVSFEVFLDHLQRFNFWGGMARQGPPCRTGMARPTPACRTGTRRTTRAEPSESISYGPC >KZM89209 pep chromosome:ASM162521v1:7:34990360:34995452:1 gene:DCAR_026284 transcript:KZM89209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGIAPGTGKQYPGGMTCNVFWTCIIAGTGGLIFGYDLGISGGVTSMPIFLEKFFPSVYRKEEGITSTNQYCKFNSQILTLFTSSLYLAALVACLVASWTTRKWGRKRSMLMGGFLFLAGAVVNAAAQNVLMLIIGRVLLGFGIGFANQSTPIYLSETAPYKYRGALNMIFQMAITIGILVADIANYYFAKLVWGWRLSLGCAGVPALIFIIGSWSLPDTPNSLIERGNHDEARNRLQKFRGNVNIEEEFNDLVAACEEAKKIKNPWSNIFRRKYRPQLTFAVLLPAFQQMTGMNVYMFYAPVLFRTIGFGMSASLMSALITGGVNAAATVVSIASVDKFGRRFWFLEGGIQMIICQIIVSVAIGVRFGISGNPGELPRWYAILLVSAICVYVAGYAWSWGPLCWLVPSEIFPLEIRSAAQSINVSVNMIFTFVIAQIFMYALCHLKFGLFILFAFCVVVMSIFIYKLFPETKGIPIEEMAGIWKKHPYWKKFVTSTKHDCECGRAKGSGNGKRVTNGKDSPKVSIDGIDSLNGGESPKERECELETRKKAPVLKSVLNKWPSVPLVSSFSPDVQVSADIVLNSTLAAIVLNSTLAAIAKHGGKESKLEDSNSNDHVYQITSSVVSPLIMRDLLDLAYQHFSSTNSNDEISPRQELIRRKSMEHFKFDSNKVEKLLEFLSEEERKELSVEEDVANLTAGEVDVIINTADTTIFDVRYIHRLDEQYFTFSRLIFTSLGIRVMQINSDFRAMKFQLCFFLIRTLCKKSVNARVLNVGLRRRALWILAQVQHPSRIQKPDIQKQSELTQLNADKARKRIMFFKAKGSKVKLVYQKEETTNSTIQDLTLSIKTRYKVKFGCLSGLSALYCSSAWDFEGFIKSLTLIIIIIICVIASKPED >KZM89252 pep chromosome:ASM162521v1:7:35389489:35390412:-1 gene:DCAR_026327 transcript:KZM89252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDENAVTVYNTTAITDLNKKNSLSMKVGLAQMLRGGVIIEVNNAEQAKIAESAGACCVVVVESVESGILRMPDPGLVKEVKRAVSIPVMAKARVGHLVEGQILEAVEVDYIDESEVMGIADEDHFINKHNFRVPFVCGCRNLGEALRRVREGAAMIRTQGEGMKLGDIVETVGSVRRVMGDIRVLSNMDDDEVFAFSKRIGAAYDIVAQTKQMGRLPVMHFACGGIVTPADAALMMQLGCDGVFVGPEVFACGNPYKKVRAIVQAVRNHTDPRVLAEVSSGFNEAMPRFNVNENRVEQFDASRTY >KZM86761 pep chromosome:ASM162521v1:7:6583017:6584367:-1 gene:DCAR_023895 transcript:KZM86761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPSPVSLKSRSSPTNETKKELVFDASLFQHELNIPAQFIWPDHEKPCSDRSPPLVVPPIDLKGYLAGDPSAISNAIMLINEACQKHGFFQVVNHGIDLELINEAHKHISGFFGMPFLEKKRAEKKAGDISGYASSFTNRFSSKLPWKETLSFRYSADQQSANKVEDYFTNAIGEDFRQFGRICQEYCEAMSNLSLVIMELLGMSLGIGPSYLREFFEGNDSIMRLNYYPPCQKPDQTLGTGPHCDPTSLTILHQDDVGGLEVFFDDKWHSILPDKEAFVVNIGDTFMALSNGIYKSCLHRAVVNNCTPRKSLAFFLSPKMDKVVRPPEALVLDSARNFPDFTWSTFLEFTQKHYRSDMKTLDAFAHWLQDRNK >KZM87023 pep chromosome:ASM162521v1:7:10233169:10236735:1 gene:DCAR_024157 transcript:KZM87023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGENGVEMLRTCIKVGRPYNALHLAGKRGNLRDAKVLVEFDQELPQSAVVADDGGTTPLELAAWKGHQETVRYLMMVTKDVVGVTGTSPFRGTPGANILTQTIATGLYEELVKQICSTLIMNFEDPITWKVLGQAIYTAVRHGSHELIEECIRNYPELIRYEVEGVNLFKAAINHRQEKVFNLIYQISAHSLDTMGNFNGENALHLAAKLAPYHRLRTVTGVALQMQRELLWFKWIAVPVTLVASIPIILFLWLQYPLLFELVSTTFGKSIFGKQNNLLLH >KZM88234 pep chromosome:ASM162521v1:7:25843682:25846445:-1 gene:DCAR_025309 transcript:KZM88234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEDGGKDHLFLNPKKGGFRAASFVFGLTSLDTTAFIVCIVTMFLYFMRVMYFDLAGAANTMTNFMGSSFLLSLVGGFISDTYINRFYTCLIFGCVEVLAFALMTVQANLKSLHPSNCRTTNCVELGNCKRSSCLEGSIAFMFYVSLCLLALGSGGVRGSLPSLGADQFDSNDPKEAKLLGRYFNWLLLSTTSGSIIGVTVIVKISLTYGWWWGFFLSTLLCFIGFSVLSLGASFYRLQIPGDSPIIQVLRVLVAAFRNRKLSLSENADELYESKEEATLAPKLSHTNQFSWLDKAAIYRAEGTTPWTLCTVTQVEEVKILTRMMPILFSTIIMNTCLAQLQTFSLAQGNIMDLRLGSYKFPAASIPVIPLLFISILLPFYEFIFVPFARKISGHPSGITQLQRVGVGLVLSAVSMAVAGLVEVKRRNQSLLDPKNPISLFWLSFQYGIFGIADMFTFVGLLEFFYREAPVGMRSLSTSFTYISLSFGYYLSSVFVDIINSVTEKITPSKLGWLHGLDLNKNNLNLFYWFLAILSCINFLNYLYWATWYKYKVEETDAKAAIDVDSSKGQDDVGKLKVEAQEEFAKTKNESQDPRTTSEAEKDGSSHTNGGQEDASKLKNEERDGLETTKEATSVGL >KZM86389 pep chromosome:ASM162521v1:7:2343515:2345301:-1 gene:DCAR_023523 transcript:KZM86389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKYNSAAIAATLSLIFFFCMSCNAQLSSSFYDTSCPNLESTVKTEVRTSISAERRMAGSLIRLHFHDCFVQGCDASILLDDSPTIQSEKGATPNKKSVRGFDVIDRAKTAVEKICPGVVSCADILSIAARDATVLAGGPSWTVKLGRRDSTTTNFAQASNGLPFFRDNLQKLIKDFSDKGFTIREMVALSGAHSFGQAQCFTFRDRIYNNASDIDAGFASTRRRNCPSSGSDDNLAPLDLVTSTSFDNNYYKNILQKKGLLETDQILLSGGSTDDIVRDYSNNPTTFKNDFAAAMVKMSDLSPLTGQSGVIRNICSSLPS >KZM86262 pep chromosome:ASM162521v1:7:1066263:1067531:-1 gene:DCAR_023396 transcript:KZM86262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDDLLHELLSIIFQKLITNKQNVPDLYQCLGVCTSWRHLAKEFLTTLAPAPWLLLEQKIRPQSITFSTITCKIPINADRSARKTTLSFSLFDHAKAYASYDGWLLVGYDDKVFHSSTAFLYNPLSAIILQLPPFAEHLRLDEHSTIKFVMSEGCPTDPRCVLCVKFKVGDGLALAFCKPAPSSDDNILKGKRCLLSSASAYWAVLEKDSSEIEDMIFYCGKFYTIDRYAALSVHNYDANANGFTSETVIVDWFNVFNYNQTRRVSGRSSYQNVANRRVGSRSNYRNCNSLVKSKRGDLLMIKRVFSNNFSRVTESCSIYKLNMSNIDYYYWSEVNNLEDKEALFLGWHDCISVSISDGYPGFKQNHIYFFDNYSPAGKVIRYGVYDLKTRTFLYYSDDNGMDRDAEGYKCCRLFAPSALS >KZM88251 pep chromosome:ASM162521v1:7:26068523:26069140:1 gene:DCAR_025326 transcript:KZM88251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNDKESVKVVYISTPMKVNISASNFRALVQELTGRHSDMSRFDDFHHDSSEKSLECKDDVFVNFDSLEYKNDVFKKTDDNLSEKSCELKNDQSNSSDKSWELKNEQVAVSSISNYSANDTDHENNESVMRPVIHEFQSVGMMEDPCYYDYSPASSESLLDSFDDVVSSQIVEELEQMFPSNLFDYGSCISLENHVLGDVYGRV >KZM88965 pep chromosome:ASM162521v1:7:32654787:32655305:1 gene:DCAR_026040 transcript:KZM88965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRITGATRITDDELKELVLKLQALVPAESSSRSTTRVSSSKILKETCNYIKKLHKEVDDLSTRLTYLLDSMDSNSVDVETLRSLLQQSYI >KZM88518 pep chromosome:ASM162521v1:7:28555989:28556171:1 gene:DCAR_025593 transcript:KZM88518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQQAMKLLEPTSPNQAFNKIRNHIPTVKSSRKSELQSHSKEETAGKANLLTLETRYFE >KZM88704 pep chromosome:ASM162521v1:7:30528827:30529030:1 gene:DCAR_025779 transcript:KZM88704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGCCIGHRRPLARLRAAASLCRLSRHNSALHPSSSATEQPIATNSLPNSPTAINTQSPNPDSSLFR >KZM89328 pep chromosome:ASM162521v1:7:35983294:35986837:1 gene:DCAR_026403 transcript:KZM89328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKHKDKKKHKSHENPQTQLDLDDFEQDNNANNDDNLVETEPKKPKNKNKKKKETLDVKIQTIHAKSDKISPLVGYFPTTFDPFKGSGSSSVDENSEVTVYQNVQRSSRYQVVVKPNGSDVNFVGTNYSGEAAAPQICTYALGVLDKETQTLRIVPITSNKIFRLEPNFGESDTTQDEAQQILKDEVSREERTQNLRRLDTMFSTKKTITKNKKIDSLRQKQDPESKEDLEKSLKDVKVNTEAIDAGGTNVRNIPFHDMSASTPEKAYPLEKIIEKAEWDYLGDLLKITEGAGVTPDTYPIFVCNRVNKLKDIKDEYQRSTVASVLQYITHLIKYKDKHSMDHYRSVKHHKFPSILEGKFRTMFNEPGAKRLAAEKHKFLISHVLVLTLFVDGYKSDTSDIAKDLKISVVDLRKHWAELGCKLVREKSTTFATLPLPLTFPVIRQKKRKR >KZM86583 pep chromosome:ASM162521v1:7:4626319:4627584:-1 gene:DCAR_023717 transcript:KZM86583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRLLSHYRHNPESLSIPPQGPNSGAGEHRHTSYHDATFVPVLNQPLSSKRYYVIEPHGKRKGMAYACSKEEDMTTCCFCRCVKDVKPRPFDPLEIYQQFEIANCDSACSQRGTFYTKSVTHDAFPPGFLRTKGWQISTKTPKNYTLGEALGIDSALRAHLPSLNFPLSHKSSKAVVGNVVMVDAVVPRESVLVGGREAVWNKKNVVDNAIWFKSFGSQGEEVSVGLSLEIVERMKWEEERVGWAGGDEREVRVNRVEEFRGDAEGWKKFGCYVLVESFVLKRMDGSVVMTYDFKHTHQIKCMWE >KZM88143 pep chromosome:ASM162521v1:7:24902166:24903292:1 gene:DCAR_025218 transcript:KZM88143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFLDKAKEYVTEKVAEMKKPEASVTDVDLKDVSRECITYDAKVSVSNPYSTSIPICEISYKLKSAGREIASGTVPDPGSLKGNDTTMLNVGLKVPHSVLLSLAKDIGADWDIDYELGIDFVFDLPVFGNITIPVSSKGEIKLPTFSDLWTK >KZM86293 pep chromosome:ASM162521v1:7:1376596:1377340:1 gene:DCAR_023427 transcript:KZM86293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEATRIGLSNGFGGMLSNLISCVYFVPVDVVSQRLIVQGLGGTKSCNGPVDVVRKVMKAEGFRGMYRGFGLTALTQSPASALWWGSYGAAQHIIWRSLGYRDDLENKPSHMEMVTVQATAGMVAGACSSVITTPIDTI >KZM86495 pep chromosome:ASM162521v1:7:3375029:3382278:1 gene:DCAR_023629 transcript:KZM86495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIIQSDFRSAFQLATAFCNLNRRFSFSRAASLRRPPTSFRSSPLRIRARAFSTNGRDKEYKVSNKPSICTADELHYISVNASDWRLALWRYLPSPQAPKRNHPLLLLSGVGTNAIGYDLSPEASFARHMSGQGYDTWILEVRGAGLSMEASEVQEIEKTAHEKSEQMEDVAETATAGASVSQLEDVAKTAMAGASSASSEPTNAQGDSTMVRIPGTKEEHPMGVPTVFDESKLVTKLTEFFMNLSGRVSGFLSEGHSQIIVAKFFDQISQLYKESFLSEAFNETREKLLRLLETKQDSAVASQIKDLSQKLITIIEESERTVSPPLNNLQERFISTVEEIQRQLELIVKYDWDFDHYLEEDVPAAMEYIKALTKPQDGKLLAVGHSMGGILLYALLSRYGHEERESDLAAIVTLASSLDYRSSKSSLKLLVPLAEPAQALNVPAVPLGALLTMAFPLSSRPPYVLSWLNHMISAEDMMHPKLLKKLILNNFCTIPAKLLLQLASAFRDGGLCDRSGKFFYKDHLHKSNVPVLAIAGDKDIICPIEAVHDTVKLIPKNLVTYKVFGEPEGPHYAHYDLVGGRQAVDQVYPCIANFLNQNDGKFTSNIFLIHGSLSMNPMDTNHLGYFASHGNLSSVYYQGKEDGNMIDLGLSLRTLQPQAYHPSENEDYGDLIDWQELHPQLRTDFEFTRNLIYDSADSTDGAQSKSRCAYVKVNMDGVVVGRKICVLDLSDYSSLAMQLEDMFGPQSLSGLHLFDTTSEFCLFYKDRDEQWRIAGDVPWREFVDSVKRLRIVHKNEVGMSASASFS >KZM87283 pep chromosome:ASM162521v1:7:13716813:13718216:-1 gene:DCAR_024417 transcript:KZM87283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRYPRRTKILPDNTFLRKKTSPVNEELGNNDENSMAEPLVFDFVEESEIIYDSNSRDNDGEDKGTRDDKNVEQESDVDWSSDEIEAISSLFQGRIPQKPGNLHRERPLRLPLPYKIRPLRLPAPKNITLPSRKSVSGQLNKSPNFLIELAREIRRLPPDEDASVVLDNYARFIRKGSLSITIRELGHMDLPDRALQIFCWAQKQPLLFPDDRILASAVEVLARSRDLRMPFNFENFAAMASKNVYQAMISGLIKGGNLKLSWKLLSDARKGNRVLDASIYAKLILELGKNPDKYGLVAELLDKLGEREDLNLIQQDCTAIMKIGIKLEKFEIVESLFNWFKQSGGEPSIVMYTTVVHSRYCNEKYREALALVWEMEASSCLLDLPAYRVVIRLFVALKDVSRAARYFSKLKEAGFSPTYGIYRDMIKVYVESGRLAKFKEVCRGAETAGFKLDKETRSILLQLER >KZM89171 pep chromosome:ASM162521v1:7:34625927:34632418:-1 gene:DCAR_026246 transcript:KZM89171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQNAEDNEYLEGVKPSLEIVVTSRDITATGAPATLLICIGFKSVFLITAQPYIFSNGYQIRFNEGPCPHCNVGYIVPEWVETNPTLSVIESIHGNGTPLPTTTIILPLKPDKVQSVMHQLSNIHPEILLFLTKIKRLSVREDNEDSKLNTVSAISISSETEFMTRKNIDAESYLLNLSADQVGNDDLEKECGYHMWRQRFPVKKDHKVERRMEVEEWVITLAFPRGKRLLRGMQSPGIYVFLPTEMVTNFPFIIQADFILASSRENILIGNKWNRGILDCVSCAFISAFTSLVKDTEAPISTLRHIFGYLPVNEGPCLELNAVRESIRQELLNQSIVPCESYSEQIFFHKPCEVGRILPAFWDILAKAKGKGVALNNISSHGTYILSSLFDKEEYDQILKFMGVELVADEWYAKCIQSSNIVMEVSENVYLELLLFVAENWKSRFHSTFMKNTLLLKYVDIHGNVSLTSVSTVSRFDAGKLFRSTLQSQASWLINWSRLFKSATDHFFIPISTQQAIELLSKKQILLDWLSDQVNVKAVNVSDYAYRLTKLINNDRELAIAYSHFLYQSFLQKYVSDQNVKGICKIMLLLDSYGRMIAQQRKVLLPANGSNWVKLIGSNLWRNEGYVELGKNYLQPATYIGITTAKNELINFLKKFVPAYDIPCISPPNAAIATLSAPLTRNNVFLLLKWIRHLTRNGSNIPQNVLSCIKEGSWLKVSINGRTSYRPPSQSFMFSSEAPLLQQDSEFLDIQVVDMQFYGHEIANYEKELKIAGVMFEVNEACRIKGNQLMSIAASSNLTGDSVLSILKFIKFLRAKLISPAEFIKSIKGGQWLRTSQGDRSPGESVLYNKEWKPASEVSNIPFIDEFYYGTNLNCYKKELELIGVKVKFNGNYQLVGDNLNSSACSSSLTPGALCLILECLRQSKSTDKFVNALKDKECIKTHAGFKTPSECYLLDSERGCLLQVFSCFPLIDENFYGSKILTFKDELEKIGVVVDFGEASKKYESVFRQQASLEFIGKVNVLAILECYKKLSTSNAFPANLKECIREVKWLRTRLGDYRAPANCILFGQCWKSISSISSLPFVDDSDNMYGMSIHEYDEELRSIGVVSSFNDGAHFVVNGLNFPQDLSRITPENVYSLLECVRNYRPKIGNVVVHERFPSTFFEKVSTRWLKSYTGYRTPNKCMLFSPKWAAYLERSDGPFLDEDFYGSTITEYTNELRSLGVIVEIDNGCSLLANYLDFHSNFTAVSRIYNFLIELKWKPNDEDNKNIWIPSGSENGHWVSSEDCVIQDKNGLLGSRLHVLERHYESKLLNFFSITYGVKLNPSIDNYCKIWKTWEASDHQLTHNESCAFWGFVVKNWSSRTAEILTQQLLKLPVYSGSNAIILVNKHDVFIADDLQLKDLFEKSSLGQLFVWYPQPSMKSLPRTRLLEIYSKIGVRNISESVQQKLSEVDTISLRQLNPKELFIGKGLLRLILGFLADIWPNMEADIRHNVVRGLLDVTVLEARKKITMCHTLSLSSGKILTVKAKQMLRWERQISKLFVQKLDKHGGHKNFMEYVSQFSEVVAGGWLWEDEVQMHQLADLIRMGFLVEFNEEAIMYLMKTKNLQVFLEDEELLSSTFPDD >KZM86846 pep chromosome:ASM162521v1:7:7588112:7591262:1 gene:DCAR_023980 transcript:KZM86846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGNRKDDSVVLNSTNVFAALGTLRKKKKSDKEQGSSKSGKSGSKKKQDKNEPEPQVFWAPAPLTTKSWADVDDEDDDDYYATTAPLPVWAGMEGDQTEKAKESLTPVEESESEEEEVDDENEEEHEHEVEVPAEKEPVVEKPVEAPKDTDRQLSKKELKKKELAELEAVLAELGYPTSEASGQEGSRGTAQEKKAENPNADLEKKETNAPSESKNAKKKKKKDKASKEAKEQADQTNGAESGNAKDETAGTEKADLPSGVDVKAIKKVASMKKKKSSKEMDAAAKAAATEAAARSARLAAAKKKEKNHYNQQPVR >KZM87240 pep chromosome:ASM162521v1:7:12981553:12981882:-1 gene:DCAR_024374 transcript:KZM87240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSAPWALSDDVLIPLWLAEQEHWVLGRLCFVDREFHVYSTLNCDGGRDIIVKAATPFVQLLPKYLEATGFYDRTDIDFTADAYSDKLSLDPFGVTLHHFDFTSSSM >KZM89183 pep chromosome:ASM162521v1:7:34722370:34723146:-1 gene:DCAR_026258 transcript:KZM89183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELQLPEPQLNGAAAATLAVTSAENVGAKRQRRPSVRLGDIGGDQSFDSHLNLRRSSKFQWRNQVNRATEGSKTRALLNLSGFEGQETLDGDDREGNLDGVAIGSWKVGDFMSKKRSGGGIKRIRSNWVSKMGDDGGEGDELDKFSDDGGEGFRDVDDSESPRKGISRGDGGGDSMDEVRRQEEENWGNCNGERNGVRVWLNELGLGRYAPVFEIHEVDDEVLPMLTLEDLKDMGINAVGSRRKMFSSIQRLGKNFS >KZM87786 pep chromosome:ASM162521v1:7:20428755:20432557:1 gene:DCAR_024887 transcript:KZM87786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLLDLVGYPCTALYAVLAVIRGHKRSLDVATILQGETRFYCVLMLAWGLVADIDIESEKYRWMGSARMEFYALQRIFHLRTYNGRIYFVPAPGFEAFGDELSGHTVECAKGICARGQNQEGMINIQQHGYRDSNIDLQSLNWRTIEGPFISVWLHNVPWGSEDTMAAPDAKFADGYLDLIIMKTCSKLPLLALMAEMNNGKYVKSPHVLYLKVKAFILEPGSQIADPSKEGIIDSDGEVLARGKGTYNCDQKSLLTYGKLQITVDQGLATLFTPI >KZM89247 pep chromosome:ASM162521v1:7:35351475:35351654:-1 gene:DCAR_026322 transcript:KZM89247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGRATKFDNLKELGLCPLGSYNLCQISNAIYLIRCFPSLRRFLAILVRTKTYMSEAM >KZM89289 pep chromosome:ASM162521v1:7:35721764:35722075:-1 gene:DCAR_026364 transcript:KZM89289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTMDHTQEQRQLYQDSCKESLMIFSRNLKDDQNWDLIGKETCDSEKSSSIGEASMNSNGCSISSSLDTTDDASSSCSSSNSANSSGSLYDLSDLMSQLPIK >KZM88011 pep chromosome:ASM162521v1:7:23272638:23276138:1 gene:DCAR_031534 transcript:KZM88011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAILIDVASGLISRLVSLAADEVIRAWNVHEDLEELCGKLEFINDLLLDASAKKLTMTTVQRFFNKLEDVAHVANVFMNELEYEVTRQKVENHQRKRDFFVPSKNTMLHRFKMAHKIKSILDSFKEILKWGNDLGLQPVAYLNTTVQPSGSNNTSPFQDKALIVGRDKDISYLVQMVCKNHQENLRLIAVIGMGGQGKTTLARMVFNSDIVVNMFPNKIWVTVSHDFDLMNILNQMVESLTSKPSMFRNAQGVINVLQKKLKGKKFLLVLDNVWNEKPENWDELMNSLLGFGGAKGSSILITTRNQKVIDAMRCPISYRLDNLSEQDSWELFKKSAFSQGGVVETKAFAALGRHMVERCGGLPLAINSLASVLRTKKSEQEWLQIQDSETWKSERILPALRFSYNNLPSTSLKRCFAYCSIVPKTSKIYKDDIVQIWMALGFLLPPKGSALLMEDIGNEYLNILLWNSLLQEGDRDKLGDITYYKMHDLVHDLALDVSKHNSATMNDSGVLSHNSKATYVRLDEGYSGTKPANMRRNFEGVQMLYVGARILGNVLPYLKHLTALVVNNDEVTYLLPSSLHKMKYLKHLDISCFHGKLPNHITEFYNLQTLRVGNLEELPKKFCNLINLRHLVITDKNGDPPSCMFTGIEKLTCLQTLPHFVVSRDQNCLLGKLGGLNNLRGKLSLYGLSDVMNREEASAARLCRKSYIHRLLLEWRSIKDDQEDRKYNDEDVMEGLKPHVNLKKLKIVNFEGKKFASWIIMMRNLVEITVIDSERCEEFPPLGHLPKLRKIKISSMENVKVIGSDIWGGVGSSGTEFSESGAPETVTTMYPSLTELILQDLPKLEEWLEPVVSSGSEDQRALLVFPKIEILVILNCPNLRRIPRNCFTFLKELVISDLDSSNMILESVSRTVSSLRYLRLVRISDGKEESSSPPPPNLDSISNKLLTNNSLSLRSLDIHQCEALTCLTLGVALQQLEVCYCPQLATISVAKDSVGLKYLRIASCPSLSEWVFVQSMSSTLVQLVLGPFLAELEEFPWPFSSAAAAVIPFPNLIELNLYGWRNVKSILPSGKIGDRLSSTFPALTELIIQDFKGVKALPESLAKLPCLRDLRIFSCGNLRSLPTFDESSSLQYLEISGCPVLQERCRKEGGSEWFKIQHIPHIEW >KZM87789 pep chromosome:ASM162521v1:7:20479269:20480207:1 gene:DCAR_024890 transcript:KZM87789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLFDSHCHLQDQRISNVVPKLITTALDNGIVYFAVNGTSEKDWPKVKEMSDSYPCILPSFGIHPLWVTKRTPSWFSTLKNYFKANPCAAVGEIGLDKGTRGKKVDFADQIEVFQQQLQLAKDLKRPVSVHCVRAFGDLLPVMKSLGPFPAGVILHSYLGSAEMVPEFAKLGAYFSFSGYLMSLKESKAKKMLKSVPADRILLETDAPDGLPKSDNLESLFYIEKDSSHPEDHNAQVQNAAPVEGSSSDSTCCDAKNSSAVPNETLNHPANIKTVLAYVASLLDVNQEELADITYENASRLFSYEGSKLIL >KZM87354 pep chromosome:ASM162521v1:7:14994652:15007952:-1 gene:DCAR_024488 transcript:KZM87354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSIFQYRKSSWPPEEYVSKATLELLDSDSSALPKYAWRRKLNSHASILKEFSVTFTEAIKMVRLGIRLWSYVREEASQGRNAPIDPFTRVSCKPSASLGVPLGGMGSGSISRGFRGEFRNFQIVPGTCDTSPIMANQFSIFISRDGGSKKYASVLSPGQHENLGQSSYEGLSSWDWNLSGQHSTYHALFPRAWTVYDGEPDPELKISCRQVSPFIPHNYKDSSLPATVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFMSKFSADGSLGACRTSKGNHPVTFAIAACETQDVSVTILPSFGLSEGSYPTAKAMWGKMAQDGCFDKDEFDAGRSMPSSPGDTRCAAVSASAWVEPHGKCTMAFSLAWSSPKVKFRKGKSYHRRYTKYYGTSERAASNLVHDALTNYKQWEEDIERWQNPILNNDRLPEWYKFTLFNELYFLVAGGTVWIDSALPAADIGSDHPQTKKVVKCINDAVDESSKIYGSGCSMEDGSTGDVESTHTGCSDEEDSDKSQGEDYIKYPSHENDIDDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELSIQRDFANAVLLEDKRKVKFLSEGNWGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDLSFGLDVWPAVCAAMEYMEQFDRDNDCLIENDGFPDQTYDAWTVHGVSAYCGCLWVAALQATAAMALQLGDKFAAERYKIKFLKAKSVLEEKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASSALPNLFDDSKIQSSLQKIYDFNVLKVRGGRMGAVNGMHPNGKVDDCCMQSREVWTGVTYAAAATMIYAGMEEQAFTTAEGIFYAGWSEDGFGYAFQTPEGWTMDGHFRSLTYMRPLAXMQWALSFPKAILDAPHVNIMDRIQLSPQIAQSHHAETGVRKIAETGTFFSNSVFQCAC >KZM88574 pep chromosome:ASM162521v1:7:29343097:29345762:-1 gene:DCAR_025649 transcript:KZM88574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPPASSARKHQNNNSNSNSPPTLTPIFNSLLKTNWRKRCNTTRRTPYRFASNNATPLASAPPEQPHFVSTSKNVVLLDWWLTKLQIEGSTSPKAFKFGVGGKAFDGRESTHFFSGEIVKRQDEITLENVEGITIRLDSLLNRFRTLENGFTSQVCDHFFLGFPFDWEEFGAQYFGGQSIHGARSSKGTRTSSDDIDERRCLLSSFDDIPVTRLFDHMMVTSGDYNECSLTRSIFDHILSEYGSSSAELKEEDTDRIPAEDFLVDETKTPLDVSGENNKPLVAGCSSLDKASRDELEHKDDNVILDDVSMGTTNNLLTVHSQSKMDEDVRALSKFVPTRNKTRLETLTSQQQEGLPSNTTTNPDTTSRTSTRQYADTTVTHVASVSQSAIPNAANIKVDRVLDSSSSKNCTTCNHLGKNDSAKRGTMSEMLMNSQELNLFAATLDPGVTAPIPAPEMSRNRIKVPQVDMESRTLNKSGSKKLRRNLNTGSGLLTRSQARGVLTRCRAKLKKLRTDSKDITAEHDVTAEEDAAHFAQTTKISDSCPISEAEKLEKDESRRHVPDKVLEVNNRHLDRPEVRRSGRRRNVVNYRER >KZM86200 pep chromosome:ASM162521v1:7:551622:553745:-1 gene:DCAR_023334 transcript:KZM86200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFSSLTSKASSSLSATIHKFSHQSHKTAQNPSKIAPLAPFSNQNSGKNIPPIPNHVSNVSITPYTGKNSKFKIKPIDHAYMCRILSRKDWFLLLNHELKAQRITLNPQAVISVVQNQDSPLHSLRFYIWVSSKFPLLEKNQSIRGVLGNVLYRKGPVLLSRELIEDVKNSGFCFTESLVCILIGSWGRLGLAKYCGQVFEQVSYLGICLSTRLYNAVIDALVKSNSLDLAYLKFQQMQVDNCRPDRFTYNILIHGVCKAGVVDEAIRLVKQMEGSGYSPNVFTYTILIDGYCNAKKIDEAFGILDKMKKRNVKPNDATYRSLINGAFRSLSPRKAFQLLSYFLEREAKLPDAACEAILLCLSSSSLSVEAAMFLKKSESRGYCPDSSTFSTVVTCLIKGLDLKETCDILDRFVNRNIKLGFNAYLALLEALYKGGKVELGNRYLNQMLEYGFVANVYSYNMLIDCFCKTNMMDKGSRYFRDMSEKGVAPNLVTFNTLISGHCKAGDVSKARELLKMLLQQGLKPDAFTFNSVIDGLCQTYQTEDAFDCFSEMVEWGVTPNSITYNILIRSFCVKGDVSKSMTLLRKMQADGIKPDIFSFNALIQSFCRMNKLQKAQKLLISMLTLDLCPDNFTYCAFIKALCRSNRFDEAKALFLSMEENKCSPDSFTCDTYISALIQASQFEEARRIFRKFEKKGLSLKPLAVF >KZM86858 pep chromosome:ASM162521v1:7:7751552:7752501:-1 gene:DCAR_023992 transcript:KZM86858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPEDPSSVKLESPPPSAPPTADGGVEPSPPARSSQFSITRSWRTEDFYKNGALLLHGMGLLFSFLAFIIMVTNQHGDGRNFDDFEEYRYVVAIAILSTLYTGGHVFLQVYEQSTRKQMFSRRNLALFNFVGDQITAYLLISAGSAAVPVTNSMREGADNIFTDSAAAAISMEFLAFSALAMSALISGYKLSNQSYI >KZM88124 pep chromosome:ASM162521v1:7:24759652:24762878:-1 gene:DCAR_025199 transcript:KZM88124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNKRRDTDLMKLMMSDYKVEMINDGLHEFFVYFHGPSDSPYQGGMWKIRVKLPENYPYKSPSIVFVNKIYHPNVNEKSGAVCLDVIQQSWSPMFDLVNVFEVFIPQLLLYPNPSSPLNRNAAALMIRDQAAYEKQVKEYCEKYAKAEDVGEALKEISSDEEPSDTESNSSDEGMAGSVDPGSVDP >KZM88374 pep chromosome:ASM162521v1:7:27400622:27403564:1 gene:DCAR_025449 transcript:KZM88374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFIVLFGVVLGLCLLSTALLRWNEVKYRKKGLPPGTMGWPLFGETTEFLKQGPAFMKNQRARFGNVFKSHILGCPTIVSMDPELNKYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKNMRGALLALVSPPMIRDTLLPKIDDFMRSHLSSWNGRIIDIQEKTKEMAFMSSLKQIAGLESSSMCQEFMPEFFKLVLGTLSLPINLPNTNYGRGFQARQSIVSMLRKLLEERRASQETHNDMLAHLMNTEENRYKLSDEEIIDQIITILYSGYETVSTTSMMAVKYLHDHPRVLQELRKEHLAIREKKRPEDPINWNDYKSMRFTRAVIFETSRLATIVNGVLRKTTKEMELNGYVIPEGWRIYVYTREINYDSRLYPEPLTFNPWRWLDKSMDAQNYFFIFGGGTRQCPGKELGVAEISTFLHYFVTKYRWEEVGGDKLMKFPRVEAPNGLHIRVSDY >KZM87599 pep chromosome:ASM162521v1:7:18489386:18494350:1 gene:DCAR_024719 transcript:KZM87599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAWLRTQDGAHGDSVWTTTWVPGNEESPALLLTGSLDETVKLWNPEDLSLIRTNSGHCLGVVSVAAHPSGRIAASASIDSFVRVFDVQSNNTIATLEASPSEVWQLKFNPQGTVLAVAGGGSASVKLWNTSEWKLVGTLSIPRPEGVKHSEKSGTKKFVLSVAWSPDGRQLACGSMDGTISVFDVARSKFLHHLEGHCMPVRSLVYSPIDSRVLVSASDDGHVNMYDVEGKTLFVSMSGHSSWVLSVDVSPDGAAIATGSSDKTVRLWDPKMRAAVQSMTNHTDQVWGVMFRPPGGPGVRTVQLASVSDDKSISLYEYS >KZM88054 pep chromosome:ASM162521v1:7:24001831:24001986:-1 gene:DCAR_025129 transcript:KZM88054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGEIGPGHSVDSFLICIGSLSCGGSNNNFASKMFAQALASTSGNISVIQ >KZM89196 pep chromosome:ASM162521v1:7:34895325:34895610:-1 gene:DCAR_026271 transcript:KZM89196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREMINSWKSSMDRYRPALRRANEFYVKSENFDLFIRAAFAATLVMSAWRNHKTIQAQNRH >KZM88696 pep chromosome:ASM162521v1:7:30469849:30475004:1 gene:DCAR_025771 transcript:KZM88696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPSGYFFHNSHPRILLSLGHNYSVPILISHPIHLETSQNPYIKDLVVCRKTRMNVTSEGDPKTPPQLASDTLSRVLVLTKTIPLRVHPILHLFLLGCPFPSLLLSQLNLLICLGLYLSLPLDLKALQEGSIELADFGWNSIDADVLQVESLMIKVRSSGLDIFEFLKSSDQPLPVELSTASLEHCLQKLKHLGLEQTSAVITKATRDQVEGSGPTSDCLVKITDSLSLKSNQELLIEAVALEKLKENAEQAEKNVEVDYLDQLLALVTYMHERLVLLKQSESYNTVQIPADFCCPLSLELMTDPVIVASGQTYERAFIRKWIDLGLTVCPKTRQVLAHTNLIPNYTVKALVAHWCESNGVKLPDPMRSMNLNIPSSLLRHAASGAPRDSQTAPDSAYSSGSPGNNFISSSVTNREGTSPSRPRSSSEDSLSEEAENGHALNIDSLSPRRSENRQANLGDKNLDSGSRTTMSPTGEMPNVSRTNGSLSHGHNRTSSASSTLSNANFSQGIPGDNNEASSLVTAYSIDDSGELTSERQSAATLSSAQREPEFSPRFETRSRTQSIWRRPSDRFVPRIVSSPAVETRAIPTAVEIQIQKMVQDLKSTSMEEQRDATAELRLLAKHNMDNRIVIANCGGIPLLVNLLRSTDATTQENAVTALLNLSINDNNKAAIGNADAIDPLIYVLESGTAEAKENSAATLFSLSVIEDNKVKIGRSGAIQPLVDLLGHGTPRGKKDAATALFNLSIFHENKARIVQAGAVKYLVELMDPAAGMVDKAVAVLSNLATIHEGRAAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSSRFCNMVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRNQRHGNGGRG >KZM86770 pep chromosome:ASM162521v1:7:6694985:6695197:1 gene:DCAR_023904 transcript:KZM86770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNRDPLVVGRVIGDVLDPFTRSISLRVTYNNREVNNGCEFRPSHVVSQPRVEIGGDDLRTFYTLVSC >KZM87025 pep chromosome:ASM162521v1:7:10242399:10242768:1 gene:DCAR_024159 transcript:KZM87025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMSGLVSHEKFLCRLTISSLNLLRVIAEQEGCSIEELNAGRVCDWFLKDKLKREQNLDSAVLQWDESNFQL >KZM86170 pep chromosome:ASM162521v1:7:286469:288617:1 gene:DCAR_023304 transcript:KZM86170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSRTGTRSIAFVLCLAWFFCQFKVGLAKGLHKVPDPSGAHPAAPLGGHQKPPGPLPAGPGAQHKTHGAARLGTIFNVLQFGARSGARQSQSQPFVRTWRAACDFNGPASVVVPPGVFTLAETVFQGPCKGPPPTSITVQIQGTLKAVGDPSEYSDKYWISVEHVNGLLITGSGTIDGSGPSVWKYDDCKGNTNCASLPSSMYFNEVQNAQIKGLRFLDSMGFHMHISNCAEFSIIGVHINAPRDSPNTDGMHISRSSKVKVLRTTIGTGDDCISIGQGAVDVTPSLVKLSDITYEDIRGTSSTPTAVSLSCSPGAACDNLMLNNINLQPKIPTMRLDATCTNAKVKYIGCQFPPPCAPHPP >KZM87248 pep chromosome:ASM162521v1:7:13120664:13122031:-1 gene:DCAR_024382 transcript:KZM87248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLDCKRGIISCFFREKGKESHKLIVCIYRVVDHRNALDTVMKDFKDSSKSFFKIIYEKAASSGYLMVPFIVDQLYGWKLRQIEKISIAGVQSRFYYLSFPGYTYMLQDLFKDFRVKENDTLIFTLNGSKTINCRIYQDNGMEIDYVYRSGRKKGGGSVEWIWNSEPQTATGQVDSLRNATQHGQMPGNAAVNDPTFTAILTSGDLDKKTHGLFIPEYIKPSRGRWIRSHNINFITDKGLWMIGIAQTGKNARFSAGWNSFVRDNMYTAGQHLHFRMVEQADVIEFHISKI >KZM87369 pep chromosome:ASM162521v1:7:15146883:15148399:-1 gene:DCAR_024503 transcript:KZM87369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFSSSGSKSRIDGHVGPVCMEPKGGGSSGLRGGGEGIMLFGVRVVEGASSFRKSASMNNLAQYEHAQDSNSDVVAAGYASDDVVHASGGGRERKRGVAWTEEEHRLFLMGLQRVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNHNRRRRRSSLFDITTQDTYMCNKTEDYPAALPLSRDASMENLTLRQAKFIRPIPKFPIPPSSKMADLSLNNTSTPVQQPEQMLPLNINLSIDSPGSSSSSKQLPATAGQVPNFQEMPGSFSSNNGDNNIISVA >KZM89206 pep chromosome:ASM162521v1:7:34979320:34980345:1 gene:DCAR_026281 transcript:KZM89206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREIIISWKSSFVGYNPALRHAHEVLKRYPEARTVIVSSASISRRLLVVWWIVSIPTLLVTTTILQCGNNIVDKDDEFWERLSSLENHTVGGESVSRGEKEFKKNQ >KZM88024 pep chromosome:ASM162521v1:7:23400299:23401336:1 gene:DCAR_031513 transcript:KZM88024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGIVELLACAGVCKEWRSVTKDHRSTLLQNQKPLALVVSKFAKRGCFLHNIFDGTRYKTLLPNFSSRWLMGFSCGYLIMQSHRNITLKHLITRHEIIFPEVPVLKRHISKAILFYSEKVSENLLIAVNKLYWQVYVSLTSRPEWHWIALIGCRNELVDMAYFDGNILVLNKDAGIGELKLQYQSLNTDGTTLKARQRVKFFNVRINYPAEWELSPFCLVPTSDQLFMVSHVGQTISPPMLVHRLDRARKEWIQVNDLGEDALFISEGSCALVKPAKWGGRSNCIYVLSKLSNELSMYLLNTDNRFWHSSVIQEEGSNLQPHFWYFPHQIDDQNKVHCLEEVMN >KZM88338 pep chromosome:ASM162521v1:7:26942231:26950692:-1 gene:DCAR_025413 transcript:KZM88338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHFSPSMRSVTISSSNGFSDLMKIKVAARHISYRTLFHTILILACLLPFLFILTALVTLEGANKCSSFDCLGRRLGPRFLGRADSSGRLVKDLYKILNQVNSEDIPDGIKLPKSYSQLVSEMKTKKYKAKEFALILKGMMEESDKELKESKFAELLNRHYAASSVPKGIHCLALRLTDEYSSNARARRQLPSPEFLHVLSDNSYYHFVFSSDNILAASVVVNSAVQSSTKPDRIVFHIITDKKTYAGMHSWFALNPVAPAIVEVKGVHQFEWLTRENVPVLEAVENHSGIWNYYHGNHVSGATLKNTTPRKFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLTPLWKIDLGGKVNGAVETCKGEDDWVMSKRLKTYFNFSHPLISKNLDPDECAWAYGMNIFDLRAWRKTDIKDRYHTWLKENLNSNLTMWKLGTLPPALIAFKGHVRPIDSSWHMLGLGYQNKTNIESIKKAAVIHYNGQAKPWLEIGFWKMSGVQDQLEIKFRLADGLDIGPKSFPAATSVSNLKESILAQWPKERGIAPRTVKDLKVISAGRILENSTTVGECRSPLFDIPGGITTMHVLVQPAPPEKEKKAQADSKQNKCVCVIL >KZM87074 pep chromosome:ASM162521v1:7:10759938:10763174:1 gene:DCAR_024208 transcript:KZM87074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSFKEIGPGDAAVKKRTFVELLTCRICDNICKDPVNITECLHIFCNRCITKKIQEEDLNSCPECKVYLGCVPLDKIRPDHNWNSIREALFPSLGKAEQQDDEQEYKESEPSNGEAEQPNSLPGRRKQKSLSSLENKINVSAGSATRIQNPQKLVGRRRKSIARKAFSSAGSAIRIQNPKKSAEAHSQSKKISMDLKNCIEDDKQNSSAVKSSEQQIPPIGREKTQRSDKKVELVNNLLKPLDDIAEAGKVMNINKTFSEGDLSSKVIDISDSEGKSSEKNLKSYNAEGATKSTPPSLPTLRPVRKNRGRPKKAVEPQGLVSAQTIVDAVGSHARGVAPVWLSLVSSVNQEGVEPLPQIPPGYLMIKNGTQSISFINKYLVQKLGLNREDEVEISLWGMRLPHDLKLHQLIDMWSQTMSDSVKFPTVVGDYAEEFVMVLTYGPKHPQQ >KZM88306 pep chromosome:ASM162521v1:7:26652673:26653731:1 gene:DCAR_025381 transcript:KZM88306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDNKKSSQPKASDAAAEPAGENAYASFQGLLALARVTSNNVDESRGARKMCGRVGHLTFQCRNFLSIKDESNDKDSEAIQAAVISGLEKIKGSGRKLFGKSGVEDSNEDSDDESESSDSDYDSEIERAIAQSKKFGKKIVDKVKSSRKKNDDSDDDESDYERRKNKVGSKRSSSKRIYSDSDEDDKDNRKRREKRRRDESSDVDDDNQRQHRKSKKEKRRRRRRSHTSDEDDDNQQQRRKSKKEKWRRRSRKHSDDSDGSEEHTRMCKRKSRRERSVSSPDISSSDDSDLGGRIVKKQSGKRSRKHSHKRD >KZM88109 pep chromosome:ASM162521v1:7:24604377:24604922:-1 gene:DCAR_025184 transcript:KZM88109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNYYLAASAAFLVLFILQAEGCSPSGKIRGRKAPPGQCNQENGSDCCVKGKMYTTYKCSPPVNDNTKATLTLNSFQKNGDGGGPSACDNQYHNDDTPVVALSTGWYKGGSRCLNKIRVSANGRSVVAKVVDECDSTMGCDKEHDYQPPCSNNIVDASKAVWEALGLDQNVGEVDITWTDA >KZM87440 pep chromosome:ASM162521v1:7:16428800:16429108:-1 gene:DCAR_024574 transcript:KZM87440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFGSRKCKRVGCKGLKKALEFDLQLQNEECLRLDGKGVREVDLLPWKGGKESNPDYECLRGELRKMAPPNGRAVLIFRDKCGCPVAKLEGWSVKRGRKHKK >KZM87684 pep chromosome:ASM162521v1:7:19377796:19379870:-1 gene:DCAR_024785 transcript:KZM87684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAMTSSIICNSFILLSLLLCTNSWALTPLTKTSSHSPLNLTSINSLCNSTPHPHLCFDSFKLSISINIPTNIISFLLHSLQSTLSETAKLSDLFSNVNTRTTNIIEKQKGTIQDCKELHEITHAAVQKSVSKISTGKSSDTSSLVDVRAYLSAALTNKNTCLEGLDSASGPSKQALVDSIITTYQHVSNSLSIVSKSKQGRKKQEALGHHNRRLLGLPEWLSDKDRRILQADYEPPTEVVLTVAADGSGNFTTISEAIDFAPNNSIDSRIFIYISQGIYAENIDIPSYKPNIVLLGDGSDVTFITGNRSVGDGWTTFRSATVAVSAEGFLARDITIENTAGPKMHQAVALRINADLTAMYRCTINGYQDTLYSHSFRQFYRECDIYGTIDYIFGNAAVVFQGCNIVSKMPLPGQFTVITAQSRETLDMETGMALQNCSILATEDLYTNSSRVKSYLGRPWKVYSRTVYIESFIDDFISPEGWTKWTDDNGLDTLYYGEYDNNGPGSATDNRVTWPGYHIMDYYDASNFTVSDFIAGEEWLDSTSFPYDDGV >KZM88078 pep chromosome:ASM162521v1:7:24179871:24181042:-1 gene:DCAR_025153 transcript:KZM88078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTMERVVEENGKMRGVEYTKDGTVDLKGNPVLRSNTGRWRATSFIVGYEAFERMAFYGISTNLVLYLTRKLHEGTVKSSKNFTNWVGTVWLTPILGAYFADAHLGRYWTFIISAFIYLGGMSSLTLVVSLKSLRPPSCGDSIKDMDCDKQASPFQVGIFYCALYIIALGTGGTKPNISTMGADQFDEFEPTEKTQKISFFKWWVFSIFFGTLFASTFLVYIQDHAGWGLGYGLPTIGLFLSILVFLAGSRYYRHQPASGSPLTKMARVLIATIRKWNVVVPDDSKELHELNLDVLLNIWED >KZM87994 pep chromosome:ASM162521v1:7:23060821:23064465:1 gene:DCAR_025095 transcript:KZM87994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLFLILAFWSLFVSPIVINGYSPKEIIQMCSKTPNPKPCEYFFTNNPNYGPIDNDQDFLKASLKLALDKAMQADQTAKSLGSKCHNKLETAAWDDCLKLYDYTIKRINKTVDPSKKCSQLETQTWLSTALTNLETCRMGFIELGVGNNVLPLMDNNVSYLISNSLAMNKGNLTYKPTYKGKFPTWVSPGDRKLLQASSPGAGANVVVAQDGSGNYKTVAAAVAAAKSGSGRFVIYVKAGTYNENVVISASNIMLVGDGIGKTVITGSRSVDGGSTTFNSATVDGSRVQESYGTTVEGDAFIGRGITFRNTAGGQNHQAVALRSGSDLSVFYQCSFEGYQDTLYVHSERQFYRDCDIYGTVDFIFGNAAVVLQNCNIRPRKPPNGTNTITAQGRTDPNQNTGIVIHNSRISPASELASVQGSVKTYLGRPWKQYSRTIIMKTAIDGFVRPEGWMPWSGNFALDTLFYAEYANTGAGASTANRVTWKGYRVFTSATQASPFTVGNFIAGNSWLPGTNVPFTAGL >KZM88769 pep chromosome:ASM162521v1:7:31166466:31172274:-1 gene:DCAR_025844 transcript:KZM88769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGNGNAMGRNGLPVIQTRPNGICHDDSSAPVKANTIDELHSLQKKKSAPTTPLTGISSDGTAFSFVSEEERKEKQLQSISNSLASLTRETGPKVVKGDPAKRTGETPKVSHHHHFTVTPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSSGALATLSGAKTGRSPKDKRVVRDESTEDDLWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLGRREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKSGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVEFEEKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNMAQTMYHFISGYTALVAGTVEGVKEPQATFSACYGAAFIMLHPTKYAAMLATKMEKHGATGWLVNTGWSGGSYGMGKRMKLAYTRKIIDAIHSGSLLDATYKKTDVFGLDIPTEVEGVPSEILDPVNTWSDKKAYKETLLKLAGLFKKNFEVFTSHKIGKDNKLTEEILAAGPVF >KZM87332 pep chromosome:ASM162521v1:7:14628172:14628984:1 gene:DCAR_024466 transcript:KZM87332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTPPTTAKKASHGYSINISAPPTMPFTILVVIVKESLYFTHLHHFTHMGALKASWLQSRKAVQHYPSTSTSSHANSGLLLYMYSCFLKVCTLTPSLTPSNLNHLLTKIFSPTRIWLLRQRDDDYYSLRYTDPKEYFAGVRREWAFRREESDHLRNDLIGLSAKLPVRDSLIVYPARNFNGSWGEYHHKVIEAVELIREENHRMLLHRCRFYMLQLAKDSATANGREMTFEEECQLLQNPHYISDDHMSDEESTDDDDPIDKYEFVFI >KZM86335 pep chromosome:ASM162521v1:7:1778254:1778463:-1 gene:DCAR_023469 transcript:KZM86335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIGVYCEPWILVEQGKKKLRSLFWRAKAQVKRQIMWRKMEKQRFSFHYDSFSYSLNFDNGDFGFFC >KZM88452 pep chromosome:ASM162521v1:7:28013246:28021994:1 gene:DCAR_025527 transcript:KZM88452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSRKREQQVNEESINRGLSVRYFKSGSSKWLGSSILRAPSDIKLRNGKCPSLMELCIYNICEDMDQYKTLSMLPRDINQQIINELIRSQRLTELTLEAFRDCDLQDLDFGEYPGFGDSWMDVVASQEASLLSLDISGSDVTDSGLMNLKDCKNLQALNLNYCDQISDHGLECIRYHSNLTTLSFRRNNCFTAKGMSALSGLVNLSKLDLERCPGIHGGLVYLKGLSKLESLNINCCNCITDADMKPLAGITSLKELQISSSKVTDYGVTFLKALNKLTLLNMEGCPVTAACLESLSALTTLLYLNLSRCNLTDDGCEEFSRLQTLKVLNLGFNEISDAILVHLKGLKKLESLNLDSCRIGDEELYNLSGLQHLKCLELSDTEVGSNGLHHLSGLTNLESLNLSFTVVTDAGLKKLSGLSFLKSLNLDARQITDAGLAALTSLTGLTHLDLFCARITDSGTNYLRSFKNLRSLEVCGGGLTDAGVKNIKDLSSLTMLNLSQNHHLTDRTLELISGLQHLKCLELSDTEVGSNGLHHLSGLTNLESLNLSFTVVTDAGLKKLSGLSFLKSLNLDARQITDAGLAALTSLTGLTHLDLFCARITDSGTNYLRSFKNLRSLEVCGGGLTDAGVKNIKDLSSLTMLNLSQNHHLTDRTLELISGLTDLVSLNVSSSRITAGGLNHLKPLKNLRSLTLESCKLNASDIKKLQASDLPNLVSFRPE >KZM87385 pep chromosome:ASM162521v1:7:15384368:15384595:-1 gene:DCAR_024519 transcript:KZM87385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHNPWADQPQNVKPENVKHGEGGSKKLTRKMSENFEKTKAAASNGMTKVKRSATGGVNWIKVKYAAKKQDPKN >KZM87361 pep chromosome:ASM162521v1:7:15070955:15075501:-1 gene:DCAR_024495 transcript:KZM87361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSGVQVLGTAYSPYANRVQIALNLKSVEYEYIEQNLSSKSDLLIRSNPVNKKVPVLIHGDKCISESLVILQYIDETWTGNGYSILPCDAYDRSVARFWVAYFDDKYIGKNMSTMIKVGPPFHPAGSTWDNKGKTDIAQIFVSCSRYRINFMYFVYAEDGGNRLVLSKFGEDKTSHSMETVTFDYPSEYITRVRGQYTDAVSPYDRYLWSITFYTNKGTYGPYVPTESINDGKFVDFNYQVGGKLCGFFGSYLGNGIETIGFYVEPQEKLAKQPGKLVSVDEIEEPPTIY >KZM87462 pep chromosome:ASM162521v1:7:16611867:16615406:1 gene:DCAR_024596 transcript:KZM87462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLILHVCETIFICLLCFPCKSCCQDGEFEVCKRDKYCGNQTIRFPFYTQDIPQYCGFPGYELTCINNVLLLNLSGDQYRITQVFYANNSFHLSNVLSSRSGFCSLSSIHNFSLPSDHRYELHGTSNLILLSNCPSEFGEVFPKNKVGCDLGRNGSDWVLAIKAKDSNSNYTYEACNVAVAPVHTYDEADDSTDYLKLIRNGFDLKWTVTGCRECEDSGGYCGYEGEPVNEFKCLCDDVPQSGSCKPKALSKGKLGFKVGLDEKFCPKISDFGLAKLCLGEESIISMQDMRGTPGYIAPEIFSRNFGGVSHKSDVYSYGMMILEMIGAKNNINEEVDNCSSKYFPDWIYDRLELNADQIELADISDEVEEESRRKMLIVGLWCIQTHPSDRPSIKRVLEMLEGNVKSLRIPTRPLLKSIEEPLKTFSTT >KZM87479 pep chromosome:ASM162521v1:7:16974323:16977204:1 gene:DCAR_024613 transcript:KZM87479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMSMIGNSQASLGSCNSEINRLFNLKNVEKCSKKLRIGFGGRFRLINCPKKLSLRVSASKQPVPVLPEKFSGEESVNSKPKDGVKLFVGLPLDSVSDCNTVNHAKAIAAGLKALKLLGVEGVELPIWWGIAERETMGKYEWSGYLALAEMVQKVGLKLHVSLCFHSSKESKIPLPKWVSQIGEVEPNIFFTDRSGHRYKECLSLAVDDLPVLNGRTPTQVYKDFFENFKASFSPFLGSTITGISIGLGPDGELRYPSYDQQSKNSNIRGAGEFQCYDINMISNLKPQAEALGNPLWGLSGPHDAPSYDDSPILNNFFKDQGGSWETAYGDFFLSWYSSQLISHGDRLLSLASSTFSDSSVTISGKVPLMHSWYKTRSHSSELTAGYYNTDKRNGYEEVVQMFSRNSSKIILPGMDLSDDYQSNQTHSSPESLVEQIKTTSRKCGVEISGQNLMVSGPPKGFEQIKKNLRGDQAVDLFTYQRMGSEFFSPDHFPSFTAFIRSLNEQEWLLDDLPTNEEESRSRKNLQKQTA >KZM88466 pep chromosome:ASM162521v1:7:28151441:28154445:-1 gene:DCAR_025541 transcript:KZM88466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEKKLKLSTNSNSTLLPISSLYTFILHTICGLGLALAYWVAHNVYSVSLVNDPTQTLRLIWVIEAPIVILLFSGFRQHPNQCSYIKAVGRGLLGLPAGAIVNALGAIALGAPVGIQHFSKTANWSILMSVFTIVPTASVFGSSWIEWRRIFAHAKPNGPIDLLICLPAHGAVIGAWFGAWPMPLDWERPWQEWPICVSFGAMAGYMVGIVASFSISLFQKHLKKE >KZM88399 pep chromosome:ASM162521v1:7:27602360:27602734:1 gene:DCAR_025474 transcript:KZM88399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLSFVSPSPFLHSSVAGKPTAVAVSRRNLSTPASSNAWWTSLFGWSSDPDYIHNVGSGSEDPNAGESGAPPKQTGSSRFSLGCFTEEKAKQMRMKTAEGANFHEMYHSAIASRLASDVSDR >KZM87986 pep chromosome:ASM162521v1:7:22953096:22955817:-1 gene:DCAR_025087 transcript:KZM87986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNVLAVDDDTTCLLILKACLEKWNYQVTVVKHAHEALSMLGNKPFDMVISDVHMPDMNGLKLQERINQDFGLPVIYTRAEVMCMGIKNGAQRFFVKPIVAEDLKDIWQFAEWWKRNRNNNIAPCTQINESSEESLVGSHKNNDNTTGRWRNRLVWTCELHSRFVEAILVIGYDRAVPANILGVMNVVGLTRRQVASHLQKYQQFLEGVLAGEKNIEFSNWTDLNYYSRFVSGNPNIILLNQLKAEQRKGNSSASQNPLRPHKEGNLNTTARARNGSLSSFPRLPQLTIGEELTRSTIYATLPQKTDSSKNVASSGLGNSTLPKLGNKHGEPSMDISSCANQFGNTSAMNAPELGGEKMTDGSWFNNTGREDGNDYLLNVEDEDDEDEDLNPQRNSERDL >KZM88273 pep chromosome:ASM162521v1:7:26379263:26380621:1 gene:DCAR_025348 transcript:KZM88273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLERCFGQRRSRQLHRILRNGKLTLLCLVLTVVVLRGNLGAGKFGTPEQDLMEIRETFSHYRKRAEPRRVLEEVASGEEEKVSNNYAEFDINKLFVDEESEEKKDPNLEYSLGPKISDWDEQRGEWLKRNPNFPNFVQPNKPRVLLVTGSAPKPCENPVGDHYLLKAIKNKIDYCRLHGIEVFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEIPWKRYEDRNLVMHGWNEMVYDEKNWIGLNTGSFLLRNCQWSLDMLDAWAPMGPKGKIRDEAGKILTRELKGRPVFEADDQSAMVYLLVSQRDKWAEKVYLENHYYLHGYWGILVDHYEEYMKDYHPGFGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRTRNETSTPLEDKDELGLLHPAFKAVKVSSA >KZM87413 pep chromosome:ASM162521v1:7:16133463:16134536:1 gene:DCAR_024547 transcript:KZM87413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEKMNKVVVDEEKRDIGVGASRKVSNSELFIRFMALLLSLAAALVLAFDKQTEIIPVTLVSTLPPLYVPATAKFHYLSAFTYFVVANAVACAYGAVSFIVTLAKRGSNKRGLANWIIMFDLIMVALLASGSGAAAAVGVLGYNGNEHVRWNKVCNVFGKFCNLVKVSVGFSLLGLLLFMFLVMIAVVRKR >KZM87713 pep chromosome:ASM162521v1:7:19646500:19655487:1 gene:DCAR_024814 transcript:KZM87713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHNTHLLLIPKPKAPSIFTKNTHTSTYTYTYNFQFFKPYVNLLSSSSNSSHISRVSTAPVEHAPSPSDFDFSKEIARLKALRSTLSNSTNLEQKVKVIDRDSRVKSFFRTHSDDVFGLLEEHELFLIKCVVAVGQEHLLKSDELEFEFESKRSSLKSALYGLAEMIENWDTNEGVVKNVSSGERIDDDQVKALQSLVRNLGEVEQFYDCIGGIIGYQIMVLELLAQSTYTEQGISLSHRKSKSTESQILEINPPNVLDLSKDTEYAFQAALWGIEGLPDLGEIYPLGGSADRLGLVDPVTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKLYAKQCVSPVAIMTSSAKNNHKHITSLCEKLRWFGRGRSRFKLFEQPLVPAVGAEDGKWLVAGKYFPVCKPGGHGAIWKLAHDKGVFQHFRDHGRKGATVRQVSNVVAATDLTLLALAGIGLRHNKKLGFASCERNPGATEGINVLVEKKNHDGKWEYGISCIEYTEFDKFGISNGPISKHSLQAEFPANTNILYVDLHSAELIGSSNTETSLPGMLLNVKKPITYMDQCGIHHRVYGGRLECTMQNIADNFVNMYPSRCYKGVEDLLDTFIVYNDRRKVTSSAKRKRKHADKSLHQTPDGSLLDIIRNSYDLLSRCDMKIPEIGDNDKYADSGPPYLIFLHPALGPLWEVTRQKFSGGSITKGSELQIEVAEFFWKDVQLFADLLLPTFTGSSFQLDGSLLVIAENVMGSTKTDQDGEPLLQYGHRCGRCKLENVKVVNDGIDWNSSDNIYWKHEVQRFEALKVILHGNAEFEAVDVILQGNHTFDVPSGYRMKVSSANSGY >KZM87848 pep chromosome:ASM162521v1:7:21178373:21181887:-1 gene:DCAR_024949 transcript:KZM87848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLSTAAAASVTVAVDGFRPPFTAVQWQELEHQALIYKYLMAGLSVPPELVAPIRMSLEALPSRFFHHSALGYCSYYGKKFDPEPGRCRRTDGKKWRCAKDAYPDSKYCERHMNRGRNRSRKPVESQSTSQSLSTATSQMLSGVSTTGGSFQGRGSGSSYNQPLYPIANTENLSYGTSKFQMEPSTYGYNNKEYRYLQGVTPNADEYNFLSEASNSAKGLGMDSNENTWRLMPPQVHSNPLLKPRNDSYLQGDSTQLHLPQANELGAAMSKQQQQYCFFGNNVDSLGTLKQEPNSKRPFFNDDDGCNKNSFSTTQLSISIPMGSSEYLSPSVCTPDECRFSHFRASRGKEKVLHSN >KZM88107 pep chromosome:ASM162521v1:7:24579628:24581628:1 gene:DCAR_025182 transcript:KZM88107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSMIIASVPTLLLLVLAACSILVVRIFSGKSIGSKNYYPVAGTIYGLAFHWNRLHDYLGEVAKRHGTFRLLVANHSEIYTTDVRLIEHILKTNFEKYPKGQYNHEIETELFGQGIFSVNGAKWRQQRKLASHEFSTRVLRDFSCKIFRKKAANLVSTVSEFATASENFDVHDLLMKFTLDSIFKVGFGVDLGSLEGSTKAISFIKAFDDSNALTFHRHIDPVWKLKRFLNIGSEASLKKNIKIIQDFVQKLITKKREQTEKRVNFSDKEDILSRFLVESKKDPEMNDQYLRDIILNFMIAGKDTTGNTLSWFLYVLCQNPLIQEKLVQEIRTVIGDQGDRASVDDFLANVTDEILEKMHYLHAALTETLRLYPAVPLDGRCADKDDTLPDGHKLKKGDSVYYLAYAMGRSHDIWGEDAEEFRPERWLVDGTFKPESPFKFISFHAGPRICLGKDFAYRQMKIVSIALLHHFRFKLADDERNKNVTYRTMMTLQIEAGLHLRAQTRAI >KZM89195 pep chromosome:ASM162521v1:7:34868341:34872323:-1 gene:DCAR_026270 transcript:KZM89195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVAGISFAAVICYFGEKVLGRNKPDTRQQVIVSCAAAGVSFVAAICYFGEIVVGRNKPDVSFEIQQTKLEVQALKQQVDRLVVMFDASPPASPSFTAITPASPAAAPPSAATAAPPS >KZM86263 pep chromosome:ASM162521v1:7:1079653:1088376:1 gene:DCAR_023397 transcript:KZM86263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAVRMAKTVSFKRSSSSFDRGSVASEEDEKELEWAAIERLPTMRRLRMSVIEDDEDGQNRVVDVAKLGPGEKRVFIHKLINHVQTDNARLLLKMKQRMDKVGIKLPTVEVRYKNLCVEAKCEVVQGEPLPTLWNSFKSIFAISYCRAPEAKVGIIKGISGIIKPSRMTLLLGPPGCGKTTLLKALAGKLDKSLKVSFPCKSVLFQFLAISVSGLERTLQTDYTMKILGLDVCADTPVGDAIRRGISGGQKRRLATGEMIVSPKRVLFMDEISNGLDTSTTFQIISCLQQLVHITNVTALISLLQPAPETFDLFDDIILYAEGKVVYHGPRVDTLEFFHACGFQCPERKGVADFLQELIIIAIITMTVFLRTRMTVDSEHSTYIMGSLFYGIIRILTNGMGELNMTVTRLPVFYKERALSFYPSWAYAITAFLLRIPFSLVESFVWTALTYYVIGYSPEVERFFCQFLLFFTLHQASVSLFRMLASICQTEVAATFSCNLLVLIMLLFGGFIIPQHSLPGWLQWGFWTSMVSYAEIGISVNEFLAPRWAKVTSGNSTIGHETLSSHGLNFKGYTYWISLGALLGFTFLLNVGFTLALTYKKSPGASQAVISYEKLQQLQEDQCNDKDDNNERSTTTTPQLHNISIEVIQEGSKVVLPFEPLTLTFENVRYYVETPAEMRKTGIYQETRLQLLHDMTGAFKPGVLTALMGESGAGKTTLMDILSGRKDSKHIEGVIRVGGFPKVQQTFARISGYCEQSDIHSPQITVEESVLFSSWLRLPSHISATTRTLILMKKGGQIVYSGPLGQHSCKLIDYFESIPGVPVIKKNYNPATWMLEITMGSIEEQLGLDFAQVYKESQLYKENIEQIKVLREPQGSSRELHFPTQFPRNGWEQYKACLWKQNLSYWRSPSYNFKCLSFATVSAVLMGVVLWQKGTKIQDQQDLFNILSAVFIVLQVIGTNNCSSVIAIVASERSVLYREMFSGMYSSYAYSFAQVCIEIPYLLVQALVYSTITYSAMGFYWSISKVVWYFYVSFFSLLYFSYQGMLIVSLSPDMKVASILAVATYTILSLFSGFLIPGPAIPKWWVWLYYITPTSWFLNGIITPQYGDIKQEISAFGERKALTSFLEDYFGFHHDRIGLVAVIVAIYPILCAFLFAYFIGKLKFQRR >KZM86520 pep chromosome:ASM162521v1:7:3697889:3698065:1 gene:DCAR_023654 transcript:KZM86520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRVFSDDNLKTITWDEVPDLYWLASLKDDTEVPTIEVLAVPDGSEVPDYRSKHL >KZM87645 pep chromosome:ASM162521v1:7:18878217:18881230:-1 gene:DCAR_024750 transcript:KZM87645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSNVEEPKSWDELYTLDLMPSELFLKFRKEIQGFRVGVNMEFYNAPSNEFESKLVLKPLANDRQWKFMYAPLHHDVRLMSKKIPVTNFLNLQVGVGHSFGLNSTGLNWKLSTCLGGDGLSRIRNKTSLGLCPGVDLRFAWKADYVLPEFDGALGTGKPLFNMNSGSLHASLDRVELILTHTTEYVLNNQVPPINWISSKLYDE >KZM88221 pep chromosome:ASM162521v1:7:25650621:25650875:1 gene:DCAR_025296 transcript:KZM88221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSTSWPCHNPKSLNPSVEFTLSLPVPSTGRIDFIDTIGIYCRILDHMLFMNPHVHSLNAQSSVHILKTNQLLNTRGSCSTAI >KZM86222 pep chromosome:ASM162521v1:7:736384:736614:1 gene:DCAR_023356 transcript:KZM86222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTAGLEQESRIYGHAVFIQSWEIKNGIWEWGYLNSRGRKWGLKPYKSSGTSGHGNSAHTMVQILLDCQLFKDMS >KZM88740 pep chromosome:ASM162521v1:7:30915848:30917053:-1 gene:DCAR_025815 transcript:KZM88740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHGSRLLTQKDMKDSPKKLSEFEKLVEVCRVARDLYYQENSALIKKDINSIGCSVFNQVGQVYDKSWGRKHVQEDGVLFSETEILVDSTSCTSREEMLKRSKTKRNNIGSVCCSVLDHGGQVYDKSWGKKPIQEDAAMFCKDEILDGLTHGNSCVEMFKGSKMERGESSSARFCKLKIKIRGDNHDYVEGKKVGKWNDYNGDFLNKKSDLVQGEVFSCVRKGKRSRKFFDSFEDDQDYDYCFEQKKKPRVRSICNARQKVVSVTSPLPVEFKNKIQEIRGTDIKLMIQKYLFSTDMDDNQNRFSIPMKQIREDFLTDEEENILDQRIKNNHVMPMVVPLIEPNLEKTQINFRKWAVNSSYVLSSPWNDIRDRNALKVNMEMQLWSFRVDGALNLALVKV >KZM87043 pep chromosome:ASM162521v1:7:10344634:10349605:1 gene:DCAR_024177 transcript:KZM87043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKTIKPCWLYSGIDISPFTLSRNFSSSSSSTKFDYTDLTRPDTWYPNARRKKRNVILHVGPTNSGKTYNALKRLECSSSGIYCGPLRLLAWEVAKRLNKAKVPCDLITGQEKDLVAGAKHKAVTVEMADVTSDFKCAVIDEIQASDQINSSMIGCTIRGSSFTRALLGLPADELHLCGDAAAVPLVQELLKVTGDNVKVEHYERLSPLVPLNVPLGSFSNIRTGDCIVTFSRREIYNLKKEIESSGKHLCSVVYGSLPPLTRTKQATRFNDASSDFDVLVASDAIGMGLNLNISRIIFSTMKKFDGTEKRELTVSEIKQIAVLCHVESGKREKGVPSEGVAPCVEETD >KZM87506 pep chromosome:ASM162521v1:7:17537236:17542447:-1 gene:DCAR_024640 transcript:KZM87506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSLKKLRGLASTAIPKHGRHHHHLRQPLSKLDDVTQASQDMQDMRDCYDSLLSAAAATANSAYEFSESLREMGDCLLEKTALNDDEESGKVLLMLGKVQFIIQNLVDRYRSHIFQTITIPSESLLNELRTVEEMKKQCDEKRMIYEEMIPKSRGKGRSKSSKGDGFSVRELQAAQDDYDEDASMFVFRMKSLKGGQSRSLLTQASRHHAAQMSFFRKASKSLEAIDPQLDEVDITFPKAPTADVKENPKRKPVDSSLLRRNLDPSSKSAPLFIGKDSDPAERYVPIRQASSRKFSTYVLPTPDETKCQVSSRSDAQTPQGRVSVSGGTSSPWHSSPLEHKKVLGNENSSPVLSNMQSVLKDSNSNIRPSRSPPHLAEGLSSHIDRHGDTKKVRRQAFSGPLMGKSWSSRANTSASGPIASTGNALLFSGSLMRTPLPKPTSSPVLSSGVSPTFTSSPKISELHELPRPPAHIKTKGTSNISHSSPLLPKGQELSGTNKLTATNASTLPKPPQTFNRSYSIPSRGQREKESHAPKNLESPNFKMTEDISSPPVKPISLSNT >KZM88488 pep chromosome:ASM162521v1:7:28272185:28272691:1 gene:DCAR_025563 transcript:KZM88488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPWFSKGFTRSISCPILDDQVEDGQEIARTKSPPPFYNAFEFISSMSSGFDLSNLFENKRKSGSLFTSKCSGSTIMATLETVAKTFNFRVVWSKGYKLKMEGESEGRKGKLAVTAEVYEVAPEVAVVEFSKAAGDTLEYKKFCEEDARPALKDIVWSWQGENNCQS >KZM86403 pep chromosome:ASM162521v1:7:2520645:2522591:-1 gene:DCAR_023537 transcript:KZM86403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNNNTVVSTALCSSSDAFTNSSENGVSNRRKRRPAGTPVVLHCYSFFIINHNMIIPVESFIEHQDACTIRVQPDHLQVMLQQKQPPQACSSRTASSASPSSENNLSRMSNVLRSFPLPAPPQVLQQQTVRDHMRNVAPQHDHDYPSHPNLELQLLPLSSNNTPKTCQVFKANRDENHVIDLNLSVGTNEFDASELAFRTEEQLKMAKREQAFAEEARKQAKRQIGLAEMEFANARRIRQQAQAEFEKAHFLKEQATKKISSTMLEITCQACKQKYHATKTTGGAMYSTIADETSLGMSYMSNSAITEGEGE >KZM88606 pep chromosome:ASM162521v1:7:29665318:29674028:1 gene:DCAR_025681 transcript:KZM88606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLLPLLTPHRRVHPLSLLYLHLRSAHFKPHKPQPAPSPPTPPKPPKKPETFTLHDQTWEDQYSWMSNLNDKVAMRHMDVYMEQEEKYTEAVMSDTLRLQSKLQSEMASRMEFHLSTLPVRWGPWLYYRRVEEGKQYPVLCRRLVSKNEEFISNKSPSAGFDFTSGRRIEEKLLDYNKEAERFGGYAYEELSEVSPDHRYLAYTMYDKDNDYFKLSVRDMNFGSNCNNPQADRVSGLAWAMDGKALLYVVTDDELRPYKVYCNILGTNEDVLIYKETEGNVHINIRHTKDFRFISVNTFSAAYSKVFLINAADPLSGLNLVWECATQAHCIVEHHQGYLYLFTDSTREGHLADHHYLLRTSIDSSSGPKTWENVLLDDPELTIEDVDFSDSHLVLVVREGRNLKLCSVDLPLPCGKGAVHFKELNPRYLSLPNHVCQISPGPNYDYYSLTMRFTISSPVMPDAVVDYELSSGTWNIIQQQDLLHERKRVLYGSASSVGSPEIPSFSPDDLDSDQNNLWNSLSEYYACEQYEVPSHDKVLVSLTVVYSHNRMKQGQNPGLLHGHGAYGELLDKRWRSELKSLLDRGWVIAYADVRGGGGGGKKWHQDGKCTKKYNSISDYISCAKFLIEREIVQEDKLAGWGYSAGGFLVASAINCSPHLFRAAILKVPFLDPRNTLFKPVLPLTAADFEEFGYPDDVEDFDAIRRYSPYDNIPKGVLYPAVLVTSSFNTRFGVWEAAKWVARVREDTIYDPKRPILLNLFTDIVEENKYLQCKESALETAFLLKNWLDKDTETDTK >KZM88555 pep chromosome:ASM162521v1:7:29169340:29176509:1 gene:DCAR_025630 transcript:KZM88555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGASGWLKGKVKAVPSGDSLVIMGNTKAEIPPEKTITLSSLVAPRLARKNSDDEPFAWESREFLRKLCIGQEVIFKVDYEVPAIGREFGSVFIGDKNVACLVVASGWAKVREVREQSQQKAEVSPFVAELLRLEEQAKQQGVGRWSKVPGASEAAIRKLPPSAVGDPSNLDAMSLLAANKGRPMEAIVEQVRDGSSLRVFLLPDFQFVQVFVAGIQAPSMGRRAVQDPIPEPEMTAAADENGNASTKSRGPLTSAQRLAASPASSNEVTPELFGREGKHFTEIRVLHRDVRIVLEGVDKFSNLIGSIYYPDGDVARDLAMELVEHGLAKYVEWSASMLDDEAKRKLKNAELEAKKSRLRMWTSYVPPVSNSKAIHDQNFSGKVIEVVSGDCIVVADDALPFGSPLAERRVNLSSIRCPRLGNPRKDEKSPPYAREAKDLLRTRLIGRQVHVSMEYSRKVNLAEGPGAPTGTTDRVMDFGSVFLPKDGEAPPSAGSQPTGINIAELIISRGFGEVIKHRDLEVRSNYYDSLLAAESRAKAGRKGMYSGKDAPVMHVNDFITASSKKAKDFLPFLQRNRRMTAVVEYVFSGHRFKLYIPKETCSIAFSISGVRCPGRDEPYSSEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRSNVALILLEAGLARLQTSFGTDRIPDIHLLVQAEQSAKKQKLKIWENYVEGEEVSNGPTSERKKEEFKVVVTEVLGGGKFYVQPADQKVASIQQQLASLTLQEAPLIGAFNPKKGDLVLAQFSADNSWNRAMIVNAPRGVVESPKDKFEVFYIDYGNQEMVSFSQLRPVDSSVSSSPGLAQLCCLAYVKVPSLEEDYGQEAAFSLSEHTLSGPKEFKAVVVERDASGGKVKGQGTGTVLMVTLIDEEANESINSRMLKEGLARLEKRRRWEPVERKQVIDELEKDQEEARTKRLGMWEYGDIMSDDEDSAPVKKPSGGRSAGSQPTGINIAELIISRGFGEVIKHRDLEVRSNYYDSLLAAESRAKAGRKGMYSGKDAPVMHVNDFITASSKKAKDFLPFLQRNRRMTAVVEYVFSGHRFKLYIPKETCSIAFSISGVRCPGRDEPYSSEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRSNVALILLEAGLARLQTSFGTDRIPDIHLLVQAEQSAKKQKLKIWENYVEGEEVSNGPTSERKKEEFKVVVTEVLGGGKFYVQPADQKVASIQQQLASLTLQEAPLIGAFNPKKGDLVLAQFSADNSWNRAMIVNAPRGVVESPKDKFEVFYIDYGNQEMVSFSQLRPVDSSVSSSPGLAQLCCLAYVKVPSLEEDYGQEAAFSLSEHTLSGPKEFKAVVVERDASGGKVKGQGTGTVLMVTLIDEEANESINSRMLKEGLARLEKRRRWEPVERKQVIDELEKDQEEARTKRLGMWEYGDIMSDDEDSAPVKKPSGGRR >KZM88597 pep chromosome:ASM162521v1:7:29568927:29569737:1 gene:DCAR_025672 transcript:KZM88597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFENWVVREGKERRRQWAQKLTPRPSRPDKSIQNVIEMPQESEDNKDMLPDNIVQELAAQEKKVFLSDSEEENAEKRHTSRKKKPKSSGFGPVILSDIPPPLCLQNSLEFLKKRKSQVPRSYAVLNNSSQALRFISTKLLNKK >KZM88027 pep chromosome:ASM162521v1:7:23571251:23578531:-1 gene:DCAR_031510 transcript:KZM88027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSDYPFAKSYGDPRNFPGHEEVLKFLNEFWVEFGLTELTRLGTEVVRVEAVDDSGYCEWIVESRKGELSEVEVFDGVVICNGHHTVPRVAAIRDCIGSAFNVKGASTVHSGLAEGVDQHNFRSGVISILTCEVPAVHTNAIDYVDESGRVAFVDGSSVCADIIFHCTGYMFSFPFLRTNGIVTVDDNRVGPLYKHVFSPEIGSRLSFVGIPCNITLFPMMELQSKWISCVLSSKVVLPSKEAMLADTNEHYRSLEERGIPKHSTHSLATTAFQYLDWLASQVKVQPVEERLKNIYKQLVSVFFSSEGDQIREWDVDSWVNGNHLKLLAASEKHSASKLLAAFEENVGHDNSKVVESS >KZM88905 pep chromosome:ASM162521v1:7:32194696:32195862:-1 gene:DCAR_025980 transcript:KZM88905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEEGQLISCHTIEAWEEQMQKGNDSKKLIVVDFTASWCGPCRIMAPFLAELAKKLPSVTFLKVDVDELKSVAADWAVEAMPTFMFLKEGKIVDKVVGAQKEMVQQTIAKHMNTSTSAI >KZM88443 pep chromosome:ASM162521v1:7:27939406:27939663:1 gene:DCAR_025518 transcript:KZM88443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPGPSWDLKNSDPKAAISLFSTLTKITLIKKIPTVLLPILFLEVLIAQASHGAPMATANRCRLAAVLALLQPGVRRLATLPQ >KZM88672 pep chromosome:ASM162521v1:7:30203589:30203750:-1 gene:DCAR_025747 transcript:KZM88672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADELSRRESLEEDDEFMTPGEHFGSSGKKAAELHETSARGYMSPLKKRGME >KZM88471 pep chromosome:ASM162521v1:7:28175100:28176120:-1 gene:DCAR_025546 transcript:KZM88471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRGRRSRLLLCLWPVSVDDEHRPMKKLKIPTDPNGEEDGDVFDAEIAKEKHQHRFPGALKSVMSDLFATKKLGSRRFRSISLRSSSSKKVSDIPNTKISKTMSETIPFRKSPDTNAVFKSASTRCFPTPIIASGPYCPLASSFSSNSCSVLRRNGPFEASLNELKQMQQLHQKKNVKSRKCLNTNIGLSLIALSLLVLVVWGKICAILCTSTWLLLLSASFKKRGAVKKSSDQLGREDVDSEEYKKRVIMEGLLDRNRGGISSSSSRRL >KZM87351 pep chromosome:ASM162521v1:7:14965761:14967437:1 gene:DCAR_024485 transcript:KZM87351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTKRKKKRGTKYLEQSPHNAYAKKPRTTQWNLPDWNPGRDEIPDKFHRSVDGRVGTSKSVDRRDETPDQFNKSTDRRVETPKSVDKRDEKTPDESYKSVNRRDETPILADRRDDNPNQAHRLADRRDETTHASYRSHCLNVRPCSPCLHVGHTPYSQRLADSEKWDEIITFADKWDETVTLEYEIPDLNKWDAMLPFLKVVCQSKKSWEARDSGIKFVKQIAIHMGHAVLPHLRPLVEIIEQGLYDENSWIRTSTALSLAALAQASAPYGIMSFESVFDPLVNGIRSEWGETFASFLKAIFFLIPLMDALHARHYAKIIMDILICESESHYEETGEILEVVNQCVRVEGVEADYIRENILPEFFRRFLCEYTDFGDRKQLVEITFEITKKVGVADIVEKIVEALKNKREPCRMVAMKAIEKIVANLGATNINGHLEELLVDGILYAFKEQTSSDIFNMTLNGFVVVLNSLEWRVRPYLPQICDTIKVCLDNKSCKVRQKAAYAISQIAGVLKQCEEEQLMANLGVVLHEKLAEECPEVLGSVMEALKAIKHHQ >KZM88594 pep chromosome:ASM162521v1:7:29541023:29543393:-1 gene:DCAR_025669 transcript:KZM88594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDKPSSKGQAWFCTTGLPSDVVIEVDDMAFHLHKFPLMSRSRKLHQLITDQENNPSNSRLQKLGEDEDDNDEHRSEIVEEEGLEYCSIILPDFPGGSDTFEIAAKFCYGVKIELTTLNVAPLRCAAEFLEMTDEYSEDNLISRTERFLSQSVLKSLKDSIKTLKSCKMIMPQAEKLGIVNRCLESVAKRASTTDPSLFGWPVNEPASASQTDSGTGRRRTSNTWFDELGDLGLPLFKRLISALRARDHTSPDLIEGCLIAYAQRYIPGISRSNWKQSSSTSLPPSQDEQRELLETIISNLPHEKRLASSTSAIKFLFGLLRTANILNIAETYRDALEKKIGSQLEQATLDDLLIPSYSYLNETLYDVDCVERILGYFLDELEISMTRNEDEQDNENITPSELMLVGKLIDGYLSEIASDANLKQDKFYKFAITLPHQARLYDDGLYRAVDVYLKAHPWLSEAEREKISGVMDCEKLTLEACTHAAQNERLPLRAIVQVLFFEQLQLRHAIVEISPARGGGRLRNGGSREENEVRNVTWRETVRENEVMRVDMDSMRTRVQELERECSTMKKAIEKIPEGGSGKRSFARKFGCKFKTQVCDSHQSTAVDTRKAKNGRHRGQ >KZM87535 pep chromosome:ASM162521v1:7:17917145:17922803:1 gene:DCAR_024669 transcript:KZM87535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVRSQSKPSGPAAVPTIPLYRSAPELEVRLEDFELFAIDRLRGFRLVSYCTCFDLVSCNYVAIFSNLIRMIIVVLKGISDGLSRGKKPDEMEKLVSELWRANMKHSISSEVTNKDIISHFVLRLVYCRTEELRKWFLSIETTLFRYRFQRETSDVQRELMAEFELPYKAVGNVEYEGLKDKLSQVARSIGQSLPSGNAVFYKVPFEEVPDLVATRKVYIQKGHAYVAMNQVVSLVVTQFRSHLSKALVLTNRKWTSMIREKESDRLTPIVEALATSYLGPDYSQPKEFTEISLKDIDQVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLTLDDALVFWKSEFSRKVGTERFDKEYAYGIRHNYGKEGKRVDYTPYSCQKIISSTPGVGDHHGCPYRHFSEENLRAALGKMGVSSRALEDVIDKARNRHYQLACTLTFEAVHNSSCDAGVNHPNQYFSDSQSILKSKGNSVA >KZM87431 pep chromosome:ASM162521v1:7:16361427:16362615:1 gene:DCAR_024565 transcript:KZM87431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISPEEPPQPLTYQTWVLKVSIHCVGCKRKVKKILQNIDGVYTITIDSQQQKVTVTGNIDAETLIKKLVKTGKHAEMWPENPAAKEKKPEKPKNEEGEKDENSSDEDEENPNERPQEEVKVINNVKEGGPTVMFCPGMPENHPSGNKPPAAEQKPGDQSSGGTGAGGAKKKKKKKKKGQNSNNPNQGANTNGGVQNSGTGNVIVGPPHVHDQVNNGPPIPYPHQYPTSCGPQPVYVMSYHTSQPRVSAGPSYYVQSSPYTCSSYAEPEMINMRTTPSDSSFEIFSDENPHGCYIM >KZM88158 pep chromosome:ASM162521v1:7:25016246:25019763:1 gene:DCAR_025233 transcript:KZM88158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLYQRQPPITLADPYPESPKSTINSQKPGSFLTRNTLLALFSLLIILGFLFPYSSTSIPSSLLFSNSKIFESKWRAYTLSEAVAFVGTNGTVIVCAVSQPYLPFLNNWLISIVKQKHHEKVLVIAEDYYTLFKVNERWPGHAVLVPPAPDAQVAHKFGSQGFFNFTSRRPRHLLQILELGYNVMYNDVDMVWLADPFPYLQGKHDVYFMDDMTAVKPLNHSNALPPPGKKGRPYICSCMIYMRPTKGAKLVMKKWIEELQDQPWSKAKKANDQPGFNWALQKTAEQVDLYLLPQAAFPTGGLYFKNRTWVKETKGMQVIIHNNYIIGFEKKIKRFKEYDLWLVDEHASESPLGNIS >KZM86664 pep chromosome:ASM162521v1:7:5439063:5459579:1 gene:DCAR_023798 transcript:KZM86664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAGGFISRAFESMLKECSGKKFAALQTAVKTYLDSTKEVKKSLTSSETNQATTSKGDQSSVESGIGPPKNGANHDSAVTLPTDGKQVAKSPTITAALANAGHVLDGADSELVLNPLRLAFETKNIKVVELALDCLHKLIAYDHLECDPGLDGGKDATMYADMLNMVCGCVDNSSPDSTILQVLKVLLTAVSSTKFRVHGEPLLGVIRVCYNIALKSKSPVNQMTSKAMLTQMISIVFRRMETDLGSTSSGSTHKEAASNDGFCANLEETLPSNESMAGAISAADTFSVNEVKDTSVEELHNLAGASDIKGLEAVLDKAINLEDGKRTRGIDLESMDIAQRDALLLFRTLCNMSIKEDSDEVTTKTCILSLELLQGLVEGVSHSFTKNFNFIDSVKAYLSYALLRASISQSPAIFQYASGIFFVLLLRFRECLKGEIGIFFPLIILRSLEGTECPLNQKLNVIRMLEKVCKDSQMLVDIYVNYDCDLQAPNLFERMVVTVSKIAQGTQNVDPNSVNASQIGSIKESSVQCLVSILKSLAVWEKSQRDLENQRRVNLSLENGLATKYKNKVGDDLQNNFEKSKAHKSTLEAAIATFNENPGWGIKYLISNKLVDNTPGSVAEFLQNTPTLSKVMIGDYMGQPEDFPLAVMHAYVDSMKFRGMKFGTAIREFLRGFRLPGEAQKIDRIMEKFAERYCADNPSLFKNADTAYVLAYAVIMLNSDAHNPMVWHKLSKTDFIQMNTSNDAEECAPTELLAEIYDSVVNEEIKMKDDSEDYGSSSKQDPEAEDRGRLITILNLALPKSRSSFDNKSESEAIIKKTQAILRNQGPKRGVLYISHQIELVRPMVKAVGWPSLATFSVIMEEGENKSRVVLCMEGFKAGIHLTHVLGMDTMRYAFLTSLLRFNFLHVPKDMRNKNVEALRTLLDLCHSDTGALQDTWNAILECFSRLDFLAWTPAMATTVMQGSTQISKDAIFQSLRELAGKPVEQVFVNSVKLPSESVVEFFTALCNVSAQELRQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHRDEKIAVYAIDSLRQLGMKYLERSELNNFTYQNDILKPFVILMRSSQSESIRRLIVDCIVHMIKSEVGSIKSGWRSIFFVFTAAAADELEPIIESAFENVEQVILEHFDQVVGDCFINCVDCLIGFANNKKSHSISLKAIALLRICEDRLSEGLLPGGTLKPIVVNADKSLDITEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFSSSFWEKIFNRVLFPIFDHVRHAGKENLVSSENGWPRESTIHSLQLLCNLFNTFYKEVSFMLPPLLSLLLDCAKKTDQSVVSICLGALVHLIEVGGHQFSEPDWDTLLNSIRDASCTTQPLELLNTTDFENQTVSTKDLEVYTGDAPKISDTEQLHNHETINSSAVDSAKNFYSSTSDQDMDMQTDTDAEGLPSPSGRSHKHATDHKLQRSETFGQRMMGNMKKSLIRSFTFKSKTSVSDVLSTPSKLSDVMDPVEAEVKDEESIIMGTIRSKCITQLLLLGAIDSMQKKYWAMLKTAQKIKVMDILFSILEFAASYNSYTNLRLRMQHISAERPPLNLLRQELAGTCIYLDVLQKSTGGHNSKTQGELKSSLPENVDASPKYNDDELMGIAEAKLVSFCAHVLREASHFQSNLGETTNMNIHLVLELRSPVIVKVLKGMHFMNKQIFRKHLIEFYPLITKLICCDQMDIREALADLLKGQLQLMLL >KZM86339 pep chromosome:ASM162521v1:7:1815861:1833489:1 gene:DCAR_023473 transcript:KZM86339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKAQGLPYLQSIKSLPVDFRLVGSWEPSSIGKAEALNISKTEMISESISENGELAGGGVGNKIARNEDESPYSSVNLALEEENFASDESLGFAADPLRHNESKWNDTSYYVAKKKLQSWFQLPDGKWELAMILSTSGTESVVTLSGEKVLKVKSDSLTPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYERDTIYTKAGPVLVAINPFKKVPLYGNDYIEAYKRRSNDSPHVYAIADAAIREMIRDEVNQSIVISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTSRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCTEGERSYHSFYQLCAGAPPALREKLNLKSASEYKYLSQSNCYTISGVDDAEEFRVVVEALNVVHVSKENQERVFAMLAAVLWLGNVSFTVIDNENHVEPVVDEGLVTVAKLMECKITDLKLALSTRHMKVRNDNIVQKLTHSQAIDTRDALAKSMYSCLFDWLVAQINKSLGVGKHRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLSFANKLKQHLNSNSCFKGERENAFTVCHYAGEVMYDTTGFLEKNRDLLHSDSIQLLSSCVCHLPQAFASNLRTQSEKPVVGPLYKSGGADSQKLSVVSKFKGQLFQLMQRLENTTPHFIRCIKPNNFQSPGLYEQGLVLQQLRCCGVLEVVRISRSGFPTRMTHHKFAQRYGFLLLDTVASQDPLSVSVSILHQFGILAEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILHVQSCFRGYQARRQFREIRRGVTTLQSLVRGEKTRKEFSRLLRQYRAAVIIQKQIKGRINRNIYKEVYDASVLLQSGIRGWLVRRCSGNIGLLQFGAKKGNESDEVLVKSSFLAELQRRVLKAESALRVKDEENDILHQRLQQYENRWSEYEGKMKSMEEVWQKQMRSLQSSLSIAKKSLALDESARNSDASVNDDRDSSWETGSNFRSRESNGVRPMSAGLSVISRLAEEFDQRSQVFGDDAKFLVEVKSGQVDASLNPDRELRRLKQIFEGWKKDYGARLRETKVILTKLGSEEGSGDKLKKKWWGRLNSSRIN >KZM88208 pep chromosome:ASM162521v1:7:25489524:25491580:-1 gene:DCAR_025283 transcript:KZM88208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLAESTTLLPPLLADVHDMDLEAGSSVHILCRICLESQGEDLIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFAHCTTCKSPYRLRLNVLADRKWRTLKFRFFVTRDILFIFLSVQLVISSLAYLVYVVDGYQKFWLRLEWGSESAASFYYTCGALLFFALVGLSGCLITWICAESVNCHLPGPLCTGTESGCTTCFESECDCASGGGGESGLPLVLTMALIVLVVFAVIGIFYSVLVATMVAQRIWQRHYHILAKMSLTKEYIVEDVDDEMGGSPPALPPEHVQQLETLGLL >KZM86271 pep chromosome:ASM162521v1:7:1154649:1157830:1 gene:DCAR_023405 transcript:KZM86271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKLYESFSKTLIDEAHKWGCMKQTGVSLRYMMEFGSRPTDRNLLIGAQFLHKELPIRIARRAIELETLPYGLSQKPAVLKVRDWYLDSFKDIRSFPEIKDTNDELEFTNMIKMIKVRHNNVVPTLALGVQQLKKTLDPKIEYEGLDEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPDVVGYIHTKMSPLEVARGASEDARSICLREYGSAPDFSIYGDPNFNFPYVPTHLHMMVFELVKNSLRAVQERYMDSDKIAPPVRIIVADGSEDVTIKISDEGGGIARSGLPKIFTYLYSTAKDPLCEQPDLETANMATMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >KZM87682 pep chromosome:ASM162521v1:7:19361489:19374287:1 gene:DCAR_024783 transcript:KZM87682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWIPRKNESSNVSKDFWMPDQSCRVCYECDSVFTLFNRKHHCRLCGRVFCAKCTENTVPASADDYKIGAEDSERLRVCNYCFKQWEQRSAPVSSGMTATSPGLSKSPSAASLASTQTCDSSTSSVGSTQYSTGPYKHIQSISSMSPRSSARLDQTTCTQDQGASVGKSDCVCTDDIGYSMNRSDDDDDEYGVYKLHSEIRESNAYYSGIPYDEIGHIYGSDEHTDGESIDIHHIISTQLPETLEVEGLELNKKNGEDEVNNCNASEDAALHEADDTRPEPVDFENNGVLWLPPEPEDEDDEKEGFSIEDDDDFAEDDSGDGYLRSSDSFGSGEFRNRDRSSDLHKKAMKNVVDGHFRALIAQLLQVENLPVDDSKESWLEIVTYLCWEAATLVKPDKSQDGGMDPGGYVKVKCIACGSRDESVVVKGVVCKKNVANKRMASKVEKPRFLILGGALEYQRVANHLSSVDTLLQQEMVHLKMAVAKIDAQHPNVLLVEKSVSRHAQDFLLTKGISLVLNIKRTLLESIARCTGAQIVPSIDHLTSQKLGYCDLFHVEKFVEVHGTAGQSGKKLTKTLMFFEGCPKPLGCTILLKGANGDELKKVKYVVQYGVFAAYHLAVETSFLADEGASLPELPFKAPITVALPDKSSSIDRSISTIPGFTDSTAPKLQGNHNLSEPCQPNINLLSNVTSSSNTALCKVDGPNPCHFKHPSSQPLIAELGASSRSSSACPYHPEHISPEMLRPDDLRANHASNQDNKMGIKESMEKNSSTISNHVAEDNILSNSFGKSETTLQGVGICSIDGTVVPSKNLGDLRFSSSENHQDEAESLKEDFPPSPSDNQSILVSLSTRCVWKGTVCERAQLFRIKYYGKSDQPLGRFLRDHLFDQNYQCRSCQMPSEAHVHCYTHRQGSLTISVKKLPEFLLQGEREGKIWMWHRCLRCPRINGFPPATRRVLMSEAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVNSVHLPPSTLEFNYHNQEWLSREAEEVHGMADRLFAEAYNAFQKISKKISLETVDKVSELKHKIGKLERMLQEEKAEFEESLQKVLKKNIMVGKPNIDILEINRLRKQLIFHAYVWDQRLTCLSNLNDSMQRDISSCASNPKEKLNKSIEKSAELSKACSDVSCSDSSLKTAKSDIAVNHPDGVHKGTTVNGDKNRNTEAKDYQYSNLKIAGKHDSLVPVGNIGMILPEGKFPPIGSLSDTLDAAWSGESLSESISPKEHSCNSVNANSSTSTEPVKRKSECKDGTRRVEAACSLDLSFLAESVNKPSSWLDIPFLCIYHAFQRDSSVYTQKSRTLCEYNPTYILSFVEFVRQGGARVLLPDGINDIVVPVYDDEPTSIISYALVSPDYHIQVPDESERLNDGLESSLSLPILDSVNLLSLNSFNGTTSESLKTLGSADESFLSMSGSQVSLGLDTLTYNNNVHAKVSFTDEGPLGKVKYTVTCYCARRFKALRKICCNELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIDFAPEYFKYLSESITTRSPTCLAKILGIYQVTLKHLKGGKESRIDVLVMENLLFRRNITRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGLDEENHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMAAYFLMVPDQWSPQTNVSNDSLSDFGEDRSPGVTSIV >KZM88271 pep chromosome:ASM162521v1:7:26364062:26365137:-1 gene:DCAR_025346 transcript:KZM88271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNHPNSSVSGFYNFITQGLNNLDHSFHSNNFMSIQFLQHVLSSLQSFHSQLCILVQKLHLPLGEKWLDEYMDETSRLWEVCHVLKSAVSGMENYYATGSNIAATLHDQHNLNAQLSRQLFRAISGCQREIVGLEEDNRSLIETRIQPLSLKFDENLLIESKFNGYNGFRGVLFLLRNINSLLLMILLGGLVYFCPETSFSSEGEYNGHMVYGSDFMVSASRLHQSLKSTMDELQGQRPGVLLYEFLMAKNAMEELKVEMGTSMEFNQTVMFEDISDKVKNMKSCFEVLQCGAENIVVQIDDFFDEIVEGRKKLLDMCSHR >KZM87011 pep chromosome:ASM162521v1:7:9958310:9958593:1 gene:DCAR_024145 transcript:KZM87011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKYTVKLQIREFNIKDKDEVYLATDIYEGFSILPQMKQIDYGAQQATDSSIAESEGNNIHLDTLGK >KZM87406 pep chromosome:ASM162521v1:7:16026827:16027369:1 gene:DCAR_024540 transcript:KZM87406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIESSSSSSTLEPLIYNVKLSSVGPGEMTRPDTIHEPTSIDLAMKLHYLKGVYYFKSHEAFASITIVQIKEAMFRWLCQFYVICGRFRRFSEDSGRPYLKCNDCGARLMEAECAKTIEEWLELLSDDDSLEKKLIFGQPIGPQIEYSPNVYLQNL >KZM87933 pep chromosome:ASM162521v1:7:22164935:22167248:-1 gene:DCAR_025034 transcript:KZM87933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQTPPTNKLYFYYGHRKPTQNRPTVHGGLFTNRRTVNPHKPPISTRPTSFNFDNWDPDSPQLAQPITDPSAHFFSVAQSLSPIARYIVDSFRKHGQWGPQVVSDLNKLRRVTPKLVAEVLKVQDDPRLSSKFFHWAGKQKGYKHDFACYNALAYCLNRNNQFRAADQVPELMNMQGKAPTEKQFEILIRMHADANRGLRVYHVYEKMKKFGVRPRVFLYNRILDALVKTNHVNLAMTVYDDFRNEGLVEESITYMILVKGLCKEGRINEVSELLNLMREKLCKPDVFAYTAMVRVLVSEGNLDGCLRIWEEMLNDKVLPDVMAYSTLILGLCKGNRVLKGYELFKEMKERKYLIDRVIYGSLIEAFVGEGKIGLACDLLKDLMDSGYRADLAIYNSLIKGLCCANHIEKAHKLFQVTVQEDLQPDFVTIKPMLVSFAESKNLDDFCKLLVEVQKLGFNVIDDLGRFFSFMVEKEDRVMIAVEVFDHLKLKEYCSISIYNIIMEALYHIGEVDQALLLFRELKNLHFEPDLSSYNIGIRCFTEIGDIQEACSCYNMIKQRSLVPSSGAYYSLVKGLCKTGYIDEAMMLIRDCLANVTNGPMEFKYSLAILHMCKKNDAGKVIEVLDEMVQQGMLLDEVIYSAIVCGMCEHGTIDEARKVFTYLRDCKLLTEADLIVYDEVLVDHTKTKTADLMLSGLKFFGLESKLKSKGCKLLPS >KZM88510 pep chromosome:ASM162521v1:7:28446512:28450946:1 gene:DCAR_025585 transcript:KZM88510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLEGIKNETVDLESAFLKFLGFMWNPLSWVMEIAAIMAIALANGDDRPPDWQDFVGIVVLLFVNSTISFIEENNAGNAAAALMAGLAPKTKVLRDTKWSEQDAAILVPGDVISIKLGDIVPADARLLDGDPLKIDQSALTGESLPVNKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQMVLTAIGNFCIVSIAVGIIVEIVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKDMDKDTVLLYGARASRVENQDAIDACIVGMLSDPKEARAGINEIHFLPFNPVDKRTAITYTDSSDNWYRISKGAPEQIIELCNLKDDVSKRAHSIIDKFADRGLRSLAVAQQTIPEKNKDSPGGPWVFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQHKDEAIAHLPVEELIETADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIIHAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDKVKPSPLPDSWKLKEIFATGIVLGTYLAVMTVIFFYAAHDTDFFSDNFDVKSIRDKDHELISAVYLQVSIVSQALIFVTRSRSWSFVERPGFLLMGAFIIAQLIATFIAVYANWDFANIKGIGWGWAGIIWLYSIIFYIPLDLLKFLIAYTISGKGWNNLIDRRIAFTNKKDYGRGEREAQWALAQRTLHGLQPPNTSELFTDKTNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDMEGIQQHYTV >KZM88898 pep chromosome:ASM162521v1:7:32143385:32151145:1 gene:DCAR_025973 transcript:KZM88898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTAKDYHHLLRFTIITAAIYNLRRADSVAVPSTGCYVFDNSSHIFDFDADTVIRFCKDVESRSQSGYVDFGRFDQFNYFAAGSGRYNFVQEFYDGDLTKCEQSFDKRGRTAQVNIICGDCPNGQCKGGLGCICDVVSASTCSLVIELAIPCEKQGLRVFEGFTVGLHPRSSEIVYNGLTQLGFEKAHKEFSFKTEQTNVALYMTAVSSLSSLVQVPVTKISPEKGLELRLSGSAMTGNLATTLSPSVFLMDWRCEKARDTPYEVEITIPIDNYEPIQFTLTKMCDYKQGQGGNAAKGWAVFGVLACIFIVISTLVCCGGFVYKTRVQNQRGIDALPGMTLLSACLETVSGAPQGYTIPEEPNSPFPSQASWERPPASTQATTRTSGKYGSI >KZM89070 pep chromosome:ASM162521v1:7:33582579:33589201:1 gene:DCAR_026145 transcript:KZM89070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSFKVFVDFNGGGTARLPCFPSRLGSVGADFEAGDRRLGLNYRDHGRTCRIVACSSGADSQSQSASSSSNSFLTRSQSYALLKQQMEVAAKSEDYEEAARLRDSLKSFEEEEPVLHLKRLLREAIANEKFEDAARYRDELKEIAPHCLLKCSSDATTLAQKFSHKLTHMDTLSDEMMIPRSFCSKYAHDLHEDMELKLRNGYVLPVKFDHSRGVFKGLLCFFKHFKLNGGELLVFEYFGRYNINVYILGSNLSEIKYPDFKFNMPESPPRLVEAGGDHPSIGFQFEIHVEEIHMSPECYVVYISPLFKKLCSMWDTIQSIYVYSGNGSWKLDICRRDDYYRSTIEDGWQQLRDGLALEVGDICIFECPVDSLDRFNVRVISKLKMECTGFVAHKFIKALSRKDICSDVMKLPFDFQQKYANMLPQEFGLNLRTGYRLPVHFDKITGIMMGMSTFYSDFGFKGGEVLVFEYYGQSDMNVYVLGLHSCEIDYPMITHLSQCGNPLKPKIRDGGWKFVHFINNLDQLQNEISVPPKFVENCGGNISKFLHFILSNGKSFEGRFCVKSNKLSGLIGMCKLLGLDSLNSFHVLLFTYDGHFSFNIAAFDEKYVEVIFTGTPVSSGYYWIESCE >KZM87193 pep chromosome:ASM162521v1:7:12266583:12268022:1 gene:DCAR_024327 transcript:KZM87193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPKFVPDFFKYLTCEDCSSMYMEIPQKFCNMQQNTLQGNWILNIRNGYTMHVTYDHQNLRLEGMSDLFTDFQLVDGEVLIFQFVDGTNFNVYIMCEDGSEMEYPSVLHASQLLSPNPVSVSENKEGQKFLKFISNADPTFDEVVLLIAFWRKFGAKIPEWFAYVLKNHFRFGGHFEFTKRKQSGLKKICEGLKLSKFEKFELLVFTYDSGRLFTLTLFDGSSVEVCLDVQAITLGTLFLTLRYPCAFKVQVMPSHMLAHCPRVTVSVQFKRITNEWKNSDNISIYKGNLSWKFEIKKYRASNRTTIYGGWIQFRDDMQLNVGDLCYFRWINESYHHFRVEIIRAASD >KZM88487 pep chromosome:ASM162521v1:7:28258696:28260148:-1 gene:DCAR_025562 transcript:KZM88487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTNYVNTSLDLNANPRQLFNVLPVKHEAQSSFIDFGLSTTSLLHDQSGALVEELNRVNAENKKLTEMLTVMCENYNALRSNLMEYMNRNPEVTTTDDSTTSSKKRKTTENTINNNNNGSATAAAAGAVGAVVNRSSAESSSSEEDTTSFKKAREEHIQAKISRVYTRSEPSDTTGLLVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPTCPVKKKVQRSVEDQSILVATYEGEHNHPHPAKVEANNSGSNRTASTLSSVPGSASLNTSRPVNNTITLDLTKSNKSNEDAIKSSAPKVESPEFQQFLIDHMASSLTKDPSFKAALAAAISGQILRQNQTEKW >KZM88871 pep chromosome:ASM162521v1:7:31920905:31922873:1 gene:DCAR_025946 transcript:KZM88871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVQTHLVEIMPGTVMNFWVPSETLKNPSIDKTIPTKPNKPVVVLVHGFAGDGIITWMLQVRSLTKQYSVYIPDLLFFGDSFTDSSDRSPDFQAECLMKGLKKLGVDRCTIVGFSYGGIVAFKMAELYPEFVQALVVLSSVVALTDSINEAICQRLGVGSFSDMLLPTSADGVKALFSFGAYHKLWLPDRIYRDYLVMINNRKERRELLDGMIVSDEDGTIPIFKQKIHLIWGEEDQIFKFELAKNTKEQLGDLATYEGIKRAGHLVHLEKPFIFNKRLIRFLNSLQETDQAHTSFDSTLMATEVTLKFEKDYLNSS >KZM88824 pep chromosome:ASM162521v1:7:31553678:31556241:1 gene:DCAR_025899 transcript:KZM88824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLLATANNCLDHQGSSSEVAETSSSSSSLLTWEVISSEIKKVAYIAMPMVVTTVSQYMLRVISVMMIGHLGELSLSGAAIATSLTNVTGFSLLLGMASALETLCGQAYGARRYQLMGSYTYGAIISLLLVCIPISILWIFTEKLLILIGQDPLISHEAGIYSICLIPTLFPYAILQLLIRYLLTQSLIYPMLLSSVAALVFHIPVSWILIYKFEFGSAGAALGIGLSYWLNVILLGIYVKYASSCEKTRIVFSKDVFPSIREFFRFGIPSAVMICLQWWSYELAILLSGLLPNPQLETSVLTICFVVASLHYYIPYSFSTGASTRVSNELGAGHAEAARLAAWLATFLAVIEVARSARKRVFERRPQLTIE >KZM88015 pep chromosome:ASM162521v1:7:23303978:23306093:1 gene:DCAR_031522 transcript:KZM88015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSHGKGMSASALPYKRTPPSWLKISSQEVDDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KZM88319 pep chromosome:ASM162521v1:7:26784510:26784713:-1 gene:DCAR_025394 transcript:KZM88319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVLSGLESALQLQKTFEMLDHDNLLSTNIVANATIGEASTSSTESDGFKSGVGSVFSDILITNAR >KZM86940 pep chromosome:ASM162521v1:7:8634891:8635246:-1 gene:DCAR_024074 transcript:KZM86940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIQDEHEKCNSWLTSCELVNRVALGIASVPGYIWKILGLSTAHGDIRQIGDDPNIIIPGNNLEVPDPDVGWERN >KZM87400 pep chromosome:ASM162521v1:7:15931678:15938465:1 gene:DCAR_024534 transcript:KZM87400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQPPTSLLSDLDQPFTDEELKAIDAAFEAVSSSSSTSSVKKLVSGDSPSDPRPKTRRRLPESVKESIRKTPLKENNLRSSSRLKWLKNLDLPSQQDKATVIYPEVRFQGTIVYSRTASEVDRASKELLKFVQAKKREAGHTVLGFDIEWRPTFRRGVLPGKAAVMQICGDANHCHVMHIIHSGIPLSLKSLLEDPSCIKVGVNVGGDANKVYKDHNVSVEALQDLSSLANQKLGGKPKRWSLGSLTETLICKKLPKPTRVRLGNWEVASLSKAQLEYAATDAFASWYMYEVLKKFPDPSSNKNEELETVAAEQPLAVASSCEFVKLKGKKDKIKWEAGENGEGDVKLKG >KZM87411 pep chromosome:ASM162521v1:7:16083158:16084117:-1 gene:DCAR_024545 transcript:KZM87411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYMTSSVAPSEHKNSPPRATATTSKQSAVVEVALRVLLFLGSLTAVVVMVTSKQKELVPFPPFGSVPNTTRFTDTPAFVYFVAALSTTGLYSIITTLLSISAVSNPGYTKILALYIVAMDVVMLAIVASAAGTAGGVAYVGFRGNSHTRWTKICNIYDKFCQHSAGAILVSLFAAIVLILLILHSVFTMYRKIPN >KZM86999 pep chromosome:ASM162521v1:7:9604881:9607893:1 gene:DCAR_024133 transcript:KZM86999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEIGAYLLVTGLLIFVYTVLKWNDLRYGGKSLPPGTMGLPFFGQTVEFLKQGPDFMKAQRARYGSMFKTHILGSPTIVCMDAEVNKYILMNESKGFVPGYPKAMHNILGQNNIGAVHGSPHKILRGSLLSLISPIMIKESLLPRIDNVIRYLVDGLNGKTIDIQEKTEEMAYLVSFSQIFCNIEPGTSLYNVLKSEFDKLTLGTLSLPINLPGTNYYKGLMSSKNIMKYVSKIIEGRRVASVPPHKDQLNELLGIIDSKYQLSDEDVINQIFTLLYSGYETVSTTTMMVLKYLHDHPKALQELRDEHFRIREGKKPDEGIDWSEYKSMTFTRAVIFEATRLKTVVNGVLRRTIEDVELNGFVIPKGWKIYVYTREINYDPLLYPEPFTFNPWRWLHDKSLESHKYFLLFGGGTRVCPGKEKALVSISLFLHYFVTSYRWEEVGEQKIMNFPRVQAPNGLKIRISSY >KZM88481 pep chromosome:ASM162521v1:7:28233688:28234239:1 gene:DCAR_025556 transcript:KZM88481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNLIRRLQRTQAQLFLSCILSPVTQNVSSSVTPSNFHLIQTFHRTAMLQSCYITRTIHSGTGMNSVSVYEVANFTRTINEDRACHVLKLSNLLKKFSGFPSEEEEATALLDDSGVEADESLVYSMIWEFRGEWKISILLFKWGEKWNCNGGRNWSLIIWVLGNHKKLNIAWCLIRDTHPE >KZM86608 pep chromosome:ASM162521v1:7:4876170:4877097:1 gene:DCAR_023742 transcript:KZM86608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYVLLAVVRFAGKVWWNPLRVQYKMKLQGITGPSYKFLYGNTKEILVMRRSSMEKPMDDLSHHIFPRILPHVHSWMDNHGANFLNWYGPQAQLVVTEVELVKEILNNKDNSFPKIELEGYAKKLLGDGLSSSTGEKWLKLRKLSNHVFYAENLKNMIPTMVTSVGMMLERWKEHENREIEVFEEFRILTSEVISKTAFGSSYLEGEDMFKMLMKLTLIVSRNLHVVRFPLIR >KZM87031 pep chromosome:ASM162521v1:7:10267463:10267870:1 gene:DCAR_024165 transcript:KZM87031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSADCSRSLKEASPPENRRQDREDVQSGGRRGHHHPPSRHHSPRRQHSPPPPLHHSSPAPRPRSGDFNDPGKCEMALAIVDNCVAELISFYFGTNNTIEQPCCSRIEQLSQPCFGRAFIDDASYYNKVTKFCS >KZM88960 pep chromosome:ASM162521v1:7:32611869:32613065:-1 gene:DCAR_026035 transcript:KZM88960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSESSGASALPMLKTGRILRRSIYSFLSNYQYFTSTALVLIPFSAAVLMAQPLVPYASILPLIHNRLQALFYAAGFPPSAELFKILSLKLSQTITSSILVLPFTLSFLLIAKASIIQAFHHYHKQSSRLKFSSFLSLYTSLLNTQICNSIVILSANATCFSILFIAFNILEAFDLLSSPTSTLFLSATAAVFYSIILANAIIISNLALVLSGMEKSGGYMSIIKACVLIRGRNSTALSLALPLNLILAAIEALFQYRVVGAYYNIGSPTPPMALEWMFIAYLYSIFVVLDTIEVVSTIIRFDLGRCQKDRYRINQTSRAKKLRYNHMKEQAGDGAKA >KZM87833 pep chromosome:ASM162521v1:7:20965686:20966006:1 gene:DCAR_024934 transcript:KZM87833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRVLNSPSKKKAVCYRYLKPGALARIRDSKKSTANLKKPTSQNALSPSKLVNLSSTSIILETQMIHMAMEGVPFFPALRFSQFSKRGQRKKLFAVAPVFPDIQA >KZM88199 pep chromosome:ASM162521v1:7:25411231:25414676:-1 gene:DCAR_025274 transcript:KZM88199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLNPSFLSLAFLSLLAIASAKVFFEERFEDGWEDRWVKSDWKKDDNMAGEWNYTSGKWNGDSNDKGIQTSEDYRFYAISAEFPEFSNKDQTLVFQFLVKHEQKLDCGGGYMKLLSGEVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNDTNHLIKKDVPCETDQLSHVYTFILRPDATYSILIDNVEKQTGSLYSDWDLLPPKKIKDPEAKKPEDWDDKEFIPDPEDKKPEGYDDIPKEIQDADAKKPEDWDDEEDGEWTPPTVPNPEYKGPWTPKKIKNPAYKGKWKAPMIDNPDFKDDPEIYVFPKLKYVGIELWQVKSGTLFDNVLICDDADYAKKVAEETWGKNKDAEKAAFEEAEKKKEAEESKDDPIDSDAEDEGDAEDSDDGETDKEDHEDDNVAEADSEPKDEL >KZM86765 pep chromosome:ASM162521v1:7:6637939:6641515:1 gene:DCAR_023899 transcript:KZM86765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSMNNCLGFSLSSDEHFQQHPCQDFNSDQISGTNISTTDCFDGGSTLPSLNLPAPPFGLLESFNTNNALQEWNSGTNYKQSSSSELSMIMGSSSCHSHHSGPKLENFFGHSYQDHQNDHQQHNDHAHHYMFQNCSTQLQAEAVAAPLSTDNDKTIGLSMIKNWLRSNSNPSDNINVSQSNGDLVMASGNGTLTTGAQTLSLSMSTGSMAGASSGGGGEILSSDNKRQMEGSNSQNGVVEAVVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNFPITTYEKEVDDMKHMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFEINRYDVKNILESSTLPIGGAAKRLKEAEQAQMTLEALRTEDSSSLTSHLTNGMQTYGSHHNNWPTIAFQQAKSPIAMHYPYGDHQRLWCKQEVPDVDSSSQSYQGFHQLQLGSSNHNFFQPSVLHNLMNLDSSSMEHSSGSNNAVMYGNGTGYGIPMGTIIPQEAHHPHLGLGESSEGTYDNPYGATDPYSSRSLYYLSQHSENGGSVKETSVYDQGSACNNWIPTAVPTALVQKTNSLGNCHGAGSFTAWNDT >KZM89086 pep chromosome:ASM162521v1:7:33750603:33759468:1 gene:DCAR_026161 transcript:KZM89086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDDDFGGDLTGTNSTRRSGNKRRFGDLEDDDDDIFGSKSKVEETAPGVATGMILSLRESLQECKDTLATCQTELERAKSEIQEWHAAFQNGSFVPAGTSLEPRIVVNHLKELKSSEESVKEQLEKAKKKEAAFIVTVAKREQEISELKSAVRDLRAQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKEKKVKELQDNIAAVNFTPHSKMGKLLMAKCRTLQEENEEIGTQAKEGKIHELSMKLALQKSQFTNLRSQFEGLCKHMEGLTDDVEKSNETVLNLQEKLEEKDAEITRLKQELQQKNEMEEKTDLGSDNIIADGDGVVKEEVENGST >KZM87565 pep chromosome:ASM162521v1:7:18186128:18191631:1 gene:DCAR_024693 transcript:KZM87565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAHLHGSASTHKRMLLKDNSVSPDIEENSALLQNGMGQESRNPSLKRSFPHVLVATLASFLCGYHLGVVNEPLESISTDLGFNGDTMVEGLVVSMCLGGALGGCLVSGWIADGIGRRRAFQLCALPMIIGAAISASANTVMGMLLGRFSVGIGLGLGPAVAALYITEISPSFVRGTYGSFIQIATCLGLMAALLIGIPVKDIPGWWRICFWVSTVPAVILAIGMEFSAESPHWLYKQGRIAEAEYQFERLLGVTHGKSAMAEFSKSDRGDETDTVSFSELIHGPHFRVVFIGSTLFALQQLSGINAVFYFSSTVFRSAGVPSNLANAFIGVSNLIGSVIALILMDKLGRKILLLWSFSGMVLSTCLQVAAGGVFASSLGGQFVFSFAVGVGPVPGLLLPEIFTNRIRAKAVAFCMSVHWVFNFLVGLLFLRLLEKMGAQLLYTMFGIFCLMGVVFVKRNVMETKGKSLQEIEIALLPPEQIL >KZM86949 pep chromosome:ASM162521v1:7:8758073:8758724:1 gene:DCAR_024083 transcript:KZM86949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFDMIETYLTKQKKFRPSIPLRMAVRFTYVVITMFIRMAIPFFSGLLGFFGGLALSPTSYFLPCIIWLNLVKPRRFSISWWMNWTFIVLGVLLMVLAAAGGLSTLISSYTSYKFFS >KZM89060 pep chromosome:ASM162521v1:7:33457108:33458886:-1 gene:DCAR_026135 transcript:KZM89060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINISLGLASDENREIYNFENRVVQSHGFEHDSEPETDHEDQESSVSDCESMVVGGSTKSEFESSGEKLVSLNEEDKLYKTIAERLLVGLRDLGLDAQVESVCRNFFAGFTFQARFQAFRVFQKALERKNGGANVKYAWYGGRKDEIIKILAHGFGHCRQGDGTEGSYGTGVYLSPFCAPVESVQAAVEDDDGLRYLLLCRVLLGRVELVRPGSQQYHPSSSEFDSGVDSLECPKKIIVWANQMNTHIMPEFLVTFTAQPCSKGHQRTQIRKPTSPWMPFPSLISTLAKVLPPDATNLIMKYHRDNRDNKISRQELIQRVRQIAGDNLLATVIRSFRNKVPRYAHKLKVLKLSRIPAGLS >KZM89099 pep chromosome:ASM162521v1:7:33846317:33846586:1 gene:DCAR_026174 transcript:KZM89099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQHRQHQHAPQAHKGRVHFAEPDTITNDHAPQARKGRVHFEADTKTVDQKNGRVKVQQNNIDADADGFIRLKHKNFELSRWETFKGY >KZM87057 pep chromosome:ASM162521v1:7:10548504:10553490:-1 gene:DCAR_024191 transcript:KZM87057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEISSPLTAQIMEFCESGLFPETLQNSEVVSTSNTYYEDQSSYTSNLSYNTPEVNKLSSGIVTNDSIKTTEPLPSNTSSNLSMIFSSAEELDKDVSGSTDLFSTPFSVPNFEDGQQEQYDLSLLQKHVGVTEYGFETATYQNAPDTAVPPPLMGPPLGPLPSLHVENCLSSLPSYMPLNPLPAPTCSVLDPELLSSNLNAALPNRKSENFRGNFFMNNNLQLQEQDDEVDIGGIFGSDPLPQLYNTDLQNNMVDGSVNFTPLPTEITSLEDPTYKASKLSAEEKKEKIHRYLKKRNERNFSKKIKYACRKSLADSRLRVRGRFVRNGNFGDGARTTCSSHEEDTYNDGMVKDEEDIVDSSDIFAQDFSLSGKMSRTESKTEALAKSEKTERGVRHKSSNRAPNGDHHPRTSEVNCGAKGGEQTKSVTGTSPLKSEMEKVLSHWFLLESDWIEAIQKGNHELLPAASARVDFLIKQVHPDLLVEGLKGDEDALWSILQSLYNNGSWERARNLVISGTHRSSNKDSDLLAFIENNKHLVHPNTYPRAREGDLESTRMALNQIHYGSLSLINKKANKDPKMQDQERIIASFLKNQSKLVEPSVLRDALAGDDKAVSMALGQIHHHSLSLNSDRPKSPYKEALLQSPKAHSGNLETARKEYAPEGYKDRVSKVSPPPRTSPPRSGNSANRGVGHKYANSIFFTGMSSETRVVDIWQHFKKAGRIRDIILPRKRDRFGNRIGFAITHSESEAEGIIRSLQGSKIGSNKLYLALAKNSRSVSPTKKGGSRPPKIEEVVRLESPVKEEQFRGEAKPKSISSNGHTPVRSTVLSLEMNVDLKDELKDCLCLITAKPETVNTVEFIVAGLGFRDAIIRGVSTTKFLAFFDSLALFDKEDIDFLNIGFMEVRKVQDADLVPPRKVWLELRGLPITGWTEDNLVKLVKKWGSVTSFGQVLDNGDCYCTPRIQIESDIISSIEEDVEVDIMGTIWYVKVIETLAQEVHYDVNSNLHPDTIEDEVEGVVSKDQNDDTLSGRFSHHSELVSDRVSEINDVSDVEVEDSLLNPPTPRPIAASPQKPQGCEVMEEDIVFQTANWKPREKDSSLSVDHQSIDQGRSESDYNPHDDLSELCNSNPSILKDLKNLKVQPKRGRPRKNNPKHLNKHFKLPRKKKAKGEGLQQSSHFFLNSALDESEAIFDTGVLMGLLPLQDREESIRKIKANLL >KZM88111 pep chromosome:ASM162521v1:7:24613044:24613972:-1 gene:DCAR_025186 transcript:KZM88111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNNLGRRKRQYEFELRREKEQLEKLAKKLQARKSKMKVDGTEKKKKKGASGFTVGKKKLKTRLTPLAKAKASQAMEVDK >KZM89312 pep chromosome:ASM162521v1:7:35890442:35893427:-1 gene:DCAR_026387 transcript:KZM89312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDPIDVSDLGAALPAAAAALSAEDRAGLVNALKSKLQNLAGQHSDILENLTPAVRKRVEALKGIQSHHDDLEAKFFEERANLEAKYQKLYEPLYTKRYEIVNGIVEAEGVKSENALKQEEGQEKGVPEFWLTAMKSNEILAEEISERDEEALKYLKDIKWCKINDPKGFKLEFFFDTNPIFKNSVLTKTYHMIDEEEPILEKAIGTEIEWYPGKCLTHKILKKKPKKGSKNTKPITKTEPCDSFFNFFNPPEVPEDDDDLDEEAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQGDEFEDMEDDDEDDDEEGDEDEEDEEEDEDEDDEEDEDDVKNRKKKTGRSQAGEGQQVERPPECKQQ >KZM87215 pep chromosome:ASM162521v1:7:12524979:12529348:-1 gene:DCAR_024349 transcript:KZM87215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRTCIFKLKLNQYNTVQHREGFTVTDIEKVEMTRPTAISKIDSLEDKPEHNLAHQTKEPSKRHLQNKRKTPDDHKNSDQLLPDPENTSKSFSEVEQYHDAPRDTMNGDQIPPANSGTKGNLNDVPEVMKQMPPLPVKLNEELSSKLLPPPSLHNFNDVPEVMKQMPPLPVKLNEELSSKLLPPPSLHK >KZM87037 pep chromosome:ASM162521v1:7:10316489:10318011:1 gene:DCAR_024171 transcript:KZM87037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSVLRDFTTRNELSQIVRVGDHYRFGNSYTFPCSIETAYRSKQGNLYTLETLVYFVTNLNSKHHDYIRIAGTHKIPAVTFLDRKPLIDYLHGKIDSTDAIEFVAPQAKYSGFDEYRPEEANLGGMGLEGEIDLNEGFGGGVVEDGVALVREIERPFKDRESLLQCRNRDFYSVLVGATKRNEERERSEMQQRKDGLVAKSRIERRYGEEVGGFDATPKAKMHLKGSKIGEGVPIILVPSASQTLITIYNVKEFLEDGVYIPTDVKVKQMKGPKPDCVTVQKKFSRDRVVTAYEVRDKPSALKAEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNNILGFYMRFEDDSVESAKTAKQWNVKIISAKDLPVV >KZM87960 pep chromosome:ASM162521v1:7:22548912:22550235:-1 gene:DCAR_025061 transcript:KZM87960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASVFLVLSLLAVPAVYGVDHTVGGSGGWDQGVDFTTWAAGEKFVVGDNLVFTYGSSHSVDEVSASDYSSCSSSNPIKSYTGGKNTVALTKTGPIYFLCPSFGHCAGGMKVTITVSAASTPTPSTPTPTTPSTPSPTTPSTPSTPPKEDTPPASPGTPPAPKETPNGAGGGLGDMNKLVVGVCVGFAGLMGLMG >KZM86925 pep chromosome:ASM162521v1:7:8463643:8464587:1 gene:DCAR_024059 transcript:KZM86925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTLILTRLATVSVDGDNVLHASIIIMNKKRKLQVEQLDLPLPKHNCCKKGITSELRVSSTETPKQSVCAVLIAGIIHQEEKESESEVDSGNGSNSLVEDYDSVMSESYGTKNNTVYLNTLSVDCTSTPVNQTSEFDKGAMFSLDSRVTKSSTDKGKSQCTEYDHPLDDMGLFASLNDFSDYADYEEYVCLNYGDDSIQQYKQLKLLYASSLNPENLMLSSDGWDVKNQEYQPAGEKPTIDKDFEDYFSDLMI >KZM87689 pep chromosome:ASM162521v1:7:19425760:19427360:-1 gene:DCAR_024790 transcript:KZM87689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSLVITTIFFKLLVFFVGISSAQLSPDFYSKTCPDLIPTVKYNVHSAINKETRMGASLLRLFFHDCFVNGCDGSILLDDTSSFTGEKNAAPNANSARGFEVVDTIKSAVENVCPGVVSCADILALTARDSVKILGGPNWDVKLGRRDARTASQGAANKSIPAPTANLNALNSRFNALGLSTQDLVALSGAHTIGQARCTTFRGRIYNENNLDDSFAQTAQTNCPSANGTGDNNLAPLDLQSPTSFDNSYFSNLVSKKGLLHSDQELFNGGSTDSIVTTYSSNPSNFASDFAAAMIRMGDISPLTGSNGEIRMNCRVIN >KZM87840 pep chromosome:ASM162521v1:7:21036266:21037801:-1 gene:DCAR_024941 transcript:KZM87840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDSLLLTSAVIFLYLWCKYWSAKGGGPPNLPPGPPGWPLIGNLGQVLLQKRHFIYIVRDYRKKYGPIFTMQMGQRTLVIVTSSELIHEALVQRGPLFASRPEDSPIRLLFSVGKCAINSAEYGPLWRTLRRNFSNELINPRRIKECGWIRKWAVETHLKRLQEEASRVGFVEVMSNLRLTVCSILICLCFGAKIPEEKIKNIESVLKDVMMMTTPKLPDFLPVLTPLFRRQLKEAKELRRKQLECLVPLVRSRRAFVEKGENPNGEMVSPVGAAYIDSLFHLDLNNRGRLSEEALVTLVSEVINAGTDTSATTVEWALLHLVMNQEIQEKLYKEIVSVVGVDGLVTESDVEKMPYLGAIVKETFRRHPPSHFLLSHTAIKDTELGGYTIPANVSVEFYTAWVTEDPNMWKNPGEFIPERFLDGDGVDVDVTGNRGVKMLPFGAGRRICPAASLGTLHVYMLLARMVHAFKWLPVPGAPPDPSETFAFTVVMKNPLKAVILPRGKNIEV >KZM88450 pep chromosome:ASM162521v1:7:27997068:28001878:1 gene:DCAR_025525 transcript:KZM88450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPMLSDLATEIVIPTCAVIGIIFSLVQWVIVSQVKLSPEKNSAPKNNKNGYNDFLIEEEEGINDHNVVAKCAEIQSAISEGATSFLYTEYQYVSIFMVAFAILIFLFLGSVEGFSTKSQACTYDKSKTCKPALATAVFSTISFLLGAITSIVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIIINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTAMCYPLLISSMGILVCLITTLFATDFFEIKEVNEIEPALKKQLIISTVLMTVGVAIVSWIALPSSFTIFNFGTQKVVTNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRASITVVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASLKEMIPPGALVMLTPLIVGILFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGVLFKIF >KZM88008 pep chromosome:ASM162521v1:7:23255409:23257392:-1 gene:DCAR_031531 transcript:KZM88008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSPAYYKSELRRAIKVSSLLPTIVSQQQKHQNLNDSNSNQLSRREIILRSSELALLGAIFQFSGKKPDYLGVQKNYPALALCPATKNCISTSENESDFTHYAPPWNYNPEEGRGSKKPVSKEAAMEELLQVIKSTKPDKFTPRIVEQKDDYIHVEYESPILGFVDDVEFWFPPGKKSVVQYRSASRLGNFDFDVNKKRIKASIKHLLLTT >KZM88282 pep chromosome:ASM162521v1:7:26448464:26450164:1 gene:DCAR_025357 transcript:KZM88282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLVVIFGIVLVISGVANADNVAERLGLDAHTHIKYDRRGLSGATAAPAGGAAAGGAAPGGGAGINVGNCPGAKGDGASDMTAVIQKAWDDACAGGQKLTIPTGIWLHGALNFKGPCPKPITIELLGTLKAKPDATSFPEMRHISLYSVSVTLTGGGTIDGNGVALQKTRKQTDKNLPDSMELMQCPDSSIEGIKFVNAKGFNLKVVQSDNFKAHGLSITCGGETLNTDGIHLARSKNVCITDCNIAVGDDCISIGDASVDVTVKNIQCGPGHGVSIGSIGRYPDEKDVRNVDISNVTFIGTSNGARIKTFHNSPAIAVSNITFSDITIKDSFNPVVIDQHYNSGFTAAGGVSKVKITGVTFRNIKGNSMSNMAVSLNCSSAAPCEGITLEGISLTYTGNNSLSKELSTNCENAKATITGSTIPMCGATGGAGGAAAAGGAAASGGAAASGGS >KZM87437 pep chromosome:ASM162521v1:7:16400007:16402655:1 gene:DCAR_024571 transcript:KZM87437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNPRGPPLQQIHVFSSSFSSSSSMAILAVLFYVFILVPSALAAVGGAPAKSSSDSFTPPDNYLLDCGSSEQTTLDDKRVFLSDQSSREYLNYDGKDLQVSVDKADVPLPIYLSAKVFSSEATYTFRMSKAGWHWIRLHLFPISSNDANLQQSKFTVVSDSLVLLHEFSPGSGSEWVMKEYLVNVTNQQLSIKFTPVKDSIAFINGIEVVSAPDMLISDVGNTLVPVAQTSGLTQNSFQTLYRLNVGGPKVEPQSDTLGRTWEEDRQYLKPENAGKNVTVEPKVIQYPNGNSPLIAPPSVYASAMEMGDANTVGPNFNVTWSLDIDTSYSYLVRLHFADIVSKSLNDLYFNVYVGGKKAISGLDLSTVAGDLASAYYKDFIVNSSMGVNSPLKVQISPMAEAAGTKNALLNGIEVLKINNSVNSLDGEFGADGKSASGPSRGSVAAVGFAMMFGAFVGLGAMAVKWQKRPQDWQKSKSFSSWLLPLHAGDSSFMSSKNSSLGSRKSAFYSSTMGLGRYFSFAELQEASKNWDSNAIIGVGGFGNVYLGEIDNGTKVAIKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMSNGPLRDHLYGKDLPFLPWKQRLEICIGAARGLHYLHTGSAQGIIHRDVKTTNILLDDNFVAKMADFGLSKDGSTVEGQAYVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLLEVLCARPAINPALPREQVNLAEWAIQWKRKDLLDKIMDPTLVGNINPESMKKFAEAAEKCLAEYGVDRPTMGDVLWNLEHALQLQEASLKGKSEEENNAAATAASPTTVTPGSTTDTSSDDRPGSSSAQSKNQAEVQVIDEHSGSAMFKQFAELNGR >KZM89173 pep chromosome:ASM162521v1:7:34646709:34646954:-1 gene:DCAR_026248 transcript:KZM89173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVRFGSHASGHQLTHEEACAFWEFVIKNWSSRTGEILAQRLLKLPVYSGSSSINLVNKHDVFIADDLQLKDLFERSSLG >KZM86526 pep chromosome:ASM162521v1:7:3767384:3772686:-1 gene:DCAR_023660 transcript:KZM86526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFESISAKLDDLIQKAGGCAVVDGGFATQLEIHGAAINDPLWSALCLIKEPHLIKQVHMEYLEAGADILVTSSYQATLPGFIARGISAKEAELLLEKSVNLAVQARNKFWDTVKDAPARSYYRALVAASVGSYGAYLADGSEYSGNYGPDVNLEKLKDFHRRRLQVLVNAGPDLIAFETIPNKLEAQACVELLEEENVNIPSWICFSSVDGVNAPSGESFQECLEVLNKSDKVAAVGINCAPPHFVQSLIQTFKKVTDKVIIVYPNSGEIWDGIAKKWMPSKCFDDDNFQAYAVRWRDAGAKLIGDKVDFLPFEHESKYDDGSPLADSDKKKKEPLHQPKGKHAVELPNYCAGLFTRSGCLKVLVNAGPDLIAFETIPNKLEAQACVELLEEENVNIPSWICFSSVDGVNAPSGESFQECLEVLNKSDKVAAVGINCAPPHFVQSLIQTLKKVTDKVIIVYPNSGEIWDGIAKKWMPSSKCSADDNFQAYAVRWRDAGAKLIGGCCRTTPSTIRSISKVLKEKH >KZM88312 pep chromosome:ASM162521v1:7:26733940:26735169:1 gene:DCAR_025387 transcript:KZM88312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRKAGEATDDQDRSSGEADMAWDKMVKDAAAASAVLSGARGGRKRFIGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSQSSSSAPALPPKISNILLHRLKARNNSLAASSNSPVPVNHEEKAQQGYEEEVTEFSDAQFTDFLNDMEDYIPINEMLNNAGASTGEHTNTIEYTCTSFDSCLTDQNLSPQDQGRDFDWVHNWTDHSEQSSGGQCSSGEEYVEPDFEEDEGTELCATDFQFVDELGSTYCSPFEITEEIALPIEQAVCDGEPTMISEAMRRMKYERKFSASLYAFNGITECLKFKLKSANATHKVGSDQLSKLRNACNKNQQDQKEAEEERVKTPEEELEASPYMESPFHSNENELSLWSSLDLQPICFVNY >KZM87861 pep chromosome:ASM162521v1:7:21387222:21390753:-1 gene:DCAR_024962 transcript:KZM87861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGNFTDKNIIFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMSFGGNNRAQVFFKQHGWNDGGKIEAKYTSRAAELYKQLLSKEVAKSSKEEAGLPVSPVASQSQSVQAVNGIPDPVQESQKESPPPPVKDETPDVPASPRARAPHSILTSTVKKPLGAKKTGKTGGLGARKLTSKPSDSLYDQKPEETPVQVSTSRNSNSPVRSSFASRFEYTDNVPAADMGSGGTHAISHVAPPKSSGFFSDYGMESAFQKKSSSSSKVQYGSSLLV >KZM88985 pep chromosome:ASM162521v1:7:32825752:32826695:-1 gene:DCAR_026060 transcript:KZM88985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTTQASVAGIRPCASKTRFLTGSSGRLTREVSFKPVASGSFKVEAKKGQWLPGLASPGYLDGSLPGDNGFDPLALAEDPENLKWFVQAELVNGRWAMLGVAGMLLPEVFTKIGLINAPEWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPGEVGYPGGIFNPLNFAATEEAKEKELANGRLAMLAFLGFVVQHNVTGKGPFENLQQHLADPWHNTIVQTFSGSP >KZM89205 pep chromosome:ASM162521v1:7:34966833:34968278:-1 gene:DCAR_026280 transcript:KZM89205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNITDKDYESLGDDEADILENATPEHPATELPTEGSSKKILIAPGTKRKRSKVWKTFNLVIGADKVSRAVCTKCGYICIYDSKNGTGNLLKHQKAWQFSE >KZM88770 pep chromosome:ASM162521v1:7:31188109:31189028:-1 gene:DCAR_025845 transcript:KZM88770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSRKSRVLLSDESERGYSQNNSARSNFLEWERESSATDSCYVWQFNCKEFDIEKDLSNFTSIKLLKQQGIDFVKNKQQGISAVRFSKWFFQCFGPGRRFYNPTENLNITWISFHGTYDFAYLLSVITLDKLPNDLNTFARLLRYYFGDSVYDLKVILKFQGLHGGLKRMAEIVGVDRVAGNHHQAGSDSLLTMQMFMEMKKNYFCGKNFGRLSWFRYMLFGLNFQILREIYVPCRARIAACYQRFY >KZM87709 pep chromosome:ASM162521v1:7:19623951:19624601:-1 gene:DCAR_024810 transcript:KZM87709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFQIVFHIFPYTTFRVLSFGTQWRAFMKKHECILTSLYFRFCCCTPILIYFDNLRVYLTLYLLKITDHNLLLSIPWETNELNEDIYVDSYKYTSPDGEEYWIPKCDKKSKPYVNQMFPDVEAVFEFYTEYGRLCGLVVRKSSAKYKGGVMTHKYVECSSAGRFEGKTIKRRRTNTRKCECEAKIILKNCPTGYYIGTFLHDNYIFIHQDFEVLH >KZM87279 pep chromosome:ASM162521v1:7:13625354:13632448:1 gene:DCAR_024413 transcript:KZM87279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAITHFTPSTKPHHHLPTLSLLPSPHSLSLRLSPISPSLSFSTTHHRIHPICALSSDGGDDNGDGKDSFGGGDKGGHGGGDDNQGGDGGGGENEGEAILILAQAGRALDSLPKDLAEAIRAGKIPGSVVSKYLDLEKSGLFKWLLQFAGFRERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFNELEIVFADVVMAIIADFMLVWLPAPTVSLRAPIALNAGRIAQFFHKCPDNAFQVAMSGTSFSLLQRIGAIVRNGTKLFAVGTTSSLVGTVITNAVINARKSVDNTSAEEVENVPILSTSVGYGVYMAISSNLRYQILAGVIEQRLLEPLLHKHKVLLSAMCFAVRTGNTFLGSLLWVDYARLIGIQKAPEAE >KZM88725 pep chromosome:ASM162521v1:7:30721064:30726591:1 gene:DCAR_025800 transcript:KZM88725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQHSKDRILTFTPFEDPVCTADGSVFDIMHIRPYIRKYGKHPVTGAPLKNEDLITLNFHKNSDAGMNLSDICMKEFRLKNTVLRLIGGLWSRRRKVKDKIEIHSQESSGEFHCPVLDKVFTEFTHIVAIKTTGNVFCYEAIKELNLKTKNWKELLTDEPFKREDIITIQNPNAIDSKVLLDFDHIKKSLKVDDEEIKKMESDPTYNINATGDIKQMLKELGTDNGKKLSLHGGGGEKAQNERAAALESILAARSRIKDDSGSKKSGEFKVQTGYSIVDAASASVHGRSAAAAKAAPSDKTAARIAMHKAGDRTPVNAKLVKSSYTTGAASRSFTSTSYDPVTVNEYEYVNVEKNPKKKGYVQLHTTHGDLNIELHCDITPRACENFITLCERGYYDGVSFHRSIRNFMIQGGDPTGTGKGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSATHLNYKHTVFGGVVGGLTTLSTMEKVPVDDDDRPLNLYPQIRNTWVALEVWRVYTDYVGFCCRNPLCKEHEKEEIKINSVTVFVNPYAELDEEEEKKAIEEKEKEAEDEDKDKLGSWFSNPGTGTTETGVGGGGVGKYLKTRDPPAKSKPPIDTDLDTVSVTKKRKLGVKGNELKDFSAW >KZM88603 pep chromosome:ASM162521v1:7:29647062:29648419:-1 gene:DCAR_025678 transcript:KZM88603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASFTSHHHAKARCFFKIILCEISSKSKLTIPEKFVRKYGGELQGGVLLKAPGAPPWSVDVQREEGKVWLRNGWPQFAKFYSLCFGHFIFFEYQGNCNFKVVIFDTSTTEIDYPVQFEERSGSDQLQVFKKKQVHSGCDAACYLGESLKGRLLKEKIAEALSETSISDENEHGGIEKPSHANGTPHDIPMRQHIVKAGGVSSPAEEKDRAHARAKALKSIHPSFKVVMQPSYVGKTYGLYLRKSCLKSYIRKGVDVLILRIARRSWVVKCRVVRSNRLKLGCGWQQFAEDNALAVGDVCVFELVSPSKKLIDVVIHRAVKEEEEEDIN >KZM87222 pep chromosome:ASM162521v1:7:12650740:12651835:-1 gene:DCAR_024356 transcript:KZM87222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTSETPGDDGLYHGWKNDKFIFESPVLSGTPVKALKVDRTGLAAACGSAPPKATTFAPQKLPGQPGLLLFDENFSEEQSGRRWTPPAGSASQGGSSNACFSVSQQKISSRTPAVKGNPGKSRLKRKQTFVVDEVNKKLAFGFEMPDRDVVGTDCYEDVGERTNINFSVYELNLCFYYA >KZM87625 pep chromosome:ASM162521v1:7:18701698:18704216:-1 gene:DCAR_024739 transcript:KZM87625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKWVLHWQPNAGTTVNSQILTEVSQCAESINGIKGGRWKATLNFYRPMLKEQVNPVEFPRDFLGISLQEQPSKYYFVIRGQRIVLEADSNIQSIMEKLQSYKSRVALNFEGFQYQIGDFQLRVGKVVPVHSESLRGIVMEGFQYQIGDFQLRVGKVVPVHSESLRGIVMEYTPSVPFNLFLAIFFIGILE >KZM89044 pep chromosome:ASM162521v1:7:33310231:33325572:-1 gene:DCAR_026119 transcript:KZM89044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIRQVIWLSDSDRTKGYAVDILFGVTSCCVQRSRGLPFPLYLCAQIDTGGADEESDSSDSESNDVLDLMDILFGVFCECAELNPDPIESEVDILFGVFCECAELNPDPIESEAEAILLGFQHFIVMLATTVIIPTALVPQMGGGNEEKAKVVQTLLLVAGINTLLQTNFGTRLPTVIGGSYTFVAPTISIILFLSPLSAVPLVSLAGFGLYEFGFPGVAKCVEIGLPELIILIIFSQYLPHVVKPGKNIFDRFAVLFSVVIVWIYAHLLTVGKAYDDSAPQTQISCRTDRSGLIGGAPWIRVPYPFQWGAPSFDAGEAFAMMMATFVALVESTGGFIAVARYASATPLPPSILSRGVGWQLWVICSENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPSPIFAALYCLFFAYVGAAGVSFLQFCNLNSFRTKFILGFSIFLGFSIPQYFNEYTAIKGYGPVHTTGRWFNDIVNVPFQSEAFVAGVLAYFLDNTLHKKDSSIRKDRGKHWWDKFRSYKTDTRSEEFYSLPFNLNKYFPSV >KZM86843 pep chromosome:ASM162521v1:7:7544086:7551864:1 gene:DCAR_023977 transcript:KZM86843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCIEKKNIGTGSRGEEGDSDSRKSGEQHSSKSNGSIMGSCSVIDERVLVDPKLLFIGSKIGQGAHGKVYEGRYGDQIVAIKVLNRGSNPEEKDALESRFAREVNMMSRVKHDNLVKFIGACKDPLMVIVTELLPGMSLRKYLLSIRPNQLDLHMALSFSVDIARALDCLHANGIIHRDLKPDNLLLTANQKSVKLADFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPEDISPELAFIVQSCWVEDPNMRPSFNQIIRMLNAFLFTLPPPSPSLLEPDTVESAETTSNGTVSALSARSRGKFAFLRQLFAAKKTRSPQMSGAETIQRQPMFTVSNLKSPVGKISGNFSMSKYAI >KZM88005 pep chromosome:ASM162521v1:7:23237612:23237911:-1 gene:DCAR_031528 transcript:KZM88005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLCSCGMVAVQRTSWTDNNYARRFLGCVYGAKGCNYFRWVDPPSCPNCCHVIPGLLRKIEVCEEKVIKLEHDKKKLKKWCLIVFVCVVSMAVSRLVS >KZM87698 pep chromosome:ASM162521v1:7:19530855:19533042:1 gene:DCAR_024799 transcript:KZM87698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRLFPEDDALLYSLSRFGFIFYMFMVGVKMDFNMIWKVGRKAVTIGLGASLAPMITAAILWANLAQYFPLYQRSAILTVIRIKIITPFPVVVALLVDLKIMNTEIGRLSLAAALVADLIAEGGLVGLNAYRILEESLSLSMAWLGFIQSFVIITCVLVGTRPWFSRIILRTPEGKPVRQGYLAFIYLAVLFTAFLCTNLGLPDFYIPFLLGLAIPIGPPLGSTLAIKLDTFVSGLLAPLMISSFSLWIDLTDFSDIDFLKTICMITLAGITIKIVFVLGPALLNNLPVRDAITISIIMSAQGIAQAAFYDMNYRNQNIDSETFSLVMMLMALLAAAAHLSVKCLYDYSKIYRGYEKRSILSTLNNSQLKVLVCSQRSDDAMAAMKLLEASSSREAPTQAYALNLVELVGQATPLIINHGVGQKFYSGHTPSRQIINLWKNFEQQYSGLVSVQAFTSVSLPRFMHFDVCSVAFDNSASLIILPFHRKWNQHGKIILENTLQRTVNREVLGTAPCSVGILVDRRKIRTEPSTDQHRQSRYHVAVIFLGENDDREALAYAMRMAKSTRIQLSVIRLVPSEVSEENWDAVLDREMLRETKILSRQQSNIVYREETTSDGGETALIVNSMVDSFDLIMVGRHHREHSPLLAGLSQWNDIPELGAVGDILASAEINRPASVLVVQQQIVK >KZM87533 pep chromosome:ASM162521v1:7:17893010:17898121:1 gene:DCAR_024667 transcript:KZM87533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLGKITLIVGAGLIGSVLAKEGQGSGVSDFFSGALKLVFKQLKSDDVKTSRVKAPNDSLLQQVNSLRQELQLLSSNRPITIVTSTGSDGTKYGAIVVVLVVGYGYVWWKGWKLPNLMFATRRSLSEATTKIAKELENVYSSIASTKRHLSSKIDRVDAGLDETAKLTDATRGEVSELRDDLKVVGGDVRSVRHAVQTLETKLSRIEGKQNYTVENVVKLVDFAWTLENGRNKEQTQAIQSTPSRPAIELPEMTAPSMSGSSTPNLALELPSPSASAESQKSKRPLQTAVSGSGLKDLHGSPSGSPAVTNGVDVTEEANQINSSSGVFGRLHSGFTSAFISRTRSAMPSLK >KZM86312 pep chromosome:ASM162521v1:7:1608926:1609280:-1 gene:DCAR_023446 transcript:KZM86312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMEQKDVPLLGEAAEPSGVPTDQAADQHVNSTDVFLPNISEEPISFKCIKTDLEIMKDRLKKIREEVAQIREELVQEEEG >KZM88563 pep chromosome:ASM162521v1:7:29214774:29217576:1 gene:DCAR_025638 transcript:KZM88563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMRRALMSAIPRILNNSKPVADPIHFSPIASHNTYRPFVGSIFRFSSSTTRENYTIQSLDTHIDMSTEESKRRLFNRLAYRSKQRGFLELDLVLGKWVDENIHSMDETAVRSLIDVLNLENPDLWRWLSGQEQPPEAISNNPVFTAVHKKVMGNLDSYASPETRASAGKPWVRGWDDFKKGKDSPIVGNQ >KZM89147 pep chromosome:ASM162521v1:7:34333944:34340315:1 gene:DCAR_026222 transcript:KZM89147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYQRRRKGLEGLVKPGEAINSGNEGQGPVHQVADLPGENSMMESILTLTAPAAPSQVSSSQCKRLKLRVDPAKASNSGNKGRGPVHQIAYLPGKNSMMDSTPQTVRIVVVGLMAPSQDKSGPQPKQSKRRINPVKASNSACKQSQHPRQRKNRKRVAADLDDSEAEQTRASVSRAHRQSRRRVRNARQKGMLDDKLLNKYLGNHWSLLIFCHVGEDLQSKAATPCILLLDSMRKNNPKQDIEKVLREFLLDIYEGEGRSVSKQQIDKIPLLVPKKHLDCLKLPDDFQVPQQTGDKECGYYVLYYITQFLMSSPESFSVSDGYPDFMSQDWFTAEQVTSFRETLPAPDQETGDYYFTDMAITFYNLSSEDGIKKLDEYLLSRSYISGYQASKDDLAVHAALAKPPSSKYVNVSRWYNHIEALLRISGVSAEGCGVTVEGSAVATPPAADTKATGKSSVLLDIKPWDDETDMKKLEEAVRSVKLDGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDDLVEDYLTAEPANEYIQSCDIVAFNKICKF >KZM87305 pep chromosome:ASM162521v1:7:14038259:14041603:-1 gene:DCAR_024439 transcript:KZM87305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKGLDYESLNENVKNTQYAIRGELYLRALELQAQGKEIILTNVGNPHALGQKPITFPRQVVALCQAPFLMDDPNVGVLFPADAIARAKHYLSMTSGGLGAYCNGGGLLGIRKEVAEFIEKRDGYPSDPELIYLTDGASKGVMQILQTIIRGQGDGILVPVPQYPLYSAATSLFGGSLVPYYLEETANWGLDIQNLHQSVSEASAKGITVRAMVIINPGNPTGQCLSESSLKEILQFCNQENLVLLGDEVYQQNVYQEERPFISAKKVLMDMGPPMSKQVQLVSFHSVSKGYWGECGQRGGYFELTNIPPQTIDEIYKIASISLTNCTGQIFLGIMVNPPKPGDISYDGFLRESNAILGSLGKRARIMTKGFNSCRNVVCNSTEGAMYSFPQIRLPPKAVEAAKRAGKVPDVFYCLKLLEATGISTVPGSGFGQKDGVFHLRTTILAAEEDMHGIMASLKKFNDEFMEQYEDTTEAILGLNE >KZM88340 pep chromosome:ASM162521v1:7:26964512:26966152:-1 gene:DCAR_025415 transcript:KZM88340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQEQRYLCRFCSKSFSSGNSLGGHMRGHLALINAEKCVESEDGDLGFDREEVVEEKNDPLLSDMDLDDVGEASYVLRENPKKSWRISPSKHGGEIKEMNFCKECGKGFPSLKAVAGHMRSHSIKGKQENAVCKECGKLFDSPRALFGHMRSHSKRSKGSSESDYQLVSPVKKKRSTKRYSTVTSHSSISHSDSFVCFTEVDEVKEVALCLMMLSRGVNCGDEFSLSMDTAKNCSSANLESWSSFQKKDDSRSDYGGIEMRKMKMSVGNDIQSTVSGFGNFGSCFDSDDELKSGVDASDEQSLNCGKFKKTLDTTSINPVGTKLMDLGTEVGPEKIDMGLQKAKPSNKASVLYDQCELNKIFCDELQYATSASEFSKDNERKGKFMCKICYKTFKTPQALGGHRTTHRTVYSRSTVKEDSPAKVKENSNKVTETDSKLEQLKCDENSAEKDDMTRSKEHECAICFKVFASGQALGGHKRAHYIGIPVSKGKGIALMEQDPPDHTDNNLDLNFPATAEEESSTGVSLNPWWGVNDFECEPLAIFN >KZM87229 pep chromosome:ASM162521v1:7:12796796:12801830:-1 gene:DCAR_024363 transcript:KZM87229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGARIYVPVSVAETRISNRFNSIPTGTLYPNADEIEYLQRLDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYDYDEGPKLVHRLDRESSGIHLMGRTKESIAHLQWLFSSIPKGKSSDKVLLNDGKTERVILAHGSGLEASQEAVTEYRVLGPMINGCSWIELLPLTSRKDQIRVHCAEALGTPIVGDYKYGWFTHRRWKQMPRVDFEPTSGKPYKMRRPDGLDVQKGSVLSKVPLLHMHCRELVLPNIAKFIQLHKNSSEKKHKPRDSNSKPDLLRFVVSMPSHMKISWNLMSSYLV >KZM89226 pep chromosome:ASM162521v1:7:35125184:35128127:-1 gene:DCAR_026301 transcript:KZM89226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEESYQTQYLDLNSIKELPESHTWTSLQDDCTDCLSNESESLPIIDLNDPDVLALVRHACETWGVLQVKNHGVSLRLLEDMEAATTKLFSLPIEQKLKVSLSSSNDISGYRLPKISPFFPKLMWREGFTIFGSPVEHAVKLWPQDYKPFCVLHRALVNRKQQRISVAYIYGPPANVLISPLPKLVDHYHLCLFRPITWTEYLELKSKHFTNTLSLIQISEIPLNPKRRTGKGVEIPACSCKPTYKVPSRQNIDVVEQPGFRIVEATVKEGFKDWIQGCWLGVTAEPCWEDSLQEFIISQGFSFIQVKQLSPRTCLLTLVDKEDMHFDMSN >KZM88814 pep chromosome:ASM162521v1:7:31492734:31505514:1 gene:DCAR_025889 transcript:KZM88814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTLTLDSSSLFLNSLNSTPSPLTPFPTDFPQSKRIPNSRFIVDGFRHAGDHSVSYFLSHFHSDHYTGLSPNWSKGIIYCSQITARLLVEVLKVPAAFVVSLPMSEIVVVDDCEVRLVDANHCPGAVQFLFKVRVSDGGFERYVHTGDFRYCDDMKLDDALCEFVGADVVYLDTTYCNPKFVFPVQDESVDYIVEAINRIGVENVDKSKSVLFLVATYVIGKERILVEIARRCNRKIHVDRRKMDILGVLGFGEDEVFTEDESESDVHVVGWNVLGETWPYFRPNFVMMNEIMAAKGYSKVVGFVPTGWTYEVKRNKFAVRTKDSFEIHLVPYSEHSNYEELREYVKFLKPKRVIPTVGVDVEKLDSKHALAMQKHFAGLVDEMAVKQDFLKSFHRGARNSSDKPTNVNTAGIEEKDLASDEVQTFENIDSELHDQAPVPQDILLVNDNDIEELVQELHDCLPAWVTRDQMLDLLQSSNKDVVEAVSYFYEHEIEFHEQAAASTSSLCKSQPGSESDSLFPLTPDTKAHDTRAEKSPLSLRFNSLGTKPAIKHDISPAKKKRNIKNKPSKRARTGSSKEATVPTQHTITKFFSKLVCPDPGADKLVNVCAKSDEENIMSQMDCPSPYKEELEQFMQIVDGSPSLRNYASHILDKSKGDISKALDIYYSDRDCSLDENKGKLTESSKSGTVQNHTGCCSSVQAPKETENLLKMDDVSAVIQPLNKAVSLVPEKDKVHISPREQPTDVASCLVSLPPQDYSPIEHACWKGGQPAPYLHLARTFDLVEEEKGKIKATLMLCNMFRSLLALSPEDVLPAVYLCTNKIAPDHENMELNIGGSIIVSALEETCGANKSKIRTMYNNLGDLGDVAQLCRQTQSLLATPAPLSIRGVFQALHKISPEQLFVIDQCNGSTSRKKGLIMNLMRSCREKEMKYLVRTLVRNLRIGAMMRTVLPALAQAVAMSSSPAERSTENTKQQYQALSAVVLEAYNVLPNLDLLIPSLMDHGIKFSSTTLSMVPGTPIKPMLAKITNGIPQVLKLFSCKAFSCEYKYDGQRAQIHKLGDGSVRVFSRNGDETTSRFPDLVNIIKESCSSEASSFVLDAEVVAVDRKNGCKLMSFQELSSRERGGKDSLIAVDNIKVDICVFAFDIMFANGEQLLSIPLRQRQTYLKKLFGNERAGYFEYARGLIVEADDAVMTNDETLTKMNSFLDDALRSSCEGIMVKSLDVEAGYTPSKRSDTWLKVKRDYVEGLNDTLDLVPIGAWHGNGRKAGWYSPFLVACYNPDLEEFQSVCRVMSGFSDPFYIEMKEFYSEDKILDKKPPYYQTGEKPDMWFNPELVWEIRGAEFSISPVHHAAIGLVHPSRGLSIRFPRFVRSRPDRKPEECSTAMDIAEMFNSQTRKMDVNVAE >KZM86758 pep chromosome:ASM162521v1:7:6556739:6558055:1 gene:DCAR_023892 transcript:KZM86758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRGTGHDFEGVSYVSQVPFVLVDMFNLRSIDVDPEARTAWIGAGATLGEVYYAIAQKSKTLGFPAGVWSTVGATGLIGGGGYGILRRKYGLAADNVLDARMIDVNGRILNRNSMGEDLFWAIRGGGASSFGVILSWKINLVSVPKIMTLFEVVTTLEQNGTDIVHRWQTVAPHLPKEVEIRIVVEPIRTDSPADGIGTVLSESSQIRGSKTIRFRIVGSFLGRIDQLLPIIDQAFPELGLKRENCNELSYIQAVLTFSLISPESPLETLLERSSLKIPFKAKSDFVKQPISKRGLNGMWDRLLQTEPQTTNVILTSYGGRMDEISESSIPFPHRAGTLYMMYIRVLMDGDTTKALEWIRGLYSYLAPFTAPRTAYVNYNDFDLGVNNLHDPTSFEQASAWGKKYFKNNFDRLVAVKSKVDPTNFFRHEQSIPVRSC >KZM86145 pep chromosome:ASM162521v1:7:69905:71368:-1 gene:DCAR_023279 transcript:KZM86145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGQLQRRFLEYTASLFNQGLLDGQFAQLQQLQDESNPDFVLEVHIVDFKKVDAYVHQFKGSSSSIGAQRVRNVCIAFRSFCEEQNTEACMSCLLQLKQEYSLVKDKLQNLFQLEQQIVEAGGAVPMLEY >KZM88460 pep chromosome:ASM162521v1:7:28102298:28105430:1 gene:DCAR_025535 transcript:KZM88460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHKHQTSSDSPTYRHHHHHHRGVDYKRSVTHFIFSHQLLLTLLWTFGFLLIFIWQRSAVDRVLEYRRWAIPSRPIPKLRSLVLDLRDFGAVGDGYTLNTAAFEEAIREIKRRGGGQLNVPPGNWLTAPFNLTSHMTLFLAQNAVILGIDDEKYWTLMPPLPSYGYGRECPGPRYGSLIHGQNLNDVVITGHNGTINGQGQTWWKKHREKLLKHTRGPLVQIMWSSNIKITDITLRDSPFWTIHPYDCKNVIIRNVTILTPLSDAPNTDGIDPDSCVNMIIEDSYISVGDDGIAIKSGWDQYGIAYGQPSINILIRNVTVRSTVSAGVSIGSEMSGGVSNITVENLLVWNSKRAVRIKTASGRGGYVKNITFRNITFDNVRVGIVIKTDYNEHADEGYDRNALPVIDNISFSGIRGQGVRVPVRIYGSREIPVSNITFKDLLVGISYKKKHIFQCSFVQGRVIGKIFPAPCENLDIYDDEGRLVKQATSDNSVDADYDA >KZM87135 pep chromosome:ASM162521v1:7:11370286:11370606:-1 gene:DCAR_024269 transcript:KZM87135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEELEACPPTDDISTNLRVGGHPSEFNVENIGAYPLPAPGPINVPPSVAELEACPPTDDVSTNLRSGGPPSEFNVKREGAYPLPGPIIPTSVEELEACPPSDN >KZM88242 pep chromosome:ASM162521v1:7:25970753:25972219:1 gene:DCAR_025317 transcript:KZM88242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKWLQKLLTRKREDKEENKDTSFSLEDAVLPAAVFPGTPNQKRRWSFGKSAASTDNNNHKTSRSVDAIDGTILPLDLLEYRSEQNHDANQLVPHNEASYRVVSARFAAAKQYRAIADAAATKIQAFFRAHLARKALKALKGLVKIQALVRGHLVRKQTSEMLRCMTALMSIQVRARVQRIQMTEDPPIVVKRNLIHREPAHNQLRRGHSDNLNLSERRGSSRWNHQQLQTREHEFSTNYSERISVSKQENHGRISVSKEESQFHVYPNQSPLTYTSCSQFEELFYGTPDRISQRKYKVSNFSHIKAPESPSVDQKYMANTSRANLRSCSEPKQRPFKQKTVRSTSFGGINTTPDNRDQNQSSNGKKKQPPWSIKRYRAAKSSKDIEYDSNRIVTGDSSYYRTPTHTNK >KZM86506 pep chromosome:ASM162521v1:7:3551362:3560362:1 gene:DCAR_023640 transcript:KZM86506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSSAVMAGPASQLESSPIRIFLLFHKAIRSELHALHQAALAFATNPSSDLTPLLDRYHFLRSVYKHHCNAEDEVILPVLDIRVKNVARTYSLEHEGESDLFDQLFTLLNSDMPNLESCRRELASCTGALQTSISKHMSKEEEQVFPLLIEKFSFEEQASLVWQFLCSIPVNMMAEFLPWLSSSISSDEYRDMCDWFWTVIPKEELLQQIIFSWMDRKKINKKRKNLEDHSEDQRCPYSRGGASFCPSEEGRSACKSSRTGKMDFLESDFCQTESFPNRPVDEILHWHKAINRELNDIADAAKRIQLSRDFSGLSKFNKRLQFIAEVCIFHSIAEDKVIFPALDAELSFAEEHAEEESEFDKFRSLIESVERAGANSSSAEFYSKLCSQADHIVGSIQKHFQNEEVKVLPLARKHFSPTKQRELLYQSLCVMPLRLLESVLPWLVGSLSEEEARSFLYNMHMAAPVSDIALVTLFSGWARKGRPNVVFSSANKTSSCPATMATASDKGSENVCPCKPCTPLESVFSTCIRQVKQEENNGVDTSGDIAISFSNDPCCVPGLGVDRKNLLVTAKSLRSPSFGPAAPSLNSSLFNWETDISLTNNGHVTRPIDNIFKFHKAIRKDLEFLDVESGKLNDCNETMLREFNGRFRLLWGLYRAHSNAEDDVIFPALESRETLHNVSHSYTLDHKHEEKLFEDISSSLSELSGLHQKKMTPNSSSSRHSDNVIKYGELVTRVQSMCKSIKVTLDQHILREELELWPLFDQHVSLEEQEKLVGRIIGTTGAEVLQSMLPWVTSALTQVEQDKMMDTWKQATKNTMFSEWLNEWWEGTSNSSSQPSTSDDSVLEDSYSHEALDQSGENFKPGWREIFRMNQNELESEIRKVSRDPTLDPRGKDYLIQNLMTSRWIAAQQNLPHARNVKDSVGEFLRGCAPSYRDTAKQVFGCEHYKRNCKLRAACCGKLFTCRFCHDKVSDHSMDRKATSEMMCMNCKTVQPVGPICVAPSCNGLSMAKYYCSYCKFFDDERTVYHCPSCNLCRLGKGLGIDFFHCMTCNCCLGIQLLDHKCREKGLETNCPICCEFMFTSSETVRDLPCGHYMHSACFQAYAHTNYICPICSKSMGDMSVYFGMLDALLGSEELPEDFRNRHQDILCNDCERKGTAPFHWLYHKCASCGSYNTKVIKLATMEMS >KZM88689 pep chromosome:ASM162521v1:7:30405348:30407264:1 gene:DCAR_025764 transcript:KZM88689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIALSLFLFLCLLGAQSSTDFQIHQFGPDDAHIFYQGDAIASVGAVEMINRRTYQSRVGRVTYAGHVRIWDSDTGKLSDFTCHFTFTIDTASLPFYGHGLAFFLAPVGSQIPLNSAGGFLGLFNTSNSDSGDGIVAVEFDSYANPEWDPPYEHVGINNNSINSSVITTWNASLHSGDTADVWVLYNSTNKTLSVFWSYKENLDFQKNSSLSYQIDLREVLPEVTTVGFSSSTGQNGERAILKSWEFSSSLSIKRRDTEQGKVIVSTTVTVGVFLSLTTLILFMFRRKQRARKAAENLTSFTGDFDKAGPRKFSYQSLAVATNNFSAERKLGQGGFGCVYKGYLTDLHIPIAVKKISRGSRQGKKEYLTEIKIISRLRHRNIVQLIGWCHDQGQFLLAYEFMPNGSLDVHLFGNKSSLVWPVRYKITLGLASALLYLHEECEQSVVHRDIKSSNIMLDLNFNLKLGDFGLARLMDNELGLQTTGLAGTFGYLAPEYISSGRASKESDIYSFGVVILEIVTGRRSRDILKNGENEWGLLEWVWNIYGSSGLLSAVDGRLNSEFDAAQVECLIVVGLWCAHPDRNMRPSIRQAIQVLNFEGEMPSLPTTMPVAIYHAPLNVPVASSTKASITCTSIDVGR >KZM88211 pep chromosome:ASM162521v1:7:25510758:25510998:-1 gene:DCAR_025286 transcript:KZM88211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYYVLEICTACITGLSSLNTPSLYKAHSPYAFSSGLSSSPSHVNIWI >KZM87937 pep chromosome:ASM162521v1:7:22228374:22228751:1 gene:DCAR_025038 transcript:KZM87937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQHSRYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTAAAVTVRSTIRRLREQTES >KZM86179 pep chromosome:ASM162521v1:7:407057:407637:1 gene:DCAR_023313 transcript:KZM86179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQKHEQEITSSLSAEKIFNGLIVDVDTIFPKAAPGAYKNVEIKGDGGVGTVKHITLPDGSPVTTMTLRTDALDKEACTVEYSIIDGDVLLGLIDKVETHLVVVPNADGGSTTKTTTIFHTKGDAVVPEENIKYSEEQNISVFKAVEAYLIAN >KZM87571 pep chromosome:ASM162521v1:7:18257840:18260676:-1 gene:DCAR_024698 transcript:KZM87571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKTLFPFVFPFTSPNPQRPATEIRFARWNNANAEKYNAPKRSQKEIEDELRMTRRFESAENIIDDGENDKQNTKSIGTPSVPSRPSIPGRQSKYSKKVHPAFKAFPKFQKIPQGASASASAAAVSGIKIGENGVSYLVPDAPFEYRYSYTETPKDVKPLKLREAAIPPFGPDTMPRPWTGRKPLPPSKKSFEFDSFKLPPPHKKGVKPVQSPGPFLAGSGPKYVHSREDILGEPLTQEEITDLVNSCYKSSRQLNLGRDGFTHNMLENIHAHWKRRRVCKIKCKGVCTVDMDNVRKQLEEKTGGQIIYARGGVLFLFRGRNYNYKTRPRYPLMLWKPITPVYPRLVKRAPEGLTLEEASELRKKGRELIPIRKLGKNGVYCDLAKNVREAFEACELVRIDCQGLNPSDYRKIGAKLKDLVPCVLISFEHEHILMWRGRDWKSQFSEEEKFGKSEIESTSITSHPEGQVNTNLHCSIEIAGSNNVDISPSPFVGEVVDPDESKKLRVNMDEDLLSGISDDIPSCSGSTADGAETLTKTSGTIAICGGPEEIPSDANNVAPQTCNEVQDVCENRGLCKTDDMKMHWMEGVIMLRNKAIEGGSAVLLEKSCLDADIVYERAVTLAKSAPKGPAFEKRPRKASVKKDDASKVGGEVEAYASRAGAVEAVDAAEIMVIPEKGRSVNKSLTNQRKKSSREDFSNIVPRGSLRVDELAKLLA >KZM87169 pep chromosome:ASM162521v1:7:11981575:11984041:1 gene:DCAR_024303 transcript:KZM87169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSFSQLGGIAGAPITAESGSIISGEASKATVSAWKSPATTTLRCAVRAPGGETDRISPSPPVSPVMRGDLSAACKAFDEAVVEQGRYARGGGAQDKGKGVPVYVMMPLDSVNMGNGLNRRKAMSASLQALKSAGVEGIMMDVWWGLVERDEPGVYNWGGYQDLLELAHKFGLKVQAVMSFHQCGGNVGDSCTIPLPKWVVEEMEKDPDLAYTDQWGRRNYEYLSLGCDTLPVLKGRSPVQCYSDYMRAFKEKFNHLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWRFPGIGAFQCYDKYMISSLKAAAEGIGKPEWGNTGPTDAGSYNNWPEDTNFFRKEGGGWDGDYGEFFLTWYSQLLLDHGERILTSAKSIFENTGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMFARHGAVFNFTCIEMRDHEQPQDAQCAPEKLVRQVALATREAQVPLAGENALPRYDDYAHEQILNAASLNLDDDSGAEEMCAFTYLRMNPNLFQPDNWRRFVAFVKKMKEGKDTNKCWEQVEREAEHFVHVTQPLVQEAAVALSH >KZM87623 pep chromosome:ASM162521v1:7:18692086:18693681:-1 gene:DCAR_024737 transcript:KZM87623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSKVGLNKGAWTSGEDKILADFIHLHGEGRWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISSDEQDLIIRLHRLLGNRQATLSSHLFPPFFNLLRAFLSEASFSAWSLIAGRLPGRTDNEIKNYWNTTLRKKTHVNQTASTSASPPPKSSRTKQCSTDKKRKKKDKKNVESTHQNVASSQVTHHSLITPPKSDHHPTLMDTTSPEPLAAQNLMPKQDSSSNGQSLDLVPDVDSSDMGFKELLDFDFSGLLDTNNDDQRDSEIETTSLISLNENPLMDVLVDEFWSAVEEPNLAACNPFNQYFASESDFLGDWLA >KZM88076 pep chromosome:ASM162521v1:7:24175763:24177103:1 gene:DCAR_025151 transcript:KZM88076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTIQQISECFIKPSHLSQDSKQVIPLATWDLAMLSYHYIQKGLLFKKPDAQDNFTQVFLQKLKDALSLTLAHFYPLAGRLAKKQDSHSFALFVDCVNSPGARFVHSSVDTTVSDILSPPYVPIIVQSFFDHHKAVNYDGLNMSLLTVQVTELIDGIFIGCSVNHSVSDGTSYWNFFNTLSRNFQGSGVTSQPIHDRWFPDGHGPFLSLPFTRDDQFISRYEAPILQERIFHFSVANLARIKAKTNALCKDRAVRISSLQALSAIIWRCLTRVRGLTKDQITSCCMSANNRLRLDPLLSTNYFGNCVQVLKTFTTASKLLENNLEWAALQLNQTIVQHDDKSVRESVATWLKTRHPKQLGLPDDPGNIVISSSPRFNMYGNEFGFGEPVAILCGYANKFDGKVTLYQGSEGGGSIDAAICLNPKIMSALECDEEFLDALNSSSD >KZM88848 pep chromosome:ASM162521v1:7:31739467:31741263:1 gene:DCAR_025923 transcript:KZM88848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEDALPVSEPTSFHSTTALTSSIAKKESSVSSGLFDRGRYKFWALAAILLLAFWSMFTGTEMEEREKLVNHMWDLYTNNRRIKIPRFWQDAFEAGYEDLISDVVQVREAAISQIAKMSMHSIHLDLIQPSTQKLNLKKGVKEAEAGNSAGSTQ >KZM86633 pep chromosome:ASM162521v1:7:5115879:5116674:1 gene:DCAR_023767 transcript:KZM86633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGILLALSFVASSILGGETATFTITNNCPYTIWPATLTSSGPAISSSGFALASHTSNALNAQASWSGRIWARSFCNDAGTSCSTGDCGNLQCGSGGAPPATLIEFTLNGDGGKDFYDVSLVDGFNLPVNVVPGGGCPQTSCPVDVNADCPGDLAVKDQSGATIGCKSACVAFNQPQYCCTGDHGTPDTCPPTDYSKYFKGKCPQAYSYAYDDKSSTFTCPTGPDYAITFCP >KZM86727 pep chromosome:ASM162521v1:7:6245071:6248049:-1 gene:DCAR_023861 transcript:KZM86727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRYQNLFLCHGMLKLFAVAACFICCVADSTAPLPPSPEDKACELNLTPFPYQPEGECINTPKEINAWNSVTTSICCRNALAVFVKALALRANLGKNADSLFLQNDKWENCSGPFLRQSSVSIPSCGFKNLYFNSTKCSAMSYSDMISDDFFHNASVSCSQFGYSFDRDCSTCTDAITRAKAHLLSKYQKSGNDTEGATCGVAILISLAAQRISDPLYVSDLFRCASALNIYDPGYIKLKFSLVKALLALVIAAIVLILVIVLIKHVIKNNKKDYKHVKAKEIATWSGLYRFSKAEIENAINFGNEKKCLGRGSAGTVYKGILPSGQVVAIKHIYKSNNSDTFTREVEGLSRVRHPNLVCLFGCCTEDGEQYLVYEYCSNGNLAQHLLRKDTFLTWERRVKILRDCSLGLRYLHHYIDGCIVHRDIKLTNILLTENLEPKLSDFGLAKMLGIEESKVFTDVRGTIGYMDPEYMSNAKLTCASDIYSFGIVALQLLSGQKVIELDLDARDQLTRKAKDVSMGKRPLSEIKDPRLQGNLNSVDYESILQVAVLCVARSSKGRPTIDVVFDEMDKAWKNTIAEKIKDGSERISNFPVEITGRDAGLSCTDIVMFLN >KZM87717 pep chromosome:ASM162521v1:7:19675838:19679652:-1 gene:DCAR_024818 transcript:KZM87717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGIIIASIIVVITPIVKVIFTVKKCRRQRKKAIAFFHPYTNTDGGGERVLWCSVKGIQELSPDLDCVVYTGDPDASPETLTARAVDRFGVTLPYPPKVVHLYKRKWIDDTTYPRFTLIGRCLGSVYLSWEAFCKLVPIYYFDTSGHAFTFPIAKLFGCKVICYIHYPTITLDMLSGGKAPTPLMYDNDPEVAESIMPSRYKVLYYTFLSWLYGKVGSCADVAMVNSSWTRAHINKLWRIPNRTFRVYPPCDTTELQELPLERSAVPPKIISVAPFRPEKAHNLKLEAFALVIKSLDLSLPMPILQFVGSCQNEAEERRLQKLKDRAIELKVDDHVEFYKNVARNEVVQFLGGAIAGLHAMTDEHFGISIVEYMAAGAIPIAHNSAGPKTDIISTEDGIQVGYLARYVEEYADAMLQVIWMPENERLEMAAAARQRATRFSAQRFYRHYKAVVQRVLCHSKD >KZM87915 pep chromosome:ASM162521v1:7:22015799:22016506:1 gene:DCAR_025016 transcript:KZM87915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYMWEPPEAAFVKINVHCLTVAQPLLNGNSNSVGVIVRDPTGKELWSAAGPMPGKSKLQATVWGIYHGALQCHQLKKWKTHTETDHWGAVEAISFQEEFQQQEDIQEVLRLFNTLHANNFQVGLTSRTITRVPVSLNGAAVFLAQFGLDNLSCFVETPGSWGEKQLILERDMGRMLTLTPPENFGLGEVIDAEEEQVDEVVSLVVPASTAEESTLKKLLSYPAIVCKAIANRWF >KZM89090 pep chromosome:ASM162521v1:7:33795185:33796846:-1 gene:DCAR_026165 transcript:KZM89090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSTQLQVLVFQLSKSRQTLPNIKQLHAFIAKTHLSIDPFYATRLIRFYALNNDLESARHLFDETPHRTVYVWNSIIRAYAQANKFHDAMWLFNAMRGSETMPDNFTFACVLRLCCENFVVGGLRGVHGSVVVAGLGWDYVCCSALVNAYARVGMIGDARRVFSGVREPDLVLWNSVVSGYGICGKWEEGLELFSVMRIRGIRPDGYTLVGIITGLGDPGLVRIGQGIHGFCLKCSLDCSPHVSSAILSMYSRLMCMDSACRVFDTLCQPDLVTWSSLITGFSQCGDNKMALVFFRNMIMEGGKADPILIACALAASAQVAMVGAGSEIHGYAIRHDYASDVMVSAALIDMYPKCGFLGLGIQVFRYTPRRNVVSFNSIISSLGLYGLPSEAFDMFGELLGEGLKPDEYTFSALLCSCSHAGLVNDGRRFFTRMTDEFSIIAKTDHYVHMVKLLGMAGELEEAYNLVQSAPEPVDPEIWGALLSCCDVHKNTEMADNVAQRLFGIEPGKDTYRVMLSNIYASDGRWDDAKQLRDNVIGGRQKKMPGLSWTEV >KZM86368 pep chromosome:ASM162521v1:7:2059255:2066738:-1 gene:DCAR_023502 transcript:KZM86368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLTLHLCRSLSGHSSGIDSVCFDSSEVFVAAGAASGTIKLWNLEEAKIVRTLPGHRSNCISLDFHPFGEIFASGSSDTNLKIWDIRLKGGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHEFKSHEGQIQCIDFHPNEYLLATGSADKTVKFWDLETFEFIGSAGPEATGVQCMTFNPDGKNLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDINVHEGKLLGCSYNHSCVGVWVVDISCVEPYAIVNTPRSNSISGPKANLSRSVSQTENTAKTSTARQQNSNLINKESKSFPYVTRAHRKDQDTSAMESQRAATTRSLPTNWEKKDRSPGHKGFASSNLSGAVSSVNTLPNVRLYENMQDFFLIDQHFPFLHLYVILGMLAIIGISFLKSVALSFNFNIRGTSLSTEKEMEPVNEEDTISALTEQHKKFVGSLQSRLAKLEMVQKYWERNDIKGAIGMTEKMSDNAVLADIVSILAEKPDIVTLDICTCVLPLLTSLLESEIDQYE >KZM87451 pep chromosome:ASM162521v1:7:16543314:16544682:1 gene:DCAR_024585 transcript:KZM87451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKVNHDMTAPLSHYFIYTGHNSYLTRNQLNSDCSNVPIIEALKRGVRVIELDLWPDSNSRKGAIQVKHGGGLKKFGMLAVALGIAGDTAVFYEVRIVGTQDKLLDDTGSHYFYQSYIE >KZM88322 pep chromosome:ASM162521v1:7:26800147:26802678:-1 gene:DCAR_025397 transcript:KZM88322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTSFSWQTCHFVACFFIITTLSWSPDAASPSYDPPDNIRLNCGSTKFFTTSRDGIQWVSDSTTSPDIFETSTTSTANEQSPSVDKTPYSTARFFRSQFTYTIRVSEAGQHFLRLYFYPANYSNGLKEAMSFFTVNMSDHTLLSKLSAFVNLRSSSSTLVKEFIITVDQNRLLELTFIPSPNSYAFVNGIEVVSIPNNLYIKGSDDITAGIRMVGQRNEVFINESKPIEKLYRLNVGGGEISSTNDTGMFRSWDSDEPYLLGSSLVATPNPRIPIKYTAVAPPYTAPEIVYNSARLLPHKSHNTNLTWVFPVDSGFLYLLRLHFCDVLMEIYDFNQLVFDILINNQTAEEYVDVYYLTGGIGIPVYKDYVVFVGKNQDGSKSKPYLWLAMHPNMKNLSVYDNVILNGLEIFKLNPQGGTFAAPNPKSEHINNKSGASQSALIGASQSALIGGSIGGIILFTMVVGLLLYRRRRAKSQDDKSRSTTGINSSLPSVRSQKFCLEEVKLATSNFDQNFVIGTGGFGNVYRGFMKDSTYAVAIKRLNPSSRQGAHEFHTEITILSNLRHRHLVPLIGCCDDSSEMILVYDYMVHGTLRDHLYGADNPPLSWKQRLQICIGAARGLHYLHAGAERVIIHRDVKSTNILLDEKMVAKVSDFGLSKMGPSDASVTHMSTVVKGSFGYLDPEYYLRRQLTTKSDVYSFGVVLFEVLCARPEIMQDLPYEQMNLAEWSRNCYRNGLLGEIVDKNVAGEITVESLNKFGEVGYSCLRDHGIDRPTMRDVVSGLESALQLQESFEKLDHENLFPANTVAKARSGQASTSSTCSEGFKSGVGSVFSDILNPNAR >KZM88253 pep chromosome:ASM162521v1:7:26110265:26110858:-1 gene:DCAR_025328 transcript:KZM88253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIDQLVSDLMKKDENFEVVSLWGMGGQGKTTLAQKLYNHVKIRDHFKAFAWVCISQQFDREKVLKGILKQLLPDDRKGEVSNMEDPDLVDGLRRVQLEKKCLIVIDDIWNNDSWRMLQPAFPLGETTSGCKILLTTRNLTVAEIGSVCKIPGLTEDEGWQLLSRKTRIYDQPGYFYELSILSTDMNVYKSMICT >KZM86283 pep chromosome:ASM162521v1:7:1271466:1273933:1 gene:DCAR_023417 transcript:KZM86283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKHNSAMKNEEETLSVSISTPPPRFRVSGSSKSKNLQKTEVLNGGVSVSCSPQSRRANSVPPRDAVQNGSSSQKMIRRSILNNRPKSGEGCVSGSQGGREFEEIKVVHRSVSRGVVESYARPNKNTKKRSDIDMVDVKKVLEEKLDQKEILIRELQSEVLELKAELGRSQSLNAELQSRNKKLSDDLALAEAKNTDLTTTKEKDLVAEIPSSKFKDIQKLIANKLESSMAKKDSVIETSTKIAPTAATRSPGAGIANVQPKFYDCPSNLPPPPPPPLPPRRAAKAASPRKASPVVEMFQALTTKKGKNPSVGGNYSKQIASSAHNSIVGEIQNRSAHLLAIKSDIETRGEFIESLIQKVLAAAYQDIEDVLQFVDWLDNELSSLADERAVLRHFQWPEKKADAMREAAIEYRSLKLLETEVYSYKDDTTVPCGTALKKMAGLLDKSERSIQRLIKLRNSVMATYKNHKIPTDWMLDSGTVAKIKQASRMLAKMYMKRVILELESFRYSERESTQEAFLLQGVHFAYRAHQFSGGLDSETLCAFEEIRQRVPGHLQSSREIFSAIPLS >KZM86878 pep chromosome:ASM162521v1:7:7907024:7907602:-1 gene:DCAR_024012 transcript:KZM86878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNEATMPAVLPICCEETGKGDSCLYFEVRISSTCPNRERADRDVFKGGSLRNSLVVFENMKTKDVVTWTSSISAYGIYGEGRKAISAFEEMKKAGISPDHVIFISVIHACSHSGLVEEGRTFFNQMKKDFNIEPQIEHYASVVDLLSRSGLLAEAEEFLFPMPFRPDESIEYVEIATLLPSTYQRLHNENY >KZM87328 pep chromosome:ASM162521v1:7:14548428:14551884:1 gene:DCAR_024462 transcript:KZM87328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQLNELEFDEFEKLLGEIPSATSRNPNLISEESGIANQRTTTNGKLVNGHGNLDVGNASLDRTQKSDINIIQQEEPNLPDDQSLTSVFAEFGFSDREIAGSASPLINNAVFGLNGQYTNGFTSFASNMDPHFLISPPRAASISPIAFDGFNVNVQPMKKQPTDNFTHAVPLGHDMHGFHPSPNVPIPSIEFPVIQNRQQLYADGLSGLSYLHPQLNQPQVTWRRIEEEQYFRMHQHFMYLQQLRNQQLEAQHPIQECGNIVQLMNRNARHLCQEKPVSYHLEECKQEPLFSKTMYHKSTDHIRSTIPSANVHSGRVSEQVGKHKFPEKILTRANGLNSLRSVKFGSFGGDEPILNVNHNGRVLPKEYINHSISAQNFELQMENTSSCGFSTGTYDFKLNSTKPPLHKYNSLEEFAGRIHLMAKDQHGCRFLQRIFTEGNQEDVEKIFRETIVHIVELMTDPFGNYLVQKLLEVCDENQQMQILHSITRKPGDLVRISCDMHGTRAVQKIIETLKTQEQFSTIVSALKPGIVTIIKNMNGNHVAQRCLQYLTTEHSEFLFDAATANCVELATDRHGCCVLQKCLNHSNDAQRHRLVQKITSNALILSQDPFGNYVVQYVFEIHVPWAAAEILDQLEGNYADLSIQKYSSNVVEKCLKYAGEEGRLSIIQELIDSTCIDRIMQDPYGNYVVQAALNLSKGALHVALVEAIRTNVHVLRSSPYGKKVLSSNGLKK >KZM87721 pep chromosome:ASM162521v1:7:19725355:19727172:-1 gene:DCAR_024822 transcript:KZM87721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPNFQENKQGLGLSRKLSPLLESAASDDLSAFIHQIEENPFGINEFSFWYCRKLGSKQMGFEQRSPLMIAATYGSLDVVNYIIGSGKVDVNEKSGTDSATPLHCAAAGCSDSSIEVIKILLAASGDSNCVDINGKRPCDVIPLNGKFMGLSKRKQLEILLGGFEGVETEIPQCVEKECVSEVVLPDMNVGVYGSDEFRMYSFKVKPCSRAYTHDWTECPFVHPGENARRRDLSKYSYSCVPCLEFKKGSCVKGDECEYAHGVFESWLHPAQYKTRLCKDEVGCGRKVCFFAHKKEELRPVYASTGSAMPSSNVPSTPPPGTPVVACSSPMSGNSWQNNVTRSPPKMQLQSSRLKAGLNAREIELERELLGLDSVRIQQHRQQKFENFASMSAKPMWNNNRFGDMNGTNLDDVFGSVDSSYLSPRMQSPTAHQLRSQNMNQQIRSSHMSNMMSSPSREVPAHAFDSSAAVMNARSSAFESRSHSFIDRGAVSHPPPGFTSSAANSTTMMSSKLSDWSSSGGKPDWGYQGDDLHKLTKSMSFGFPRANSANSNPNMTPYVADEPDVSWVNSLVKDASSLGAGVYTTKQRHGLEGVHEMLPLWAKA >KZM87427 pep chromosome:ASM162521v1:7:16294062:16300076:-1 gene:DCAR_024561 transcript:KZM87427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERKTIDLDNGWDFMQKGITKLKKILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYITSTVLPSLREKHDEFMLRELVNRWTNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQELKVKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMSQMDQYENDFEEAMLADTAAYYSRKASNWILEDSCPDYMLKAEECLRREKDRVSHYLHFSSEPKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVDDLSRMYRLFSKIPKGLDPVSNIFKQHVTNEGMALVKHAEDAASNKKAEKRDVVGLQEQVFVRKVIELHDKYLAYVNDCFTNHTLFHKALKEAFEIFCNKGVAGSSNAELLATFCDNILKKGGSERLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQSSFEEYLGNNPNVNPGIDLTVTVLTTGFWPSYKSFDLNLPAEMASALLLFNASDRLSYQEIMSQLNLSDDDVVRLLHSLSCAKYKILTKEPNNKTISPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLERDRDNANLFRYLA >KZM86345 pep chromosome:ASM162521v1:7:1875113:1877081:1 gene:DCAR_023479 transcript:KZM86345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTLGSKLKENLRSPSNKFFAGLCQALVIAVLILFAFFVFVSRNTHCQPADCIIPTRRLSHPEPKNSSPKIKSSHFSAQPESDHSSRSPPPVVPVPARARARPNSPSTTNVSHLVFGISAAAKSWKSRQQYIESWWRPNVTRGIVFFDDPPSKDLLPWPSSSPPYRVAENATKFEVYKKHVKPYVVRIVRTVLELFREVKDHSGVRWYILGDDDTVFFLNNLLKVLRKYDHRKYFYIGGTSECTKSNYDFSFDMAYGGGGYALSYPLAAVLVKNLDACINRYPYLYASDQILQFCISELGVSVTFEKGFHQLDLVDDISGLLSAHPQSPLVTLHHLDIVLPIFPQLARNKSLEHLMEAASFDEARMLQQTICYHKGMKWSFSISWGYSAHIYELLLPVSILRKPLETFTPWSLKKRPPDYMFNIRPYEPKQPCDAPHIFYLDTIEKSMTSDTIVTNYVRLEKRKAKTCRLTMRSADYISRIEVVSPAAEPVKNENRGECCDVIQVTNSSTAKVNIRTCRKDELVAPM >KZM89045 pep chromosome:ASM162521v1:7:33327179:33327328:1 gene:DCAR_026120 transcript:KZM89045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITQIASRAPVPKRMVEFGLSVHRSGDYICTGVARRGVTKTQILFICI >KZM88806 pep chromosome:ASM162521v1:7:31440390:31444546:-1 gene:DCAR_025881 transcript:KZM88806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASKKDLNIKFAITIPVNSASGIFNVFSIEMGCDNGHTACASCCGKLRNKCPSCCSSIGYNRCRALEKVLEAVKITCLNSKYGCKEKVSYNMKREHERSCSYEPCCCPHPGCNFEGSYKDIYLHFAGKHSASATRFKFDASFPVHIGANMKYKFLQEHDHSLFILNYGVQTVGNVANIICIGPSSLQNKYSYELEASYADSSVKLTSSTQSQPKWMAGLPQRSFLVVPKCFIDSSGMHKINICIRRKG >KZM87882 pep chromosome:ASM162521v1:7:21637985:21639890:-1 gene:DCAR_024983 transcript:KZM87882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSKNGRKKAPLLSNVFNYNTSMMKEHFHPPRNLEDVNRILENYDSEQKEKGKFTQLHSGKENVGTSPNSCRAPDLAPNTPRVILSDMNNSKRLARDESPSPTLFSRIWDHDLGMMSDKSAKKKSRRLPPRYESKTRSPFNASLLQTPLQTPVCQTERSTFTTSRSPLTPLSVNTNILNHDSLIPQKKHPSSASAKKHSVCVANGDKLKERIIKQSRQQHFSFPETQEDIVELMSDDSDAYSDPDYREEFLDSDPPTAASGNDKFSMRLRNKGAEHRHMPFCALDFSEKMPDNSTTDYDDNIEYSMIAGLESEFSDTDSESGIYNQTSHNML >KZM88924 pep chromosome:ASM162521v1:7:32348381:32351985:1 gene:DCAR_025999 transcript:KZM88924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCLSKTGNCNAAGVIPVAAVKKNNGNAKTPVENNDQQKKKQQEVKRKRKLSGKTVPIGKRTNFGYNRNFEKRYTIGKLLGHGQFGYTYCATDISTGDRVAVKRIDKNKMVQPIAVEDVKREVKILQALSGHENVVQFYSAFEDDSYVYIAMDAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEEGIFKEVLRNKPDFNRKPWPSISNSAKDFVKKLLVKDPRARLTAAQALSHPWVREGGDASEIPLDISVLHNMRQFVTYSRMKQFALRALASTLDEKELANLRDQFQAMDVDKNGTISLEEMRQALAQDRPWKVKDACASEILKAMDGNTDGLIDFPEFVAATLHVRQLEEHDSKKWQQLSQAAFEKFDVDKDGYITTEELKMHAGLKGSIQPILREADIDRDGRISLHEFRRLLRTASSRSTDRSNPCSCEAEE >KZM89124 pep chromosome:ASM162521v1:7:33992337:33994910:-1 gene:DCAR_026199 transcript:KZM89124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPDVQIISSDGSCIPAHATILAAASPVLEGILDTPRKHRSSKNKTIQILGVPNDAVSVFVHFLYSSTCTDEEMKKYGIHLLALSHVYSVPQLKRRCTRALAEELTIENVVDVLQLAKLCDAPGLYLKCMKLIYSNFKAVEHTEGWQFLQNHDPHLELDILQFIDEAELRKKRTRRHKEEQSLYVQLSEAMDCLEHICTEGCTSVGPYDKEPSKTKSPCSKFSICEGLQQSIKHYATCKKRVDGGCTRCKRMLQLLKLHSSICDQSDNCRVPLCRQFKLKMRLSRTGNESTRWKLLVRKVVSAKAISYLSMPKRKREDEPRVNSGMRTFKL >KZM87895 pep chromosome:ASM162521v1:7:21824960:21826081:-1 gene:DCAR_024996 transcript:KZM87895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHGRREVRRVHIIYFISRRGRIDHPHLLRVHQFCGNGVRLRDIKRWLSVVRGEDMPESYSWSYKRRYKTGYVWQDSLDEDLITPISDNEYVIKGSEFCSAASDYDDSSVAVNDLDGSSNIEANKSDYQNSEATPRDDGVKQLEDIHEAPDTTPDNDSTQIEETKHLISENEEDDAKKKRFRLNFLKKNKNGKSKKFTSKKPDEMPDSSASKPICPSEKIHAKNARNLFRKMITCGVVDSKDSAIKAVREASR >KZM87632 pep chromosome:ASM162521v1:7:18790159:18799231:-1 gene:DCAR_031926 transcript:KZM87632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPNNHQSSGSTDGSSGISKRVNSPRFSGPMTRRAQSFKRNTTATTQINNNNNNNSSTNNTQYEIDLPVNSPRSELAASADGFDSNAEKKQPHYHNLTQRLHTKKHDGGTMAISVDLILGGKKKLGQWMFFVFCGLCLFLGVFKICANGWFGSVIQRVGSPQDSFYSMDTGMNRIDKQSHSKGLMDHENEAGTEGFDVERTLNTVASGSVGIRDSMANHSGIWLKPNSDNFTQCIERPKSHQLLDANTSGFLLINANGGLNQMRFGICDMVAVAKIIKATLVLPSLDHTSYWADESGFKDLFDWQYFIETLKDDIHIVEALPPAYAELEPFSKTPISWSKASYYKLEVTPLLKQHKVIYFTHTDSRIANNGLPNSIQKLRCRVNYRALKYSAPIEDLGKVLVSRMTQNGNPYLALHLRYEKDMLAFTGCSHGLTAEEDDELRRMRYEVSHWKEKEIDASERRQLGGCPLTPRETSLLLKGLGYPSSTRIYLVAGEAFGNGSMQHLVEDYPNIFSHSTLSTDDELRPFRNHQNMLAGLDYVVALQSDVFVYTYDGNMAKAVQGHRRFEDFKKTINPDRMNFVKIVDDFDQGKISWKKFSSKVKKLHKDRMGAPYMREPGEFPKLEESFYANPMPGCLCEKNR >KZM87577 pep chromosome:ASM162521v1:7:18311429:18313754:1 gene:DCAR_024704 transcript:KZM87577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLWESFSNNAYYTPMLNFTLVDTEHEQYWAIAPPSERERLLPTVFPGMLYHITNFKIIASQGPFRPLSTPKILVFGSDTKWEDYVFPSSIPRFKFNLTSWPRILTRIAKDTLLSDVAGVIICVEDIKLSQNGIQRLNLTLIDESLIHIHVSLWDVKARSFQRDFKEHRWKNVLTVMTGLLVKNSKGSVIGTEARYYCAACGRNFITPSTRPSIVLHVKDHTGEIRINLQPPELSLLTGYSRRELLRDRTLVNGIWTFSTASGIIKGANCTFELMMAPSSYSNTTGKLIVDGIVQYSKKKRTYPA >KZM88278 pep chromosome:ASM162521v1:7:26426209:26427550:1 gene:DCAR_025353 transcript:KZM88278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKQNKEPCKQEACNIQACLSKNNFLPQKCVRVIELLQSCCEQCKYQSTHCASVAALLKQHK >KZM87070 pep chromosome:ASM162521v1:7:10717824:10718571:1 gene:DCAR_024204 transcript:KZM87070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILKKKLFENLVVANVVDSRAGLATISDDGSLILVQLTLDFKPNIPQEAERVVQCNGRVFCLDDERVHRVWLPNEESPGLAMSRAFGDYCLKDFGLISVPQVTRRQITVRDQFIVLASDGGWTDTMTGGRPPASGTRNYKALLCRIDYDFYFVAS >KZM86972 pep chromosome:ASM162521v1:7:9192920:9194455:1 gene:DCAR_024106 transcript:KZM86972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAKLNLYDVAFSLFCLFVFSSLVQKLTAKGPMLWPVFGIFPSLIMHSNCLHEWITKALIDNRGTIPFRGLALGGSRGIVTADPVKIEYMLKSKFHNFPKGKYYRERFSELLGEGIFNADDQSWKHQRQAAATVMHSVRFVEYSTESMQDLVHKKLLKVLENLVESKVCIDLQELLLRFTFDNICVAAFGVDPGCMSIGLPDVPFAKAFEEATESTLCRFMVPPFVWKALKFFDLGFERRLKESVKVVHDFAAKTVQERKKELAAQDQDINLDEKFDLLSRLVSLEKGGKNGYFSDKLLEDFCISFILAGRDTSSVGLAWFFWLVSIHPHVEKNILQEIHDILCLRQQKDVKNSNIIFTTDELHKMVYLQAALSESLRLYPPVPFDFKEVLEDDVFPDGTTVKSGDKVLYSIFSMARMESVWGSDCREFKPERWIKEGAFVSENPFKYAVFNGGPRLCVGKKFAYTQMKMVTASVLARYSIQVVEGFEVAPKTTTTLYMKNGLMVRLVPRN >KZM88458 pep chromosome:ASM162521v1:7:28078630:28080626:-1 gene:DCAR_025533 transcript:KZM88458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCNNRISLQLALLLLVFSALFSFSHQASGVVYENEDNLVSWPSRRSVAEDATGVSNSSLILAEKRTYRKDPSDSFKYYKRGWNISEKHYIYSVAFTAAPLFLIAALWFVGFGLCLLILCMCFCCCQKRPYGYSRTAYALSLIFLSIFTAAAIAGSVVLYTGQGKFHMTTTDTVNFVVNKSDTTVENLQNVSGYLAAAKRIRLNQFVLPPSIQNSIDSVETKINSSSATLEHETKKNSNDIQNVLDAVRLALVIIAAVMLLVAFLGFLFSIFGMQYLVYILVIIGWVLVTLTFILCGIFLALHNQVDLQSNKSLRCT >KZM88117 pep chromosome:ASM162521v1:7:24708489:24709392:1 gene:DCAR_025192 transcript:KZM88117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAILEILLVSAKGLKRTNLVGKHSYFVIIECGTKVGTSKISKANHKEILWNGKFLFVFPTSEWQNITHLKLKIIEAEYFTDGRFVGETIINLKGLMVEGNDKGVIELKPTPYNVVLEDDSYKGEIKLGLKFIKNVSLLPSYMLVLYFFLLLFPFSIL >KZM87125 pep chromosome:ASM162521v1:7:11214016:11217100:1 gene:DCAR_024259 transcript:KZM87125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADMNRFEWAVNIQRDSYASYVGHHPILSYFAIAENESIGRERYNFMQKMLLPCGLPPEREDD >KZM86272 pep chromosome:ASM162521v1:7:1159077:1160253:-1 gene:DCAR_023406 transcript:KZM86272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIPINEMEQVDTYENEAEYEDGHVEARKGGMQLVTLADVQPLDINPANNFSAATDDDDESDNNINFLDDNE >KZM87868 pep chromosome:ASM162521v1:7:21468280:21468651:1 gene:DCAR_024969 transcript:KZM87868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEELDFTEKVMDSSRESESLQDLQSMGYIPLCVAKEKPFLNKKFKNLQSASEFYREYGRVCGFDIRKAQEKSDCLGITTLKV >KZM88781 pep chromosome:ASM162521v1:7:31257691:31263102:1 gene:DCAR_025856 transcript:KZM88781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSTCYGMRAFPSFECRSDPDFSGPEIPTSDGRSRFTSKISKSHGSLGLSSLILRFPPDFVRQLSNKARRNCSNIGVAQVVAASWSNNQPPKSVATDSANGSVVEEIEVVNPLSFLSSDGSLAIHAGERLGRGIVTDAITTPVVNTSAYFFKKTSDLLDFKEKRSVSFEYGRYGNPTTVVAEEKISALEGAESTLLMASGMCASTALLLALVPAGGHLVTTTDCYRKTRIFIETILPKMGIKATVIDPADMEGLEAALEENNVSLFFTESPTNPFLRCVDIELVSKMCHSKGALVCIDGTFATPLNQKALALGADIVLHSATKFIGGHNDVLAGCISGSMELISTVRNLHHILGGALNPNAAYLILRGMKTLHLRVQHQNSTALRMAEILEAHPKVKRVYYPGLKSHPEHHIAVRQMTGFGGVVSFEVDGDLHTTAKFIDNLKIPYIAPSFGGCESIVDQPAIMSYWDLSQADRAKYGIFDNLVRFSFGVEDFEDLKTDILQALEAI >KZM89041 pep chromosome:ASM162521v1:7:33293031:33298504:1 gene:DCAR_026116 transcript:KZM89041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELSPKWISTPVFTGQKIKAEGCASIRVALYDCATGAVVTSGREANANIELVVLQGDSDGHGGDNLTVEEDFEKKIVKTIKGKKSLLKGNTMLKLKEGCCDIGELSFIHNSGWVKICQLSLAARVVDNFPGTTIQPAMTEGFMLKDSRTKLYLKKYPPALSDEIWRLNKIGKRGTFRERLRGENIESVGDFLKLLVMEPERLENILRAHPKTWDAITEHARTCLIDETVRYCYHPDPEHTHGVVFNVVGELKGILRESQSVPVDRLSDLEKTNARKLVMRAFQRGELTPYNDDNSFIKTSPPFSGSLGQKNPNENTDDYAQPAGTLPQANIPSISCVAESSRPDQGRIRSDGSMDFLQVLLNYPMYSPESAHHHSNDTMLPPLISNHQCQTPSMSQGDQSTPGILLLNPQAISTIDVWQRWRRVLTILNAIVTVKSIGAMGRFGAQKKRKFSSMKTNDLSRQLRRGIHTELGAREKALLAPDPALDRFKSYKKDVKRVKRIGDVLTVVVVAGCCYELYVRATT >KZM88274 pep chromosome:ASM162521v1:7:26402642:26408974:1 gene:DCAR_025349 transcript:KZM88274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWHSAADRRADSSSIPVFLHGDLDIWIKEARALPNLDLASERMRKCFTMFGSCGPCGCQVEKRSTSPGRLSGRSPGRLSGKQSVITSDPYVSICLRGATVAQTRVIYNSENPSWDEHFSVPVAHPVVNVELQVKDNDVLGAQLIGVVRIPAEKILLGDDIDGWFPIAGHYETTSNSPPELHFCVKFQPVEENPLYRDGVGAGPEYTGVPSTYFPLRKGGSVTLYQDAHVPQNMLPEIPLDEGKSFRQNQCWEDICHAMTEAHNLIYITGWSIYHKIKLIREPTRPLPSAGELTLGELLKYKSEEGLRVILLVWDDKTSHDKFLFKTDGVMQTHDEETKKYFKHSSVHCVLCPRYGSSKLSIFKQQVVGTLFTHHQKCVLLDTQATGNNRKITAFIGGIDLCDGRYDTPEHRLFSDLSTIFKNDVHNPTFTSNINIVLEVLHIFDWKLTFSCIATMCQSVIGGPREPWHDLHCKIDGPAAYDIMTNFEQRWRKAAKWRDIRFRHVRHWHENTLLKIDRLSFILTPKPGPDGDHAVRVNTEEDPENWHVQVFRSIDSGSVKGFPKGSKEAEEQSLVCGKNLKVDRSIHTAYVKAIRSAQHFIYIENQYFIGSSYYWPSYKNAGADNLIPMELALKIANKINEDERFSVYVLIPMWPEGIPASGSVQEILYWQGQTISMMYGIVAEALEKAGLAEAHHPQDYLNFYCLGKREILSPDYISRHNGPNEYPKLSQKYGRFMIYVHAKGMIVDDEYILMGSANLNQRSLDGSRDTEIAMGSYQPKYTWASQNKRPRGQVYGYRMSLWAEHLGKLKRCFEEPESPECVEYVNKIAQSNWDAFAGEKNMELKGHLMKYPIQVSRDGKVSSLPGHESFPDVGGKILGAPTNLPDVLTT >KZM87809 pep chromosome:ASM162521v1:7:20709343:20709507:1 gene:DCAR_024910 transcript:KZM87809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYNIPREKVDQVNPNRPTNPLPVQEPKQLPPRIVASQPPPSSLATTDILGSSA >KZM86673 pep chromosome:ASM162521v1:7:5529936:5531237:1 gene:DCAR_023807 transcript:KZM86673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFGSLDGRISKKMSRAVDSSSGASEARVCKQCGKGFQSLKALCGHMACHSEKGRVLREYRCYWKSEKSEPVDDRFDLEENPTRRTRSRAERYKKTVDETFDYGNDNNSSSVCDIEDTEDVAACLMMLSRDSRKWSGVNSIVKSSDNQSMVFETKSSSVEMKNPRDDGTYLADEIPQRKKVVSRKLKSSGLVTESVSASGYVRKADSDISIEELFNHGGYKDAELSTFYNRVKHYKTDESKGLKGNEYDDVGISRKLLKLDSKKRARDRYDGVEPDKKVHSRKKYECVNCNRSFDSFQALGGHRPCHKKADPSIQYEYDSGDNSLENDITPISTPIRKLGQRFDDKKPVSRDFPVAAKKKDRAKKSKAHQCPFCSKIFKSGQALGSHKRTHSTYDPPEDFGSSPPTARSLIDLNLPAPEVDGEFYDDNQFNN >KZM86180 pep chromosome:ASM162521v1:7:418480:418746:-1 gene:DCAR_023314 transcript:KZM86180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRTDAIDKKARTLDYSAIGGDILMGFLDKIENHVVVVPNADGGSTTTTTAIYHTKGDAVVPEENIKYADQQNTLLFKVVEAYVIAN >KZM86433 pep chromosome:ASM162521v1:7:2774445:2776023:1 gene:DCAR_023567 transcript:KZM86433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIGFTTGLRTWYIYMIIMQWKPVGARVPPGPSVAPPLAASCSTHKPAVASLSQFEILETLGRGSKGIVYKVVHNVTRDVRALKTYNNSATYDEVLREITILESIDCPYITQFHGTVFSDSGAGWMPILMEYMEAGSLQNLVKSNGTLSEKMIAEVARRILMGLDYLHNTKHIVHCDIKPANLLVNHNMEVKIADFGVSKLIDLASDESHVFSGTTAYMAPERFDSCAYGDDLDVFAGDIWSLGLTLMEIYIGHQPYFAPHRKPNKTEFDLMFDVCYNDSPALPEEASSDFQDFIRCCLEKNPSKRWKAVQLLSHPFLINKLDDQDAPIAPDKSNAEPVDSKGRKREANIEIRESSKRMKRQDVQV >KZM86730 pep chromosome:ASM162521v1:7:6267840:6268250:1 gene:DCAR_023864 transcript:KZM86730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLQISSRFQQNGMMGLSSTCLSSCLSSKKSEVCFMIPKERKENSLMMKKSHKGNVGNDNKGLLCSKLETVEAAVGVVTEVGKDTFWPLVKAAADKIVVLDMYTQWI >KZM88621 pep chromosome:ASM162521v1:7:29757447:29758578:1 gene:DCAR_025696 transcript:KZM88621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKREPHFVLVHGGCHGVWCWYKVATQLRSEGHRVTALDSAACGINQKPLQEVHSYYDYFEPLLEFLATLAPDEKVVLVGHSFGGYGLSLAMETFPEKISVAVFVTAFMPGPDLTYLAISHEIKQVFKAYGDEIFKFDQILDKGTYIFTPQALASKLYQLSPTQDLTLATLLVRPHPSETDISAPEVLRVSKEKYGSVRRVYVVADQDIILPEEIQRWMIQLNPPDEVKVIQGSDHMTMFSKPRELCSCLLAIAQQHCL >KZM87481 pep chromosome:ASM162521v1:7:17046502:17052129:1 gene:DCAR_024615 transcript:KZM87481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLFDLAFLSAKASNFATSDYRSVVSVNLFVALLCACIIVGHLLEENRWINESITALAIGLCTGIVILLISKGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTLISFSIISLGAINIFNKMDIGSLEMGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVAGLLSAFVIKKLYFGRHSTDREIALMILMAYLSYMLAELSDLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAEIFIFLYVGMDALDIEKWRYVSDSPGTSVAVSSILLGLILVGRAAFVFPLSFLSNLSKKTPTDKIELKQQVTIWWAGLMRGAVSMALSYNQASVTQLRGNAFMITSTITVVLFSTVVFGLLTKPLILHLLPPSKHLSRMISSEPTTPKSFTVPLLNGQESETDLDVAPGIPRPSSIRMLLARPSHSVHHYWRKFDDAFMRPVFGGRGFAPYIPGSPTEQSVPEWQ >KZM88856 pep chromosome:ASM162521v1:7:31802445:31805549:-1 gene:DCAR_025931 transcript:KZM88856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIIRNLVQRNQDVILLETFSVNFGKSPCTRKMDQCILRKLQTNGERMVPLAKLRNVRTSGAASTQTHPMRLDMMRMSGMKEFGSKSITLAAMMWCSRNHQRIYTKVVVFLSVEGVACTLMVVSLFKEFGHVVVLSGTCELLPMGAPKQKWTSEEEVALKAGVKKHDAGKWRTILKDPEFSGVLYLGSTVDLKDKWRNMSVIADGWGSREKARLALKRMHQSSKDDDNFMALAHLDQSDEELFNEQPLATSMVPLHRLVVLRDL >KZM87824 pep chromosome:ASM162521v1:7:20876507:20877781:1 gene:DCAR_024925 transcript:KZM87824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAMTSMASLLGSSNAVLEGSLQFSGSTRLSSAASCTRVTLAPPVFSVRAQQMSFDTETSRRAVIAVVAGFGVGSFARDVLAAANSIKIGPPPPPSGGLPGTDNSDEARDLDKPLKKRFYLQPLPPVEAAARAKDSAKDIINVKELIDKKAWPYVQNDLRSKAEYLRYDLNTVISAKPKDEKKLLKELAGKLFQVINELDYAAKTKSTPKAEKAYAETVTALNDVLAKLG >KZM89181 pep chromosome:ASM162521v1:7:34714086:34717078:1 gene:DCAR_026256 transcript:KZM89181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWWNASGNLKGYAPICLGIEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSEDNNKTLKRTTNVSRCLNLGSYNYLGFAAADEYCTPRVIESLKKFSPSTCSPRVDAGTTALHAELEERVASFVGKPAALVTGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFIHNTPAHLDEVLRENIADGQPRTHRAWKKIMVIVEGIYSMEGELCKLPEIVSICKKYKAYIYLDEAHSIGAIGKTGRGVCELLGVDTSEIDIMMGTFTKSFGSCGGYIAGSEDLIRYLKYTCPAHLYATSISPPSAQQIMSAINVILGEDGSSRGAQKLARIRENSNFFRSQLKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKRNLAVVTVAFPATPLLLARARICLSASHTREDMEKALEVISTVGDLIGIKYFPAEPKKPQLQDDRVKQE >KZM87733 pep chromosome:ASM162521v1:7:19926015:19930156:1 gene:DCAR_024834 transcript:KZM87733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAPERGMQAVVVKQMRESVMRDKEEELALFLDMRKRDKESDMLLIHNVDEFDAPLGLTTGSSPIFNIASATPAPARKTAADEFLNSDNDKNDYDWLMTPPGTPLFPSLEMESQRANMIEIGTPNARPTALKSRLANSDLEPTTRNNLTSRQSVLAPGLNTSSTGVQRPSSSGGLGSRPATATGRPTLASASKPTSASTYKPSSTTASKPSATMASRPLRSSTPTRSSVPSAKPAAPARSSTPTSRPTARSATPTSMSTARSSTPTTRSTARSSTPPSRSTARSSTPTRPAAPAPVNKPTSRAATPTRRPTTLSAASTVSVSPAKSPSSSVTKFTSTAIRNSAPPRASSPTVKPRPWNPLDMPGFSHDVPPNLRTSLSDRPTSATRGRPGAPSVRSSSIDPAPNGRIRRQSCSPSRGRPPNGINYNCGSSVPALNRAYAKAHDNVSPVMYGTKMVERVINMRKLAPPKQDDKHSPRSNLSGKSSSPDSSGFGRNFSKKSMDMAIRHMDIRRNIPGNLRPLMTNIPASSMYSVRSGPAPRSRPVSVLDSPLATSSTASSEVSVNNNAFCVDASELDGVINSERGIYPLPV >KZM89325 pep chromosome:ASM162521v1:7:35967583:35969406:-1 gene:DCAR_026400 transcript:KZM89325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEDGEMGTPFWVQSTSNVRRVDRFRRGVSSLIFSSGVLLFILLVTAVFFMVFAIPSTIHTAHLKFFMRSNAVMKKWDSVNLVLVLVALFFGFISKNNNRNYNNVVADDIQSTTTTTTSDNNQNYYNYHLPQNNSVSSNVVAQKSAPDTGWYEEYSDRRESGSSSSNYNYYYAAANNNSPRLRRNSTSYPDLHLRQQILSTPSVDYYNVNDQRRYYDDSPVYYRRPSWKQSDASADYDNIQVKNIYVDDNDAVNSKPGGQKLEQTSYVSPPSYPPPPPSPPPPPPPPPRRRATDQKAKRIYESESLPSEYQSNKDVRVVDSEVLQKSSIPPPPPPLPPLQYRVEKSEGSEYKKRNAGKDQNFLTSYYQKKKKKRQRQRSADNLDALFHRSQAPKTHTQPPPPPPPPPPRPSSSVFHNLFSSKKAKRRKIPSDLHLYPSSPPPPPPPPPPQFKAARVSKPKPNIQTPTAYEPPVPIKLKSFSSFDDNSSSGGSSPLHFIRPPPPLPPFKIPEWKHVVKGDYVSIESNPSSRSGSPDTDEADNISPAADPTASPVSLFCPSPDVDTKADNFIARFRAGLKLEKIDSFNKKQVSRMSNLGPGSAPSQD >KZM87563 pep chromosome:ASM162521v1:7:18170615:18174178:1 gene:DCAR_031967 transcript:KZM87563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINFRWRLGYFCCWILLWKWYQNTLVVHPVKTQVISSGVIWGVGDIAAQFITHSTAKTLSHTHHEDKEFKISWRRVVITSLFGFGFVGPFGHFWYEGMDRFIKSGLKLQPNTVRFVATKVAMDGLIFGPLDLLVFLSYMGYSSGKNSLQVKEDLKRDFLPAFILQGAAWPVLQIANFRYIPLRYQLLYVNIFCLVDSAFLSWLEQQQDASWKHFLVSSITSKGQDR >KZM86659 pep chromosome:ASM162521v1:7:5377746:5379592:1 gene:DCAR_023793 transcript:KZM86659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSGEPVKIERAVKVEEDKEGQNGFFGTIKGFIHDIGEKLEDKVGFGKPTADFVAIHIPKMNLKMAEIVVDVLVKNPNPIPIPLVDINYLIESDGRKLLSGLIPDAGTIHSHGSETIKIPVCLIYDDIKSTYGDIHPGNRIPYKVKIDLIMDVPVFGRLTLPMEKSGEIPVPYKPDIDVEKIKFESFSMEETVANIHLKLENKNDFDLALNSLDYQVWLGDINVGGAKLSKSAKIDTCGITYIELPISFRPKDFGSALWDMIRGRGTGYTMKGNIEVDTPFGPMKLPISREGGTTRLKKNKENGCNDEDQDDDDED >KZM88889 pep chromosome:ASM162521v1:7:32078212:32078425:1 gene:DCAR_025964 transcript:KZM88889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKIVAEARVRAYKRNVNNSLLGVRRTPCKEVKPKKKRN >KZM87820 pep chromosome:ASM162521v1:7:20817970:20821728:-1 gene:DCAR_024921 transcript:KZM87820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDQLSSLNTSRTNWRVKVRVTRMWPSGSKASNGNDGIKGYNLILLDDDNCHVHAYVYADNWKKLSGPIVEGGLYILSNFFTREALGSLKLVSSKIIINVSLATSVELIPEDDFMILFHKFEFVDLSELFSLANVIRAVEDFEKLSIIGTMFGDREIVKFRLTDGRHTHQVTVWGKLAVSTNSVFTEATEKPVIAILSSTKLKIFKNSVQISTLPSSRVYMNLDTDIVAAMRQRLYSDGYVAPERSLSTHTYSSTMATPIKTLSLKELSEKTSTEMLDVVEETSA >KZM87426 pep chromosome:ASM162521v1:7:16275997:16284145:1 gene:DCAR_024560 transcript:KZM87426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESELEEGEAGCYYKDHVDVDDKTTFDKDLSYIDEKLQDVLGHFQKYFEDGVIVESRGAAFGGYGSFLPMHQRSPSVRSDPKTPQISQNCDRPKSPNNLHLEGVPKNSLVPSKVPPSFKDGNTPLALPLHDQKAASVDGTTKLDSNLLRPQAAATVPARHESSSKKPGDQTDHKRIRLRIKVRSDSSAQKNAAIYSGLGLTSPSSPTVNSPKDSGRDPSESYSTPVESPSKILQCMTSFPDTGAMLLSPLHPSLLDLTAQKNRHANTKLYIPIKVQRNDSAVSVDNSASKAIKDRKRKLVGGTGTVEEANYGVGVNYVSNTISPAQKHKKLEPPEEKQQSSSDFKLKSLSSPACNSGESSNCVFRINQAKREAKKDVPPKKRILKKDWVEDRICEESVKLKNGRDGAKYDQQESKSGLMEGIRVHGTKSSEKNISDDLIVPGRAKGDKIYNLSKDELDASKREKDTNTNVNNHPKQKVGPICTQNKDDRLGQIEGLKKLPLQDKTKLKGRQSDSQLTSHLINKRLTSDNILRSKKRLQKNVAKLPAGNEDMHDLRFQRSANKMDPLERSLLNCVRKDLNTETCKKKDATDDIVHSQGQASESVDEWVQCDSCDTWRLLPHGIKSEQLPDNWLCSMLDWLPGMNRCDISEDETTNAVLALRQPALPLPKSDRFESHVDQLATGVSSTDVPSFNQNLGIHATRSLGKKKDTAKEISTAVSSSGVLQTSSLRKNSRENMKSRFINDMKKPLSKANLISESSKCPDISSDSSLGRSGCKQIDEGAPKPKRLKLKRDSDQSGYRTSRRVTTEGAVLNEEHRNSLKCGIFARMGVGSSAGLAQSATSNSAQTHSEHLYAKDGKFEVSNRLPMSMKTVRDSCQGISGSGSMGIEKYNEGHGMKRKLQDRQDSQDNLETFCSEKNLPAGDYVKEMKPLVSVTEGQESSACRGDDKLNKKGKVTRIILSGSRDSLTARNGRQQQKISTSCKPKLTLDDLAKLKKDLGCEQLSAAATSSSSKVSGSHKRSSYQKVVSPVESVCSSPLRSSNLAKVSLARKGSLGNDCANTCAGGPRQFLEQGSKLDRNQIGVGSRGEVSGVFQAESLSFPKLECQDHDVSGEADSSVKPVYNYNSKNLLTNNEDTLTQHQHCLTDVHSLNDHQIGERRNTIHVVRNDTFLRIPGVDSSLLSEDINKSSYNAEKINMKPSGILSDQGVKPNNDEDTVLRHSGYSQGDTKVKMSAQNCSSEKSVDLCRLDKRSIHDDKSWDDFTKPSNLTRLELRHEKKEAHPQPAGKPETPTHVRQPASLYLKGSGLDTSSSIECRGVSKVLQQHKNSVHQNGAHQVECNHAVDQSSYGDIGKSKTVKGYNGGQAANDVLREAEDLKGHADHLKKSGFSFDGIMANFQAALKSLLGASLLESALSKSGRSNCGEIPQVQIYSSSAKLFETCAQDFGKHDEMYAAALAYKCTEVAYLRSLYCKTYIADKDRSDLQATLQMLPQGESPSSSASDVDSLYNQVTMDKTALFRGMASNAANHVTFVQNRHTIIRLLQYTHDMSLAMEASQKSHVAFESANEIFKANSGATAVKRVLDSSFQDIEEFTRLVRLANEAICSSHI >KZM87875 pep chromosome:ASM162521v1:7:21530500:21534718:-1 gene:DCAR_024976 transcript:KZM87875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKGFLECLLKLFNFLLTLVGLAMVGYSIYLFVEYKNASSFDPGMAPSSGEMVQFGRPLLMAVSLSSNILDNLPQAWFIYLFFGIGVIIFFISCFGCIGAATRNGCCLSCYSVLLIFLILVELAAAAFIFFDESWKDQIPDDKTGNFDKISDFLEKNWKIARWAALGAIVLQALVFLLAILVRAANQPPEYDSDDEYIGGPRQQRQPLINNRAPVPATGVPVAGTLDNRPSRNDAWSTRMREKYGLDTSEFTYNPSEPNRNQQASPHQQAEEGSRCTIM >KZM89024 pep chromosome:ASM162521v1:7:33154531:33157143:-1 gene:DCAR_026099 transcript:KZM89024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENMINAIAMSNDLINGACSASMKAHKSGKNYRRIKIQGSTDVVFAFAGSWSVNEFFKQKSFGEEEIDLKMFRSMRSIGPDETALVNAAFAEKYKSLHKSLKIEVDKDLKKGRQIVFAGHSSGGAVAIFATLWFLENTNHTVGERIPFHCLTFGSPLAGDKIFGHAIRREDWSRYFTHFVMKHDIVPRIMLAPVTRIEGELQKALNFFKNYRTASSQNQLLQPQVLYKEVMRNASSVASCSASGLMGCPNTLLESLSTYVESSPYRPFGKYVFCTGNGKLVVVENSDAVLQMLFYSSQVDSEAEEGEIALKSLHEHMCYEEELQKSLAMKKVICLNHLQNLPLDSVGSTLNEDSTDVALNDLGLSARARLCLRAAGEFEDQKKRNQSHIEKYEVIIAKALNEIQAYQINCEGRNMNYYDAFKHQKDSKIDFKANIKRIELSGMWDEIMDLLQNYELPDGFEGRQDWIKLGTEFRELVEPIDIANYYRHFKNDDCGPYMRNGRPRRYRFTQRWSEHASKTEFRPISSSSFWAEVEELRNEKFEDIKEKLEPLEKALQIWQDDGKLCKHVFSHGSTVAEWWKTLPDQYRSTSCLAQYMSN >KZM86456 pep chromosome:ASM162521v1:7:2966233:2967360:1 gene:DCAR_023590 transcript:KZM86456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSLSFHIPKALPSLSAPQCRCLSISSQQKQSSNSKLHKKISSLGDKAIAYFSANKTNLSIQAATLLATVAQPALAVTGVNEDDDLISVLIALGISAFFYFLVAPPIIMNWLRIRWYRRNVYEMYLQFMFVFLFFPGLLLWAPFLNFRKFPRDPDMKYPWSTPKDPSKIKNDYLKYPWATLEDYDNY >KZM88493 pep chromosome:ASM162521v1:7:28329725:28329940:-1 gene:DCAR_025568 transcript:KZM88493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLWLVSKAAERDLAAAQKWATESESCLRALVVVGKKFRDDMALHEAKASEWRNPPNEQAKLRVLGMLD >KZM88576 pep chromosome:ASM162521v1:7:29373391:29378438:-1 gene:DCAR_025651 transcript:KZM88576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFSQAHRGRPAIGGNSAAHSTHAAGPSHSLTSYRGFVSQIELSLSASDLCDYGVLPKSNPVAVINVRSSDGTLQELGRTEVVPKSFNPKWTTKHIITYYFEVVQTLVFHLYNVDEQFQNLEVKMLKLDELQFLGEATCVLSEIISKSNRSITIDLVDSIRTSHHGKSGHLTISAEECADSKITTELVLRCLDLECEDLFGRTDPFLVISKCLDNGILLPICRTEVLNNDQSPVWEPVSLNIQQVGSKETSLLIECFNDNSNGRHDLIGKAEKSIADIENLHRARKGVQLYLPNNAGNNHQEKVLKSQLYVDRISETVQPTFLDYMTGGWELNFMVAIDFTASNGNPRLPDSLHYIDPSGRHNAYQKTISDVGEVLQFYDSAKRFPAWGFGARPIDGPVSHCFNLNGSSNYCEVEGIQGILKAYTSALYNVSLAGPTLFGPVITAASLIASQSLGNNHHKYYVLLIVTDGVITDLQETKDVLVKASDLPLSILIVGVGGADFKEMEIFDADKSGRVESTTGLVASRDMVHFVPFRDVRSGELSPVQSLLADLPSQFLTYTRTKSIYPYP >KZM89082 pep chromosome:ASM162521v1:7:33688122:33704918:1 gene:DCAR_026157 transcript:KZM89082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVISDLCSDQNTGEVVKALKSEPDLVGRSMEIRAHNKPVLDNFAQSKTHHRQEVEDNRSSSAVSTGQSGTSALDQEQSQFDHTSPCLTSPISPAPLCRQFWKAGKYDDGVAPKANLQNGTNHLYIHPKFLHSNATSHKWAFGAIAELIDNAVDEIQNGATFVIIDKTLNPKNGASALLIQDDGGGMDPEAMRRCLSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHMKDRTMTQSIGLLSYTFLTQTGHNRIVVPMVDYEFNTSTGTMDFLQGQSKENYMHNLSILLKWSPYSSEIELLNQCKDIGYHGTKVIIYNLWCKDERNLELDFESEEEDILIDNEAKKLEKAEKRVLATELHIANRLHYSLRAYLSVLYLRLPSNFCMFLRGRVVEYHNIATDLKYPEFIVYKPHNGRGVEDRVITTIGFLKEAPQVNIYGFNVYHKNRLILPFWRPANYTSNRGRGVVGVLEANFIQPSHNKQDFEKTSAFQKLEVRLKEMTVEYWDHHCGFLGYTVTKKPRALMTSQVTSEVSTQHGAIQPVMLSKNFVSYGEALAEAGTCIPPMVASSNYLARTPGQQICNQIDSQEGTSLKRRDSHGQESGKVKRRAVARDNVINTSQCLQVEPPTDTGELLEDQEPINVMQENRNLHAQCIGNERTGAVLKEKVITHVLSDSSTQHGEIQPVMLSKSFSSTGTAPNAAGTCTPPVVASSNELARTSGQRKCNQIDSQEGARMKKRDSDFQTERLKRKAVTRVNVHDSGPCLEVEPTTNTGKLLEDQKAIILMQKNRELHAQCMENERKEAELKEKVETLRTELKEARSQYTQLLADLQWLEKVKVETRYSMFCSHYTRSCNP >KZM87291 pep chromosome:ASM162521v1:7:13853578:13857545:-1 gene:DCAR_024425 transcript:KZM87291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRFINDHPGLPCVFLSEPGGSSAQVLLYGGQVISWKNEQGDELLFKSSKPTGKSPKSIKGGISICFPQFGTSGASEKQGSPRSKLWSLENCPLHLVPTGSPSSVDLILKSTDNETNTWPRSFELRIHVSLSPGKLTIVPQVKNTDNRSLSFTLAVRNYLSVSDISEVRVEGLETLDYLDNLLERERFTEQADALTFDGEVDRIYLETQTNIAIIDHEKKRTFVLRKDGLPDAGVWNPWTKASKGFSGLGENEYKQMLCVNSGALENPITLKPAEEWKGFQEISAVSSSYCSGQLDPQMVLQWLKDKDLFYQVLFRTKQTLPGSQHQCLKFKELIEEKMENSEESRGGNNKGENQYALQVSVTSPTSTRQGSGTKSSNTSTCLCSPTTHAGSFRCRLHRLPNLERSKSIGQ >KZM87664 pep chromosome:ASM162521v1:7:19012298:19017472:-1 gene:DCAR_024765 transcript:KZM87664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSAAKRLLRLAAGHHTPGSEIIGRSRALGPAIFKWPSPGLCTSAEPPRATENPNIPIAKSNGSRSQQPRAQYQDEQARVLNASLRHVPRLGWTEAAMVAGARDVGVSPSIVGAFPRKEAALVEHFMDECLQKLMDIIDLNEELNNLIPSDRIAKLVRNRLELQAPYISKWPQALSIQAQPVNVPNSFKQRAMLVDEIWHAAGDEANDIDWYVKRTILGGIYSTTEIYMLTDSSPDFRDTWAFLNGRVRDAFDFKKSVQEAQYLAEAIGAGMGNSVQGFMNSVFKK >KZM89005 pep chromosome:ASM162521v1:7:32981055:32983815:-1 gene:DCAR_026080 transcript:KZM89005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMNMVTSIWVVTWVLGILPLLGCYLWWCNDLSYRLRLKLINSSRGANAKTGTDVIKLPPGHMGLPYLGELLSFLWYFKIIGRPDDYINSKRQRTHLFGSPGVIACKPAVIKFILQNETQFKIDWPSVLLVGATSLVAVHGASHTRLRSFVSRAINLPQSLRRIAIMVQPRIVAALHTWSNQGTIGAHLEAKKVTFENIGSFFASLEPGPGLDTLDKLFTGILKGMRASTVNVPGSAYHHALQCREKATMIFRKELEKKRKKMSDGVENVTNDLMDGLIRMKDEEGKELSDTEVLDNIVSLVIAGYESTSLAIMWSLYYLAKYPNVLQKLREENACLHKHKNGEPITSDDIATLKYTNKVVEETIRMANIAAFIFRVATQDVEYKGYTIPKGWKVMMWVRYLHTNPENFDDPMCFNPDRWSEPAKPGTYQVFGGGSRICAGNMLARLQVAIFLHHLSIGYKWELINPDAKMVYLPHPKPEDGVMISFSKL >KZM86274 pep chromosome:ASM162521v1:7:1173939:1174196:-1 gene:DCAR_023408 transcript:KZM86274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAPTAPAPPDPNPPDLDATVMDVLPLASSGGNSLALAIALANEAQNHSSFDNAAQMPVTALFASTQAASASTESVNMASNTSA >KZM87112 pep chromosome:ASM162521v1:7:11053288:11053920:-1 gene:DCAR_024246 transcript:KZM87112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNLLVFLGVVVLATPSLAELKLPIHKPPYHKPPVSDIDNHHKPPVHKPPVHKPPPYHKPPVEELGGHYKPPVHKPPVHKPPVHKPPVNELEGHYKPPVHKPPGHKHPPVYEPEEYHKPEEYHKPPFHKPPVHKPPPVHDTEEHHKPPFHKPPVHKPPTETIEGFNNPEGLKPPTKEHKPPHLPPIIVRPPPTHKPSPPKGHHPGSGHP >KZM87566 pep chromosome:ASM162521v1:7:18202218:18204455:1 gene:DCAR_024694 transcript:KZM87566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARDIFCIRPRLTTAMMPSLRPFLLLILLSLWVCVESADPGFQKTITTGSKFGFLGRGPFGGIFQGQVTVEHGSDDPSLPASNPSLPASDPSLSASNDGGSSSSSGGDQVESARKMMNSNKGDSSDHTSHTSQDATPVIGPDGNPILSPEKPRFESNSLGKWRITTQNAGISAMQLQTMPEDKVVWFDTTFLGPSALELKPKGNCPINFETNVPDCYAHALEYDGLHDTTRPLTIKSDPWCSVGSLSATGTLVGSGGYYKGRSAIRLLKPCPNCDFTEKSFVLGSERWYASQHILEDGNLVIVGGRKSFNYEIIPPDTFNIPIKKFDFPFLQQTTDPVENNLYPFLYLVPDGNLFLFANNRSIIFDPKTAKIIRELPPLAGGSRNYPASGMSAVLPIKIDPKNPEKVYFDIMVCGGASPFAFFPVDSVQARPKPKNVYWPALQDCNKIKLMDPNPHWEKDMLLSPRVMGDMLILPTGDLLILNGAKKGAAGWWNGDDPNLTPELYMPKQKLGSRFKQLHPTTIPRMYHSTSAVLSNGEVLVAGSNENDRYVYQGVPFPTELRVEKFTPPYLDKSLDKHRPKILTKPKKVKHGGPFSFKYAITTPPPQPLEASDLKMHMLSPPFTTHGYSQQQRMLVLDVRMRGPDTVQAVAPPNARIAPPGYYILFLVHRGVPSAGIWVRLVTK >KZM86768 pep chromosome:ASM162521v1:7:6667409:6667876:1 gene:DCAR_023902 transcript:KZM86768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQYTPLKRKRNSYGIDEPTLLPFLKPDDLFPSFFADPKRDLTTEGPARSSKAKENLYQGYSFNCNGLLSKDAVKVLDEGLVSKISDVFKQNKVNLDAQADLEMFARDVLHQVVNGTLDAFMNKSKVLGEDLLPKKFVLIDVEEVLTAMGLVF >KZM88977 pep chromosome:ASM162521v1:7:32735579:32737394:1 gene:DCAR_026052 transcript:KZM88977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSTKAWSDSEAAASAGEDIFTLPSLDCSGFSGYDSSTYGIPLPDNNDSFSWDDLVPRPSSPVKDEFFCGPLVPRGSGLGEWKDDEMMDIFRGQGQVQQPLLLCDKSMVVNGEEEQYKARSCRSAVGKQKGCGSSSKMLTREEISGYFYMPITQAAMEMNVGLTYLKRRCRDLGIRRWPHRKLMSLQSLINNVQEIGNREGDAANNEKLKEAIELLEQEQRRIEAEPDVKLEDKTKRLRQACFKANYKKRKSAINVGQEDLLMLTDDNSSSRTYSPADDRSSSSSTGRYIGADFTSDHLTFPGDLNVDDLEMESLFLDSEASPTNTSY >KZM87828 pep chromosome:ASM162521v1:7:20918561:20930300:1 gene:DCAR_024929 transcript:KZM87828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKVRSFVTCDDPRGVVNCGIRKHKNSKKLEEKLEQPKLLKKHHGTLAPIEGRPSMTPVLRPRGIGLKYNLLFSTLKARTERKAAGVPDCEGAATTKAPWNTPARTERKAAGVPDCEGAATTKAPWNTPELFDLSALEGETIRVWEDGTKRIGRRSFSILRACMLPLHKWLINGNSTKEHDLVTKNDLEHLLHLLDGKRWDRPWQYLMDRSTSNMAYQAWHHEPESLGRARSAAVLSHPRNYQIIVDLILVKYLCSYRHNILKVDQNKFTAFVIKATQIIQGIICVAHGIILCYQGELVEAVVPYMGESITDGTLATFLKKPGDHVDIDEPIAQVETDKVTIDVASPESGIIKEFLAKEGDTVEPGTKIAIISKSSEGVTHVAPSEKPPSKAVSEPSPAPAEKEKMDKQIPKAETTLPKDKPKVPSPPTSRSSPSEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNDVDMTNLMKLRSEYKDAFLEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNAERMNFADIEKEINTLAKKATNGSISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVNRPMVVGGEIVSRPMMYIALTYDHRLIDGREAVFFLRRIKDVIEDPRRLLLDI >KZM87181 pep chromosome:ASM162521v1:7:12153384:12153593:-1 gene:DCAR_024315 transcript:KZM87181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGEQEQGDTAATEGGQQQESRTEFAGHEEDVGIGEEQDFGMGEDIGGHEETARTATKLMDQADDLQV >KZM87680 pep chromosome:ASM162521v1:7:19344790:19348252:1 gene:DCAR_024781 transcript:KZM87680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDGIEYIQKGMKSNAPLPTYEYNVWPMHEHKIQQGIKYVSDEAFELAIHMLAERSIVPTEVLPTYEYVYENQQGIEYIQETERSTVLTKVLPTYEYENQRGIEYIQGTERSNVLTKVLPTYEYENQRGIEYIQGTERSNVLTKVLPTYEYENQQGIEYIQETERSTVLTKLLPTYEYEYENQQGIEYIQRKERSTVLTKVLPTYEYEYENQQGIEYIQETERSTVPTKVLPTYEYKYENQQGIEYIRETERSTVTTEVLPTYEYENQQGIEYIQERMRSTVSTKMLSTNEYKNQQSIRYIREPERMANEPLHVVPVHEHKIQQGIKYISDEAFEQAIHMLAETRWVFHFAHLRQLPVLVPYIATENPRLPDTAYEVALVAVATNPSFHKEILSTIKYWSPIICSAVPVISAIEPQLNTSSTTDALKEQQAE >KZM88539 pep chromosome:ASM162521v1:7:29026563:29027930:-1 gene:DCAR_025614 transcript:KZM88539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDLDDIEALKYDDLDHVSKLQKTQRYIDIMQKVESALEKGSDVSKHGGVDDPEYKLVVDCTTLLLDIENEIIILGNFIRDKYRLKFPELESLVHDPIDYARVVKKIGNEVDLTLVDLQGLVPSAIIMVVSLTASTTSGKPLVAEDLHKTVEACDMAITLDSAKKKVLDFVQSRMAYIAPNLSAIVGSAVAAKLMAAAGGLSALTERPACNIKFLGAKRKNLAGFSTMTCQFPVGSYIEQTEIFQSTPPALRTCVSRLLASKSTLAARVDSAGGDATGGLGSALREEILKKIDKWQQPSPAKQVKPLPVPCTEPKKKRGGRRLRKMKERYAVTDMRKLANRVQFGVPEVSSLGDGLGEGYGMLGQAGSGKLRVSAGQNKLTAKIAKKIKERSFGSGATSGLTSSLAFTSVQGIELSNPEALANQLGSGTQSTYFCDTGTFSKIKRHCKPMQCNR >KZM86865 pep chromosome:ASM162521v1:7:7795751:7802779:-1 gene:DCAR_023999 transcript:KZM86865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMILLHIFSNPMTQDGFKLDEIGGEIVSIALPAVLALAADPLTSLVDTAFVGHLGSVELAAVGVSASVFNLVSKLFNVPLLNVTTAFVAEEQAFITKGGDKPLYSGQNGLRSKKVLPSVSTSLILAATIGIAEAVALSAGSGFLMNTMGIPFVAKNRNYGDHTEYEHTHKEQDSPMRVPAEQFLTLRAFGAPPIVIALAAQGAFRGFKDTKTPLYAVGAGNLLNALLDPILIFFLSFGIGGAAISTVISEYLIAFILLWKLNEKVSLVSPKFEATKVFRYLKSGGFLIGRTIAVLVTMTVATSMAARDGPVPVAGYQICLEVWLALSLLNDALAIAGQALLASCYSEGNYDQAREVIYRVLKVGHVPLSSTSLSPFMLAISLGTAIVIDPLASCPNDLLLKMQFQQIGITAGISLTVFLLLGFGAISSLFTNDSEVLEIAKSGTLFVAGSQIMNALAFVLDGLYYGVSDFEYAAYSMALIGLISSLVMLAAAPMYGLAGVWIGLYLFMTLRVVAGIWR >KZM86904 pep chromosome:ASM162521v1:7:8224811:8232857:-1 gene:DCAR_024038 transcript:KZM86904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRNIIIICIILVVSSYNTRLVSGLATADSSKNNKFRQRLPTDDALPAPNLDEDELLNKQCPQNLELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRVSGYLMSDKLVVPRLKVKRDWHVGLDSDPVDRSHPDVHDDELIQDAVLNSKPSPAAHLNMANASKIENQETQNNSHTEADIKFPPNVNDTIPEEKTSTADNQTVSDTKLQKITDNTTSDSGPERTSNAESGTHTSRRLLEENGSDNSGESVSGSKDNKADDVQATVENEAGLEADADSSFELLRDNDELADEYNYDYDDYVDENMWGDEEWTEAQHEALENYVNIDSHILCTPVIADIDNDGVSEMIVAVSYFFDHEYYDNPEHLKELGGIDIGKYVAGGIVVFNLDTKQVKWTSKLDLSTDTAKFRGYIYSSPTVVDLDGDGNLDILVGTSYGLFYVLDHKGVIAADINDDGKIELVTTDTHGNVAAWTAQGKEIWEAHVKSLIPQGPTVGDVDGDGHTDVVVPTISGNIYVLSGKDGSVVRPYPYRTHGRIMNKILLVDLTKRGEKKKGLTIVTTSFDGYLYLIDGQTSCADVVDIGETSYSMVLAENVDGGDDLDLIVTTMNGNVFCFSTPAPHHPLKARYSIKGWRSTDQGRNNVASRFDREGIYFTPSSRTFRDEEGKNFWVEIEIVDRYRFPSGSQAPYNVTTSLLVPGNYQGDRTIKQNQVYERPGKYRLKLPTVNVRTAGTVLVEMVDKNGLHFSDDFSLTFHMHYYKLLKWLVVLPMLGMFGMLVILRPQEAMPLPSFSRNTDL >KZM86251 pep chromosome:ASM162521v1:7:1016388:1016882:-1 gene:DCAR_023385 transcript:KZM86251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNYFNFYPNSEPDMVYEAYQYGLLAQVYPANNLLESSRFPKEFIKAVQTFKSNCLKGKEMDLFIKTTSTIICRENEEEYACPANQPFHYIQVGSAKEKIYSPSQAIKPTLEKNDLRELVETKLLILINKLFGIQEEDKLKVNLATTHALMIYYSKEAPCRFH >KZM88318 pep chromosome:ASM162521v1:7:26765525:26770519:-1 gene:DCAR_025393 transcript:KZM88318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCSKKSLTRRTAKKSPDSSPDLIVQSTHDIDWTLKSSSGSFSKAGFSGTLDKIKEEPEKENDDDKKLLVSQNQKSGNLSKPQGSGRSSSFSIRNGGRFTQGENVAAGWPPWLCAVASEAVEGWVPLKYDRFEKLEKIGQGTYSSVWRALDTETGKMVALKKVRFDNFTPESVRFMAREITILRRLDHINIMKLEGIIASKLSCSIYLVFEYMEHDLAGLLSCPDIKFTEAQIKCFMRQLLCGLEHCHSQGIVHRDVKTSNILVNNDGILKIADFGLANFTAPYNKEPLTTRVVTLWYRPPELLLGSTKYGVSVDLWSVGCVFAELFTGKPFLKGKTEVEQLHKIFRLCGTPTEEYWRRYKLPLATMFKPQHRYESMLRERCKEFPETSQHLIESFLSIEPHKRGTATAALNSEYFNSTPYACDPSSLPKYPPNKEIDAKLREEERSNMYNQFGLSYLYQIAAADRDSVVMECFRSNGSLKLKYITCGLRKNASRMRASGPLRNSVGASRNSSRERQPLQESTTSEQKQEKGSRDSLNSDSASEVSQVTEMSQSNRTLSVPVASESRDKRQKQDSASSISHGRKTLKAQTSSADQNAMQNNIEHLSEEKPPLRHRVNSSKRSMKREQVRPQQQNSLAWSDIDTPGNSYGERNAEMKGRSSFSGPLTQSSRKRGSQDGHTRQSANHPSRFHRETSSKLSRLLQHTGGLEMLHC >KZM86158 pep chromosome:ASM162521v1:7:204587:208094:1 gene:DCAR_023292 transcript:KZM86158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDTSPRNLFQTYGSEAYPFSDERISFLKDRDKTAFSQPSLKALLGSSERDFVISNKGYKVPISTLENKVVALFFYEDGYSPDFFTRKLKMYYEGLRKNNENFEVVLLYLYDTDLTINSTNEETFWKTFNTMPWLALPYKDLALKKLRRVFGYPYEYSYEEDEPNFYPALVIVGPRNDIIEPRLANMFRGYDANLFTYEKSEKAEGERVWELKLDMLCSPDTVFKRIDGSQVSAATDISLLFFLPLAEMEVL >KZM88119 pep chromosome:ASM162521v1:7:24719729:24726261:1 gene:DCAR_025194 transcript:KZM88119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAVVSHRNFGSFLGSGKVYQEPLFPGYRRYSYRNFVGSSNSETLRTRVTCHPNVLHQQFSNFNTVKHSQRTRAICECFLSSRKLGKLGIVDSQFQQSKHATVIRTRADYKSEDYDITGEGVDSLISSEGAGEAILVEAGQQTKPWWELPKRWVIVLLCFTAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQIAGGIWADKVGGKLVLGFGVVWWSIATIMTPIAAKIGLPFLLVMRAFMGIGEGVAMPAMNNMLSKWIPVSERSRSLALVYSGMYLGSVTGLALSPALIHKFGWPSVFYSFGSLGSIWFALWLSKAHSSPKEDPKLSVEEKKVILAGSVSKEPVSVIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAIFANIGGWIADTLVSRGLSITAVRKARMIPACHLIMQSIGFLGPAFFLTQLSRVRTPALAVLCMSCSQGLDAFSQSGLYSNHQDIGPRYSGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDDVFKVSVILYIIGTLVWNLFSTGEKILD >KZM86829 pep chromosome:ASM162521v1:7:7432956:7437844:1 gene:DCAR_023963 transcript:KZM86829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNPNFSPARAASPQIRTNPDVETSQYLTELLGEHQKLQPFMQVLPICTKLLNQEILRISGMLPNQGFNDFDRLRHRSPSPMASSNLVPNMPRTAIGSTSVGGPGEGEQYEATEIGKSKNMGIQRLSGPPAMTMDWQGAPASPSSFTVKRILRLDIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEQLHILIEADLPANVVDMRLRQAQEIIEELLKPVDESQDFIKRQQLRELALLNSNFREDSPGPSGSVSPFNTSGMKRAKTGR >KZM86268 pep chromosome:ASM162521v1:7:1139032:1141166:-1 gene:DCAR_023402 transcript:KZM86268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVTSSVIFLLVSLSVTPAFAHNVQAIFIFGDSLFDAGNNHYNKNCSAQADFPPYGSSYFHHPTGRFTNGRTVADFISQYLGIGIQKPFLEAQLEVANGSRKEYPSNGINFASAGSGLYRATNRDLGVTPIQDQLQQFQALTDQNLIDRKLVERSLFFFESGSNDVFNFFLPFDAPTVTPDAYVKAMLAEVEHFVDQIYKLGARKIALFSLGPVGCVPARSLLPGAPVNRCFGKMNRMAKNYNRGVENIAKNISKKYPGSVGVFGSVYGIFQLYRTTPSRHGITNVTDACCGDGTLRGMLQCGQEGYKVCENPNKYLFWDYFHPSEHTYKLMSKSLWADNKYIHPMNLKTLANLTIVL >KZM88100 pep chromosome:ASM162521v1:7:24449943:24451827:-1 gene:DCAR_025175 transcript:KZM88100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIKEFFARSDSIKQTFKTKKKLYIIIFSSFLLVATLIGIAIRESNKSGTNQGSSAASLNLRSTAAHAVVRSSCSNTLYPGLCYSTIANYDPDVSKKVTSQKDVIELSLNITCKAIQKNYFQVEKLMAKRGNHLTKRENCALHDCLETIDETLDELHEAISDLESYPTKKSLTQHADDLKTLISSAITNTETCLDGFSHDGADKNVRKALLKGQLQVEKMCSNALAMICNMTGTDIANEKAAMLAKGRKLEEQETSEAGWPEWLSAGDRRLLQSSKVTPDVVVAADGSGDYKTVGAAVAAAPQGSSKRYVIRIKAGVYKENVDVSKKKKNIMFLGDGRANTIITGSRSVKDGSTTFTSATVAAVGERFLARDITFQNTAGPSKHQAVALRVGSDFSAFYQCDILAYQDTLYVHSNRQFFINCLISGTVDFIFGNAAVVLQDCDIHARRPDSGQKNMLTAQGRTDPNQNTGIVIQKCRIGATSDLKPVQGSFPTYLGRPWKEYSRTVIMQSSITDVIRPAGWFEWSGNFALNTLYYGEYQNTGAGAGTSGRVKWKGYKVITSATEAQGFTPARFIAGSSWLSSTTFPFSLGL >KZM87152 pep chromosome:ASM162521v1:7:11710530:11712863:-1 gene:DCAR_024286 transcript:KZM87152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVSGDGGEAGGDMGEAGGDGGEGEDGGGGDNGMNLVDDDSRRLLTSEDMTDGYKSLKRRKTTKKPNQENVSGITTGTPQTNSSVNRTSKPVGSGSRQSTFQSTDQLISTPVTDRFTSYASYCNASQTQQTSKPVESGSCPSTFQSVNQLPRTPFRDITNVSRNQKNAQHQQKPKGKAKQNKWEDVPLNAWSRNLFDQEFSQNHTNNNSVVYDEICEETRFKNATVTDEDLFEVEDSYDTNDDSSDDAIVEQTTPGLFSVFLKF >KZM89320 pep chromosome:ASM162521v1:7:35941407:35942423:-1 gene:DCAR_026395 transcript:KZM89320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRTAREESDEDMSLPADIDWHMLDKSKFFFLGAALFSGVSVALYPIVVLKTRQQVSLSQVSSVRNAVSIFRHEGLCGLYRGFGTSLVGTIPARALYMTALEVTKSNVGTATVKLGLSEASASAIANASAGLSAAMAAQLVWTPVDVVSQRLMVQGQQNLKLPSASKYQNGIDAFRKILRADGIRGLYRGFGISILTYAPSNAVWWASYSVTQRLVWGGVSCYSENGVNTLRPDSRTVITVQGVSAAMAGGVSAVITMPFDTIKTRLQVLDGRDDNGRRGPTIGQTFRNLVREGGWLACYKGLGPRAASMSMSATTMITTYELLKRLSAKTPEGSTP >KZM86186 pep chromosome:ASM162521v1:7:450989:455374:-1 gene:DCAR_023320 transcript:KZM86186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSWARLSTSARRYQFRSDSFSGGEEMEGEEGLRQEFLCPFCGEDFDMVGLCCHIDEEHMVEVKNGVCPVCARKVGTELVGHITMQHGNNVQRKRRYRRGGSNSTLSILRRELRDGNLQSLLGGSSNLVSSTNTEADSLLSSFICNTSPVVSDEPIAVQPHSSDEIGAVANDSTVSSAERTVHKSPLSEKDQEEKARRCEFVQGLLMSTMFSDDL >KZM86614 pep chromosome:ASM162521v1:7:4920107:4921075:1 gene:DCAR_023748 transcript:KZM86614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQQVPERIWKKSFHNRRDSDELDVFEAAGYFSGANEVSGYQKMLRSGRRTSLDIPMMSSTRTSMIQEQAHQYDNVIMPEKPTKEKKYKQPNSPGGRLATFLNSLFSQNSSKKKKSKSCSAQSMKDEDHESPGARRKRRSSISHFRSFTSTNNSSSVNNSKSSFYSSSSSEFTTHPSTNTPTKNTYKDSKPTIHLNMSSKSNKYNVNSTTWQKNEDHDNVWMDEEEFKFSTTTIFDSNRAPSQNREIFGNGAFDHEKNQPSERDFRNFINEMDDGAESDSSSDLFELQNYDLGCCTYSSGLPVYETTHMSSIKRGAPMITS >KZM87299 pep chromosome:ASM162521v1:7:13948265:13948849:1 gene:DCAR_024433 transcript:KZM87299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITAAAESDAELRRAAFKTNSPAAKAVVDQLVKLIKDSNNPALQIPAMRSIGSLARTFPARETRVLVPIVEQLGNQNQEVSTEAAIALGKFTCPDNFLCAQHTKTIIEYRGMQSLMRLLRANEIAKFHGVVLLCYLALSVAEDEISEHGRMLTTLQGAERTYTTQSPEFKELISNAIRRLSVYHSGDISVKMS >KZM87234 pep chromosome:ASM162521v1:7:12850770:12855385:-1 gene:DCAR_024368 transcript:KZM87234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSWRHHTLIQSLLSRGPLKESEFRSIFTKITANRSDNDRLFHDYLKKINSCLAYVQFELRAFRNQYDGTVYYGVVNNVADEQSKLGTKYTVPQIAFYKGIIEAIIQDDTAKGSITNIDALNTRLENQVPNGGGPESQGVSSQVPAAFRNFSLSQKERTLDELVRDKWLCATSDGRIGLGVRSFLDLRSWFRSNDVPACDVCNEAGVKADPCSNGSCSVRIHNYCLTRKFSQRKAERICPGCGTQWDYTVPKSEFVVHEEELQNGNSQNEPPPEPPRRKKQRRGRTEDDTSGSGPSQTCTVKSETRTTRRSSRLVGASQRHVLQDLAEEHLYEILQIVAKRNPKLTTPDGDGEIELDVSALDSESMWDLHDFVRNLKPKQDNKVT >KZM87804 pep chromosome:ASM162521v1:7:20658874:20677598:1 gene:DCAR_024905 transcript:KZM87804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEILEGLVARVVTRDSSKRMKKVLKYSTPHLPVGVGLDLGPSLREICAANRSDEKQQIKTLLQNVGTSFCPSFVDWFGNGSTDAHSADADESFISKFLQANPSDLSTTKFQDIIRLMREKHIPAAFKCYYNFHKAESMSSDNLHFKMVIHVRNDSAFQRYQKQMRHKPGLWPLYRGFFADINLFNSSKERASQIAINSVDMIDNVPDSNDISGKGGLADEDANLMIKLKFLTYKLRTFLIRNGLSILFKEGPDAYKTYYMRQMRKWNTSEAKRGELSTMLEEWACYARRKYGNETLSGPMYLTEVEPFLENYAKRSRKNRALIGSAGNLVKAEDFLAIVDSGENEVGEVETANPSVVKDSVGKGEGLIVFFPGIPGCGKSALCKEILCSTGKLWDGLPVHSLMGDLTKGNYWKKVAEERNRNPSSVMLADKNAPTVEVWRRIEGICSSTKAFAVPVVPDCEGTDTNPYSLDALAVFILRVLLRSNHPGSLDKACPTAGYVLLKFYHFYDGQDRKVFEAELIECFGSIVKMPLLKPNRSPLPESVTSILEEGIDLFRLHSIKHRRLDSSKGTYAQQWAKWEKKLRDIIYINAEYLNSVQVPIDCAVKQVLKQLGTLAKGDYSRPRPITAKRKAGSIIFAAVNIPVTELQGLLHKLAEKNSEFDAFLKDKNVDRTLKQAHLTLAHKQNHGVTAVASYASVLHQNVPVEMTALFFSDRWAAMEAHPGSVDGEEVKSKNMWPHITLWTAKGAAAKESSTLPQLFFKGRATLIEIDPPVTVVGTIEFH >KZM87069 pep chromosome:ASM162521v1:7:10714735:10716156:-1 gene:DCAR_024203 transcript:KZM87069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINGLAYEDEESSSEGIKIQPLSSATMPEQWKDDAGKNRTPLTFRDRLGIDDFYDLNVWRASIGEIFGTAVLVFAVDTIVISSVQTDTKTPNVLLSILVAIIVTILLLAIHPVSGGHINPIVSFSAGLVGLISMSRAAIYIAAQCIGAVLGALALKAVVSSSIENTFSLGGCTVTVVTPGPDGPITIGLETGQAFWLEVICSFVFLVASIWMAYDKRQKKELGQVIVFAIVGTVLGLLVFISTTVTAQKGYAGAGINPARCLGPAIVRGGHLWNSHWIYWVGPGISCVAFYIYTKIIPREHFQPADLQRHDFYNVVKAF >KZM87397 pep chromosome:ASM162521v1:7:15526404:15527902:-1 gene:DCAR_024531 transcript:KZM87397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSPLKDHYFSSFNRSKLITWSLYTLVSFAFIRFYFYPYFSLVSTQDQFLTTNSRVISTTSTPSSSSSSSTSHVSPPPPYSQEEEKPHVESTTCDYTNGEWVQDKQGPMYNGTSCGTIKKGQNCMRHGRPDTGYLYWRWKPKDCQVPRFEPTIFLDMLKNKHVAFVGDSMARNQLESLLCMLSTFSAPNLVYRNGEDDKFRRWNFPSHNVTVSVYWSPFLVKGIEKSTNGGPDYNRLFLDSVDERWASDLGSIDMVVLSIGHWFLHPAAYFDGDKVLGCHVCSGMNYTEIGFYGVYAKAMETTLKAIMKRRGSRVGSDSNGAIDVIVTTFTPAHFEGEWDKPGACSKTKPYKEGEIELINMDAEMRKSELDVVKVAKKKAKRFKNLRLEAVDVTKLALMRPDGHPGPYMNPHPFANGVGERVQNDCVHWCLPGPIDTWNEILLDVIKRWNGQSRH >KZM87876 pep chromosome:ASM162521v1:7:21557290:21557664:1 gene:DCAR_024977 transcript:KZM87876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFLPMPLLFFAGSDTSSLYSESGNSWVDITKFLTGASLVGSVAIPVILKHAGIICWGALAMELSSLFIFGLAILCFVATNDDGDTYGML >KZM88257 pep chromosome:ASM162521v1:7:26144369:26145358:-1 gene:DCAR_025332 transcript:KZM88257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRCKGLPLAISALGGILKGKHSLREWERINEDVSFHVARGGGVTNDDEYYTVRQILGLSYGNLPSRLQHCFLCFANYKEDEIIDTEELYIIWMAEGLISVEDRAQGEMMLEVAERYLDELAHRSLVTVKVHDYANDSRSKYKECVVHDLIQDLCWSKVKEQGVMHVIDLERKLDIGSKAGIVRRLCVRSHDANREVLQPYDPHVLAQIRSLFIWNDLDDDPPLWPNHIFTLEKFKLLRVFTACRYCKLSKKDVRSLSKLVYLKYLSLQDCELDILPASIGKLRNLETLDVRTQGVCLSIPNVLRHLALRSVENTYLDGEMVLLDHKE >KZM86895 pep chromosome:ASM162521v1:7:8129080:8131536:-1 gene:DCAR_024029 transcript:KZM86895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALRKFEPIKKCDTANRSNQTVASDLDGTLLVSRSAFPYYMLLALEAGSIFRALLLLISVPLVYSIYLFVSEELAIKTLIFISVSGIKIRDIELVSRSVLPKFYAEDVHPDTWRVFNACGKRYVITASPRVMVEHFAKSFLGADKVIGTELQVSKSGRATGFVKQPGVLVGDHKKAAVVKEFSNNLPDLGLGDRESDHQFMSLCKEGYMVPKSNCEPLPRNKLLSPIIFHEGRLVQRPTPLVALLTFLWMPIGIILSILRVYLNIPLPEKIVRYNYKILGINLIVKGTPPPPPKQGHSGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFSEMISPIKAVALSRERDKDAANIKKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTARGHKFLDPYFAFMNPRPTYEITFLNQLPPELTVKAGKSPIEVANYIQKVLAGTLGFECTNLTRKDKYAMMAGTDGIVRANSKKGD >KZM87841 pep chromosome:ASM162521v1:7:21043763:21045237:-1 gene:DCAR_024942 transcript:KZM87841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSTRKRDDEDITTQVFTFGELAAATKNFHPQKLVGKGGFGRVYKGQLKHNNQIVAIKQLDQNAVQGTEEFLEEIAALSHVQHPNLVNLIGYCADGHQKMLVYEYLSNGSLEDHLFEVSGKKHPLDWYTRIKIAHGAAQGLEYLHDNANTPIVYSNFKASHILLDSEFNAKLVDFGSSRFGLSGEKDHVPMTMMQTYGHCAPEYSETGEPTPKSDVYSFGVLLLEIISGRRAIDTTRPKEEQNLVTWAHPIFKDKKKFRLIADPLLSDKYPVKALYQALAMAAMCLQEEANTRPVIADIAIALEYLLCESFKNNDKVSMSDDELAAMEFGTQDAETSL >KZM88066 pep chromosome:ASM162521v1:7:24111387:24112411:-1 gene:DCAR_025141 transcript:KZM88066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSPAVNTYPLSSYTFGSKEAKIEKDTSVADRLARMKTNYVKEGMRTSVEGILLGCDPIVNGKLSPLSTKMSGVCVFS >KZM88652 pep chromosome:ASM162521v1:7:29996063:29996970:1 gene:DCAR_025727 transcript:KZM88652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAQVSETSRKRGLAADESSGTAERGRVGDGEPELCSSSSCLVQFKRRRQVTENCGEVKCLGDDQCSSPSSGDCAASYCSSTEIRNDELQFPDLEEESVEIKSLTCDSNCRERREMTPLSELGEETGELESNSKTPAANSRRRSTAEKMPSDAEIEEFFAAAEKKIQKEFTEKYNFDIVKDKPLKGRYEWAPLKP >KZM87601 pep chromosome:ASM162521v1:7:18515885:18518992:-1 gene:DCAR_024721 transcript:KZM87601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNGDSFGKVKQRLKDRTKKVVETKEMLSKQAVKSREILSKQAVKSKQMLSKQAQNIAKQAQEHERFINKVTHFVGVIGFGTFCFLLGARPQDIRYVYCLFYLIFVPLRWIYYRYKKWHYYLLDFCYYANTIFLVMLLFYPENEKLFMVTFSFAEGPLAWALIVWRCSLVFSSVDKLVSVLIHLLPGLVFFTIRWWDPAFFEAMHPEGTVRRASWPYVEDRSYLWTWLFVVPLAAYSIWQVLYFLIVNVLRHQRLLRDPEVMTSYRELSKKARKANNLWWRLSGLLGDQNRMFMYILLQAVFTVATMALTVPIFLSYELHCIFQILKVSASVWNGGSFLLEVMPRQAVMKEKKKSGMQPIQPQEAQSSVASETLTEAPATAVDAVIVGGTPSK >KZM89165 pep chromosome:ASM162521v1:7:34555929:34562866:-1 gene:DCAR_026240 transcript:KZM89165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQNAEDNEYPEGVDPSLEFVVTSRDITATGAPATLLIFNNERGFTPRNIESICSVGRSTKKGNRRRGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFTEGPCPHCNVGYIVPEWVETNPTLSVIESIHGIGTALPTTTIILPLKADKVKPVKNQLSNIHPEILLFLTKIKRLSVREDNEDSKLNTVSAISISSETNFVTRKNIDAESYMLHLSADDTGDDDLEKECGYHMWRQRFPVKKDHKVERRMEVEEWVITLAFPSGKRLLRGMQSPGIYAFLPTEMVTNFPFIIQADFILASSRENILLDNKWNKGILDCVSTAFISAFTSLVKDTEAPISTLPRMFGFLPVNKGPITELNAVRESIKQKILNQSIVPCESYSEQRFFHKPCEVGGVLPAFWNILDKARGQGVALDNISSHGTYILSSSFDKKEYDQILEFMGVEFVGNDWYAKCIQSSNIILGVSEDVYLELLLFVAENWKSSFYSTNMKNASILKYVDIHGTVSLTSVGEVSQYSGGKLFKSTEDRYASWLINWSREFRGATDHLFMPISTQQAIGLLSKKRILLDWLSDQANVKAVSVYDYALLLNRLISNDKELAIAYCHFVYHTFAKKYMSEQNVKEICGSMPLVDSYGRMMGQRRHVLLPANGSNWVELIGTNPWRTEGYVELGKDYLQPASYVGIHTANRELISFLKTYVPAFDIPNISPPDAVIPTMSAPLTRKNVFLLLDWIRYLRRKGINIPQKFLSCIKEGSWLKISLNGSSSYRPPSQSFMFSSSGAHILQRESELVDIPEVDMQFYGQKIMDYREELRVVGVMFEVNEACRFIGNQLMSIAASSNLSRDNVLSILKFIKFLRLKLLSPAEFINSIKGGRWLRTNQGDRSPDESVLYNEEWKAAKEVSNIPLIDEVYYGANLMSYKVELGLIGVRVNFDGNYQLVSDNLKSSHCLSSLSADALYLILNCLRHLRSTSNLVHALKDKKCIKTNGGFKSPAECYLPDSEWGCLLQVFSCFPLIDENFYGSKILSFKSELKQIGLVVDLDEASKKFEDVFRKQASLHSIGKDNVLALLQYYKKPKSSTFPSNLKKCIREVKWLRTRLGDYRVPADCILYSQCWKSISSISLLPFIDDSDTHYGATIHEYEKELKSMGVVSSFKDGAHFVVNGLYLPQDSSSITPENVYSLLDCIRNYKPENHKLENQELFPSTFVEKIGRKWLKTYSGFNTPKRCLLFSPDWARLLERSDGPFLDEDFYGSRITEYKNELRSLGVIVDVRNGCSLMADYLDFHSSFTTVSRIYNYLFEFNWKPDDEDNKRIWVPSGTDNGQWVSSEDCVIHDKNGLLGARLHVLEKHYKDNKLLMFFSLTYGVKLNPSIDDYCEIWKSWEASGHQLTHEEACAFWEFVIKNWSSRNGEILAQRLLKLPVYSGSSPINLVNKHDVFIADDLQLKDLFERSSLGSLFVWYPQPSMKSLPRTKLLEIYSKIGVRNISESVQQELSEVDAVNLKQLKLKEVFIGKGLLRLILGFLADVSPKMEVDVRHDIVRPLLDVTVLEAGGKITMRHTLSLSSGETLNVEARQMLRWEKQISKLFVQKLDKDGGPKTTIEYASHFSEVVAGGLIWENEDHTRQLADLIKLGFLVEFNEEAIMYLMKTKNLQTFLEDEALLSATFPDE >KZM87490 pep chromosome:ASM162521v1:7:17210489:17211373:-1 gene:DCAR_024624 transcript:KZM87490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQKLLILSTVRDDYGRIYSIQKTNEQRAAVRLNLTATRLWGSCRGLILIGDQELANPTTCYSRKLKQAPRGDYNRVFKAYGIGYDTILDDYVVVAISYYQCSTYQKESFFYVFALKTGKWERALLSPYDHRMSRDYAGVLFQGGWHWFAKSGDLLVLVVFNVSSRSLASVPKPENLTFEDGTFSFPEIGVLDDCLSVVAIKGGKETFIWLMRSYGVAETWFNLVIRHPVSQISALYMREESWNVICVEDGNFLLLIVSENGGVRIQDFAVHGLPEDFEVGLLTDDSLISPFQ >KZM89135 pep chromosome:ASM162521v1:7:34218736:34224130:1 gene:DCAR_026210 transcript:KZM89135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPFDFQEFQENLAVKLRPLQRSFEFWARAADIYTGYKVFQVRVVFEKDVQKQEAMWERQHELAAEKIYSMCSDMGGFFLKVAQIIGKPDLAPAAWVKRLVTLCDHAPPTPANVVRRVLEAELGQSMEEVFERFDLDVLGSASIAQVHRARLRGEKIDVAVKVQHPGVQDLMMTDLRNLKAFALYMQKTDIKFDLYSITKEMEKQIGYEFDFRREADAMERIRCFLYSKGKKSPVQVPRLIRNLVTRKVLVMEYIDGIPILNLGDEIAKRGINPAGKVAAAAKQNILKSLTLAYGEMILRSGYFHADPHPGNILVCKGSEASGLPSYYMYYIALLDYGQVKDLPDELRLGYASLVLAIADNDPAKASESFRELGIDTLKLCEMEEIEMLKLAQTMFDTKLPPGVKMLQPFSEGSSIKKISVRAFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALYTAGRLKDKDYRSKARRRGFFRSLLWRN >KZM87982 pep chromosome:ASM162521v1:7:22939966:22940634:1 gene:DCAR_025083 transcript:KZM87982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQSFMNVHAEVISLIFPYLILDASDFSSFAKLLMIWERERPSAQIKFVLDKLDWDRLYRFHNHPMEVTRDQFHGFVGYSVGHNVVQSLFFNSSQKLFLMEDVQLNLGILSSLASTHLPSSFTFLFFKSIYIGSDIDSTAREIFGIVNMVHLRGKVEELMDLLQSMYEHLFEMDYLLPQSKVCPNAGDLNPQLKIDGFPNEESLWNSLCSNTVLVRCLANH >KZM89188 pep chromosome:ASM162521v1:7:34765862:34768158:1 gene:DCAR_026263 transcript:KZM89188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISHRYPAAPLRPINVPGRCSAYMSRLCMNVNRIVSGTCTSNAKKLKLEEMGFNVHKFNANEPELEVLDDLSHHTHLLVSVPPVEGIGDPLLQHDELLKRRLVDGNLRWLCYLSSTSVYGNCGGAWVDEDYPVSSTSGSAKAKARLAAEEGWLQFGSQLGLETKIFRLGGIYGPGRSLYTRIVVIHIFVVMAYICVVVDTETDSLDSAIDTILKQKQLSEVQRLRSFSRYTSRVHISDICQALHASIQKPSTV >KZM86257 pep chromosome:ASM162521v1:7:1039981:1041357:-1 gene:DCAR_023391 transcript:KZM86257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTDAIRTAVGIVGDVISAFLFLSPSPTFYRIFKKKSVEEFQPVPYLLTMINCLFWVLYGTPLVHPGNILVLIINGFGIVMELFYLSMFLIYAKDNKQRLRVGGILLLEFVVYGIFAGLLIGLEPSVKKRSRIVGTICIVLNIGMYGAPLTIARKVIKTKSVEYMPFWLSLTGTINGACWLCYGLLRFDVNLVVPNGLGFTFGVMQLVLYAIYWKRTPKSEDDKKVVQLQGGVV >KZM87121 pep chromosome:ASM162521v1:7:11174539:11180527:1 gene:DCAR_024255 transcript:KZM87121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSRSVSELKQTFNTGRTRDVEWRKAQLKGIIKLLDENELQIFQALERDLGKHPVEAYRDEIGVIKKSARFTLSSIDKWVAPTKKQVPLLFYPSKGVVMPEPLGIVLIFSSWNFPFTLSLDPLIGAVSAGNTVVLKPSELAAECSAFLSKTIPLYLDCKAIKIIEGGVEVAEKLLQQKWDKIFYTGNARVARIVMSAASKHLTPVTLELGGKCPAIFGSLSDGDMKVAIKRIASGKWGPCSGQACVGIDYILVEHQHASKLDPAVAASIVYGGSLDEQNLFIEPTILLDPPVDAVIMVEEIFGPFLPIITLDDIHESIEFINSREKPLTIYAFTKDESFKKQILAETSSGSVTFNDTFVLNACDNLPFGGVGESGFGRYHGKYSFDTFSHEKAVLERTFYFELEGRHPPWNDFKLQFIRLAYNYDYFGLVLLLLGLKRKFT >KZM87858 pep chromosome:ASM162521v1:7:21367367:21372544:1 gene:DCAR_024959 transcript:KZM87858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTRFSSASAGQSGASASSSRSKAKKMKAKKSRGAQKATKKKLTYLDDSPVLLELDPPXAKKSRGAQKATKKKLTYLDDSPVLLEVRTENENQDRAFSDGINDGFVDDRSVLNESTPVCGEACGKESAGTSLPAQVANDVLLDELSPNTINQLEVEMKKIEDSSSSKKRKMVEVDSQIIGDKVASEPVLCFVNPGTDDLQEDAQANEKDAGFSVDNDNEVDDVFTSCDLFSPDRDLKNLKFKELLESEVAVPIPESAEDFVLQVARDVVKLCALDEEEDVEDAFKKSMDVDMIDAEVDTNAKLLDVRKNFYSKQDNIISPPMMLGSNAISQKTWFHILEYGDSDLSTSHVDVFFYYLRKMSKYNEQCPVNFTTTDCTFMNDIVTKYEKISGEADIESKIRGDDCILDVIKGNALQYGKPWSMVEYVFFPIWLPEQEHWLLGILSLSKRQMFVFNSLTCQGFVQIIRTAVLPLADLLPHYLKFTDFYSRTDINFTTDLYSEYSKDDPIKTVLKNKYPRAASNQSGMHMISFAEYFALQKDVPRENFEVGFHASRISFSFYFLCIIYIICNNFCIHLIAVKKVEI >KZM88766 pep chromosome:ASM162521v1:7:31149735:31151019:1 gene:DCAR_025841 transcript:KZM88766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAKKQNRRVFVTTYQLQQQQKKKAIQTRSSLQAVTRKSPRLNKVQATPDRLESEKAVAAKRKLDLPDHSREEEKMGENKLKASEAAAKTSEAAKKASAAAKTSSAPKDSTTANASGAGSVNAEENISPEIEQDEEDEEAG >KZM87897 pep chromosome:ASM162521v1:7:21838298:21839211:1 gene:DCAR_024998 transcript:KZM87897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELALQIQEHTCTALLIYWHIIKTFAEVDTKGDGRIDQEEWKAYVVKNPSLLKNMTLPYLMDITLGFPSFVINTKAEETDL >KZM88724 pep chromosome:ASM162521v1:7:30716665:30719960:-1 gene:DCAR_025799 transcript:KZM88724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSSSSGEEDGDAEWKAAIDSVAGTNNGLAKSTSNGDVPTTKRSSSTTTNHKDIKRYQLKAQELLDKILGKSIEIVSDPTHDADNDSKIDGGGVRLFKDAPVGIVFDHASECQGPRRRPRIVPGKELDEKSKKFKHQVESVTVDGMKILTAAKDACQKSLAKMEARDAARKAAVKKEEERVAELKKIRGERWLPSIAKNMQAKS >KZM86725 pep chromosome:ASM162521v1:7:6222234:6224031:1 gene:DCAR_023859 transcript:KZM86725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAMLFLTMMVICYYSANAQAPAGMPVQSPMAVTGVAPAAGPVGETDCTTALFGMSDCLGYVQQGSNATKPDPACCPELSGLLKNQPTCLCLLLGDTSSTFGIQIDLSRAIDLPKTCGLQLPDLSACPAAPSPSAGEAPASSGVPAYSPGGVTLGPGVAASPESANNGNGAPTIGPFGLASFLAVAVSLSL >KZM88194 pep chromosome:ASM162521v1:7:25351530:25352884:-1 gene:DCAR_025269 transcript:KZM88194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNIAIWAKSLLYLESATGVIARVRGYGGPPTRRGRRPVTPVEPEPGTYYTHVGSSSWSHHVGTSTAPDRDARAPSGAGEWPRWTEVATETAGDDYVGGDGGFAVNLGADEDTSPSGGHTHVSPPLQESYQFADRDVYRPDMSFLTDQYTTPPLQAPVPSFGSPSYVFGAPAFPVTPAGVRSTPTPVHMHSFGAYVAESSPWAVRDQSEPEEPSQPEQRQQPPRDAKGKGRKCHTGSHIFGHKKK >KZM88784 pep chromosome:ASM162521v1:7:31294295:31297552:-1 gene:DCAR_025859 transcript:KZM88784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMLLRSLRRRELHTSSLAAYRSFTGIAKSPIATRPFTDKLTNFARYFSSKPVGNEVIGVDLGTTNSCVSIMEGKTPKVIENSEGARTTPSIVAFNNREELLVGTTAKRQAVTNPTNTIFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVEMNGKQYSPSQIGAFVLTKMKETAEAYLNKGVSKAVVTVPAYFNDAQRQATKDAGKIAGLEVLRIINEPTAAALSYGLDNKEGTVAVFDLGGGTFDVSILEISGGVFEVKATNGDTFLGGEDFDNTLLDFLVNEFKRTDKVDLSKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADSSGAKHLNIQLTRSKFETLVGHLISRTQLPCTNCLKDAGVSAKEIDEVLLVGGMTRVPKVQQVVAEIFGKTPSRGINPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGLETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGERQMASDNKLLGEFELSGIPPAPRGLPQVEVTFDIDANGIVTVSAKDKATGKEQQITIKSSGGLSDEEIQNMVREADLHSQKDQERKELIDLRNSADTAIYSIEKSLGEYKDKIPSEVVTEIETAVSSLRTAIGGENAEEIKSKLDAANKAVSKIGEHMSKGSGGGASGGSQGGDQPPEAEYEEAASK >KZM86332 pep chromosome:ASM162521v1:7:1764869:1766717:1 gene:DCAR_023466 transcript:KZM86332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGVAADSGGKRYESHVNGFVIMTCIVAATGGLMFGYDIGISGGVTSMDEFLLKFFPTVYKKSKDESGDTNQYCKFDSQLLTLFTSSLYLAALVASFIASIVNRNYGRKITMFVGGILFLAGSFINGFAQDVPMLIIGRLLLGAGVGFANQSIPLYLSEMAPPQIRGALNIAFQLAITAGILIANLLNYGFAKMEHNGWRWSLGLAAVPALIMTMGAIFLPDTPNSFIQRGHNDKARQVLQKIRGTKNVNEEFEDLLEANEVAKLVTHPWRNILRMQYRPQLVITLLIAFFQQLTGINVIMFYAPVLFKTLGFGDDASLMAAVITGLVNVFSTLVSLFTVDRIGRRILFLQGGVQMIICQIVVGSMIGAEFGTSGQGNFSTSAGNVTVLFICLYVAGFAWSWGPLAWLVPSEIFPLEIRSAGQSINVSVNMLFTFIIGQVFLTLLCHMKFTLFYFFGGCVIIMTIFVYFFVPETKNIPIEEMNKVWKTHWFWSKFIPDNAASHGHRKGKTYTPLV >KZM88006 pep chromosome:ASM162521v1:7:23239226:23240696:-1 gene:DCAR_031529 transcript:KZM88006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDLLPKVLETMKRKLEPVEDFSDEDDSTPLVFKRFPARLTAKPKARSASSAAAGATAAKPEVTGFGSGRMCKLVSHHHKLECNSGMQTEETTTASQAQRQMHQFTSTVTELCTGFETLKKKNEELRKQVTYLEDQIQKWKDQKASWDVKKKELERECDEARKEALTAVGKKRDIEEKLEKAVKELNDAKTSAKKAIYEAVASTTRCYKNCVGNLVASLGNGEGESLKNGVKELIKEILCDDRALAGEAVHVARHSGDDTTKDKAR >KZM88409 pep chromosome:ASM162521v1:7:27673816:27675879:1 gene:DCAR_025484 transcript:KZM88409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLANSHLWRSNQVKYVESLLEEICDTTSIAEFELKFGGFRLYVSRDLSGKNEAPQLPVSAPLTSTAVSVPELNGSATSTSLAISKPALTSGGIQSFLDRAGDDGLVILPSPKVGYFRRCRTIKGKRAPPACKEKQTVKEGQVLCFIEQLGGEIPIESETSGEVIRILREDGDPVGYGDALIAILPSFPGIKKLQ >KZM87101 pep chromosome:ASM162521v1:7:10958934:10962550:1 gene:DCAR_024235 transcript:KZM87101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPPLHQTRVHKLAALINISHTFIHSIALIFIIFYRLSSFFYPGNSKTAPKPPFLPWLLTFLSELILSCLWILYQPYGWRPISRTPFPENLPEDDELPGIDVLVCTADPVKEPPLKVMNTVISAMALDYPPQKLSVYLSDDAGSSVTLHAIREAWGFAKPWIGFCRRYGIKTRCPELYFAAEDDGDKDGYDDFAKDRESIKRKYDQFAEGLEKARSAGVEKDNHARLGQAHPPFVEIIHEYDVNSTADGDVEMPLLVYVSREKRIGYPHHFKAGALNILLRVSGIISNSPYILGLDCDMYLNDSTSAREAMCFHLDQNISPSLAFVQFPQRFHNISNDDIYGAALKPVFALKWPGIDGLRGPMLSGTCYYMKRKALYGNGLEVKGTDIHELKLTFGTSNEFLKSLGKHIYQRKDLYNEKSLDTVIQETKLLASCLFEENTQWGQQEGFLYLSVIEDYLTGFTLHCKGWRSAFCNPTKPAFLGTATTNLSDTLVQGTRWNSGLLEVVLSRFCPLIYGPSRMSLLQTMCYQHLAFQPFYCLPVFILATIPQLCLLNHIQLYPEVPSPWFLLFSFIFLSSLLKYYMDIVFAGGTLKMWHNEWRMWMIKSVTSYFYGTLNGIWNWLGMKKANFTLTTKVANEEQFERYLKGIFDFQASSTILCPMVSIVILNLVSFTWGFASVIVSGGWRQMFGQIILSFYILIMSYPIIEGMILRSDNGRIQPSVTILCIVVVMIYLSLGTFILMYVKS >KZM88169 pep chromosome:ASM162521v1:7:25136764:25138158:1 gene:DCAR_025244 transcript:KZM88169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPSHIAIFPTSGMGHLIPLVQFAKRLISLHNFTATFIIATDGPLSKAQQTFLDSLPNGLDYVVLPPVNLDDLSDDVTVETRMMIIMSRSLPSFRDTFQSFHAANKFASLVVDLFSTDALDVAIEFKVPPYLFFPSTAMALSLFLYLPKLDKMTSCEYRDLPDPVQIPGCIPVHGRDLPGPIQDRKSETYKWMLHHVERYSLADGIMVNSFMDLEGGAIKALQELGRPMVYPVGPLIQMDHSTTGADEVNCLRWLDDQPSGSVLFLSFGSGGTLTANQISELALGLEMSEQGFLWVLRSPNDETANAAFFDSCSKKDPIDFLPQGFIERTKGHGLVVPDWAPQAQILSHASTGGFLTHCGWNSILETLTNGVPVIAWPLYAEQRMNAVMLNEDLKVALRPQVGENGMVGRVEIAKLVKGLIEGEEGKGLRARMRDLKDAAVKALDEDGSSTKAMAQVVSKWCI >KZM88308 pep chromosome:ASM162521v1:7:26695301:26697190:1 gene:DCAR_025383 transcript:KZM88308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKLGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLANRTDNEIKNYWNTHLKKRLTKMGIDPVTHKPINDSILSSNKGTSKNTANLSHITQWESARLEAEARLVRQSRLRSSSELKSQEFPLSPLIPVSKLAMPPMPSLPTLDKLKTLNGIWSKPVSAAGSSCGSEVESPKSIVNYSGTGMGESSTSFVELMRNSSGSCEDGIMKDGTEEEWGGLRSLINPMDTWSSESLRLGYDNVHVSSENFGDKFTDLLTNNYNSGDWSYFEGNGESDNGGDETNKNYWTSVLDMVVTSPSHNMVNSCASDSPVL >KZM87851 pep chromosome:ASM162521v1:7:21220018:21220392:1 gene:DCAR_024952 transcript:KZM87851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPVPSILQAPCINNCTNFIKLSPEPTETSRVIYRLGSFSETELKELKDKFISDLERVRSLLKQVESRELELVHAEDVDLREQGNSVDKASKIIGGKRTLPVSSDGEIKRKRLVKKTVDELN >KZM86704 pep chromosome:ASM162521v1:7:6010891:6011127:1 gene:DCAR_023838 transcript:KZM86704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETYPPNYTSEGSLIGSGGLITSPSSTSSLTLPKSEIKEVDKPLAGKKVLVVGDGEVLQKIANAALSALGASFELSS >KZM86705 pep chromosome:ASM162521v1:7:6033672:6034772:1 gene:DCAR_023839 transcript:KZM86705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYIWELPDNGYIKINIHCVILEVPLPNGNRLGVAAIIRNDKGEMLWGCLGSLADFNEEQASLIALQAACIHANKKDWPLVHIETDNFRVYETLRLQEQILLEEDQVEVYSLFNTLHANSFKIGKSKKCITHVPIRMNASAEYMANYGLENLKELVEIAKPFGNLDYFLQRDLGNVLPLPIMEVVQNFGDGEVIDGPPPPPSKKRKVSSSQGIRFGPQLPFGLPLDLGEVFSAPGNFFCRNLKWETGASGHVRDDKGKAKLMHDSSFNNSGLLSHAAMRLSDLGFNLDSILGGGAVPNDVLKSAIMEALSELLPSGSFSRPAKGGGADSVDKKAVVQVLKLMEPGKDKSQVEVKEFGPSTSGSLSD >KZM87177 pep chromosome:ASM162521v1:7:12104456:12104917:-1 gene:DCAR_024311 transcript:KZM87177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSLVFVLSLVLVHAMARNVPTEKHDQETQTVVVATKTSGGKNVKDKKCVVGFVGVGGAVGGVAGVGGVGGVGGVGGVAGVVPLGGIGGIGGVGGAGGLGGLGGGAGGLSGLGGLGGGAGGLGGGAGGLGGLAGVGGGVAGGAGGVGGLLP >KZM88276 pep chromosome:ASM162521v1:7:26415064:26421951:1 gene:DCAR_025351 transcript:KZM88276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMHTHHSIFERRPIIKSKAPAVKWFKEWVPQDVVATGGKCLVLKWVTEATLKALKEKIKEPDTAEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHYENCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQANYHICPYPECGKRYAHEYKLKNHVMSQHEKQSVVDVPKYVPPPAPEKPAKAVKASTTTHATATAERPYACPYEGCEKAYIHEYKLNLHLRREHPGHFPDENAKNAQSNADNDMDEGSDQDVYANKRGSSKPQKQNRPKPSIKLPPSKVPQRKSMTAAPTNMNVTVVRKPWPVKEERYEEEDSEETEEDGENDDDDEQTEYED >KZM86800 pep chromosome:ASM162521v1:7:7086311:7086730:1 gene:DCAR_023934 transcript:KZM86800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCSLPKFIVRDGPHDALPDCAPSDTCVRPTSFRTPSLTKYFSYGAPTLFWSQISSGSPQCRSTSDFLDLFPAEAWIPEAVFVLLYWMFASSMHFLLQVSPRQVQGSFGACFSVLGRSLVLGPPSNLYSILTSCFLVP >KZM86219 pep chromosome:ASM162521v1:7:707620:711896:1 gene:DCAR_023353 transcript:KZM86219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERRVGVAVDFSGCSKKALKWAIDNVARKGDHLILINIQAEGYYEGGEMQLWEATGSPFIPLSEFSDSNVMKKYGVKPDAETLDIVNTAAAQKEFLVLMKIYWGDAREKLCEAVDDIPLSCLVIGNRGLGKIKRAIMGSVSNHVVNNAHCPVTVVKDHHE >KZM86362 pep chromosome:ASM162521v1:7:2006698:2010527:1 gene:DCAR_023496 transcript:KZM86362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQQKFIQNPTPPALSSSNSSYFHTPFTRITSINCNLSASASPNRPNSAQLRTPGGQNDSFSSCVTSASLDTKPGVMGPFTGRDLSVKKPEWLRQKAPQGGKYEEVKETLSRLNLNTVCQEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAVKTSRNPAPPDPMEPQNTAHAVASWGVDYIVLTSVDRDDIPDGGSGHFAETVKALKTLKPDIMVECLTSDFRGDLNAVSTLVHSGLDVFAHNVETVKRLQRIVRDPRAGYEQSLSVLKHAKTDKEGMITKTSIMLGLGESDDELKEAMADLRAINVDILTLGQYLQPTPLHLTVKEYISPEKFSFWKEYGESIGFRYVASGPLFGSTIVAVAAILF >KZM89161 pep chromosome:ASM162521v1:7:34475977:34488383:1 gene:DCAR_026236 transcript:KZM89161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRERDEMESIVWQTPANPPQRHDYIFNNGVRYVRPYYFEFISHAKKRWAGKTIVDLFADEFKGRPRDYYVSAVESGRIQVEGETVPASYIVQSSQKISHFLHRHEPPVMALDVIILQKEPDVLTVYKPASVPVHPCGQYRKNTVVGILQAEHDLAPLFPIHRLDRLVSGLLILARSASKADFFRQQIESGVIEKKYIAKVVGVFPEDEKIVDANVNFNAREGRSTAEVSKDCGNGITPLKGKVACTKFKRISTDGKHSIVSCQPITGRTHQIRVHLQYIGHPIANDTLYLSKSADNVSTEGIRTGREFKHFSQFDSDLGSGDASEKGSGEDFTNDPLCTNCPNLAPKGYDGFEEGLWLHCVQYSGQGWAYECPLPDWAILS >KZM88285 pep chromosome:ASM162521v1:7:26469417:26484187:-1 gene:DCAR_025360 transcript:KZM88285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVRDDFVDSPIKKPFASSPAESHGQPQIAAGGGNGGGGSVSGGGGGGGSATAAAQKVANDGGGGSGTGAGAGQKLTTNDALAYLKEVKDMFPDNREKYDNFLDVMKDFKAQRIDTTGVIARVKDLFRGHNNLIFGFNTFLPKGYEITVIKEEDAPPKRSVEFEEAISFVTKIKARLMLHSLMLLLMLILTSNLMFISLFLKQTRFQDDDRVYKSFLDILNMYRKEHKGIDEVYYEVATLFRDHPDLLDAFIRFLPDASAVASEHKATLGRQQLHLYEERTPAMLTLQGTQMDKQRFRPERIVAPQTQRDSIVERPDIDDDKTMVKLHKEHKKSAEKDCRDRRNPDHDLNRLLEKQKSARKVDNSGGVSVSGLYNDKESLKSIYKQEFAFCHKVKERLRNPDDYEAFLKCLHIFSNEIITRMELQGLVADLLGRFPDLMEGFNQFLERCEGVDGFLHGVIRKKHFWNEKHALYGDALEGLEIKDINNEQRHERDAAKENDKFKEKYWGKSIQELDLSNCQRCTTSYRLLPEDYPIPSASQRSELGAQVLNDYWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTVKRAEELLSNINNAVDFGSQFRVEDHFTALNLRCIERMYGDHGLDTLDILRKNPSYSLPVILARLRQKQEEWSKCRIDFNKVWAEVYTKNHFKSLDHRSFYFKQQDSKNLSTKSFVAEIKELKDKRQEDNVLLSIASGCKHPIIPNLAFDYVDVGIHEEYHKLIKYSCDEICTSKEQSNKAMRLWCTLLEPMLCVPSRLPCSEDTQVPSVSKHHGIKIAGTCVKGRENFKQLKPLSNGEKNTNPNQVVSPILASSVAAPAIGDDKTTPGVGRHAAETPTKHATENRHGVACNIVNAPSLERSDVSKSVPEVKGPLIEGTSVHKDNKSTVDTHKIEKEEGELSPNGDFEEDSFAAYGGSNLSPNAKKSVEAEHNQPGTFKDISCHDNGGENDADDEVSENVPEAGDDVSGSESAADECSHEEREEEDEEQEEDGKAESECEAEVLDNVLAGDGLSLPPSERFLLTTKPLAKHDASTFHDNEKNSCVFYGNDAYYVLFRLHQILYERLLLAKQHLSSAEMERENRKDTGPDLFARFMKALYDLLDGSSDNAKFEDDCRAIIGNQSYVLFTLDKLIYKLVKQLQTVASDEMDNKLLQLYEYEKCRRPEKYVDSVYYENAHVLLHEENIYRFECTSVPCQLLIQLMDDGNEKPEMVAVSVDPNFAAYFYKEFVSVVLNRKESPGIMLRRNKRKYADFDESSAKCVAMDDVRVINGLECKMTCTSSKISYVLDTEDIFCRKRSKIEKSSTLLQDGAKVHKFHQFLTASI >KZM88752 pep chromosome:ASM162521v1:7:31001083:31004122:1 gene:DCAR_025827 transcript:KZM88752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSQAINARRFTRGLSHSKSRYPIPTILVFVAAVVLIGYVFWGSGVSGGIGGGFSKVEGDYTCTFEVQRAIPILKKTYGDSMHRVLHVGPDTCSVVSKLLREEETEAWGVEPYDIEDADRNCKRLVRRGNVRVADIKFPLPYRAKTFSLVIVSDALDYLSPKYLNKTLPELARVSSDGIIIFTGYPDHSKAKAIDPPKYGRPCKAY >KZM87590 pep chromosome:ASM162521v1:7:18418170:18422885:-1 gene:DCAR_024713 transcript:KZM87590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKSKVISDPRFASVQSDPRFQNPPKHKSKVTIDSRFNRMFTDKNFTSSSTRIDKRGKVKNKEDAVAKNPLKHYYRLDDQEEQKKKRENESESEEEEEEEEDAQIAKRKGNVESQDEESEESDESGSELGDSESDDGADEDNSSTDEEVEYYSEEENNLALEENVPEIENETRRLAVVNMDWNQVKAVDLYVLLSSFLPKGGQIISVSVYPSEFGLKRMQEEAVHGPVGLFDDDKDKDASDDEDNNGDGEIDIEKLRAYELSRLRQVILNSLLNSLVERLGLLVDPVNQSMRSRPKYVQKTRYYYAVVECDSIATADYIYKSCDGVEVERSSNKLDLRFIPDSMEFKHAPRDVATEAPGSYEGNNFETRALQQTKVRLTWDEDEPQRSKTLKRKYNAEQLAELELKEFLASDGSESDENEYDDNVEDGTDRKNKKQHMYRALIQSGVASDEDDEQGGQEMEVTFNTGLEDISKQILEKKDRQSETVWEAYLRKRKEKKKASKNRSKYSSEDESSDSDREPVEEPDDFFVEEPHSKRTKESKGKSNNKRKQPQEITKEAEASRAELELLLADETGDTNLKGYNLKPKKSKGKKGKEIIDEEKLPTIDDDDRKRFSSLLNTPLFALDPTDPQYKRSAAFARQVAQKHKMEKDEETGRNESPKLLERAPLSVDLGAGRDEHVLPETHKRKDKHEISSLVKSIKMKSKQLPVPSSDKISWKKGTSKNKGAKKSRVL >KZM86901 pep chromosome:ASM162521v1:7:8184185:8196235:-1 gene:DCAR_024035 transcript:KZM86901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKKVDKSSKLILEEVIGLTTKGANGLASSSSSSKCVYIAGCVAVVYDVDSGTHSNLVVSHRMPKPLSCIAVSPNGKFVAAGELSPGKTLLNLLVVDSNNHGCQSAVLVWDLESSASLFELKGHQLGVACIDFSPDGKHLVSVGFSHDGHLRLWEWRSGILAAKVKASSSFTAVASVKFTADGKFILTAGKSHLKFWKLSKSSRANARTGLLAICGNSINLGHHRGCSFVALSSSFLTKSRLVDCNQNPEISPIHALTDTGILCLLDSQFKITKSVDLKVKKGFALSASNNVVACACNNGVVKMFAILSLKYSGSLLYTEVKRCNKLIVKECDIKANESGYPDAIACQFSPSNKLVVVYEDHCLYVWDIHDESKGTKSCVLVSHSACVWDVKSLSCEHMHDPSLACAARGCPGGTSFTTCSADGTIRLWDLILQSESSEGYSSTVNDCSLAITEPDGASCLVSAGIFEREYVMSNTRTKGFRAMAVSSDGKHLAAGDCEGNLHLYNLHTSDYIYIPDAHNAEILSLTFSSPTKNDSSEKDIEVCYFLASGGRDHIIHLYDVDRNFNLIGSVEDHSAAVTSLKVNASGRKLLSCSAAGCMMLHDVAAAENGYNISRCPNQVASHENVYDMAVDAMLECIVTVGKDKKVNTFNIAAEKLIGSFKQDGDFGEARNTTLDPSSSYLVSSYANKSICMYDFLTGELVARAVGHGDTITGITFLPDCKHLVSVDANGCIFLWKLPDPFSSRIVKSVEKLNIHLSPFIKDPPVAFSQIQFLEGDDSLCKANPLNEAALQYSGFNLLRERMSCQGGNPEESTSFKFSVSRLPKWAQEKVTEGGVFPTRAKCSSLQQVGLQDVPNSVRTGKSSVARHELHTPCKDNVEYSTKTSTTTKGSEDTESGSSTTPKEASRSFALDRRWITIHTVCLDLLNSPEVWDMKQMQVQQPFRNLSGDNIGEDPTDNQHIKLLSGVGDCKIDNSYEDTIGNHANCEGTMSNDTSSLNNSGSIYNSISPHDTRNAVACCRENTCSGSEDSEHKQPASTESRGETTEYTHPSTVDDDQENKTPYPSSENLFENIKDGKSSLRRRYSSQFVVRHDLLRGQQKQLGTPIRSRGTMSSSKDTRPCILLEDHHSVQIFEESPKLTKQGINAAQVSLSPNCLIPPNDSVGLSSRHEEFNFGVNDKTDTISSCEEALIRLDSATENALEQFSKLDSLASKINVLTGPEAHLYDEATKMLPSIAKRVHTIARLAQSKQNNNCEESGIDIPSFEPLLGIFAENISQRVVEILKKNAVTL >KZM87418 pep chromosome:ASM162521v1:7:16182166:16182474:-1 gene:DCAR_024552 transcript:KZM87418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIANLGSQKAVVIFSKSSCYMSHAIKRLFYEQGVSPMIHELDQDSRGKEMECALIRLGCSPAVPAVFIGGKFVGSATTVMTLHVNGSLNKLLKDAGALWL >KZM88741 pep chromosome:ASM162521v1:7:30921485:30922405:-1 gene:DCAR_025816 transcript:KZM88741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEEKKRKFHDSLLKMLYPPSPPREPHQSPHHSTSTHDFDDDVTNLSSSSSDGDGDVGGQQKLTRAQRKRLRKKKLRQAASQRRPIIGPLLPTTSSEADEGLVLDETQPVRQNAAEGSVVSGIHSAEEQGPSSNQNKLKQRRIAKKLTRDIKEQKDSSHRGLGSCVPPPLQLN >KZM87597 pep chromosome:ASM162521v1:7:18479835:18482572:1 gene:DCAR_024717 transcript:KZM87597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIVSSTQESISVNILLDATLEEGDHSKKGLELVKSASDKNLDLLRPSARYFSMTKGQTIDAADREKGRYTLIRDVDDFQQGIYDKPLPCFGCGIGWFSFLLGFTFPLMWYYATILYLGNYYRKDPRERAGLAASAVARLWVNWVYEYYLAGGKILEYESSVKMFLEPKKIFNARYQACQLIYYSVGVHCSFSMWHYPWVHKQSLAEILGDTNLSWSLTLWLWCRILLQTTILLLSCVIYVPEFKAIMLVL >KZM86625 pep chromosome:ASM162521v1:7:5015918:5029261:-1 gene:DCAR_023759 transcript:KZM86625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFVVPLSPSNRNAKPQRRPRWRRLLVELNGKSDPRYRRDLTSLLIKSYYETGVFAHSYRIDGEPCPTHVSWFVSGGQEFNNIYNLGKHGVSCLELDSKGIYLASATKLGCLSVHDFDTLYCQATTPIPDLKEDETKHVLHINTGHQIDVVRWNIANQDEVACTSMRSSEVYIFDIGYMSSEPVKVLRKRPSVSIHGSGVHKGLSDVAFTSRDDSRVLASDTHGVVNVWDRRSSELPCLELTTNSSSPLNSIQLDVEEQIIFGASKNGMIYMWDLRGGRSSAAFQNHREACYSPIISMKLASMLDRIKSLKAQTSIVPKEIHSIDINTCCPYQLAFHLDDGWSGVINITNFQVTHIHCPPPAWLDGLSDSGNPLYFRKPAWMSSYPIYAVGSSSSNGINILDFFPDSSSPCHVDFDDNKDNVEEANIQHRQNKFVPTSESVTACSIHPLNGTIVAGTKQSSIMMISQRRQSCKGEDHYVAEQI >KZM88584 pep chromosome:ASM162521v1:7:29464429:29466538:1 gene:DCAR_025659 transcript:KZM88584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPENSSKFDIIPSFLYSSSLLRTGSYNTSDLKESIVNQNQSSFSSSSSRLVSFNNDGEGGKRRFVVQSPMESARKIEMFSPAFYAACASGGILACSITHAAITPIDVVKCNMQVDPAKYKNTRTGFAVLLKEQGAKGLYKGWAPTMLGYGAQGAFKYGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASSEFIADIALCPFEAVKVRVQTQPDFAKGLSDGLPKIVKSQGIRGLFKGIGPLWGRQIPYTMMKFTSYEMIVDLLYKHAIPTPKDQCSKPLQLGVSFAGGYLAGILCAVVSHPADNLVSFLNNSQGATISDAVKKLGLWGLFTRGLPLRILMIGSLTGVQWSIYDSFKVFVGLPTTGGSSPPTPAKIDM >KZM88764 pep chromosome:ASM162521v1:7:31119796:31123357:-1 gene:DCAR_025839 transcript:KZM88764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLLLLFSLLLTSHLHHSATAKPLPEYKALLSLKASISDDPQATLSSWNISTSHCTWAGVTCDSRRHVISLDISNLNLTGTLSSDIGYLKNLVNLTVAANQFSGPIPTNISLLTNLKLLNLSNNIFNDSFPTQICKTLQFLEILDLYNNNLTGDLPVDVYFLSNLRHLHLGGNFFSGTIPPEYGQFPFLEYLAVSGNELTGAIPPEIGNISSLQHLYIGYYNNYSGGLPPEIGNMSKLIRFDAANCGLSGEVPPEIGKLQNLDTLFLQVNELSGGLTAELGGLKNLKSMDLSNNMFTGEIPSTFANLKNLTLLNLFRNKLHGSIPDFIGDLPELEVLQLWENNFTGSIPQSLGKNSRLQILDLSSNKLTGMLPPLMCSGNKLETLITLGNFLFGPIPESLGECESLSRIRMGENYLNGSIPEGLLSLPQLSQVELQDNLLSGGFPETSSISATLGQISLSNNHLTGALPASIGNFSGVQKLLLDGNRFSGRIPAEIGKLQQLSKIDLSHNTFSGEIAKEISQCGLLTFVDLSRNELSGQIPTEITGMRILNYLNLSRNHLVGSIPAPIATMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPKLCGPYLGPCKDIAANGTHQPHFKGPLSASMKLLLVIGLLVCSIAFAVAAIIKARSLKKASDARAWKLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGVMPNNEPVAVKRLPVMSRGSSHDHGFNAEIKTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEMLHGKKGGHLHWDNRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGKKPVGEFGDGVDIVQWVRMMTNGNKEGVLEILDKRLPSVPIHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKPPNSKQGDANVTDSPPPAAASESPVMAVKDTKEQPQLPPQSPPSDLLSI >KZM87923 pep chromosome:ASM162521v1:7:22105496:22106077:-1 gene:DCAR_025024 transcript:KZM87923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRYYISSFFFLSTLILSFLPHSTSQTLIQNTCKTCSDEDPNVPYGFCTTSLFAAPASRCASLKGLGMISIRLTRYNLTDTRCHIKQLLLNKKLDKYVRSCLETCFELYDDALPSIKMAMKSYSNKKFYDANVQVSGVMNAATTCEDGFLERKGVVSPLSQRNNATFQLSAIVLYVINAVQISSSNSSSELC >KZM87330 pep chromosome:ASM162521v1:7:14564619:14569151:1 gene:DCAR_024464 transcript:KZM87330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRGVHNRSNAIKKRLFGKLSIVVIVIVICTGSLFASTKTYYNAAPPAPSKVSVENLWGTATSEGWRPSSAPRSDWPPPPNESNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFQGIYDVDHFVNSLKDDVRIVMSIPEIQKNGKTKKLKAFQIRPPRDAPVSWYTKDALEKMKEHGAIYLTPFSHRLAEEIDIPEYQRLRCRVNYHALRFKPHIMKLSNSIVSNLRTEGHFMAIHLRFEMDMLAFAGCYDIFNPEEQRILKKYRKENFKEKDLKYSERRAIGKCPLTPEEVGLILSSMGFENSTRIYIAAGDLFGGERFMQPFESMFPHLENHKTVDTSGELAESTRGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRQYHGFRTTLHPDRKALAPIFIDREKGRTAGFEEAVRRTMLKTNFGGPHKRVPPESFFTNSWPECFCQTDPQNLADRCPPSDTVQIVESQMDSDTTINSDTTQSNMTAVVER >KZM88773 pep chromosome:ASM162521v1:7:31203820:31204465:1 gene:DCAR_025848 transcript:KZM88773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPYAPPHFDSSRPSLGFPLGTALLLIVIFSLSGIFSCCYHWDKIRSLRRSFDDDLEAGHYEESPSKPKSNQMDLKQKQNESLPVLMPGDRIAKFIAMPCPCEPLRTQKIIVEVQKPVKPQQTEVPLD >KZM88651 pep chromosome:ASM162521v1:7:29986934:29988667:-1 gene:DCAR_025726 transcript:KZM88651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLVQMYADCNNIISAHHVFDQLSHPNVFAWTAMLAFYSRNGMTKECLACYNEMKKNRVFPDKYVLPNVVRACTKSLCLETGMQIHKEAVVFGVEMNLQICNSLIDMYSKCGDVAGARRVFDAMVERDLLSWNSLISAYVSSGFLVLAIELFGFMRMGGFEPDTVTWNTIVDAYCRMGQCDEASNVFKKIKEPNIISWTTLISGYSRIGEHEVTLSIFREMMSIGKVCPDLDCLSSVLVSCRHVEGFNFGREIHAHGIKTINITAFYKSAGPALLVMYATNRRMPEMGNVFDFMDMSDVVTWSAMIHSLAHLGMAHSALACFRKMHILKIQNDQTTLSTILPVCDLKIGKEIHAYIWRNGFNSVITVLNALIHMYSKNGCSTIAHSVFVNMESRDVVSWNAIIGGFGMNGFGQAALHLLQEMSHSEICPNSSTFTSVLSACSHSGLVDEGLQVFHKMTREFGFEPKTEHFACVVDLLARSGQLNDAVEFINRMPVKPDKCLWGAVLSASRAHQNVITGVLAAENLVQLEPNNAGNYVTLSNMYVRAGRWDDAVRVRKQMDSRNLVKPSGLALLNP >KZM87422 pep chromosome:ASM162521v1:7:16211479:16216098:-1 gene:DCAR_024556 transcript:KZM87422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVIQGETSLEEPMWNLGLGDGSKDDSDNGGDSGGDGANGYPERTDEADCIHYLRTGSCDYGAKCRFNHPLDRNSVNDAMRAIEGELPERFGEPICQYYMRTRMCKYGASCIYHHPKHEGGSASSVAINIFGYPLRPGEEECSYYLKSGQCKYGMTCKFHHPQPAGIHILAPGPLLATPVVPVLYPTIQSPGPLSQQYGVVVGNWPAAMPTVLLGSYIQGLYGEVIFSPGIVPMAGWNPYQAPMNSIASPSALSGLGAEHIYGMTQLSSSTPDYVGPYLSMTSPAGPSSSNQKDHSFPERPGQDKCQHYLKTGECKFGSSCKYDHPTQWSATNTNFILSPMGLPLRQGTPVCSQYAMDGVCKFGHSCKFDHPMSTLSYSPPTSSLSDIHVSPYPVGLSTTTLAPSSLSMKLKPEVTARSNKEDFTTKKLTSASSTASSVSSISSQNLPLLESGIQQSIQSSKSSADSSITPHVSDVRTSV >KZM87815 pep chromosome:ASM162521v1:7:20732478:20736818:-1 gene:DCAR_024916 transcript:KZM87815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRKMRRVVGASYGPGGGLDGAWFGLLGYLESVQDLSRALVRLSWARGGSSADEGGSRLDFFSGLTTSNYSELSQIYEKYKTQGFEILAFPCNQFGFQEPGSNSEIKQFACTRFKADFPIFDKVDVNGPFTAPVYQFLKSTSGGFLGDLIKWNFEKFLVDKNGKVVERYPPTTSPFQIEVSFFTEA >KZM88420 pep chromosome:ASM162521v1:7:27776192:27777035:1 gene:DCAR_025495 transcript:KZM88420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASVIKKPNLNTYAVALLLLLSLIYLLSLSSPYFDSSFNSFRFSSTSTGNSIWSVRRFIEWRPCDWWIRGHLNALPEESNGYIRVDCYGGLNQMRRDLCDGVGIARLLNATLVLPKFEVAAYWNKMFNH >KZM89113 pep chromosome:ASM162521v1:7:33938377:33939188:-1 gene:DCAR_026188 transcript:KZM89113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMPITLKVTPGDEVILENIILDEDERVDPFIIKGFHEEFKDKYVCIFMLPMSPGHKKSMVLDTTRLRDVHEQIKSSGGNFSVICVPPHIEHNKNDFEYFNPYHEHLEIKFCTSFHHIHLDDSACLKRMENMIGIPKDPEAAYVILGPMNGSCRKVVSILNSDFFKWHGADAFPFTTEKIEQLTCEDEALWSCKHDLGTLLSVPDRDYVISNDCTKVLPSPRCAMCMKI >KZM86164 pep chromosome:ASM162521v1:7:245477:247854:-1 gene:DCAR_023298 transcript:KZM86164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEHKTVSVNGIDMHVAELGQGPMILFLHGFPELWYTWRHQMVYMAAQGYRAVAPDLRGFGDTTGAAVSDPSKFTMLHLVGDVVALVEAVAGAGEQKVFVVGHDWGAVVAWNFAMFRPDKIKALVNMSVVYTPRNPKVKPVDGLRAVYGDDYYICRIQEQGEIEAEFSKLGTKSVLKHFLTYRNPGPLYLPKGKGFGDDSNDPIVLPSWLSEEDVDFYATKYEQTGFTGGFNYYRALNASWELSAAWTKDQIKVPVKFIVGDLDLTYNAPGTKDYIHKGGLKKVVPLLQEVVVLKDVAHFIHEEKPDEINKHIHGFFKKFSTGSSCSAL >KZM87388 pep chromosome:ASM162521v1:7:15404535:15411131:1 gene:DCAR_024522 transcript:KZM87388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSEKNGGGGEAKKVLRRDPYEVLAVSRNSTDQEIKTAYRKLALKYHPDKNANDPKAADMFKEVTFSYNILSDPDKRRQFDSAGFEAVESDNQELELDLSSLGAFNTMFAALFSKLGVPIKTTVSASVLEEALNGAVPVRPLPLGQTVFRKVEKQCAHFYSVTITETEAEAGIVCRVQSSDKSKFKLLYFDQEGNTGLSLALQDDCTKTGKFTVAGMYFLGFPTYRLDQTLNSMAAAKDPDTAFFKKLDGFQPCEITELKAGTHVFAVYGDNFFKSVSYSIEVLCAAPFSEEKEKLRAVEAQILSKRVDISKFETEYREVLAQFTEMTSRYAQEMQSIDKLLKQRSDIHSSYRVDPPMKRSASRNKSGKGASKEGGEDGHGKDKKSSTRDRPKKNKWFKIHLKADKRKPC >KZM88625 pep chromosome:ASM162521v1:7:29780377:29782659:-1 gene:DCAR_025700 transcript:KZM88625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAILLYFFLGLVACLSTLCTVRAEDPYRYFTFEFTYGQISPLGVKQRGILVNGKFPGPTIDAITNDNVIVNVINKLDQPFLITWNGLKQRKVSWQDGVLGTNCPIPPNANFTYRMQVKDQIGTYSYFPSTVMHRAAGGFGAFVIRARAVIFVPYLKPAEEFNVLVNDWWNSDHRVLQTKLNTGNPMPFPDGLLINGLPRSSVFTGEKGKRYLFRVSNLGLTTSINFRIQGHHLKLIEIEGSHTMQEPYESLDIHVGQSVAVMITLDGTVKDYFIVASTRFTKTVLTATAILRYAGSSTPASGPLPIGPTYQVHWSMKQARTLRWNLTANAARPNPQGSYHYGTIPIVRTLVLTNSAPIIKGKQRYAINKVSYKQPDTPLKLADYYNIPGVFNLNTIKDTSPPGPAVLGTSVVGITLHDFVEIVFQNDENTIQSYHLDGSDFWLVGFGSGQWNSTMRKRYNLVDATTRYTAQVYPLSWTAILVSMDNKGMWNLRSAIWPRQHLGQQLYLRVWNDEKSLYTESDIPTNAIRCGKAAKL >KZM87067 pep chromosome:ASM162521v1:7:10677711:10707360:1 gene:DCAR_024201 transcript:KZM87067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILCSNTFISRIQAESVTPEEAMLLRDEVRGMFYHAFDGYMDHAFPRDELKPLSCSGEDTLGGYALTLIDSLDTLALMGDLEHFTTSVEWIGKNLRFDINKTVSVFETTIRILGGLLSAHLIASDYATITSTAGGGTLTLEFGVLSRLTDDPIFEQVTKNSVLALWARRSRINLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAFLLFGDEEYLFIFQEAYAAAMHYLYNDPWYVEVNMNSAALVWPLFNSLQAFWPGLQVLAGDVDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQHGQRSYPLRPELIESTYWLYKATRDSRYLDAGRDMVASLQYGARCTCGYCHISDVEFHKQEDHMESFFLAETVKYLWLLFDLAAGSDNLVENGPYKYIFSTEGHLLPATPQISLVQEHCSYIGAFCPRSNFGHESPTFDIPMDSQESNATKTVLDTTSLFGHSDGHKSSSVSGVIKIILQGLCPGLSHGQKYGISYVASHSTTPRDEPVDQRVGDVVQSHSVVLLSDSSAISTPVNLQNNTEPSSKGDSETFRE >KZM86429 pep chromosome:ASM162521v1:7:2754398:2758315:-1 gene:DCAR_023563 transcript:KZM86429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSKIPRRRRTKFSPINVDFAAAKQVSEFSGGNEEVSCVSSKASVNRAVVKKRSFEDAVGEIAKVGCADELRRITRSYYKKLGKENVNTEVSESSCVESCPGVVDSNQKIAKLKLRVGRTVQNIENSNEIARNLKSYGEVEKAAVINQSEVVSFTSGLESVSEAKFEGKTLKIGENRDEFSEVSRNVDTFSNCESNSELFPKVTGKEFDLICSEHLEEGGDNEYEYSSATYSDVYEDVTSEFDFDDYISSDWFESGSQFSEKSETSSSPTYQLLLQFRQQFCRSSASVPKSYSPDEFILQFTDGTNFYGMKLKLMRFEDEEHEKSYELIRSRERKQEYIRDYTEEYCSITDYGNLVIQQRLHMVHWIMEQSSSKELHKETMFLGVSLYDQFLSKGYFKNQRSLQIAGIASLTLATRIEENQPFNSVRQRMFYVGGNAYSRSEVVAMEWLVQEVLNFQCYLPTMYNFLWFYLKAAGANEEVEDTAKNIAMLALLGFEQLCYWPSTVAAGLVSLASRVVNQDADCHRVKEIHRRTKNDDLAGCIKRFQLCSLIARYKVTNDVLIFDRAWRD >KZM87336 pep chromosome:ASM162521v1:7:14735645:14747267:-1 gene:DCAR_024470 transcript:KZM87336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKEVLLGKEYFEGCPGCQVDKRKALQRGVPYKELSIIWIVLLASALPISSLFPFLYFMVRDFNITKEEENISYYAGYVGSAYMLGRVFTSVFWGWVADRYGRKVVIIFGTFVVVVTNTLFGLSINFWMAIATRFLLGSLNGVLGPIKAYASELVRDEYQATALSTVSTAWGTGLIIGPAIGGFLAQPADKFPAIFSQESIFGRFPYFLPCLAISLLALVIGIACFWLPETLHMPELHKVSCPDSLENLECAHGSEEKEGTGRKRKTDSSGSLLMNWPLMSSIMVYCVFSLHDMAYTEIFSLWAVSPRKLGGLNYSTDGVGEILSFTGFGLLVFQLFIFPPLERRFGFLTLARVSGFACIPLLTSYSYIALLSGVTLALLITCASVLKNVLSLCIVTGMFILQNRAVDQHQRGAANGIAMTAMSFFKAIGPAGGGALLSWAQVRQDAAFLPGTQLVFFILNVIEAIGIAMLFKPFLALREP >KZM87290 pep chromosome:ASM162521v1:7:13849729:13852247:1 gene:DCAR_024424 transcript:KZM87290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKIGSRADTFYTTEGVRSVSSEIFSDLMVQVEGTRYRLHKFPLLSKCLHLQRLCSESPESSSKQVLLLPDFPGGAEAFELCAKFCYGIAITISAYNIVSARCAAEYLHMTEDVEKGNLIHKLEVFLNSCVLNGWKDSIVTLQSTKAFEAWAEDLGITSRCIEAIVSKVLSNPSKVNLSHSYSRRGKDDTLSCNGAENRSKNMSKSWWAEDVAELGIDFYWRTMIAIKSSGKIPSNIVGDALRIYASRWLPQISKALNNEKQDTIARESDSVAEVALKNRFFIESLINLLPVDKNAVSCSFLLKLLKAANILKVSSSSMAELAKRIGMQLEEATVSDLLIPNMSNTCKEQYDVGIVIDILDHFIQGQSPQTSPSRARGNFHRRRSRSAENLSELQESRRSSSASHGSKLRVARLMDGYLQVVACDVNLELLKFIAIAEAIPEFARLSHDDLYRAIDIYLKGHPELNKSGRKRLCRVLDCKKLSIEACTHAAQNELLPLRVVVQVLFFEQARAAVATGQMITLPSNIKALLAAHDDPSRLLNSLISNKTLPPDDQWSVSGLKTPNSSISTLKTKPAENDGSNKNYRDGTGKSSRVKSNTSLPSRSRTMFSRLWPIHRHGSEKT >KZM89232 pep chromosome:ASM162521v1:7:35192736:35193815:1 gene:DCAR_026307 transcript:KZM89232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDLISGLNNDVGRECLIRLPYDTFCSATSVCKTWKAEVELPEFWRRRRDAGMTQRLIVMTQARVDPTRKRGGVKNSGVPAYRLTVCEPGSVSWTELPLLPGHLNGLPMFCQLAAVGLNLVVMGGLDPVTWDASTEVFVYNFVSATWKRGANMPGCPRSFFACASDSRMVFVAGGHDCEKNALKSAMVYDVADDKWVPLPDMASERDECKGVFHLGKFHVIGGYPTESQGRFGRSAEAFDVATWQWDEVCENFLEAATCPRSYVDNGEGNVYKWSSSSGEIMMTNDSATWQVVAELPSEVLTSTHMTAWQEKLMVIGSHRFGEPHKVYTLDLKNRKWTKVAAPEEYSGHVQSSCLLEI >KZM87607 pep chromosome:ASM162521v1:7:18574683:18578576:-1 gene:DCAR_024724 transcript:KZM87607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYWSLILYGIGGILATSVGLLVAFQEKLIYVPVVPGLTKSYPITPARLRLLYEDVWLRSSDGVKLHAWFIKLAPDSRGPTIVFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGFPSQEGIINDSQAALDHLIQRTDIDKSRLVVFGRSLGGAVGAVLCKNNPDKIGALILENTFTSILDMAGVLLPFLRYFIGGSSSKGPKVLNRFVRSPWSTIDVVGQIKQPILFLSGLRDEMVPPTHMQMLYAKAAAHNRSCQFVDFPNGMHMDTWISGGDHYWRTIQSFLEENVPEKDE >KZM87814 pep chromosome:ASM162521v1:7:20729932:20730948:-1 gene:DCAR_024915 transcript:KZM87814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDQEKFRFLSFLGIFKEAFNITTSHKKIFTQITLSLILPLSFIYVSQNEISGFVFGQNEIISPSTNFTDDTQMESQTYANPPNIFSSETTSFWLFKLAFFISSILLSLVSTSAVVFTVACIYSAKETTYKKVMKVVPKVLKGLLITFFWIFIISFAVIMGVSLIIFLVVFLVVITSGVPSTPGVPVMILLLVFGGIILLGILYMSLVWQLANIVSVLEDVHGIQAMKKSKNLIKGNSGLCAAVLVVLNLCFLGIDLGFKASVVGGDSVWGKILHACLWAMLMSILSLLGLVIQTIIYFVCKSYHGETIGKSSLAHRLEVFHKDYDQLDSQEEVSMV >KZM87117 pep chromosome:ASM162521v1:7:11094996:11095154:1 gene:DCAR_024251 transcript:KZM87117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLLNYGLTTMYEKWITHRRISSHRHDYANSLHVDANRHRVHNDNHVAVIL >KZM86400 pep chromosome:ASM162521v1:7:2493315:2496689:1 gene:DCAR_023534 transcript:KZM86400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLLHVTIILLFIPFISVFAAEQGDFSSIKTDVVALLAFKKMIQKDPNEVLSSWQLNRNPCSWYGVKCTSNRVTELDLCRSNLVATLSFAPLASLNMLTLLNLSANSFTVNSSSLVQLPYGLKHLELSSIGMAGLIPENFFSKYPNLLSINLHNNSLTGLLPETLFLNLDTLQHLDLSMNNISGSVSSLKIETCNSLLHLDLSINKLGDSVPLSLSNCTNLKSLNFSYNLLTGSIPQSLGNLDSLQRLDLSHNHLTGWIPSELGNACSSFLELRLSNNNFTGTVPTSISSCSWLQVLDLSSNNLTGPFPDLVLKDLASLESLILSSNRISGAFPVSISSCQKLRIVDFSSNMLSGSLPRDICPGAASLEELRLADNSISGQIPVELSLCSKLKTIDFSLNYLNGSIPAEFGRLANLEQLIAWYNGLQGNLPAELGNCKNLRDLILNNNHLSGQIPAELLNCSNLEWISLTSNVLTGEIPRRFGLLSRLAVLQLGNNRLSGPIPLELANCSSLVWLDLNSNQLTGEIPPRLGRQLGATALSGILLGNTLVFLRNVGNSCKGVGGLLEFSGIRPERLLQVPTLKSCDLTRMYSGPVLSLFTQYQTLEYLDLSYNELQGDIPVEFGEMIALQVLELAHNQLSGEIPSSLGQLKNLGVFDASHNRLQGHIPESFSQLSFLVQIDLSDNELTGEIPPRGQLSTLPASQYANNPGLCGVPLPECQAYNKPAADPNEGRKKGRGAASWANSIVLGILISVAFICILIIWGIAMRARRKEAEEVKMLHQLQASHAATTWKIEKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAASLIGYGGFGEVFKASLKDGSSVAIKKLIRLSCQGDREFTAEMETLGKIKHRNLVPLLGYCKIGEERLLVYEFMEFGSLEEMLHGRSKTRDKRFLTWKERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHEMEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELVTGKRPTDKEDFGDTNLVGWVKMKAREGKGMEVIDPELIAETTGTDKGEEPEEIKEMVKYLQITLQCVDDFPSKRPNMLQLVAMLRQLLPESGEGSSG >KZM86957 pep chromosome:ASM162521v1:7:8916825:8933861:-1 gene:DCAR_024091 transcript:KZM86957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIHKELVDEVEGDQIGSKNYRFCLIGEPISIVSDVDSDIFDLEKPPSQPLALSERFGLLFVAHSTGFCVVKTKDAIDSSKKTEGRASVQELSVVDVPIGKVSILALSADSLTLAVSVDATAELHFFLVTALLNKEQKPSFSCSIEDSSVIKDIRWTKHVDKDYLVLLENGKLYHGCAQNDLKNVADNVDAVEWSMRGDFVAVARNKVISILSSSFDEKLSMSLSSKSTVGNPDVDSSIKEKSFRKVCQLHAKGARTSPFFQKAISSFDSIRWVRPDCIILGCFHLTDDGTEEGYLIQVITSQEGEIINWCQLLPVWSIHESLGVKIVCSVVIQFNDVFLGFMDDVVPSASGPHMFLSYLHQCQLAIIANRKNVDQHIVLFDWSRDDKKEAAMVEILNDAWIPRIDCRGDGEDNIVIGLSIDKISQDKLAKINFGEETEVSPCCVLACLTVDGKLTFFHFASSIGNSTVQDANCISSEDEDVPLSIATEVQPFDVLSRIEEQKRGAEEAKESAIVYKPVHAYIPQITSNDDYTSGKEMVKQVLDSQTINTQAQMTPVVNLNYDGKGQLSDLPEKQGEILRPSALMISNTDSSGDAVKDLKNNEPQTIAEVISNNDTPSEKLTIRISDLAVHSNVSKGFGGHGALQAQKGSTAIQDSSLKSISSGQFNISTPAEGRASMLPSSKIQMIQSNTKSTTHVQHLNNLPSKDIGGSFNLKPYKNKPPAIVGNMESLPESRSPQVPSREKIALENSSNLADTTGRSRLQSLKGLLSSGSNSSKQFLSVEEMTKELDLLLEHIEGLGGFGDASMSHQNNSVIALEDGIWTLSERCGNWKGFTLLQQCARIFDYVDLNASGGIMDKRLAKIQLILEKTVQALARKIYMEGIVKQATDSRYWDLWDHQRLSSELELKRRHLLELNQELTNQLIDLEKHFNSLELNKFSECADSGMNPRTMQSKHGSSRYVNSLHSLQNTMSAQLAAAEHLSKCLSKQMAVLSIESPSIKKPNVKRDLFETIGIPYNDASYNSPGSERKTSNTSSKQGRLSLSNSVAGKEQSWRKDSTRLKSSEPETARRRRDSLDRNWSSFNPPKTTVKRMLLQDNRQRPKTGRSSFGIDQQLFNRELERSAYVATEQSTSSSATISNSFKGKVTQNATPRSPEIATTLFKTELHNASQPRTNFSPLLSQSTGSSGSGLNKFAVDDLRSTKQSGFDLQQTPASSTRFPEKILPSSKKIDIVQNSTDKQIGLSGFASGNLKHEPLVTESLFSGKSSKTQSSAALAFGSAPSLSYPGTIGNNPGQFTAASLSSSSYSSSISNSVRDLSPPHPKPTSPGVSSTAVSSGSLTVNSSTSVLKAPPHGSTVSAAISQPSEKFVNSSAAISSNFSYKPPSTDVVKPSIEPDKKSEMLNSTSNSGLQIGRSDIKLEPPVTSKATSEVSTSSQSGSQFSFSVSHSATKPDLEQPSPAILASASLSSLATANDQRIDNSAVIYTQEDEMEEEAPEESRSTELTLGSLGGFGIGTIPTPTTLKPNPFGSTFASTTSPANPPFSMTVPGGELFKPASFSFPSPQPSQPSQPSTFASFAGGISSGTSAQVPTGGFGHSAQTGAGQQALGSVLGSFGQSRQLGAGLPGAGITSVGGSFGGFSGNQSAGGFAGNQPTGGFSSAVAGGGFASLASAGGGFSGIASGGSGFSGAASSGSGFSGVASSGSGFSGGGFGGFSAIPPAGGFGGAASGTFPATGGGFGGFSNQGSGGGFSSFSGSGGSARPPPNLLTQMRK >KZM87243 pep chromosome:ASM162521v1:7:12995120:13041611:-1 gene:DCAR_024377 transcript:KZM87243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVEDLWERLVRAALHRDRIGNDAYGRHGGIAANVPSSLTNNRDIDSILRAADEIQDEDATISRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGGIDRSQDIARLREFYKLYREKNRVDKMQEEEMLLRESGTFSGNLGELERKTVKRKRVFATLKVLGTVLEQLSKEVSSEDADRLIPDELKRMIKSDAAMTEDLVSYNIIPLDAPTIGNVQAAISAVKYFRGLPKLPDNFPIPASRNADIFDFLHYVFGFQKDNVSNQREHVVHLLANGQSRLGIPEVPEPKLDEAAAQEMGMELNEILRQQVAQPAKSCASEAGISFLDQVICPLYDVIAAEAGNNDNGRAPHSAWRNYDDFNEYFWSIPCFQELSWPWRTGSSFLIKPPKRSKGLAIIAFNDGNLDSKTLREALSLAPTYFVMKFVQSVLDILMLYGAYATTRPAAVSRIFIKFLWFSTASVVMSFLYVKALQERDRSIAGSLLLRVYVIAIAIYAGIMFFMSVLMRIPACHITPSDIAPLSFMLTFGFFVDILVKERYYVGRGLYEKTTSYMKYMFFWFIVLGAKFAFAYFLQVVNLLLFLSVKGADIICKSFHINLYVVQIRPLVKPTRVIVDITDLRYSWHDFVSKNNHNALTIASIWAPVICIYLLDLQIFYTLVSAVWGFLLGARDRIGEIRSLDAVHKLFESFPEAFIKRLDVPSGRNSYHSSGQVPEMVKADATRFSPFWNEIIRNLREEDYITSLEMELLQMPKNSGVIPMVQWPLFLLASKIILAKDIAAENRESQDELWDRICKDDYMKYAVIEFYHSFKLILTSILDDEGKMWVERICKDINESITRKSINDDFRLSMLSLVIQKVTALMGILKGTETPELVNGAIKAVQDLYDVVRIDVLSINMSKNYDTWNLLQKARTEGRLFSKLKWPRDPELRSQVKRLYSLLTIKDSAANIPRNLEARRRLEFFTNSLFMDMPQTKPVRAMLSFSVFTPYYSETVLYSMPELLKKNEDGISTLFYLQKIYPDEWKNFLARIGRDENAHESELNDNPNDILELRFWASYRGQTLARTVRGMMYYRKAIMLQAYLERTTAGGFHLIPVHMYLLVHLYMEAALSNNEANDTQGFELSPEARAQADLKFTYVVTCQIYGKQKEDQKPEAADIALLMQRNEALRVAFIDEVETMKDGIVQTEFYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAVIFTRGNAMQTIDMNQASAYMIVFKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLLDFYRMMSFYFTTVGYYFCTMLTVLTVYIFLYGKTYLALSGVGEDIKDRASVTKNTALTAALNTQFLFQIGVFTAVPMILGFILEQGFLRYHATGRGFVVRHIKFSENYRLYARSHFVKGMEVALLLVVYLAYGNDDDGALSYILLTVSSWFMVISWLYAPYIFNPSGFEWQKAVEDFRDWTNWLLYRGGIGVKGEESWEAWWDEELIHIRTFGSRLMETILSLRFFIFQYGIVYKLNVQDKDTSLTVYGLSWLVLLGLLILFKVFTFSQKISVNFQLLLRFIQGITFLLALAGVAAAVALTDLTIGDIFSCILAFIPTGWGILSIAVAWKPFVKKTGLWKSIRSMARLYDAGMGILIFIPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGL >KZM86378 pep chromosome:ASM162521v1:7:2152778:2153296:-1 gene:DCAR_023512 transcript:KZM86378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDKIVSFLIFTVVLSCFISESSADTGKAMWYKPVVPTACFGKVNQGQWVAAISEDLWKLGKYKCGQILTISCAGGQYPCKAGSKSIEVKIVDHWVAPDVKFIAISKQAFSSIADPLAGQIPIQFY >KZM87395 pep chromosome:ASM162521v1:7:15515144:15516884:-1 gene:DCAR_024529 transcript:KZM87395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVRVMRDDELDMTVGLLAESFVESMVMPKGYVKLLGILVKQYLVERRSLMPHTATLLGFYKGKDDEGEGELAGTVEISFNKKGANASPPSPTPPRDSPYICNMTVKKSLRRRGIGWHLLKASEELICRMTFSRNIYLHCRMIDVAPFNMYTRAGYTVRETDSIFILLTLQRRKHLMCKQINVSTSYSEMDVSEPSEDL >KZM86804 pep chromosome:ASM162521v1:7:7203763:7205736:-1 gene:DCAR_023938 transcript:KZM86804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDAVKALRSVLQRVHQAAERSGRRGDDVRVVAVGKTKPISLIRQLYDAGHRRFGENYVQEFIDKAPQLPQDIIWHFIGHLQSNKAKSLLAAVPNLAVVEGVDNQKIANHLDRAVASIKREPLRVLVQVNTSGETSKSGVDPSGCVELVKHVKMGCPNLEFSGLMTIGMADYSSTPENFKTLSNCKVEVCKAIGMALDQCQLSMGMSGDFEQAIELGSTSVRIGSTIFGPREYAKKR >KZM88863 pep chromosome:ASM162521v1:7:31855505:31857231:1 gene:DCAR_025938 transcript:KZM88863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERADENLLPSVYKEVSEAFGAGPADLGYLSFTRNFVRGISSPLAGVLVINYDRSTVLATGTFFWAFATAAVGASRHFRQVAFWRAVNGFGLAIVIPALQSFIADSYKDAARGAGFGFLHLIGHMGGIGGGVLATVMAGHEFWGMPGWRCAFILMATLSALIGYFVLLFVVDPRRTDPTTDASSDREALVYKDNISVASVWMEALKAMKAVVKVKTFRIIVLQGLVGSLPWTSMVFFTLWFQLIGFDHNSTASLLSLFAAGVAMGSFLGGALGDRISRVYPNSGRILCAQFSAFMGIPFTWFLLRVIPQSVSSYYTFAITLFLMGLSISWCNTAANAPMFAEVVPAKNRTMIYAFDRACEGSFSAFAAPMVGILSEKLYGYNPKSVDPTNGSLPEALALSKGLFTMMAVPFGLCCLFYTPAHWFFRRDRLNVRMASLKETELI >KZM86414 pep chromosome:ASM162521v1:7:2610542:2616332:1 gene:DCAR_023548 transcript:KZM86414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAENKERRKEVLVSMCDQRARMLQDQFNVSVNHVHALAVLVSTFHYYKNPSAIDQETFAEYTARTAFERPLLNGVAYAQRVVNSERDEFEKQHGWTIKTMEREPSPMRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARSTGNAVLTRPFRLLGSHHLGVVLTFPVYKFKLEPNPSVEERIEATAGYLGGAFDVESLVENLLGQLSRNQAIVVNVYDITNTSDPLVMYGREHQDCDISLERLSMLDFGDPFRRHQMICRYLQKAPTAWTAITTASLIFVIGLLVGYMIYGAALHIVKVEDDFDQMEKLKGQAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYGQTAQACGKALIALINEVLDRAKIEAGKLNLEVVPFDIRAILDDVLSLFSEKSRHQGVEVPDIVVGDPGRFRQVITNLVGNSVKFTEKGHIFVQVHLADHAQVVLGASSDTTLNRGFKGAVSSHFSTLSGREAADDRNNWDTFKKLIANEEFMCNASNNVIGPDELFQNVTLMVSVEDTGIGIPVPAQERVYMPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFISRPQIGSTFSFTAVFRRCQNITTGDMKKSLAGDLPTTFKGLKAILVDERPVRAAITKYHLKRLGILVEIAASIKIAATMSGINGIVRSRNRLQQDMILIEKDSWLSSEDDFLNLRLINCKQNGDDNLLSKMILLATNITSAEFDKAKAAGFADTVIMKPLRASMVAACLQQVFGTGKKPQGKEMLNGSFLRSLLCGKKILVVDDNRVNRRVAAGALKKFGADVECAESGKDALALLQFPHNFDACFMDIQMPEMDGFEATRRIRMLESKANEQMNGEYPPANRTSRTTEWHLPILAMTADVIHATHDECLKNGMDGYVSKPFEEENLYQAVAIFFEPKPVSDS >KZM86641 pep chromosome:ASM162521v1:7:5219561:5224874:-1 gene:DCAR_023775 transcript:KZM86641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFRIIFPQKLYSNSGINALGGTASAAGQDRNNPPRGTHHSSNASSFLIRMAIRISRARWYSFLRRLFYYQNNMNEFSSVSSPENVHVGSNPYHTSTWMILESMALSIQIIIITYTLCVSKEEQPVWPMRLWISGYDLASLSNLVLLLFRYRLLHSTMLQRNSVSDGEHQRNRNEDYYSRRLNMVNRCRTGIELFLAIWFVMGNIWLYDYRFGSSHRAPKLLLVCIFLLVWNAIAYSFPFLLFLFLCCFVPLISNLLGYNMNMGSVNRGASDEQLARLSCWKYKEDYVHQSEDGSDLELGDFQLQNKNQECCICLGKYKEKEEMRQLPCLHIFHLQCVDQWLRIISCCPLCVVLGGPDMNTASLIRFKSSLANADEALSDWLPDTAPCPGNQEPSWFGVICSQEGVVWGIQLEKLNLSGEIDVDALTALPLLRTLSFMNNQFDGPLPAFKKIGPLKTLYLSNNNFAGPIADDAFEGMDSLKKLHLANNQFTGNIPTSISSPRLLEAKFENNQFEGRIPEFPPGLKVLDLSNNKLEGPIPKSINSMDPAAFKGNKKLCGPPLDTPCPTDAPAPSPDKSPIADGNPGNSSTSAGRIIIIVIICLLALAAVIVLYIIYHRRNNLHSTQLGRTMSNSGESAPAMEIAPPAAARVKKADQQQQHGKLAFIKEDRQRFELQDLLRASAEVLGSGSFGSSYKAVLMDGQAVVVKRFKQMSNVGREEFHEHMRRLGRLNHPNLLPLVAYYYRKEEKLLVFDFVYNGSLAGHLHKKHTKESPGLNWPIRLNIIKGVAKGMSHLYEELPSLIVPHGHLKSTNVLLDKSFQPLLMDYTLLPVVNASQAHQLLTAYRSPEYAQQGHNTKKSDVWCLGVLILEILTGQFPANYILSKGDFTNWINTITSALPVLEVRSVMSSGVQVFDQDMGSTDNAKGEMVKLLKIGLSCCESEVDKRPDMNEAVSQILSVKERDL >KZM88979 pep chromosome:ASM162521v1:7:32750548:32751863:-1 gene:DCAR_026054 transcript:KZM88979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEGYKVQSEMVIEGFDRLITGREERKESRGCSPEPELCDGTYEDVIVTALKGGGSRTAELISPKHMAIEGYKVQSEMVIEGFDRLITGREERKESRGCSPEPELCDGTYEDVIVTALKGSANNDFAIRMLIRI >KZM89004 pep chromosome:ASM162521v1:7:32975419:32979444:-1 gene:DCAR_026079 transcript:KZM89004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLIFAVSALLQAALFSICSLALSQDGVTLLDLKISLNDSKSFLSDWNDGDETPCQWTGISCNADDQTVSSINLPYMQLGGFISPIIGKLRRLERLTAYMVLFQRKSPIVLNSEPYWLTIFFISTIRDLSSNSITGSIPASLGRLKRLHYMNLSANFFSGEIPDVGVLSKFRNNSFIGNLDLCGRQINKPCKTSLGFPAVLPHAESDEVAVPTKKSSHYVKGALIGVMSTLTIALLVLIVFLWVWFRSKKERATKRYKEVKKQSSMETGTKLKTFHGDLPYTSSEIIEKLECLTEEDVVGTGGFGTVYRMVMNDCATFAVKRIDRSREGCDEVFEMELEILGSVKHINLVNLRGYCRLPNAKLLIYDYLALGSLDDFLHEHWEDQSMNWNARLRVALGSARGLAYLHHDCYPRIIHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYMAPEYLQSGMATEKSDVYSFGVLLLELITGKRPTDPAFVKHGLNVVGWMNTLVRENRMAEMVDKRCKDTDLETVEAILEIAARCTDANPDERPQMQQVLQFLEQEVMSPCPSDFYDSHSDYA >KZM88075 pep chromosome:ASM162521v1:7:24170305:24171675:1 gene:DCAR_025150 transcript:KZM88075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTVQHISECFIKPSHLSQDSKQVIPLATWDLAMLSYHYIQKGLLFKKPDAQDNFTQVFLQKLKDALSLTLAQFYPLAGRLAKKQDSHSFALFVDCVNSPGARFVHSSVDTTVSDILSPPYVPIIVQSFFDHHKAVNYDGLNMSLLTLQVTELIDGIFIGCSVNHSVSDGTSYWNFFNTLSRIFQGSGVTSQPIHERWFRDGHGPFLSLPFTRDDQFISRYEAPILKEKIFHFSVANLARIKAKTNALCKDRAVRISSLQALSAIIWRCLTRVRCLTKDQITSCCMSANNRLRLDPPLSTNYFGNCVQVLKTFTTASKLLENNLEWAALQLNQTIVQHDDKSVRESVTTWLKTRHPKQLGLPDDPGNIVISSSPRFNMYGNEFGFGKPVAILCGYANKFDGKVTLYQGSEGGGSIDTAICLNPKIMSALECDEEFWMLLIVQVTSRRNWSRTCE >KZM86848 pep chromosome:ASM162521v1:7:7599677:7601809:1 gene:DCAR_023982 transcript:KZM86848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCFRVSKGKKKRSEQNMYVKRVNPQDHPPTALPEPPTQTRSLQSAPSSFRDRVKQQPNSSTNSRARALSAPSSLDTAEQDALSVDYEEQEGSKSRFGSMKERQTPNPIPLPLPAPQNASVMKKLGSFKVMNASGQHNISGPLPLPPALPPSIPSTSPQTLPIKGKVRNFSYEELATACNNFSAERCLSVGLSSTIYKASFGDDKHGSRKLDATGQKEFINEVNTLASLQHPSICKLLGFHAHEGSEWRMLVYERLYHGSLDLLLYGKSDHPLLDWNARMKVASCAAQGLTFLHDEGPFQAMFHEFSTRNIQIDKDFSAKLSGYGCISHIPETYISSTSVARTHPSVEIPEKGLLTPKSNVWSFGVVLLELLTGRRNLDIHQAKEEMNLVKWSWPYLSDDGKLSLIMDPQLKGWFPASAARQLADITQRCLQTDPSERPTMRTVAEHLKMIQQMKFSSRLPLQEPGSHVPRNMMRSPSLNGIITPGPQLSLSPSLTTRLSASQTSPLGIPHFMSPQNLSTVSGRI >KZM88591 pep chromosome:ASM162521v1:7:29530572:29530973:-1 gene:DCAR_025666 transcript:KZM88591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVIPWSLTLALVDVFSIFVKRPPRQVAIMSVVTIGDWVLSFLSLAAACSAASVTDILITSGHSNVNLCSGRICIRYQLSAAMAFLSWCLSLASSLCNLWLLPSL >KZM86431 pep chromosome:ASM162521v1:7:2766887:2767921:-1 gene:DCAR_023565 transcript:KZM86431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTIKPIQLPLQVSPAASCSTHKPTVASQSQFEILETLGRGSKGIVYKVVHNVTRDVRALKTYKNAAAYDEVLREIAILESIDCPYITQFHGTVFSDSGAGWMPILMEYMEAGSLQNLVKINGTLSEKMIAEVARRILMGLDYLHNTKHIVHCDIKPANLLVNHNMEVKIADFGVSKLIDLASNERHIFSGTTAYMAPERFDSCAYGDDLDVFAGDIWSLGLTLMEIYTGHQPYFAPDRKPNKTEFDLMFDVCYNDPPTLPEEASPDFQDFIRCCLEKNPSKRWKALPLLSHPFLINKLDSQDAPIAQDNSNAEPVDTKGRKREANIEIRESSKRVKRQDVQV >KZM88494 pep chromosome:ASM162521v1:7:28329989:28330411:-1 gene:DCAR_025569 transcript:KZM88494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYVSVLLMLIIYSFFGMLLEKGQKGGHCMMCNSNSPAHDSSDHAVEARSITVDIVGGYRVKAANAPILTFIITRYGDIAANCMFTSATVRASMLEVICDIVFKAAMQHIPYRISKQWRLNCEMQRQLELRSPGCNSS >KZM89242 pep chromosome:ASM162521v1:7:35283484:35285587:-1 gene:DCAR_026317 transcript:KZM89242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLALGFEGSANKIGVGVVSLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLIKSALEAAEVTPNEIDCLCYTKGPGMGAPLQVAAVVVRVLSQLWKKPIVAVNHCVAHIEMGRIVTGAKDPVVLYVSGGNTQVIAYSEGKYRIFGETIDIAVGNCLDRFARVLELSNDPAPGYNIEQLAKKGEKFLDLPYAVKGMDVSFSGILSYIETTAEEKLKNKECTPADLCYSLQVGFSHFL >KZM88289 pep chromosome:ASM162521v1:7:26511854:26517113:1 gene:DCAR_025364 transcript:KZM88289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFNQAKAVRLQSHLDKFLTANPDEETVRQSRNGSSHKACWTVELVEGKSHIIRLKSCYGWYLTASDEPFLLGMTGKKVVQSDTREASEEWEPIREGNTHVKLRSKDGGMYLRANGGTPPWRNSVTHDFPYTSATQGWVLWAVEAIDVSEFSDSDHSFNSLDSSEPSVDAHSPYGAQLLVQNGAKFNALALSSQMSLLNASWIQPNGMEYFVKAKAVRLRNRHGKYLVADDDQSSIRQSRNGSSQKSTWTVEFVSDKRNKIRLKSCHGLYLTATAEPFLLGMTGKKVIQNLPATKLDASVEWEPIKEGTYVKLKTNDANFLRANWGTPPWRNSVTHDIPHRSATQDWILWEVEVLDFRAMPVEYDTLLTFSPSFSPAVHMLSPYPGPPVVLFKHEYSPVKMLSSGMEFFTKAKTVRLKSHHNKYLRADHDKENVFQNRRGSTKTALWIVEFVQDVDTVVRLRSIFGRYLTATDQEKILGLTGRKVLQTVPARLDSSVEWEPIREGSKVKLKTRYGNFLRANGGLPPWRNSITHDIPHVHNGWILWDVDVVERQPDTPKKVERSETPEVDEPSSSVHLQSPPSSADEASEEWEPIREGNTHVKLRSKDGGMYLRANGGTPPWRNSVTHDFPYTSATQGWVLWAVEAIDVSEFSDSDHSFNSLDSSEPSVDAHSPYGAQLLVQNGAKFNALALSSQMSLLNASWIQPNGMEYFVKAKAVRLRNRHGKYLVADDDQSSIRQSRNGSSQKSTWTVEFVSDKRNKIRLKSCHGLYLTATAEPFLLGMTGKKVIQNLPATKLDASVEWEPIKEGTYVKLKTNDANFLRANWGTPPWRNSVTHDIPHRSATQDWILWEVEVLDFRAMPVEYDTLLTFSPSFSPAVHMLSPYPGPPVVLFKHEYSPVKMLSSGMEFFTKAKTVRLKSHHNKYLRADHDKENVFQNRRGSTKTALWIVEFVQDVDTVVRLRSIFGRYLTATDQEKILGLTGRKVLQTVPARLDSSVEWEPIREGSKVKLKTRYGNFLRANGGLPPWRNSITHDIPHVHNGWILWDVDVVERQPDTPKKVERSETPEVDEPSSSVHLQSPPSSADEADDADASPQVKSEGRMVYYTVADDKGKVPDDNERGCFHFTGVGLEELAHQLEEETGIESVILCSRNPLNGKLYPLRLALPPNNASVNVVLVSSTSTVASEFA >KZM87483 pep chromosome:ASM162521v1:7:17058588:17067325:-1 gene:DCAR_024617 transcript:KZM87483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFHIYEAIGHGKYSTVYKGRKKKSIEYFAIKSVDKSHKSKILQEVKILHSLDHPNVLKFNSWYETTAHLWLVLEYCVGGDLMTLLKQDSKLPEDSIHDLARDLLRALQFLHSKGIIYCDLKPSNILLDENGRTKLCDFGLSRRLSDISKTPSSQLPQAKRGTPCYMAPELFQDGGVHSFASDFWALGCVLYECYVGQPPFIGKEFTQLVNSVLSDPTPSLPGTPSRPFVNLINSLLIKDPAERIQWTEICGHAFWRTKFTMLHLPPQPAFTNMLEIFTKPYHSEQNSEKPLQNKTPPRNRERDLRGHCRQDENSTLGSRGNDTPSKGISSARKPLAKASTRGADEKHRDLSSNTRRVNLLRLSRIAKSNLQRENEKENYRRPLPNNSDNDSEVKIENTDMELDFDENTEDETHDEPDGSDNRTSNPEENTPTPNHGEGTVEEMDISPASSTPVSDDSKTIDQESMLEHIDVPATPPSISPQIKTPRIKEGSNCAPDSDNSRSPPVLSQVLWHPSDLSVRPVMPSKKADKGSETAPSLPFEALPASDFVKFSKPQLDAFSSRVITIVSGNTATGEKQNVIRYLEMLSSNPDAANILTNGSVMLVIVKMLRQSKASALRVQLASLIGLLIRHSTYIDDDLSNSGILGSLTDGLRDRQEKVRRFSMAALGELLFYISTQNEHSKDSTQPESPSKEARPSFGWQVSSPLISLVTSLLRKGEDDITQLYALRTIENISSQGAHWATRFTSQDVINNLCYICKAPGKQESIRLTAGSCLVRLVRFSPLSIQQGRDEFQINLLRTIESITEETYVISENPKIFICNILPTLSVTYKGQKDGDARFLCLKIWFDVIDVVLCELSRDHQSLEDLKSTCSNYFIPLYPSLKEDEDPIPIYAEKLFEKLVQFNLQHA >KZM87593 pep chromosome:ASM162521v1:7:18469564:18469773:-1 gene:DCAR_031949 transcript:KZM87593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCRVKYVMNFGMISICPMVKINLQMNNMMKQSTDQGLTLAWAWTRSSQARLYNPTTFCNSRRTCSTSA >KZM88963 pep chromosome:ASM162521v1:7:32643806:32645176:-1 gene:DCAR_026038 transcript:KZM88963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGESFAAQKRASSTHLPTAATHAAAARRPANPRNRQIHKTFNNIKITILCGFVTILVLRGTIGLGNLVADSELDNQSLVEETNRILAEIRSGYDPGDATDPGDQEREMSVNETYSLGPKIRSWDASRRMWLRKNPGFSASINGKPRVMLVTGSPPGPCDNPIGDHYLLKAVKNKIDYCRIHGIEIVYNMAHLDRELSGYWAKLPLIRKLMLSHPEVEWIWWMDSDAWFTDMVFEIPYGKYDRYNMVIHGYPDLLFNQKSWIALNTGSFLFRNCQWSLDLLDVWAPMGPKGPIRDEAGRVLTANLKGRPAFEADDQSALIYLLISQKDKWMKKVFVENTYYLHGFWQGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYAAEKCLKSMERAFNFADNQVIKLYGFRHRGLLSPKIKRSRNESVTPLKYVDEFDIRHSAHKIKHRGSKG >KZM86396 pep chromosome:ASM162521v1:7:2449748:2449930:1 gene:DCAR_023530 transcript:KZM86396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAHTSISYPPPYLGIKNPYLGGLRYGFQILFCSTKHLVRVWNRQTSYLIPETREPNDP >KZM87088 pep chromosome:ASM162521v1:7:10852122:10852535:-1 gene:DCAR_024222 transcript:KZM87088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPPPTPSLATLTRPWHDFLDISALSLPLSFSESTFRIRKNLHFFRFNYTLITLIILFFSLIYHPFSIITFLIILAAWLYLYLLRAEPLLLFNWVFDEWIVLIFLCLXXXXXXXXXXXXXXXXXPSPPSPAPGTIS >KZM87414 pep chromosome:ASM162521v1:7:16134914:16135866:-1 gene:DCAR_024548 transcript:KZM87414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTSSVAPEEYLIKLQRLASRGAMLEVVLRLLLFTGSLTAVVVMVTGKQTELVPIPFPPFGSVSTTAQFTDTPAFLYFVAALSTVGLYSIITTWLSISALSKLGYSKKLALYIVLTDVVMLAIAAAALGTAGGVAYIGYKGNSHTRWTKICNIFDKFCQHSAGAILVSFFAAIVLVLLILRSVFTMYLQIPS >KZM89330 pep chromosome:ASM162521v1:7:35994552:35999244:1 gene:DCAR_026405 transcript:KZM89330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNHNSGEGIYITTAIAVDKDKNSPHAVRWAVDNQLKKNASVFLVHVQTHVSHPTDDVSKDGRAPTEAEMQQIFLPYRGFCARKGIRGTEIVVTDTDVTNALVDFVVRNSISTLVVGGSNRSALTRAFRNPDVPTCLGKIAPDFCSVHAVSKGGRVQNIKTATRAARPPTSSKPLSQSGYSSDSSSSFSQSSWKSGSEKTSFDKSSSDGSSYTNKSNDFNMMHPLDRHSGSKSPFPPSVSNLNYMVRTKQMGSPNISFDSSEYSGLSSFQSADFSMDNSDRSLTSGTSKTNSYSSSPLGEEIENEMKRLKQELKQTMEMYNSAYNESMTAKQKVREIDEWKSTETRMIAQKKRSEEDALVLMEMEKRKCRAAMEAAQKAHRLAELETQKRITAERLAAYEAEEMKKAMDALTRSGVRYRTYTIEEIEEATNRFSESAKIGEGGYGPVFKGYLDHTAVAIKVLKPDIAQGKEQFQKEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMENGSLEDRLFRKHNTPPISWRTRFKIAAEIATALLFLHQSKPEPLVHRDLKPANILLDRNYVSKISDVGLARMVPPSVADSVTQYHMTAAAGTFCYIDPEYQQTGMLGTKSDLYSLGVMLLQLITGKPPMGITGQVSSAIEKGDISQVLDPSVPDWPVKEATAFANLCLQCCELRRKDRPDLAKVILPELDRLREFACSDDEY >KZM86647 pep chromosome:ASM162521v1:7:5291446:5292406:1 gene:DCAR_023781 transcript:KZM86647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGIESELRMMKTPHILLISLPFQGHVSPMVQFTKRLASKGLQVTFLCPSSTIVINQSDSINIEYISYKDGPTVQDLELYMANIQKHASDKLVQVIEKQKRCGHPFDVLVHDSLMPWAVDFGHQHGLRVASFFTQACAVNAIYYLVFQGKPRPPLEEGSSLSSLPLSIPVRDYRDLPSDLYDERHPGSIELLGSQFSHVQKDDWILCNTFLELETQV >KZM86694 pep chromosome:ASM162521v1:7:5796836:5805948:-1 gene:DCAR_023828 transcript:KZM86694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDMEIEKDGCGNKPSAAEDSVVKDGCPDIPAATGTTVSAVDSIVEASGIDDVCGRTEEDDKKNHDVDNQSVAVAVTEDNFPEIKEKDKKDGELTNRSGMDVSNEALVPGSGEENGSKEVEIINGERQRDSALNDGKKMNASTDTMSASGLDVLQENGTKEGEMCNKVTGMEIVVDSELKGEVQNPELDARQQVRLKTSNNSFLGPDPVLGDETGTAEERAEFIKELETFHKEKFLEFKHLRFYGEPLNLLKLWRSVIKLGGYEQVTSCKLWRQVGESFNPPKTCTTVSWTFRCFYEKSLLEYEKHKMRSGEHVGDQIIKDKDSSPVPKREKQLKSIGLLKRKTPPPMDDTAKVAGINTSRQQLDTTVVDIGTPADWVKINVQKTKDCFEIYALVPGLLREEVRVQSDPAGHLIISGEPENIDNPWGVVPFRKVVSLPSRIDPQQTSALVTLHGQLFVRVPFEQSNE >KZM88933 pep chromosome:ASM162521v1:7:32408382:32416187:-1 gene:DCAR_026008 transcript:KZM88933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEADKEQCPIRLVSYSDGLEACPEERNGPGLITSLEERNGPGLITSLKEVMPKNVEKLIEQINQPDNKEPITCVIGDLTAGWTLEVARKMGLKQVAVWPAGPASLALALRIPQLIEAGVIDENGSTMKNELICLSEEIPVWNSWELTWISPGKPALQKILFDFSLAINQVVKNPIIVLCNTYYELDSSSCAMIPGILPIGPLPAITDKEQCPIRLVSYSDGLEACPAEERNGARLIASLKEVMPKNLEKLIEQINQSDNKEPITCVIGDLTVGWTLEVARKMGLKQVAVWPAGPASLALALRIPQLIETGVIDENGSTMKNELICLSEEIPVWNSWELTCISSGKSALQKILFDFSLAINQVVKNPIIVLCNTYYKLDSSSCAMIPGILPIGPLPAISSTIKNELICLSEGIPVWNSWELTWSTPGKPALQKFFFDFSLAINQVVENPTIVLCNTYYELDSSSCAMIPNILPIGPLPAITKILAAMSEKELSNKTSLLLGLNGVAP >KZM88641 pep chromosome:ASM162521v1:7:29874881:29877481:1 gene:DCAR_025716 transcript:KZM88641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAIVSFAIQTLGNFLIQQVNIRIGVRDTVRWLKDELVFLQASVRYAESRQDEELIRNWLNNVREVADEAVAILRNFNVLHQEHASSKQDVCHSLISYVWMCKKEAKLYDIGKDFESLKERVVDIKKRRREYGINAMLATPNVQQKRRALLRTTAIDNHVDVVGFEDDIKTLMSELDSEDPWRKVIAIHGMGGLGKTSLATELYNSGDLRHFGTRAKVCVSNEYSIKDVLKRLIKSFMGIEHEQELSKMDEHDLLHHLQKLLQDRGRYLIVIDDIWDTKVWELIIKAFPDQKNGSRIIITTRNKKVAEMIDDKCFVHQLRFLTEEESWQLFCKRAEPTQNLKKLGKEMVGKCGGLPLAIVVLSGLLLHNKNYAYWSKVKEHIWRHLKGGASVQIEEILSLSYIDLSLQMQDCFLYLARFPEDHIIDVHVLKLQWIAEEFLSEDHERDGVPMEELAEDYLIELINRNLIQITRLQWDGNVGECRVHDLVRELAIDKAKEQKFLEIFDSSRQHPKPIQLLRGHHRHAIYNGIGEHFKLFERRSDALYVHSLSLNNLSGRVQLEEMKMYTKFKNLQVLDLTRVKSDRIPEEVGDLVLLKFIGLMGCFRNSLEIPPSIVKLKRLQTLCGSNFFQCYTIPRELWELKELRHITNGQYSGSMKICNHQTKLRTLDEIRYKDWVQIDTLTIPNLQTLTIIRSEELGRGYAYTLDSIAKLASLQTFTLLLFSSNIPTIKPLWSCKLLKSVYLAGTIEDPLELNFLPDSVRNLSLIRSGFLQDPMPTLGNFTNLTALELFDVYQGKKMVCNHNAFPSLRVLRLERMDNLEEWQVEDQTLPSLISFKTTRCDILKTLPVQLERLRIMGTSDLNNT >KZM88377 pep chromosome:ASM162521v1:7:27427869:27428096:1 gene:DCAR_025452 transcript:KZM88377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVVTRHVCIYFKVNDDVMISDSQHKNKTYINTVPNKDKARPPHAPSSHVILVSSPDPCLLWHVSSTTALNSVW >KZM88298 pep chromosome:ASM162521v1:7:26582958:26583122:-1 gene:DCAR_025373 transcript:KZM88298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQAVRSRNAVLKEKDRSHNNCNLVRVLVVIKRKAAKKSGTRACVRNMRGTIII >KZM87881 pep chromosome:ASM162521v1:7:21607620:21608487:-1 gene:DCAR_024982 transcript:KZM87881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDFQEDDQFPQLLKTLQGKDYTFKLKVTADNIQKKNQAFVATNIMMGWDYKEETVTEPDIIENSQSKMPEPSGSSYHLDEISQLNYTNK >KZM88145 pep chromosome:ASM162521v1:7:24908889:24910138:1 gene:DCAR_025220 transcript:KZM88145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISLDTEKEINAAETKKPGARVSDVSLKDVSLEHVTYNAKVSFTNPFSTPVPILEISYALKAANREIASGTTSNSGRSIMANDAIVVDLEMEVPHSVLLSNLVRDIAADWDIDYELGINFVMNVHIDYDLVINSVLDVPVHGNITIPICSQGQIKLPALSDLSILSWVRLLLPFGKSVVIVFSRACLNVFDEVRKQIIEKMLRYKAKYL >KZM89214 pep chromosome:ASM162521v1:7:35020142:35022133:1 gene:DCAR_026289 transcript:KZM89214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEEQISIHAANEAALQNDRVWICEACERAPAAFICKADAASLCANCDAEIHSANPLASRHQRVPVAPIPCSVYGPQAAKGSVLGLGGDDTDDEESEAVSWLINPGKNNSEQLTNGLFFGGEAVDEYLDFVDFSSCQDNDNQYTGGQQYDVPQKSYGDQSEQYNDQQNYFVPRKSYAGDSVVPVQNGQVKIHQFQPQPKQNQTFQLDLEYETSNTGYGYPPSLSHSVSVSSMDVGVVPESTMLDVSIPHSRLSAGTNDIYSTPPIQTPTQLSPMDREARVLRYKEKKKNRKFVKTIRYASRKAYAETRPRIKGRFAKRTDTEVEVDQMFSSNFIAATGYGIVPSY >KZM88486 pep chromosome:ASM162521v1:7:28252152:28257611:1 gene:DCAR_025561 transcript:KZM88486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIMRKQQVHKLLRSNSSMNASCSSDASTDSTQSRASTGRISRRSAPTLARTKHYGSRVDKVVVVGDHSEQQVCDDVKRRCAWITPNTDPCYVAFHDEEWGVPVYDDKKLFELLSLSTALAELTWPVILNRRHLFREVFQDFDPINVSKLNEKKIATPGCSASSLLSEVKLRGIIENARHTCKIIKEFGSFNKYIWVFVNHRPTVGQFRYPRQVPIRTSKADMISKDLVRRGFRGVGPTVVYSFMQVAGITNDHLTSCFRYQECIAAVDAKDNYEARADGKLPQDPNDLSLAIAIDDLTLSSD >KZM88441 pep chromosome:ASM162521v1:7:27916647:27921370:1 gene:DCAR_025516 transcript:KZM88441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESIAQAQQPASFDYELYEGDPDHLRTVVATPNQATPWIDPATLKLKHRIGRGPFGDVWLATHHQSSDEYDRYHEVAVKMLHPVKEDHMQKFLNKFEEIFLKSRQLQGVCWLHGISIISGKICIAVKFYEGSVGDQIARLKGGKLLLPDVLRYGIGLAIGIQELHSLGVLVLNIKPTNFLIDDHDQAVIGDFGIPYLLMGIPLPDSNFAIRLGTPNYMAPEQWEPEVRGPITFETDTWGFGCSIVEMLTGIQPWFGKSAEEIYQSVVMKQEKPLIPAGLPPAVENVISGCFEYDLRNRPLMADILHAFESSQNAVYSDGEWIGLESRKVPEKLSGKCFSTWFLSKDLLQVGDTVRSRKAPDSCKPQTMVVPEGTVVGLEKDTDRDGFVLVRVPRVHDPLRINVSTLERVTTGLAVGDWVRLMEETNKHSSIGIIHSIHRDGNTAVGFLGLETLWEGHSSKLQIAEPYFVGNFVRLKANLFTPRFEWPRKNGGTWATGRISQIHPNGCLVVRFPGRFVFGNEPNSFLADPADVETVTFDTYPGLVGKYQHIEDYHWAARPLTIAFSLFTAIKFGIFVGKSMGAGKKKRGHTQIRRDDHAQDAQTAANSSWLPPPVANILFGEGPTATARRRFIDLENVEERENSTAMSSAHFLKVEQEEVVEVQEKEEEEVDDVAHLVGCISLHKKSSDSSHGGEDLSIRKYRSWFQKQKRIAARREKQIKASMALDDFIEEQMKHFHAQYSRGVVPTHLKDLSKLLMPKSTPPNELAALSWLGDWRPSSFLDLLRSLSHSLPSLSKSKTTGLVLSKLTHDVRIEEAVIDEEMAEIQANCILHLHFGQANKHESIGQALASIHSEFKKIHAVIIKAQKLRSISILIKLVLCIITV >KZM89056 pep chromosome:ASM162521v1:7:33423341:33425449:1 gene:DCAR_026131 transcript:KZM89056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFKWLKQIANNGKLERRLSLGEYRRAVSWSKYLISSGAAIKGEAEEEWSADMSQLFIGNKFALGRHSRIYRGLYKQRDVAIKLISQPEEDQDLAAFLEKQFTSEVALLFRLHHPNIITFFAACKNHPVFCIITEYLAGGSLRKYLHQQEPYSLPFNLVLKFAIDIARGMQYLHSQGIIHRDLKSENLLLGEDMCVKVADFGISCLESQCGSPKGFTGTYRWMAPEMIREKAHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKNARPPLPSTCPLAFRRLIRQCWARNPLKRPQFDDIVAILESYAESLEQDPEFFSSYEVPSRHGISRYLPNLITSCANASQEA >KZM87436 pep chromosome:ASM162521v1:7:16391249:16392559:-1 gene:DCAR_024570 transcript:KZM87436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESQETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINATLYLCDNKFHTEALNELLESDSKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFDEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDVNRYVLKNSATSEIVIKHLNKEQETDQSNFRDAETNAELEVQEKLSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDELYEDSD >KZM88698 pep chromosome:ASM162521v1:7:30487569:30489714:-1 gene:DCAR_025773 transcript:KZM88698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSGDESDLNVVSEHDSDFTNSPRRDLAWKFGDVFKKREDFCESPNFLFSALHISQCIHCCPLSRCNEFFVLAVNVDKTEYSPQKRRKLSTSLLSNVFNYDLGMMKDKSNTRVAHPSFYYSLSLNHLIHFKFSKLIGTDELWQFFVFVVLRCSLCEKLLSSFDCLLGVVIDGFFGRDSFEVAVIGLWSFRKTARRLVFFYQLVSRLFCKETVRRLGMVTNMYPSSALIGQDMDVTLDRDELMGLLEFPVGD >KZM86492 pep chromosome:ASM162521v1:7:3354540:3354926:-1 gene:DCAR_023626 transcript:KZM86492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLESVRRYWKRKQYQRLDDKSNRKRKLKIARLGNRRQQGANVNETSKVMLKFISPIKLFSRFQNCRIVRLSRNIDKKLLQDDIGFSRKSRAVPVVSGPNNEVVDARLVLEIYKRLVSTGEVARLLV >KZM88063 pep chromosome:ASM162521v1:7:24079903:24091807:1 gene:DCAR_025138 transcript:KZM88063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNKYNPPPYPYGYGYHVPPNQPYPPPPSQNQPYPPPQIYPYSPPQNQPYRPSYQPYPPPPQNQPYPPPPQNQNYAPVPPQYHQYPGAPYDQNYHYSHLYPSQPAPSVNPPNSEYNYHQSPHSTPPLSHSSSGSFHYQLQHSASNSSFQHQSSLHYGSDHEYYQQHSGPLLPPSESHSHVLPQNNESVGSGSTTPHPGYSNNSSTYTSMYPPIEDSLSHMHLSSNQPSAPPSMLAPSAPTDYNGSASSLGQHYTGALVSQPLHPYLEHSISYPSQSPNMQVVPFSPGKGSLKVLLLHGNLDIWIYEAKNLPNMDMFHKTVGDLFGKLPGKVSTITSDPYVSISVTTAVIGRTYVMSNSENPVWTQHFYVPVAHHAAEVHFVIKDSDVLGSQLIGIVSVPVEKIYSGAKVQGHFPVINTNGKICKPGAQLSLSIQYTPMERLGIYHYGVGAGPDYQGVPSTYFPLRRGGIVTLYQDAHVVDDSLPKLKLENGIPYAHGKCWHDIFDAIRQAQRLVYITGWSVWHKVQLVRDPSVTGDSTLGELLKAKSQEGVRVLLLIWDDPTSRNILGYKTDGIMATHDEETRRFFKNSSVQVLLCPRAAGKRHSWARKQEVGTIYTHHQKTVIVDTDAGGSKRKILAFLGGLDLCDGRYDTPQHPIFSTLQTVHKDDYHNPTFAAAKPRVTKKLKISYDDSLLRIERMPDILGMSDAPYLSDNDPNGWHVQIFRSIDSNSVKGFPKDPKEATYKNLVCGKNVLIDMSIHSAYVKAIRAAQHFIYIENQYFIGSSFNWTSYKDLGANNLIPMEIALKIANKIKAHERFAVYIVIPMWPEGNPTGAPTQAILYWQHKTMQMMYETIYKALVEVGLEDAFSPEDYLNFFCLGNREEFSEEDSSTAVSPGAGTPQALCRKNRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGTRDTEIAMGAYQPHHTWAKRLSSPNGQIYGYRMSLWAEHLGTVEDCFTRPESLECVKRVKLMGELNWKTFAADEATDMRGHLLKYPVEVERKGKVKPLPGCETFLDVGGRIVGTFMGIQENVTI >KZM89105 pep chromosome:ASM162521v1:7:33869682:33871276:1 gene:DCAR_026180 transcript:KZM89105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQNPQYLYLLTIFSVIVIFYRWFTVKTASKNLPPSPPKLPIIGNFHQIGPDPHISLGALAQKYGSLMLLKFGSVPVLVASSADAAQEIMKTNDLAFADRPTTSITDRLFYNSRDVGFARYSEYWRQVKSICVVQLLSTKRVQSFHNVREEEVDLLIQNIEYSKIVNLRDLFSELTNNVVCRVALGRKYGNDKGNSYKHLLKEMTNLLGYFRSIGDFIPLLYWIDRLKGLKGKVGKVADEVDAFLEGVLRDHRQGSTAPDDAYANKDFVSILLEIQKENLDTGLSIDDDCIKAVILDMFFAGTETSSTTLEWTIAALLKNPGVLLKLQTEIREIGRGKSKITEGDLAKMHYLKAVMKESMRLYFTAPLLMPREARQDVKVMGYDIRSGTQVLINAWAIARDPALWNNPEEFRPERFFNNPIDYKGLHYEYLPFGAGRRVCPGILFAVAVNELAVANLVHKFDFELPHGERMEDMDMTGVTGLTVRRKSPLLVIATPHV >KZM87595 pep chromosome:ASM162521v1:7:18475433:18475878:1 gene:DCAR_031948 transcript:KZM87595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKAALKDPHGVLAKWDSDAADPCSWTMVTCSPDYLVVGLGTPSQTLSGILSPSIGNLTNLQTVQVLNRKFICRGTLSQSLYGK >KZM88702 pep chromosome:ASM162521v1:7:30512120:30518688:-1 gene:DCAR_025777 transcript:KZM88702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVEDMIFVVGLTGLVYFITDELLVIASVSHKLGSSRIDLSKQNRCKDSAFSDDVQKDSVFNDAQEAKVNDFEQRECSGVEQSVVFHDAQEAKVDDFVLREDFFNDTQEVKVDDFVQRECCSGVGQSCNGEFGDEIDVVASRKCGFGENDCVGGDGLVDQVVDQNFEYWGLDQGVEELFETMPQPQGDEWMAGEENVCFNVGDEVDKEEEEGWEDDWEGIERTELERLFGVAVAFVNSKKNAHRFFGVESKVKIKLYALHKVVIEGICMKPQPMALKVYSRAKWNAWKQLENMSRVEAMEKYITLLSRTIPGWMEDRHKFLEGLGSVPAEYELAEYGFSREQMVLSLCVGYAASLFIAPVLGILADLIFETWMVVEHDKQGHRQDMLNDMFWLMAFLKSACFIGSQAIANWLVGNSFKQHIGSLSTATVLLAILSIIPITRGRKEPAKLAASKDYQASFYTFVLCDKRIWLLIWAQACVQFALAVFWILWAPTVVADGREVHLGLIYPCLLGAGMLGSTSFPWFVSGLLSVRTEDCLLYAFCVAGIVLSVVSYDYQEIGFLVTLFCLFHACVGLILPSLARLRTLYVPNELRGGMMSLSLAPVSAAVLFFLMQGGYYRNIENSTIIAFAALGLFSAAGSMYLLKQWGKQLHNDWHKM >KZM89131 pep chromosome:ASM162521v1:7:34173736:34175512:1 gene:DCAR_026206 transcript:KZM89131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRGRAGYKPRPCNKTEVLQWLKTLPLAPEFYPTLEEFNDPIAYIHRIEKEACDYVSYATLAKKTTVMSPEAILNAGIPCCRLVQNPREFVVTFPRAYHSGFSHGFNCSEASNIATSEWLRFAGEAEIRRAAVNYPPLVSHVQLLYDLALSLFSRWSSLHELPRLFNCMI >KZM88368 pep chromosome:ASM162521v1:7:27261123:27261491:1 gene:DCAR_025443 transcript:KZM88368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFMIKKKLAKKMRQNRPIPHWIRMRTDNKIRYNAKRRHWRRTKLGF >KZM89187 pep chromosome:ASM162521v1:7:34760803:34764908:-1 gene:DCAR_026262 transcript:KZM89187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGLSKLSSTIANISQEAAFFEFNDKGRSAFQWGGTISAMGQQPYIRKATTACGSADKSNSALGYTCNGVTPRCQAYLTFRSQPPFTSVSSISSLLAADPSELSQLNSVPEDATFETNKVVLVPVNCSCSGKYYQVNASYVVQKDETFFIIANNTFQGLSTCQALQAQNNNLTTKKLYAGTRLIIPLRCACPTKNQTNDGVDYLLSYLVTWGQYVALIGDIFGADTGRTLDANGLSEDDTVIYPFTTLLVPLEKPPSSSQILPIPSPPPPPPSSPVSSSHTKSKTWIYVVAGVLGSLGLLSVIGVVVFCFFFRKTKSGSKKDIDPVFTSESFEALEKSTEKKFEQEFDFLESVSISAQSLKIYTFKELEFATEGFDLSSLIKGSVYRGRINGDLAAIKEINGDVTKEINILNKINHFNIIRLSGVGFNDGTWYLVYEFAVNGPLCDWIYNNNTSQKFLTWTQRIQIAFDVATGLNYLHRYASPPYVHKDIKSSNILLDTNLRAKIANLSLARSADEDGEHFVLTRHIVGTQGYMAPEYLENGMISPKLDVYSFGVLLMEMLTGKEVSSLYEANSDLSNALRPTLQEENEKANLSSFMDPALEGNYPTDHAIFVIRLIDNCIKKDPSVRPEMDVIVQNLSRTINSSLSWEHTGNFE >KZM87970 pep chromosome:ASM162521v1:7:22699305:22703789:1 gene:DCAR_025071 transcript:KZM87970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEDARFSFFSKFWSSALRAKTLASPKDSSSGRTDGLIRRLGLLDLILLGIGASIGAGIFVVTGTVAHDAGPGVTISFILAGASCVLNALCYAELASRFPAVVGGAYLYSYTAFNELTAFLVFAQMMLDYHIGAASIARSLSSYVVTILELIPFLKDNIPSWVGHGSEEMFGAISFNILAPVLLVLLTLILCQGVGESSMVNSLMTITKVVIVVIVIIVGAFEVDVSNWTPFAPNGYKAVFTGATVVFFAYVGFDAVANSAEESKRPQRDLPLGIIGSLLICIVLYIGVCLVLTGMVPYYSLGADAPLAEAFTSKGLKYVSVLISVGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPPVFAKVHPTHHTPIHSQVWVGIVAIILAGLFDVQVLSHILSVGTLTGYSVVSACVITLRWTDKPASQASARWQPNRMEGILCLVLISCCGFAAGLFYRFSAALPFPIIAIFIAVLAITGLFFRQAYTDPPGFSCPWVPVVPALSILFNMFLFAQLHYEAYLRFVILSTIAIFIYALYGQYHANPSSEKTIYHRAPTDDVQ >KZM88934 pep chromosome:ASM162521v1:7:32425689:32427114:1 gene:DCAR_026009 transcript:KZM88934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMELPHWTDIVKTGTFKELAPYDEDWYYIRAASMARKIYLRGGLGVGAFRRIYGGRKRNGSAPPHFCKSSGGIARHILQQLQTMNIVDFDSKGGRKITSSGQRDLDQVAGTIVVAP >KZM89190 pep chromosome:ASM162521v1:7:34807463:34809596:-1 gene:DCAR_026265 transcript:KZM89190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKHASFRIFRPVFAVCGNWDLGYGRGKNAFKRIHCLQEVKRSRPFKKFYKPAKKNRNFDKKEDVEPKVYTKDVLRKVSNLLRYSTWECAQEELENLSVRWDSYIINQVLKSHPPMEKAWLFFNWASKLRGFKHDHFTYTTMLDIFGEAKRISSMNLVFQQMQEKGIRIDTVTYTSLIHWLSNDGDLDGSVKMWNEMRSKGCHPTVVSYTAYMKVLFNHNRVKDATEVYKEMIRDGCTPNCYTYTVLMEHLAISGKFNEAMEIFSKMQEAGVQPDKAACNILVEKCCSAGETRTLAKILKYMKENSLVLRKTVYIEAQKLLRNVGESDVLLRQVHPHFSAECPHEDSMHNIDNTTTETNSVEEALISDMLTKKKFVVVDYLLSEMMDRNFHLHSEIISMIMEVYSTHCRLGGALLAFKHSVKTGVLLKKTVYLSLIGLLIRTNSFPEVVEIVDEIHKAEYSLGTHLTALLIYRLGCVGKPVLAAKIFDLLPDDQKNTAAYTALISAYFSCGDSGKGLRTYEIMRTKGIPSALGTYNVLLSGLDRCGKVPELETYRKEKKSLQAATNFQHQLPEEEMICNLLFARIC >KZM86372 pep chromosome:ASM162521v1:7:2093891:2098458:-1 gene:DCAR_023506 transcript:KZM86372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGGGGGAAPTGCYKCGRPGHWSRDCPSNPNSATSTDPNPNNNSASKSTQFGFKSGSGDASGSGKVKSGEKPKKVPKTRPKLTVESLLSNDGIGYVLKHFPKAFKFHGRGHEVQDLGNLLGLYAEWHSHMLPYYTFDQFVHKVEQVGSAKRVKVCLRDLRDRVAHGGDPTKLREDPDQQETHEISNEGQVCQKLLSPNKIVRIGYPLDVVCYLRCLYFPAIKEFEETVNIEEPSPFPNSSVQNQGADDVQDVDDFQDAMLHDMFEKASEDPSQALHDDIVTAVSTPKEPPNQEAGNTGSNSAEDQISEELKARIEANRLKALERAAARAKASQAP >KZM89178 pep chromosome:ASM162521v1:7:34688099:34697470:1 gene:DCAR_026253 transcript:KZM89178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGIVSRTAVNRGFSVSKSQFRCLVQGARNFSSKEMTVRDALNSALDEEMSADPKVFIMGEEVGEYQGAYKITKGLLDKYGPERVIDTPITEAGFTGIAVGAAYHSLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWFGACPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFCLPIGKAKIEREGKDVTIVTFSKMVGFALKAAEILEKEGISAEIINLRSIRPLDRATINSSVRKTNRLVTVEEGFPQHGVGAEICASVVEESFEYLDAPVERIAGADVCMPYAANLERLAVPQLCAHTMILYNPIIALKHILHCRAEAKPVKHARASSNSDSSDTTNLQDSKQKATAVALSKVGPGCSKFCWCGRRGFVGAALLPILLDQPSYAALDSYTPADPMNMLNRIHPPRPDWYEEFYASTMNSTMKSYEAEIAGYKSQIFAKLRENSNKILELGIGTGPNLKYYASNGVDVVGVDPNQKMEKYARAAAASTGLPSEKFKFIRAKIDAYQFTQVGEALPIEDASIDAVVGTLVLCSVKDVDTTLQEVRRVLKPGGLYLFVEHVAAKDGTILKFVQGVMDPLQQTVADGCHLSRNTGASIAAAGFSSVNMNMVFLPALSLIGPHVYGTARK >KZM86531 pep chromosome:ASM162521v1:7:3856218:3862296:1 gene:DCAR_023665 transcript:KZM86531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADVKRSIETVQGSDVYPAAQQMLIYQGKVLKDGTTLIENNVAENSFVVIMLSKSKSSSGGGSTTSTAAAPKETETSAAPSLVPTPAVSQSPASTLAAPAPAPAPAAAVAPVPVEAAGPEADVYDSAASNLVAGSNLEGAVQQILDMGGGTWDRDTVIRALRAAYNNPERAVEYLYSGIPEQAEAPAVAPSPPIGEAATSPGQPSPAAAQPLPIASTGPNANPLDLFPQGLPDMGSNAAGAGNLDFLRNNQQFQSLRAMVQSNPQILQPMLQELGKQNPNLMRLIQEHQADFLQLINEPIEGGENLLGQPQAISVTPEERDAIERLEAMGFDRDLVLEVFFACNKNEELAANYLLDHMNEFE >KZM89098 pep chromosome:ASM162521v1:7:33844910:33845497:1 gene:DCAR_026173 transcript:KZM89098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSFDENYATYQTHDGRNRANSYEYNTHVPSAVPVIPYALVSPYGQYPSHAQFSNIMVYEGEAPRLMTSQHQKHQQAHKGRVHFAEPDTIQYPNLGQFNEASPLMNKQHRQHQHAPQAHKGRVHFAEPDTITNDHAPQARKGRVHFEADTKTVDQKNGRVKVQQNNIDADADGFIRLKHKNFELSRWETFKGY >KZM86998 pep chromosome:ASM162521v1:7:9570590:9571530:-1 gene:DCAR_024132 transcript:KZM86998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEAAVTEKIVNTSVTKNTVIDGEATINEVVPMKMETNVNQETPMETELTIIPTITTEAVTDDADAATVHQVFQKEIKDAVIDTVKNAEVVKTSLTQSQKRIIEVGIDYDDNGHTVGYKLYHPQIDGHVNQTVFANKDFNNHRSDDTRAMYFFELERMIKILKDNPIVSTKTLEPICDYYDLRKKRYERVYGDWKMHDDSDYLSLVEEEQVNEAPVDEVIDLTANDEEKQPRQPQHRNPTEAQQYRGF >KZM86213 pep chromosome:ASM162521v1:7:687437:689927:1 gene:DCAR_023347 transcript:KZM86213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDKEQKVLLYACRNCDHQEAADNNCVYRNEVHHSVGERTQVLQDVAADPTLPRTKSVRCSVCNHGEAVFFQATARGEEGMTLFFVCCNPVCGHRWRD >KZM86826 pep chromosome:ASM162521v1:7:7417551:7418504:1 gene:DCAR_023960 transcript:KZM86826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVPSLEMLSLLLLCCFFSLPRLRAQSSAQEALDVLIQDYAYRAFVRPRTGIPFDGIPPSNLSGVHISAMRLRSGSLRTRGVEGFKEFTIYPGVIEQPYVERLVLVYQNLGNWSARYYPLPGYVYLAPVLGLLAYDASNLSAKHLTELDILASGQPISVEFSDVKSAPPGSLPKCVWFDLHGVVNFSNVVSGNRCSTVRQGHFSIVVKSTAPPPAPVSPKPSTTPPGPKHKDKEKKKDSSVWIIVGSVVGGLLLLSLLGILILWLRRYQQKKRMQKMEKAAEVGEALHMATVGSTKAPAAMVTRTQPTLETEYMP >KZM86837 pep chromosome:ASM162521v1:7:7489441:7490962:1 gene:DCAR_023971 transcript:KZM86837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEKESFSPETVAGNEKKATQVLSNGLEFAAQLRIMLSSQRLGDVDHTLCNMSAGDLVTKILDSFEKSLLILQRPSSISDEVSQVPVNSGAPMSEELDSSHTPKMVKDRRGCFKRRRTSEAETKVSSTPVDDGHAWRKYGQKSILNAKYPRHYYRCTHKFEQGCLATKQVQKTEEDPAPMYRTTYYGRHTCKNTYSDHTQFFNLDSIDQSDPSSKSILLNFQQNIPSLSSLNDNYRNHSTSSTRKFINKESGAMMNDNTNQSSSSLADYNFVSGRRTLATFGSSTTRTELLSPAGSDHGDVISSGVYSCSTSTSPDHTTAFEDQLDMMVAGVVNFDGGDDMAMDSFLL >KZM86748 pep chromosome:ASM162521v1:7:6426637:6430562:1 gene:DCAR_023882 transcript:KZM86748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILMRAISNSPLKDYNFWWSLSDTKYAGTALFVKKCFNPKVSFSLNQTGSKHEPDGRVILAEFESFRLLNTYVPNNGWKDEETSFQRRRKWDQRMLDFVLQNLDKPLIWCGDLNVSHEETDVSHPEFFSSAKLNAYTPPNKEDCGQPGFTLSERKRFGAILKQRKLLDAYRVLHEDKGMERGFSWSGNLVGKYRGKRIRIDYFIVSETLKDRIVACEMHGKGIELQGFCGSDHCLVSLKLSETDSNSDQC >KZM88387 pep chromosome:ASM162521v1:7:27506591:27509760:1 gene:DCAR_025462 transcript:KZM88387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTSSMAAKFAFFPPKPPSYKVVEDGDGKMRMSSGGMTERPNVDVLKIKTKRGTEIVGIYFKNPAANMTVLYSHGNAADLGQIYDYSGYGQSTGKPSEQNTYADIRAAYRFLQETHGTKEEDIILYGQSVGSGPTLDLASQLSGLRAVVLHSPILSGLRVMYPVKRTFWFDIYKNIDKIPFVKCPILVIHGTADDVVDHSHGKQLWDLCKEKYEPLWVNGGKHCDLELFPEYVRHLKKFIIAVEKSLHLRSVSGTYSDYVENPRHSRDFREKPRHSTDHREMSRPSTDMRENPRISTDRRDKSWGSFDKREKLKKNADYNEKANNDTEQPEKARKSIDRFGDMVRSAVLCNTDCFKPTRTVGIQGR >KZM87791 pep chromosome:ASM162521v1:7:20491950:20497224:1 gene:DCAR_024892 transcript:KZM87791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILNTKQILQDNKTNDSNAITSLTLTFKALSDVSCLSDFKNLEKLDLTFNNLSSLEAIKVCVNLKWLAVVQNKLRSLKGIEALTKLTVLNAGKNKLNSMDEVTSVITLRALILNVLSRNPIQEIGESMTKLKLISKNLPDDLACNTRMRILDIGNNSIRRWSNLKVLSSLVNLRNLDLQGNPITEKGKLARKMKKLIPSLQIYNAKPIEKILENEDGRINDSSVDAGNMRAVKKPKQLDRSKESGSLENDVAGPSKDTDVDGNEDFSVEKKLKPKRKNRDVEPLEKAKKRPMSEDLKQDDNKFNKDDKSSYLNPDIKNTLLLEKHEFKVSSKKKTGDKVQLSKDKMNVTIDDGEIPFSDFFTADIAENAVTQNENMKLKQDIHSGGEAVTFPKNKKKNKGGISGASALQSLMPANEIGLGGPSAWNE >KZM89084 pep chromosome:ASM162521v1:7:33741644:33744034:1 gene:DCAR_026159 transcript:KZM89084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTTFFTVVLDCGSSGTRVNVYEWRKGGYLSNSDLPVLIHSYPDDSVKIDGEFSQKGGCFYHCVQTEPGLDKFLGNFSGVRESVEPLIHLAELWVPLERHGETPIFVLATAGLRRLQADDAKKILKDVEDVVTGHGFMFKESWIRVLSGKEEAYYGWVALNYQMGIFGNLSRLHSLGLLDLGGSSLQVVTEVDGPRRNENVLRAKIGSIEHWILAYSLPAFGLNEGFDRTVVMLSHTQALKEGSHGTVEVSHPCLSSGFVKNYTCGGCFRKKVSDIKDFSTLSRENEAHSVILVGDPNWEKCKGLSRAVAVNFSNPDWSHLDNGSVCSLSFINGKDVLYHEGRDHSSARFHALSGFYAVYNILNLSPRANLTKIWEKGQQLCERSWTGSNNYAEQYCFKVPYLASLFEDALCVADKEIIFGPGNISWTLGAGLMEGRELWQSSTYSPIGVLSLGNSRIISSPLLLFVVLSCLLLIVYYSQVKLPMPGRKISAVGSSLPSYIRSRRQPA >KZM87452 pep chromosome:ASM162521v1:7:16554073:16555374:-1 gene:DCAR_024586 transcript:KZM87452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALHYTLLILLLAVALSSAAAQAKPKAFLYFIKKDPEALQYSTTLEFSTQVNFVDVVLDLGGEHTWFDCDSYDLPTYKPISCGTTKCNNVKSNGCIGCNLPPKPGCTNNTCGVSSYNPFTNDLYAQGLGEINLFSTETNGLAVGELHKLPKPFPFSCADSDLLRGLSNATKGMTGLANTVTSLHSQWSSKFKLPHKFALCLPSTSELNPGHMFIGGGPYYFPPYTKNIATQLLTTKLVINPVSTAPIYTEGDHSDEYFIDVTSISVDNRPVSVNASLLSIDMNGYGGTKFTTVTPYTKLETSIYKALVGDFKKAASLRKMKRVASVAPFSACFRASSVATSQTGPVVPYIDIGLAGNQHWRFYGANSMVSLKKDVLCLAFVDGGSKPRTSVVIGGHQMENYLIEFDLVSSKLVISTSLLFRNTTCQQFRVL >KZM86467 pep chromosome:ASM162521v1:7:3083776:3084096:1 gene:DCAR_023601 transcript:KZM86467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISLYSDFSALRPGTAEKEKHACAAVHEMLSLTVEKRTLVDHLTHFREEFRFSQQLRGMLIRHPDMFYVSLKGDRDSVFLRDAYRDSHLVEKNILEEMDLVLFN >KZM88258 pep chromosome:ASM162521v1:7:26161288:26161815:-1 gene:DCAR_025333 transcript:KZM88258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINGIKANLADIQQSLLTFGITGLEQAGETVVPQRIFYFHDVEKYFVGMEKEIDQLISDLMKKDENYEVNSLWGMGGQGKTTLAQKLYNHVKIRDPFEAFAWVCISQQFDREKVLKGILKQLLPHDRKGEVSDMEDPDLVDGLRKVQLEKMCLIVIDDIWNVDSWKMLILTHHS >KZM86930 pep chromosome:ASM162521v1:7:8491356:8491811:-1 gene:DCAR_024064 transcript:KZM86930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSHLFMLSLIIIPLISASPNSPEQKLSVAHTELTKYGFPIGLLPTNVKSYTINTTTGDFSVLLKHKCRVTLPPDNYLATYSSKITGKIVENKIAELNGINVKAFFRWWGITGIKMSGKDLTFEVGVLSAKYPADKFGDTPACEGKHSSS >KZM86426 pep chromosome:ASM162521v1:7:2740818:2743100:-1 gene:DCAR_023560 transcript:KZM86426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSSFQKVRSQHDSGSGIQAVVGFEDDARRLVEQLLGGKKQLQFISVVGMAGLGKTTLVKKVFDEPSVVYHFYVRAWTTVTQQPKKRDLVLGILKSGFNAGTEDSDDMELSLMLKRRLSGQRYLVVLDDIWDSKDWNDLMLCFPDDNVGSRIVFTTRLADVPLQVQSGCYQHPLRFLNEKESWDLLRYKVFLDEACPSSLVEIGKQIASKCHGLPLSIVVIAGVLANDRTAQWWSQVAQDMNSIASTAPEQYMDTLVLSYNHLPDHLKPCFLYFTAFPEDYEIPAWKLILLWVAEGFVKIQTTEYNSEDERNMLEEVAAHYLKDLISRSLIIISKRRSNGGIKSCIVHDALRDLCIRKADEENFMHHIPNISEYFHSIVETPKAFLVQRLYSRENYTEGFFSPPQGNFCFPKDTPNVQTILAYNGSDRIPMAIESLQQLRYLALCIEACFGGTSLRKLKHLETFIVRSQYKSVLPDGLLECYKLRHLILKGNFRCITQLDDLETLSSLQTLSLPISLADVEKILGVTPNVRKLTLSKDLYLSWRSLTIPNLAKLQYLESLSLRNNLGRSSSDELVFRELSSPSMFPITLKKLTLVCTFLKWDEMKKIGMLPNLEVLKLQYQAFRGEHWETHDGGFCRLKHLSFKFMRIVKWSAYSDQFPNLQHLKLDECVKLEEIPIAIGDIYTLDMIEIRNCNPAVVKSAHRIKKDQMNKGNDLLKVSIFQE >KZM88600 pep chromosome:ASM162521v1:7:29628838:29630862:-1 gene:DCAR_025675 transcript:KZM88600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMRVGSSWLNLSQHLVAYSAVCSPLERRGSGEGFVRYGFGYSSSRIYRSRSFEMGILRGGRSRGGCFASMEAFSDEEFSEKITELALRFQVSDGDGSIRGGVNEADMDSEGNMNRNHNRTGFFRSRLEPVEPPDWQDVISGSIERKANSIELPFSLRMIKKKKKQLKQGLVGVGGSARSSVKKAFSSMVFIIRELQSYTMQMRELLFYEDLEGILVRVQKEMNASFVWLFQQVFSHTPTLMLYVMILLANYSVHSMASNSALAAAPPVSYTMESVLDIADRNDVEKNFDSSSVKIFSVSSSSGKLTSIGGKNGGGGKFPSIASGTNGDEGVDRSASSDYHRTDVPDGPSTSGRSGEQESILGQVSREEELSLWDSVVEEASSMQAVLRDESLDHETMQRFVSPVTAKIESDDYADYLRTELLYQTELLQEPSNTLLLANYAQFLYLVAQDYDRAEDYFKRATNVEPKDAEAVSKYASFLWQARKDLWAAEETYLEAISIDPSNSYYAANYAHFLWTTGGEDTCFPLSSSDANSV >KZM87569 pep chromosome:ASM162521v1:7:18224663:18227067:-1 gene:DCAR_024697 transcript:KZM87569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDNGLELRLGLSLGKSSTTPRSRNDSSSETRIEDVDRGSKIIDDFRNFLHAGTQKSDSVKPEENFFDNLSSPAMNMDTSTNLNGAEFWIANNKKPSEGDEEKRLEASSKSRNVFDEITLQKKRRIDSETTRASHISINTDEGSTAENEDIADSEAEGSTSKLRTHHNDNSKRDGTGGVLSEVPKEVCGVSSLSGLEQNRFTVSSESEPKVRNVSYSIPFSNQPANIMNMPYNLAVKESNPIVVPGTSKHALPGIMHMMASSNSERPINQHMIPANSQLTFGYSPGQLPVLDKDNSQGLVPHSPQVNSSYFIRGLPDSDKQNGRLRFSEVMNKSGGSQFDGKALEASKAEGNHHAGEEGSSSQTATNVKQNSIMIGQNTSDQPRVDGCAYEFQAIQPGIAGDLKFSGTGSVPDLPWVSTTGSGPKGRTISGVTYRVSNTQVRIVCACHGLHMSPEEFILHANEELPTNQGNASGVVFPSSNSAASAQS >KZM89166 pep chromosome:ASM162521v1:7:34592483:34592931:1 gene:DCAR_026241 transcript:KZM89166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFFYGLLLKDCNIAVPNVLGFTFGILQLILYMVYRNTKKDAIEAKKLPELFEDDSVIIIDDQKLPELKAKIDDVMRLSAMVCSEMRLVAKNSNPNELDMIEIQVVVPKKQATPIVA >KZM88917 pep chromosome:ASM162521v1:7:32258495:32269270:-1 gene:DCAR_025992 transcript:KZM88917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKACMSLVLLFLVALCFFTSISHGISTSRFTDRLISNSNYLTTTPLWLNQSLDHFSPYDHRIFAQRYYEYTDNFRIPDGPIFLQICGEAACNGIVNDYISENLNVKLNRSGVENPWFVFGTSYSGALSAWFRLKFPHLTCGSLASSGVVLAIYNYTEFDQQIGESAGPECKSVLQEITTLVDQRLASDGNSLKKLFNAAELKIDGDFLYFLADAAAIAFQYGNPDRLCSPLIEAKKAGEDLVSAYAKYVNEYYVKSFGVSTQSYNQKYLIETGNEDRLWWFQVCTEVAFFQIAPANDSIRSSKVDTKYHLDLCKNVFGEGIYPKVDETNLYYGGTKIAVLTMLSATIIAASHSYGLSLTGTKIVFTNGSQDPWRHASKQTSSPDMPSYIITCQNCGHGSDMRGCPQSPLQLEGNAKNCSAPDAVHKVRQQIVEQMDLWLSQCQDKGKSAI >KZM86672 pep chromosome:ASM162521v1:7:5522593:5525829:-1 gene:DCAR_023806 transcript:KZM86672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRHLLSLPHRSLKSLRPFSHHLPRSIHLSTHPNSDSLPSPPPPNYMLYDRLAEQIKSKLARLDHPDQRFLQHNSPVPCRADHASALSFPETKITTLPSGIRVATESNLASPTATVGVWIDAGSRYETDEANGVAHFLEHMIFKGTKSRSVRKLEEEIENMGGHLNAYTTREQTTYFTRVMGKDVAKALQILADVLQNSVFDENQIDHERNVILREMDEVEKSPEEVVFDQLHATAFQHSPLGRPILGPVDNIKKITKGDIQKYISDHYAPHRMVVSASGAVKHEEVVQQVKQLFNKLSSNADTTCQLVAKEPSRFTGSEVRIHDLEDPLIRFAVAVEGASWTDPDSIALMVMQSMLGSWNKNAGGGKHVGSQLVERIAIDEIAESMMAFNTNYKDTGLFGIYAAAEPESCDDLAYSIMHAMTKLCYKVSDDDVIRASNQLKSSLLLHLDGTSGTAEDIGRQLITYGRRIPYAELFARIDAVDSSRVKRVAKRFIEDKDIVIAATGDPQNTILPDYNWFRRRTYWTRY >KZM87946 pep chromosome:ASM162521v1:7:22349054:22349296:-1 gene:DCAR_025047 transcript:KZM87946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRCATKQYLDSVFHQAKLARRARSQGRRAVRQGWGNWIVEQEPDHVHVIYNIPGPIPHNLNEIATDNSLVRGFLLDD >KZM88951 pep chromosome:ASM162521v1:7:32534898:32537353:-1 gene:DCAR_026026 transcript:KZM88951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESKSEMQFPSYLPVCSATKDLRASGSNRPLLDNDQVQTSGHSRTSHHNDQLQIAGDGYNNLYPPSNASQLQDHEKEMIRNIMLEQEATFKHQLSELHRVHGRQRELMDEIRMKRLTTNNIEGKTSKTNPIMFGGLSQSNQTCQVPNSSLVDPRYHWSSTIINFDDGKSMQAAPNTSIVASSLKDNVFLPCSSKEIRKRTFDLKLPGNEYIDREEERFRNGTVSGVSKVPDYAIKDLSAVCHLKDSKIPVCKDQLNPSEGNSSRTNFIPTITDLAGWNKTSLINQKGPLYSSSSLPLGTSIMAEPHLARSYAQMPNMVFQVQTKENARMQIGKDLEIHSEDLYLKKLSRQHEHTSSNHLMSPTKPFDTHASSSKNATLSIGNNSLNSICLSRNLTYNPRYQIDLNSYPIEDGSSQVEMEKRSMADINLEPPVSPQNKEASPPRGNSVEKQPADSVKLSEKDDKYQKDLALQAAEALVLISSNSAQCLKWFSEVVCYAENVLKEDETVQGRIAYSKHSELVADGFNNFAALTPVMEETKLGGYCSKSTGNKKRIAAATSSSPPKRKRTRRTKSQKTMQGDIQPHMTSPSMQEVPLENRTISWLRENESKARLGKKKSSKNEQKKGKKQNSEPTLQHLMCSYLKQQMADAKLDSLERRLPNWGRRNKRQTGHRRPASDHALRLAVLRLVA >KZM87667 pep chromosome:ASM162521v1:7:19058797:19066758:1 gene:DCAR_024768 transcript:KZM87667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQQRLKQQQALMQQSLYHPGLLAPPQIEPILSGNLPPGFDPSTCRSVYVGNIHSQVTEPLLQEVFSSTGPLESCKLIRKEKSSYGFVDYFDRRSAALAIVSLNGRHLFGQPIKVNWAYASTQRADTSGHFNIFVGDLSPEITDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFQNQQDAQNAINELNGEEHICVALRKWLGSRQIRCNWAAKGAGADDKQNSDVKSVVELTSGTSEDGQEKINDDAPENNPQYTTVYVGNLGPEVTSVDLHRHFHAFNAGVIEDVRVQRDKGFGFVRYSNHSEAARAIQMGNARILYGKPIKCSWGSKPTPPGTSSTPLPPPSAAVLPGFSAADLVAYERQLALAKIGGAQALMQQQVQRMGAANPAMYDGGYPSIASTQPPMYFQ >KZM89034 pep chromosome:ASM162521v1:7:33242638:33244424:-1 gene:DCAR_026109 transcript:KZM89034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFRRSTSKDDPLLWNLFNYQLPVMKEYVHPPKDESYFNQLIKETHIEDDVGTAKKTALHTQQKTNVQSPSCIPGSRNSVKRFPRKNVENIKPDTPPVSYSQCSRIFSPLTPLSPNIARPLQSPLPKHKPNALGQHTQRNKENVPTTRVKRNECLPEKRIHKQSSAFKENRPPTNIKRKALLKSCGSNIHSATQKRPCKNPDVKKMVSPAEHNVMNLSEYDSDSSDAFSDQDFPEDFIDESHKFGPTVGT >KZM88467 pep chromosome:ASM162521v1:7:28158581:28159242:-1 gene:DCAR_025542 transcript:KZM88467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIITIISTFFLFSLFTILGTQARILPAAGEIGTFEFNNINLNEEKEWMSMVAEQIINNLKKTPLSPPPPPPTSSPTSNTILTTDPLKPNKMSGYGTIAKSPPPPPYASPTKGHVSSTILKPWMRQGDLGKTPQSPPPSPNTSPAKDDSYIRSSGTIKEYRRSLKSPPPRDIWMASTFIADC >KZM89158 pep chromosome:ASM162521v1:7:34455790:34457817:1 gene:DCAR_026233 transcript:KZM89158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEEKKGGGEKVEEKKEVEEKKQEEVKKDEAKEEKKVEKEKEAAPAPAPPQVIVMRVFMHCEGCAKKVRACLKGFPGVEHVETDCKSHKVIVKGEKADPVKVVERVQRKSHRQVELLSPIPKPAAPAAEEETKVAEVKEAAAKPEEEKKEEPQVITVVLKVHMHCEACAQEIKKRILRMKGVDAAEPDIKNSQVAVKGIFEPSKLVEYVYKRTGKHAVIVKQDPEKKEGEEDKKEDKGGDKAAAGDGKEKKEGGGEEAAKPEAAAAAEPEGTQVLDMKKNEFYYYHPQNYQVYPPTFIAEPSYASHSYPPAPQMFSDENPNACSVM >KZM88670 pep chromosome:ASM162521v1:7:30179086:30181912:-1 gene:DCAR_025745 transcript:KZM88670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGTQVEEKSEHVHKKGEGRLLFTKKSSDNSSVKKVELNHHYDPCGCKSSCGGEDCLCFLNRTYCEKYCGGCRCAKNQCRTRQCSCVAANRECDPDVCLNCFVSCGDGTCGAPPPKETSYNCMNMKLFQNQHQKVLIGESCVSGWGAFLMNECEKDAFIGEYTGELITHYEADKRGKTYDRLNSSYLFDLDDQYVIDAYGMGSNLRFANHSPRPNCYVKNLLVAGDHRIGIFAKERIYAGQELFIDYGYKADVAPSWANDHKVSSSNEDYSHRSSRAKKRIGAKEKISDSDKDEDYTPRSTRAKKGTRAKRKVSDSDKDEDYTPSSNRGEGIASFVKKPSQKEGLGIEESYQRQIPLPKIEDFIPTFTFLRDFIDFITL >KZM89115 pep chromosome:ASM162521v1:7:33949904:33953627:1 gene:DCAR_026190 transcript:KZM89115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQDLVVALIPIIASLLFIKWLFFSAPVNRKNLPPSPSRLPVLGNFHQLGLLPHRNLQSLAQKHGPMMLLHFGSVPTLVLSSADAAREVMKVQDLKFCDRPSSSLLRRLLYDGKDISVAPYGEYWRQLKSIIVLQLLSNKRVQSFRRLREEETALMVNKIRDLSSSSLSVDLSELFVTLSNDVSCRSAFGKKYSEDGSGREFKKLLKEFLELLGSYSFADFVPWLRWVDRISGLDGKVDRVFKNLDKFLQGVVQEHLDKQANQLEDKENFVDILLRIQNETIHGISIENDNIKAILLDVYAAGTDTTSTVLEWAMSELLRNPKEEKIMVFIPGESRLLLQDLMVALIPIIVLLFFIKWGFFNAPLSKKKLPPSPSRLPVLGNFHQLGLLPHRNLRSLAQKHGPIMLLHFGSVPTLVLSTADAAREVMKVQDLLFCDRPESSLYRRLLYDGKNISVAPYGEYWRQLKSIIVLQLLSNKMVQSFRKLREEETALMVNKIRDLASSSVPVDLSELFVTLTNDVSCRSAFGKKYSEEGSGREFKKLLEEFLQLLGSYSFADFVPWLGWVDRISGLDSKVERVFEKLDKFLQGVVQEHVDKQPIQLVGKTAESEHKEDFVDVLFRIQNETTHGISIGNDAVKAILVDVYAAGTDTTATVLEWAMSELLRNPNVMNKVQNEIREILGCKPDIEEIQENDLEKMQYLKAVIKETLRLHPPIPLLVPRSAREDVKLNGYDIAAGTMVIINAWAIGRDPATWNKPEQFEPERFLNSSIDFKGHDFQLIPFGAGRRGCPGIAFAMATNEFVLANLLHKFDWKLPEGKNWEDLDMRERPSLTVQRKIPLLAVATSCTR >KZM88655 pep chromosome:ASM162521v1:7:30012786:30015325:-1 gene:DCAR_025730 transcript:KZM88655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSRGVSRKDKPRGRHHGLSQQKKQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQITQMIADVDKDGSGAIDFDEFVHMMTAKMGERDSKEELTKAFQIIDQDKNGRISVADIQRIAKELGEAFTEREIHEMIEEADRDRDGEVSFDEFMRMMRRTSYGY >KZM88955 pep chromosome:ASM162521v1:7:32561462:32569133:-1 gene:DCAR_026030 transcript:KZM88955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRASVYLTDQKSSSYKDFKTGEIQELSMMLDKTEFERDIYSNECSETKAKIDELESRIKEMDEQLSETLKFREEYYSVTRQLKSAQEELHKGEAKVIEAKDEGLEALKQVELMETALNIEKQMTEELRGHVSVINETILCMQQAAMEAEKEHTAVLAEKQAEILSYAKLGKEANGERAGPRQALEKELVKKTLLIDSLKMELQKANDLYKSAQKATSEAVNDINQLIADMELLVTENSDKGVHIKFLEPELTQIRLDYESAKKDVEIANEDRERLKREVENANKGTELLIRDVETANRERKRLITDVEIANEDRERLKKVVEIAKKDAEHLHRDVEIANEDRERLKREVENANKGTEHLIRDVETANRERERLIKDVEIANKEREQLNKVVENAKKDVERLHKEVEIANDDRERLNKDAENANKDIERLNKDVEIANKEMERLNKDVEIANKEMERLYKIVETANNNRERPDQDAETVNKYTEHVYKDVEIADKDDEIANEDVERLTKDVETLTCKLKSTKNELDVISGKETEAQVEIALLKSELDKGISKSAASEAAEEKAKLENLTIRLNIQRLESEAEEAYEEIKRLREEADKRADTGVTDNNIIIPVEEYKALVENASKEDKARETMTDNRYELESLKKELEITTARISEFRTRAEQAAFRAEAAEKGKAALEESVRRLREQKERRKIAHQAFKEASITPTYNYKQDLGRPPKTHPALGKYGDSVDTDKEVLLHLRSFLEEKNHFNRGLYTTWNPLDSSPCGWYGISCNNGRVTGINLSNNNIAGNLFGNFSALSELNYLDFSVNTIEGSVPSDLGQCQNLKFLNLSHNLIQGELNLTGLNNLEILDLAVNRFSGDIKLTFPTICNSLVVANISLNNLTGEIGNSFDECWNLEYVDLSSNFLTGNINLGFERLKELEISNNSISGTISPSVFSVNCSLEALDLSENMLYGEFPKEISYCKNLAVLNLWGNSFTGLIPAELGSLTSLEALLLGNNKFFNIIPESLVGLKNLVLLELSGNSFGGDIQEVLGRMTQVKYLLLSGNSYTGGLFSSGILNLTNIARLDLSYNNLSGHLPIAFTEMLGLKHLFLAFNQFSGNIPLEYGNFPQLQILDLSYNMLSGSIPPTLGKLNSLLWLMLADNQLTGEIPPELGNCSSLLWLNFANNQISGKIPPELADMAKNATPTFLVNRIDDRITAGSGECSVLTRWIPADYPPFSFVFTLLTVKKCRSLWDMLLKGYGIFPVCAPGSKVRTNIITGYVLLHNNSLSGRIPTEIGKMQKLSMLHLGINNFDGTLPEDLAQIPLVVFNVSQNKFSGEIPVALGTMTCLRNLDLSYNNFSGTFPASLNNLNDLSSFNVSYNPYITGLVPGTGQLATFEKSSFLGNPLLRLPPYISNNTKESPDISAQPRKNVKFALVVFIAITLAFLVCGLMSVLVCIVGRRSDNLPSYLLEVKERHDTGSISGGSSPWLSDKVTIVRLDKTEFTHKDIFVATEYFSDDRIIGRGGFGTVYRGVLPDGREVAVKKQQREGPEGEREFRAEMEVLTGNGFGWPHPNLVTLYGWCLDGSEKLLVYEYMVGGSLEELISDRTRLTWRRRIDIALDVARALVFLHHECFPLIVHRDVKASNVLLDKDGKARVTDFGLARVVDAGGSHVSTMVAGTVGYVAPEYGQTWQATTKGDVYSYGVLVMELATGRRAVDGGEECLVEWARRVMGNGRQGRTLVPVGLLVSSRRGEGAEELCELLRVGIRCAAEMPQARPNMKEVLAMLVKISSPSRKEFDV >KZM88067 pep chromosome:ASM162521v1:7:24116068:24117388:1 gene:DCAR_025142 transcript:KZM88067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTTDKCKACDKTVYFMDLLTVDGLTYHKSCFKCSHCKGTLAISSYSSMDGTLYCKPHFEQLFKESGNFSKNFHSTKEKENAVAHAPSKLSSLFTGTQDKCAACQKTVYPLEKITMEGESYHKPCFKCARGGCPLTHSSYAALDGVLYCKHHFAQLFLEKGNYHHVLEAAKFKNGAAAVDHTADHAEGAPEEKAEGTSEENAEDKPEEEAESTEDQPPEDS >KZM89327 pep chromosome:ASM162521v1:7:35978298:35979839:-1 gene:DCAR_026402 transcript:KZM89327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEYQGNDYVHTVNEATYSKGSSDWEDVKWKGPKGNESDLHVIIYDEIDAICKTRSSTKDGTGVHDSIVNQLRTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVQVQISLPAENGRLQIIQIHTNKMKEGSFLAPDVNLQELGN >KZM87314 pep chromosome:ASM162521v1:7:14207224:14210225:-1 gene:DCAR_024448 transcript:KZM87314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNKKLSVLLSFLLILVYLTTFLAISTEARRHHSKKNRTHKHKKQRHDHHVPAPFPGHGNTSSNFNVLSFGAKGDGVSDDSKALEEAWKAACKVEGSILRIPSNYKFLIKPITLKGPCMPHLLFQIDGSLLAPPKVGAWPKSSLFQWINFKWIHNFTIQGTGTVDGQGSNWWATAFPSQVAFTQALSKNKIPYMKPTAIRFYESYNVTIRDISIINSPLCHLKFDNSGGVNIDNITIISPESSPNTDGIHLQNTRDVEIQHSSIGCGDDCVSIQTGCSNVHIHHLNCGPGHGISVACVSDITVENIIMQNTLYGVRIKTWQGGAGLVKNITFSHIQVSDVKVPIMIDQYYCDKHLCKNQTDAVAISGVKYDQIIGTFSVQPIHLACSNSIPCINVDLTNVQLRPTSSKLGYGSGGLQHEGLCWNSYGKSKAPLVPSSIDDCLMRGSGGSGPQKIAKSRYENVC >KZM88146 pep chromosome:ASM162521v1:7:24923089:24926870:1 gene:DCAR_025221 transcript:KZM88146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLTASYLMTIMVLWISYKVYKWSHPKSKGKLPPGSTGLPYIGETIQFFTPYHLYDIPPFIKKRVKRYGSLFVTSIVGQKIVVSTDPEVNNFIFQQEDKIFECFYTDGFVKLLGTDSILAYHGYLHKYIKSLILRLVSPENLKANLLHEMDFSTRDAFQSWSSRGSVEIKEEVADMVFAYASKLVMSYDETKAKQNNFRGNFKDFLDGLISFPINIPGTAFHACLQGRKNAMKVITDAFVERQASPHKLDDQKDFLDYLIEEINDKDTILNQGVAADLVFVLLFATFETTSTSITMATKFLADNPTALEELTKEHQNILKNRSEQDTEITWKEYKSMTFTHMVINETVRLANIVPGIFRRVIKDVNLKGNDHLIKYYYHLAYVKLSSFGEGYTIPKGMIVMVSPSTVHLNSDKYEDPLAFNPWRWKGKELHLGSKEFMAFGGGVRLCVGADFAKLQLAVYLHYLVTKYRWKVTKGGDIVRKPGLMFPNGLHLEISELQN >KZM89261 pep chromosome:ASM162521v1:7:35475737:35480230:-1 gene:DCAR_026336 transcript:KZM89261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRETLASSSGNLSAASPPAEDEWSNTYQRLLPRWKQSTPSHQSSIPVTISRVNQVDAGRLDIEMSAMLKEQLLKVFSLMKFYLWYRIISLAACKLRGHPMCLMWLLKWFFLFEMSNGSAFQSCTSLLFESHTSVRTGLEGPGLTFAQKLWYCVATVGGQYIWARLQSFSAFRRWGDSEQRSLARRAWFLIQRIEGFYKAASFSNLLIFLYTGRYRNLIERALRARLVYGSPHMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKNFLLPFGKDKSSTSAEDETLCPICQANPTLPFLALPCEHRYCYYCLRTRCSATPSFRCSRCNEPVIAMQRHGGPTNSASKGE >KZM88096 pep chromosome:ASM162521v1:7:24320450:24325356:1 gene:DCAR_025171 transcript:KZM88096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGNFWDLLKPYARFQDSTFVRNKRVAIDLSYWIVQHETAVKSHVLHPHLRLTFFRTVNLFSRFGAFPVFVVDGTPSPLKSQARIARFFRGSGIDISSLPVAEEGVSVERNHKFMKCVEECIELIKLLGMPVLRAKGEAEALCAQLNKEGLVDACITADSDAFLFGANCLIKSLRPNTKEPFECYYMSDIEAGLGLKREHLIAICLLVGNDYDLNGVEGIGLETALRFVKSFSEDEILNKLQEIGKYTADFCSFGGSVPSSDENSRKAKGPLHCSLCGHPGSKSTHLKFPCEYCNSDPAEGCLEKPVSFKCNCSSCDMDRKHKQQKKNENWELKVCEKISREPNFPNENIIEIYLFSNQETVEDAGQSLCWEAPNVDVLVDFLNYHQKWEPSYTRQRILPMLSTNFLREMASNPTDKLLHEQFVFDSIHRVKIKFGHQLYVVKWKKATPAAGNSTYTIISEDSAECIHIIDEPDITEIQFSSSDGCSFMLTDEDMELVRAAFPEKVNQFLREKEQKETTSRRKETGTRSEGTPEHAATTSSKSVQLSIKEFYRASKLQKQEKFAENSSTILEDNNILSSKRKRDASNKNLSKSARRKLLFD >KZM86854 pep chromosome:ASM162521v1:7:7671498:7683592:1 gene:DCAR_023988 transcript:KZM86854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGCVALVLCLNITVDPPDVIKISPCARMECWIDPNSMAPQKALETIGKTLSYQYERWHARAKYKIQLDPTVEEVKKLCCTCRKLAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPVRDLDSWLRTPSMYVFDCSAAGMVVNAFKEDLTPSNSSGSSVRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLTYSLIDRIPGRQTDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPATHQHHMWDAWDMAAEMCLAQLPALIEDPKVEFQPSPFFTEQLTAFEIWLDHGSEYKKAPEQLPIVLQSVVRSDVSEAVIILLPDLSSCEFYRIYVSEKSLVLLSQCHRFRALVLLGRFLDMGSWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILSLDKSCQVDLVKDDGHKYFIRFLDSEEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVCLRHLQDSTANEVQTEPLFLQWLCLCLGKLWEDFTEGQIIGLQADAPAIYGPLLSEPQPEVRASAVFALGTLFDIGFDSSSLSRDVGDEVSYDDDNDEDEKVKAEISIVKSLLDVVSDGSPLVRTEVAVALGRFAFGHNKHLKSVAAAILNHQPHSVLSSLPFFAIKGTTSGCTSANYYSHTGPILKAGGDSQSVVCDGRISTSNPLATSGVMHGSPVSDESSQHSDSGILTNCISNGVIDRAHSRHLDNGFYSQCVSAMCTLAKDPSPRIASLGRRVLSIIGIEQVVTKLTKSTGHVSSSESTTPPTSSLAGLARSSSLFDLNAGHLSMTFRTPPVSPPQPTYFTTGLRRVYSLELRPHLVSTPDSGLADPLLGSLGASGSSERSFLPKSTIYKWSCDHFTKPLLTAADDSNEIISKREEREKFAFDQILKCQHSSINKLHNQIAGWDTRFEAGAKITLLQPFSPVVIAADECERIRVWNYEEATLLNSFSNHDYPYKGISNLSLINELDDSLLLVASSDGSIRIWKDYSAKSRQKLVTAFYSIQGPRPGVPSLNAVVKWQQQSGILYASGKLSSIAVWDLDKEQRINSIPLQSECSVSAMSASLIHGREFAAGFTDGSVRLFDIRTPDMLVCATQLHTQKVVGMGFQPGLDPAKIVSASHAGDIQFLDFRHHRDAYLTIHAHRGSLAALAVHRHAPLIASGSAKQRIKVFNMDGEQLGTIQYYPTFMAQKIGSVSCLTFHPYQVMLAAGAADGCVSIYADEISSPRF >KZM88877 pep chromosome:ASM162521v1:7:31976286:31978480:-1 gene:DCAR_025952 transcript:KZM88877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYAELYESRGIQAVTFVAPVKDMLSVDLGRAFWQRISGLVVEISDWLSEKENDGRERFLMFHTFSNTGWLAYGAILDDLQGRPDILDKIKGCVIDSGGDPNIDPKVWAAGFGAALLKKRSSAAYPSVEAGEKNAVQRETNVAKLGPKKPFFAEVAILLVLEKFFSFLLNTPDLNQKLTKIISVLSNNQPPCPQLYLYSSDDKVIPYQSVELFIKKQKDMGRTVHSFNFKSSPHVDHYRTYPTTYYSQLQSFLEECLATVKQL >KZM87920 pep chromosome:ASM162521v1:7:22066167:22067045:1 gene:DCAR_025021 transcript:KZM87920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRKHFFDFSSDVGVCATCLRERLNVLIQTQSNDQPVLLVRPQLDDDEHRKSDAIQPQIVFPRSVSPYVSRRKSDTTAAQLHRFYSTPQIGPNGVIDTERRSRGKFSILSKLFRSKTQKLDAHRNIGDRRTYSTSDASGIEIPEAVSETTPSWFSSLFASKSKKKMMSKSFPAFGNRKNHRGMSPAVESDEEWGDKVSDYSTQASPWKETPVRATPRRQNQRYVAGMAFCLSPLVRPSPNRSWTLKGVTPESVVPSGDGRAPVKPHLAEAASFRGNRSRKLANFGRLNPKY >KZM87489 pep chromosome:ASM162521v1:7:17148845:17150673:-1 gene:DCAR_024623 transcript:KZM87489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVYARSPAQLVSYNFELKVVNNKSSIMDLPAEIVADILSRNPIKITVHCRCVCKTWRAMLLEAYFIDLHLSRSPIGLIIHHSNPPHETDNLKLGVLDDKTDHHDIHYDPLMRFDLGLGYITNPVTREYILLPDHKYDRTPFTIATHGFGYVEASNEYKVNRA >KZM87185 pep chromosome:ASM162521v1:7:12199767:12205361:1 gene:DCAR_024319 transcript:KZM87185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGRSGLKVSQLSYGAWVSFGNQLDVKDAKALLQCCRDHGVNFFDNAEVYANGRAEEIMGQAISELGWKRSDVVISTKIFWGGSGPNDKGLSRKHIIEGTKACLKRLEMEYVDLIYCHRPDTSTPIEETVRAMNYVIDQGWAYYWGTSEWSAQQITEAWGVAEKLGLAGPIVEQPEYNLMSRHKVEVEYQPLYTNYGLGLTTWSPLASGVLTGKYNSGNIPQDSRFALENYKNLASRTLVDDVLKKVRGLQPIAAELGVPLAQLAIAWCAANPNVSSVITGATKVHQIEENMKAIKVIPLLTPEIMDKIEAVVQTKPKRPDSYR >KZM88060 pep chromosome:ASM162521v1:7:24043239:24044835:1 gene:DCAR_025135 transcript:KZM88060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTIKSMQLKGKKFPAWITMMLNLVKITLRDCNRCEVLPPLGHLPKLREIAIDGMRNVRVVGDDFCGGQSVFPQLERLKIENCARLRKILPSCFPSLKQLFFWQLPNLEEWEAAVISTAVSSQSGFPKLESLEIWRCPRLRKILSSCFPSLKQLYFRELPNLEEWEAAVIGTGVSSQSGFPKLESLEILECPRLRKIPNSYFPSLKKLELTDLESDMMLGTMSRVVSSLTSLLLMSIGDGGGDSSSLSLFSNMESLINNSLSLTKLELIDCKGLKCLTLGSSLEHLKIYNCPHLIIINLVEGSAGPKSIEIGELPPSLLDGGFAQIQSSRLEYLGLGPFSDLDYIPWPFSSSSNLILLDLFGWEKVKSLALFEQPLFIAYPALTILNLHDFEGVKALPDSIAKLPSLQDLSIFDCKNLESLPPFEESHTLQTLNIVRCPVLKRRCSKGQGPEWFKIQYVPSIIWDYKRWQ >KZM87857 pep chromosome:ASM162521v1:7:21333476:21354902:-1 gene:DCAR_024958 transcript:KZM87857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASVEFRVGGWKCVRDVVVKFRKGPVESISVGEIRLSLRQSLVKLGVGFFSRDPKLQWLICDLEVVLRSSNKGTQKTGSKKSHSSTKSGRGKWMVVVTIARFLSVSITELVLRTSQATIDIKELGVDISRDGGSKTALFVKLHLLPIVAHLGVARVSCDQSSSLSGAGYSFGYQASSTLTERASVPFLCEEFHVSCEFGHVRDAGVIVKNVEVTSGEVFINLNEELFNKGNISYDTSHANEANIDSSTLKLANQKKSPLLNITKAWFPEKVSFSLPRLDVKFERRGYNLMAENSIMGIQLKSTKSQSIEDVGESTRLDVELDFSEIHLLKEDDISVLEILKLDVFSSVYVPVQPTSPIRSEVDVKLGGTRCNLVMGRLKPWMQLLTSKKKKMVLREETPIPERPESTKLKDIMWTCTLSAPEMTIVLYNLAGLAVYHGCSQSSHVFANNISNTGTSVHMELGELNFLMADEYQESLRESLFGVETNTSSLMHIAKISLDWGKKDVDPIKEASIKSKLVLSVDVTGTGVNLTLKRVESLISIAFGFKALLKSLSNSGKNLVQSRGHSRKSSGKGTRIMKFNLDRCSVVFRSDFSVESMVVADPKRVNYGSQGGRVVMCESADGTPRTAKIMSTSSDGYKSVNYSVSLDIFHFSLCMNEECVTKEKPSVQIDLDLERARSLYQEHMEDNSLDTKVTLLDMLNAKLVRKSGNFKEMRSVNFKEIAVCSFFSATEIAARWDPDVHIAIFELMLRLKQLMHNQKIQEHDSGLMQESVLARDVENKKLTSTDVEIEKQKKKKESIIAIHVVMLSLSAEAGDGVDAMVQVQSIFSENARIGVLFEGLVFKFNDARILKSSRMQVSRVPKASSSAYNTKSEVAATWDWVVQAFDVHVCMPFRVQLRAIDDSVEEMLRALKLITAAKSKILGPRKNESVKPKKASSSKFGCVRFSIRKLIAEIEEEPLQGWLDEHYQLMKNEARELAVRLNFLDEVISRRSQSPGAAETSNPTCDGKTQFKGEKIDLQDASAIQKLREEIHKQSFHSYYQACQRLETSEASGACKVGFQAGFKPSTSRTSLFSISATNLELTLTKFEGGESGMIEHLQKLDPVCLKYNIPFSRLYGSNILLHTESLVVQIRNYTYPLLAATSGRCEGRVILAQQATCFQPQITQDVFIGRWRKVSMLRSASGTTPPMKTYLDLPLHFQKGDISFGVGFEPSFADISYAFTVALRRANLSVRNPNASNVQPPKKEKSLPWWDEMRNYIHGNTSLYFSETRWNILATTDPYEKMEKLQIVSGLMELHQSDGRVYVSAKDFKILLSSLESLLNNCGLKPPSGTPVAFIEAPDFSLEVTMDWECESGDPLNHFLFALPDEGAAREYIYDPFRSTSLSLRWNLSLRPSSQSCENQSRSPTLGESTVFLGAANGSVNKSETVSVDSPTINVGPHDLAWILKFWNLNYIPPHKLRYFSRWPRFGIPRFARSGNLSLDKVMTEFMFRIDSTPTCLRHMPLDDDDPAKGLTIKMSKLKYELCYSRGKQNYTFECKRDLLDLVYQGLDLHTPKVYINKEDCPSVAKLVKLTRKSSQSSHIEKDSSERHRDNGFLLESDYFTIRKQSRKADPARLLAWQEAGRRNLETTYVRSEFENGSDSDEHTRSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSWVGGISKAFQPPKPSPSRQYALRKLAEKEKNPEMHVPDESKSPSDSQDVCSTSPKPVEEPTGSHSSPLDSATVEKQTLDTVVKELVLTREGIFDSEEEGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLQVGFEMIEQALQSSDVHKFVSQPEMTWNRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPRIRRSSPKVKRTGALLERVFMPCDMYLRFETLYSMVKPLKELAFNSHNIIATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSFPSEDVEDVEEEADEVVPDGVEEVELARVNLEQKERMQKLLLDDIRKLSVRNNVTVDLNLEKDGDLWMITGGRDALVQRLKKELGSARKSRKAASASLRVALQKAAHQRLMEKEKNKSPSYAMRISMQLNKVVWGMLVDGKSFAEAEINDMTKYYET >KZM88671 pep chromosome:ASM162521v1:7:30190714:30195094:-1 gene:DCAR_025746 transcript:KZM88671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKTWSWKRKSAEKTNTGSNEQKNSLTGNREQTLLNDREELQKELTTLNEKLSFVLIECNRKDELAKHQTEAAKEAIAGREKAEAEARLLKQEAEKAIKQRLACEDRLVSMDASLKECMQQLRFVREEQEKRIYDAIMKTSREYEEMIIVLEERAWSDANSSTLMTKLECIEKENASLKYELRVVERELDIRNEEKEFNRRTAEVTHKKHLESAKKIVKLESECQRLRLLVRKRLPGPAALAKMKFEVDMLSKDPAETRSRKSTASTFSMDWAVNGPDTPTKRINFLAKQLSEVKEENKTLKEALIAQTNNLQLSNDIFDSSTSKFSEVEAQLDESAEDLTVMGLIGNVPPMHELSVASMPDMDSDGKLSSVESVASGFISKMEPLSNCKREAPSSLVVDSGISLMDDFVEMEKLAVVTTDKSFGSSHVTPDEVTKDGGPFVAVSSGCISEATGIEIVPTDPSCDPSVFEKVIKSGKTSIYSPVWVDDIVKVVLEQSCVNQKSPDAILEVIKVALARSNPSEPCGSVGKSLSYGDAIGSNSVELPDKSFINMHSADRLFVDNIAFTDKSNQQSQLDLSESVRKIIKLVEGSTFASSRHNTADILNVVADCSNSSKVSETPTGYMVRVFQWKESDLSAVLQKFVQICNGILNGNTDVQNFIEELTSSLEWIMNHCFSIQDVSSMRDAIKEHFDWDGSQSENDMEDEMISHFPKAHNLHAPVASDWKCRDNILHMEDDLSITNFREESRFQSNVSTKESLRIQSLESEKAIASLQVELEDLRQSKKYIEDQFRILKSVVEDLDTQLTAARGETSECREKLSLLEDELDNKNMVCEELEASCLDLQLQLESMKQNETLRYDQNFEERQLQNDLEITSASEKLAECQETILNLGRQLKAMGSPADASKVTVASNAMTTIGCKKKNSKRTSLLDKLLAEDSAGRRYPESPIVKEVLCTPNSPTVVDSTSGPTFALKHTIKSSDTSLKTNNIRHQDVEAAGNNSFAIIRSKDISSRGLWKNMLWKLKKSHSKKGPSEMLQNTRT >KZM89316 pep chromosome:ASM162521v1:7:35922269:35923305:1 gene:DCAR_026391 transcript:KZM89316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIQVSENRQLTQNYEHSFREINVKVLTFGLRDLEEKDVKAPNLYERAKEEVEAVAHRDGSPHHHKETHGTSDDIDETTPVEAVKGPGVFQRAKEEIQAVAEAIVEIVHPKK >KZM88570 pep chromosome:ASM162521v1:7:29293116:29296040:1 gene:DCAR_025645 transcript:KZM88570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGNNEAFVIKWDTRNHCLAPPPPPQPPVTQPTRLYEAAVAPPPAFSVGRENREVSGLVELFQAYGIRYYTAAKIAELGFTVNTLLDMRDEELDEMMNSLSQIFRWDLLVGERYGIKASVRAERRRLDDEDDSRRLRQFHDTALDALSQEGLSEEHVQQEKEAAASGGGGTWEAAASGKKQQRRKKAKSKISSMEDDEADSDRADHTKDDNVATVERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLVHVQSIAKEKGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEASNALRRLYKERGENVGAWRQACYKPLVAIAAQKGWDIDLIFNTHPRLSIWYVPTKLRQLCHAERSCVAASAAATTGTHHLTF >KZM89225 pep chromosome:ASM162521v1:7:35122723:35123343:1 gene:DCAR_026300 transcript:KZM89225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLDGVYWNGCMHWLADGVSGLNLPESSISDCLYFNMDEERLETFPRPPIRVASLRRSLYFGASEDHLHVVEAYRYATSLSVYEMKSDYSEWFVKYRIDLDPISKVFPEMTEHEFLSRKKSDYAVGVLSLIRRESFQEDSFLVLEIPGKAIRYNLVDKSSKVLWDFSVDFSLAKVLRWRFGALRVWQYIESVPCVYARRAAGTSA >KZM86167 pep chromosome:ASM162521v1:7:269591:271819:-1 gene:DCAR_023301 transcript:KZM86167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLTSASVDDLNVDVLVNYCSQHVHGFPQAPTHLTISQFGHGQSNPTYLLEASLGGSSVKRYVLRKKPAGKLLQSAHAVEREFQVLNALGAYTLVPVPKVFCLCTNSAVIGTPFYIMEYLEGRIFIDPTLPGLAPIRKRAIYSATAKALASLHSADVDAIGLGKYGRRDNYCKRQVDRWAKQYLASTGEGKSPRNPKMLELVNWLQQHIPSEDSASRKASLVHGDFRIDNLVFHPIEDKVIGILDWELSTLGNQMCDVAYSTLHYLVDFDLDKVHQHKGIEATGIPQGIPLLSEYLADYCSASVSARPITFG >KZM86223 pep chromosome:ASM162521v1:7:737633:739531:1 gene:DCAR_023357 transcript:KZM86223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLDDLDAPNQGPSRRARFAPKNSKFKPKPKAEQSNSDSILPVKQESKSDSIAIVKAEIEDVVKIDDELKLDPMLLDTTEYPIKEDLISVGEDPMELDCVGGEDDVVREIDVYFAPSVDVNSKLYVMQYPLKPCWRPYELDDQCEEVRVKPDSSQIEIDLAVDVDSKNYDSSSGVRMSKQVLASSGNLPCRSGYAVGVLVGNKLYLNPVNGAVQLRPSLKHMNDGEEKKVARKVKSENVEDLGEKDDWIRLKYNGSKSDASRQYLHKMMVEERDEIRFLMSTGEYVDSFCPRMLNKKTGPTRSELLKLPLEDRIKTWICETGPVHRYNVLKYLAAGDSEEDVLVLVKKLCCLVQGLWVPKSSLLKLEGVEALVRDYALYLFSKNQKINFGDIPKKMKLKSAMEDVLKVFAIRRPDLKDWKFKEPQDVSFVRKHPDIVDEQDKAWEVRGEKLYIQIYGKDPPKMDMTAKVVPKLNANKKVAEASPQTLPVKSVISDKAREVLLKAIEKLLHTHKVCNFQRLGQLLRDTSLEVPPPPERELREIIKEVAIDIHGVFVSKTSPDHSYEGLRRTVIDLLIVEGPNAKLRKAAIIEAGKIRLKRADIPTVEYNKVMQELCVSRGSVWTLKSGDGD >KZM86780 pep chromosome:ASM162521v1:7:6814248:6815142:1 gene:DCAR_023914 transcript:KZM86780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSCLSYQVEKLSAEDSWALFKQRAFAHGGVLESETFVSLGRNMVERCGGLPLAVKIRGFIALKEVRGRMVADPKQLNLEIQSCKMHDLVHDLALDISKHQSVTVNAGHQLNHISGETYLRLDEGVLDVKPSIPKKNFNRVQILFAGDRVFSSVLPYLKHLTVLVLNTNEVSNEFPSYLRKMKYLKHLDISCFRSRFPSFIMELYNLQI >KZM87508 pep chromosome:ASM162521v1:7:17553577:17553765:-1 gene:DCAR_024642 transcript:KZM87508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVNFMKNGVLRLLPGFRFHPTDQELVLDYLKRKVFSFPLPASIIPEVDLCKSDPWDLPGI >KZM89355 pep chromosome:ASM162521v1:7:36246447:36248016:-1 gene:DCAR_026430 transcript:KZM89355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPNMIQGSSKVQSDSTPEILSCESEASYATRFTPGMSGRQLFSGSHSEQFLKCDSKMGLGEHWDSFNYSNFLDSDWHSSSGNSCEEELYERSSLITSPDAGLSSESIVNKLEVGTNTSESGSIMHGKGMIPTDLDNDDAHSSNILTEFSDTFVNLLQRCCSF >KZM88187 pep chromosome:ASM162521v1:7:25295315:25299228:1 gene:DCAR_025262 transcript:KZM88187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFMQSYGSHVQALWLRFPVGMRECITSLRLQASTNQELDQHIPKFNLPSKILCRVVNVQLLAELDTDEVYAQITLHPEVEQAEPTSPDPCIADPPKPPIHSFVKILTASDTSTHGGFSIFRKHANECLPPLDVTQATPTQDLVAKDLHGFEWRFKHVFRGQPRRHLLTTGWSTFVSSKRLIAGDSFVFLRDDGGEMRVGIHRLAQHQSPMPPSVISSQNMHLGVLATASHAITTRTLFVVYYKPRTSQFIVRLNKYMEAVAYGFSLGMRFKMKFEGEDSPERRFSGTIVGVENLSPQWSDSKWRSLKIQWDEPASIHRPLRVSAWDIEPFVASKSSDLALAMKIKRPRPVGNHLSDTTAWYAATPFWYAGSNTTVEQSSLGGTTEFEYIAKQVVWPPKKKEQHDNFINHFSRGLTDESWKDSVNLFRESAQDKPYVTTPPVMPEYDSPASSRAHNGLVHDQVEQVRRTETSASCRLFGIDLRNSNSIQSPTKKVTDSFIATDGGLQASSDTLLEVDRARNLDLRKVKEQVLAEAPKKETDSKHGSNTSKRTRTKVQMQGIAVGRAVDLALVEGYDDLITELERMFEIKGELKERKNWQVVYTDREGDMMLVGDDPWLEFCGIAKKIFIYTTEEVKKMSGNCKLPGSASDGEEKIVSIESETKCEV >KZM89134 pep chromosome:ASM162521v1:7:34214308:34214733:1 gene:DCAR_026209 transcript:KZM89134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASPSPLSLLLASISSLHLSQSLPPPHLLTLPKPPLNAPTPQTLNHQPPITPLSSQHDLINVVCPGLANANTLFFKSAYNLQVIVGEHEPEEKLIGRFRREVFRAGIIQECKRRRYFETNQEKRKRKTRDAARRNRKR >KZM86733 pep chromosome:ASM162521v1:7:6282263:6283772:-1 gene:DCAR_023867 transcript:KZM86733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKIMLTTGIKAEILTFCLTDLLLSALHGLKFLEVQISMEIEFMKDESFSPEELDAFVSLLQLAAQNKFLEQRRRGSPGQMPSKGKSISSLEAMGIKIYGVDEPNMGESKSEISWENIAGYSQQKRFVLVDHGKALSYVTKAWVCFMVGTLIWFVKTLFVKVLASSFHVSSFLDRIQDALFDQYVIESLSGSPLVEHHLPKASRRGSDHGGGSSLAECWRYCTSGVLVF >KZM88110 pep chromosome:ASM162521v1:7:24605990:24607929:-1 gene:DCAR_025185 transcript:KZM88110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRNAMSLSGSPPYYMQREISASVSGQGPGTEPGFLFSPDDHFQTNMGSTSFGSTLQVDSSFTTSPHGVNVGAPAAVAVPEPLRRRRGRPRKYGPEGVASLGLSPSSSSSLSKLMPFQKRGRGRPAGSGRKQQQLAPLGAWLSGTAGMGFTPHVITVAVGEDITAKIMSFLQQVSRAICILSATGVISTVTLRQPSTSGGTLTYEGRFEILCLSGSYLHSDVGSSRGQTGGLSISLANPDGGVIGGGVGGVLIAASPVQVLQMLRCMVF >KZM86587 pep chromosome:ASM162521v1:7:4639742:4644542:1 gene:DCAR_023721 transcript:KZM86587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRIATFVSLSKTPTFLSPRTHLSTSAPHYKPRNFRVFNAKQAWFRNNEQQGVIYTDDLSEDGEVYKSTLRLVECSMFAALGGLSYILSSSLAIENYFGCFFALPIVFSSIRWGLAAGRKTMVATAILLFVLAGPLKALTYLLMYGLLGLTMGSLWRLRASWGISVCLTAVARALGATCYVVMYSFLIRENILSLITINIHASLTYILTALGFITIPSMNTIYAIFGILLLMNCGFFVFLLHLLYSVFFTRLGMKESLRLPGWLDKAI >KZM88544 pep chromosome:ASM162521v1:7:29073953:29081000:1 gene:DCAR_025619 transcript:KZM88544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDSTPPPPLTSDDHPSTTTSNPRPPDYATSISNLRSFATALSTFQRRYDELQQHLDFIQTTIHSQIPDPSIIPPFIPPTPPSPIAINLDKNPKLLSNSDVEPKIKIEADIRVATTIPDKKQAVVEVSESKPEIKLPDSGLEHKSDDKATDCGEKSDVGVIMDDTQSELLRLCSKTMCGRSLRRYIVTHISDGDRLREEVPKALKCAANPAKLVLECVGKFYLQGSRAFNKSSLMLAGRDASIITLECFLLMERDGVVIDNGVKEEAHNAAVAWRKRLRGEGGLYKACEADARGLLFLIGGYGIPKSFEVEDIKDLTRACKLQDISGALRRSRYLLARIPELIEQMLKYKREVEALNIVYTFDVKDKYPPQTILKSFLSKSDEILKRKRTEAADLSTLVIKDKKHLDDLKSLLRCWKNHKIDPSKTFPDLDINEKISSLEREITVLDKKVREDVANKKKEKEVESSKRSKTQDAKRPRFPGHDLPLQGAVGQSDDNNSVDKLTQMNYNGGLPEHYGYSASPSQRHESGVRDIHATRMLHEYSDVTMVQPRTDRVGLLHEYAASTRFGSGGGVVAADETEGGYISRVPYATSHLGMHSNVNPYTGQLFGRHMDALSNDRYASQTYAGKPLYSGYNDLHRPSPALEGFAGLPSYPKAGSSIRSSGSDLYHFADSVLESELKQKSGAPSGAQLRRG >KZM86717 pep chromosome:ASM162521v1:7:6161732:6173473:1 gene:DCAR_023851 transcript:KZM86717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETLGIRNRDMNGDPGTYNNTVSVNRCRRPGSETLPKGIVTETSNFERRPLWGLPKNKPASNLFSAAVGIKQKEMVNEMVQKFLASNFVVMLFHYDGVVDEWKEFDWSNDVIHVSAMNQTKWWFAKRFLHPDVVADYDYIFLWDEDLGVEDFNPERYVSIITKEGLEISQPALDPKKSEVHHQITARGWRTDVHRRIYKPGDNNSRCDEKSTKPPCTGWIEVMAPVFSTTAWRCVWHMMQNDFVHAWGLDMQLGYCAQGDRTKNVGVVDAEYIVHHGIPTLGVSKDKVRRQSYNEYKIFRRRWIKAAAEDQCWVDLYPGSELPQLLS >KZM87434 pep chromosome:ASM162521v1:7:16377234:16379242:1 gene:DCAR_024568 transcript:KZM87434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVNDGFKGDSKKRRKEPMFELLPRVQLFCMKRVRRKSTRVAPLARMLFSGKELT >KZM87776 pep chromosome:ASM162521v1:7:20297143:20302683:1 gene:DCAR_024877 transcript:KZM87776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGGRSESGFNASNNAEQVTEGIDGTALTAIVTGATNGIGKETARVLALRHVHVVMGVRNVNAGEKLKEELLQQIPYARIEVMEIDLNSLDSVREFASQYISSGLPLNILINNAGILAPFKFTLSRDNIEQTFAVNHLGQFLLTNLLMETMKKTASRSRTEGRIINVSSFMHRYGVHKEGIRFEEINDEKSYSSSALGRSAYGLSKLCNILHTNELARRFKYQKEAMWRFGGKGESGFCAGNTAEQVTDGIDGSAQTAIITGATNGIGKETARVLALRHVHVVMGVRNVNAGKILKDNLLQEIPYARIDVMEIDLNSLDSVREFASQYISSNLPLNILINNAGILAPPKFTLSKDNIEQTFAVNHLGQFLLTNLLLETMNKTAQKTRTEGRIINVSSDMHRYSVHKEGIRFETINDEKSYNSSAMGKSAYGLSKLCNILHTNELARRLKEEGVDITANSLHPGLIATNIFAPNSGPGYWLVKTIAKYTSKNIEQGASTTCYVALHPKVKGISGEYFVDNNKVEASTLARDSDLAKKLWDYSLSFTEAKH >KZM88497 pep chromosome:ASM162521v1:7:28355960:28359165:-1 gene:DCAR_025572 transcript:KZM88497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVTDQRGDLDDLQEDSPTTTNLNDADDEHQRSLLPWSHGYFAYCDKRLNYKGSVAIQVSMQDMHRKWQACGRWLLKINNHVGSYMNDRPFASVKISGTGFVTLNNMLLIMYTRFWWETRLTWMKAKGYRQYHTQMHLVISWFEQSTGVGFVKLYTRHSLASSDHFQENSGVSSKSLGKEDSQGGKMEGSRLKFIDNHLKQQKFLGSWE >KZM89033 pep chromosome:ASM162521v1:7:33231691:33236698:-1 gene:DCAR_026108 transcript:KZM89033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKALYSFDSLEDIEDSRTDWKVRVKSQSIWKGINRKTGEFKGYNIVFFDDYSTRVHAFISSQIVPQFEDLLTEEQMYLITNFKVLFYNGDETNRPVRTEKHIYFTSDTVMVKETVSGLKFPNYSFDMRNLEEMEVMKKDNRFLIDVVAVVESVQEKAVYMKDAVEKSHVAFTISDGRYRQPGFYVMDIEEEEEVLELPQMKIKELRDLNESFVQVPEVKKVTCQVTLKRFDEKQNWYSAYCIKCEKDLEMVDGNYNCCGRNYPYPDKRFRLYGLCSDETGTVPIVWPDEEISRLTGKTVYDVDADDDEVDVKNKIPDILKSLEKKQYRLDILLTEENVKQGSNVYNATKISKPLEITDNHDPNPNVHVVNEQTEITNDISKEPTSNINSPATEKSTNKTKSRLKADIIETPIKKPDLKKVKLEKMSTNACDSLRNINSSRADWKVKARVIRQWRGSTNSGIVFKSYNILLLDAKNCRMHVFIPAAIADKMSRIIEEGKIYLIKNFTVKDFTEKDNYRVVHMDKQISFTTETRVKELDDSEIFIPTNNFDLFQFSDLKSQATQDVYLTDVIGIIKSKEEISRIPNKQVKVKFVITDGSKNVNVTFWKSFAEEFEQAMSEELQQPVIIIIASARVTQYKDQIDLCNYSPTKYYLNYEHHSVGKMRKLLEDPNFSNSNLGRIKKEVTTFNIDQTSAKTTTRFHITTVVADNTGELKVVLKDREIRNLIHKYVEEVDSEDDSFPEAVRSIQGMQCSFQLFVTANNVEEKSSKFMATKIIKGFNTEEDVAEQEQTLETMENLGSQEWTCG >KZM87843 pep chromosome:ASM162521v1:7:21076467:21088526:-1 gene:DCAR_024944 transcript:KZM87843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVSDKTSSKGVRIVVAGDKGTGKSSLIVTAVADTFPANVPPVLPPTSLPVDIYPEKVPVTIIDTASRAETRGKLAEELKKADAVVLTYACDKPSTLDRISTFWLPELRRLEVRVPVIVVGCKLDLRDEQHAVSLEQVMSPIMQQFREIETCIECSALNHIQIPEVFYYSQKAVLHPTAPLFDQEAQTLKPRCIRALKRIFIICDQDKDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQEKLPEGVNDHGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLDADQLPPPIKKIPDQTVELTDEAIDFLKETFATYDTDSDGSLRTVELEDIFSTAPESYGMTAWYISLHVAINMDPSKYPGPWSEAPYEDAVERTALGGLSLDGFLSEWALMTVRDPIYSVENLIYIGYAGDPSSAIRVTRRRRIDRKKKQSDRNVFQCFVFGPKEAGKSALLNSLIGRPFSDGYVPTTEEHFAVNTVDQPAGKKTLIMREIPEELVGQLLSTKDALAACDIAVFVYDSSYEQSWRRTTELLIKVASHGETTGYEVPCLIVAAKDDLEPHMDALQDSTRVSQNMGIDAPIPISTKLGDYNNVFRRITAAAEHPHLSIPETDAGKSRKQYHRIINRSLVFVSVGAAVTLAGLAAYRIYAARKNSS >KZM88800 pep chromosome:ASM162521v1:7:31397041:31397529:1 gene:DCAR_025875 transcript:KZM88800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKTIPAEYFSTHNKLPFLPTPTITTFILLLSIFSVVTFLCASHNFTGSRQRKGELKTIRLGGGEEKKGLSKLQSKITSKAQLMARMVSWKKIQDTEKERHQESGYSDEDDNAEEEAVWKRTIIMGGKCRPLEFSAEIAYDSHGNPILHSMSYEKKNSVGK >KZM88183 pep chromosome:ASM162521v1:7:25246216:25248204:-1 gene:DCAR_025258 transcript:KZM88183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTMWTEEDKAMAVEVLGKGAFDYLISSSVSAECSFTEVENDESLQTKLSDLVDCPNLSGFSWNYAIYWQISRSMSGDLVLGWGDGSCRELREEEESEVKGILSSRHDDESQQRMRKNVLQKLHSLFGGSEDECYASGLDRVTDIEMFFLVSMYFSFPIGKGAPGKCFGSRKHVWILDALKLSNNYCFRSVLARNAGTQTLVLIPTDVGVVELGSVRSLPESVELVQSITSAFSSPPSISKPQPQTARPVTRENGENRQFFDGGIPERQRITKIFGQEFNSNRSEFRPKLAVRKADERSSDEYLNKNKLPSPSTRTCFNAQNLANFNNGKQGETVEIYSPQTPTNSILKGGVPDHFDFKQFDSQRPAPRQIEFSRAKTIPSWPVIIESEPSDVNPVCKEEQATLADGKSHRKRGRKPANGEGPLNHVEAERQRRERLNQRFYALRAVVPNISKMDKASLLGDAIAYISELQKKVKGLESISSTSRDSSSSDAKSNLEYQTQVSHVEITAADKLVNVRVTCPLESHPVSRVIEALREAQFGVIESKFTAANDMVFHTFVIKMEGTEQLTKERLLAAFSHQASSSQPLSSVGLSSDFNTNETLNGTSAA >KZM88455 pep chromosome:ASM162521v1:7:28066116:28067597:1 gene:DCAR_025530 transcript:KZM88455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNYSRISSSFVESQLHDGDLNSGDRDSKDAAFTKLVASDQELGLVKSKSLNIDDDIDDDLDFDNVPFVASGSRTTGSGLYGAVFNLTTTVVGAGIMALPATMKVLGLFVGLVFIILMGILSEVSIEFLVRFTVHYKATSYGEVVEHALGKRGRICSEIFIILNNAGMLIVYLIIMGDVMSGSLHHLGIFDQLLGNGVWDHRKMLILVVLVIFLAPLCALDKIDSLSLTSAASVALAVIFVLVAFVVAFIKLVEGKIEAPRFTPEFGSTKAILDLLVVIPIMSNAYVCHFNVQPIYNELEGRSPEKMNRVGRITTALCVFVYASTAMAGYLLFGEDTESDVLTNFDKDLGIRFSTALNYVVRVGYILHLLLVFPVIHFSLRQTVDTLLFKGSPPLTESRKRCLILTGVLLAFIYFGSTMIPNIWTAFKFTGATTAVSLGYTFPALVALRLGKQGAGLSLNEKLLSWLMLILAILVSIFGVFGNIYSINSKSE >KZM88799 pep chromosome:ASM162521v1:7:31393998:31394462:-1 gene:DCAR_025874 transcript:KZM88799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLIFIVLFSPVIITCGATDTPLVKHDQQAETIPVLPDPSIKCGECPCGNPCSQQYSPPPPPPPSPPPPPPPALLPPKQYCPPNAPPSPRFIYFTGPPPPRFIYFAGPPGGDLYPIENHPFNMVVYGGSGRHFVDKGLLLLLLCSGVVKLFFW >KZM86881 pep chromosome:ASM162521v1:7:7934110:7935249:-1 gene:DCAR_024015 transcript:KZM86881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQNRFVELPEDLQSKIFLRLSVKCVLICKCVCKSFCTIIGSPDFINAHLSFPRPITTETSQSLLLGFIDISRIPFVQGHNSLLSIDDDREILSSSPLNPTIPYVGALSLVGSCNGLICFDVRNGDFTSESNFLLWNPVTRQTRYLPRFENRRKCDFPITEFGFIRETNEYMVVNVSKDRDAQDIDIELYKMSTNSWSINHNTTVGRLIPFFGRGFAINHGKTSVYSNGCLHWGTIYSRGAYILSFNLKNEELEMIKAVDHNRDSYFNCWTLAVVNEDLAMIYWKFTGEFELWVMTDYGVESSWGLKFSFGPCSSLYPLGYWEYDLMIVLLESDYCLLDLNNGEPERIINMSSCSIGRFCSFEESLAPVRYANVLYPS >KZM86229 pep chromosome:ASM162521v1:7:781588:783462:-1 gene:DCAR_023363 transcript:KZM86229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHHHLPAHKDHENVNRKLKWSSEHSRTPKKIARKILDSVLTTVSEDVPIESAKDLIGTSSSCEVLDEIQLNESPESSMNSSSAAAVVSPSVASTPSDLSGLNSTICSDASSIASDFSPLPSAITYNMVQANNVKLNHNTADSGHTMTRESLKADIFARKFRQAGTQSFKPNDMILLSDNVMEVLLRAVIEDLNNLPEKNDRFTATVENKVYIVLKKVFSAILTLMLVIIVVGAFVFSAGDGGSYHGLVPT >KZM89046 pep chromosome:ASM162521v1:7:33331766:33334807:-1 gene:DCAR_026121 transcript:KZM89046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGLTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENILKKWIPELQHFAPGVPVVLAGTKLDLREDKHYLADHPGLVPVTTAQGEELRKQIGAAYYVECSSKTQQKCPQEDHMSQVTAWLLATQFSVPPPLAIYTNI >KZM88429 pep chromosome:ASM162521v1:7:27818215:27818548:-1 gene:DCAR_025504 transcript:KZM88429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELIDYEEEDEKAPDSATAKPGGEAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKI >KZM88330 pep chromosome:ASM162521v1:7:26866034:26869195:-1 gene:DCAR_025405 transcript:KZM88330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISTPHLPTSSSFPSRLFFMRPAQPNNSTNDDDDNTGNGAECYACTQVGIPAFHSTSCDRANQPQWEASAGSSLVPISSRLDLKPTAAERRRRQDSGPFGRVLDPRSKRVQKWNRVFLLARGMALAIDPLFFYALSIGRSGSPCLYMNGGLAAIVTVLRTCVDAVHLFHLWLQFRLAYVSRESLVVGCGKLVWDSRAIADHYVHSLKGFWFDIFVILPVPQAIFWLVVPKLIREEKIKLIMTILLLMFLFQFLPKVYHCLCLMRRMQKVTGYLFGTIWWGFALNLIAYFIASHVAGGCWYVLAIQRVASCLTQQCASRASCNLSLSCSQEVCYQFFQSTDTSGYPCSGNSTMMVNIPLCLDANGPFRHGIYQWALPVISSNSITVKILYPIFWGLMTLSTFGNDLEPTSNWLEVVFSIVIVLSGLMLFTLLIGNIQVFLHAVMAKKRKMQLRIRDMEWWMKRRQLPSQLRQRVRHYERQKWAAMGGDDEMELVKDLPEGLRRDIKRYLCLDLIKKVPLFETLDGLILDNICDRVVPLVFSKDEKIIREGDPVPRIMFIVRGRVKSSQNLSKGVVATSILEPGGFLGDELLSWCLRRPFVDRLPSSSATFTCIESTEAFALSANNLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQLGWRRYMTRTRPPMNHVTVNGGGSDSGRDSEQRLRQYAAMFLSLRPHDHLE >KZM89061 pep chromosome:ASM162521v1:7:33461563:33515467:-1 gene:DCAR_026136 transcript:KZM89061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPTSRDIEEIVSKLSSDTSKAREEGVKLLSTWLEGERSFGFCEYIGKHTAALKPDEVPHAKTWPFLVTLLIRCVTVEVNSTKKSSTKRPSTKKSLPKPSFAKILRVVLQRAENVKFPGSLSILPVAVTQLLFNHILDVLKDVPSFHLEYGALLRHLLASRHYRFHIGRKVYGRLVLLYMEKVETGLGSDDIGQTKTTEEVVRSVQTLHSLLDNPPGDFPDKLQNDIVKGFVRIFLNVRNESKISRKLIDCINTYLLKDGPNLGCQSLEIHHAVQPFIFQCWSTIHDCGDSLILYARLQLNLTRGAADESLLLEQLMDVLGKELDQTHTSSTSALRCGTTRDHKLGNLTTSQHGLLDLAALVFYRACVNTTKAPAAEKRVRREHPEVILKGRLMKGKWLWNATFCYIIRNYRRRLSKDLLVSCFKGLCVSIERIINDATKEHAYDDLLWTLRYAAVMEFTGPLYGVACTKCGMGYFVKVIFHHAAIILLGNIISHVLPDTFTLSEDIWDLRLFKVSPSPSLLCFISCYFSQRGSQGDLRDALHLRQNLLRTTLSVLTWKNTKIFNERMVIYLPAACFALCSGSASFLQCDRDLAIPNFFLDALEMEGELSMAENRDHTICYELYACAPEVLAEINIVSGSKAGQLRPYQSVRLPRQIRDPLLHELEDCILEAVVNEKIENLLLSDVFFISALLSNIMYSSHMTRISKEVSPFLSKIGFYLSDLLECAVSMIQEYFNDIMHKYDSKSSIDRVKSILVSFRSLTSSPLFGIWTVHNVIDVKTRTSINESFKRLLKALAELYDRSISCSDDLQSDIDVPDSSKTYAPDSSQAKSRSKIVDMDLDGDDDGNDIEGSSPASAANLKLHFVSLISNFFAVVPVAAWDVMFNLMEKETDPRALESLLTNLCQHPYWSSDKKLLNLVVSMTGMVDMLEDLKLECLNVVVAISQLLQTLQLSLSTSEKDGNISFNSFISLGDLLRKLAEYDLLDWVGRVKLVDCICNYILLKPQIGQTMVEKLLVMLQDPDYRVRLNLARRIGVLFQTWDGHDELFRDICSNFGVKLVSSKERLVTAAEVIKAGPYAPQKMETIIITLMHLALHSEKMELEAVFIMCAIAAIDPCQRELIGAVLDNLSKTLEYTTRSKYVEELIAHILFSWVACGVSLAALIETRGLFVWNVEPINFIQYCCPWLLPALMVHGDTVNLNWVAKVARKSSADLVRIHFVPIFSVCMALHCSKNSGWESGAALIQSSILSIAEMSESERDQLIKKHMVSIVSNILSLASCASNPALPSFSKDTVVDAIRTVVDGFLETEQCARDFGVLDKINIFRPDRVFMFIVELHYKVTAAVHPRHKSNRLAGIEVLLIILGKRASVSSTSRQVYTEKHLYLFDSDHYLFNMVGQFISCHAIQDQCCSIISTMLKISSPAHKDITRMLGEQLQFLVSKLVECCIPSGTSDQFSTSQSSQVMSLLHQLTVESDPSLHDYIRRLISSLLMNALFQELQPFPEIDLFDTIRSFHQKLSQNYSPRDHLLKVFLNSLQALHRKLFMGYGTNSGIYDETYWHSDNEIARAVWTLVHISSLDYANSFGDLVSDFISKVGIGDPHRVVFHLPGESSRVHVFGQLNCDTSSGKIFQNEPGISEELLKSVLRLLRKYLMDDSVDIIDMTSQVLRGILSTERGQQALRSFDSNERSLIEVHSKGVNLELVQKSVLELDRKFDADEISVKKSDIWKTHGKSFESWICSLVHALIGYCDDIILRLCQDLVLQKAEVAEILFPNVMVNLAGRKNLDFDLCKLISSKVLENIFVESNVMVKSIQVMLNALNEVRLCHVMERTGSSLVPSKRDSLKGTDKPSSYNSRSRSSVKLKDSAATSTDLVISSSLWEKVYWLAIDYLVVAKSAISCGSYFTAVLYVEHWCEENFKCLTLGSPDFSDLELLPHHIEILVTAVTQINEPDSLYGIVQSCKLSSQIITFEHEGNWSKALEYYDLQVRSEPSVPSTKSLPETSHQRDNCSFPLTEDETKIRKPYKGLIRSLQQLGCTHVLDLYSQGLTSRKGRFQHDFEFTELQYEAAWRAGNWDFSLLYDAALYCNSSPQTRSDHFNENLHSCFRALQEGDVKDFHLKLKDSKQELLFSIYHASEESTEYIYAAVVKLQIFHHLGMAWGLRWRSSLSDEMEYSTEKQKLSLEPVIPTTDQLSWLNVEWSCILQGSQYHMNLLEPYIAFRRVLLQVLSCTDCSVQHLLESASTLRKGARLSQAAAALHEFKSLCAGNGITQNNLYLIGRIEEAKLLRAQGQHEMAINLAKYISQNYPSNAGASDVYRLVGKWLAETRSSNSRTILEKYLKHAVTLAEDHMTTDKKSMGRKSQTHFHLAHYTDALFRNCEEILNSNEWQAALRLRKHKTRELEALIRRLKSSSKQDKDNFLSIALEGYKRCLVIGDKYDIRVVFRLISLWFSLSTKPNVVREMLSTINEVQSYKFIPLVYQIASRMGGPKDGQGAQSFQFALVSLLKKMAIDHPYHTVFQLLALANGDRIKDKQRSRNSFVVDMDKKYAAENLLNELSSYHGAVIRQMKQMVDMYIKLAELETKKEDTNKKVTLPRDIRSIRQLELVPVVTSTFPIDHSCQYPEGSFAHFKGLADSVTVMNGINAPKVVECLGSDGNKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLRIRTYKVVPFTPSAGVLEWVNGTVPLGEYLIGSMRSGGAHGRYGAGDWTFLKCRHHMATARKAFQEVCKNFRPVMHYFFLERFLHPSDWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGITGVEGVFRRCCEETLSVMRTNKEALLTILEVFMHDPLYKWALSPLKALQRQKETYDDLETSLEDSQDEYEGNKDATRALLRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPDRLCHMFPGWGSWM >KZM86203 pep chromosome:ASM162521v1:7:583271:594582:1 gene:DCAR_023337 transcript:KZM86203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKSRWLRPNEIHAILCNHKYFTIYVKPINLPPGGMMVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEEKIHVYYAHGEDNPTFVRRCYWLLDKKLENIVLVHYRDTQESQGSPSTPVNSNSCSILSEPSVSQLLSEESDSVVDQVYYTSEKAYLDPNESIQDHEYRIHEINTLEWDELVVPEDPNKSITTEAGIMSGFEQQNQYESSCYTTSHPIEISDTSDVPQHSQSGSSEHLSDSAQSRSRDRTPIPAPAPTPAPETSSQPRWPRRSVSAIRDFPPGCGPSNSAPRAHPTTSARRAHPTASAPPTSPLPETPAPVPYHVYRAMVLDRDYLYARNLDLARMLDQSVASSSLGYISPSDDVRSRVRALYDVALQQATNLDAYSAEEARDHLATLVNWLIPQLQFLGGTP >KZM88754 pep chromosome:ASM162521v1:7:31025443:31026715:-1 gene:DCAR_025829 transcript:KZM88754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSSDEDAILKSYIQEKGVGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIRHGGFSNEEDDIICALYMAIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKQRKEAQEAARRSNTTNYATNIMIKSENNYMIPEATTHQYSYWPQHQLPMDSQLPAQLQNNLMNTSTSDLRIHDTISPIPFGEQLYDNSEYTNITSDVSNYMDCFQLPNPLDFQGVSNFVVNENHDTSVYNPVMNTVPCGVDKVNGCGGNDATTSAESTISWGGEMNSFVCSPVNSIISYDVDYAPRGMCGFEDQQPYLGFQY >KZM87331 pep chromosome:ASM162521v1:7:14571833:14581830:-1 gene:DCAR_024465 transcript:KZM87331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLQCGDCGLLLKSVEEAQEHAELTNHSNFSESTEAVLNLVCAACGKPCRSKTESDLHTKRTGHDEFVDKTLEAAKPIALEASKKSDVDMVDAGDASASGEQTEEMVVPEVNQEFLENLELMGFPKARATRALHYSGNTSLEAATEWIVEHEDDSNIDEMPLVPVSSKSEASKPSLTPEEIRIKAQELKERARKKKEEEEKRMEREREKERIRVGKELLEAKRIEEDNERKRIMALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPKPSKPAAPVEEKKTMLPIRPATKAEQMRECLRSLKQAHKDNDAQVKTAFNTLLTYVKNVATKPDEEKFRKIRLSNATFQERVGKLVGGINFLELCGFEKIDNGEFLFLPRDKIDIPVLHTAGNELNSAIVNPFFGVL >KZM88549 pep chromosome:ASM162521v1:7:29116193:29116718:-1 gene:DCAR_025624 transcript:KZM88549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSREMAEPPRKRGRPRIAVTEEVVEARRQAKQQRNSRRVRGEAHSRLALAFSTLLLFFLQLVIPRYVLPNVIAGANASPGSSAAAGSQQSTAATAAHDQGIRIYVRKKVDRSDPS >KZM89297 pep chromosome:ASM162521v1:7:35753045:35755296:-1 gene:DCAR_026372 transcript:KZM89297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVPGQLIWEVVKRNNCFLVKEFGNGNAGVQFSREPNNLYNLNSYKFSGLAQKKTVTIQPAGKDQGVLLATTKSKKQSKPSSVLNKSIMKKEFHRMAKAVSNQVADNYYRPDLKKAALARLSAVNRSLKVAKSGVKKRNRQA >KZM88491 pep chromosome:ASM162521v1:7:28310570:28319034:1 gene:DCAR_025566 transcript:KZM88491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSASSQPQFMSSMNNMGNSSSNEPLMEDSDVNKIVVPERTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGADHKFEHLLGVLINYIVSRPTTMYLDLAKRTSRLVPARLDSHINSHKTGTLLWIILVASIAALIIQSMAANLGVVTGKHLAEHCRTEYEKVPNFILWILAEIAIVACDIPEVFWVDMYHAGLIDHTTYIMIGTAFALNMLFHIPVWIGVLLTGLSTLVLLALQQYGVRKLEFLIAFLVFTIAGCFLAELGYAKPVASEVLYGLFVPQLKGSGSTLVAISLLGAMVMPHNLFLHSALVLSRKVPRSVKGIKEACRFYMIESGIALVVAFLINLSIIAVSGSVCSSPNLSPDDVKSCQDLTLNRASFLLRNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLKPWLRNLLTRSLAIVPSLIVALIGGSSGAGELIIITSMILSFELPFALVPLLKFTSSKTKMGLYANSIALAATTWIIGFLIMGINIYFLVDKLISVLVHSPLKVVGKVFCGILGFSGMLLYLAGIAYLIIRKNKEASHLLALTTPESRQMANEAGNASVYNLPRDDIVSMQLPQRTTTTDVD >KZM87321 pep chromosome:ASM162521v1:7:14286115:14288556:-1 gene:DCAR_024455 transcript:KZM87321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSSRSLKFIFLILLLTWSSTFETCNARRGKHWRRSTSFTTSLSKKNGKNHANSPHYSNSKPETPSLKAPAPPATKPENDFSPALSKKDHSYVSNATFHVLDFGAKGDGKTDDTQAFQAAWAAACKVEASTIVVPSAYVFVLGPVSFSGPYCQHDIVFQLDGTIIAPTNFKAFGSGLFQWLEFSKLVGIRIQGTGIIDGRGSTWWQDSSLDDPLDDELSLIVSLNNTVEKSLPVPIRSSLGGLKQSIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCLGVSVYNITVSSPGDSPNTDGIHLQNSRDGLIHAANLSCGDDCISIQTGCSNVYVHNVNCGPGHGISIGSLGRDNTKACVSNVTVRNINMHNTMNGVRIKTWQGGSGSVQGVLFSNIQVSEVQIPIIIDQFYCDKSTCKNQTSGVALSGITYERIRGTYTVKPVHFACSESLPCTKVTLNAIQLKPLQKNDHIYDSFCWHTFGVLYSATVPPIECLQAGKPFNNRIQADHDLC >KZM87770 pep chromosome:ASM162521v1:7:20270276:20271349:-1 gene:DCAR_024871 transcript:KZM87770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTTQLSLIVLLCFSLLTLIRSESSSLSGREKSTYLSPAILFANYDKMIAEFKIYVYAAPKALSPTFTSSPESMFYSNLIRSEFVTRNAEEAHLFFVPFAPDTSTRALARLVREIRQSFPYWNRSLGADHFFISRAGIDYSSDRNVLELKKNSVQISCFPTKSGNFVPHKDITFPPPVDSPIELPHAPENRTTTFLGYMKLNKDDKSTWNFIDELVDDQDFLIESEPSDHKSNINTSKYCIFLYNSDMNCFVEAIAQGCVPVIIADRPIQDLPLMDVIRWSEIAVVISTRGGVKGMKLVLSEISEDRLEKMKESAVVASKNLVWNAAPEPYDAFYMVMYQLWLRRHTIRYTRREFV >KZM88362 pep chromosome:ASM162521v1:7:27208287:27209231:1 gene:DCAR_025437 transcript:KZM88362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSKEADYTPKQEDKSSESKILSKASSSSTSWSRLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKNDIDELPPLQVPPGTFSQFHQAMLSASHGVNAAQSVEKSGQVNWNDHLELSTSNYWSNSDGNLRDQMKSKEIVEETKRKQDGDLDQGSNDAYTASSNFFERGNQSNPPAGMLINNMIPISNPSYLRWDPSNLSRSHTEDIPISQSSSLSMPSGSQFVVYPHAREFDPKQMFNFQMLGSNIASQNSPFSNPPFTPFHLSRDSYSGSAPNKDE >KZM87477 pep chromosome:ASM162521v1:7:16965579:16965830:-1 gene:DCAR_024611 transcript:KZM87477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHYYFLRSLLLHVWRDKIRTTKGPVCPSSHKNPIAGNIKPTEGRESRREQVAGPAGYGHWETAAGRESATEQVVANPFAAK >KZM89240 pep chromosome:ASM162521v1:7:35266729:35270665:-1 gene:DCAR_026315 transcript:KZM89240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESGVVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFLGTAGAASSMMNPKNTISQIKRLIGRQFSDPELQRDIKSLPFSVTEGPDGYPLINAQYLGEMRSFTPTQVLGMVFSDLKSIAEKNLNAAVVDCCIGIPIYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGLKKGQLKILAHAFDRSLGGRDFDEVLFQNFAEKFKTEYKIDVYQNARASLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQISIPILERVKKPLEKALSEAGLTVENIYAVEVVGSGSRVPAIMKILTEFFGKEPRRTMNASECVAKGCALECAILSPTFKVREFQVNESFPFTIALSWKGTAPDAQNGADNQQIDVHYADVNEVQAPAMISTYTIGPFQSTKSERAKVKVKVRLNLHGVVSIESATLLEEDVEIPVVKETAKMDADESPPVPAPSSGAENDVDMEDAKSTAANAPGSANGAQGDVPVQMETESKVEVPKKKVKKTDIPVTELVYGGMQPTDVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLHDKYHDFVTDPEREEFTAILQQVEDWLYEDGEDETKGVYVAKLEELKKRGDPVELRYKEHLERGSVVDQLVYCINSYREAVMSPDSKFDHIDIAEKEKVLKECADAEAWLREKKQHQDSLPKHATPVLLSADLKKKAEALDRFCRPIMTKPKPKAAKPVTPEVSSPSSEQFHGAENSGDPNKDASNTPGTEVPLASEEPMETETPASAA >KZM86775 pep chromosome:ASM162521v1:7:6752385:6754324:1 gene:DCAR_023909 transcript:KZM86775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIGQTVRDLRREVNKKVLKVPSVEQKVLDATSNEPWGPHGSSLADIAQATRNYHEYQIIMSIIWKRINDTGKNWRHVYKALTLLEYLVANGSERVIDEIKEHAYQISTLSGFQYIDSSGRDQGLNVRRKSQSLVALVNNKERLQEVREKAAVNREK >KZM87651 pep chromosome:ASM162521v1:7:18927669:18928888:1 gene:DCAR_031915 transcript:KZM87651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIYADAFDKFMNKEKKLVRKLLSGESTEALMSLYEASQLRIEDEDVLDEAEFFSCQLLNERIKFLNHHEAHAVRNTIAHPHHRSLAGFTKNHYIKDVIRGKARCGKALQELAYLDRAFMQAIYTRELSEFSRWWNALRLADELKYARNQPLKWFTWSMAMLTDPSLSEERIELTKAISFIYVIDDIFDVYGTIDDLTLFTEAVNRYFPDIIEINDSKILKYNLYRWDIAASEHLPDYMKKCFRTLHEITNEIGYKVCKKHGFNPRDYLAKTSLLGRDYALHS >KZM89250 pep chromosome:ASM162521v1:7:35385242:35386841:1 gene:DCAR_026325 transcript:KZM89250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQGGKAKPLKAPKAEKKEYDEDDLAKLQKKKEEEKALKELKAKAQQKGAFGGSGLKKSGKK >KZM87099 pep chromosome:ASM162521v1:7:10945932:10948916:-1 gene:DCAR_024233 transcript:KZM87099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDISDLSNACIQSLRNVNSNLSRRRCRLAFTTIYSSRAFSLLNRPSNSQVYTEINQSSLTKLVKDKDLGYLEKVGGVNAVISRLETDVEYGIDEDHADAISRRQETYGMNTYNRYRAKGFLRFVLEALVDAIVIVNILAVALSFVFGIKKHGPKEGWYEGGSIVGALYLVIIVSAICNYWQSRQLDKLYQLRNNIQVKVVRHRRHQQISIFDVVVGDVVFLNIGDQVPADGLFVAGHSLLIDESSMIGESYRVEINQKNPFLLSGTKVADGYASLLVTSVGMNTKWGEMMSSISQESGCEMRLHARINKLNSLSGIISLFASFLVNLVLMLRFFTGHTKDENGKTEFNGSMTKVDTVINGVGDTIISAISILFVTIPEGLLMAVTLVLAYSMKRMMVGQAMVRKLSACETIGSTTTICTGKTGTLTMNEMKVTKFCLGQKFIDESHLVSNDSNNILEFLHQGAGLNTTGGVYKPNSRSELEFSGSPTEKAILSWAVFELKVGMEELKRSFNVIHVEAFNTIKKRSGILLKKIVDNTLHVHWKGAPENIIAMCSHYYDAFGNVIALDDSEREKFDRLVQGMGASNLRCIAFAHKQVPEHEYYNGDVKFKEENLILLGVVGMKNPCRPEVWKAVQDCQQAGVNVMMITGDDVSTAKAIATECGILKFNQDIDTKDMYNGLVVEGSEFRNYTLEEQMEKVERIRVMARSSPEDKLLLVQRLKEKGHIVAVTSHDTNDAPALLVADIGLSMGIAGTEVAKESSDIVILDDNFATVVNVLRWGRCIYKNIQKVIQFQLTANVTALVINFVAAVSTGEVPLTAVKLVWINLIMDSLGALALATEEPTEALMEKWPVGHKEPLISNIMWRNLIAQAVYQIIVLLTLQFGGKTIFNASKKVKDTLIFHTFVLCQVCNKFNCRKLEKKNVFVGMHKNKLFLEIIGVTIILQALMIEFLVKFADTERLSCGQWGACTGIAVLSWPIGFLVKLLPVPERPFCCF >KZM88923 pep chromosome:ASM162521v1:7:32345834:32346631:-1 gene:DCAR_025998 transcript:KZM88923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSAKRTLRSHAFAENDQKGVPNPLQKSGKNQQDRSALFDITNDSPIVGLAMGHLSTPLSSHSKKNSLTTTQCSTNNTGTTTPGSGEALLRNQVKSLLHKVEEEAEIVKFSLEDIGGPFVHIKGLVADSPMPFLAPTPTNTPVYGTQIADKFGLVSGFSTPVLEQVSITKMVTEIIERQNKKEEESLITRSLIFDFHENSSDCVSTMTYQEGSAANKAKFCTGDDNAV >KZM88775 pep chromosome:ASM162521v1:7:31221221:31222657:1 gene:DCAR_025850 transcript:KZM88775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRNSRKQDHQATMPRRSPRFAGNNTKIREEPTTVLRRSPRILAKNRDESEDLKTPIQEKKGPMEPYLDTSCCVSTPEECLKALEPRDSVGREVLKEVGLGNRGSRRAEQLKEGGEGLRRSVRLAGKENVNWRGNFDVVKEEEKDLGSKGVPVXQGRGERFGEQGCAANQQSVQAGIGLPVQSVGEMKKTCKSREGNAQKLVKCARKKIDKSVEDSKAEQSVQAVIGLPVQSVGEAKKTGNSSERDAQKIAKCVRKRTDKSPEYSKAELLGKGVSSEIFYKSGIGASDQETAGGSSTAPVEGRVEKEARAVEKKPKCIGVKRKRNQVEDCCENQGTISGWTKEQESALQKAYLAADPTPRFWKDVAKKMKHLYPKVQLLNIPETYQFFSSHT >KZM86374 pep chromosome:ASM162521v1:7:2122866:2124995:-1 gene:DCAR_023508 transcript:KZM86374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKIGTSGTYFVLNTGAKIPAIGLGTWQSGGDLCVEAVKTALSVGYRHIDCAHLYGNEVEVGEALAEAFKGSLKREDLFLTSKLYCTLNSINKIEKSVRVSLKNLGVSYLDLYLMHWPESSAFGDATDPPAHSSSEYRQFLNRLKSTWKAMEDLIQLGLVRAIGVSNFSLPQMEELLKFSKIIPAVNQMELHPFWRQDELVKFCQSRSIHVSAHTPLGVPSSSPALSESGSGEEDEPGTPRISFRRSRSVHGPMLKLSVVAEIADKHKKTPEQNVNFRDF >KZM86624 pep chromosome:ASM162521v1:7:5008152:5013553:1 gene:DCAR_023758 transcript:KZM86624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSNSTASSSSRRSSFNSSSFSSSFSLTRTSKYVLSVECLKGSSKSEEWTDQMLQTGDIVEEFIVGNTVVKSPFKNGSSGVQKILRDYYKSSDTFIRVRVRRGREHELAELQACIVPKETAGGKKKYMVRSIDDPNYAVGFVDRTEAECLALQASRNSRMVNALAMTPLQEGHVSYPWEKRMQEMLSVPNSSFFLSVLFLPKSSDANPSRYNDLDDTLARANTWLSASQASGVPVVFMNIQTESLLTKISGDTASSTVNAGSLSDLSNLANASLYGFEDYHGVDIGVVRAVRLWYSPSEGEIPFEIMIEESDTKLGFAISRTEEGFIYISSVSEDVDNAPSTRSGLSNMYREASQANKLLAISRINNQKVLPWIVSATGAIRCFDTVSLSQKFSLHRHAKVPILIHLFLWDRTSTSLTEVRTTGPVLVTEDSNESTDDSTEGSDGVLNRETSAGSSFRFHNFTVPYNWV >KZM88007 pep chromosome:ASM162521v1:7:23243143:23249578:1 gene:DCAR_031530 transcript:KZM88007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLIACTSGGSYTPHTITVNAGEVYGQPINSGCHKENYCISPTGPQSVSVISANGAISNVTLRQSSSSETYTYEGMFDILSLSGSFTPASASEGASMRITLAQPDGNVIGGLLVGLLIAASPLQVVIASFLPVNHIEKHKKPMYEHKVVRYATDLPPKMKQIDLSPVVPNYSDNPNGKLPPTYQTSKWPTMPMMQDS >KZM86369 pep chromosome:ASM162521v1:7:2071537:2076711:1 gene:DCAR_023503 transcript:KZM86369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSNSGYYLEQSMAPILNRQISFQSSAMDNTSDMIYTGNYYGANRNAEGSIVLGSSSSNAGLRAHQAASTSSPLLVDSVPGLKHEAGLAVEWSVEEQYRLEEGLAKYADEPSILRYIKIAAMLHDKTVRDVALRCRWMTRKRRKQEDHNFGKKVKDRKDKLMESSSKATTSSVSPLNVAGYSFMRNYQSGNDRMSREALDGARHLLEQNNEVLRHISANLSVFKLQENIDLFSCTRNNITTILNHMSNMRGVMSRMPPLPLSINEELANSILPITFQRMMFGLPSGSQLKQEPR >KZM86451 pep chromosome:ASM162521v1:7:2926872:2928041:-1 gene:DCAR_023585 transcript:KZM86451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEVLDTEQENTSHEVTNNLTQSRPGPPHDSVFLVLSRLPLVELLSMSLVCKSLKDAVDDDILLWLNIFVDKPLNSRISDHTLMDITSKANGRLKSLALIECLQITDAGLEAVVAKNPLINKLYVPECTSLTAEGIIRVVEMLAQHSRKPILLKLNGIHDINSEHLEIIRALIQLNQRQQMKFYHKRNQYLLDFNEQSETNPDIDLDTCPKCSEVRMVFDCPDQMCRQKSEGNVLSACRGCQYCVPRCEECGRCVTDSEERDEAEAACNDILCMDCWFRLPKCNFCNKPYCKLHAQKKQSLPESSGFLCEVCYSDFI >KZM86759 pep chromosome:ASM162521v1:7:6569157:6570791:1 gene:DCAR_023893 transcript:KZM86759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAVSFLLSFALLATGSWAAMPITHQGFLQCLSKVSPNAEAISKVVYTPSNSSYTSILKKTLQNLRFDTPETPKPVVIVTPVDESQIQTVIYCARKTNVQMRIRGGGHDFEGVSYTSEVPFVLLDMFNFRTVTVDPVAKTATIQAGATLGEVYYAIGSKSNTLGFPAGFWSTVGATGLIGGGGYGILRRKYGLAADNVFDARMIDVNGRILDKRTMGEDLFWAIRGGGASSFGVILSWKVNLVDVPATMTVFLIEKKIEQEATDIVHLWQSVAPKLSKDVEIRVLVDAVKKDTTPEPSKTVLSEESSPSVNSDDTTAIRIRFAGSFLGKSDAFLALMQKSFPELGVKKEDCQELSYIQAVLAFGLFSPASPLEVLLARDSFKIPFKAKSDFVKQPISKQGLTGIWDKIVQAPPQTTNMIFTSYGGRMDEISESALPFPHRAGTLYKMYMRVQLTEDSANELAWIRGLYSYLTPYTAPRTAYNNYNDFDLGVNNARGPISYAQASAWGKKYYKNNFDRLVRVKTVADPTNFFRNEQSIPSIVGI >KZM87661 pep chromosome:ASM162521v1:7:18990574:19001046:1 gene:DCAR_024762 transcript:KZM87661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGGDGSSELYHSIPRGGPLYLSDLVGSLTNPPSFRNHLLSQLQDLREELGQDFNDTGEEDDLSVDELKIVTEEELVDKAFDIAFKDEVTETVSEVSEKSLGERTDIGKISGSRDNAIAESSKSGTDGACLDETGLSDSNERLCDNSNKRQGRKKRQNNDKKKKRKRDDDQTIDKKRKKRQKKLTVEDEVTETVSEVSEKSLGERTDIGKISGSRDNAIAESSKSGTDGACLDETGLSDSNERLCDNSNKRQGRKKRQNNDKKKKRKRDDDQTIDKKRKKRQKKLTVEESYIAKVKELVRIKEKQLEDKAAVKLHSFNGRINDNATTSSEKPDRKTSLKSNNSTAKARISSIQEGQVLQPGKEVILCVEVYHNKRTWQKNVFCNDLRDTSAIDYSKPILDWLKDSKKEALEKWEYILSGRLQPRQKALVNNKKKEILPGFKAVRMQETQFCELNFRLGAGYLYCHQGDCKHTIVIRDMRLIHPEDIQDRFAYPVVTFQIKPRIRKCSVCQIFRAKLVTVDDKWAEENSCYFCDTCYYMLHYANESLLYPDFSVYDYHHDQI >KZM86189 pep chromosome:ASM162521v1:7:479692:480972:1 gene:DCAR_023323 transcript:KZM86189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISTVILLLCSILSSNLMKSMAHQIIRDGETITSAGEEFEFGFFSPSGSTKRYLGIWYKKISNGTVIWVANRDAPVMNASGILRVSEKGLSLQMGNEIIWSAKTSILMKNPVARLLGSGNLVVRDDDHHMNNTEDFVWQSFDYPADNLLPGMKMGIDLVTGLERYLTSWKSANDPSTGSFTDRLDPHGFPQFFRLKDSAKWSRTGPWNGWQFSGTPKSNQSGLYTENFVFNEKEIYYKLDSVRGTSADVRFHLTPNGEIKFLHWNYQKQIWLVYVTFSLSNCEQYKLCGANGLCKVSNSPRCECLTGFIPKFPEKWKEGDWSGGCVRKKKLDCGTKAGFLKYTRVKLPDTRYSWYDLRITLEQCQRLCLKNCSCIAYTNADIRSGGSGCLLWFNELSDSKGYTEEGEDIYVKMASSELGNFTSHT >KZM87419 pep chromosome:ASM162521v1:7:16183736:16185526:1 gene:DCAR_024553 transcript:KZM87419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHEFHHRRRNRSEIDRKKLVFKQIAPSIAGKSCPICLNHIDYRRAAVITACTHAYCIDCIDKWRKLKRKCPLCNAQFDSWFFRFSFSSRSFSIQKLPPLVAEEKRIDGGDLLRVRSNRFVAGRVVSSREDLDIGNSRTRPLPRLRSFGNPRTAPPDIIKERILQWRRSIYEQHLQAVPCLNKKLQEQDIIKKQGVKERILQKIEPWIERELKAIISDPDPSILVHVTTSIYISAIERKQNSSGHFGRDLRG >KZM87973 pep chromosome:ASM162521v1:7:22715718:22716878:1 gene:DCAR_025074 transcript:KZM87973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVPIKSQQLHNFSLPQLKWNKKHNTSLHRRRRPTESPPHPHRSPIRDAESDHQKDDVEKSEKDSKAKIYIRIKKTTKAAESADEDTVKRSVDDDQDLKKDEIEGEKGGVVENCEIEEVEEPKTWNLRPRRAVKKGMNADNSGPIKAQENRTRSRPDVVSSSNNGEKKDKKQKFSVSLSREEIEEDFLIMTGSKPPRRPKKRAKTVQRQIDNVFPGLWLGSVTPDCYKVPDPPIKSRIVLLMQVNGVVAVRISGCVSGSLGVLVMQVSGVEASGRLECI >KZM86370 pep chromosome:ASM162521v1:7:2081621:2084398:1 gene:DCAR_023504 transcript:KZM86370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNKLNSQAMRKSHDDHDINAMNRVHDHKKLLLHISTCTAGTNCGYPRCVSAKSFIKHCRNCKILDCRVCVLARNRQNKRKESMPHGSYDTVDVNPLQKRLKIHQFSQSVEPWCPRMSRVSGMDMDTPASPAEGFVGPNDGSGTNKMGAAKPEKAEACGVSLIEVFSPEQVREHIKSLKQGMEQSKPKTGKNYAVEQTMSDDPCQLCGMAKVFFEPVPVYCTLCGSRVKRSAKYYTAGAVDARRHVCSFCFVQNAGESLVVDGTAYPKAEFEKQRNDKIAEEGWVQCDKCPAWQHQICALFNSRRNEGGQGKFTCPICYIAEVERGERSPLQQSAVLGAKDLPRTSLSDHLEQRLLKKLKQERVNRARIQGKNYDEVPGAEGLVVRDVSSVDKTVEVKKRFSETFKEVNYPNEFAYKSKVVLLFQKIEGVDVCLFAMIVQEYGADCQQPNHRHVNLSYMDSVKYFKPEIKAVTGEDLRTFVYHEILIGYLEHCKTRGFTSCYIWACPPIKGDDYIFYCHPETQKTPKSDKLRDWYLTMLEKAAKENIVVEITNLYDHFFVSDGSTGECKAKVTAARLPYYDGDYLPGASEDFINLIACGQSDLSGNATKDVLLMHKLGEAISPKKEDFIIVHLQNSCSHCCTLIASGNCWSCCQCKNFRLCDKCYEAEQKLEKRDRHPINKPREIHIMYPVEVSGVPADTQDNDEILECKFFDTRLTFLGFCEGNHYQYDTLRRAKHSSMMLLYHLHNPNVPEFVPLCQVCRLNIETGQGWHCETCPDYNMCSACYKKDGESNHPHKLTQYSPTVDLDAQKKEAKQQKVSRMRKMHDLLVHAIQCQNCPNPGCHKMKGLLQHAAQCITHETGGCFECKRIWYLFKLHAAVCPVSQCHVPYCRGLKERMRRKKEQADSRDTEGMRQLSAEVSGVC >KZM88650 pep chromosome:ASM162521v1:7:29955148:29959340:-1 gene:DCAR_025725 transcript:KZM88650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYICFYNKDTLIIKPPKKSPIFLRMIVLLVAMICSVFICSFCLQQINNHNKSKFVNFEVIVRPCQDDVFNHPQLPFLTYPRPKTFCRAECACNPVRLFAILSSQRSGTGWFETLLNSHINVSSNGEIFSHKERRDNFSSIVKTLDRVYNLDWFTSASKNQCSAAIGFKWMLNQNLSWLVQGLLENHKEIVEYFNSKGVSVILLFRRNTLRRMVSMLANSYDRHAKLLNGTHKSHVHSFEEADTLSRYRPTINSKTLINDLKQMESTVTKAIEYFNSTRHIILYYEDLIKNSTKLDEVQKFLGLPRMNLTSHQVKIHRGPLWEHIENWDDVNKTLGGTTYESFLSIDY >KZM86299 pep chromosome:ASM162521v1:7:1467479:1472799:1 gene:DCAR_023433 transcript:KZM86299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKPLSPQEWESLIDDYQTNSTQRLQKWASLNYTGISLLDLSLSSLSRKDFSLSVKLNLIVFIEEYLQSCFEEDQESEACSLGLTRLVDSLRGVVQAPIDGVSVTLLLKEQFLVCVTSVFVSCVIGIDGNLGGQFVKQLEGLIELLFSVINRPNHGVDRQTRAVGCECLRELERACPCLLAQVAGNLWSLCQSERTHAAQSYVLLLAQVIHGVVVSGANVSIVNSSMPLVPYNVPEFLINDVYDSIWVVGVHDFDNDFGSSVGIANFVVEDAFDGQENEIANRLVLISREAQHSLVFRLLALHWLQGVIELVSSKEVGKKKIVVKMSLSFYPSVFDPLALKSLKLDLLAYSSILLDTDRSKVMINMKGELGLDSSNVVSLFKDGLVSVSSFNWLPPWSTETAVAFRAFHKFLIGASLHYDTDSSSAKVPKDSTIFHTVQNMLVEMALEFQGLVPVIVAFIDRLLACYKHRWLGEHLLQTFDKSLVSKLKVDYRLVSYFPLFDRIAGTDTVPPGGIIELFAKLMMFLVEKHGPDTGLKSWSQGSKVLGMCRTMLLHHHSSRLFLGLSRLLAFISLYFPDLEVRDSARIYLRLLICVPGKRLRHILNFGDRVPGISPFSDQAPQILHDPKKSKTISSYIHLERVFPLLVKQSWSLSLPTLSFGSGKPSYIESIQDSEPPPEQTEVDSQNLSETERVYHPQEPLRVMDSKVSEIVGILRQHFLSIPDYRHMAGLKIRILCTLRFESEPFARTWGVNVPANGFNEEDSLPAIYATVLIFSSSAPYGIVPSYHIPFLLGEPSRNASPVGQAGSQEIIPIEDKSQEEIRFKASVTIELEPREPVPGMIDVSIESNTENGQIIRGQLQSITVGIEDMFLKAIIPEEVKGHAVSDYYFALFSALWEACGTSASTGRETFSLKGGKGVTAISGTRSVKLLEVPAQLVIEAVERHLAPFVVSIIGGPLVSIVKDGGIISDIFWKDVDSDSSADVTTSGTNMDSGPLYLKYTEDEDDRGGHINVNKKNMGCFLILIFLPPRFHLLFQMEVTDVATLVRIRTDHWPCLAYIDDYLEALFSAQR >KZM87954 pep chromosome:ASM162521v1:7:22441417:22447384:-1 gene:DCAR_025055 transcript:KZM87954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSTLPQSPLFHHQFHSNTNASSSYSLQFPSGFCKSTNLSSITRRKHPCTTCAVPLIHNYGTLDYERKPAWKWSHYYKKLSLMENPEKGAASILNQCENEGKRFTKWELCRIVKELRKFRRFKLALEVYEWMNVRAERFRISTSDTAIQLDLISKVHGISDAEDYFMKLPDSLKDKRIYGALLNSYVHAKKKEKAESMIAQMKDRGYASHALPYNVMMTLYMNFKDHDKVYSVVSEMMEKQIPLDIYTYNIWLSSCGSQGSAEKMEQVFEQMKLDNTINPNWTTFSTMATMYIKMRQLEKAEVYLRKLEGRITGRDRMPYHFLISLYGSCGNKEEALRVWNIYRQTFPTIPNLGYHAIISSLVRVDDIEMAENIYEGWLTVKSTYDPRIANLIMGWYVRNGKFEKAEEFFEDIVDVAGGKRNSTTWEILGEIYINKKKISEALSCLQEAALAEGSKFWKPKPTNLSAILKLCNQEGDEDSKEALLGILKKLNCLDDEAYAPYISISAGDELPTEKDGADDDINNAEVFLNQLQGSL >KZM88196 pep chromosome:ASM162521v1:7:25397899:25398249:-1 gene:DCAR_025271 transcript:KZM88196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFSRLETTSRKNVAKNDNKGTDHVNSNYLPLPLPPQRLSLPSGNKMMEVKRPGMRRRSKASSRSTSWFASRDMGRKKRITMYKVYDAEGKMKRSFKKGLQWIKHQCKKIADEFS >KZM86677 pep chromosome:ASM162521v1:7:5545314:5546726:1 gene:DCAR_023811 transcript:KZM86677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAILETTNQLRHRGVEWEESDIFEGSVEWEEKYWEAADEFDHKELGSEKSGRDSAGNVWRKFWKESMYQIFIWLMQFCFVFLQKDGSVHFEKTADKWGKNCEGSEWQENWWPGGNPMVPPAKLRNVRISGAASTQTHPLRHIMSMSGMKDCRTYEAEFFARIAQDAYNYTA >KZM88782 pep chromosome:ASM162521v1:7:31264641:31270015:1 gene:DCAR_025857 transcript:KZM88782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQWNFLFSSIIITFLYFNLNLFLTNSETIVKNLEYPCKPPYNSYPFCDTSLPITTRAQSIISHLTLPEKIQQLCNNASGIPRLGIPAYEWWSESLHGIATNGPGITFNGTIQAATSFPQVIVTASAFNRTLWYAIGAAIGVEARAMYNVGQAGLTFWAPNINIFRDPRWGRGQETPGEDPMVASAFAVDFVRGFQREKASGGGGNVKRVVRKRSLSDGDDDGNEGLMLSACCKHMIAYDLELWNNFARYNFNAVVTAQDMQDTYQPPFQSCIQQGKASCLMCSYNAVNGIPACADKELLQKARNDWGFEGYITSDCDAVATIFEYQNYTKSPEDAVAIALKSGTDINCGTYMLFNTKSAIEQGKVQEMDIDKALLNLFLVQLRLGLFNGDPTKGPYGKLGPKDVCSTEHKTLALEAARQGIVLLKNRNKFLPFNKDSISSIAVIGPLANNTSKMGGGYTGIPCSPKSIFEGLQAYVKNVNYAPGCLAVSCESTSGFEEAISIAKDADIVVIVAGLDLSQETEDHDRYSLLLPGFQSKLITTIAAISKKPLVLVITGGGPIDLSMANGDERIASILWIGYPGEAGGNALAEIIFGDYNPGGRLPLTWYPEAFTSVPMNDMNMRADPSRDYPGRTYRFYTGKVVYGFGYGLSFTNYTYKLLNAPKQLTLSGSISPESKRKIQLQIGEGYQLDYINLDEVESCNSLKFNVQVSVMNEGEMDGSHVIMLYSRVTNEHAGAPRKQLIGFDRVQTTSYRGTESSFLVDPCNHFSFANEHGNYYGPPVMAPPQYYAPPPPRREPGFLEGCLAALCCCCLFEECCDLSIFIG >KZM88886 pep chromosome:ASM162521v1:7:32057426:32060831:1 gene:DCAR_025961 transcript:KZM88886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKFIEPIITRNISAGDHEGTTMEDEPLSPSARLFQSPQLNLSVLIVLGSKTLIDANTVKYGLMNSSAQHPRFSSLLVTDDENGGRMSWRRTEVDIHEHVFTPDLNPNMELADDFVEEYISDLTSTRFDYAKPLWELHILNVKTSDANATAVLKLHHSIGDGISIMSLFLASTRKASDLESMPSVPKTRRQTSLLNNNCNGFWGILCTIWTLIMMLFNTFVDAVNFAATAFFLKDTDNPIKLRTPEDARFTRRKRILHRIVSLDDIKLVKSAMNATINDVLLGITMASISRYLNEKYASRSDGYKQQHKASKEENNLPAKIRLRSTLMYNLRRQSTVIESLAEMKERTSEVKWGNKLASILLPVTIALQDDPLDYVRKAKASVDRKKLSFEAMCSIFMSRFVLKYLGFEQYAAMMHKIISNTTMAFSNVALTVHCQSYADKMILVLTVDPDVIPDYRTLSAEFEASLELIKNAVIERGLAVRVKKED >KZM86484 pep chromosome:ASM162521v1:7:3263185:3276586:-1 gene:DCAR_023618 transcript:KZM86484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSSSSSPATQIMLAIYEKKTTAVDLYRPLRNYIVYNYSEREAQNLEDDLQTLKQYRTDIERSSPSDSLSSRRDLLINYFRALTAIESRFPISPETDHINTLTFVWHDAFKNKLKASQQNIHLEKAAVLFNLGAVHSQLGLGFDRGEVEGRKNASHSFMKAAGVFAFLRDNVAMKACMGNSTTLDVSVECVGMLERLMLAQAQECVFENTIAKGSSASVCSKISRQVGIFYEEALAALKVAPLSQHFEKAWHSHVQLKAALFYAEACYRYGLELHEKEEIGEEIARLKSGLNALSEARKSSPKGAAQQLIDAYTILEGNLNRNLERAVKENDRVYLMRVPPASSLQPLPAFQMVKTMPMTEVFDASKEKMFASLVPDSSAKALSRYTEMVDDVIRTQAEILQQGSELTRVRLKEMDLPESILALEGHSALPTALKEDDRLNRFAANLKQAAESDTRIERSVREHSSLMSILDRHPIESVLPTLGRPIMSLDANEDAILGALKQSLRQLETLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSYDDLFRKEISKYDQICQEIGKNVEAQEHLLLQIQAQSNEFAAVFNIEDYKASREKCFKQIEAAIAKYQEIKENINEGLKFYVTLQDAITNMKQQCSDFVMTRSIQCREMIEEVQRQMAGLSFQDSKNTGNYNYPAVGQANQPQRTSSQQTKAGNIPQQSHPQSQPYYSAPQQPNTSNIPQQSHPQSQPYYSPPQQQPPQQPNAPGYGQPPPPYGSQQQQPPYHLPAARPPYTSPQNQQQPGVPHEYGQPAYPGWQGPYYNAPGQQPGSMPRPPYTVPAPYPPPNQGGYYRQ >KZM88940 pep chromosome:ASM162521v1:7:32456756:32461096:1 gene:DCAR_026015 transcript:KZM88940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSDSSRNSFDIDDLSQIQARCRELRKEKDSLNASQSQSFQLIKRLEIHVKTLLGARAEDKKRIQELERELSNCSQELDYLQDQLNSRNTEVQSLGDRVHTLELKLADVENLELMGRQMTEELEKSNSDVLFLNQELENKEIELQQSNLRIESLEESILSVSLDYQCEIESMKFELMTFEQSCFEASKIQEEAAQENGRLDQLNQDLEVQIQDCREVIKCLSEENKELREKLIISESKATEICMTIEEKFPDMLNKDGHPLPSELKNDARWDVGRVSFSDLEDKFEKMSSSIIKYEARVRQLKEELREQKFKANEEAEDLAQEMAELRYQMNGLLEEECKRRAHVEQISIQRIAELEAQIEMERKISDNSGRLSLELKD >KZM87438 pep chromosome:ASM162521v1:7:16403576:16407313:-1 gene:DCAR_024572 transcript:KZM87438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCENPPNLNSTSETTLTGCVEELGGLKCYVVGSPDSKIAVLLISDIFGYEAPNLRNLAEKVSAAGFYVVVPNFMHDDPYVPENAERPLGVWLKDHPTEQGFNEAKLIVEAIKSKGVSKIGAAGFCWGAKVTVDLAKHPYVQAAVILHPSFVTVDDIQGVKVPISILGAEHDQMSPPELVKQFEGVLYKKPEHILTRLGYQLDEVLTSWILKVGFWETLLMVDCFVKIFPGVVHGWSVRYKAEDVAAVKCAEEAHQDMLGWFTKYLK >KZM86174 pep chromosome:ASM162521v1:7:327343:329121:1 gene:DCAR_023308 transcript:KZM86174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIGERSEGGDKKSQLVINICNISNRAIGCAHWHRRRGNVVPSPFIDWYLLLQVEENVGMDVIRKQYHKFGKFEYLNSSLLLHPDKNKHPKAGIAFKLVYEAYACLSDDTKRASFDLERGKNICSECNKLPTVTRNPSVKNSKKLRALFSLKRFRSNKNSNGMNDIKAKFKEEVGVIEQCLKINGASRKEFPSFNSSTKEIPVFNPSDYLAHGYPYYRSRNYTKADSYWSLQRGNCDYPIFESRSDKPLKLESVCLG >KZM86689 pep chromosome:ASM162521v1:7:5751761:5752927:-1 gene:DCAR_023823 transcript:KZM86689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENRGDSTGGFWCHMCSQMVDPIMEVESVKCPLCQGGFVEEIETAPVANAFRGNNHSSEFGGLEGMDADRSLSLWAPILLGMMSNPRRRRRVRRLPEDDDDDEVRGGDEEQEHHHRHHHHHPEGESELDRELESIIRRRRRSSATILQLLQGIRAGIQSESENNGESDNESNRDRSNNRERERVILINPFNQTIIVQGAHGSGNSTGSQNPVGSLGDYFIGPGLDLLLQHLAENDPNRYGTPPARKEAVEALPTVKVDGNLQCSVCLEDFEVGAEAKEMPCKHRFHSECIFPWLELHSSCPVCRHQLPCDESKVDNISSHGMGETNNGNSSGEGDAEGTNGTPRRFNVPWPFSNLFSSSGSPIVNANSSSTGSSTNASGNSNRTEEN >KZM86753 pep chromosome:ASM162521v1:7:6460642:6460893:1 gene:DCAR_023887 transcript:KZM86753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSALPKGEFTTRVQQVGSCQGKGHPVIKSVRESRKSYTVSEFEAKAKSFEGNYFEKSSIDKGALSPLEIESLYWKDYSYMA >KZM87310 pep chromosome:ASM162521v1:7:14136835:14147014:1 gene:DCAR_024444 transcript:KZM87310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAPPHCPSYPLKTLKPTPHSFHSTPLLPKHALTQFHCCSSSSSSFDNRWTVDCVTDSNPIHIILKPPNSSLSMASSSSTTLKRSKKVCLFYCAEMKALAERIASESDTIELRSITWRTFADGFPNLFISNAHGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEEEGDIATAFTLARILSNVPISRGGPTSLVVFDIHALQERFYFGDNVLPCFESGVPLLKNRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTIVCNKVREGDQRIVRLKEGDPKGRHVVIVDDLVQSGGTLIECQKMLAKHGAAKISAYVTHGIFPNRSWERFEHDTNGCPENGFNYFWITDSCPLTVKEVKNRQPFEVLSLASSIAATLHI >KZM87468 pep chromosome:ASM162521v1:7:16750282:16751980:1 gene:DCAR_024602 transcript:KZM87468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCRHSSSPFDSILFDLDDTLYLSKLGFGEATKRNIDDFLVEKCGFPENKASSLRVELFKKYGSSLAGLRALGYDIDADDYHGFVHGRLPYDLIKPDPQLRNLLRSINQRKIIFTNSDKNHAIKVLDRLGIRDCFEQIICFETMNPNLSKASRPDEIPVVLKPSLEAINIAIDAAEVDPRHTLFFDDNVRNIAAGKAVGLRTVLVGRTTKSKEADYAVEVVNKNNLIQVIPEIWFGEEDEKQQQLKIDSALSATAVGA >KZM88102 pep chromosome:ASM162521v1:7:24510674:24512521:-1 gene:DCAR_025177 transcript:KZM88102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIQKASDNHCSAASLLLPLRRGLGFCRLHQHMLLKYMLNHDPSMNMACPEYFRWIHEDLRHWRETGISKDMVERANRTAHFRLVIIDGKAYVEKYVKSIQTRDLYTIWGIVQLLRWYPGRLPDLDMMFDCNDRPVIRSKDHRGPNASPPPLFRYCSDVWSMDIVFPDWSFWGWVETNIKPWANVVKDIKEGNKRTKWKDREPFAYWKGNPSVAATRAHLMRCNVTDKTDWNARLFVQDWNKESKVGYKQSNLEDQCTHIIFFYIIHLGLRFIIRHLMHLALKYIEGWAWSVSEKYIPACDSPTLFVTPRFYDFFTRGMVPLTHYWPIRDRDKCRSLKFAVEWGNNNTEKAQAIGKASSRFIQEELKQEYVYDYMFHLLNEYAKLLKYKPSIPPNAIELCPETMACLAGSDYNKFMMASLVNSPRDSVPCTMPPPYDAQALKAFIDTQIGSTRKVEAWENEYWEKLNKKQ >KZM87078 pep chromosome:ASM162521v1:7:10786274:10787137:1 gene:DCAR_024212 transcript:KZM87078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGKKIAKNSSAGRDWSCRSWKSSDDPSPGTFQVEIDISGYPQLFLLNGSTKYFRSGPWNGVRFSGIRTSGPNGIFVFDFVFNEDEIYYKDELVSSSVLTRVTVEPDGRVIRYTWTDQSNKWEQYIFLQADLCDVYAHCGPFGSCNIYTTSRCECLKGFHPKNLTAWSHLNFAEGCEPKTKLNCSSKDTFVKQLKKNLPDTHTSQYNFSLDLKACEKKCLEDCSCTAYANTNITGKGSGCLLWFGDLIDIRDQGEVAQDFYVRFAASGSGKTYMTSNYSLILAGHC >KZM88267 pep chromosome:ASM162521v1:7:26316779:26325200:-1 gene:DCAR_025342 transcript:KZM88267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIVSFAVERLGDLLISESKLLYGVTNQVNEVSGDLKRMQNFLKEADKKQIQDERVRGWVDEIKELAFRTEDVIELFALQAGGSGFKEALRRSACIACHLISRHNVAMEINGIKANLADIQQSLLTFGITGIEQGETSVPQKISYSHDVEKDFVGMEKEIDQLVTDLMKKDENYEVVSLWGMGGQGKTTLAQKLYNHVKIRDHFEAFAWVCISQQFDREKVLKGILRQLLPDDRKGGVSDMEDTELVDGLRKVQLERKCLVVIDDIWYVDSWRMLQPAFPLGETTGGCKILLTTRHLTVAEIGSVCKIPGLTEDEGWQLLCRKTRIYDQPELPVASEMERIGRNMVKRCKGLPLAISALGGILKGKHLLREWEKINNDITFYLAKSEGVTEDDEYYTVRQVLGLSYDHLPSRLRHCFLCFANYKEDEIINTEELYMFWMAEGLISEEDRAQGEMMLDVAERYLDELAHRSLVTIKTHDYANDSWSKYKDCVVHDLIQDLSLSKVKEQGVMNVIDLQRKLDIGSKAAGKVRRLCVRSYDANRDVLDTYDRQVLAHIRSLLFWNDHEYQDPPVWPNNMFTLRKFKMLRVFIARYCRFSKENVRSLSELVYLKYLSLQDCELDILPVSIGKLRNLETLDLRTRGISSISIPNVLWKLKSLIHLYLPHDMSVGGGAKKLRLEGLNELQLFYGLDSMYCDAHDLLRLPKLKVYEGQMTVEENLTMQTIIDFAKFRELRHKTIRIKVRGPEVGLVLLLECCFMDSLHICAFVCVIPKVYDCTRFSRRLTGLRLSEVIFEENPMILKSSQPTFFNSKFNKMEVGRRSHVKSLSIIYFPVLRVGDASRRIETLDFLKTTLYIYSMLTEFTDKVRLISMEDAVDDCGGSEKKRRKDSLLSNVFSYGLEMMKENRSPRKSKVVLGSDLTEEYFKKIVDEEYSSIRDTPHVDSSERHGISDFGSMKENMFQSRLTSLSSSKSKPCDNTLSRRRSVLSPICPNTLLSGSSRTPLHIQSELKKKGKGLKLSGKKRTVEQDPNCTYEQFIPTPPSNSASSHVGFSPHTPCIQNTGSPLQSNFNSPLTLSPVKNSLFRPSLFRPPVNTNFPRNKNAGRKENIVRSAKDKSHSTSKNAEKSKIKSRDNSKSKASSHSNGSIPSSNVNVGHHDIPIRRLELNFNPPTAIESTNFNDIEQSEILGFQDDDSDIYSEEEMDDEFVYAEVPKSSVKDTKSA >KZM87355 pep chromosome:ASM162521v1:7:15035492:15037929:-1 gene:DCAR_024489 transcript:KZM87355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKLPTIRAFGQRPISSQFLSASSKGDGKVDGQSKAAGKHMRVSLSSFLDKKLQKGASLSKSVQGKQKPFSSPVIGVGVSGCREGENIGNRTGEPGLNCDIDVAFEKLKSTADKKEGFISLPANDFGGSNIHHSQGSRKRGNSFEGKDEKATARKVLVTLGDNNKNAYNPRKKQFISYEKSKPLFNHYANGSGWWDSNMEGVDNEEVGCNEMWEGVGSTTLGGLEWN >KZM86861 pep chromosome:ASM162521v1:7:7779381:7780846:1 gene:DCAR_023995 transcript:KZM86861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNISTNVSLDGVDTSAILSQATSTVATIIGKPEAYVMIVVKGSVPIAFGGTEQPAAFGELVSIGGLSPSVNKQLSAAIAEILETKLSVPKNRFFLKFFDSKASQSESRICTMSTCFTPELDHGSDFGWNGSTF >KZM87396 pep chromosome:ASM162521v1:7:15518236:15521298:1 gene:DCAR_024530 transcript:KZM87396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGENNELVLQDTFAQDTAVMVEDPNIFEALYENYLYGLGLFVLFWVFGYLPEDLPTNSAAQTRAPQNHRPDLVFQHPVSTKEYTLEASQEIKLPIFATSYAPADIKQMGMVFPFKPMITYENLQYFVHTPKVFLRQQPARVEPINSRSPKGRKGNRRVEP >KZM87259 pep chromosome:ASM162521v1:7:13233642:13241803:-1 gene:DCAR_024393 transcript:KZM87259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPQIHNLSSSKTLLQCHKPFFSGNLGAKPAFSNIKRARSSRTGNKNKLRHAARSSSSIKSVANYTETTTEVLATVVVQFTVSGFLSNLGWNPLDDISDLFGKSIQLELVAAQLDPSTGLEKETIKGYAHRAGNVDADDVKYECKFHVPDDFGEIGGVKVENEHHNEMFFKTIQLDGFPNGSILVNCQSWVHSHRNNSDNRFFFTNKSYLPSETPEGLKRYREHELMKKRGDGTGERKSKDRIYDYDVYNDLGDPDKDAKLARPVLGGKEHPYPRRCRTGRPRSKKDPLSESRGSSIYVPRDEEFSEVKSLTFSAKTVYSVIHAVLPSLENVSIDSEFGFPYFTAIDTLFNEGVDLPEVPKATLTNLLPRLLKTVTDQGKNIILFETPEFLDRDKFKWMKDEEFGRQTLAGLNPCCLQLVKEWPLKSELDPKEYGPPESVISTKCVEEVIRGFMTVDEAIKQKKLFMIDYHDLLLPYVKKVRQIKGTTLYGSRALFFLTPIGTLKPVAIELARPPMDGKPQWKEAYSPGFDATSVWLWKLAKAHFLAHDSGFHQLVSHWLRTHCATEPYIIATNRQLSELHPIYRLLHPYFRYTMEINALARQALINADGIIETSFSPGKYSMEFSSVAYDKLWRFDHQALPEDLISRGMAENDPSAPHGLKLTIEDYPYANDGLILWNCIEEWVTEYVNHFYPEPSLVESDEELQAWWEEIRTVGHGDKKDEPWWPNLKTPKDLVEIITTIIWVSSGHHAAVNFGQYDFAAYMPNRPTIARVNMPSEDPTDESWKTFELRPEDALLSSFPTQLQASKIMAILDVLSNHSVDEEYIGEKPEPAWADEPVVKAAFERFAGKLKELEGIIDARNNDRTLRNRTGAGVVPYQLLKPYSEPGVTGKGVPNSISI >KZM89236 pep chromosome:ASM162521v1:7:35218255:35245447:-1 gene:DCAR_026311 transcript:KZM89236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGTVVWDPWLIVAQIVGIQCLYYLTLGGFLTVSVGTRVSRMSLVYFFDYATVTASTGTGWCVIASILLSAVGGLKYSSEEGSRKSQLKIKTWLISVGNPRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIPRKQWVVAGADDMYIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSADDMLIKLWDWEKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRVVIGYDEGTIMVKIGREEPVASMDNSGKVIWAKHNEIQTVNIRSVGPDNEVSDGERLPLAVRELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIAWSPDGEYAARESTSRIKIFSKSFQEKKSIRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDQVAIASDTSFYILKFNREVVSAHLDSGRTADEEGVEDAFELLYEINERVRTGVWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGALEQANQILPSIPKEQLNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGRLEIAKLEMAEECLRQANDLSGLLLLYSSLGDAEEVSKLALLAKENGKNNVAFLCLFMLGKVEDCLQLLIDSNRIPEAALMARSYLPSKVSEIVALWRKDLVKINQKAAESLADPEEYPNLFEDWQLALGVESKLSETRNSYPPAAEYVNHIHKSNVNLVEAFRNMHVDEEEPHQNGGLDHEDSEQIGNEMPEGTDDLKEEGQDDQNHDSHDESVVVDADSTDGAVFVNGNEAEEEWGSLKGLEELVVEQSVSSPEL >KZM88203 pep chromosome:ASM162521v1:7:25446227:25448394:-1 gene:DCAR_025278 transcript:KZM88203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAALASIVFSVIALGILRYTIILANKYWFRPKQLEKRLRDLGFRGNPYRIIFGDIKDVEGMRAQVTSEPMELSDDVASRILPYHNHLVQTYGKKYILWFGVKPRLGIMDPALVKEILSRPSDFQKPRKDQMAEVLGGGLFTTEGSVWTKHKKILNPSFHIEKIKNMVPSIVDSCTEMMNKWNVSLDAKESVEVDMLPEVEALTFNIMCNALVVGRSIEETEKIHKLRLKVNQQAEKLAKLMIFPGWWNLPTKDVKILKDAHREIGILTKQVVTKRLEAMKKGASNPGDMLGSMLDAYQDETSGFTLEDVIEECRSFHFVGPETTSRSLVWVLYVLSHYPEWQEKAREEILQVFGDQKPNVQGLSQLKIVTMIVYEVLRLYPPTGVIHRSISKDTKLGDMVIPGWVQLTIPITLINHDPDIWGEDVKQFKPERFAEGVFNSKMQSIFLAFAGGPRKCIGQSMAMVTAKFVIATILQRYRLELSPSYLHAPKHSFLLIPQHGMKLVVSKRV >KZM86986 pep chromosome:ASM162521v1:7:9447299:9453381:1 gene:DCAR_024120 transcript:KZM86986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHALGFTLLAILGVIGTLQEVTSHGGHPLSRIAVHKAELAIDELAYVKASPTILGLKGQNSEWVTLEYSIPNPSIDDWIGVFSPANFSASTCPLENPRSYPPALCTAPVKYQYANYSSPKYKDNGKGSLKLLMVNQRSDFSFAVFKGGLLNPKLVAVSNVVSYANPNAPVYPRLAQGKEWNEMTVTWTSGYGISDAEPFVQWGQQGEEQTRTPAVTLTFDRKTMCGAPARTVGWRDPGFIHTSFLKELWPNSMYTYKLGHKLFNGTYIWSHTYRFKSSPYPGQNSLQQVVIFGDMGKEEADGSNEYNDFQHGALKTTKQLIKELKDTDIVFHIGDLSYASGYLSQWDQFTSQVEPIASAVPYMVASGNHERDWPDSGSFYNTMDSGGECGVPAETMFYVPAENRANFWYSTDYGMFRFCVADTEHDWREGTEQYKFIEHCLASVDRQKQPWLIFLAHRVLGYSSTSFYADEGSFAEPMGRESLQILWQKYKVDIALYGHAHNYERTCPIYQNICTSKEKKNYKGSLNGTIHVVAGGGGASLTEFSSIQTQWSIFKDVDYGYVKLTAFDHQNMLFEYKKSSDGRVHDSFTISRDYRDILACTKDSCPATTSAS >KZM87952 pep chromosome:ASM162521v1:7:22433913:22434155:-1 gene:DCAR_025053 transcript:KZM87952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRCPTEQYLDSVFHQAKLARRARSQRRRAVRQGWGNWIVEQEPDHVHVIYNIPGPIPHNLNEIATDNSLLPGLLLDD >KZM88002 pep chromosome:ASM162521v1:7:23191684:23192976:1 gene:DCAR_025103 transcript:KZM88002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHLSRDPLMQIVVQRGPYEYLDKDGKVKDVDVLTADELSKVAANGKARSTLINGLNQAEYDKVSSLKTAKEIWDALETYHEGSKALKKVKLGKLMKEFGSFAIKKGESIRESQARFQVTLNSLERLGKKIPQSEINMNILNAVPFEYGAKVTALESALNIDTMDHLAIFAELEQFEAKIEANSSESSKLPTKKMKNLALHSSISKLETDEETESDDELALMSRKIKRMIEKKNKMKREKGKAFGVKKDPMDDACFECGKKGHFKRDCYKLKNKSKPPRQQADYKNKKKSKALLTWSDDEDESASDDSSDEMVNLALVGLDGSIDTTDSDTDTNSEVNSINSELNTIDTTTCELTMQDKSCLSIMELIDLKNENYELEKENVHLKKVIGDFLNEKSVKASSGIIATLSRNKSHNLKGTTCKFKEGMIHS >KZM86259 pep chromosome:ASM162521v1:7:1059092:1059622:-1 gene:DCAR_023393 transcript:KZM86259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEVEKVHMSKMKRHIFVDEPYGCEAIEFYKAVFRAVEVNRVYEEIETDDEIKGSVRVVSCELQLCSSIIIVSELTPKSCMLNQRNVAHLPPRGTMLVYAEDVAPVVDLAARLGSSILKDEKYEKHIKDPYGSVWIIRTVPAAR >KZM86350 pep chromosome:ASM162521v1:7:1906259:1911757:1 gene:DCAR_023484 transcript:KZM86350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVSVIIYITLAILLLLLISHSPTTKPSHHPHRRLKLRSNFSFSDHSKPTHIPFDPIVANIERKREDRLWEKAQFQLDQPAPGQESQPEWEDFMDAEDYLNDEDKFNVTNRIVLLFPKIDVRPQDGVLSEDEFTEWNVKQAQRETLYRSKRDMEIHDKNRDGLVSYDEYDPPSWTRKSDNDSSGYNMGWWKEEHFNASDADGDGVLNLTEFNNFLHPADSGSPMLFQWLCNEEVRYQYYRKXDKDGKLNFKEFFHGLFDLVRNYEDVGHNSSHGLDDSRDAPAKKLFAELDSDNDGYLSGTELLTIIGKLHPSEHYYAKQQADFVIQQADTDKDGHLTLAEMIDNPYVFYSAIFSEDEDDYGYHDEFR >KZM87561 pep chromosome:ASM162521v1:7:18164030:18164785:1 gene:DCAR_024690 transcript:KZM87561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSMPVAVDSDDPKIINDKIHKCLMIDKCLIGCLIFISIAFVVYLLLLDLFCTLNGKVKDLKVLEIDSLTASNFNLSSRPNQISADWNVQMSFKTMNKLGYFKFLNTNVSVYYDDKRIALKEFRTFVLSSKHRPMHFGGEFSGSSGDIDDSVIRNVSRGIRAGTVRFNIVFEASVQKAFFKGHLEEWIGNRVGYCENVEMFFGLPNSSKARMLNGPQECKLYENKPLVRYYHRDAYPLCFCTSGGGRICV >KZM87258 pep chromosome:ASM162521v1:7:13226737:13230889:-1 gene:DCAR_024392 transcript:KZM87258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRSKYQAQWFIWLVITTVILRTGTPVEALTTTYGISLLNRTSFPSGFVFGVSSSAYQTEGAATEDGKGPSIWDTFTHKFPEKIKDHSDGNIATDSYHQYKEDVRMIKKMRFDAYRFSISWPRILPYGKVTRGINEQGLHYYSNLINELIKNGIEPFVTLFHWDTPQALEDEYGGFLSSQIVNDYRDYANLCFQTFGNKVKYWTTFNEPSTYTLGGYVSGNFAPGRCSSWQNASCTGGDSGVEPYIVAHNQLLAHAAAVKLYRDNYQASHKGKIGITLETRWMVPLSDESININAADRALDFSFGWFMKPLTAGDYPSTMRFQVGSRLRNFSEEEANMLKGSFDFLGLNYYTSNYVEHFSDPNLIDASYITDSQTRARTERNGVPIGPKGASSWLYVYPMGIHDLLLYIKRNYSNPTIYITENGIDELNNSTLSLGEALDDSTRIDYYYQHLSSVRQAIRQVTYMNNKFRIEGVDVRGYFAWAALDNFEWNSGYTVRFGLNFVDYKAGLKRYKKLSAKWFTNFLRLE >KZM87213 pep chromosome:ASM162521v1:7:12521991:12522173:1 gene:DCAR_024347 transcript:KZM87213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALIWATAEDLARNKGRVLSLYRQILRTLNSPNLPLNLAARLSKKAEVRAIFMVAAEE >KZM89083 pep chromosome:ASM162521v1:7:33706917:33708480:-1 gene:DCAR_026158 transcript:KZM89083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYHYFILPKYDFQSYAKPSRLLSATEANTCTEVSLEKLVKAFDIGTLYKVELRTSNFYGSGLTDINSGVQLCVIDENGYSILQRLPASTCKEQNMQSDNEVISDVLHLQRGSIDVFTFKGPKLQKIIALWISPVSGQWRLGGASLSIICQPQVLPEEREKIDNSFVGLRYDFTTEDIQLGEGSDNSMTELKPCSVTEFSGDNYALLSERLLPSSSDQTPNVSNEESMREYKDLKLTLLLYDTLLISAGSGIVSLSSEGSAAFAFLTGGISGFLYLLFLQRSVDQLPAPELNKTKKEGFNKSLGNVVGTVSTLALGLSFAAITVKFGSENGGIVVTPKDLVFGMMGFLTCKIAVILAAFKPMSSRRE >KZM87000 pep chromosome:ASM162521v1:7:9622248:9624596:1 gene:DCAR_024134 transcript:KZM87000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLLFDHIQNLDKSRSDWRIKVRCTRAWPTVAMETSVVRGYNFIFLDEDNSHIHAYAYPDNWTAIGKEMVEGNEYVVENFQVRDALGRLKPASNKLCIRLLRSTVIQEQSNDFLIPSHKFEFFDLGDLVDEDSKVSNDENPEFAIDVLGAIDEFIPLKKIPTKIGEREVVRFSISDGRKSYKVAAWGNLAIAINADFKPDLETPVIAIISIAKITEFKGNTQIGTLPSTKVYFNLDIEPVSEFRALLLEEGYTAGGHVHDGRNSVSAPPLEFSSFARLVSSPGTLSQRSVLATFTVNKIEEEDNWWFRILVLADDTTHACNVVLMDRVVKRIAGTTATNILNEMKKAGFIALNVPSGAFITDLYGKIVGKEISAKIDLSEANLNGDSNIYEAVDLWDPSAHQLGAVERTPESSSSLFIQPDVVHGIELF >KZM87510 pep chromosome:ASM162521v1:7:17576626:17578436:-1 gene:DCAR_024644 transcript:KZM87510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHNLLGDLIRYDNCEEDKEEEIEFVEKNHPNTNYDDDFEISCEEDDLPPEKESLDWNTRMKIAAGAARGKFAKLADPRLQGQYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYDPSSGPGNGYRLNGDKDEKNIRGSGRFLRNDVGGGSGRKWDLEGSEKDDSPRETARMLNRDLERERAVAEAKMWGENLRDKRRQSAQGSFDANNG >KZM87029 pep chromosome:ASM162521v1:7:10258088:10262218:1 gene:DCAR_024163 transcript:KZM87029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEKIGIAKDVTELIGKTPLVYLNNIVEGCVGRVAAKLEMMEPCSSVKDRIGYSMIKDAEEKGLITPGESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRIILRGFGAELVLTDPAKGMKGAVQKAEEISAKTPNAYILQQFENPANPKIHYETTGPEIWKGTGGKIDAFVSGIGTGGTITGAGKFLKEQNPDIKLYGVEPVESPILSGGKPGPHKIQGIGAGFVPGVLDVDLIDEVIQISSDEAIETAKLLAVKEGLLVGISSGAAAAAAVKIAKRPESAGKLIVVIFPSFGERYLSSVLFESVRREAETMTFEP >KZM88556 pep chromosome:ASM162521v1:7:29177271:29177543:-1 gene:DCAR_025631 transcript:KZM88556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETCRHKYQSLSHAINYVSDTITGTLLKYRNIKGDSATKKVVYSLHDMTQGIAYDADTLLFRMYLFQKMKKETAGQVEENCISTEDRTR >KZM88747 pep chromosome:ASM162521v1:7:30965220:30966814:-1 gene:DCAR_025822 transcript:KZM88747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSYIASKKDHKPQKRHNISTPARTQYIKRDSTLLACIDIEETSISAIERMTESLMPARSVKCGAATLKKDAEETGVSAMNPKRKTANQRNSAIPFIVAPLKNSLDQYQVLMYPLVTESAMKNMIENNTLVFLVDVRSNKANIKDAFEMICKIRTRKVNTLTTFAGTKKAFIKLGPEYNAIDVAKKFKFI >KZM89063 pep chromosome:ASM162521v1:7:33529593:33530171:1 gene:DCAR_026138 transcript:KZM89063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIALVRSIGSRPSLPRVLGSLQIRSFQPDFVPRDPNAKPKRYKYPAFYDPYGPRPPPSEKIIQLAEKIAALPLEERCQIGPALILKTRHPKMQHISGEGIGMAPQGGTAATTKAEVKAEKTAFDVKLEKFDAAAKIKIIKELRTFTDLGLKEAKELVEKAPVVLKQGITKEEANDIIAKLKAAGATAVME >KZM88855 pep chromosome:ASM162521v1:7:31789299:31798575:-1 gene:DCAR_025930 transcript:KZM88855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDLDELDDLGRAPSRSTRFAPKNSKFKPKPKIKPLKPKLDTSSVLPSTKKELAEPLITATDSGNIVKVEMESSCTTASNRDDIVKMDTDLKTEGNLPEDPMEEDNHKDEDQIVREIDVFFTPSLDSKSLLYVMQYPLKPCWRPYELDEQCEEVRVKPTSSEVEIDLTVDLDSKNYDPDSNSGVKMTKQILSSSWKLPCRSGYAVGVLKGNKLHLNPVNAAVQLRPSMQHFGSGASRKKHMVRHDEGEVKEGKSAGPSKKQNKQTEVLNEALKDLEENWIHLKYNGPTSDASSRYLQKMVGEEGSEIEFSMSSFDYVNSFCPGTSNRQGGPSKRYLLSLPLEDRLKIWLCKVAPIHRFDVLKYLAPSDSVEDLLEVLKKLCYLVQGLWVPKSSLLELQGLDALVRDYTLYLFSKSHCISKSDLPQKSKLKNAMENVLRVFAVQRSALRDWKFKEPKDMSFVQLHPDIVKEQEQAWEARGEKLNIQIYGERSGHGLKNSSKTGSTGRPVSIENATKGLPKTSIKAPPKTAISDETREALLKTLQKLFQSNKVCSFRRICQLLRDVAISKDVHAKGGALERSAATGLDAPPEELRAIINQVAIDIHGVYVSRTSTEHSYDGLRKTVIDLLIVEGPNAKLKKATIMEAAKIALKREEIPMAEYNKVMSELCVSRGSVWVLKSGDGSPDDKMHS >KZM87966 pep chromosome:ASM162521v1:7:22618096:22618566:-1 gene:DCAR_025067 transcript:KZM87966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFAASKYQKPGKPNANEVSEDIMLKMGNESEQNKNVVARVVDGPSEVRKYRGVRRRPWGKFAAEIRDPARKGSRVWLGTYEMPEDAALAYDRAAFKMRGARALLNFPHLIGSSEPTRVSSRNRSVAEAYASASSVEDLPPKKRRKDEICLTLHI >KZM86905 pep chromosome:ASM162521v1:7:8240649:8248546:-1 gene:DCAR_024039 transcript:KZM86905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIMDLNAPPLPEDDEDSFEQHVEGYSALEKHTEHVNHSDHVESAVDILRREREERRQRLKRDRPDDRPMIASQLPRNDQIYQTKHHKPSDRNKLPPGWLDCPAAGHEIGCIIPSKVPLGEAFNDSVLPGKRYSSRQVIHQQRVLGRKLGLVIDLTNTSRYYLVTDWKKEGIKHVKISCKGRDSVPDNDAVNQFVYEVSQFVVRQKQSKKYILVHCTHGHNRTGFMIVHYLMRALPISVTQALKIFAEARPPGIYKPDYIDALYTFYHERKPDAAVCPPTPEWKRTTELDLNGDAMPDEDDDGAPASPLHENHEIEGPMTNDDVLGDSIPSDQQEAMRQFCYKSLKMASGVRGHSQFPGSHPVSLNSDNLELLKQRYYYATWKADGTRYMMLITMDGCYLIDRNFTFRRVQMRFPCKPTSEGVADKTHHFTLLDGEMIIDTLPDSHKQERRYLIYDMMAINQVSITERPFYERWKMLEKEVIEPRNHERHNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKVLKEFIPKLSHDADGLVFQCWDDPYVPRTHEGLLKWKYATMNSVDFLFEMVDTRQLLYLYERGKKKLMEGNKIVFKDDTDLSSYSGKIVECSWDSEEEVWVFMRIRPDKSTPNDINTYRKVLRSIKDNITEEVLLNEIDEIIRLPMYADRIRSDSKAANSARRR >KZM88909 pep chromosome:ASM162521v1:7:32220750:32224411:1 gene:DCAR_025984 transcript:KZM88909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVKARVKRSSGTSCASTTTKVVFIALCVCAVYVIVPRHLSSDTKTTQNVVTKNSLRINPNQEATSLRDKPGDPKEVVRNNEDDIGKEAADVSNDDRKEDVLDQSSDKQDRLSDQEENLMTTAADDTERLDTKDQLTEENLNQLSAQGAEVDNSDQKEDTSNENSDKKEQMTEHLKNQVTTAAAEIDKKDQLTEENVKQADSAVEDNVERLPGKVFEEEPQKINEQEASMTLNQGTNQEIATNTDNKSKFIQKSFETTSDRNEQTKDEPSNSKNDESKDSGNNQNTLEDDDRQVKQDGEVKETVSENRENPEGTNIEISEEENEQRMEQHQRQEEQQKDRNQKEDKDSQNDGNTAVTEGVTIKDKLKNDEANTDPTDSSDRHSSSKEAAEKDNQLRLTNENNMDDKQQKSSNFPHGESSGIPEESKESMKTWSTQADHSDNHKERKKGPSEDQDASINGLSWTLCNVTAGADYIPCLDNEKAISKLHRKHFEHRERHCPEEAPTCLVPLPQGYRTPIEWPISREKIWYHNVPHTKLAEVKGHQNWVKVSGEVLTFPGGGTQFIHGALHYIDFIQQAVPQIAWGKHTRTVLDVGCGVASFGGYLFDRDVLAISFAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPSNVFDVIHCARCRVPWHVEGGTLLLELNRMLRPGGYFVWSATPVYQNLEEDVQIWKEMSALTISMCWKLVTIKKDKLNMVGAAIYRKPETNECYEERKQKQPPMCGDDDDPNAAWYVPLQSCMHRVPTDEAKRGSQWPQEWPQRLQVSPYWLNRSQMGIYGKPAAEDFVADYEHWQSMVSKTYLSGLGISWSNVRNIMDMRAVYGGFAAALRDLKVWVLNVVNTDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSRLKKRCGLAPVMAEVDRIARPGGKLIVRDKSSVVREVENLLKSLHWEIHLTFSKNKQGILSAQKSDWRPDIYAAAS >KZM86927 pep chromosome:ASM162521v1:7:8467896:8468303:-1 gene:DCAR_024061 transcript:KZM86927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKVVHGPHKYRVVRQDKTYSGEITVTVTFIKVSEGDFRAHSAAKILQPRTT >KZM88632 pep chromosome:ASM162521v1:7:29820553:29822037:1 gene:DCAR_025707 transcript:KZM88632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYAQMSHMLKLENGSNYGDGLLDARLLLLRNFAEAEEMLCVGPSRTEESFGNAKNPSLKLAIWEGAQKGHSCPCKK >KZM86269 pep chromosome:ASM162521v1:7:1148715:1149248:1 gene:DCAR_023403 transcript:KZM86269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASKMHQLFPILCLAMVMLGLFASPTEAKFKGINPFCRTSDFRRVCNVMVAGATNLHDATKNALQSAHRAGTVLQKTLPEIEQGLEGVDASTKDDTVSTCKDTFDAVVDNVKQALQYFEANDIASVNTYLSAATSVTDCQDAFKEVGADFPPNVAKLTKNVDMQISNCLAVTQQK >KZM86290 pep chromosome:ASM162521v1:7:1334980:1336911:-1 gene:DCAR_023424 transcript:KZM86290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGRNGRVSRGMLPLLAFHAISEYSRLDRKPPVTAALVAANTLIYLRPKFINHLIPTLDQVWFNPYLILKNGDLRRLLLSPFYHINDSHLVYNMMSLLWKGVQLENSMGSVEFTSMVAALLGLSQGITILLAKALLVFFDYERPYYQEYAVGFSGVLFALKVVLNSQSNDYSYVYGIMVPSRLAAWVELILIQMFVPGVSFLGHLGGILAGILYMRWKSSYLGPRPLRAVIGGFASFLSWPFRMVRGFSQRRRISGRGTVGRGQTRNTPPTPWSCPACTYDNSGWLTVCEMCGTSQTGDDNLLSSGLSTDQPPVGDHPSLEELRNRRVQRFGR >KZM89009 pep chromosome:ASM162521v1:7:33038169:33038387:-1 gene:DCAR_026084 transcript:KZM89009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEEIELFDQALEEGWGAPNGPGQAAQFMNTAGLHGLQAGEVQGEMEIHDLIYEDELDEEEDGDDPVVMAA >KZM86764 pep chromosome:ASM162521v1:7:6612227:6621929:1 gene:DCAR_023898 transcript:KZM86764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSIEVVVPQDDYGLYAIDILDPSYLVKCMHLTDVYHFHDMIDMLIGCGYKKGTTLFGFGYDFRQSNRIDKSMDDLVEKLETAYKASGGRKVNLITHSMGGLLVMCFISLHNEIFSKYVNKWIAIACPFQGAPGCINDSLLTGLQFVEGFESYFFVSRWSMHQLLVECPSIYEMLPNPEFKWKKQPEILVWRGQSTDGEAAELESFDTKESVFLFEEALRNNELNYDGKTVALPFNFSIFNWASDTRKILNKVELPEGILFYNIYGTSFDTPFDVCYGSETSPIQELSEVCHTLPVYSYVDGDGTVPAESAKADGFPATERAGVVGTHRELLKDENVFELLKKWLGVREVSSCSTTAKVMDAFMH >KZM88790 pep chromosome:ASM162521v1:7:31338644:31344509:1 gene:DCAR_025865 transcript:KZM88790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMQPAISVRTWQHWQYTLIFGVGSSVSHFSRQRTDIIKYQGDAVGAGEQAELINKDNLDTSRTRVGRVIYADPVQIWDSDSGKLTDFTSHFTFTIDTQSRAKYGHGIAFFLAPVGYQIPPNSVPGYLGLFNATRPVPSGNQIVQVEFDSYVNKGWDPPYEHVGINIDSIISAQTAPWNASLHSGDTADVWVMYNATTKNLSVFWSYKQNPNFQMNSSLFYQIDLKDVLPEVTSIGFSASIGYMAQQTFLKSWEFSSTLSEKNSRNRDKKGLVEWVWDLYGSAELVAAVDERLNSEFDAAQAECLIIVGLWCAHPDPNLRPLIRQAIQVLNFEVAMPSLPNTMPNPVYHAPFSTVTPVSSTSAASSTSAASSSQATISYTSKNFGR >KZM88402 pep chromosome:ASM162521v1:7:27620996:27624218:1 gene:DCAR_025477 transcript:KZM88402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLSTHLRPLAVSRSAPRPNRSVAPGVSSSTPSFRPAASIVSRSFTAPAGDGVSSKRVEDVMPIATGHEREELEAQLQGRDILDINFPEGPFGTKEAPAVVKSYYDKRIVGCPGVDGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGDDHH >KZM86529 pep chromosome:ASM162521v1:7:3827432:3831272:1 gene:DCAR_023663 transcript:KZM86529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVFQSSWFPWNPILTTKLVKQKHYTFHSHVNLKAPWIYRNKLIVGCSGGVEGSPQMAPADDKEIIVGVDVQNKYTCENLLENEREGDTMIADFEDVIEQSVPPLVSALKASAEQNAARFHFPGHNEGRGAPSSLVQLIGLRPFQHDLPELPELDNLFSPEGVILEAQREASTLFGASETWFLVGGSTCGVQAAIMATCSPGDILILPRNSHASAISAMVLSGAVPKYIIPHYDFSWDIAGGTSVDKAIKELETEGRKAAAVFVTSPTYHGICSSLNEISILCHSRNIPLIVDEAHGAHFGFHSELPSSSLSQGADLVVQSTHKVLCSLTQSSMLHMSGDIVDRERICRCLQTLQSSSPSYLLLSSLDAARAQISDNQHTIFNQAVKLACEARRLIQKIPGISVLDLQVFSSFPAIDPLRITVGVWELGISGFEADDTLDKEYGIVSELAGMQSITFVITLGTCREHIQRLVLGLKHLSEIYYPYQGSRKEERLDGSQQLTCSFKDIKIRLSPREAFFARKRTVSFTKSIGEICGELICPYPPGIPVMIPGEIITENALDYLLQVRNKGGVISGAADPLLASIVICDGK >KZM87653 pep chromosome:ASM162521v1:7:18936124:18936594:-1 gene:DCAR_024756 transcript:KZM87653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSISSATEKPKEVVDFLRGVQPSNPAQSEVEMVKCDSCGFTEDCTPEYIIQIREKYDGRWICGLCAEAVKDQVLRSDGNMSTEEALERHMAFCLNFQSSSPPSSTLEHPIFAMGRILRRSLDSPKGLQSTPNSPVQGDRRSSLLRSQSCFSSLSS >KZM88988 pep chromosome:ASM162521v1:7:32846306:32847569:1 gene:DCAR_026063 transcript:KZM88988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFGGKEDEQVFLPPGFRFHPTDEELITHYLSKKVLDSNFSATAIGEVDMNKIEPWDLPRRAKMGEKEWYFFCVRDKKYPTGLRTNRATTAGYWKATGKDKEIFRGKSLVGMKKTLVFYRGRAPKGEKSNWVIHEYRLEGRFSIHNLPKTAKNEWVICRVFKKTSGGKKIHISGLLSSNTIDDEMIPDVMPQLLDSSTSDRLTPNVTESLHVPCFSNAIDIQSSQKDLFSYFNNNPGYPMSSNTSEFFQRFSHPNLYPGVQSAQIPVNFQYPSTMAMQDQSILRGLFENYGSNTRQNLKTEKEMVSVSQETGLSTEVNTEISSVVSNLDMGRRTFDDQQAPTASVGALEYDCLWSY >KZM87739 pep chromosome:ASM162521v1:7:19997934:20001049:1 gene:DCAR_024840 transcript:KZM87739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPYVISEVVCVILISLLLSNCKFQALDGNATDRQSLLAFKKSIVQDPQNALGSWNDSLHFCQWEGVTCGRRHQRVTRIDITSRALSGSLSPYLANLTFLQILQINNNSLYGEIPPQLGNLFRLKALNLFLNNLEGTIPANISRCSNLQHLRVGRNNLVGKLPEELGALSKLQSLVIEENSFTGRIPPFLGNFTSLEIFYARRNHFSGGIPDTIGNMRKLSILGLAANNLSGMIPLSLYNISSLTQLSLDENELQGTLHPSIGSMLPLLQVIQLSDNQFTGLLPLSLSNCSKLEIFQMNTNFFEKKIAINFGGQNSLMNIVLDENNFGTGETDEMNFIGSLSNCSNLQGLGVSDNKLKAVLPDSVGNLSTKINYLNLAGNQLYGRLPSSIGNLINLDTFGLDDNQFSGTIPISIGNLEKLQVVAFSQNKFSGVIPQSIGNLTLLTKLYMNMNRLEGNIPINLANCSRLLTLELSQNNFTGLIPKQLFSLSTLSITLNLSHNYIYGQLPSDVGNLVHLGSLDLSNNRLSGKIPASLGSCSSLEYLFLQNNMFQGSIPSSLSSLKGVMNIDLSLNNLSGEIPKFFEQLSVKYLNLSFNNLEGEVPTRGIFSNLSGVSVLGNSNICGEYGLGSEVSKSGDVYSFGILLLEMITGKRPTDAMFNEGLDLHKFVSTALPDHLQHIISPTFHEDFEETIETTKISGENVVQECLLKVGTACSVESPQARMSITDVVSELNFIRQTITFH >KZM86715 pep chromosome:ASM162521v1:7:6141138:6148797:1 gene:DCAR_023849 transcript:KZM86715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVECPVKNSFTYNTTLCACNPGYIYNTTTKACSLFQSDVTDWTRIDSGFDKHDFYSFNIPQVFQLNAITKFTQSQAILLETTLLLLFSWLLFCFLARFGSLGDGRTPWFKIRWWISRLDFSFATRHWLDDQKVVVKRKTELGGTLSIASWILFIGLFATLLYQFLSKRSIEVHNLRATNAPDLASFNNDMEFNITTISGMSCSHLRGIDNLVTGSPGFIAQRVAPLSTFANYSCHNSTMGPTIVFKCFKCQLIQDYTYISWHFLDLPNDPASAVGFQFNLTMTDHASKRRMSLVSGTLKNGSNMDNKPITYRGVDPNILKFNLFPRIYRNLHDLKLIQPLFHDFIPGSYISEINPLKDSLQSSSTGVVNVTISINFLSAYVVEIDDEKILGPVSFLADLGGLYCISVGIFFYLLVQCEYRVKKLRNEDSVMRNIRSRQKAKKNWNKLRKYVKYTWNCSSLDDNYKSLDTDVCCTGMKKNSSHKEISSRKQRPPIKMDKISFNRKVTLPDEKVQRNQVHQNVKADKIRTDGGNTLPPPPPLEYKAVSDGSLTELQKHLQVLYEYNVKLRENMDIAQSMINDLTSKSSSSDLDKSSTTLHDS >KZM86241 pep chromosome:ASM162521v1:7:906472:909219:-1 gene:DCAR_023375 transcript:KZM86241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSVLSVLCIYVVLTFGSFITVIKSLETQTQSHEHYSYDRVINLPGQPLTPSVSQFSGYITVNKDHGRALFYWFFEAQSHPSKKPLLLWLNGGPGCSSIGYGAAVELGPLKVNKNGGLNFNPHSWNKEANLLFVESPVGVGFSYTNTSSDLDILDDQFVAEDTYNFLVNWLQRYSQWSSHDFYISGESYAGHYVPQLAELIHNRNKDISENPFINLKGFIVGNPETDDSYDYRGILEYAWSHSVIPDEVYDTAKNVCNFENNNWSKECNAAMEIVFDKYKEIDIYNIYAPICLINTTSSVVGSDSHVSSFKAQSQDYKRPIRIPAGYDPCYTPYVQEYFNRTDVKKAFHANTSIDYKVCSDHVFNLYNYTISSILPIYTELIKSGLKIWIYSGDADGRVPVIGSRYCIEALGLPLKSPWRSWFHNQQVGGRIVEYEGLTMVTVRGAGHLVPLNKPSEALSLIHSFLSGQPLPKDR >KZM88755 pep chromosome:ASM162521v1:7:31029032:31029470:1 gene:DCAR_025830 transcript:KZM88755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDGSPDEEGNGAKGVGFSQYASPKKRSGVATDGGVQDCDDGFAKIVKKRKGVRSANIGKEDGFAPNSQVTKKPIENNTTIHTTQTHHRMRRMARTPVTKGYGLTKKANKHM >KZM87957 pep chromosome:ASM162521v1:7:22498162:22499828:1 gene:DCAR_025058 transcript:KZM87957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTYIMIKPDGVQRGLVGEIIGRFERRGFILKGMKLLTVDKSLAEKHYADLSTKPFFQKLVNYIVSGPVVAMVWEGKNVVTTGRKLIGATNPCESAPGTIRGDFAIDMGRNVIHGSDAVESAKKEIALWFPEGIAEWQSCIRSWVYE >KZM88996 pep chromosome:ASM162521v1:7:32927976:32929616:-1 gene:DCAR_026071 transcript:KZM88996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSTVAIKEHFGKFDDVLDPGCHCLPWVFGYQIAGHLSLRVQQLDVKCETKTKDNVFVNVVASIQYRALSEKANDAFYRLSNTRSQIQAYVFDVIRGTVPKLNLDAAFEQKNDIAKAVEDELEKAMSHYGYEIVQTLIVDIEPDEHVKRAMNEINAGAPLRGNRNMDVGFVGHLNKVPPIY >KZM89162 pep chromosome:ASM162521v1:7:34495268:34496358:-1 gene:DCAR_026237 transcript:KZM89162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIILNHLNYYNPPSCRPTFYKVYKKKSTEGFQSVPYVVGLFSAMLWIYYALLKSNTLLLITINSAGCVFQTVYICIFLIYAPRKARMQTLKLLVSMNVVGFGLIVILTQFVAKGAANRVVIVGWICLVFSLCVFVAPLCVVRQVIRTKSVEYMPFLLSFFLTLSAVMWFFYGLLLKDCNIAVPNVLGFTFGILQMILYMVYRNSKKDSIEAKKLPELFEDDSVIIIDDQKLPELKAKIDDVMRLSAMVCSEMKPVGRNSNPNELDMIEIQVVVPKKQATPITA >KZM87463 pep chromosome:ASM162521v1:7:16622334:16625139:1 gene:DCAR_024597 transcript:KZM87463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLILHLCATINICLLCFPYKSFCADNKFKECKKARYCGNQTIRFPFYTDDIPQYCGFPGFELTCINKDVLLLNLFDDQYKITQVFYANNSFRVSNVLSLRSGFCSLSKIRNLELPGGDERFELHSSSTLILFSNCTSQSGDRFSKNKVGCDLKKDGADWVLAMKTDDPDVDFAYEACESVVLAPVHDYGEDGDTDYLNLIRNGFDLKWTVTGCRECKDSASSKGKLGVKVGLAGALAAGVLISAVLLFFFWSKCSSCRYLISSKSVRKDREKVEAFLKLQGNNAPKRFRYRDIKKMTQSFKSKLGQGGYGGVYKGKLPDERAVAVKILNTSKSDGEEFLNEVASISRTSHVNIVSLLGFCFEGPKRALVYEFMPNGSLEKFQSDGKSSAAQQLRWQTLNEIALGIARGLEYLHRGCSTRILHFDIKPHNILLDEKFCPKISDFGLAKLCLGEESIISMQDMRGTPGYIAPEIFSRNFGGVSHKSDVYSYGMMILEMIGAKNNINEEVDNCSSKYFPDWIYDRLELNADQIELADISDEVEEESRRKMLIVGLWCIQTHPSDRPSIKRVLEMLEGNVKSLRIPTRPLLKSIEEPLKTFSTT >KZM87536 pep chromosome:ASM162521v1:7:17928374:17931965:1 gene:DCAR_024670 transcript:KZM87536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLVMMQKKQRESMNLRYLAELDLDQFSVADLVQLEKELGTALVQTIAAKISANVRTSTLHLLFNLVYPLNSSFHRPWTNLWFRFGLYSFNLVFESIQANLLKEENDVLAQQIAAMVKQNIAKKEKSEEVGSELCNLSDTETHHAPPGETLMLLGVKGSRCISENTLSNDPSFVLEKNIERSGSPNKKKRYESVYLSSPTAVQYWKP >KZM86244 pep chromosome:ASM162521v1:7:923187:925095:-1 gene:DCAR_023378 transcript:KZM86244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAEILLCGDYKSDVVSIRPDLFDPSKTGLDVCASGSVSVQVTRSESAVRCSTIIQATSLESGASDYVPSIRSGSHTDIGPRRSNEDEHICVDDICAWSGSRYSLPLPSSFFAVFDGHGGSEASAYLKNNAMRLFFEDVALPQIYDIDDILLEDLENSHCKAFLLADQALAAEGSVCDFCGTTALTALVLGRHLLVANVGDSRAVLCRKGVAVPMSQDHRPSYISELKRIKELGGYIEDGYLNGELAVTRALGDWYMKSPSGCPAPLTAEPDVQRTLLTKDDEFLIIACDGIWDVMSNEEAVRLVRGQLRQHDDPQKCAKALVGQALRLDTSDNLTAIIVCFSSPAELTESVTSQRPKLSCCSLSDDARNRLRSFLQGN >KZM88423 pep chromosome:ASM162521v1:7:27791108:27794791:1 gene:DCAR_025498 transcript:KZM88423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASVIKKPYLNTYAVALLLLLSLIYLLSLSSPYFDSSFNSFRFSSTSTGNSIWSVKRFIEWRPCDWWIRGHLNALPEESNGYIRVDCYGGLNQMRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSGFADVFDVDYFIGKMNGFIKVVKELPPEIASKEPVHVDCSKRKGQFDYIESILPSLLEHHYISITPAMSQRRDRYPRYAKAALCQACYSALRLTKFLEDKGSELLQAIPKPFLSLHLRFEPDMVAYSQCEYQGLSLASMQSIDAARGDRKPWTGEAARVWRGRGKCPLTPNETAFILQSLSIPLDTNIYLAAGDGLMEVEGLTSVYTNVFTKSSLLTNHDFKSMHGNTKAALDYHVSINSDSYMATYFGNMDKMVAAMRAYKGLFKTLFLSRRHFADFTSKGLKGKELMGALWKAHSDEFAMGIGSALPDCFCEFRL >KZM88421 pep chromosome:ASM162521v1:7:27777419:27778670:-1 gene:DCAR_025496 transcript:KZM88421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRIIRERNEDKSGIKAKKIEGRDTQDSLIPDYEDGSMYFSVEVLFGGEFNTVYTEYLERAEENEGGNDLDSVLPKSVKFNKCSYCKEDGHNARSCKAKMKEGLQQKDVVEKQQETCQTTPKRVKSSAEITKNSSSLK >KZM86702 pep chromosome:ASM162521v1:7:5974722:5975342:-1 gene:DCAR_023836 transcript:KZM86702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPARSAEKQVHVVCVASPTQSHIKAMLKMAKLLYSKGIFITFVNTEFNHRRFLKQGLLDSLDGLPGFRFETIPDGLPPSDPDATQDIAAICQSIIENRMLLPLQNLLAKLNAGGHKVTSILSDGFMPFTADAARSIGVPIVLLWTISACAFMGFYQFRNLVEKGLIPLKVV >KZM87674 pep chromosome:ASM162521v1:7:19270187:19272906:-1 gene:DCAR_024775 transcript:KZM87674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKLRNLTTRGLEQRNTLLIFIFLTLITTLLASFLPLNSSNSSSSPLDHPLQTHPTINNACTNTLYPSLCFTSLSSVSNPNITLHHILEITVNQTLRQVKNARLVFLIRFVRNQDLSLREQNGVHDCLEMLDQTLYELRQATDDLYSVPRSQARSYGNLKTLLSAAMTNGNTCLDGFFDLGLDSDYEGGIREDFKEQLQVLLSPILKMISNSLALIKNLENQESGGNVTNSSDMWSKEKKVLGGMTEAELIEVAASRLSSPNVTVAKDGTGNFTTIMEAVHMAPNKSSDPYVMKIKAGVYSENVVIPRGKHNVIMVGDGVNLTVIMGSRNLVDGFSTFKTATLSVIGNRFLARDLTIINTSGPEKHQAVALRVTSNAAFYHCEIISHQDTLYAHSLRQFYLECTIQGTIDFIFGNAAAIFQSCQILIRKPNPGQANMVTAQGRQDPNQNTGISLQNCTIIAARNFSMAERQNFSTFLGRPWRNYSRTVIMKSYIGTLVHREGWCKWNSYSTLDTVDYIEYKNFGPGSNTTQRVNWPGYKNNCSDDIARQFSVEEFLREDDDWLESTAFPFFNAYTQNRSVELDM >KZM88930 pep chromosome:ASM162521v1:7:32396097:32397240:1 gene:DCAR_026005 transcript:KZM88930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPKLIVCLSMLIILKGVWCTTFTFVNKCDYTVWPGILGSPSLGTTGFELAKGTSQSFQAPAGWSGRFWGRTGCNFDGSGQGSCKTADCGSGQVECNGAGATPPATLAEFTLGSGSQDFYDVSLVDGYNIQMMVEVTGGSGPCASTGCIEDLNLRCPAELKVEGGSACNSACTAFATPEYCCKGEFNSPTACAPSIYSQIFKTACPRSYSYAYDDKTSTFTCTDADYIMTFCPNHPSSKATRDSPDTSEGSGSGSGSDSGSGTESTTLSDGWLANLATGESSRAYSFGAAQIVGFVIAAISVTFSFTRSS >KZM87871 pep chromosome:ASM162521v1:7:21498023:21505229:-1 gene:DCAR_024972 transcript:KZM87871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKETPEAHRPDTFLRDYDASSSSSLSVRNRFEKMIRDVQDSVCAAIEAADGGAKFKEDVWSRPGGGGGISRVLQDGSVWEKAGVNVSVVYGVMPPEAYRAATSANGNVKPGPVPFFAAGVSSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHTVQKRACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLGFSTECANSVVSAYLPIIEKRKDTPFTDQHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHAPEEGSEEWKLLDACINPKEWI >KZM88381 pep chromosome:ASM162521v1:7:27445190:27446312:1 gene:DCAR_025456 transcript:KZM88381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLALVLFCLALHSNAKAITKTQLRSATVVGTVYCHTCLQNDFSALNHFISGALVAVECDGTKPSSRFRKEVKTNKHGQFKVNLPFPVTKHVKNIKKCSVNLVRSNNANCAVASTATTSSFHLKSIKARTHIFSAGFLTFKPLTQPNICNQKPKINRNSKDSVDNDPSTFPPPLRDPPDSSIIPPIDNPGQFTPLPILPRLPPLPELPNLPPILPPKQATLSKTSSDLINEKPFFLFPPIFPNPFAPPLVPNPLQPPPAIVPPVIPSPPPSVLPPVLPTPPQPPPSIFPPVIPSPPTGFPPVPGLSPPVVPPPPPPIIPILPPGFPGVPPARTSTSSHSKESKHP >KZM87114 pep chromosome:ASM162521v1:7:11069640:11073965:-1 gene:DCAR_024248 transcript:KZM87114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDGKTIVWFRRDLRIDDNPALAAAARDGSVLPVFIWCPQEEGQFYPGRVSRWWLKQSLIHLQQSLESLGAKLILIKARSTLKALLECISAVGATKIAYNHLYDPVSLVRDHTIKQKLGELGISVQSYNGDLLYEPWEIYDNEGHAFTTFDAYWTRCLSMQKEPDSHLPPWRLVPVEGIVDNCSIDDLGLENEMEKASNALLGRGWSPGWSNADKALSAFVEENLIDYSKERATVAGNTTSLFSPYLHFGEMSVRRVFQCVRMKQLLWAKEGNCVGQESVSLFLRAIGFREYSRYICFNYPFTHERSLLSNLKYFPWKADQAHFKAWRQGRTGYPLVDAGMRELWATGWMHNRIRVIVSGFFVKFLLLPWQWGMKYFWDTLLDSDLETDILGWQYISGSLPDGHELERLDSPQVQGFKFDPEGEYVRQWLPELARMPSEWIHHPWDAPPSVLRSAGVELGLNYPVPIVDIDLARERLTEALLIMREREAVARAEKSDGTDEVGDNSDCIDNLVIPKVVLKEKAPCSAASSHDQQVPSMQNFKNGFSSRKRLLPLEEEQHQRNMQISNSEGETSKIDDDLCSTAVSSSAKKQATCSMTSFSVPQSCSVSSDLKQQSHVEIDAETSSGKQVG >KZM88447 pep chromosome:ASM162521v1:7:27976643:27980395:1 gene:DCAR_025522 transcript:KZM88447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLTPLLNLPTSSSHSSTTTSYLTFTNNISNHHPEPVFSTCYGFCRTKPSQKNRRLISVKASDNKEKAAEEESAPAFNPFGFVTDNASSRTAIQLPEVPAEDGNVGQVLYRTEDKGREYGSYIKAGEFRWFVRETGSARSDRGTVIFIHGAPTQSYSYRVVMSQMADAGFRCYAPDWLGFGFSEKPLPKYDFDYTEIEFHKELDKLLDALEVNAPFYLVVQGFLVGSFGLTWALKNPARILKLAIMNSPLTASSPIPGLFQQLRIPLLGEFTCQNAVMAERFIEAGSAYVLKLEKADVYRLPYLSSSGPGFALLEATKKTNFRDVSSQIADGFASGRWDKPILLAWGISDKYLPQSVAEEFQKVNPALIQLKLIEGAGHMPQEDWPEKVVDALKYFF >KZM87764 pep chromosome:ASM162521v1:7:20193731:20195855:1 gene:DCAR_024865 transcript:KZM87764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHGVATLEHDKCSVTWCRRGGTEIRFPFHRINHEKDKQLRSKYCGVPGFQLSCSTSDRSNNFPVLKFEYQVNTSLPGIHLSFSVEAYVDSIDYKSQQLHFFTKSNNITQHYGYYLPNSPFKHLKLREFPVDDPRYLTDYTFYRCSATISDIGTIDHIIEEVPSLSGHDYQVYVVYSHFRTVEALLTWCTKLYNVSRTPFFGGGLSWSWPNCRHCEGKDQYCKFKANSSTNTECFPVPKGPSSHRLLETGKVGGILVLSFMLVAFCYAIYLYKQKKNYQQKIEVFLEDYKALKPTRYSYADIKKISNHFKVKLGEGGYGSVFKGQLSNDVPVAVKILNDKADAKGSGADFINEVSTIGLIHHVNVVRLVGYCADGCRRALVYEFLPNNSLENYVYSKENRRNGFLGWQKMEEIALGIAKGIEYLHQGCAQRILHFDIKPHNILLDQNFNPKIADFGLAKLCSKGQSIVSMTMARGTVGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGARNHTLTETENTSEVYFPEWIFRQLEQETETRSQIEEDGKSKIERKLKIVGLCCVNWHPADRPSMKHVIQMLEAEDCPAMPPNPFSSTSSRNAFSAASARPFANELEVISESE >KZM87686 pep chromosome:ASM162521v1:7:19391284:19394440:-1 gene:DCAR_024787 transcript:KZM87686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAISAKKNVLVLAILLLVTLEYCDGDDDLVYEPDCLNVPTAEFLSSVKTTIDVVQQVTSIVAGFNRGFHDFRTSHAISDCLDLLDLSTDELVSTLSLIQHPHDSKNRSTGNLRADLKTWLSAALGNQDTCVEGFDGTKGSVKKSVASSLEQITSLVRVILNNVEPPRNNVHSNKNVRDNGGRREHRGHRGSGRPGWMHKRKLIGGEKFPEWVRSSDRKKLLQVNGVEADLVVDANGNGNFTTLSDAIKAVPDYSTNRTVIYVKKGVYNEYVEISKKKWYVMLVGDGMDVTVISGNHSFVGNWTTYRSATFGVKGRGFIARDMTFQNTAGPESHQAVAFRSDSDLSVLYRCAMRGYQDTLYAHSQRQFYRECHITGTVDFIFGDAAAVFQNCQILARKGLPNQKNTITAQGRKEAAETTGFSIQFSNISVEPNVVAGNSTLTYLGRPWKLYSRTVIMQSYISNAIRPEGWLEWNTTFALDTLYYGEYMNYGPGAGLGSRVKWPGYRILNTTAEANAFTVAQFLLGNSWLPSTGVKYTAGLVV >KZM87030 pep chromosome:ASM162521v1:7:10266143:10266580:1 gene:DCAR_024164 transcript:KZM87030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAEEPVNEQAVATIYGNMRADINQVYSKITELEMEVSEHSLVINAIKPLDQSRRCYRMIGGVLVERTIKEVLPAVQHNKEGLEMVIARLNEALEKKKKELADFEAKYKIRIKKGDEVKDDGSKKEGSAQGVLVGPAGAAEQN >KZM86818 pep chromosome:ASM162521v1:7:7345821:7346201:-1 gene:DCAR_023952 transcript:KZM86818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADGDAPLHMSFLEEISWPIILLDWVERDVSFLCTLTRPVGAVEELLSLDLGFGRLSPENQDVEIYNDEQDPVDFGPASVHPNNIIFIYGVYAFHEDYYAADNEGMDTMPVYEAQVEDYVLGAGF >KZM86676 pep chromosome:ASM162521v1:7:5539971:5540768:-1 gene:DCAR_023810 transcript:KZM86676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFITKAIGSIGGINKNSLDQSQASLDISDDETCSNDSSDDGLECPICCESFNIVENVPYVLWCGHTLCKNCVLGLQLAVYKFSNQKVQIPFFISCPWCHLLTLRLVYKGNLKFPCKNYFLLWMVESLNGDRLKSPSLTVGDSQPLWSAKSASCTVNHSTGVSLRRSHPSGHSGSNSDNGNGIGGSVSPERTHSFHKSLDFFINLTAKIPLVILVLLIVIFAIPASVAILALYLLITVLFALPSFLVLYFTYPALEWLARELAT >KZM86681 pep chromosome:ASM162521v1:7:5666167:5669815:1 gene:DCAR_023815 transcript:KZM86681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSPSPPRGFNRRGRSPSPRGRYNGGRSRDLPTSLLVRNLRHDCRAEDLRRPFEQFGNLKDIYLPRDYYSGGGRFSDRRRSPPRYSRSPSPAPRYSGSRSRDYSPPHKRRQYSRSVSPEEKRYSRERSYSRSPVRERSPPYNGSRIRSHSLGRDASPNPPVARSPSRGRSPSRSRSRSPDPQHYSRGPGRDRSPSQ >KZM86663 pep chromosome:ASM162521v1:7:5430868:5431813:-1 gene:DCAR_023797 transcript:KZM86663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVKRRLAKKLLLPLEQQFPFTCEICTERKPYNKSFSVKGCRHSYCSDCLVKYIDIKLQSKVIHILCPGLGCTSQLDPDNCRPIIADKMFDHWWAALYESWIPQACKLYCPNKTCSALLFIDADGSRGPMLACPYCQQRLCVHCKVMWHEGIGCEEFYWRNKEEDEKEDALLHQLASENGWQQCPNCHIYISHYDSASCDVMLCRSCGCGFCYGCGTAYGGHGAHIPHTVCYRKNHPPLHVLQSVKDDGKILVREPPEFIILFNGLFKQADLNSKFKDDPKN >KZM88435 pep chromosome:ASM162521v1:7:27840916:27842003:-1 gene:DCAR_025510 transcript:KZM88435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDADHGVLRAFKHSGIEIIIGLGNEYLKNISFSEDNAMDWVKVNVEPFLPETRIRGIAVGNEVLGGTTPELWEVLLPAVKNIHHALDQVHLAKVVQVSSPHSAAVFATSFPPSAGAFKESVMPYMKPLLEFFSRIHSPFYINTYPFLAYISDPEHIDINYALFLKNPGIYDDKTNLHYDNMFEAQIDGSYAALEKAGFGKTEVIVSETGWASHGDPNEVGATVQNARTYNLNLRKKLAKKKGTPYRPKTPVKAYVFAIFNENLKPGPTSERNFGLFKADGSISYDIGFTGLKPSSASSLLSSFKKTGVENWFSSLLLVYSTCTMAVLLLIL >KZM89201 pep chromosome:ASM162521v1:7:34926470:34929606:-1 gene:DCAR_026276 transcript:KZM89201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHAIHTNHYNKIPKIIPFLGIALLLFTIIPFYYPFQKPSVVKSTSPETPTLVTVIDHSDVNLVEINEHEDCDLFSGEWVRDPDAPYYTNKTCWAIHEHQNCVKYGRPDLDFMKWRWKPDGCELPGFNPYQFLELVRDKSLAFVGDSIGRNQMQSMICMLSRVEFPVENSYTSDQNFIRWKYMSYNFTLSMYWTPFLVRAEEADPNGPTKTGLFNLYLDEFDLKWTSQIEDFDYVIINAGHWFSRPGFYYEKGQIVGCRFCQVENITDYPMTFGYRKAFRTAFRAINSLKNFKGVAYLKTYSPMHFENGNWNDGGDCVRTKPLKSNEAKLEGINLELYMTQIEEFKIAEQEARRRGKRFRLMDLTPAMLLRPDGHPSRYGHWPNENVTLYNDCVHWCLPGPIDTWSDFLLQMIKTEGRRSYEQRLQLKHKKAGSSKFMFH >KZM88674 pep chromosome:ASM162521v1:7:30278151:30281526:-1 gene:DCAR_025749 transcript:KZM88674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKSWRKNHPHGFVAKPDALPDGSVNLMVWQCTIPGKTGTDWEGGCYPLTLHFSEDYPSKPPKCKFPAGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILIGIQDLLDQPNPADPAQTEGYHLFIQDTVEYKKRVRQQAKQYPPLV >KZM88243 pep chromosome:ASM162521v1:7:25979160:26005788:-1 gene:DCAR_025318 transcript:KZM88243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVHLVELKGSGELFAMKAMEKSVMLDRNKVCRACIERDILSQLDHPFLPVLYCSFQTSTHVCLLTDFFRGGELFDLLDKQPLKLFTEKSARFYAAEIVIGLEYLHCLGIVYRDLKPENVLLQNDGHVIITDFDLSFRTSCRPQVKKLPLPNRDGHSCAVDWWALGIMLYEMLYGRTPFKGKNRGKTFGNILYKELTFPSSIPVSLAAKQLVHSLLKRDPDNRLGSNCGANEIKDHTFFRGINWPLIRCMSPPPLEVPLELNGRKSIYKDIQQEDGVFVNSVDTF >KZM86428 pep chromosome:ASM162521v1:7:2751237:2753152:1 gene:DCAR_023562 transcript:KZM86428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQDMINGVGVLPVSTPNWTINVSDHDDDQNVAPQKPLLLLLLNLHELCQKLPQREEAQGADTAILMSGATIVDRPVSITPAENYQLPPYVPLLTMEKKTTDSDSAFHKTEDVVSTMLALGFILGKDTLSRAKTFDEKHKLTSHASATIASIDRKIGLIKMLSTGTAALNGKVREIDEWFQLSDMTKQALLAAEHTASSAGSAIMRNRYVSTGASWVSSALSMFAKAAEDVSLMTKDKVEKADEEKKETLYREPTVVNDYARFHLDKSSTAELTVVSVHTTI >KZM86695 pep chromosome:ASM162521v1:7:5836947:5840088:-1 gene:DCAR_023829 transcript:KZM86695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTQLEQELQRARQQVNLFNHYGLVDLLDRGKSLIVKPSKLFAVSPPPNPYRALAFDVEYARWLEEHNRRVNELRGALLISQLEPLTEQQLLSISNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSNSSENVANYMGQMAMAMGKLGTLENFIRQADNLRQQTLQQLHGILTTRQSARAVLAISDYFSRLRALSSLWLARPRE >KZM86892 pep chromosome:ASM162521v1:7:8096763:8099288:1 gene:DCAR_024026 transcript:KZM86892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSCFGAFYSQKAKSREQEEEIGTNSVKLFSHNSLRSATSHFHPSNKIGGGGFGVVYRDRKRILLYEYLENNSLASVLLRSKSKGVHLDWPKRVVICIGTASGLAYLHEEAKPHIVHRDIKASNILLDENFHPKIGDFGLAKLFPDNVTHISTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLLLEIISGKSSSMAAFGEDLLVLVEWAWQLKEQERLLDLVDPELTEYPANEMLRFIKVGLFCTQAASKQRPTMKQVLDMLSKEVNLNEKLLTEPGVYRHYSSQRSGGGKSSSLVIKGKQHRDLSVSSNQLNSFQSMTNILPR >KZM88853 pep chromosome:ASM162521v1:7:31773551:31774786:-1 gene:DCAR_025928 transcript:KZM88853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLALRHVRRLSISAAFSAAAESPSLPMTRAQYTSKLRTEYDPNKVLELYQAVSKLDISPLFARHAQDLTVKRLAKAKRFDDIEKLLEAKKSDPKAKEEAFVSTLIRAYGLAGMPDHANRVYEQMGELGTPRSVVSFNALLSACNHCKLFDRVCQLFDEMPERHGFLPDKVSYGLLVKAYCDDGKPELGVEKLKEMEERGVEVTVVTFTTILHALYKKGRVDEAERLWSEMTGKGFVPDTGAYNVRVMNAQDGKVEDLVKMIEEMKEAGVKPDTITYNYLMTCYCKNGMIDEAKKVYEGLDDYGCNPHGATFGTLIHYLAKNEMFEYGYRVFKDSVRGNKMPDFCILKPLVVGLAKRKSKKRAQGIIRAAKKNYPPSFLETLKKVEEELGLVGDGSVDLEEAASASETC >KZM86860 pep chromosome:ASM162521v1:7:7768502:7775438:-1 gene:DCAR_023994 transcript:KZM86860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQLLRSFLELSATDEPPSRHTLTLARSLITNPSTSDSTISTILQALTHSLSLNPNPHTISLLSDISLRHPHLRRLIFSSLRTFSLLPHNSPRLVVESLSILVSIAEQDSELLQLVEELSESVFLSVCFGGCVSARRWLLANAKRILVRPAVLVTVFLGFSKDPYPEIRRVALDGLSCCVGIQDRGVMEGCFLRGVEMLSDTEDSVRCSAVRVISEWGQLLTASSEGASKKYWSDALFEKLCSIVRDMSVKVRLQVFDAIGKITMASENILLQTLSKKVLIKTQTSDVAGAFVHGMEDEFYEVRRSACSSLRELFILSSDFACKALNLLVDMLNDDSIAVRLQALQTMHHMAQHDHQVQEEYLNLLFGALFDTSSSIRSEARRIIQLLKMHSSKMFKFCVNGLVKNMEAFPQDEADIFLVLFIIGRKHGSYAASTVEETSQDIDDFFEGKLGSNGAKTALILVLAISASLSHEINICRIPPRMFSYAVTLLGRISSGLAYALDRHVLFSYLCACSRSTSVSDSELIKGDEILQHIVNDSSRNQESREQSSSEVSIADCQLEGIEVLALVNLVLAQISNMWQPMQVGCIDGVLKTLRSLKEELGSINNTSSQSSGVLVFALKYIRVLELFGKIWTNLMCLKKSPLTGEIEINFGELDYKLRELAYTFLGLDKEVTIHLLELMVVTCTLKLCSLQPWCYVSTLRKLHSIYSVVELLLDKGSIEPSHFLAEAGKSLHGVQTSIGGMSNLFQFRKLLELFSLKQVELAGGLKHVKAELDIINNTCETPLFFVSGLPVGIRLQITLYNMDVDNRLWVKLTVNEYSCQYVYLDLKQFGDSDPVKKFVFAAPFYRTPKTNCFKLRVTLGMEDLSGEKVIVRNFRHPEHDLIYLCTEKEVFLSRIVK >KZM86959 pep chromosome:ASM162521v1:7:8954228:8954787:-1 gene:DCAR_024093 transcript:KZM86959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINSMRWDGTVNSRVEQNCLLPMAVASRDGVTRLDQDQAAVDLHKKASAAAAAALGRFKDEIVHVHTKIVDIKNRDKKPVTISVDDVIRANIDVADLGN >KZM88599 pep chromosome:ASM162521v1:7:29612360:29618144:-1 gene:DCAR_025674 transcript:KZM88599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLIISSPLLLRFLLAPCSRPPPSSTVGLQLPLFNLSVNSICPSLLTSPSATAVAMKTLAFVLPILESLTNGALKTYRKTGYGRSLSVLVLLPTKELSTQVASDFKVYGGSLGLIRSVCMDIVVGTPGRIKDHIERGNVDFSSIKFRVLDEADEMLRMGFVEDVEYILGKVEDTKKVQTLLFSATLPIWVKQIATRFLKPDKKTADLVGTIYIYYNKPTWG >KZM87156 pep chromosome:ASM162521v1:7:11772481:11772774:-1 gene:DCAR_024290 transcript:KZM87156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLVRISSLLLLVILINHIVLASYARLISTTGSTYELTMEKERVKHVHVPISNSVEELRAGPRTDDISRILTDGRPIPIPPISVEELQACPPTEN >KZM88309 pep chromosome:ASM162521v1:7:26720210:26723182:1 gene:DCAR_025384 transcript:KZM88309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFRMRIEEILPAWRDHYLCYKTLKKILKRFPFTVDQENRFLVILNQELIKINDFYVDEEEEFIIRFQDLKERTDRVKEMIRNGTFRSELRGEIMGILTDFFTIQGELVVLKNYCFLNYLGLTKILKKHDKITGGSLRIPFIHLAMWRFKTIISLVILISWWSPMAWAMRFDLKSGSTKCITEEIKSSAMTVGKYTVISDIKPTQESHKITIRVTSPHGNNYHYSDHVDSGTFAFTAAETGDYMACFWAPDHKPPVTLSVDFDWKSGVAAKDWSKVAKKGQVDVMEVELKKLYDTVTSIHDEMFYLREREEEMQALNRSTNSNMATFSFLSLLVCLSVAGLQLWHLKTFFERKKLL >KZM87309 pep chromosome:ASM162521v1:7:14096956:14098961:1 gene:DCAR_024443 transcript:KZM87309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLKISRSVHVFNSDGGDSGGDGGGEGEDGGRMIVAERNNGGGGGGGGVGGDGDGGGGYGGSGRHRGGSGYEGGDDGGGGSGGGLVKMEVVLVKMEVEIGLFKNLVEEYMEYMYLMPVPT >KZM88541 pep chromosome:ASM162521v1:7:29042070:29049736:-1 gene:DCAR_025616 transcript:KZM88541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHINFMALHTNLNNIPLFSSFKSPNFNTRFKTSIASPLSVRSASPQNENETQVPEPEVPKKLSEQSSWEAKDSEGNDYLYRDGKESDNMNIAVGARAGVIDDLFTGQFLGRDSDIVFDYRQTVTRSFEYLQGDYYIAPAFMDKVVCHIVKNYIAHLLNAKVPLILGIWGGKGQGKSFQTELIFQAMGRLIRDRYRTASQVVTNKGKMSCLMINDIDAGLGRFGNTQMTVNNQIVQGTLMNLSDNPTRVSIGQDWRATDVTHRIPIIFTGNDFSTLYAPLIRDGRMEKFLWQPNQEDIINIVNRMYEKDGISRAEVEHIVNTFPNQALDFYGALRSRTYDRSILKWVEDTGGFETLGNRLLGRKKDENLSTFIPPQQTIETLLESGYSLIEEQKLVMETKLSKEYMKNMDDS >KZM87752 pep chromosome:ASM162521v1:7:20098714:20099262:1 gene:DCAR_024853 transcript:KZM87752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPNPTRFGTSIHITALDGITNVNSLFTLAVFIGLTWNPSDPNNSLISDPKCFPGPDIAENLIAFHVYSFSSFLFSSLIALGLKQVIRIARNSGDPHWHLFTFDMCRVNKSVLRVGYLVSAVGSLCGCGFLMMALVNVAQIKLGTLSCGSGDTYSAVVPLLILVPCALVIYASFVLYAFTQ >KZM88351 pep chromosome:ASM162521v1:7:27121783:27125442:-1 gene:DCAR_025426 transcript:KZM88351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQQIPQGSRSYESGYAEQFRYNVSSGDLDSAKRNLLGDQKNLTEHASSRNHENSPAFFDTSVNQDTNVTAQTSSQHMLELLYKVDKSKDYRPVVHGGSRDSSPFSEMPQAGSPDTFATHSYNKPPSQTFGLRLSPPSQQTPASNCFDSSHSSPKMAHSGDTVSLGPSFVRNELQSHINQDIPSNSYSQQISVLEDNSAIQPVITSGTSQYAGYPMLWKNAPVQRNLSNADPRKGPPSPELNKNDFAASIAPHALHYETTYKGQNESSRSAMSSEGLGFHGEQAPKEAYSQLDSSGTLGPVPQKGKSAYGQVAKGYSETDNLDPGPAIPNLNRQAVFNQSQNISDLEVFGRSLEPSHISSQDYARLQQVHLANANTGHQLYGNSSGIQDAVTNGLVSMSQANFHSNGERKLQNLSSETRESKSVKTLPQPLLQDANQETVTFGQYSSPGASEAEKSQVSMQMAPSWFKHYGALRNGQMLPMFDPRAAHQFSTGNILEKLRMGGPGAGQESSVSPTTTDNNLVALKSFNTSYVLPPDVLVQNPEIIRPKKRKAFEILPWHKEISLCLQELHNARLSDLEWSEATNRRIEKVIDDVATIEDSTSLRPKKRLASTTQLMQQLFRPPPAVIFGGDAILNCETVAYFAARLALGHSCCLKSSSHKLCNVSDKSLEKLRTPKQIGDQEYVKVVEDFLASLEKSASIADIRVEAQELEKFSMINHFAKFHSRGPAAAAEASTSSGTRRMYLQRYVRPVPMPRTVPEEAQCLSL >KZM88656 pep chromosome:ASM162521v1:7:30019517:30021398:-1 gene:DCAR_025731 transcript:KZM88656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRKIVQEKWEFQNENFKKGGKELLPQIRRRRIESPSPTLTVNAGNSGSPAAGQITPANSGGDLVSGSTSHPSLPKNSVIIDLAMVHRYEAISQEHERLKILYEQVCSELTESRIKCDELTGILLQQREVGPEMKSQSCGSNAGAVCLGDKGKSVNDGEENDDGDFKDVKIFGVMLEQEKKKRARDEIFDSRGGGSGNKERKTGEAEAAEGIKVGTS >KZM88712 pep chromosome:ASM162521v1:7:30593353:30595778:1 gene:DCAR_025787 transcript:KZM88712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTPKLIISIDLKKKPCEQALPLHNRWHPEIPPVAEVKTGEMFRVEMVDWTGGAIKDNNSATDVKYADLSTGHYLSGPIRVVDKEGTPAKPGDLLAVEICNLGPLLGDEWGYTAIFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLTHPGVIGTAPSAELLNIWNKRERELVETGHQSTKLCEVLHTRPLAHLPSTKGCHLGKIQGQTPEWERIANEAARTLPGRENGGNCDIKNLSRGSKIYLPVFVEGANFSTGDMHFSQGDGEVSFCGAIEMRVRFPGLTHPGVIGTAPSAELLNIWNKRERELVETGHQSTKLCEVLHTRPLAHLPSTKGCHLGKIQGQTPEWERIANEAARTLPGRENGGNCDIKNLSRGSKIYLPVFVEGANFSTGDMHFSQGDGEVSFCGAIEMSGFLELRCISYLIY >KZM86246 pep chromosome:ASM162521v1:7:990738:993905:-1 gene:DCAR_023380 transcript:KZM86246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYNIPEHGHADASLIHSTESNPVLTSPLTEQFEELYNNRVSESAVPQGLYHPTADNFGYISAGMESPSNWNDHQVFFGLDGQEIQYAGAQTENFPFLLYTPSYGYTQTPFNPYNPYIPGAMIGTDGSYIGSHQYYTVPSYENPLSSTGYYPMVVQSGPDVYPNSNPEGPEPFMDAAAFIANRTDVPGPKHNLSSASAAVKMNPLRITPDKTNSFTRTSGESRINIGPSKQPLSHGNVSSNGYYNAAAMSNVFQGRGTKIVDNLSQGKVWSNRNQFKVAQPGSGLSSFSSSTQQRAMIDKVQTKVTGGSVSPDVSSEQDRGPRTSKLTNQLAAKAYSSMAREGDAQGSVIIDTDQYNKDDFPLNYVNAKFFVIKSYSEDDVHKSIKYSVWSSTPNGNKKLYIAHDDARRIAGEEPRGCPIFLFFSVNASGQFCGVAEMTGAVDFHKNMDFWQQDKWSGSFPVKWHFIKDVPNSNFRHIILENNENKPVTNSRDTQEIRYEKGVEMLKIFKHYTSKTSLLDDFMYYENRQKLLKQEKARRLLKNYEHQFIWPRIEPPRKMNGILKSPIKEDAELEKNIDEKNDLEKVPISKDQVLTDKEVNEVIVKSCDTSAATKNVEGESGLLKFGSLSINQKKAGCEPAANPRATGSVLSPSSTSATETVTAKPVDVVTVGSMPVTVNGVASSVGFLTVGTIPLDAGILNVNEASSVANSGSRKVK >KZM88053 pep chromosome:ASM162521v1:7:23999047:24000027:1 gene:DCAR_025128 transcript:KZM88053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLSLIDEPYKFLKIALNPDGSLTREDQVPQAPAMPELASETANPPSQKQVALSKDIPLNATNQTFIRLFRPLKHTTKLPVIIDFHGGGFILYSAISAPFNDLWNIAAAVTPALIITVEYRLAPEHRLPAAYDDAMEAILWVRDQARDVDGCDPWMKELADYSNVHIMGTSAGGNIAYHAGLRSLDVDISPIQIKGLILNQPFFGGVERTSSEEKLSRNPYLPVSASDLMWLLSLPLGSDRDHEYSNPLDVVNPKITSLPRCLIRGFEGDALVDRMKGLAKLLDAHGVKVVSKFEEGGFHGAEVSDPRVVQELFSQLKDFIYSS >KZM86990 pep chromosome:ASM162521v1:7:9517432:9518879:1 gene:DCAR_024124 transcript:KZM86990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEHESESNEHLIKDADNSRNMDEALFPVMSAKKSDHDSRSEAEKYIDFNEETSGSAEMSKTSHSSSYSGSSSDYFQIDAKMPQKSSTFPVVSPEPQEDSQSKNHGQNSPSQPSSQVSQATNKSTLQTPSPIESPPIQVMEKAGGYDPNRIPDSVFGRSSSAMDWSVASNESLFSLHLGNNSFSREQFLLMSGELYSSGELNKPSEAAKPGRPPLIPIGKLQEEEAVDSDNKPKETKAAEEKMDDSLELDVSDQPEEKESEAEVNGHSSMINRPSDASGTSTQSFAFPILVDPTKTPTMRSDSMKGPSPREQDMQRAVYEDIVKLEAQTKSSSSFGCCCCCGCNCCSSPHCSCPSHQHCWSWKWCNKWFCCPCC >KZM89352 pep chromosome:ASM162521v1:7:36227246:36229241:1 gene:DCAR_026427 transcript:KZM89352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRGRGRGRGGGVAVKEWVLKKVESKPTVITERSSPATAHVMDSNDVKQGSVEGLNCSKHPQGQPASKSTNDHRLSTHSNSNHRIENNTHVAATSEIKCSQASQDNTMTTGSSEKFFDICPKKTGTPRLKSSLLVQNREKRNEIQRSNGGQPIQVLRPGMVLLKNFIPLKDQVEIVQTCRKLGLGNGGFYQPGYGDQANMHLKMMCLGKNWDPKSSSYEERRSEDGAEPPPIPIEFHQLVQKAIQDTHAHQCKQVKQKDVESVLPSMTPDICIVNFYTTNGKLGFHKDKDESQESLNRGLPVVSISIGDSAEFLYGDQMDIDKAKKVVLESGDVLIFGGKSRHIYHGVTSILPKTAPQALLQESDLRPGRLNLTFRKY >KZM89317 pep chromosome:ASM162521v1:7:35926134:35926307:1 gene:DCAR_026392 transcript:KZM89317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLLGSIPPSCEHKCYGCTPCEATQVPTTKANLEIQSANYQPEGWKCKCGPSFYGP >KZM87447 pep chromosome:ASM162521v1:7:16506555:16508552:1 gene:DCAR_024581 transcript:KZM87447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASWSSSNTNRRRNQNHPPSLLLSSSSSSAPSYSYPPAPYAPPPPPPPPYHPHLNYQYPQMGRSDFAHPNQGWVGIRPVAPPHQPAAFVEEQQAKKVRNDVNVHKDSVKVEVDENNPDHHLVSFVFDALFDGRSVVLAHESTAFVEEQQSEVKNDVNMHKDKVSYKVEVDEHNPDHHLVTSVFNVFSYGRY >KZM86738 pep chromosome:ASM162521v1:7:6335458:6335715:1 gene:DCAR_023872 transcript:KZM86738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGGAPPRGSAAASAANLRRRRTASAGGASAGGTSGNMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYLNRREA >KZM87110 pep chromosome:ASM162521v1:7:11036292:11037308:-1 gene:DCAR_024244 transcript:KZM87110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRGLNIHASQTLSQIKSPPSPSLLNTSHLHSSQHGFPIIVIAIIGILATAFLLLGYYIFVIKCCLNWHRIDILGRFSLSRNRNQDPLIGYTPAIENRGLDESVIRSIPIFQFKKTEKEDPEKGISFSECAVCLNEFHEQEKLRMIPNCGHLFHIDCIDVWLQNNANCPLCRTSISLDFQYAVADSAPANHDQAQHNDNLVGLEEDYVVIELGEECNQEKPTSPLQKRMGNKMVKKRSKKIGFCHSSMGDECIDTRIKDERLSVQPIRRSFSMDSATDRQLYLAVQEIVNQTRNGNSHGSDIVSSSEACSSRIRRSFFLFGHGRGSRRAVLPPQMEH >KZM87714 pep chromosome:ASM162521v1:7:19664550:19664851:-1 gene:DCAR_024815 transcript:KZM87714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCKCLRFNWGQYTVIIQVGTYKYNMLDLVIDFEDEGGETNVGKAQAQTSNPAIVISSEDGPPASQPN >KZM87016 pep chromosome:ASM162521v1:7:10070589:10070999:1 gene:DCAR_024150 transcript:KZM87016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQHHHNITNGGGVHDGVFLCFDCNNRPFRSHMDLLRHRLNDHQHQHDAAQAPAPPPPPVNNGMPGDESTDDELIIILDPPPALGEPVAAVPPQGLPQNGPVVDGHAVNEPPQVQPPVENGHAANDRVANGAHD >KZM88718 pep chromosome:ASM162521v1:7:30666466:30670502:1 gene:DCAR_025793 transcript:KZM88718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYHHHKSPYFHILSLVIIFVSVQPTIGTLPKLQDLVFGSSNNPRRILHQPLFPVSSNPPPPEVEPPPPPSTGFPNPDQPFFPEVPAGRTPDQAQVPPVPSNGTAVSNPIATQPSKPTKKVAIAISVGIVTLGMLSGLAFYLYKHRSKHVDESQKLVGGNSQRVNEDSRMPPSTFLYIGTVEPSSQRTVSSSETNGSPYHKLNSIKRSDRYRPSPDLQPLPPLSKPQPPPTMNSPPAMSSSDEESHESTFYTPQGSSVSNEEAFSTSSRRSRSSSKTSLMARSRSEPHVTSPVPHSKRTSPKSRLSASSPDTKLVIIPSIKQPPPPPPQPPPPPPSAATFQSIKQQNQVQQTSTYAPRRAKFSAPPPAPDLSSLTSESKQPQHTVDTPSKRNPPPPPPLPPLMARPRQLVPLQTPAPSMALPQVTKSSPAPKPYSAMETTESVEEEDNGTNSAEKIDGDDDGSKPKLKPLHWDKVRATSDRATVWDQLKSSSFQVNEDMIESLFGFNSANSSSVPKETPKKSVIPPIQQENRVLDPKKSQNIAILLRALNVTRDEVTEALLDGNPEGLGPELLETLVKMAPTKEEEIKLRDYKGDLSKLGSAERFLTAILDVPFAFKRVEVMLYRANFDTEIKYLRDSFKTLQAASDELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAIAFKLDTLLKLLDIKGTDGKTTLLHFVVQEIIRSEDKGTDSTKEIVPNKVNSGPKEQDFKKQGLHVVAGLGRELGNVKKAAGMDADVLSGYVSKLEMGLDKVRMVLQYAKPDMQGNFFESLKIFLREAEEEIIKIKSEEKKVLSLVKEVTAYFHGDTVKEEAHPFRIFMIVRDFLSILDNVCKEVGRMQDHTVVGSARSFRIPATASLPVLNRYNIRHDRSSDEESSSP >KZM86806 pep chromosome:ASM162521v1:7:7243433:7252848:1 gene:DCAR_023940 transcript:KZM86806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSEKRQQSGASALLESLNMERVRTILAHPYPYPHEHSRHAVIAVVVGCFFFISSDNMHTLIHKLDTSVKWWSMYVGWILVAAVYHLPSFQSMGVDMRMNLSLFMTIYVSSILFLLVFHLIFLGLWYIGLVSRVAGKRPEFLTIFQNCAVLSIACCVFYNHCGNRAIMGDKTFKRRNSSWFKLWDKEERNTWIAQFIRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACNGPCPGSSDGISPIYSLWATFIGLYIANYVVERSTGWALTHPLPEKEYEKLKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVQDGSKQDDLLYDHFGERDDLWFDFMADTGDGGNSSYSVARLLAQPSLRVQSDSSVLNLPRANLLIIGGDLAYPNPSAFTYKRRFFRPFEYALQPPTWYKDEHIAVNKPELPSGISTLKEYDGPQCFVIPGNHDWFDGLQTFMRYICHKSWLGGWFMPQKKSYFAMQLPQRWWIFGLDLALHGDIDVYQFKFFSELIMNKVGDNDSVIIVTHEPSWLLDWYWNDVTGKNVSHLIREFLKGRCKLRVAGDLHHYMRHSCIPSDKTTYVQHLLVNGCGGAFLHPTHVFSNFNKLYGTSYECKAAYPSCEDSSRIALGNILKFRKKNWQFDFIGGIIYFVLAFSMFPQCKLDHLFKDDTFTGHLKSFFSTVWDTFIYMLGHSYVSLVGALLLLAAAIAFVPSKVSRKRRAIIGFLHVSSHLAAAIVLMMLLEVGVETCIRHKLLATSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVTRNDMCTNGADTFPRVGAFIYYASVFLYFWFFSTPVVSLIFGSYLYICINWLHLHFDEAFSSLRIANYKAFTRFHIKETGDLEVFTLAVDKVPKDWKLDPDWDGELRQPQQPNHLREFPSKWTAASIQQDPVSTVRIVDHFVIKQTDKLDLKPTNNQTS >KZM88305 pep chromosome:ASM162521v1:7:26638103:26639877:1 gene:DCAR_025380 transcript:KZM88305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLTLKGTLRAHTDWVTAIATPIDNSDMIVTSSRDKSIIVWKLTKEDKSYGVAQRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSNGTTARRFVGHTKDVLSVAFSIDNRQIVSASRDRSIKLWNTLGECKYTIQDGDAHSDWVSCVRFSPNNVQPTIVSASWDKTVKIWNLSNCKLRSTLAGHNGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDASSIIHALCFSPNRYWLCAATESSIKIWDLESKSIVVDLRIDLKAEADMAAEGNATQTNAGKNKVIYCTSLSWSADGSTLFSGYTDGVVRVWGIGRY >KZM87387 pep chromosome:ASM162521v1:7:15390947:15391495:1 gene:DCAR_024521 transcript:KZM87387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQDISTLSDENGHCLSRKSYINKKLRLFGIEVELRESLHTTADAGPGGSAKTTSSSETEKRPTSDGEGKKFFCQFYSRQFGNSQALGGHQNAHKKARMKKKRLQLQARKASFTFHDPESHFSFSPYLNSSAQCYLVPDDLPYHKGFRKFTVTLADQSIAIKSPPFVVQNRTIFLWIFTWG >KZM87989 pep chromosome:ASM162521v1:7:22983903:22985300:-1 gene:DCAR_025090 transcript:KZM87989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKPSVCCMCGDVGFSVELFRCSSCLYRFQHSYCSNNYMKSSKPIEICDWCQSEQKSSPCSTASSKASSRNVGSIIITSKPSEYSGDSSPTKGHGGSSSEQMRKNLSISGAPSPRTAARRYKLLKDVN >KZM86176 pep chromosome:ASM162521v1:7:367228:368059:-1 gene:DCAR_023310 transcript:KZM86176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLTFTEEVASSLPAGKLYKGFFLDIDTILPKILPDFIKSIEIEGDGGVGTIKNVTLAAVNKLFLQRV >KZM87370 pep chromosome:ASM162521v1:7:15182993:15184807:-1 gene:DCAR_024504 transcript:KZM87370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSEQRRKRKRSSRKQLLMFSFVSMRPVLLCLCFFTFLFVLSYGNRSFFTPSAIKSGFVVAASSSLSLLSTSSISTFGKYSKSNLFDNSFRIEDRVLFPDHILLLVSSRVDDGEVIGRNEKWECVYRRGLVNESDSVTSNVLSIEGYNGVYFVVRCPLPRGNYSAMVDLVRFGRSLSYFGGDERIWGRNWTVRSWENVVYESAVDGESVVVFAKGLNLRQDRESDPSPFSCHFGLGNWERDGRYVLTTKAITAAQEVVRCLLPRSIRMSPEKAQGVRVTLGVTARVRGRGRKLQLMPSVAKISNSKSMVTQKEKYELCACTMVWNQASAIREWIIYHAWLGVERWFIYDNNSDDEIKETTEELDLEGYNVSRHLWPWIKTQEAGFSHCALRAKEECNWVSFMDVDEFFYFPFPTYQHRRNRNMVFPGQNSLRHVVANFSSSNSIAEIRTTCHSFGPSGLKSPPLQGVTAGYTCRLQSPERHKSIVRPDALDPTLLTVVHHFHLKKGYKYMNLPQNIAVINHYKYQVWETFRAKFFRRVATYVADWQDNQNEGSRDRAPGLGTEAIEPPNWRLRFCEVWDTGLRDFVLANMADPANGLLPWQRS >KZM87484 pep chromosome:ASM162521v1:7:17070128:17071681:-1 gene:DCAR_024618 transcript:KZM87484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLAFSSTNPASLEQTPVPSETITETTTMNELVTHTNNNVWEVGTVHADGRVKVEVIKGVLEPSNTCSHKITDIMYERLEPSGFTWKAVSADTKDLYFEEFKEMKDQMKKAEEMRIKEVEEMNDQLKHANEMRMKEVDDMKDQIRQMQNQLAMVLKGQK >KZM89199 pep chromosome:ASM162521v1:7:34912116:34918669:-1 gene:DCAR_026274 transcript:KZM89199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASPSPDSTPAAIPPAVSPPSNASVTSPPPSFTQTEQTSDTPAPTGSLTQPNSTSPPPQSTPAPPVSTSPPPSNPIATPPPSSPPPLPPTSSPPPKPASPPPLLPAAPPASTVTPTPPSSSPPPPSGTPPPQESPAPPASNPPPPLPVTPAPPPAEIKSPPSADPPPAPLTEPPANSPPPPSPVTPSNSPPAPTTPSESSPPPVPLSLPPPGPPSNSSINGSSPSSPLPTFPTEKPTARSGNPGSDTTATSGSGDGGMKTGGAVAIGIIVGFVALSLLVMAVWFTRRKKRRISDSIGGYVMPSPFASSQNSDTSFLRPQQSIHRAGSASGNNFMYSPDQGGVGNSRQYFTYEELSDATYGFSNSNLLGEGGFGCVYKGCLPDGRVVAVKQLKIGGGQGEREFRAEVEIIGRVHHRHLVSLVGYCISEHQRLLVYDYVANNTLHYHLHDEGRQVMDWATRVKVAAGAARGLAYLHEDCHPRIIHRDIKSSNILLDFNFDAQVADFGLAKLALDANTHVTTRVMGTFGYYLFSYWGKGIQARPLLTQALDKQDFAEIVDPRLEKNYVEHEVFRMIEAAAACVRHLATKRPRMSQVVRALDSMLEFSDLTNGVKPGQSEIYDSRQQSAEIRLFQRMAFGSQDYSSEIFNQSQSSWKS >KZM88884 pep chromosome:ASM162521v1:7:32034764:32035857:-1 gene:DCAR_025959 transcript:KZM88884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASVHSLTKINSVRTLFAELRFRKHSNLPAWKLAFISKNLMVLKKCGGDRNKIVMNHRKSTVNEGIEALGQHVFVDKSFAFRPKFAAGGMESTLNLLSKWIVIGCFYTVILLRHDPKALWLAMGANLNGGLSIILKKIIKQERPSATLKSDFGMPSTHAQSIFYNVFMAILSVMEFLGMNGSVATIAGTILAFGSYFSWLRISQQDHTVSQVMVGALVGSTFSIYWFWLWEAIVVKAYFSYLWIRLVLLFGGIGTSLLLTLRCFRDWNSEAK >KZM86936 pep chromosome:ASM162521v1:7:8571986:8577311:-1 gene:DCAR_024070 transcript:KZM86936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRDVAPANAKFTPPEKSAVADLYGCGGGRARASQRKSRTRRPSDIRLEEIDAEPDPPTFTPVFTVEDPVELNPTFEDDVGVFAEGDGCEGGVDELGGDWSEDGEAGGDWLEDGLGGGDSLEGGSDEPGGDWLEDGVGGDWSDDGVGELGGDLLVDESGGDSDDDGIGGDELGGDSDDIGGDDGGVFDGGVSGVDFEGGGSCDVGGDVLGGVCADGGVSGVDFEGDCGDGGGSCDGGDELGGGVFDGGVSGVDFDGVSGDGGGSFDVGGDELGGVCADGGVFDDGASGVDFEGVLGDGGGSCDADGDELGGVCADGGECELESGGDPGQGGQTGQGGQCALTVAADAISKQQCSLISWYFLDRHHRRMTRVCLVFNWKCTSSGVYYADETEPPKNLKKCYGSWGLVTGSTDGIGKAMAFKLARKGLNLVLVGRTMSKLEQVSRELLAENPNIQVKKLVVDFSRDVVAAVRQMEEAIRGLEIGVLINNVGVTYEEAMYFHEVKEEVWMNLVNVNVRGTTLVTRAVVKGMIKRRRGAIVNIGSAASVVVPSHPLYAIYAATKAYIDQLSRSLYVEYKHYGIDVQCQVPLYVWTKMTENVAGIKKASMLIPSAEDYAEAAVKCIGYEMRCTPYWAHAVQWFFASLLPDFVLDYWRLSVGIHRRSKLHKMP >KZM87810 pep chromosome:ASM162521v1:7:20711083:20714530:1 gene:DCAR_024911 transcript:KZM87810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSCFGASALNRKDNGGADSGDFEGQQRIIFTPRNKIGRGGYGTVYKGILDGIEVAVKTLSAQSNQGVREFLTEIDIITNVRHTNLVQLIGCCVQGTNRILVYEFLENKSLDTALLGSQVKDTKLDWERRSAICKGTARGLAYLHEEVVPPIVHRDIKASNILLDKDFIPKIGDFGLAKLFPDNISHISTRIAGTTGYLAPEYVLGGQLTKKADVYSFGVLILEIVSGRSSGKANLATGTQKLLIEWAWQLYEEDRLSEMVDPALEGCPEEELARYIKIAFFCTQATASRRPTMSQVVDMLAKNHTLNEKELVAPGFSQDTTTRSSTTKKIPSTSTSTQMSSVPLSVTQLIPR >KZM87575 pep chromosome:ASM162521v1:7:18286812:18289019:-1 gene:DCAR_024702 transcript:KZM87575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTQYSEQQFIKWVNFVGSLKHSVFNTAQNKLFPSFTLTVDRNPATGDFTSIQDAIDSLPFVNLVRVLIKVHAGVYKEKVIIPPLKSYITIEGAGADKTIVEWGDTAQTLGPKGQPLGTYGSATFAVNSLYFIAKNITFKNTTPVPPPGAVGKQAVAFRISADTAAFVGCRFLGAQDTLYDHLGRHYYKDCYVEGSVDFIFGNGLSLFEGCHVHAIAPFTGALTAQGRNSLLEDTGFSFLKCKVTGAGALYLGRAWGPFSRVIFAYTYMDDIIVPKGWYNWGDPTREMTVFYGQYKCSGAGANFAGRVSWSRELTDQEAKPFISLSFIDGSEWIKF >KZM87710 pep chromosome:ASM162521v1:7:19635886:19638487:1 gene:DCAR_024811 transcript:KZM87710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRNLKNLRLGGTIAPDLRNLVHLKSIILRNNSFSGTIPKEIRELNELEVLDLGYNRLSGLLPSDLNLQLSVLLLDNNEFTVIPSEIDEIKLLSEFKRNGIPLSSRRLTSWKTAMDGHITQRKLLASQPFFKWLIPSLPSDSPLASPSPSLQSPLSSPSPSPAPSAVTDTRPTSPSVFASSPSLVESADSPAKSPTTSHDSSKSNYHRHKFLILFAAVGGPVFLILLAIVIYFCRSKVSVVKPWATGLSGQLQKAFVTGVPKLKRAELNAACEDFSNVIGSSSMGTVYKGTLSSGVEIAVTSLIVASANNWPKNMESQFRKKAINHKNFVNLIGYCAEEEPFTRMMVFEYAPNGTLFEHLHISEAEHLDWGMRMRIAMGMAYCLEHMHQLKPPMIHKNLSSSAVNLSEDYAAKISDFGLWKRSAAATEMQSNTESNVYSFGVILFELITGKLPYSVDSLALQDWASDYLRGQPPLREMVDPILTSFKAEQLEKFDAVIKWCCCPNPKQRPTMREVTVQLKDITGIGNDGAVPKLSPLWWAELEIMSNESI >KZM87404 pep chromosome:ASM162521v1:7:16014487:16015857:1 gene:DCAR_024538 transcript:KZM87404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRFYSENHNHDFQTINGKPLHTYHKKQKQVHQNSSHNHNSKLHMHKNVTSAPNTQASRHTHTPNAKPTVNAPKSVLVDPSNLSKLDNMLLNVQPGMMIYVPQNGVVHNMFLSAKWENMIFYRGQNYFVHLIGEFYGNMVVQKGIDDVLKISTVVHNKNMLVDVNTLNRCLKLGENVPCQPCINIYEKFVFDKKEFELLVGYFCDSDVPVDLCDRNCAIEFHHFIPLYQQLAIIIRSNLLPKPKNTQFFDFVDLKVMFQLATNQVEFNINYVILINMIMAFEVEYMPYGLLLTSLFELYHIAMPRVLAERIEYCDISSLVKHQVSLSDCKPLTVTPVCITPEVMIIGSKQTANKSNSEFDKLKEEVNNLKEINLFIMARLDQLENKSKEDSTVGNAEGIDEKMDRLFNEDMVKEMAEKSDKLVVETGKSDAVMLPSLNDLSDELGFVDVEEPEKA >KZM87690 pep chromosome:ASM162521v1:7:19431193:19432546:-1 gene:DCAR_024791 transcript:KZM87690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSQMSLLALVFLLVLIGNSSAQLSPTFYSKSCPNLMQTVQSAVKSAIAKETRIGASLLRLFFHDCFVNGCDGSILLDDTSSSKGEKNAGPNFKSARGYEVVDKIKAAVEKVCPGVVSCADVLAITARDSVTILGGPSWTVKLGRRDARTASQGAANSSIPPPTSSLSSLTSRYSNLGLSTKDLVALSGAHTIGQARCTTFRARIYNETNIDSSFAQTRQKKCPSTTGSGDDKLAPLDLQSPTAFDNSYFKNLVNNKGLLHSDQVLFSGGSTDSIVRKYSSSQSSFASDFAAAMIRMGDISPLTGSNGEIRKNCRKKN >KZM87805 pep chromosome:ASM162521v1:7:20679321:20681726:1 gene:DCAR_024906 transcript:KZM87805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGRLGLKGMRDHGAFRRQLRMVSYRAPKIPVRRSQAVFQLHGFWNADSGKVCMVGSGVGYLRNVNVVLNLDYLNVSSIFNSFVNGTLRNLGNVGDKNYFKGIEILGVSMRGYDFMLVEREKVSAVFSEYDDLGNVSLGFETSREVCSLVSGSRVELVYSDDCGAGNCNVLGGGSGVVPVFMVFDEVECMEDGRVRYLLRLLNSSRSTFNSPFDPSTTLVAEGVWDGVKKRMDLVACRIINATGSLSMGSVGDCSIRLILRVPATFSLRERSVIVGQMWTNKMVNESGYFGRVSFRSQENRQPRLGALKYKYGEYQNLACLKKIKTRRKRKTYPDGYSSDMRFDMTVRNKEGHTAWGYSSPLSVGDKFYEQHQLVSDQTKYVVDGNGSSLMNISYVLNFKNSPNFMLGAEAPLTPSVVISAEGVYDANTGMLCMIGCRHLYSYNGIKTNNSLDCQIVVTAAYPPLNARNGGNVKGIIKSTRSKADPLHFNSLEFHSSSVYTYGAKQSLWRMDLEITMVLFSNTLACIFVGLQLFHVYKHPDVLPFISIVMLVILTLAHMIPLLLNFEAMFVSNHMRQTLFRGSDGWLEVNEVLVRVITMVAFLMEFRLLQLTWSARIEEESLKNLWVSDKKVLYLSIPLYIGGGLIAWFVHLLTKPSINNMFLVLEPANSTQISLLGELKSFAGLVRDVVLLPQIIFNLFCDSSVKALAPSFYVGMPLLRLLPHAYDLYRTQSSSWSFTYIYANPRMDYFSTVWDISICCLGVVFVFIIFLQQQFGGRFFLPRSYRENYLYEKVPATGTK >KZM88745 pep chromosome:ASM162521v1:7:30941167:30943317:-1 gene:DCAR_025820 transcript:KZM88745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILVRNPFYYPDFEQLYERLHPPRVCIDNDTCQDCTLVKVDSINKNGILLEMVQVLTDLDLVILKGYISSDGGWFMDAIEITAMDRPGLMSEIAAALVDMECNMSAAVAWTHNSRVACILYVEDGPTCMPIVDFSRMVFIRSHLECVVRAHHCEGERQSVILAFHKPGLTHTERRLHQLMAADIDYYMLFPYSNGTKNGSGSNRDNQGGTQVTICNEKDYSTVTVSSRDRPKLLFDTVCALTDMHCVVFHAAISSHGSTAIQEYYIRHKDGSPLNLDAERNVVTKHLIAAVERRASHGLRLEITARNRVGLLSEVTRVFRENALSLLRAEIGTQGRRAAGTFYVADTSGDDVESDRVEAIRNEIGTDVIKVVTDSFASAPRTSASTSSRTSSSGSSAADEEGSRFSLLHLLQSQFERFSSNLRAIMS >KZM87785 pep chromosome:ASM162521v1:7:20418984:20422012:1 gene:DCAR_024886 transcript:KZM87785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQNEGGDIVSELVKIKGVDTTLTLTCAGMLKWHDGQRLRCLTVEKEVLGFSTVGSQVFVKTVVETPGLCCVGGGDKGLTRKTFVFEPLSEGSLQLWSRKLGQYIDSLGRPKKLLIFVNPFGGRKSATKIFAADVKPLLDDANILYTMQETKHQLHAKEITYKMDLSMYDGIVCVSGDGILVEHMQTKVLR >KZM88946 pep chromosome:ASM162521v1:7:32515365:32515628:-1 gene:DCAR_026021 transcript:KZM88946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRIDGRVFGENPSWLEVPVEFWSKRLPAGSDAPVIPEPILAPGDETPKYGGTSSPKFPLFPPLYMHALCSVISLYDSCGYARN >KZM88962 pep chromosome:ASM162521v1:7:32639377:32640106:1 gene:DCAR_026037 transcript:KZM88962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFENQEGEDDDEEEKQVTEIPLVPQSLIKSNPSTPDHNHNYNLNLDLNLNYVPPGEPGSSSAMINIFPPVNHENLRPESPDQAQTDQDSEVSPSFRAELAADEIPNTWRDFGFNYLRCKVYCLVSGWRIHSTGFCSRALTVGAAAMLALWYFRVQKQRRRRMVMVKESRDQLIRVIKEKDEKISTLLRQVAQMNEILLGLYTTKGRPST >KZM86308 pep chromosome:ASM162521v1:7:1537625:1548172:-1 gene:DCAR_023442 transcript:KZM86308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIITFPVPPESKQVSGVLYISRLLIICVLHSAELVFPCKFWALAMFFNRVNFIAKRNHNQGSRNTCRACCFLGVTVPPSTQLSLIDVSTSAKPFYVNEISQSDTVSELMRSLVLADLDPATAKLTITFLGPFLSAFAFLFIVRIVMSWYPKLPVGKFPYVLAYAPTEPILSLTRKVIPPLGGVDVTPVVWFGLVSFLNEILVGPQGLLVLLSQQVFGNIFLGEGVVIFHTLDIQVLRLLLSAVDDGMDSDDSDDEADKDETSESKSKEENSRSIRENLERIVGTDDSAFSGLDLATLIRNKYGRSYDVQLIKKEFMGKNLLAMNVMWKYVEQKSFPLTEEEYLLRLDDVANTLKCWGAVSHIRDSLIKSKERPRIGKVLSTHLGRKPEDIVKLDANENPYGPPPEASCGADELIDLIRRCVLEPGDKIVDCPPTFAMYEFDVAVNGALVIKGNNSITASPNLNSIE >KZM87350 pep chromosome:ASM162521v1:7:14963112:14963507:1 gene:DCAR_024484 transcript:KZM87350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVQRKTLGIKRPKLAIRRPKCSNIPTQASQTSPAANEGESANQGGHLMHRVLGPLGETAVYKPLNGWAFTAPPYNQVAPWAARRPAPSAPRRQTSSAHSAPTPPRRSNRLRNANFKTIPNTEDDPVIVE >KZM86696 pep chromosome:ASM162521v1:7:5851638:5859549:-1 gene:DCAR_023830 transcript:KZM86696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYHFISLIYLFWSTTCSQAHSFCDAGVTGYGPESGCGASNKILIKGGTVVNAYHQQIADVYVEDGLILAVQPNIKVGDDVTVLDATGKFVMPGGIDPHTHLAMEFMGTETIDDYFSGQAAALAGGTTMHIDFVIPVNGSLPAGFEAYVEKAKSSCMDYGFHMAITKWNDDVSKDMEIMVKEKGINSFKFFMAYKGSLMINDELLLEGFKKCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPPVLEGEATARAIRLAHFVNTPLYVVHVMSRDAMEEIARARKSGQRVIGEPVVSGLILDDSSLWDPDFISAAKFVMSPPIRESGHGKALQQALSTGVLQLVGTDHCTFNSTQKAIGVDDFRKIPNGVNGIEERMHLVWDTMVESGQISITDYVRITSTECARIFNIYPRKGAILAGSDADIIILNPSSSFEISARSHHSRSDTNVYDGRKGKGKIEVTIAGGRIVWDNGFLNVVPGSGKYIEMPPHSYLFTGIDKADENYISSLKAPVKRYKVAT >KZM86243 pep chromosome:ASM162521v1:7:917337:921767:-1 gene:DCAR_023377 transcript:KZM86243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPHQLAGACLTCPSLSSTRVSRKTWAHNKHSQKSYVVRAAVTAPGVGVSTAETRERARLKEMFEEAYERCRTAPMQGVAFNVEDFHTAIQEYDFNSEIGTKVTGTVFNTDANGALVDITAKSSAYLPTREVCIYDVKHVKDAGIVNGLREEFIIIGENSNDDSLILSLRSIQYDLAWERCRQLQAEDVVVKGKVVGANKGGVVALVEGLRGFVPFSQISTKSPAEELIDKDIPLKFVEVDEEQSRLVLSNRKAMADSQAQLGIGSVLIGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDALKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLSSDLPPEGLDLGGDISPAED >KZM87511 pep chromosome:ASM162521v1:7:17583536:17584303:-1 gene:DCAR_024645 transcript:KZM87511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCFDSKEEETLNPQKQQANGLKDGHPTQPTNISRLSSGADRLKSRSNVGAKREQNGIKELADAQIAAQTFTFRELAAATNNFRPESFIGEGGFGRVYKGRLQSTGQVNSFVVGN >KZM89119 pep chromosome:ASM162521v1:7:33966825:33969891:1 gene:DCAR_026194 transcript:KZM89119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKRSAPGRYSSFSSSSNSWSHNEYQQSTYPQPTQTYESARPPQSYGGRAPDTKKGPERKFAKINDNFNSLEQVTEALAHAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHHIGDYQNPYEEAISIIGRTLASFDEDNLIPCFGFGDASTHDQEVFSFYPDEKYCDGFEEVLTRYRELVPQLRLAGPTSFAPVIEMAITIVEQSRGQYHVLLIIADGQVTRSVDTERGQLSHQERKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQAKIMSKNLNRSRKEAEFALAALMEIPSQYKATLELNILNAQRGKAIDRIPLPPPQYGMASRGASKPSQSTLLRPSGSSSYKQTPSVPSREPPSSSADADLCPICITNPRDMAFGCGHQLQHMKIWTIHRGTSIGVVHLGGHHLSWQGPPANKVLNDS >KZM86532 pep chromosome:ASM162521v1:7:3863559:3865768:-1 gene:DCAR_023666 transcript:KZM86532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITDRKNGSVRRAKGGMITMPFIFANEICEKLAVVGFGANMISYLTLQLNLPLTKAANTLTNFSGTASLTPLLGAFISDAYAGRFWTITIASIIYQIGMICLTISAILPKLRPPPCKGDGQVCQEANSGQLAILYVSLLLTAIGSGGIRPCVVAFGADQFDEKDPKQATATWKFFNWYYFCMGVSMLVAVTVVVYIQDNVGWGWGLGIPTVAMALSIIAFIVGYPLYRNLDPAGSPFTRLVQVSVAAFRKRNLPMVSDPKLLYENQDLDAPISVAGKLLHSKHMTFLDKAAIVTAEDGIKSSSTPNLWKLNTVHRVEELKSIIRMGPIWAAGILLITAYAQQGTFSLQQAKTMDRHLTKSFEIPAGSMSVFTLTSMLATIIFYDRIFVPLTRKLTGTERGISFLTRMGIGFAISVLATFVAGFVEIKRKQVALESGLLDKPHAIIPISAFWLIPQYCLHGIAEAFMSIGHLEFFYDQSPESMRSTAMALFWMAISVGNYISTLLVSLVHKFSQKPDGSNWLPNNNLNRGKLEYFYWLITMLQVGNLIYYLFCARLYTFKPIQVHSTAQDYVEEHEDVELKTHV >KZM89029 pep chromosome:ASM162521v1:7:33199607:33202052:-1 gene:DCAR_026104 transcript:KZM89029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCRIFFFLTLFSVSVLLFGLAHSSTLGVRHISKLVRIQDSERASPSVQLDAVRAVVKRVFPSLSSSFDFQIISKERCGGESCFTLSNHPTSHIPGTPAILIGGFTGVELLSGLHWYLKYWCGAHISWDKTGGIQLASVPNTGSLPRIQDAGILIKRPVPWNYYQNAVTSSYSFAWWDWGRWEKEIDWMALQGINLPLAFTGQEAIWQKVFQNFNITSSELDDFFGGPAFLAWSRMGNLHRCQGKQRYIYLLSNTVQATNSRQQIVSLNNPDTIK >KZM86510 pep chromosome:ASM162521v1:7:3601011:3603694:-1 gene:DCAR_023644 transcript:KZM86510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLPGQVNFVSPLEFFTMHEFNVRSPALLTKQKEVFKPRVPGKVGMYVCGVTTYDFSHIGTARAFVTFDILYRIWLPHQPTVGLWDSNFVSGLLWWVLHFCLSELTYKTSRTGDRTLNPTPALYKTSTLQDCQDALSSSREGSADTAGGNKVHITDAANECIHNLQNDVKKMSCDLHTYSLLNSALPKALKLINSSLTLVKVLGQLKSKALIRSGFEDEYIEDQIKERALARKNKEFSRGDKIRSYLASKGIALMDAGTETICRPCVPVQQEQLAVPKEAKPCKDTEKQQQAQLVQVKSEEPLLNEQEKSSCVEAVKENLTMPTST >KZM88254 pep chromosome:ASM162521v1:7:26110961:26115961:-1 gene:DCAR_025329 transcript:KZM88254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVVSFAVERLGDLLISESKLLSGVTNQVNEVRDDLKRMQNFLKEAEKRQIQDERVRGWVNEIKELAFRTEDVIELFALQAGGSGFKEALRRSACITCHLISRHKVAMDINGIKAKLADIQQSLPTFGITGLEQGETSVPQRIFYSHDVEKDFVGMEKEIDQLVSDLKKKDEKHEVVSLWGMGGQGKTTLAQKLYNHVEIRDHFEAFAWVCISQQFDREKVLKGILTQLLPDGREGEVSNMEDTELVDGLRRVQLEKKCLIVIDDIWNVDSWRMLQPAFPLGETTSGCKILLTTRYLSVAEIGSVCKIPGLTEDEGWQLLSRKTRIYDQPELLVASEMERIGRNMVRRCKGLPLAISSLGGILKGKQLVREWEKINNDITFYLAKGKGVTEDNEYYTVKRVLGLSYDNLPSRLRHCFLCFANYKEDEIIDTEELYIIWMAEGLISVEDRAQGEMMLEVAERYLDELAHRSLVTIKTYRLANESWPKHKTCVVHDLIQDMCWSKVKEEGVMNVIDLESKLDIGSRAGIVRRLCVRSYNANRDVLEPYDRQVLAQIRSLFIWNGWKLDPPVWPNNIFTLEKFKLLRVFTAKNFKLSKENVRSLSELVYLKYLSLERCELDILPASIGKLRNLETLDVRTRGGALSIPNVLWKLKLLIHLYLPRDMSVGGGAKKLRSEGLNELELFYGFNSKYCEAHDLFQLPKLKAFTGYMTVEENLTTQTIIDFGNAKLRESHHVKIGIVDSETEVGLVVLLECSFMDSLYIRASVCVIPKVYDCTRFSRRLTELTLDGFIFEENPMILLGNLPNLRFLTLSLVKNTYLDGEIVCSSVSFPKLETLFFGEYKRLRKWRLEQGAMPNLTHLFIRKCLELEMLPEEMADAIVSFAVERVGALLISESKLLYGVRGQIKQLQSDLKRMQNFLKEADKKHIQDKRVRGWVDEIKELAFRTEDVIEIFALQVATNSGFKGELRRFACVPCQLIRRHNVAMEISDIKAKLAAVH >KZM87010 pep chromosome:ASM162521v1:7:9953526:9957828:1 gene:DCAR_024144 transcript:KZM87010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFYHYSDVVGVIDGQPVKNNYNKGDVDRSMVKFGVTDGKYYAIVTFFNELGDTLLKALEQKLQNPVIIIIASAKISEWKDEVGLTNYPATRFYLNCKTPCCQNYPWKLVEKRFKCRKYGKFKPYLDRRYKFCMLCSNETGSIPVLWSDKELTRVTGKTVYDVLADESQENVLQGSNMYTVVTVSDAEEISSHHNPAEYISAEIKQTEISQDTNVIKSSSPPTGESTTKTRARKTTDAIELQLPQKTPHRRVKHNVRMQAFVPTFLMEKLLKIFFVGRMYTITNFQVKEYTELDKWKCVTTGKQLMFTNQTRAKEIDERKYFIPQNCFDFCDLGDVKNFVKQSTYLADVVGAVRRRDDLKIVHTKEGTDKPQIRMTISDRRTYLNVTLWNDLAECFQQEISSTKFEEPMIVIIAVGKVGVFQDEFDICNFSPTTYYINYDHHSVAELRKV >KZM87621 pep chromosome:ASM162521v1:7:18674934:18675275:-1 gene:DCAR_024735 transcript:KZM87621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLRNANQVDGKVVQTFQKSFGQVQNILDQNRLLINEINQNHESKVPDNLSRNVGLIRELNNNIRRVVDLYSDLSSNVSKSVDSSDGDSSGGLRSDSKGTNKRNRPV >KZM87343 pep chromosome:ASM162521v1:7:14822644:14824939:-1 gene:DCAR_024477 transcript:KZM87343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMGVKGLTLYHLKSHLQKYRLGKQSCKEFTENSKDASCIAESQDTGSSTSASSRMMAQDLKDGFQVTEALRVQMEVQRRLHDQLEVQRRLQLRIEAQGRYLQTILEKACKALEGQTVASVGLEAAREELSELAIKVANDCQQVITVPSLSEIAASLESKNPLGVPGKFGDCSVDSSLTSIGSPLSPMGMGSQAAALKKRTRPFSNGDLSPLDNNLRQVEWMMSNIS >KZM88968 pep chromosome:ASM162521v1:7:32667852:32670020:1 gene:DCAR_026043 transcript:KZM88968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTHPGVVPMHKVNFNAKSEYDMIQNYKVLQDVFNKLKIDKHVEVNRLIKGRPLDNLEFLQWLKRYCDSVTGGIMNENYNPVERRSKLGKEKSLKSFNKNYKSLQANNSHNSDLWDGVGADKISGHKQGKATSPTTGTNFVGEREHLMKEIAELKISVDLLEKERDFYFTKLRDIEVFCQTPEVENLPMSLAVKKILYASDAKESELAEAQEDLQSSDVEGGSDEEKVKDYLPQET >KZM88700 pep chromosome:ASM162521v1:7:30501440:30504682:-1 gene:DCAR_025775 transcript:KZM88700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLNVYCSLVPNPREANINYGSRLIGYDRANRLQRIPTKAVKDGMDGGMSRLPGRSWDPGLEIEVPFEQRPVNEYSSLKDGPLYSWGELTPGSFFLRLGGLWLATFTVLGAPIAAASFNPGREPLRFALAAGTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEILARDRLLGSYKVKPVIKLLKQTLVGTGALLVTAAFLFTFATPVEDFIQSSFATKENTSNTSASKSSTKFNIRKEELVRLPAEVRTDDDLAAAAAEAADGRPVYCRDRYHRALAGGQYCKWDDLLK >KZM88727 pep chromosome:ASM162521v1:7:30737684:30738188:-1 gene:DCAR_025802 transcript:KZM88727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIVRSPFYYPDFEQFYERLHPPRVCIDNDTCQDCTLVDSINKNGILLEMVQVLTDLDLVILKGYISSDGGWFMDG >KZM87573 pep chromosome:ASM162521v1:7:18267389:18270837:1 gene:DCAR_024700 transcript:KZM87573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYTNSVLSLLQHGMLPLQGQLNIVNASSVSNDSESAATTLRLDKGSKSSDNSDIKVPLETDFDMEGIQVENARLQDDVYTLETKASLSLKQIAEPITSVFEKDVPHINESLALDIASALPSPLVQLREGTSLINITFPTDSTTVSIVDHSTPNISLLDREMEEFPTDGDLILLPSHNLVNVSANMSNPVKKKMRCLTPPKSITSLYEMNRLLLRHRRAMRPRWSSERDREILAAKSQIQNAPIVRNNAELFSPLFRNVSTFKRSYEIMERVLKVYVYKDGEKPIFHQPVLKGLYASEGWFMKLMEGNGQFSVKDPRKAHLFYMPFSSRILGSMLYVPNSHNRTGLRGYLKNYAEKLAAKYPFWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNTDVTEGFKIGTDVSLPETYVRSARNPQRDLGGKPPSQRHVLAFYAGNMHGYLRPILLKHWKDKDPDMKIFGPMPPGVASKMNYIQHMKSSKYCLCPKGYEVASPRIVEAFFYECVPVIISDNFVPPFFEVLNWDAFAIILAEKDIPNLKNILLSISQEKYLEMQLAVRKVQRHFIWHAKPTKYDLFHMTLHSIWYNRVFQFKPR >KZM87288 pep chromosome:ASM162521v1:7:13822560:13827082:1 gene:DCAR_024422 transcript:KZM87288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCQKTLAKMGHIGRSTFVKKANETTRLLLTTFIGVFFGFVIGVSFPVLSISKLSLSSDYLPLSEFIQVMDPSIPAQAKVQTNDKSKIWVPSNPRGAERLPPGIVVPESDLYPRRLYGKPSEDLTVIPKYLVTFTVGHKQMHNIDAAIKKFSGNFTVVLFHYDNRTSDWDAYEWSKKAIHISVQKQTKWWFAKRFLHPDIVAAYEYIFIWDEDLGVEHFESEEYIKLVKKHGLEISQPGLEPDAKALTWQMTKRRGDREVHKETEEKPGWCPDPHLPPCAGFVEIMAPVFSREAWRCVWYMIQSDLVHGWGLDFAVRKCIEPAHEKIGVVDAQWIVHQGIPSLGGQGESNDGKQPWQGVRQRCRSEWKMFQDRLANAEKEYYQLTGTSSST >KZM88948 pep chromosome:ASM162521v1:7:32523530:32525740:1 gene:DCAR_026023 transcript:KZM88948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQSYLSRNVCVIGAGPSGLVAARELRKEGHKVVVIEQNNDVGGQWLYDPNVEAEDPLGKCTTLKVHSSVYDSLRLQSPRETMGFSDFPVLVKDGRDTRSFPGHRELLLYLQDFCERFELREMIRFSTRVENVRMLNYGDQFGKDLIWVVKSIDTKNEDVLEEEFDAVVVATGHYSHPRLPPIKGIDGWTRKHLHSHVYRVPEPFRNEVVVVVGNSFSGQDIAMELLQVAKEVHLSSKSLDICEGLSKVISKHDSLHLHLEIESLHEDGRVVFVDGSCLTADTIIYCTGYRYTLPFLDSKGIITVDDGRVGPLYEHTFPPSLAPSLSFIGIPKKIIGFPFFESQAKWIAQLLSGKITLPSRDDMMQSIKEFYHEKDLAGIPKHNTHDIADFEYCDKYADFAGSPHVEEWKKELCIAALVRAEVDLEMYRDFCYEDYELLHQNSTLAN >KZM86190 pep chromosome:ASM162521v1:7:483515:484828:1 gene:DCAR_023324 transcript:KZM86190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATIIILLYCSTLSSTFVNSVAVDSIRAHQSFRDGDTITSAGGEFEFGFFSPGSSASRYLGIWYKRISNGTVVWVANRNAPINNTLGQVRVNGQGITLETDDRMIWSTNTSLFLKNPVAQLLDSGNLVLRNEDRDVKTREDMIWQSFDYPGDTFLPGMKIGIDLVTGLDSYYTAWKSVDDPSTGIFSGGIDINGFPQFFKSKGSVEWTRTGPWNGLQFSGSPKTNPNGMFTEKFVFNEKEICYRLYPINRTSTDIRLTLTPNGEIKHLVWNYQNQIWMIIINEIMGDCDLYGLCGAYGICHINSSPRCGCLRGFVPKFPEKSKAVDWSSGCVRKAKLDCNTEAGFMKYSGVKLPDTRHSWYDLKINLEQCETLCLKNCNCTAYADADIRSGGSGCILWFNDLTDMVSTADGQDIYIRMPASELGNPIFLHIKLLFM >KZM88532 pep chromosome:ASM162521v1:7:28919557:28920517:-1 gene:DCAR_025607 transcript:KZM88532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVPRNLNNIPVDMVICILKKVLAGGSFEDFFHCFSAWCQSPRRGAIIQLLRAYPLEELYKFSRVSSPREVGYFFRFLFIASRLEIPGASCYVPCKNLICGVGSIDAQLDSLLVLSNNGDFFSKLAWVAFQLLYNDGDSVSVRSHVRQLRIADMRCKNHFVALSAPEGEEVESVVNCDACNISLVVGAFSRS >KZM89326 pep chromosome:ASM162521v1:7:35971581:35973298:-1 gene:DCAR_026401 transcript:KZM89326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDYLVTEEELELITRSMEVNTNQEAQHYQNHSENNNDILYNTDGDVDGLCMKVMTDEQIEVLRKQISAYATLSQQLAEMHRFFSAQQDLTAGLGGLYCDPLMTYGGSKITTRHRWTPTPVQLQKLERVFEEGIGTPSKQKIKELTAELSQHGPISETNVYNWFQNRRARSKRKQFAEAPNNPEPEFEAESESSEKTIAKDAYSQDPGSHSLDTQPGKGKSSFTSGHNTKPYSSHGSN >KZM86287 pep chromosome:ASM162521v1:7:1313582:1315543:1 gene:DCAR_023421 transcript:KZM86287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPKEGGFRATLFIYGLMVLDSVGFIANIAGLVLYFMNVMHFSISGSANTLTNYLGTVFLLTLVGGLISDSYMSRLNTCLMFGGIELLGYLLLIMQAHYPKLQPEACGKYSCVNGTKALLFYASICFAGLGGGGMRGSAPALGADQFDPKESKHIASFFNWFVFSVTVGAALGVTFVVWVSTNKGWDKGFIICMVLIVAIKNIKLELPQNSRDLYEVDDVGRERIRHSYQFRVLDKAAILPEGTTPKKWKVCTLTQVEEVKILTRMMPVLLSTTLMNTCLAQLQTFSIQQGALMNAKLGSFNVPPSSIPIIPILFMSILLPLYEILLVPILRKLTGHPNGITHLQRVGVGLILSAISMTLAGFIELKRKNAFVDHNTRISLFWLSFHFAVFGIADMFTLVGLMEFFYSEAPPRMRSLSTSLSFFSLSLGYYLSSVFVEIINSVTGKLSSNNMGWLEDVDMNKNHLERFYWFLAIVSVLNFGNYIFWANWYKYKKDVPVDIRKLIDQSFCDASSSLTPRS >KZM87108 pep chromosome:ASM162521v1:7:11019400:11021521:1 gene:DCAR_024242 transcript:KZM87108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDFAGTPGTATAFVLRILQSFFAVASITFMVTTTSFYQVTAFCFLVASMGLQVLWSSGLAILDGYALATKKVIHNTGLVGLFVVGDWVTATLSLAAAASSSGITVLYFNDLAGCNFGEECQKLQLAAGLAFLTWITIGISSVIMLWILAAG >KZM89319 pep chromosome:ASM162521v1:7:35932716:35936908:1 gene:DCAR_026394 transcript:KZM89319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARESQQNIPRACNVEDIFHDFLGRRVGLLRAFTADVRDFYLTCRSVKESLRLYGLPDKQWELVTAATDKWELREIPAPVLGINLHLKRMHVREWVSLVALHCDSWLLAVAFYYAAKSGFDKADREQLHHMINNLPTLYELFGWEEPETQSSTNNKGSPKVGCDSLKDRDKSKREGQDENQVAKDEEEEVQVEEGEEAHSCPHFNKIKRADLEQGHKRRSCRRYNKVADVFQLYMLCECDPGNGNLRWYGLPDGQWEVSDTPSEASPRNLPKSPFINFVRDVMPEKN >KZM86225 pep chromosome:ASM162521v1:7:753125:755045:-1 gene:DCAR_023359 transcript:KZM86225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHPVIERDFGVDLEAGETTSDQAERADNVSAAKLERIFFNKLCKGFDSVDALEKAGNGVSLGLSNGSVTSPQEVKLLVDEKVQATVVVDFKEHKTGKEKRKTKSATKPPRPPRGLSLDAYDQKLIKEIAQLAMMKRARVERIKALKKIKAAKASSSSSGNLFAMLFTIVFFLVILFQGVPSRSSETSFPGSPESAIEDGSLLHGNYLNLSLTGTNVLRPVSPKEFTGHPVCDAINLELPDFTHNFYKKYCLTTFCNLVIPVSMPVPRISS >KZM87051 pep chromosome:ASM162521v1:7:10467103:10470126:1 gene:DCAR_024185 transcript:KZM87051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAILDLSLGCMDSISRKRWHLAFATIYSSRAFSHFYPPSNTYKKVVPENCIIDVALAPHLFPDVENSSLTRLVKDKSLDQLVKLGGVEGLVSALKTNAEHGIHADAGDVSRRQEAFGMNTYRRPPTKGFFSFVMEAFKDPTILILLVCAGLSLGFGMKEDGPKEGWYDGGSIFVAVFLVISVSAISNFRQGRQFDKLSKVSNNIQVEVVRNRKRQHISVFEIVVGDVVCLKIGDQVPADGLFLEGHSLQIDESSMTGESDYVEVDHEKNPFLFSGTKVADGYAKFLVISVGMNTTWGEMMSSISQDSGEETPLQVRLNKLTSSIGKIGLAVAFLVLVVLLVRFFTGNTKDEDGKTEYTGSKTKVDDIINGVVGIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTMNKMKVTKFCLGHETVEERNFASIASSIIELFHQAVGLNTTGSVYKPDSGSELEFSGSPTEKAILSWAVLELNMDMDELKRSSKLLNVEAFNSEKKRSGILMKKNGDNSMHVHWKGAAEMIIAMCSHYYDSLGNMNALDDTEREKLNQLVQGMAASSLRCIAFAHKQVSEHEFDDEKAKLKDNSLTLLGIVGIKDPCRPGVRKAVQDCQHAGVKVKMITGDNIFTAKAIATECGILRIDQDMDGAVVEGVEFRNYTPEERMEKVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLKWGRCVYNNIQKFIQFQLTVNVAALVINFVAAISAGEVPLTAVQLLWVNLIMDTLGALALATEQPTAELMDKRPVGRTEPLISNIMWRNLIAQALYQIVVLLTLQFRGEAIFNVDEKLKDTLIFNTFVLCQVFNEFNARKLEKKNVFEGIHKNKLFLGIIGVTIILQVVMVEFLKKFADTERLNWGQWGACVGIAVLSWPIGFVVKYIPVPEKPLFSILKWQNLKNII >KZM88178 pep chromosome:ASM162521v1:7:25218616:25228388:-1 gene:DCAR_025253 transcript:KZM88178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLISLVNRLQRACTALGDYGEESALPTLWDALPSIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRLEEGREYAEFAHQPKKRYTDFAAVRKEISDETDRETGRSRAISTVPIYLSIYSPNVSYLRVLVMTVVNLTLIDLPGLTKVAIDGQSDSIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKMSREVDPKGERTFGVLTKVDLMDKGTDAVEILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRKEREYFANSPEYKHLAHRMGSEHLGKVLSKHLEAVIKSRIPGLQSLINKTIIELETELSRLGKPIATDAGGKLYMIMEICRSFDQIFKEHLDGIRPGGDKVYNVFDNQLPAALKRLQFDKQLAMENVKKLITEADGYQPHLIAPEQGYRRLIESTLVTIKGPAEAAVDAVHAILKDLVHKSINETMELKQYPSLRVEVSNAAIESLDKMKLESKKATLQLVEMECCYLTVDFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVHMVVGSLRNSIPKSVVYCQVREAKRGLLDLFFAELGKKEGKQLGKLLDEDPAIMQRRISLAKRLELYRGAQAEIDTVAWSK >KZM88436 pep chromosome:ASM162521v1:7:27846155:27848185:-1 gene:DCAR_025511 transcript:KZM88436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTHSLHLRHTPPSANPCTTLNNNNNGNINGHYVNGGDKMKKSSSNTNVVNTSRVERFFRNASFMKWRSGDLLYVVRYHPLPCLLTLCLFFFMGVEYTLRMIPFSSPPFDLGFIATVPFHRFLANSPALNTVLAGLNTVFVFMQTSYILWTWLVEGRPRATIATLFMFTFRGILGYSTQLPLPQDFLASGADFPVGNVSFFLFYSGHVAASVIASLDMRRMHRWESAWAFDMLNVLQFVRLLSTRGHYTIDLVVGVGAGILFDTIASNYLESKKLKSHSVNASK >KZM87681 pep chromosome:ASM162521v1:7:19352432:19356329:1 gene:DCAR_024782 transcript:KZM87681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEGRGFYPPLSKFEDENNKFHLNDVYDDPMWDAPEGQNLYSTGFRSFRQESNYPLPGDSEEFFDEECDSGEDYSNYMQQKTPEVNLKNVLSGIVAIVTGSNKDPSASAEQQQPTTNVSFLGSKKNGETFLHSSVYIPSAPPLLEPNGSNYIAYKEVLEADPPEWLPDSSSSVCMQCSSPFTAFTRGRHHCRFCGGIFCRICSKGRCLLPVKFREKNPQRVCDSCYDRLDPLQGVLINTISNAAQTAKHDVVDWTCTRGWLNLPVGLSMEHEIYKSTSTLRSYSEVARLNPEKSIPAAVLRGAKGLAILTVAKAGVLLAYKLGTGLVIARRSDGSWSAPSAIVSAGLGWGAQVGGELMDFIIVLHDSKAVKTFCSRVHFSLGAGCSVAAGPVGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNAVATRLDTNLQFYGDPYLTTTDILLGTVERPKAAEPLYAALDGLYAKLRCFPKLRS >KZM87235 pep chromosome:ASM162521v1:7:12860869:12877020:-1 gene:DCAR_024369 transcript:KZM87235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRISFSVLCFTCSFSVLCIPCALSLIFGVNTPAFLCSYSTGFSSVHGERPSAEYAKLRKQSLESEFGHALNYSSKRLSTFYRFGPFLALYRAAIISYYAVKLTIWQLFVHDAKKRSIKLGQALSTRPDIMPPVYCQELAKLQDQIPPFPNHVAIQSIESQLGVPVSRIFADISPEPVAAASLGQVYKAHLQSGELVAVKVQRPGMSESLTLDALLFNMVGGQLKRFAKARKDLLVAVNEMVRHMFEEIDYILEGQNAERFASLYSYKPRNEQRSSKRKISDSVKYEKEKYVKVPKIYWKLTRKAVLTMEWIDGIKLTDEAALKKAFLNRKELIDQGLYASLRQLLEVGYFHADPHPGNLVAIKDGSIAYFDFGMMGDIPRHYRVGLIQVGFTTVLSSLQLSSIGISSVKSRLQKLVHFVNRDSLGLANDFLSLGFLPEGADIQLVSDALRASFGDGSRQSQDFQSIMNQLYDVMYDFDFSLPPDYALVIRALGSLEGTAKTLDPDFKVVESAYPFVIGRLLADPHPDMRKILRELIIRNNGSIRWNRLERLVEAIAKQAGPVEETYSNPLGWKSFDMRAVVSATEDLFQFILSDKGARVRVFLLKDMINAADTFLEDQVLASMFDTNYEAREASESEGQEMLKRLVNGFRYLYQAILLAPDVWAAMMIRLSLKPELHRFLFDIVSTMLSRSGDKIPQTLWLSLSNCINKLVSKEYNIHMPELKLLWIAEEFISGTVRWQVVVMEDMAEVHLNELINCNLLQLETLQ >KZM86214 pep chromosome:ASM162521v1:7:690459:692051:-1 gene:DCAR_023348 transcript:KZM86214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMFPFRNLDFTRFPDESSSSKDFSKILQPLSGFVGFNDLSVRILSPFHLCQDECIKLTNIQSEISNLLSAKQPVTSSFEISEDPRSKCSQRSSEKLDETSRSEVSEQALSTTEIIWLAGEKFIQSSSVGVYDSLELDLSSSTFLLGPDKKERDVQVIQHLLAAAEKVDEEQFDRAIVLLNQCDEYACAEGNPIQRLVYYFTKALRVRIGLKTGRLTSKDMEKLKWIDITKTIQSAIPTSLMVHRHVPFSQVVHLTAAQAILEAVAEAKRIHIVDLDIGIGVQFSSLIQGLASRQECPVEHLKITAVGTKSKSEIEKAGVWLEAFAVSMNLSFSFNIVMVSDMLDLDASLFKLNSNESLAVYSSFLLWTMICQQDRLECLMKVLRSINPCVIFVAENEANINSTVFVSRFTEALFYYGAHFDCLENCLSDDPARNILESVNYGHAIENILMPEKEQRTTRYVKVNVWKTFFTRFNMVQIELSTYSLYQARLVAKNFGCGSSCSLAMDGKSLLVGWKGTPLISLSVWKFT >KZM86823 pep chromosome:ASM162521v1:7:7385985:7389680:1 gene:DCAR_023957 transcript:KZM86823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGVPPTALREISLLQMLSHSLYIVRLLSVEHVGHPNSAPNCDAKDQTKKKPSLYLVFEYLDTDLKKYIDSHRKGANPRPLPNSIVQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLVDKDKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGTTHYSTAVDMWSVGCIFAEMTRRQALFPGDSEFQQLLHIFRLLGTPSEKQWPGVSSLRDWHVYPRWEPQNLARAVPSLDADGVDLLSKMLKYDPADRISAKAAMDHSYFDTLDKSQF >KZM86929 pep chromosome:ASM162521v1:7:8472585:8475714:-1 gene:DCAR_024063 transcript:KZM86929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFFFVASGVQSLSSSVQSTPEKNGQSDGSRSPELLQEYLISGPRKDLFQTCLDKEKKHSASSKCKMLDHIPKSGNKTLKNQELKSASINPKNQPSKKQSRKAENPSRIPVVSQWSSDLKSSNLLICKNSACRATLNTSDTFCKRCSCCICHLFDDNKDPSLWLECAAESGDDESCGLSCHLECAFRRGKLGVLNLGQLLQLDGSYCCYSCGKVSGILGCWKKQLGIAKDARRVDILCSRVDISFRLLDGTSRFKDLHEIVSKLKAKLDEEVGPVSDVSAKMARGIVSRLSIASDVQTLCTLAIEKADEWVATVSGTNRNCIEGSLPAACRFLFNEVTSSSVVIVLIELPTSPLKDILGYNLWYCKSREESYTNEPVCVFPRSQRRLLISNLLPCTEYSFRIVSYTEAGELGHSEATRFTKSVEITSLKKAAVNHIKETNQVVGSSSFDIEHKTSADVEFDSGFKVRDLGNILLLSWDRDQGCLDGVCHASVEKFRGASNTVKAECIKEDRLPPVSRELDLNVASVPDLNDELAPPVESSRDEDNECTLERAVSGDDAVSHDNQENGIVRSHGSGDSQNWTQIPTGEVSTLDSKGGRISRKRAASAIEETYDCDSTMINGSPSKIGKVSGSLDENFEYSVKIIRWLECEGYIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIVSDKTQQNGFCSKLWH >KZM87742 pep chromosome:ASM162521v1:7:20012820:20015615:-1 gene:DCAR_024843 transcript:KZM87742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGWAGGVVGLILATAISLYANALIARLHLFGGKRHIRYRDLAGFIYGKRAYALTWALQYVNLFMINVGYVILAGQALKAIYVLYTDEHTMKLPYFIVIAGFTCGLFAIATPHLSALRVWLGISTVFSLIYIVVAIVLSARDGSRSPPRDYDIPGTKISKVFTTIGGMSSLVFAFNTGMLPEIQATVREPVVKNMMKGLYFQFIIGVLPLYAVAFVGYWAYGSDASAYLLNSVSGPDWVKTVANVAAFLQTAIALHIFASPMYEYVDTKYGIKGSALAFRNLSFRIMVRGAYLALTTFVAAILPFIGDFISLTGAISTFPLTFILANHMYVVAKKHELSSLSKIWHWLNVVFFSCLSVAAAVASVRLIVVDSKTYDIFADL >KZM88355 pep chromosome:ASM162521v1:7:27164670:27166637:-1 gene:DCAR_025430 transcript:KZM88355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENRSVVPSLEGDENLIAAAQHIVKALETNKNLTNDARKLLVELGTQLSTISRAPEDKEEELSDIEKRLNLVHDKVMSWEVDGEQLMIWDCGPDETNDYVKAVDEARRLAESLDSLSLNKDSEEDALARKAHDVLQTAMTRLEEELKHILVQNRQPFEPEHMSFRSSEEDTLDDGSIISFEDDSVDDGLQRDSVSRGAEEYTIDLIHRDVIPDLKCIVNLMFDAKYGRECSQAFISVRKDALDDCLFILEVERSSIDDVLRMEWGTLNSKIRRWIRAIKIFVRVYLASEKWLVDQVFGELESVSALCFAESSKASMLQLLNFAEAIAVGPHQPEKLHRILDMYEVLADLIPDIDTLYSDETGSNIKSECQDVLKRLGDCAKATFLDFKNAVASNVSTSAFAGGGIHHLTRYVMNYLRTLTDYSNSLSSLLKDNDTNDPASSSPDSNAATEEDNGVNSPHYLSPISIHFRSLTSILEHNLIEKSKLYKDSSLGCLFLMNNIHYMSEKAKNSELRTILGDDWIRKHNWKFQHHAMDCERATWSSILSLLRDEGLYNSGSNSISKTLLKERLQSFYLAFEDVYKSQTGWTIPDIQLRDDLRISTSLKVIQAYRTFVGRHTNHISDKHIKYSADDLENHLMDLFEGSPRSLQHSFHRK >KZM88966 pep chromosome:ASM162521v1:7:32657147:32657311:-1 gene:DCAR_026041 transcript:KZM88966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQETCLDGLEEMGSTVCDEVRAKVQVSRQCMSYSLAILASIQAVGMFGRYLQ >KZM88064 pep chromosome:ASM162521v1:7:24093377:24102078:-1 gene:DCAR_025139 transcript:KZM88064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRYPPGIGGAGGGNPNYQPRNPNPNQQYVQRNYMQNQQQFAQQPQQNTQQQWLRRGQIGGDSGAEEVEKTVQSEAADTSSNDWKARLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLNIEVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGICNLQNCAMLVMDEADKLLSPEFQPSIEHLISFLPTNRQILMFSATFPVTVKDFKDRYLQKPYVINLMDELTLKGITQFYAFVEERQKIHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCQ >KZM86877 pep chromosome:ASM162521v1:7:7903732:7905743:-1 gene:DCAR_024011 transcript:KZM86877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLIKSPLSKLPFSIITISICAFAFIGLLFTERITFLSSTTIFTLSPCAKRALAKSKGKSAAKDEVNYLADDRFEFDPHECSVTSGKWRFNTSTMPLYTDRSCPYLDRQVSCVKNGRPDSDYRHWEWQPDDCYLPRFDPKIALEKLRGKRLMFVGDSLQRGQWQSFVCLVEFIIPADQKSMRRGRVHSTFRAKEYNATIEFYWAPFLVESNSDLKIVGDPKQRILKVDSVAKHAKHWLGIDILVFNTYVWWMSGLKIKSLWGSFANGEDGYEELDASVSYRIALKTWANWVDTTIDTNKTRVFFTTMSPTHQRSADWNNKGGIKCYNETKPVTKRRHWGTGSDKRIMNVVADVVGKMKVPVSLINITQLSEYRIDAHSSVYTETGGKLLTDEQKADPLHNADCIHWCLPGVPDTWNQIFFAHLL >KZM86811 pep chromosome:ASM162521v1:7:7283408:7285676:-1 gene:DCAR_023945 transcript:KZM86811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSDLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVTKLGGKSAFVGKLGDDEFGRMLAGILKDNGVGIDGIKFDQGARTALAFVTLRADGEREFMFYRNPSADMLLTADELNLKLIKSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGALLSYDPNLRLPLWPSAKEAREKIMSIWEKADVIKVSDNELEFLTGKEVSDEAALSLRHPNLKLLLVTLGEKGCSYYTKDFHGKIPGFHVKTIDTTGAGDSFIGALLCKLVRDDSILKDEAKLKEVLKFACACGAITTTKKGAIPALPVESEVQALLKS >KZM87869 pep chromosome:ASM162521v1:7:21490478:21490699:-1 gene:DCAR_024970 transcript:KZM87869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLHENTDRLLVRAKPILLKRIVDNFLDAQRQWVVETGFEKVLLFNIKEYPEPFFDFKVLQFNRFKHFNW >KZM88916 pep chromosome:ASM162521v1:7:32256111:32257888:1 gene:DCAR_025991 transcript:KZM88916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATSLLRPSSLVSKSSWIEGQSLRHPSVSLVRCTPAAAPALAIRAGILAMDESNATCGKRLASVGLENTEANRQTYRTMLLSPPGLGNYISGAILFEETLYQSTLDGKKIVDLLIEQGIVPGIKTDKGLSPLASFNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQENGLVPIVEPEVALDGDHGIDSSYEVAYKVWSEVFFYLAQNNVLFEGILLKPSMVTPGSQCKERATPEQVADYTLKLLRRTVPPAVPGIHFMSGGLSELEATQNLNAMNQSPNPWHVSFSYARALQNICLKTWGGRPENVKAAQDALLLRASANSAAQLGKYTGEEAKKETLVK >KZM87374 pep chromosome:ASM162521v1:7:15246818:15247591:-1 gene:DCAR_024508 transcript:KZM87374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPICSIIKDCLDGLKVTISRDLLSAVGAACRTIGFDAGFDNLEVEADAGFVNLGADVDTDLGNAGLDVESGLYNLEVAADPVLDGLRVEAGTDFDDLGVEVGTSLDDLGVEVDKALDDLGVEVDNGLDNIGVEVDTGFDDLGVEVDTGLDDLGVKVDTSFEDLGVEFDTGLDDLGVAFDTGLDDLGVEVDMDMGNLGFVKVDNPVDLVVGKTDFLFIFKAEFCSLVCCT >KZM87353 pep chromosome:ASM162521v1:7:14981309:14985584:1 gene:DCAR_024487 transcript:KZM87353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKDKEGDVERTKKSLSFLEVVLRKKLPFVRGEVVDEWQKNGKTYIAFSDKLMGDVGRKAIFMPTPGQLPFAPTGGTLPISITPCNTYLHVNSGLNVPEVPINDLSLQNSVPRHRGLILNSGATRLDSGSVPLSDNAAGNWAHLWNSKRQYNLNGEGQKIDCHSLAIDHNENFPYETKTPVWSFPMHRSEQLQYPRPSSMANVPSGSLKSPVPNVQIEPPSNQIYGANKCPRLWQEEEQMIGMKRSYPFIVEDAPVPSYTKYPPAYASLIPGKDEAAPCSNDCISTIQPANTQFLRELPLSSNGMSEPKNKNIISEFGGSNGEFLTLAPPAELSSSYIRRHCQEVPDFDALPYQDITDEQVKWPEAGRLTKQPFYNFLPPSSAVNGPLAGSGSNNGEQAEAVDLNLKL >KZM87570 pep chromosome:ASM162521v1:7:18251746:18252117:-1 gene:DCAR_031961 transcript:KZM87570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLVKRELVRGLPQKEFCQEGLCDACEKGKSKKASHRSKGMTDIGSPLQLIHMDLFGPVNIPSISRKRYALVIVDDYSKYTWVLFLHSKDEAALVIIDHIKKIEKEANLPVRAIRSDNGTEF >KZM87249 pep chromosome:ASM162521v1:7:13135546:13135833:1 gene:DCAR_024383 transcript:KZM87249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFRFGEEEATNEHATNEHEEEVKMLFAEMDMCLREIEKEEEEEREAEKKKEEEEEAELQALLEEEGTTYEEAMAEFRAKIQSVFDSSDEEEK >KZM88760 pep chromosome:ASM162521v1:7:31088109:31089226:-1 gene:DCAR_025835 transcript:KZM88760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTWSDSHIRQLEGGRAIQLVLDQNSGCGFASKKKYLFGRVSMKIKLVPGDSAGTVTAFYMNSDTDNIRDELDFEFLGNRTGQPYTVQTNVYAHGKGDREQRVNLWFDPAADFHTYSIMWNHGQVVFSVDDVPIRVYKNHEAKGIPFPKSQPMGVFSTLWEADDWATRGGLEKINWSKAPFYAYYKDFDIEGCPVPGPSFCATNAANWWEGASYKSLSAEQARRYSWVRMNHMIYDYCTDKSRYPVTPPECVAGI >KZM87722 pep chromosome:ASM162521v1:7:19749002:19751380:1 gene:DCAR_024823 transcript:KZM87722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLQFAAVFLFISAFDALGAAASTLAVSYGDANTVCGIVAEQKKQRIQCWRQNRTVDILPEVSFESISGGRNVFCGVRSGGYSLICWNSTFVPKRIYYGKDALLTNLTVGDDQICALSDGTPNLKCWRDDDVLAKLPDKSATFSGISAGLGFTCGVLVNNTVTCWGGYEYEYNAVALGFKHGCAITRINNSVACWGGKGEFSSNVTDGVSFVSIVAGFNYTCGLSASNFSVICWGPGWDNSSYSLGVELSLPKILPGPCVESKCECGLYPQSQTLCSGNGDICRSCDSLESFPPVSSPVVYIPSPSKDLKKGFLILAIVGCVGGLLAICTGIYLLWTGACFGHKKIHSSVQPTITRNSSNAQHSSTSPPSRSSTIRRQGSRVWGRQRSGTSGVFSRQRSGTSAKHADRAEEFTFADLVAATNSFSLENKIGAGSFGIVYKGKLADGREVAIKRGETGTKLKKFQEKESAFDSELSFLSRLHHKHLVRLVGYCEEGDERLLVYDYMKNGALYNHLHDKDNVEKSSSLLNSWKMRIKIALDAARGIEYLHNYAVPSIIHRDIKSSNILLDANWTARVSDFGLSLNGPESDRDYRPMKAAGTVGYIDPEYYSLNVLTAKSDVYGLGVVLLELLTGKRAIFKSEENEGTPISVVDFAVPVIMAGELMKILDPRVGQPELNEAEAVELVAYTAMHCVNLEGKERPTMTDIVANLERALALCDDSHGSISSGPISIISQ >KZM89151 pep chromosome:ASM162521v1:7:34355817:34358738:-1 gene:DCAR_026226 transcript:KZM89151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSSSAPPSQSPASGASKFLANLPNRGLLSSSTVYSTNPGGMRVYICEHDTSPPEDQLIKTNQTNILIRSLVLKKRKVDSNLKDGKAVSVAESSRKRAPERTLEGRTSSKRGVSNTHSQPEGSRSRAPEKDLQSLTVERLRAILREKGLSAKGKKASVTIVI >KZM89309 pep chromosome:ASM162521v1:7:35877065:35881963:1 gene:DCAR_026384 transcript:KZM89309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSKQFECQVTRYASSFSPSPASSSASSGSPDVREKGYDYIIVGGGTVGCPLAATLSQKFRVLLLERGGVPFANPNVTFLKNFHISLADVSPTSASQFFYSSDGVYNARARVLGGGTCINAGFYTRPSARDIKLLNLDAKLVNESYPWIENQIVRMPKLSSFQEAVKDGLLDIGISPDNGFTYDHLYGTKVGGTIFDSYGRRRTAVELLRSANRKNLDVLIHATVQKIVFDKTGKKPRAVGVAFKDETGKQHQAILSRRRGSEIIVSSGAIGSPQLLLLSGIGPKSDLEKLNIPVVHNNYFVGKGMADNPMNAVYVPFNRPVEPSLIQTVGITKMGVYLEASSGFGQSTENISCNHGILSAEIGQLSTIPPKQRTREAIEAYKRNKRNFPQEVFNAGFILEKIASPKSTGQLSLSNTNVDDNPNVSFNYFSHPEDVQKCVEGIRLVEKLLSSKHLTNLMPPEDETFRKLLNMSVRANFNLIPKHTNDTKSVEQFCKDTVITIWHYHGGCHVGKVVSPDYEVLGVHRLRVIDGSTFSLSPGTNPQGTLLMMGRYMGVKILRQRLGRASGF >KZM86796 pep chromosome:ASM162521v1:7:6948708:6952143:-1 gene:DCAR_023930 transcript:KZM86796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGMMTMKKVKNGLLVYSLVALLSFSVIGAQELSSKECENLGFTGLALCSDCNSFADFVKDQELVSDCKKCCTEDSDDSMSKIIYSGAVLEVCMRKLVFYPEIVGFIEEEKEKFPTVKVNYAYNSPPKLIMLDDDGQHKETIRVDNWKREHILQFLREKVKPTSVS >KZM87231 pep chromosome:ASM162521v1:7:12819696:12820550:-1 gene:DCAR_024365 transcript:KZM87231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCINNSINFNKLNPKPFKKSGVIYHLDSYSETELKELKHKFISYLDRLQSWVRQVESRQSELLQLQDVDLRKQGNPVDKASKKIIGQKRAMPVVSPHTETKRKRLMENKTMELDSTETRKVEAAMMSKCGVMFKGKRAQQKRRSKPWAEFIQTPAVVSSQRDPEKPLQKLPTPALPKKVCKEVAGKSVPMQKRTVAEAKENPRKRRDMCVQERDQLGLVLQDLAEEHLYEILQIVAKRNPKLTTPDGDGEIELDVSALDSESMWDLNDFVRNLKTKQDNKVT >KZM87093 pep chromosome:ASM162521v1:7:10916352:10919541:1 gene:DCAR_024227 transcript:KZM87093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPFGANFELSRCNVTSVSPSCPKLGMSVAQLRDPLHLRLSNRCFAYSLAPREVLCRTKRVNSRILCTMSESSVDGGNKYEGMLTYKSAGVDIDAGSELVKRIAKMAPGIGGFGGLYPFGDSYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYYATSRLDVDLAEKVIKGIVDGCQQSECVLLGGETAEMPDFYADGEYDLSGFAVGSVKKDSVINGKNIVAGDVLIGLPSSGVHSNGFSLVRRVLTKSGLSLKDNLPGESVTLGEALMAPTVIYVKQVLDIISKGGVRGIAHITGGGFTDNIPRVFPDGLGAVIFKDSWVVPPVFKWIQKAGGVEDAEMRRTFNMGIGMVLVVSKEAALRLLGDAHTSNIAYRIGEVVKDVGVRYQ >KZM88281 pep chromosome:ASM162521v1:7:26444226:26444915:-1 gene:DCAR_025356 transcript:KZM88281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPSTDYHSNVDTSRPFSSVKEAVAVFGERFLTPAVYSPKPFSFPKQESSNSSSWNFFTPTPSPTYSHASKQTAWKDRDSEATLAETIKKLESELEETKEEVKLLKERETENEVALASLNAELHKNMSKIAQAEAMAARKAAESGGEGEGQSRRDGIVKMGSSPSLSQILSFSQEEKEELFGGRKKERKSMRKKKPIIPLVGDLFWRKKGSSTSVLNPIYSSPSVHWN >KZM88323 pep chromosome:ASM162521v1:7:26817037:26820286:-1 gene:DCAR_025398 transcript:KZM88323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEEALATQARPSFTLNLPPRPSMEALFSGGPGLSPGPMTLVSNFFNEYYPDSDNCSFSQLLAGAMASPVAKTSQLSGKDMKSEDGSDKKSGFKQNRPMNLVVAQSPLFMMPPGLSPSGFLNSPGFFSPLQSPFGMSHQQALAHVTAQAALSQSQSFKHIQADSQLPSLLPASAETVTHPSSSSELFFTQQMHDAKLEPENSKIDSPDISNFERKSVSTSLCTDKPGADGYNWRKYGQKQVKASEYPRSYYKCTYANCPVKKKVECSPNGQVTEITYKGQHNHELPLSNKRTKGGSDRSRAVNAEAKVEPAFIGQTESSRSEETVPYHAVPTDQLSTQLVPQQSHELTNDEEGYDAEPRVNENIEVGTQELPISHRAVTESRIVVQTRSEVDLLDDGFKWRKYGQKVVKGNQNPRSYYKCTYAGCNVRKHVERASTDPKAVITSYEGKHNHDTPTSRNSSHNSNNANANQSRPARVGTTKKPVHNDMDFETSQSPFLLQLK >KZM87035 pep chromosome:ASM162521v1:7:10306467:10306733:-1 gene:DCAR_024169 transcript:KZM87035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIELVKCECCGLKEDCTQDYISEVKLKFEGKWLCGLCSEAVRDEVNRGNSKASDVEEAMRAHMSLCRKYKSNPAIRVADGMRQMLRR >KZM88721 pep chromosome:ASM162521v1:7:30695635:30700019:-1 gene:DCAR_025796 transcript:KZM88721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYINNSFHQVKSKHSSEETLQRWRDVCGMVKNPKRRFRHTANLSKRSEAAAMRRTNQEKLRVAVLVSKAAFQFIQGMKPSDYSVPEEVKAAGYQICADELGSIVEGHDMKKLKFHGGVSGIAEKLCTSTTNGLPSDTAKLERRQELFGINKFTEKEVRSFWVFVWEALHDMTLMILAICAFVSLIVGIATEGWPHGAQDGLGIVASILIVVFVTATSDYRQSLQFRDLDKEKKKISIQVTRNGYRHKMSIYELLPGDIVHLAIGDQVPADGLFVSGFSMLIDESSLTGESEPIHVTDDNPYLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQKMISRKVHEGTYLSWRGDDALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMQKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNRMTVVKTCICTNVKDLSAEGSSTTLTSEIPGSTLKILLQSIFNNTGGEIVVDEAGKREILGTPTEAALLQFALSLGGDFQAEREAPKLIKVEPFNSTKKRMGVVLELPEGGLRAHTKGASEIVLASCDKVINSTGEVVPLDDALLNHLKTTIDEFAGEALRTLCLGYCQVDGFNPNDSIPTSGFTCIGIVGIKDPVRPGVKESVALCSSAGVTVRMVTGDNINTAKAIARECGILNEHGIAIEGPVFREMKLEELNDIVPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALLVNFSSACVTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRSPVGRKGNFISNVMWRNIIGQSVYQIIVIWLLQAKGEEVFGLDGPDSDEILNTLIFNSFVFCQVFNEISSREMERIDVLKGMLQNNVFVSVLSATVVFQIIIIEFLGTFANTYPLTFGQWSYSIFIGFVGMPIAAVVKLIPVDHLKLE >KZM88904 pep chromosome:ASM162521v1:7:32188653:32193569:1 gene:DCAR_025979 transcript:KZM88904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNWVFKLVVLFSWVLCMSSGLVQCSVTYDSKAIVINGQRRILISGSIHYPRSTPEMWEDLILKAKQGGLDVIETYVFWNVHEPTPGNYNFEGRYDIVRFLKIVQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMKSFTEKIVNLMKSENMFESQGGPIILSQIENEYGPQGKNLGAAGHNYMTWAAKLAVGLDTGVPWVMCKEEDAPDPVINTCNGFYCHNFSPNRPYKPTIWTEAWSGWFTEFGGPIHKRPVQDLAFAVARFIQKGGSFFNYYMYHGGTNFGRSAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKLCEHALVSADPVVTSLGSSQQAHVFSSENGNCAAFLANYDTKSAARVMFNNMHYKLPPWSISILSDCKNAVFNTANVGVQESQMQMLPVSADMISWGSYNEDLTTLDDSSTFTTFGLLEQINVTRDASDYLWYITSVNIGSSESFLRGGELPTLMAQSTGHALHVFVNGQLSGSGFGTRENRRFLYTGKVKLHAGRNKIALLSVAVGLPNIGGHFETWKTGVSGPVVLHGLDQGKWDLSWQKWTYQVGLKGEAMNLGSPDSTSSVNWMGASLIELKPQPLTWHKAEFDAPEGDEPLALDLQSMGKGQVWINGQSIGRYWTAYAAGNCNECNYAGAFRPPKCQQGCGQPTQRWYHVPRSWLKPRQNSLVLFEELGGNPAGIAIVKRSVSSICADVTEFHPYIKNWQIESYGKAEDFHKPKVHLRCGAGQSITSIKFASFGTPTGTCGNFHQGTCHAYTSYAILEKMCLGKERCAVPISDTVFGLDPCPNVLKRLSVEAICAPMTTRG >KZM87931 pep chromosome:ASM162521v1:7:22144500:22147893:1 gene:DCAR_025032 transcript:KZM87931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTAARRIISRSSVCCRRFSSDLEANAPADAAFIEAWKKIVPNIDPPKTPLAFIKPRPPNPSSIPSKLTVNFVLPYSSELASKEVDMVIVPATTGQMGILPGHVPTITELKPGLMSVHEGNDVKKYFISSGFAFVHGNSYADILAVEAVPLDQIDSNQVQKGLAEFTQKLSSASTDLEKAEAQIGVDVHSALNSALSG >KZM86371 pep chromosome:ASM162521v1:7:2086049:2089516:-1 gene:DCAR_023505 transcript:KZM86371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHFSIFSLIFLSFSCVFVDGSSSSDDIEALLGLKSSIDPLNSLQWSGENVCKWSGVKECLRGRVTKLVLEHLNLSGNLDERSLNKLDQLRVLSFKNNSLSGQIPSLSGLQNLKSLFLNKNKFSGQFPATISGLHRLKVVVLSQNRISGEIPASVVNIRRLYVLYVEDNLLTGPIPGFNQTGLRYLNVSNNQLSGEIPATPALVRFNLSSFSGNVELCGEQIGVPCNGSVVSAPPSLSPGVTEKKHRKNKKIIWIVAGSVGGVLLLCILVGLLLFCMRKRGKNETRVDRSKAVSGGDTAAPAPEEDSGGSAGGKGGGFSWEGDGGIGSLVFCGPGDQQMNYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRVEEFRRHMEVIGKLRHPNLVPIRAYFQAKEERLLVFDYFPNGSLFSLIHGSRTSAGGKPLHWTSCLKIAEDLATGLNYIHQNPGLTHGNLKSSNVLLGSDFESCLTDYCLTTFRNHDSAEESSASALFYRAPEYRDTRRPLTQPADVYSFGVILLELLTGKTPFQDLVQEHGEDIPRWVRSVREEETESGDDPASSNEGSEEKLAALLNIAMACVALTPDNRPEMREVLRMIKEARAEAQVSSNSSDHSPGRWSDTVQSLPRDDNLSI >KZM87640 pep chromosome:ASM162521v1:7:18852527:18854604:-1 gene:DCAR_024747 transcript:KZM87640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKPLQKGNKENISSDIPKGLISSPETSKTSLQFNRLQPPKEACREDSRLDFGQFTAREAVLDEEYWTAAWLRAESHWEDKASDRYVENYKRKFTEQEFNSIKRNCKSQIGHKSTCILAVKNEPRNVILKNVVGTLDLSMRRLLHGETFPAERVKIPLFCSIQKEGSKYGYISNLCVAKSARRQGIASNMLSFAIKSAIYYGAEQVFVHVHRNNKPAQLLYQKMGFEVLPCIFALFS >KZM89180 pep chromosome:ASM162521v1:7:34707835:34710678:1 gene:DCAR_026255 transcript:KZM89180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPHVSSTNIAEEVALSLSTYVQTPSQFVGGSSRDMGDMRLRNFGNRMPGDMVSATLKELDSPNAPKDSVTGANRLRTGSIEKSCVFSGSRRNILNPVSRIVGFESKSLNSPAFGADADQCDELHSPSTVTFGETASMNGSLVKKRMLSPINGMLITDQFNGERLNIGGSIYNCDSQVSSGNCSNTVSLSQEHKKAHIISTDYYSSLTNFGSLTDGPLLDSKDVLPLGLSSSFHREATPISPDKVASSSLSLSPLGPKFSGRSKTSGGCMGISKELDCSYLTLKDMEKSLDGTVLGISTSKKKEDTRMASKSLTEVEILQQKAGPFTPESIRVLEDNWCQDSTPTSQFARFGRSLSGLSIRRSLVGSFEESLLSGRLASGIVNQKIGGFLAILNITGGTFSPHPQKLPFSVTSVDGDNCLLYYSSVNLAGNSPAKKVRGPKMKRSFSSGDSLAEKSRLRVPMKGRIQLVLSNPEKTPIHTFFCNYDLSDMPVGTKTFMRQKINLSSGPGNGGSKDKKDGSIYANLNSPLLPSKTLSTELSSVDQQSTDDVLNRLNPGLPRDLTKLSSPSGELDDREHIDNDGVDDITATVSSITESKCLNGSPRVNESTSGSGVLRYALHLRFMCPFPKKYSRTFQKCKFDPLSEPAPNNKTSEGERRFYLYSDMRVVFPQRHSDADEGKLNVEYHVPSDPKYFDISC >KZM86980 pep chromosome:ASM162521v1:7:9350091:9360335:1 gene:DCAR_024114 transcript:KZM86980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRRSCLRISRIEYKDPDRLRVLQAAKYFRDHWVMLILCNPGGIVDSTVKGTCMVLLDSLKGSPTSNVESFIHGFLESLFENENIGLAKNTSDRIPTLQPEVPKQKYTMCCGYFVLFYATSFLKSCPEKYSTLNDYPNFLKKDWFTDDAVENFSEHLRNIFSKYGTDDSRLQLDNPNLEDKIKYLIKSLFSNSEMTSGAECDGAIDVRQSDIRIVKEYSLVSTIEKRKKNTSEEGIKSGTPNVKPVLKIENKSSHKKLEIKLSPSLLTKAIEGLNSQQTKWVIGTGFGSMLNFKLLSYPTHLGYCLVNNFDKESYNLLVDGKTLTLTESDVNRIMGLPRGKIEIEYYENDTLKKEWRKQFGEATDFRRVAVSSVVDAITESQEVDRNFKLNFLAVVISIIFEGPYNSYVRQRILDIVCDLDKCFEYNWCKFLLCKLPKSSAILEDGKQIRSYPGPLPVLLISQVCSGRKRERSTHPNQDVLPEKHSKTGGSDKGKQSIPEIETENIKKLAGDKTTEFVCEYTDMEEGRTIDIENREDPLNTIQTPRKDANNVANSMMPILIESGSTGSILKRVKRPNYVERFNNNGGFLSPTTYSDNPNDYHSVKKVRFMNENGVVNGGLRNSGFQDWNVNKTSDINNSKFLSNKLERDTGHQSLCEKTIMCKEADVSSTFELSIDDMIDCNPSEKPFYANERPRRRYRKDFEHWMLVRFQPKILCDAVKTLSTNQRQWVKSTGFSSILSFRMNQYPQALVYNIAKSFQTVPTGLNCGGSFIPITDDDVNEVLGLPKGQINMPFIRSTHVEDMWRDQFKHLGRVGWKITPNMVLDAMKKSCVADRLFKLNFLVLLHNVLIEGPTNPYVRQSVLGFAGDLDKCYNYNWCKLIVSNLKLASLAWNENRETKFYTGSVPLLVYFYMDRVVNGRRQVRRKKPTFIGWSDTIIHDRQMSDLTSDLFFVGRIALPIRHKDEDDESSYEDHTWNTDDNYYSDEGEVEGKFNEELVQTSASMDIVPLKRVDEENFSHLSNRECRRNVSTASMDIVPVAVLENQEKSVINHLSPNLAENDTNSYNKVPPYVLDKLKENVDYYEDVQKKCMMYLLSAKKLFRKNQTLDKLESRFLLLVEKANGFIKEELIGSHVLESKPNTDASSCPTKVVQAPSGLEIINIPSGKSCTESINDLDEELCLSSQDWLLIDNLCATRHGCNVKAADVFNSMGSQSKTISFNINRNTSELTTPADANTFKVHLDTSIPSFNLFIDEVDVIKPDNVDVSTNKEDLNLIQNNRPIRERKVADIHKSPYFDRLTSIYGKSFKKEETELWEWLHANDQYPNRILFKWGKINCYKVDFQSMMDGEMIMTSVMDVWCCFLNALEELRAPVSPYRLFCYIETTLGTLNASDEATVEEKYLIFETNMDHVLTSNRTTLAEVDMVFFPIHRVNHYYVVCYNLKNPAIEILDNRVSERTIQYLYGHQLTILHTHFIEFMKRKNFGKYSEFQRMDAQRLKMRWQTKDNAIDCGIFAMRHMETYFGGGPRNWDSKIQVESYTQKKQISRLRLLYTYRVLTSAINSLSEMIYDEIQDPTLVPDESSYRKALEKLSQN >KZM88490 pep chromosome:ASM162521v1:7:28281598:28282126:-1 gene:DCAR_025565 transcript:KZM88490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPQIQQETQTENDSDEEINPCTMLPIEHQETKGQDTEVAAEKDEVHAASFPSISSKLWPAAPTLFSLLDNHHNAAFSALFHHKPLCILELGSGTGLVGITAAAILGAHVTVTDLSHASPKSPIQCGSEFEDHRGQWRTRGGCCNDVGK >KZM86756 pep chromosome:ASM162521v1:7:6507475:6522972:-1 gene:DCAR_023890 transcript:KZM86756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHSKTPVKYVAVSDLYSSTTPCGGAAGSKKVKARKLPEFDDDRRPPVTRIYSRRSDKHEDNVFDNLSAGKSESVDDDLVGGKSVKRRRLGSSELSRLGVDSSLLRSLCSERSSRRKSGGEGKLQVKGENSRQKVGGSGLIDKKKWTWLSYKCDPTNLVGLQCKVYWPLDDDWYTGSVVRYNEGSKKHHVKYKDGDEEDIILSNEKIKFFVSPEEMRHLNLSNGIGSSNTENLDINEMVVLAASLDDCHELDPGEIIWAKLSGHATWPAIVLDESVIGGRKGLNKITGEKSILVQFFGTHDFARVRMEQAIPFLKGLLSSCHTKCKKTGFVRSLDEAKMYLSKQKLPKRMLRLRKSNTSNGCANGGDEESADSDDEVTRDTELKRTLERIQNCPFEIEDLQVQSFGNIVSDSDCFYEDIYICPDGYTAVRKFPSILDPSVHMSYKMEVLRDPAAIGLPLFRVTSENGEKFEGSTSTFCWDKIYRRIRKMHSRGNDALKVAGVLKSTIRSGPAMFGFSHPEILKIMQVLSTSKLPSKIFQVPDKKHHDLPVGFRPVHVNWNDLDRCNVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPIDGILWYCNLCRAGTPESPPPCCLCPIIGGAMKPTVDGRWAHLACAIWIPETCLSDVKKMEPIDGLSRINKDRWKLLCSVCGVPYGACIQCSNSSCYVAYHPLCARAAGFCVEPEDEDRLHMIPIDEDEDNQWIRLLSFCKKHRPLSPDHLFAGDRINRTACQHTDYTPPVNSSGCARTEPYNSSVRRGRKEPEALAAVSLKRLYVENRPYLVRGYSQHESLSNVKCSRTVDNYKITLELEKLKSGDRDATNNILCMAEKYNHMRKTFRKRLAFGKSGIHGFGIFAKQAHRAGDMVIEYTGEIVRAPVADRREHHIYNSFVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRAITVNGNQHIIIFAKRDIKQWEELTYDYRYLSIDEQLACYCGFPRCRGVVNDIDAEEQKAKLYVPRSQLKELKRD >KZM87228 pep chromosome:ASM162521v1:7:12763173:12767800:-1 gene:DCAR_024362 transcript:KZM87228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRETSSGDIKSALLPAANGGGRRLSSGRGRLSRRNSVNSLKNEFVSRLPDKVRSGVDLESSSLFDLSATSSLTQGEKDYYERQFATLKSFEKVDLVVESDNIEEEDLAEQHQQERAMRISNYANILLLALKIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNINIYRYPIGKLRVQPVGIIIFAAIMATLGFQVLIQAIQELIKDDPPQRMNSDQLLWLYTIMLTATVVKLALWLYCKSSGNDIVRAYAKDHYFDVITNVVGLVAAVLGDKFYWWIDPVGAIILAIYTITNWSGTVLENADLTSILPVLTVRFLDAASLVGQSAPPEVLQKLTYLVIRHPQVKRIDTVRAYTFGVLYFVEVDIELPEDLPLKEAHYIGESLQIKIEKLAEVERAFVHLDFECEHKPEHSVLSRLPNSEP >KZM88154 pep chromosome:ASM162521v1:7:24998613:25002506:-1 gene:DCAR_025229 transcript:KZM88154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPPPPQQFFPAFPPFPPPSTNFWETNNVNNRLTELSDTLRVAKAMERELEMLIAVKEKGNDNGMDNESFRCSVSEFSNYLEENRIDLATQELRSLEGANALISKLSVEVEPFRVLTDDTSRWEEKSVALRFVNKIKKHKRNKLWRKKKRKRVAERVAKERERFDQVNQEADEWRAREIAKDIAKRKVEKMKEIAKLKAKEERKKLESELELVLIVEKLQELRSIRVQKLKKQGHFLPEEDDKFLERVRAAVEEEERQAKAGAAASAAKDAIATAEESRKVSQNHGQPAEARSASREDEESQEQIVMGKNAKGSQATVDTRNQGSEVPGPGRAYDSLANLPLEFYHYYHGSNTDMGTLIEVRRSWDAYIRPGGSRIPGHWVQPPPPADEIWASYLVKP >KZM88861 pep chromosome:ASM162521v1:7:31842352:31844264:1 gene:DCAR_025936 transcript:KZM88861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCIPSKNNPPRILDDNPPIKNDAVRSPNSKKLKIYIVFYSMYGHVEGLAKRIKSGVDCVDGVEAVLFRVPETLSEDVLAKMKANEKDADVAEISSAEELVEADGFLFGFPTRYGCMAAQMKAFFDSTGKLWREQRLCGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLYVPIGYTFGAGMFEMDSIRGGSPYGAGVFAGDGTREPTETELALAEHQGRYMAAIVRRLART >KZM87839 pep chromosome:ASM162521v1:7:21019485:21020756:1 gene:DCAR_024940 transcript:KZM87839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNPSSHNSRQPNHHTPSQNTHVPRQINTPYAKPTVTAPKSILVDLSNLGKLDNMLLNLQPGMMICVPQNGVVHNLFLAAKWENMVFYRGQNYFVHLIGEFYANMIVQKGLDDALKISTVVHNKNLLVDVNTLNRCLKLGENVPHQPCINIYEKFVFDKKEFELFVGHFCDADVPLGLCEENCAIEYHHFTPLYQQVAIVVRSNLLPKPKNAHYFDFVDLKVMFQLVTNQIEFNINYVILLNMIMAFEVEYLPYGLLLTSLFELYHIAMPRILAEKIEYCDIINLVKPQVPLRNCKPFAVSPVCISPTVMITGNTHASVKNGAEINKLKGEIEILKEMTTSIVARLDQLEGKNKDDSTVGNVEGIDEKMDRLFSEEMVNEMVDKNDKMAIDEAKKSDKEMLPGMIDLTDDMGFVSVDGPEKA >KZM88233 pep chromosome:ASM162521v1:7:25842669:25842945:1 gene:DCAR_025308 transcript:KZM88233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTATFVEIILAIILPPLGVFLRFECQVEFWICLLLTFLGYLPGILYALYVLTK >KZM86356 pep chromosome:ASM162521v1:7:1965368:1966559:-1 gene:DCAR_023490 transcript:KZM86356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHDNPIRNFVNCTRRCLSLLTGLFSSVVSSSNKVEGGCNSSAEKEEEEFGMANEPIDISSSSESWDLEADESIVDDSVTSAGLRRLPSWADFAGTSSAVNGGTSYKSNSRVSTSNGNVWNPGHQNHVGTGFGQHLYGQVLKRSLAPSLKPHVHGSTYSIGNLGSSQIRESYARSYPAQGVPNQLENQLEKDCDDDLVMYDKNGSLPMPKPFMPRTYPSTAQHSSSAGPGLHSGAGKEQYGEYDERLIYQAALQVVK >KZM86310 pep chromosome:ASM162521v1:7:1582939:1585748:-1 gene:DCAR_023444 transcript:KZM86310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATREDEATGNGYSEGLREPEEQVLNQRKGMVQQKNGLEDDESEKKEVLSIEMIFKDKEVPTWRSQLTIRAFVVSFVLGILFSVIVMKLNLTSGIIPSLNVSAGLLGFFFIKVWTAFLDRSGLLKVPFTRQENTVIQTCVVATSGIAFSGGFGSYLFAMSETISKQATEANDSQNTKSLSLGWIIGFLFIVSFIGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKFYFDFSATYVGVGMICPHIINISLLVGAILSWGLMWPLIETQEGHWYKSGLSSSNLHGIQGYRVFIAIAVILGDGLYNFIKVITKTSVELAKQLQNKDPATILPLADKGSPEAKAASFDDQRRTQLFLKDQIPSWLALGGYVIIAAIAAGTLPQIFSPLKWYHVLVIYIFAPVLAFCNAYGCGLTDWSLASTYGKLAIFAIGAWAGKDQGGVLAGLAACGVMMNIVSTASDLSQDFKTGYLTLASPRSMFVSQVIGTAMGCVISPCVFWLFYKAFDDIGTPDSEYSAPYALVYRNIAILGVEGFYSLPKNCLTICYILFAGAIIINALRDFGPKRWTKYIPLPMAMAIPFYLGPYFAIDMCVGSLILFIWEKMDKVKADAFGPAVASGLICGDGIWALPSSILALAGVKPPICMKFLSRATNVKVDKFLNP >KZM87735 pep chromosome:ASM162521v1:7:19932646:19933065:1 gene:DCAR_024836 transcript:KZM87735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLWQSVTGTGQAAGDYDGVEFWSSPERAGWLTKQGEYIKTWRRRWFILKQGKLFWFKDSVVTRGSVPRGVIPVATCLTVKGAEDVIHKEFAFQLSTKSETMYFIADSDKEKEDWISSVGRSIVQHSRSVVDYDNDHN >KZM88720 pep chromosome:ASM162521v1:7:30692037:30693813:1 gene:DCAR_025795 transcript:KZM88720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRSIFGFHIRTAYLSLTYFDQFLSRRSIDIEKVWAIRLLAVACLSLAAKMEERKVPLLSEYYVDEFNFEGNMIQRMELLVLNALEWKLGLITPFEFIHYLITKFFGYCSPPTDLVSKAMESVSSIIKEISLMDHRPSVIAAAAVLGASDERLTRAVMELKIDIIASWGSLEKEHIFSCHNLLVELEMEKSKTPNSLVSTNLQIKHLSSSSVTCGGVGTKRKLSYNVDSENQGPSQKIFRQ >KZM86482 pep chromosome:ASM162521v1:7:3221087:3221697:-1 gene:DCAR_023616 transcript:KZM86482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVDGTSASRTLKSKRFSDCLDCCNTDEKNELFSLATGLSQGEGKDKLYEGYAFYDINGDLSRRAVYILDSGALIHFASVFCQKALDLEAPIGNGLFAKDILYHACLGTLKMISFMLEDSHTLNLADMELMSVDQVLKGMGFDNPNEPDEDAAEANKRGRTAANI >KZM87870 pep chromosome:ASM162521v1:7:21491803:21495806:1 gene:DCAR_024971 transcript:KZM87870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQALCNVCTPFHRKPTLLRSPIAASNSLKFRRKMTVKSELQTTTTPSVNGAYSPSVRTHKVTVNDRQRGVVHEFVVPEDQYILHTAEDQDISLPFACRHGCCTSCAVRIKSGDIRQPEALGISAELKAKACICNLLITSLSSSIYLTSDHNWGYALLCVGFPTSDIEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMGDE >KZM88333 pep chromosome:ASM162521v1:7:26899439:26901069:-1 gene:DCAR_025408 transcript:KZM88333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALVVNLGDYGHLWKSAKVSALQNQDKWESRLLLISLLGAFWGTKGVLESEFLMKIAKSKGTPVARIALRRAYEQGVIIVVNSFNKERLKQNLDIFEWELSNEGSKKIAAIRQRRANLEQLFISETSPFRTVQELWDGEL >KZM87998 pep chromosome:ASM162521v1:7:23131994:23135511:-1 gene:DCAR_025099 transcript:KZM87998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGELLSVDPQELQFPFELKKQISCSMQLANKTGNHVAFKVKTTNPKKYCVRPNTGIVSPHSKCDVVVTMQAQKEAPPDMQCKDKFLLQSAVASGEFEGASAKEITPEMFSKESGNQVEECKLRVSYVAPRQPPSPVREGSEEGTSPRASVSENGTANTLDSNTVSRAYVEPEENTPEVRALISKLSEEKNSAIQQNKRLHQELELLKRESKRSRGGISLMYVILFGLVGILLGYLIKKT >KZM88048 pep chromosome:ASM162521v1:7:23961992:23965048:1 gene:DCAR_025123 transcript:KZM88048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDSSAISAIDEAYKFLQAVPNPDGSLTRSQFFPSVSPTPQLIAGSPSGNQLALSKDVPLNMKNQTFLRLFRPTNHTDRLPIIIDFHGGGFVICSAASAPFHKILNRASAFAPALVISVDYRLAPEHHLPAAYDDAMEAIMWELADYSNVYIMGTSAGGNIAYHASLRALDADISPVEIKGLILNQPFFGGVQRTQSEDRLSTNLALPLNVSDLMWQLALPLGSDRDHEYCNPLISCSPKIEQLTRCLMRGFEGDPLVDRIKGLVKMLEVSGVHVVAKIQAGGFHGAELSGDQTATTLFNNCTATETDFSAVQRNTMETESSAINEAYKHLKAVPNPDGSLTRSEIFPSVPPTLQLQANSPSETQLALSKDIHLHNSNITFLRLFRPANHAAKLPVIIDFHGSGFVVCSAASAPFHEMWTYGSAFVPALIISVEYRLAPEHRLPAAYDDAMEAVMWVRDQAREVNGRDPWMEELADYSNVYIMGASAGGNIAYHANLSALDADISPVQIKGIILNQPFFGGVQRTQSEERLSTNPSLPLNVSDLMWQLALPLGSDRDHEYSNPLIKCNPKIKQLTRCLIRGFEGDPLVDRIKGLVKMLEASGVHVVAKIQDGGFHGADVFDESKMKELFSQIKDFIYA >KZM86194 pep chromosome:ASM162521v1:7:519124:519324:1 gene:DCAR_023328 transcript:KZM86194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNIIYDEDRRENEEEGKINKAFMLILSAVVTTFNPCHGVLSCKNFKIVIKVPRRLNTIVEVEER >KZM86331 pep chromosome:ASM162521v1:7:1749988:1759423:-1 gene:DCAR_023465 transcript:KZM86331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKSGNDDHKTNEENPSFNSSPAAADSNQVAAMNTGEDNSISNNNQGRQSRTPFTDLSQERAYMMLTMNADAYARALQDAEDREMASRLLALSGISGMVASESEELEDHGHDSQDAWEEVDPDELSYEELIALGDVVGTESRGLSADTIASLPSKSFKMQNNQEENIESCVICRLDYEEEQREGNIVIICLVNGAPFLNTLLLRRRKDQIQKNPSQLRSLIMGELAADAHVKYILAVEKRKDDFESVVTEHLRINGAYWGLTTVDILGKLEAVDREEIVAWVMKCQHESGGFGGNIGHDPHLLYTLSAAFALCNLLNLTMKLDIVGLQNEDGSFSGDIWGEIDTRFSYVAICCLSLLRCLDKINVEKAVSYIVSCKNLDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIIIDRVHWIDKEKLVRFILDCQDKENGGISDRPDDAVDVFHTYFGVAGLSLLEYSNLKAIDPAYALPVDVVNRIFLK >KZM88092 pep chromosome:ASM162521v1:7:24290821:24292413:-1 gene:DCAR_025167 transcript:KZM88092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLDGARADLALAIVYLNKAEARDKICRAIQYGSKFVSNGEPGTAQNVDKTTSLARKVFRLFKFINDLHALISPPVEGTPLPLILLGKSKNALLSTYLFLDQFVWLSRTGIYKNAERAQRIAKISVYCYMGSSICTTLVEIGELGRLSASMKKLKKEKNEEEYRAKLQKSNQRSLALIKAAIDIGVAAGLLQMAPKKITPRVLGAFGFTSALISCYQLLPSPPKPKAA >KZM86409 pep chromosome:ASM162521v1:7:2562358:2563191:-1 gene:DCAR_023543 transcript:KZM86409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEGPEVNRSVVEHDAKALYKAGEKKFGTDKKTFIHIFSERSRTHLAAVSTTYHDIYDRRLEKAIKSETSGHFGYGLLTIIRSLKQVAAEILQVFSFVGTAQGHEGQGMDDSSLIRVIVTRAEIDMQYIKTEYHEKYGKTLNDAVHSETSGHYRSFLLALLGLNLH >KZM87996 pep chromosome:ASM162521v1:7:23112912:23113244:-1 gene:DCAR_025097 transcript:KZM87996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPSTYVVLFNILFFTLTHGQVLGPSPLSDLNCSNCRVNPIGLGACGVVLGGGVAPVAIRLCCSTLKSLSAEDLSACLCKVIKADSLKPRNMSVQTAVDRVLTACSNI >KZM88133 pep chromosome:ASM162521v1:7:24827702:24828067:-1 gene:DCAR_025208 transcript:KZM88133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYIVEHKGNVLKLFASDYGDKASINISTYSDTYTTWQSVSPDDEMNNISLYLSRLHNCFCASDRGLNAYRLQPDYSGLPHSRILLGYSVLYYNLAAGNTQVLQLPFKISATAKWVDIG >KZM87911 pep chromosome:ASM162521v1:7:21950834:21952911:-1 gene:DCAR_025012 transcript:KZM87911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFGEEEFLACCGSREFAKEMAALGLLSCEEAVEAARLIWFNKVGVTGWLEAFGSNLLIGQSPSSTYQRTHPTCAQWSKGEQSTALATTTDTISQELHEWNNRYKQKFGFIFIICATGRSTPEILAELKRRYPNRPIVEFEIAAQEQMKITELRLKKLYSLPHIVASTAIDTSGPET >KZM86833 pep chromosome:ASM162521v1:7:7466669:7473938:-1 gene:DCAR_023967 transcript:KZM86833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDNKKKKNKKKKNKQAKNAESSTAAATATVAASVSADQLTNGFDQHNTSQAQHTSHPSADLDRHGVKASNASAEQVKQLEMEKEAYMNKEAILEEKIKQLQREKEQLQREKDEYLEKESSTTETVAGLIADNTRLRAQVMELEESQKNLFQENQQLLENISGLQKQIQSSAHLSSEIGEMENQHIKSEMLAAQTMVEKLLLEKAELVEKLNAERDRQGTMAELSSSSVSGPVASTIKLAHNVENSSVISDSTDRILSVPVAESSALKPDPISGTPAKLEGEVVDDASGESQPLLEEKTRPVESGEVFREELCSHSNHNNTPTLDTEITISTETEQIPSEDSDTSGTNLSLEDSSSNEKLTVPISDAPLIGAPFRFISFMARYVSGADLVNQSP >KZM88921 pep chromosome:ASM162521v1:7:32306390:32316396:-1 gene:DCAR_025996 transcript:KZM88921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFEWAVNIQRDSYASYVGHHPILSYFAIAENESIGRERYNFMQEKYKNRPWLLEDETGQFQYHGVLEGAPSATYYLLMLQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKFDDKESGGGGGRGRKKTTGDDEDEANVSDKGEEDEEEESARKNRLGLNRKGGGGDDDEEGPRGGDFDFDDDDIEKGDDWEHEEIFTDDDERVENDPEEREDLGPEIPAPPEIKQDEEDEEGEEKEGGLSNSASSMRLSSGLARTNLSFVEDEILASPVLAPKQKDAPKEEPVDNSPLKPISAASARASSASKSAKGKKIRKVSTDDAKAAAAVPSKKAKTENDVKPVKEEPVSASKKNVAKKGASSVPVESGSAVAGQPVTEDEIRAVLTQEKPVTTQDLVAKFKSRLRSREDKDAFAAILRRISKIQKTTNGRSFVVLREMKPDA >KZM89304 pep chromosome:ASM162521v1:7:35839376:35842987:1 gene:DCAR_026379 transcript:KZM89304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRELKLGKLEKGSDAAVEKVIVAVKAAKEVPKNALVWALTHVVQPGDSITLLVVVPSQSSGRKWGFPRFSGDCASGHRRSRPGTRSEQKIDITDSFSQMILQLHDVYDPQKINVKIKLVSGSPYGAVAEEAKRTRASWVVFDKKLRQEEKLCLGQLQCNIVSMKRSHPKVLRLNLVGSPNKETEGGGQSSDKQIRKNNSLDSNLGQVVTPTGSSELYTATDAGTSSLSSSDPGTSPFSIPEANKELKERFSREKNCDLDESTSESDDDDLSTSSSSLLFPRWMKHVDSSECQSSRHMEKSSHMSEYKVQTSTSNALHENSSKHDQDAGIGRVRYKPDLEFSRNVREAISLSTHAPLGAPPLCSICQHRTPAFGKPPRWFSFAELDLATRGFSKANFLAEGGFGSVHRGVLPNGQTVAVKQYKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDGRRLLVYEYICHGSLDYHLYGRSRDPLQWSARQKIAIGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVQTRVIVDLNRPRGQQCLTEWARPLLDAYAIDELVDPRLRNNYSEQEVCCMLHAASLCISRDPHSRPRMSQVIRILEGDAYLDTSRSSTPGHDIGSESGRIYLDHHPKQRNEPLTGQLINKKLEGFSRKLSLDAKRSVFQGRDKARRSSFE >KZM87054 pep chromosome:ASM162521v1:7:10510375:10525205:-1 gene:DCAR_024188 transcript:KZM87054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTSNIQVQKVKLGNQGLEVSRLGFGCAGLSGMLNTPLSHEDGCSIIKEAFSKGVTFFDTSDVYGYKHDNEIMIGKALKQLPREKVQLATKFGVRFTPDMKVIISGTPEYVRECCESSLKRLGVDYIDLYYQHRVDTSVPIEDTIGELKKLVEEGKIKYIGLSEASVDTVRRAHAIHPITAVQMEYSLWTREIEDDIIPLCRELGIGIVSYGPLGHGFFGGKAAVESLPKNSAMNRHPRFIGDNLEKNKLLYNRFADLAAKHSCTPPQLALAWLHHQEDRVVPIPGTTKTKNLDANIGSLRVKLSREDVKEISNAIPPDEVTGEREMSILSGYCYKVVNTPKWDTISGNFVVVDHDIFWSSDHPGIDLVVTSPGGNTVHSVKGTSGDKFELKAPRSGMYKFCFHNSYSTPETVSFYIHVGHIPNEHDLAKDEHLDPINVKIAELREALESVTAEQKYLKARDARHRHTNESTRKRVIYYTIGEYILLAIASGLQVAYIRRLFSNAQVAEQGVLRFLLSHTPMVIEFLLNESEKYIDGVVQLHERNRKLLRCLLSWVQAGCLSEISAPSLPAHPLLSFVFNSLQRLASLQALSKFSNYSTLIDTAMQL >KZM86354 pep chromosome:ASM162521v1:7:1944379:1945092:1 gene:DCAR_023488 transcript:KZM86354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYKYIVGENSADYGVAFGFGFDHVSSDYKAVRIVQKINVINVINRVEVYSLKENCWKEINAELDFGLIDKPRGKVTSVNGSIYWLARKRMDDFGLVVLSFDVQSLKFGTIQFPDDLSVLPFYWRRNYDEFSVLQFKEYVALCYSLDLGGCVVIYTLLDGNCWCKNMTVRRLDYLVGCLKTGELIGLKYRYDGRRNGYHEDVVLYDSVNDVAKSIQSILKGRRTLYHYTESLFDLN >KZM86601 pep chromosome:ASM162521v1:7:4803281:4806313:1 gene:DCAR_023735 transcript:KZM86601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMGVSLTCIMDLVVAGISLMIGLGLFVFIASILCSAAFFQNAKDVWIYERFPTLAPRHTATPLIIYPLALRWTGPFTRTEVPHGQRRITRYELDNMIEANFRWRPYADLDDEHQPEYDLYLRWTAPTPLMYMAYVEWCYTDRVTRQFGFVQDIPTSSPRANHSNLHTIVNEAINWEGARESHTRIWDRSLERALTSPPLMFGEGCTAAYMPWFLAVTRRYIVNPVYWRTAEAFQGTQGATQALEDQLLDMESAIDPATLDLARAQRIVQGLLGRFRGSRNPSRHRGRPPVTPVEPEPGTYYTHVASGSSDTGGWSHLVGTSSSPVGDVAGTSRADGWDSWPASTVGPSTYAGDDYEGGPRGFTVRLEDDQDMSAERQSQESYQFQDADAYRPDMSFLRDQYTTPPPQVPVPSFASQSYIFGAPAFPFAPPPERSTPTPIQMSTFASYTGESSPWAPPSTAVPGHSEAEEQPEDEHRQQPPRAAKGKGRRCHTGSHIFGHKKK >KZM87611 pep chromosome:ASM162521v1:7:18597919:18600365:1 gene:DCAR_024727 transcript:KZM87611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHIQDLEKSRTNWRIKARLTRFWPTFSPETSTIKGYNLILLDDDNTHVHAYVYPDNWRAIGKEVAEGKVYTFENFQVRDTIGKLRPVSTRLCIRLLSSTIIECVEEDAMIPNHKFEFMDMGDLLEECNRLTENQNPEFAYDVIGVIEHFKRVKRVPTKYGERDQTRFMFTDGRLKFSVTLWGDFASSVSQSYTDELQKPVVGILTSAKLSTFRQENQIGALPSTKIYFNLDIDPVTEFRERLLEEGYKSPPDTADSSSEPAPRAVIERTSFKDLIENSLSFVLKRTVVVKFVITKVEEEDSWWFNSCVSCHAEVEKVDKKFKCAECKRNFGYCEKRFRIVVLADDTTLVTNVILLDRVVKRMGATTVANILNLMKKDSSVTAESAVFKTIVGKEVTAILQLTDANVSGDSNLYNVADLCDSSMFETAIIQSTPSQASTSFSMDGVSPIVGIELFQTPGSSESVAKKIKMEDSPP >KZM88397 pep chromosome:ASM162521v1:7:27587641:27591713:1 gene:DCAR_025472 transcript:KZM88397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIRAPFRGVAQDVRGRASCYKHDWLAGIRSGLGILAPTMYIFFASALPVIAFGEQLSSETDGSLSTVETLASTAICGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAEGKEDLGKDLFLAWAGWVCVWTALFLFMLAIFNAGSIIVRFTRVAGETFGMLIALLFIQEAIKGIVGEFNIPEGEDTDSEKYQFQWLYTNGLLAIIFSFGLLYTALKSRKARSWLYGTGLLRGFIADYGVPLMVLVWTMLSYSGPGKLPSGVPRRLFSPLPWDSASIYHWTVIQDMGKVPPVYVFAAIVPAIMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDMLLLGFMTLLCGLIGLPPSNGVLPQSPMHAKSLAVLERQNIRKKMVRSAKESMKEQASKLEIYNKMQNVFIEIDSSSVANSELKDLKDAVMNTSDGRTEKESQLKVVSELKDLKDVVLNTSDGKKETESFDPDKHIDGNLPVRVNEQRVSNLLQSLLVAASVFAMPVIKLIPSSVLWGYFAYMAIDSLPGNQLWERLTLLLVSPGRRYRVLEGDHASFVESVPFRSIVGFTLFQSAFLLLCYGVTWIPIAGILFPAPFFLLVSIREHILPKLFQPNYLRELDAAEYEEIAGAPRQLFSGPLTSRDRETIQSGNVNVEPEVCDAEILDELTTNRGEIKVRALSFHDENHIQVHPHDAPAA >KZM87082 pep chromosome:ASM162521v1:7:10816136:10818049:1 gene:DCAR_024216 transcript:KZM87082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWVGESDVVGKSGKKGKRSQKIEKGGEEEVRDHLDVEEGGIVKSGKKGKRSKKKEMDGVEEETDRMDVVEDGIGNSRKKGKKKEMDGVGEVMDCLDMEEDGIENSRKKGRKSKNKEMDGVGEVTDCMDVEEDGIGKSRKKGEKSKEKDGAEEMNDQTNVENDRNLESTVENKKKKNKGNDMVAGGESMTDPQDKEYKVEKRKKKKKTKTFENQDALNKKTETEAKERNNIAGNVEKKKKKRKRTVEEEDDNDKGQTNGCYGEDHVNSLPDDNGMVTIGKVKMMEKKKKRQRKNEEITGKDGLLSKAKDNETTNVSGKDKTESENKDPENPKSKVKKKVRFSNDLEVFPSNSLVQGKRFTPEEDEKLRAAVKEYIQSHCLGEKGVEMVMNCISHRQVRNCWNEINKALPYRPKAAIYCRAHTLFERGETHEWTEEEKEMLLEQYKKHGNNWKLMAKEFKRHRLQVKDTYRRIKRERNRGHWSQEEYQTLFDNVNIDLRAKLDEEKKSKHGMLRDNICWTAISDKLSTRADSLCCMKWYNQLTSPMVAQGIWADSDDYRLLDALFNLDACCIEDVDWDDLMDHRSGDVCRKRWDQMVLHIGLHGVKSFSEQVEVLAKRYRPELTEAREAWDSKPLVP >KZM88578 pep chromosome:ASM162521v1:7:29389288:29391624:1 gene:DCAR_025653 transcript:KZM88578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKTVMEEEAIRLKTLAEESYTSSDLNSALKLAKKSLRLCPHLDGTSDLITAFKILRSGAASSTVDSSPDWYKILQIEPFSHINTIKKQYKKLALTLHPDKNPFAASEEAFKLVGDAFRVLSDKIRRKEYDMKLRIALQEKEVAEMDKEGVEVFWTACSTCRLLHQFERKYLGHSLMCPSCKKSFKAVEFSDNANKKGVDDNVVGVDNVVGDGGGVGDRMKTKRSGVRDSEVKRKMSSVGEILKRGEGERINRGVGEGERIDRDEVVEDVGGLDSAVLSGDGKGNAVNGSASVNEVLRSKRVRKPRDLDKLDAGSSAASVMYESLKAKRAKSGGDEMMTIAQMQMLAKKKGNEKNLKLDEKEKEKVNETNETSDVSIGGSVETERRSSSKKGASSKASKSGGKEMITVEDLGFDESEEARTEQSSRKRKKAKKRKKTSDLMNCGNLGTEKISLTNNGTPGRGSSKNGTLSRASKSRNMDIVTTEELDFSDFDEDGTESSFQKGERVKKRKEVPEVPNGGNLETGKKSLLKDGTSNDVVSVNPFEVRLSWLDLQNDDDVLVSWEKLGFRICCGRFKVSRKISVNLLNIFSHVVDSDRVAKELYRIYPKKGSVWALYNESAKDSEGRNLGDKDRRCYDVAVFFTSYSEVHGLSMGYLEKVDGFKSVFKRKDIGGHAIKWLEKDDMRLISHQIPARKLSGEEVSVSAKECWELDPASLHPESLAIS >KZM86723 pep chromosome:ASM162521v1:7:6204541:6206134:-1 gene:DCAR_023857 transcript:KZM86723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTDEDTSTETHCVDLKSSGVVSPANSYGAVVLGGTFDRLHDGHRLFLKSAARLARDRIVVGVCDGPMLTNKQYSNLIEPIGKRMQNVKDFIKSVKPELVVQVEPITDPYGPSIVDENLDAIVVSKETLPGGLSVNKKRVERNLLELKVEVVDLISDKFGGDKLSSTTLRRLDAEKAKKPPQE >KZM87058 pep chromosome:ASM162521v1:7:10558510:10559020:1 gene:DCAR_024192 transcript:KZM87058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDSGHTVSLVASADTRGKHRIIAELRRLEQEARILEAFHILEFCCLIFLNFAEELDQIEKMEKASPACKELLVNVESRPDPLLAM >KZM88536 pep chromosome:ASM162521v1:7:28989207:28989509:-1 gene:DCAR_025611 transcript:KZM88536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSKITSPHRRQNSFNSPSFRKPYTRGDELGSFSTLINRHRFLLVALVLLTFLCTIYLYFAVTFGSNDSCSSLSGTQKSLCHLKQAKESISKGKLKFF >KZM87704 pep chromosome:ASM162521v1:7:19580437:19585537:-1 gene:DCAR_024805 transcript:KZM87704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDDKENVHRTPVFRGTPYPATREVLSTIVNNVNRFQEYVMKEKTYVEVGKIVEYLYVDRVVNGKRQVRRKKPTFVGWSDSLILDRQISELATGSLKTFFNGRVALPLRSKHSDDESSYEDNTWNIVSAASSDDDEVQCDRNDEHGSFNSVPNVSQAGKDLNQFEILTDGKLSEAHYEKDVGDILTGNFIQSIGEQKILGKKYLYVDRVVNGKRQVRRKKPTFVGWSDSLILDRQISELATGSLKTFFNGRVALPLRSKHSDDESSYEDNTWNIVSAASSDDDEVQCDRNDEHGSFNSVPNVSQGGKDLNQFEILTDGKLSEAHYEKDVGVTLTGNFAQSPRRLFCYTETTEKSSKIKSQISPESVIF >KZM87241 pep chromosome:ASM162521v1:7:12983630:12990876:1 gene:DCAR_024375 transcript:KZM87241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTAVMAAAAASYELTNLGFGFRPVPTFIPSKTCFTRNLLRKHQIYRSVSCSLVNKQQQQQSVDQVSFSKDENSLIEALIGIQGRGRSASPLQLQEVDRAVQLLEASESISDPTSSSLIEGRWQLMFTTRPGTASPIQRTFVGVDFFNVFQEVYLQTEDQRVSNIVKFSDAIGELKVEAAASVKDGKRILFRFDKAAFSFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSKSGNLRISKGNKGTTFVLQKTSEPRQRLLSAISTDTKVRKEIEDFLAMNQKEAKGEQQLLEGEWSMIWSSQMETDSWIENAANGLMGTQIVKGNGGLKFLVDIVLGLKFSMTGTYSKSGTDLYEVIMDDAAILAGPYGLPVEIDSKFNLELLYADNKLRITKGYKNTIFVHIRVEGANKK >KZM86691 pep chromosome:ASM162521v1:7:5778967:5781888:-1 gene:DCAR_023825 transcript:KZM86691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKELKSQMVPEWQGAYVDYEFLKSLLKDIQHYRLKVRPPPSNPPGLPRALSHYRTFSGLTSVQRTMSMRSFSSRRHDDTESHAILVNSVRRSDGDEGYVTTFLRTEEDGGEYELVYFKRLDDEFNKVNRFYKAKVEEVMKEADELNRQMDALIAFRIKVENPKHAWFETAVDMDNLVSAVASSSAALSASVSSSGRRHSKRMAEHMDKIDEDKSLRGTSVRESSQQDDSPQEENHSSHRIVQEVTISTSNNPSNRFNVTGKPASLEILNRVRFNKHTDTPLPTIKGVLNVPIQTDLKFSAENLSKIEDQLRRAFIEFYNKLRLLKSFSFMNILAFSKIMKKYDKITSRNASKSYLRMVDTSYIGSSDEVITKLLDRVEATYIKHFVNGNRKKGLNTLRPKIKRENHKVSASLGFFAGCTVALIFALILTIRTRNIFEKEGRGRYMETMFPLYSFFGFIVLHMLFYAGNIYFWKKYKVNYQFIFGFKAGTELGYREVLLVSFALSVLALGSIHVNLDMEMDPKTKDYKQLTELVPLILVIFIFAVMICPFNIVYRSSRYFFLTCVIHVFLAPLYKVVLSDFFVADQLTSQVQAFRSIEFYICYYTSGDYRHRENSCDKNDAYSTFSYILAAVPFWWRMLQVIF >KZM89268 pep chromosome:ASM162521v1:7:35523683:35524380:-1 gene:DCAR_026343 transcript:KZM89268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPHGSHSGSNFCCCFIPILVIVVGTIVSPARAAEEFKVGDMDGWRQPDINHTEVYTLWAASKSFHVGDLLRFEYRNDSVLVVDKRAYYHCNVTSPSSEFSDGNTTINLDNAGPLYFISGDPEHCQNGQRLAVEVMPLPPDTDISPSPSTPPQYQEPGAEDSPTPSPVSTSKAATYGFSVSKTLPFSVLVSTSFALLWLSA >KZM87873 pep chromosome:ASM162521v1:7:21510890:21511877:-1 gene:DCAR_024974 transcript:KZM87873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYRLSDDITEGEGSQNFQGPFALCRILKKNEQAMKKNNTDRCSKAKQFPCSSMKGDVKSTRTLDETVIISGDLRFQQSGMATQCNYSSTLTLPITPMVEGDILAAPQMADSSSLWVSPYFILDSSKEFSSGQEVVHIKSLQNEFPKPELPSQAYEQIDLSSSSSYSTFTGEIELTKNFLIENSMSSPYSGHGDYMDFFVDGNMSHEGMEVLDTAPICRQISGDGSFGDFSGLWTPEDNYVVVI >KZM86622 pep chromosome:ASM162521v1:7:4987560:4990639:1 gene:DCAR_023756 transcript:KZM86622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAINEEYEYNHPHGYYSTDEEEEEEEEEVQEYDDEKNLAEEYNSSTASYLCGGGNQGTKMLGSSSKKMLLEWDRVFPLISALCLFIDPTFFYTLSLNEDGMCFFIDGWFALGLSLLRSVTDGLHIWNMWHQYRRSSPALQGVRRRYGGLALQSFKGKMDFFLYAFVILPLPQVVIWIVVPAMLKNGEQARAMTVMLVIFLFQYLPKIYNAVCLLRRMLFQYIFGAAWWGIGLNIIAIFVASHVVGACFYLLGTQRSARCLMEQCMKTESCTFDALSCENPFSFGRRYKMTNSMRLSWGSNNDARSWCLQSSDNSQYDYGAFEWITLLVCNNKRMEKMLLPLFWGVMMLCTFGNLVSTDDWLEIVFMIIVTACSLVLITMLIGNIKVFLIATSSKKLARKVNVNNIEWWMNRRNMPQGLRQRVRNYEQHRWMATWGIDEGDMIQSLPEGLRRDIKYHLCLDFVRQVPLFHHMDSLALENICDRLKSLVYPKGEIVVKEGDPVHRMLFIVRGHLQCNQVVRDGVNSCCILGPGNFSGDELLSWSLRKPFVEILPPSSYTLISLEATEAFGLEAEDVQYVTQHFHLNEKVKMSARYYSPGWRIWAAVTIQLAWLRYRHRLTPTSLLYIMPEGRLSRSASLGKERLRLFAALLTSPKPSSSR >KZM87412 pep chromosome:ASM162521v1:7:16088312:16090069:-1 gene:DCAR_024546 transcript:KZM87412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYMTGSVAPSEDKNSPSRATATTSKQSAVVEVALRVLLFLGSLTAVVVMVTSKQKELVPFPPFGLVPNTSRFTDTPAFVYFVAALSTAGLYSIITTLLSISALSKRGYSKVLALYIVAMDVVMLAIVASAAGTAGGIAYVGFRGNSHTRWTKICNIYDTFCQHSAGAILVSLFAAIVLILLILHSVFTMYRKIPN >KZM86910 pep chromosome:ASM162521v1:7:8318557:8328989:-1 gene:DCAR_024044 transcript:KZM86910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEKVGSSSDLVTITSNATRSWKELRKEKIKYHKVTKGDVIDIRKFLFTEYRDYLIRYDDNTQVKAEHLAGKVVIIYFVSLSLHIRARSVEEYDTIFLTNIYNYLRSSRDIEIVLVAIDDENEGECKKRFEDIFSRMPWIAIPFSDVICRRSLAANFHVNVTTTMFVVNSNGTVLQDDAKFLIEDYGVQGFPFSDDRIKILDATDEADIQHPSLITLLGSPQRDYVITNKGEKVPIYTLEEKMVALYFYEDGTCHSKEEIARVYKELIKTEENFEVVLIYLTDTPHTYQSTNEESFWKAFQTMPWLALPFKDPNIQKLKRVFEFHPQLEDDHYDRCPVLVIFGPHGEFIEPLGHLILGVYPVYPFTFERVAKLETEKVQNLKMEMLWDPNTVFKRTDGSQMRFSQLAGKRVINFFESGVYSGRDHGLLKLLKEMYLAFKGTNDEFEVIHILENEMKTMIPIQDLPWLVSLENELLPGGYDFHECYEECHINSTFLAFDQEGKLVRRTIYPVLENTDFPFYAGSMEEETLSQLIMNFGWDYWTYYPNKGRIYTFHKKLRQQSVEH >KZM88849 pep chromosome:ASM162521v1:7:31741880:31743163:-1 gene:DCAR_025924 transcript:KZM88849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCPNFETEDALETILEVPIPEEMFNSMGSNVALRWQNMATWMKAQTADKWSSPIISSRYNELSFLLFIVGSPLIPLQVQVDSSIPRPIRHSSIEASTAKYIVQQYIAATGGQPALNSFHSMCAIGQVKISASEFQQGDASVKLRSTEEAGGFVLWQKDPDLWCLELLVSGCKVISGSNGNMSWRQCSNENTPIVKGPPRPLRRFLQGLDPRSAANLFLEANCIGEKVINDDDCFILKLDASQSALDAQSNSKCEIIHHTLWGYFSQRSGLMVKFEDSRLLNVKTKDDKDDDGVFWETSAETVIEDYRYVDGVNIAHSGKTFVTVYRYGEQSANHKRELQEVWKLEEVNFNVWGMSSEFFMPPSPHKQ >KZM88913 pep chromosome:ASM162521v1:7:32235731:32239165:-1 gene:DCAR_025988 transcript:KZM88913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEESAIASVRDDETQNQANKNLNNRGGAATNNPNLNNTKGKSCKGCLYYSSSFKSQSRNPLCVGISKSYHSYIPQNIVGKSEVEAHEKGRDFADFRYGCVGYSVYSDRKGQKDVQDGNSELPACIGIEVLVDRKITAADSVPAHAHAHNKDNHRSPQPHTQKPAVSVGDEFLKRFTRNAGLVAAGVAKNVRKVGNQVKASIDDILYPYRRPPK >KZM88021 pep chromosome:ASM162521v1:7:23374056:23375459:-1 gene:DCAR_031516 transcript:KZM88021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSKQPQISEYFQKFALAFKAKTVEFFAEEEEPISDTEPNFTLLDSQEFTIDQKVVVVKPDPTRTCSSPNSIQPINTQFAETLISSLFAITSSFEASYLQLQTAHVPFDEEAVRVADKALILQLKKLYEVRNLYKESRLNPDFDFDVNLLVGSCLEAQVQENQSKLRALETMVNRLQFDIDVKDDEVLVCRKKLSEVEMCNFKWSKRLSNYLKVNKSGGTDLLLTVRVFESMLADVDKLLRRFGKILIGLMRKAGWDLDLAANSVYSDVEYAKKEHNKFAFLSYVCLAMFRGFDSRDFGLEGSEGFCNGDGLGKGDNSCMKELLEHVSGVPMEILSRNPDCDFSKFCERKYEQIIHPTMELSIFRNFDHQEVVIESWKSLKVFYESFVKMASSVWLLHKLAHSFSPKVEIFQLERGVDYSLVYMEDILKRNVLPGKSRAKVGLTVLPGFRIARTIIQSQVYLTAL >KZM88508 pep chromosome:ASM162521v1:7:28433100:28433700:1 gene:DCAR_025583 transcript:KZM88508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAARLMCGLRARTNFVYNASNEIHSSRKFLSATLRAKLQKCHTTSLQMARAPVKREAEEAHSTCSSIKSGEMGVSHSAIKVDTSECQGENVQQFKSPEEEYIEQMIQELLDYGPVELCSVIGS >KZM87692 pep chromosome:ASM162521v1:7:19463675:19464625:-1 gene:DCAR_024793 transcript:KZM87692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMANSKATAFSTCQSFLSILLLSMVISDPPSSSILQEQEARQFNHSLPGPTLPAKPPSSSEFSRTPLSSPSSHRTPPSSSTSPLFLKEQDQTLQALVLTPQIPTDPPLSSSSRCFRPPTHSDKNLLLTNCSNPVLGSNSCPSHQSPAPFLKQIDEEYMIIDEEDASEDPHRPFSYEFVESSNSLLHEIYDEYVLVDGGLASEAQAQEANHVKEALKKYLRKYLPIIRRAGDTFGFEFLVHGVSKICKVGYQLNVYIPFISTYFKEVLEKLPKDVGSGTGPAEAEAIEEIANKLLEMASTIRKNNAQSPAPNQSGT >KZM88210 pep chromosome:ASM162521v1:7:25494594:25505350:-1 gene:DCAR_025285 transcript:KZM88210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMSQKIFPACGNMCVCCPALRSRSRQPVKRYKKLLAEIFPKSPDASPNDRKILKLCEYAAKNPFRIPKIAKYLEERCCKELRSEHIKYVKIVSEVYNKLLSICKEQMAYFAVNLLNLVIELLDNSKRNMVQMIGCQTLTRFIYSQVDGTYTHNIESLVPKVCLLAHQTSEEHQNRCLQASSLQCISSMVWYMAEFSHIFVEFDKIVKAILDNYETDRSSEGDVARREANHNWVNEVVRCEGQGGAGAGNEINASCVIIRPRPEKRDPCLLTREEVETPKVWVQICLQRMVELAKESTTMRLILDPMFVYFDMGQHWVGRHGLALMVLSDMSYYMEYPGHQQFLLTAVVRHLDHKNVSHDPKIKSDIIQTATALARQIRSSVVLSDIGFVNDLCRHMRKSLQASVDSVGEQELNLNIILQNSIEDCLLETARGIADVRPLFDMMAISLESLPITRVYARATIRSLMVVADMISLATSKSQQVFPEALLVQLLKAMLHADLEVRVGAHHIFSVLLIPKSNHHGYDNSTRTRRWRSSTESASASASISSLLEKLRREKDGNKGEKDGNKVEKQGSNAQDCLKERDNAEEDWKQGWARKNSPNLHTLSTIIDRTAGSNSSAEAEPPVVTLNEDQITQLLSAFFLQANLSDNLPSDIEAIAHSFCLTLLSSRLKSSSGNLVVRFFQLPLSLRKLSLDPDHGIFSSVYQRSLLILSTAMLQLAAKLYQIPHVNDLLKSSLRYDVDPYVGISNDFQVFVKPLVNVGEYGSSGDNQGASFLLSELRKNIFESENIMLDILSQSLSKITKMEANDLVQQLSEGFVPDDTFMFGPQSMIDMDHVQAFARSKGSLSFDGDFPSDSSGDDDVTSESSVADFTNFIPKLPASPSMSHIISIGQLLESALEVAGQVAGTTVSTSPLPFSAMASQCQTLGTDTRKKLTNWLSSENHQQMKSISPITTIPVDEQSSFSKIMGEDEPVGGALLTMESRLALRLPPASPFDNFLRAARQH >KZM88193 pep chromosome:ASM162521v1:7:25346309:25349651:-1 gene:DCAR_025268 transcript:KZM88193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRHFGERSDDGSEIARWEMKRRCASATVLRDVMRGISLQEFVPRLEPFLRRVVQDEVNRAIQRFQSSAKQSESSGTCAWQLQFYSKIPSTLFTSSKVESEDCEPIKIVLVDTRSNEIITSGPMASMKIEIVVLNGDFTAANGEDWTKKEFDASVIQAREGKRPLVTGDLTLALRNGVADLGNICFTDNSSWIRSRRFRLGARVQSNSTQARVKEAKSEPFIVKDHRGESYKKHHPPALADEVWRLERIAKDGAFHRRLASARIFTVEDFCRLYITDPTSLRNVLGGGISNRTWETITEHATRCTLDDKMYLYRGAAGRVLLVFNSIWKAIGAIFDGQNFEYLDKLTPFQMNLVEHVKLQAYKNLHELELLEGSFIDPPMLLSSLQTETSNSYSAGQQNVDTSVVDEDQLPMLLNFGYMTTSPPYTSELDNGDLQEVILEHDLMQGFSSKRKNSLVTNNFLHESSTPGYGVAPSVSPGSVLASASLAYTDTFSAEMMKWPVNENFICPNNQSIDFVSSSIGFHVSKTGRPGLKWFKLRAALKWGISIRRDAAAKRLERFLRYDF >KZM87712 pep chromosome:ASM162521v1:7:19641523:19644658:1 gene:DCAR_024813 transcript:KZM87712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGEESEDHRNPSLEIDDDDDDDEEEYYDDDEEDDDDDETLLDESETQFNTSKAETLFRQIYSSNAPLRVNKIVINGNCKTKDSVIKSEFRALETATSLQELFQAASVAKDRMRQLELFDSVNITFDSGPSELSGTTNVIVDVVEDRKRLNCDFRVFSLPEAKSWPLEGSLKLKNLLGYGDLWDGSLAYGCDQMPEVSAGVSLPQFTGLFGPLKARVSLLSQDRLEFASFKEQSLGLSLGLLSNNNHDVACNFSLHTSIDPSEMSSTSIRGQLKHGLNSDLRYTFKIDKRNSPLRPTRGFAFVSASQFGGLVPDFRSSRFFRQEFDLRCAVPLGFFNAALNFGIASGVLFSQGTGFLNLPSYLPDRYFLGGNSSPVCTVGGPTSLLAFKSRGFVPTEPNTEARANDGTTENSSGKDYLGGDLAVTAFADLSFDLPLRFIREKGIYGHAFARAGSLNKMTEQSFQDMTSKKFLDSFRSSVGIGIIVPTRLFRMEVSHLSCNIHPLIYCSE >KZM86513 pep chromosome:ASM162521v1:7:3628001:3628798:-1 gene:DCAR_023647 transcript:KZM86513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVCSEISSPRISFSYDLNQTDRECRRCGSDKLLVDVEFTADFDFCISNNSFLQETSSADELFSDGKILPTEIKKAETSKKATHLSEPVLIQRQASGTRNSKALNVNEDTNCKKLKEFLYEEEKPAASKSFWQFKRSTSLNCDSGRSKGFIRSLQTLARSHSTGSAAAAKQTVTPKVTIQRQHSRKQPTVSTNNQTLPTFSGYHQMYNSSGKPPSLKNCRSYSTGVKFNPVLNIPPAYVSKGTANLFGFSSFFCSGKSKKKKK >KZM87012 pep chromosome:ASM162521v1:7:9959365:9960444:-1 gene:DCAR_024146 transcript:KZM87012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKRPRVVSVKNGGMKFVNFVTEDNPFSDELVAPDSFKQACGLIPGYQTFTFSNGKEIIGGYNHQSGVFHGIRKFCTILGIKNFSKYNLVLLSYEEGSVNNISIFDDNFLEVLFPGTPLSPAIYIWKYISTEYNRVTNFWRQTDYINVYYGENAWKLQVRSRGFKCHRTTIREGWMEFRGDMGLQVGDVLVLECDDYCVHHFVVRVIKNGSA >KZM88307 pep chromosome:ASM162521v1:7:26688687:26689865:1 gene:DCAR_025382 transcript:KZM88307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPGFRFHPTDEELITHYLSPKIHNKSFSSAAIAEVDLNNVEPWELPWKAKMGEKEWYFFCVRDKKYPTGLRTNRATESGYWKATGKDKEIFKGKTLVGMKKILVFYKGRAPRGDKTNWVMHEYRLEGKHSMDYSSKTSRNEWVISRIFHKSAGGVKSHIEALAQHGNCSEEVQQQVASNLPPLLDVSRTLQAEAVPVSKETSYSSQIEDIKFSDSMTEASVMQSSFYSYPSIPIINPHLSSPIPLQFPEYYAVQDESSMRYIMEYNGEVTEMKQTCKTEFSQDTNGGMSTDVSSVVSNHFDTRSYEDQEYHPISSSGPVDLGCLWNY >KZM86359 pep chromosome:ASM162521v1:7:1993439:1995471:1 gene:DCAR_023493 transcript:KZM86359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNNGSGLGFDVDSGSSVATPITSSNIGFQLLKKHGWKEGTGLGVSEQGRLEPVEARLKNNKRGLGAEKQKKIPRPEKPDNQYPDNKTKTPSEKKISKKMRKLQEFEKKLQEKEFERAFFREFWPDNV >KZM86538 pep chromosome:ASM162521v1:7:3951056:3951562:-1 gene:DCAR_023672 transcript:KZM86538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGQYCIPRIICFDLSSEQFHEVLKPACGGLNKPNYLLLVSGECLSAAVYLNNGEVEIWIMKEYNVKESWIREFVIEAPPWNINPRSSNLDCFYVHGIWANRVLHGRSVRVLCVLKNRVILIQYKNGKLASYDPENGETRDLWFQGLPSKYNAVVHFGSLSWIDQQ >KZM89179 pep chromosome:ASM162521v1:7:34698226:34702647:-1 gene:DCAR_026254 transcript:KZM89179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGKRVTGDGSRRKSSKRKNSGQEKTEKKVEKQSSRKNLAGEAPAPEKLRPLSEFRVKTSQGWPSWLSEVAGDAIKDWTPRRANTFEKLDKIGQGTYSNVYKARDLITGKIVALKKVRFDNLEPESIKFMAREILVLKKLDHPNVIKLEGLVTSRMSSSLYLVFEYLDHDLAGLAAIQDIKFSEPQVEQLHKIFKLCGSPSEDYWKKSRLPKATLFKPQHPYKRCTAEAFKDFPPSSLPLIETLLAINPGERGSATAALNSEFFTTEPFACEPSSLPKYPPSKELDVKRRDEEAKRQRDLHGKAQAVDGTSKVPRDEITRATPAPEANAELETSLERWRVVTQAIGKSKSEKFPPPHQDAAVGNPLDKSHNGQISFGAAGSSFRSSIFNPMSSRSVKDTGTRRTKSRKEHYNRRAPSRRFIRAFIPSSVNLSMDLRFRN >KZM88986 pep chromosome:ASM162521v1:7:32829174:32830106:-1 gene:DCAR_026061 transcript:KZM88986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRLVSTLGGILCLISLVHGFDDGSWMQGRATFYGGSDASGTMGGSCGYGNLFNTGYGTDTAALSTVLFNDGFSCGACFELKCINSPRWCRSGSIIVTATNFCPPNDPGNWCDPPQPHFDLSEPVFQKIADSTGGVVPVSYRRVPCEKKGGIRFTINGHSYFNLVLITNVAGAGDIKAVSIKGSKTGWLAMSRNWGQNWQSYTLLDGQSLSFRVTSSDGRVLECDNVAPEGWSFGQTFSGGQF >KZM87009 pep chromosome:ASM162521v1:7:9885240:9890752:-1 gene:DCAR_024143 transcript:KZM87009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEAAAAAPISPQKSQDNTIPQQPTSDNPNPQLDQPESSQIPNPNVNLPPPPLAPPAIQPYAPPSFRPAAPPVPLPMPPQFSPVPNSSFQPQNHGVQPPGVGPGPGPVSGGLVYQQPQQQMIRPGYMQMPNGYLPMPPPGIPRYPSVYPAMVRPIYPLRPVGMVGINPALMRPPVPGVRGPVITPVIRPGGIPSVTPAEKPQTTVYVGKIASTVDNDFILSILKLCGPVKSWKRPQDPTSGALKGYGFCEFDSAEGILRAIRLLSKLNVDGQQLMLNFNSATKEYLERYVGKKRENIKNSEEVGTEGVQEAEEVSATGSEKGGSSESTQLESKEEKITDQKDEDITKFGLVTNEDRVADREALEKLNGIIEERLKTHPLPPPPPPPLVATDGSVTSNTEVKDGSREENSDVDMVKSVDVAEEKTEEDVKIANRTPNDHDKLDTSTPDRNRKNDRSRDRDRDVTREKERELERNERDREQERAKKDRERQYKTREDERRYMVRVKDWESREKDKDRLRKQEREREEERAEERKYEIIDEEREGDDGYNKKRKHRSSGEDRKRRQREKEDDIADRLKEEEEIAEAKIRAEEVRKKQKQEQEENMRLLTASTTKKNEEAVLLTDEAIAESKEKVFDMFSNGDSEHENHMTERIALNGTGAEICANSVAAVDTQQNSNAQSRKLGFGLVASGKRTAVPSVFLKEEDEDAQKEKKMRPLVPIDYSNDELQAVQHDPSGGPAPNLAAAAEFAKRITGVSTKEEKPDPEKDRIRRSHDRDSHRNKHDSRKENDRDRERVSDKVKLPENRKLLDAKQLIDTIPKTKDELFSYEINWTVYDKNQLHERMRPWISKKITEFLGEEETSLVNYIVSTTQEHVNASEVLHRLQSILDDEAEMFVLKMWRMLIFEIKKVETGLAGRVKV >KZM86635 pep chromosome:ASM162521v1:7:5144361:5149114:1 gene:DCAR_023769 transcript:KZM86635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPINLHLYDCIFKSCGLFVPSNDLWIVSSSRSKTVESYKCMTALDGKTELQMISFQAPKIRLLRSFSFEVSGVNQVLDFAVFPEPEFDLPIFCANFYSAANTNIVVLDLNPLHDVISNKDYKDKYYKELLPLGLKYAELLPWGGKITSESLRFFSPIVIWTKFSSSQDNYNVLYSAFMEYYKAWLELMNQAEPEMDVSRISCNQKAQHRYLTWREQKDPGHQLLKKLVGETSAKDLLRNFLFHGVKELGSDTFLDYFPEYKSEDGSVNLKRSIIGKSYENRPWDAKGDFIGI >KZM87157 pep chromosome:ASM162521v1:7:11831190:11842274:1 gene:DCAR_024291 transcript:KZM87157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEPEMGTSNPCIDDWISNFVVSNRNLSSTSWDYGKCPVTGEPLVMEDLVPIKVSKIVKPRPVQAASIPGMLGMFQIEWDGLMLSNFALEKQLHTAREELSHALYQHDAACRVIARLKKERDEARSLLAQAERQIPMSMSTAIPENGSALSNGKRAAEDDELGPDGKKLRPGISSKIIEELTDCNAALSQQRKRRQISSSLALVDAVERYTQLHSYPLHRTNKPGILSVDIHNSKDIIATGGVDTNAVVFNRTSGEILSTLSGHSKKVTSVRFVADGELVVTGSADKTVRVWQGSESGNYDCRHILKDHTAEVQAVTVHATNNYFVTASLDSTWCFYDITSGSCLAQVSDTTSSDGYTSAAFHPDGLILGTGTSGAVVKIWDVKSQANVARFDGHIGAVNAISFSENGYFLATAAQDGVKLWDLRKLKNFRTFSPYDEDTPTQSVDFDHSGSYLAIGGSDIRVYQVANVKSEWNCIKTLPDMSGTGKVSSVKFGPDAKYIAVGSMDRNLRIFGLPGEEGETES >KZM87578 pep chromosome:ASM162521v1:7:18316743:18317246:-1 gene:DCAR_024705 transcript:KZM87578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFGLLDKLPVRSLYKYGNVGTHANIACFHRFIGVGVHLRVADAIVYACCRDLIRLDGDFEANFDALTKVANDGHFLGKFANFILSIMYGRHTSLSGLEALVDLHKSPHYRFQIGGAVSSIELIFSSISPSCAAAEVANKIGCPFHPVLDDELFQNNSSVSGLCFFL >KZM86509 pep chromosome:ASM162521v1:7:3589561:3590214:1 gene:DCAR_023643 transcript:KZM86509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVQFEQSEQNNTNRHLKLFGFNVTELEEDDEADSSTKTPSASSESGGFPTTDGRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQMQATRNAAVSYMRNPIISAFSPPHHLLSQAGPMMLPSSPSWVYVPRAAAPFEVSHGCVFPSSRGAGALQYASGAGDQSSSVGPQQVRACHDGPSLSRFSRADGGPSFDDAFGLDLHLSLAPSSSLD >KZM88245 pep chromosome:ASM162521v1:7:26025353:26025853:-1 gene:DCAR_025320 transcript:KZM88245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPSSIASTSKTVPKWMVFDTPASTSTATASTSNNDNATTSTNKKVDEATIVERTAEWGLVVQPVGEGGGGSFRAITSSPRSRHVSGRLAGDSGRMFGDSGRMMGAEEYGEPKVSQELKAALATLQQTFVVSDATKPDLPVVYASTGFFEMTGYSAREVIGNNW >KZM87140 pep chromosome:ASM162521v1:7:11404013:11404345:1 gene:DCAR_024274 transcript:KZM87140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTEARVNQVTEENNIMEETITKLEEKNSKLQHKIRLMEIQQSHDEAVIGVLKKHIEERRALNHFLMDASNFEPSKIAERERTRAALIAEFESRKSAKSFQAERKEEK >KZM87526 pep chromosome:ASM162521v1:7:17765188:17765883:1 gene:DCAR_024660 transcript:KZM87526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNKGKGKVHPSPSPPSNILAVLKFLPAAILVLVSVLSLEDREVLSFLITRSLKSATAVCEIAGKSKKNNTRVSPEIKHKTPAFDCDCFNCYTSYWYKWDSSANREIITQAIEAFDEHLNNDEQFKKNNRVKKKGKTGRRQLAGKSAVLPETSPVEKGAENMTGFESHFAVVGPEKPVEISSEKSPENEPEVVIRPNAEIAHKGLARKVLPDIMGLFNSRLWNLWSPNV >KZM87697 pep chromosome:ASM162521v1:7:19520258:19520728:-1 gene:DCAR_024798 transcript:KZM87697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKVASHNTCSFSTLNPNAPMFVPSAYRLVEDFSDQWWSLVESSPWFRDYWLTERFTDPQFLDEIDDLLASDVNVVKDQGDEIQKELISLGMLKWRKPRVATERKICGRKAPKIVNVKVSPRPIQQPR >KZM86808 pep chromosome:ASM162521v1:7:7254185:7261222:-1 gene:DCAR_023942 transcript:KZM86808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVPHLKWKHSWGIHPISSLLSLLGDNISTTTLFIFSQPHAYFLLSAKRVASKVTKLQSVSSQLKCSRQGRGALGARMNLFDRFARVVKSYANAVISSLEDPEKVLEQTVIEMSDDLIKMRQSTAQVLASQKRLENKYKAAQQASEDWYRKAQLALQKGEEDLAREALKRRKSNADNATALKAQFDQQKVVVESLVSNTRLLESKIQEAKSKKDTLKARAQSAKTATKVNEMLGSVNTSSALGAFEKMEEKVLAMESQAEALGQLTTDDLDGKFAMLETSSVDDDLANLKKELSSSSKKGELPPGRTVASSTKTSSPFQDSEIEKELNELRQKARDS >KZM87083 pep chromosome:ASM162521v1:7:10821504:10822145:-1 gene:DCAR_024217 transcript:KZM87083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLILRLRGVLPNGMFLRPNVCRVDGYNSCGLVREYGQAVRKVEEEEDEEVEYDQRRLPTDYDPATFDPTEHRSPPTERVWRLVDEMAGLTLMEAAEFGSIMMKKMGMKEPPVVGMMKPGAGLGAMGAMKGPAAAKEEAIPEKTAFELKLESFDAPAKIKVIKEVRSFTDLGLKEAKELVEKIPAVFKKGVSKEEAEQIIEKMKAIGAKVVME >KZM88774 pep chromosome:ASM162521v1:7:31210474:31212792:1 gene:DCAR_025849 transcript:KZM88774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNRHFKQLQLDKQVCLKEKAYEQYTLIRIPKANTGYILALLEVLEHRLSTPSNPLSMDILGNSDAAKQRGRGPGVNNFINTQRATPDINKAPVVGKFY >KZM86816 pep chromosome:ASM162521v1:7:7331872:7337973:-1 gene:DCAR_023950 transcript:KZM86816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEERPTLSLKFSNIPRTTTAQEILDFFESRIGKASVFACEIFSEHKNWKPRDSGRVQFETLEHKIRALTLYQQGQLVFKKHNICLSSSFDDVIIRPAEVSNRVENGVLSAGILSGSEWMSVLERWGSVRAWVMPERMACEFWLDCDGVSYKLEVLFGDVLEVSKCCLNGGTEPNGVLLTHFCFQVFVNGILLPNGMLLTLKYAPRIYKKVLGPHVATRFGSDRYHVCKEDFDFLWIRETDFSTVKSIGQSCALCCEFVEGTSGLDAFSKLPFYKNEFTKLVLKESEEFHTSSELNPLVRCPQKSNLSYEVLFQLNALVHSHKLSLAAVSPDLLELLSSLNKLKALAILQKVHKLQYMCFDPMHFINDHLEFQKNNGKNLQPSSVSKSTNPNVMSCHRVLISPSKIYCLGPELESSSYVVKNFSSYASDFLRVTFVDEDLGKLHPHTVSASTQKGIFAKPFRTSIYHRVLSVLREGIVIGAKRFQFLAFSASQLRSNSVWMFASNEHVRAEDIRDWMGCFNKIRNVSKCAARMGQLFSSSTQIVEVLPREVEIIPDVEVVSDGVEYCFSDGIGKISYTFAKEVAKKCGLSHTPSAFQIRFGGYKGVLAVDNKSSHKLSLRGSMHKFDSENRMLNITSWSESMPCYLNREIISLLSTLGVEDHSFVALQDVQIRVLQKMLSEKEAALDILESMGKSDGNRILANMLVKGYNPNEEPYLSMMLRSHYELQVSDLRSRCRILVPKGRILIGCLDESGILDYGQVYIRITMTNRELKSGEQTFFHKVDETTSVLIGRVVVTKNPCLHPGDIRVLEAVYDESLMKNGLTNCLVFPQKGERPHPNECSGGDLDGDLYFISWDKKLIPPRTVAPMDYTGRRPRLVDHDVSLEEIQRFFVDYLINDSLGTISTAHLVHADLDQDKALSETCLYLANLHSMAVDFAKTGAPAEMPRALKPRMFPDFMERWEKQCYTSQGALGKLYRATVDSTEREKLGPAYPNNFQDSYDQDLEVNGFESFISIAEGHKEMYLDKLVSLMKYYEAKNEVEILSGNLQRHSSYLLRDNRRYGETKDRIMISIKNLHKEATGWFDSSCAEQEKQKLASAWYYVTYHPTHCQESIKCLGFPWIVGDILLDIKSVNSKI >KZM88615 pep chromosome:ASM162521v1:7:29730563:29732668:-1 gene:DCAR_025690 transcript:KZM88615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKKNYYFMPEGALVPNLNFGFHEEIIRVRIIRVWDDASYRAPDEMATYFILLDEEDKQSLALTQSPTKTPSLDQMDEGMIYYISNFKVVAGPPKWKPIDTEKALLFGQRTKVRACYNACSIARYKFEFCPLPTISTHLEKDSALIDVSGIICNVGDINHNAYDIQKLYIQLMDESGEIVTISLLGPKLTLQFDYNFNIYRKRNVVLAISSLMVKRGKGRSSICFRSLRIYLNLQEMQDPNKWVRPKLFRKQAFFCKVTIVDILLHEKWDFRPICMHESPSEKVYRCGGCNVSFVPINKRSRTVILVADSTTNARLVLKAHDLEQITGLTMLELITDCSANHMAKHGATFHNRINRIKDALCTFEVEAPVYSGTNSTDEIIITAIVEAEKLVGKRKPSHCLALSDEKRQRMGRPHDTF >KZM89164 pep chromosome:ASM162521v1:7:34508183:34509774:-1 gene:DCAR_026239 transcript:KZM89164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFNFANPCLLAFGILGNFVSFLVYLAPIPTFYRIVKKKSSEGFHSIPYVIALFSAMLMIYYATLKTDGTLLITINSFGCVIEAVYISLYLAYAPRKALVFTLKLVVLLNFVAFWVIVVVIHFLVKPPKQVQVLGGINLLLSLSVFIAPLSIMKKVIKTKSVEFMPFWLSFFLSLSAVMWFFYGFLSRDIYVATPNILGFLFGMVQMVLYAIYRNCDNKVTEELKLPEIMKSSTEPNPEIHPKDTMLKSEADDEKGSENVNHKNEDASEQV >KZM87352 pep chromosome:ASM162521v1:7:14970860:14974237:1 gene:DCAR_024486 transcript:KZM87352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFVADRAAGLIGKIVSLAAQEVIQAWNFNKDLEKLGVKFETIVALLDDAHTKSITMPSARIWFTRLQDVAHDTEAFMDELSYEVTRRNVEKHRKVREFFIPSKNSLVYRFKVARKIKSIHASYDDIFKLAGDIGLRPAQHSTVQPRVIRNKPPYEDTPFIVGRDNNISNLVQTVCQNNQQDLHVVAIIGMGGQGKTTLASMVFHSDDIIMMFTKRMWINVSDDFNYVNILNQMLQALTSENAGLDNIQGLVNKLQKEINGEKYLLVLDDVWNEASEKWDDLRKSLLGIGGAKGSSVIVTTRKQEVIYAMRNCVSHQLEKLQEDDSYELFKKIAFGDGGVPEKMPFVDLGRRMVQRCGGLPLAIKALGGMLRYKDSEQEWRKIENSEIWTSKDVLSSLRLSYDHLPYPSLKRCFVYCSVIPKDTLIDRDELIQIWMALGFLLPPLGSDERMEDIGIKFFDILLWHSLLQDIKRDKLGNIASCKMHDLVHDLALDLSQHHSVTVKTGLELNHVSKATYLRLDKRVSDRSLQILKTGFGQVRSLHAGEDVFHDVLPYITHLTVLVLNSDGSGLMNVAELPELLRYMKYVKHLDISCLRCKVSGYNITELYNLQTLRVWDLEEHPQMFYKLINLRHLYIKKYLPSRCMFNRIERLTCLQTLPHFIVSKDQNCGIEQLEGLHNLGGEVKLYGLGDVRNRQEARKAKLPTKSNIESLLLNWKTDEDESANYSEQESVLEGLEPHTNTKELIIEYFMGKRLASWITKMINLVEIRFSECQRCEEFPSLGHLPKLRKMKLEKLYNLRIIGSHVGLASNGGAAENVTTMYPSLTELRLWHLPKLEEWVEPDMSRDHISVQVFPKLAVLFMHSCPNLSWMPDSCFPSLKELTITNSKMIPEAMSTKVSSLRSVRFRDMHISDPVVEELLKNSSQSLRSLDLSYCLGLTRLTFGIALEELSVWDLPNLTSIHAVKDETLSLRALCIVKCSSLSEYPKSVSSIIERLQVDKISHVNNIGTSFPVLTTLKLTEFERSRLEFDDHHVLALPAVTQLEILHCTELKAIPDAIADLPSLQLLSIRNCELVESLPTFHPSHSIQRLEMKSCGALYPGYSTKTAAERYKIGHIPIIKWRW >KZM87050 pep chromosome:ASM162521v1:7:10459271:10462887:1 gene:DCAR_024184 transcript:KZM87050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHQADEFLSPHVLFMPIPLQSPVNCMLKLAELLCMADIHVTFLNIDHFHSRLLQYTDVESRFSKYPGFCFQTISDGLPKDHPRCADKFYEMMDAMENVVQPLLMKKLRSGSLSSKSSGNKALPITCIISEAIIGCALDISQQINVSLLYFDTISPCAVWTYLCLPKLIEAGEVPFKGCITVEELATVIRSLDQNPSEEELQDIITEVDADGNGTIEFVEFFNLMSNKIKEIDVEEELKEAFKVFDKDQNGFISASELRHVMIDLGEKLSEEEVEQMISEADLDGDGQVNYDEFVKMMMSV >KZM88991 pep chromosome:ASM162521v1:7:32867304:32870106:1 gene:DCAR_026066 transcript:KZM88991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHISHRAPDLPPKFKSMLVPGKIQHIICTGNLCIKEIHDYLRTICPDLHITRGEYDEETRYPETKTLTIGQFKIGICHGHQVIPSGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDALRVVVYVYELIDGEVKVDKIDFKKTTTT >KZM88859 pep chromosome:ASM162521v1:7:31816200:31819235:-1 gene:DCAR_025934 transcript:KZM88859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLPRGIDEEVQTPPSYPDWSSSMQAYYGAGAAPAFYASTVAPPTPHPYMWGGQHPLMPPYGTPIPYPVYPPGGMYAHPSIATNPSMVPTAESEGKAVDGKDRNPTKKSKGASGNASSGGGKAGDSGKAASSSGNDGGTQSAESGSDGSSDGGSDENTNHEFSTGKKGSFHQMLADGASAQNTVAGSVPGNALVSVPAANLNIGMDLWNASPAGNGSLKVRQNPSAAVVPGTVMGRDAMMPDQWVNDERELKRQKRKQSNRESARRSRLRKQAECEELQGREELIRVYGADEVSKLGIQSFDDGGDS >KZM88337 pep chromosome:ASM162521v1:7:26928516:26934044:-1 gene:DCAR_025412 transcript:KZM88337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSFPTLRLQPLSNKTTTLSPLYSRPSLSLSSTSTPHKLALKTRPNNALFAAPSRTFRNNSSFVVRCEASGGRALLEQKNGLARRIFSKAGVDNTRLLEATDKFINLQPKVLGESAGSMLGRDLESLIQRARDYKKEYNDSFVSVEHLVLGYVEDRRFGKQLCKDFQISLKALKNAIEAIRGRQTVIDKDPEGKYEALEKYGKDLTAMARAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVEGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDGALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASKDRLDRLEAELDLLKKKQTELNEQWEHEKTVMTRLQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLETAEKELDEYMRSGKSMLREEVSGSDIAEIVSKWTGIPVSKLQQSEREKLLHLEEELHKRVVGQNPAVTSVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYMFNTEDALVRIDMSEYMEKHTVSRLIGAPPGYVGYDEGGQLTETVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILGMDGEETSYETIKRRVLDAARSVFKPEFMNRVDEYIVFQPLDRDQINSIVRIQLERVQKRIADRKMKLKVTDAAVELLGSLGYDPSYGARPVKRVIQQNVENELAKGILRGEFKDDDTVLVDTEVTAFLDGQLPQQKLVFRKLDSGTDVEANNKKVVSQTF >KZM88903 pep chromosome:ASM162521v1:7:32179270:32181284:-1 gene:DCAR_025978 transcript:KZM88903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGALRSIIRPVSRTLFSSPIKSAFSPATLPPAISPLPAIKCPWIPPSSALIHSLTDTRLPKRRPIDKPRKKRASLRPSGPYAWVKYTPVIQPSATPNQGSSNQKNVKRRNEKKRIKQRHSFIMSEKRKRKVLMQEAKRKKIIKRVERKMSAVARDRAWAIRLAELQRLEEEKKAAATA >KZM88331 pep chromosome:ASM162521v1:7:26884336:26885100:1 gene:DCAR_025406 transcript:KZM88331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFASNCVAGNNGLKNDSHKHRTDALDSSDDELSSCTSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTIPIQLPLFISCPWCNLLSVRLIYRGNLKFPRKNYFLLWMVESRNGDRGKSSSVYPGDNNHGGWPANTELESEEGHHPESSRSNRNVDSYLNARSLSSSLQKSLIFIVNLTTKFPLVLMFLLIVLYVIPASAAVILLYLLITVLFAIPSFLILYFSYPSLDWLLKEIIN >KZM87662 pep chromosome:ASM162521v1:7:19002412:19004708:1 gene:DCAR_024763 transcript:KZM87662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSVHPSELKFPFELTKQSSCTVQLTNKTNDYVAFKVKTTNPKKYCVRPVSGIISPGSSSCFIVTMQASEKAPPSMQCKDKFLLQSVIAPNGATNKDTSPELFSKADGKVVEEFRLRVVYILANPPSPVPEGSEEDSSPMANHVEGGNQNATLFDGLTGSLEELKEKPASAEVWSMVSRLTDEKASAIEQNQELNQELSFTNSLVTQVEYSRGLKSIGKIIGETGRTGDLISIHEFARVRMAVLLYMVGT >KZM88515 pep chromosome:ASM162521v1:7:28533964:28535658:-1 gene:DCAR_025590 transcript:KZM88515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVINVVLHMNGGLGDASYANNSLVQEKVLSMTRPILEEAVIDLYCNSTFPEKLCIADLGCSSGPNTLLAISGIMKTVDKIHKQGGFQSPEFQVYLNDLPRNDFNSIFRALPKFQDRLKEQMGSAFGPCFFTGVPGSFYERLFLSKTLHFVHSSYSLQWLSQVPELEETNKGNIYMASTSPPSVLKAYHQQFQTDFTAFLNCRSEELVTGGCMVLTFLGRKSDDPSSKECCYIWELLSMALRDMVSQGLIEEKKLDEFNIPQYTPSPREVKELVENEGSFSIDRLEVTRVNWNVYENDGDYNMIVD >KZM87446 pep chromosome:ASM162521v1:7:16498110:16503509:1 gene:DCAR_024580 transcript:KZM87446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNWVYFLRVTLLILLIAAITTACFTLPVEKILKNFLVWVEQDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSCGATLGAAVAFLLGRTIARSFVISKLKDYPQFRAVALAIEKSGFKIVLLLRLVPMLPFSVLNYLLSVTPVALGEYMLASWLGMVPITLALVYAGTTLKDLSDVTHGWHEFSKTRWALIVSGLVLSVVLLICVTKVAKSALDKALAENEDIDDDVGAAEESTEVDRVDLQQPLLIKIDSSEDSHEK >KZM88605 pep chromosome:ASM162521v1:7:29662416:29663698:-1 gene:DCAR_025680 transcript:KZM88605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKEFVKNHKEKLRGRVHLKATGSAPWPVDVVRTKGEVWLQNGWPEFATFYSLSFGSVLVFESFKKSKFRVRVFDQSATEINYPLNRDLSLDDGESVPQVRKREAEIGGSSKKTRSSTEEAFHDELNLKQEEANGDGGSASKEEIERVVNLAAAFESENPFFVINIRPSYVIRSGVDVRRSFLETYTKWEKRGQVIFRVGNETWPIGYTLCGERCTLNTNWKKFLKDNSLEVDDVCVFELIDPARKLFNVIVYQPKREAA >KZM89137 pep chromosome:ASM162521v1:7:34227818:34229383:-1 gene:DCAR_026212 transcript:KZM89137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRFLAHALYDNEQNVQINGLDSRSSAMACYPFPYLHTLNNVASILNPGLSDETSSSKRLKRTTSMGEILGNTYSSFCSNDSFGSTFSRRSSTSSLNSLPGLHIREHINAYTQRYLAAECVEDAASAIVGSDYDGETKEDGVTDEMRLVQLLVLCAEAVACRDRSHASVLLAEVRADALVFGTSFQRVASCFVQGLTDRLTFVQPPGTAGLTAAATNIIDIASEKKEEALDLVYEVCPHIQFGHFVANLSILEAFEGENFVHVVDLGMSLGLRHGQQWRNLIRSLANRTGQPPCCVRITGVGLCVEKFQIIGTELKAYARSLGINLEFSAVESSLEDLKPEHIKLRKNEVLAINSILQLHCVVKESRGALNAFLKVIHELSPKILILVEQDSSHNGPFFLGRFLEALHYYSAIFDSLDAMLPKYDTRRAKIEQFYFAEEIKNIVSCEGPGRIERHERVDQWRRRMSRAGFQPAPGKMIAQAKDWLGKHEFCEGYTIVEEKGCLVLGWKSKPIVAASCWKC >KZM87095 pep chromosome:ASM162521v1:7:10926574:10933852:1 gene:DCAR_024229 transcript:KZM87095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATYRNNFPSSFNHPPRSQAAPIGHFNPCDPNCHVREDKLDDSAKERKKSKSRKKHRKKKEKSRSDSSDDELGKIRKRSKKKNWHGSDDDDFSSSSGSDSLSDSKLNKKRKTDKRKAKKKYDDTSEDEERDAVEKADKDSARKDMGLDWMLRPKEDIGKKGNHVSDDLLERTPVEETKKVNPKEMNPYWKDDGSGYPDDTDTGKAGGDHLFSSSVVGDGGASWRLKALKRAKEQAARDGRKLDEVVEERWGSLGELAVSVASRSAAPSRAHLTAIHNRKKGPVEEKQTVTDAKSERAYEKDVSTPNPNMRIPKRHDSLSWGKGRRNRMSTEDATLVSSTLASLNKFSNDGSFMSEVTSQRSADSGAKRDRERKSDVVQIDSEKLSDAVVKPEMSANQIAAKVMQLRLKGKHEEADNLLKEAEKMKAQSSGQESASRTQEGHTRRYVMHDSSVRQKKNEEDADMHLATKIMQNKKFSISGQADDEYDYDEGPRKKRENKGGNDHRSGVKTNFPQRILTQHERCQFCFENPSRPRHLVISIANFVYLMLPQWQPIVPGHCCILPMQILDIVLSLSHVKPHEASTRNVDNNVWDEIRNFKKCLIMMFAKQEKEVVFIETVMGLAQQRRHCLVECIPLPEAVAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRASIPKDFPYFHVEFGLSKGFVHVIDDETSFKSNFGLNVLRGMLKLPAEDMHSRRKHESVDSQKVAVRNFNQEWEPFDWTKQLD >KZM88728 pep chromosome:ASM162521v1:7:30745152:30745322:1 gene:DCAR_025803 transcript:KZM88728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDATASAGSGLQDGVCAEDSAAVAASLKRAKTATASGEEAEKEAVKVRKQKRRL >KZM86561 pep chromosome:ASM162521v1:7:4317333:4317710:-1 gene:DCAR_023695 transcript:KZM86561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINVKTTIKVNQAVTVMHECFPSVKEPWNGKDVAEDIIFSRPSEVKRLDYRGFYAVILEKMTEVVTLASVRIFGDKVAEVSLIALRFQYRRLGKCKTLMDAREQKLSNLGVVKIVFPPISSVVDT >KZM88205 pep chromosome:ASM162521v1:7:25465942:25471483:1 gene:DCAR_025280 transcript:KZM88205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEASVISPDDVLQTLMNDGTIDAIRLKIITQLKANEELKNNTIKMVEQSKVLNTPGAEKQTXALRQELESSVLEKASKSVWEIILDQKGIGKDINETVEKVFCRLSGREPPLFPSIVESGPQAEKEKTNEPEKEITKEKTKEKETETKRETDKERPEKKGKEKENSDTIRKRKYIDTNTEEDGDEGASRSSI >KZM86458 pep chromosome:ASM162521v1:7:2980921:2986780:1 gene:DCAR_023592 transcript:KZM86458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQSALNKSKALEGIHGVHLVPHSPFVVEEINQQESFHQSCSQTSVVGPSQLLILQRVWQQRPSCLRPIRKNLNCKIYANSLIGVGIASSLYHVSRGSLRKYTRWADYTMIATATVCLSRALREENSKLLMAVSALALPIQPLMVSAVHTGMMEVAFAKRALKDPNLKMAHDMHKMSSLLGAALFIADDALPQTPFLHAAWHLAAAVGVATCNKLLE >KZM87902 pep chromosome:ASM162521v1:7:21871579:21872652:1 gene:DCAR_025003 transcript:KZM87902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGLVLEKFVKFVTEHDVLSDDMKLFHHFQGEDKSVVVAAVRADSGRGGDGFGWRRNSGGCGRSDDGFGWRRSGDSGCGSDGFGWRKNHFGSA >KZM88482 pep chromosome:ASM162521v1:7:28237150:28237686:1 gene:DCAR_025557 transcript:KZM88482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLEDQLVHARPIARNHGLRLWFHIDASGNSELIEIDKLTMMRRCDLAACDVRLLDPLFVYPSRILQRKNAIVLNMEQIRCIITADEVFLLNPLKSDVVQCVEELRRRLTTAGAGDFLQPEGAELRKRRGRLFSNIFDNSTDLPFEFKAFEVALEAVCTLLDSQVRKTTRYQFLLFS >KZM88686 pep chromosome:ASM162521v1:7:30384215:30384901:-1 gene:DCAR_025761 transcript:KZM88686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSKINISSILLIAIIIISVVYAANGAPPSGPSHGGHGTPPSIQKFAGKRRDAPPSGPSHGGHGTPPSIQKFADKGRDAPPSGPSHGGHGTPPSIQKFAGKGRDAPPSGPSHGGHGTPPSIQNFAGKGRDAPPSGPSHGGHGTPPSIQKFAGKRRDAPPSGPSHGGNRKPPSIQKFAGKGRIAPPSGPSHGGHGTPPIQNFVWTDSVDDSGPSPRGKGRGGPPTALF >KZM88244 pep chromosome:ASM162521v1:7:26017646:26022022:-1 gene:DCAR_025319 transcript:KZM88244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLVVEFRSIDYVQGNFAWTAKNLAAVLDRVPTVSMPYSLYGPETDRKEVAKIRDAVEKGTSYCGRLYNYKKNGTPFWNLLTITPIKDDSGNTIKFIGMQVEVSKYTEGKSEKELRPNGLPTSLIRYDAREKQKALGSITEVMQTVKHPSFHIESLDDDTNEQSDKKKLNCTTQTPQWNPIEHSTIEPVKSEYASVVGHRRWSSSKVEKFEDQSIIISEVKHSDSWDNVNAERHVRQGIDLATTLERIEKNFVITDPRLPDNPIVRSLPD >KZM88566 pep chromosome:ASM162521v1:7:29260599:29262709:1 gene:DCAR_025641 transcript:KZM88566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQTMKKPINIKMDSEKTADKEEQDGKNKTIVHSYGSHVDEEEERKIECMRKLVEKHDPSVKEVDNPTLRRFLRARDQDIEKASAMFVKYLKWKQTFIPKGSVSESEISNHLAQNKQFLQGVDKQGRPITVLFGGRHLPKKIPDGGVEELKRFMVFALGKICSRMAAGQEKFVVIADLQGWGYCNSDVRGCIAALTLLQDYYPERLGKLLIVHVPCIFMTVWKLIYPFIDNNTKKKLVFVENKRLKETLMEDIDESQLPAIYGGKLPLVPIQHA >KZM88742 pep chromosome:ASM162521v1:7:30926361:30927710:1 gene:DCAR_025817 transcript:KZM88742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYKQMKRDGILGCDGGADSLVLDLDTAVKDGVLGGVANAGFCGVVGKKLDLFKMVEELNLADVPDVFICPISLEPMQDPVTLCTGQTYERANIMKWFNLGHYTCPTTMQELWDDTITSNTTLYQLIYTWFSQRYLQMKKKSEDVQERASEYLTTLKKVKGQARVQVLKELKQVVAAHANAGNRVVDEGGVALISSLLGPFTSHAVGSEVVAILVNLHLDSESKVKLIEPAKISLMVDMLNEGSIGTKINCTQLLITLMDVKDFQAEVISSHRLLVALLRLVRDRKNPTGIFPGLSLLKMICLHAEVRNLIVAVGAVPQLVEMLPNLSSDCLEISLIILDTLSSSPEGCIALKDCSRTIPNLVRLLMKVSESSMQYALSILSAVCKLSPEECSSLAVDAGLAAKLLLVIQSGCNPVLKQKSAELLKLCSLNCSDTIFISKCKLTRTTQ >KZM87326 pep chromosome:ASM162521v1:7:14446144:14449011:-1 gene:DCAR_024460 transcript:KZM87326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLVVQFLLCCLLIIVPCSAEPEYLYHICRNHTDYRPNSIYLANRKSLLSTLSSNATRNHGYYNSTAGGNSNEIVYGASLCQGDLVAKDCRDCVTAASEDIGNKCPNQTYGVIWYGECTVRYDRKNFFTSFGTGDDLLEVLLYNSGNVTDEAQFQPVFANTMNDLVTRASDGKPLNDQIIKGFAVETANLTSLQSLYALAQCMPDLPKRDCTRCLRGAISLLQTHNNSVGGRALAASCTVRYEMYPFFRDIAIAPPPSQGTSPTSPPRTTTPNTFNRPKGNGGDSSSKLVVPIVVPICVALAIFFIGYYCFIHRRKKIGSTLQEGTGGDEISTVESLQFDLATIEAATNNFSPDNKIGAGGFGDVFKGVLANGQQIAVKRLSKGSGQGAKEFQNEVVLVAKLQHRNLVRLLGFCLQTDEKILIYEYIPNKSLDNFLFDPERQRQLDWSKRYKIIGGIARGLVYLHEDSRLRIIHRDLKASNILLDNDMNAKISDFGMARILGGEQTHGDTSRIVGTYGYMSPEYAMHGQFSSKSDVFSFGVLVLEIISGKKNNSFYESDPAEDLLSYAWRQWKNGTPLELMDPTLSDSYLTTEVIRCFQIGLLCVQEDVDARPSMPSVLTMLTSHSISVALPKQPPFYYHTKSGSQPSEGLISDQSTSKSVTISVDDVSITGVYAR >KZM89129 pep chromosome:ASM162521v1:7:34151164:34151415:1 gene:DCAR_026204 transcript:KZM89129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSASPKGEFTTRVQQAGFCQGKGHPVIKSVTESGKSYTVSEFEAKAKSFERNYFEKSSIDKGALSPLEIESLYWKDYSYMA >KZM88609 pep chromosome:ASM162521v1:7:29693807:29696744:-1 gene:DCAR_025684 transcript:KZM88609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVSKETPAADQNLDHQKNQKMSEYEKCREARIKENLERMKQLGILDLSLKLKTASKPARNASLFAQKTPRRVSPMRSVGPVRRSSRLRNVTPGSYSEFALSKKGSFETEEELLGEGLAPEAYTEEQKKLLGCTNMSWTRCVDGYGKDGKRVYDPIEGRSCHQCRQKTLGYRTECSQCCRVQGQFCGDCLYMRYGENVLEALENPEWVCPVCRGICNCSLCRNAKGLPPTGFLYRKISKMGFKSVAHYLLQTEGQTTNLEEGTKVPLSAKRSLAFLKPEASSMLMESVDSNDGLKESVEVKCEKDKTDEDHKDEGLDGRVNILRKRGLRLSCPIL >KZM86891 pep chromosome:ASM162521v1:7:8084251:8088774:1 gene:DCAR_024025 transcript:KZM86891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAASVSKDDDRAVLIWREIPDLNPSPTNLLTSKLEHQIQLQWRRNNNKIKGVTLSVSVNAGSSNFGCVSMAAGDKQGGDDIDFAPTTAQLLKYPLAIVALIPKSVSLFAAGAVAGAVAKSFTAPLDRVKLLMQTHGLRAGQESAKKAIGFVEAVTLIGKEEGLKGYWKGNLPQVIRIIPYSAVQLFAYETYKKIFKGKDGELSVIGRLAAGACAGMTSTFVTYPLDVLRLRLAVEPGYRTMTEVAVNMLREEGFASFYKGLGPSLISIAPYIAVNFCVFDLVKKSLPEKLQKRTEASLVTALISATIATLTCYPLDTVRRQMQMKGTPYETIFDAFPGIVERDGLVGLYRGFVPNALKTLPNSSIRLTTFDTVKRLIAASEKEFQRIVEGNRKKHNLRSNDSPI >KZM88561 pep chromosome:ASM162521v1:7:29201202:29205107:-1 gene:DCAR_025636 transcript:KZM88561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVAGDHRRPAPPQQRSGCCNPVKKPGPVSMEHVLSALGETKEEREGRIRSLFNFFDGANGGYLDYAQIEAGLSALQIPAEYKYAKDLLRVCDANRDGRVDYYEFRKYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEMDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYEYWERVYLVDIGEQAVIPDGISKHVHASKYLIAGGVAGAASRTATAPLDRLKVVLQVQTSRASILSAVRNIWKEGGLLGFFRGNGLNVVKVAPESAIKFYTYELLKNFIGDINGEAKGDIGTSGRLVAGGLAGAVAQTAIYPMDLVKTRLQTHACESGKVPSLGKLSRDILVHEGPRAFYRGIVPSLLGIIPYAGIDLAAYETLKDMSKTYLANDDPGPLVQLGCGTISGALGATCVYPLQVVRTRMQAQHSSSTTAYSGMSDVFRRTFQHEGLRGFYKGLFPNLLKVVPAASITYLVYETMKKSLDLD >KZM86352 pep chromosome:ASM162521v1:7:1916068:1921181:1 gene:DCAR_023486 transcript:KZM86352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLITAVLGFILIGICVNRAESGVNNESGRASIWSSVKESSDRSRKESVDDSLSVFNNNQEDLDGGFSSLDGMLQWAIGMGVTLERLEFDFFMNKSLTLVLCGIGWRKNCFLEFTGHSDPTKLQETAKDVQSLSSSELNKRQMEIKDLVEELKMPSDAQLMQTAIRDLNNSSLSLEDHHRALQELLILVEPIDNANDLHKLGGLAVIIGELGHADPESRKISAWILGTASQNNPVVQKQVLELGGLPKLMMMVKSSSREEAIKALFAVSALIRNNLAGQELFFKGAGELVLQEILSNSSADIRLRIKAMVLVADIAEFQIESGKESELHPFNNHFLLKSVVDLTATPDLNLHEKVLQAIKNLLQLRSVDVSIFKEFCRLDMALEGLRQQLQKMLEQNDRDFVLEVENLRKEVELIYLSRLDNVRQQQVMQVPT >KZM86544 pep chromosome:ASM162521v1:7:4013961:4016193:-1 gene:DCAR_023678 transcript:KZM86544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSLDYIESLEEERRKIQVFQRELPLCLELVSQAIEKCKKEFYRQRQECSEQTSSEGPVLEEFMPIKRASSSEEDEQNSKKAKIICVTESNRSEKSSKKSDWLRSVQLWNQTPDPPSNKEDAPRKVTVVEVNKNGNGGAFHPFKREEKRVVVEAPPADGAASSTAETGGSSGGCKGEEKDDSHRKARRCWSPELHRRFLQALQQLGGAHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSSNNAQAPQFVVVGGIWVPPPPEYTTTNANSGEAGSIRSPPPNGIYAPKATLPNAVREEATTNNPTQQSETNYSDKNGSYSKTASRSESPSTSSSTHTTTASPAY >KZM87494 pep chromosome:ASM162521v1:7:17324625:17326049:1 gene:DCAR_024628 transcript:KZM87494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQKLHSNPSSKPLQTLPLLHTMERKYHNENHPTDSQTYKHKSFYKKQKYMHQNPSSHNSRQPNHHTPSQNTHVPRQINTPYAKPTVTAPKSILVDLSNLGKLDNMLLNLQPGMMICVPQNGVVHNLFLAAKWENMVFYRGQNYFVHLIGEFYANMIVQKGLDDVLKISTVVHNKNMLVDVNTLNRCLKLGEHVPHQPCINIYEKFVFDKKEFELFVGHFCDADVPLGLCEENCAIEYHHFTPLYQQVAIIVRSNLLPKPKNAHYIDFVDLKVMFQLPYGLLLTSLFELYHIAMPTILAEKIEYCDIINLVKPQVPLRNCKPFAVSPVCISPTVMITGNTHASVKNGAEINKLKGEIEILKEMTTSIVARLDQLEGKNKDDSTVGNVEGIDEKMDRLFSEEMVNEMVDKNDKMAIDEAKKSDKEMLPGMIDLTDDMGFVSVDGPEKA >KZM86585 pep chromosome:ASM162521v1:7:4631607:4632898:-1 gene:DCAR_023719 transcript:KZM86585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVARLLSHYTKNPESLSLLPEGPNSGYLVIQDEEAETSSWFGSSKNHYLKSLPFPYNNILTIRTYVQNIQDLSTVDKVVLIPVINQPLSCNRYYAIDAGNHRENYKGKGFTCLKDEQVTCPHCKTRTPSKTIYDLTRRPVDPLGIYQQFHIYLGGTTEGGNGSFYANSVAHDAFPPDFLKMKRWCIRTRKAKNSKLAEALGLDSDLRARIADTSIQISCKSSEAVKVGMWYCPFIFIKDRTLAYQMKKSMYYEMTLEQRWEQIFECKNNSINCNAVMITAPVQKEVVLVGGSVAVWDAKNVVDKTIWFKAIETKGEEVSVGLSLEIFERMNWEQERAGYVGEGRRLVTFNRVEKFGGGSEGWRKFGCYVLVERFVLRRMDGSLVMTYDFKHTHQVRCIWE >KZM87748 pep chromosome:ASM162521v1:7:20056075:20057829:-1 gene:DCAR_024849 transcript:KZM87748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQTQSKRILFFPLPLQGHINPMLQLANILHSRGFNVTIIHTTFNSPDPSHFPHFNFESISDGLSAAEASTKDVIALLSLLNVKCAAPFQECLARLLSGEEPVACLISDAVLHFTSEVARSFELPRLVLRTGGVSSFLAFAAFPAGKKWRLSSSPQSVLGPVFSNGSPTGPLHGPSSPTGPFSSTDYSWDLIHEAAGQVNTHSQLKNQQALRTQSALLRTANSFPPHRSSSSHQCYFVDNHLKSHCDSIWEWTEACQKGGDGNVGCRRPNARSVNPHGLFNVNGVGVDSSLFFPGRRKNSLGNECGAFKRKCAGTGVFLPRRGPDPVQSRPKFGSSAAEAMNIKYGARFAEDVLAADVIAMRRNAVLAQQWRNEVKMMMAAASCKGKWDTLPE >KZM87104 pep chromosome:ASM162521v1:7:10980563:10984175:-1 gene:DCAR_024238 transcript:KZM87104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSFFGRLLYASFFILSAWQMYNEFGDNGGPAAKVFAPMVSDFQRLVKSKLDISPTISDKDIVLFSLLSNGIGGLLFIFGSRIGAYLLMYNRVLAIASIWHGYYFNKGITKSDLEAIQADFLLNFALFGALMYFIGMKNLILSKQTRRKLVRPKTA >KZM87816 pep chromosome:ASM162521v1:7:20737354:20740002:-1 gene:DCAR_024917 transcript:KZM87816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISSCSIGSVSLNTENIVARNPTQIVQFSVGSSGSGFFQNGLTLKTSSCSQAVKKSESSVVSIKARAATGKSLYDFTAKDIDGKDVNLSKFKGKVALVVNVASRWYILLLDN >KZM87308 pep chromosome:ASM162521v1:7:14080492:14080886:1 gene:DCAR_024442 transcript:KZM87308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIINLSKAIAALVAIFLTLAVFTYTSASLLQENKSLWISRCGIGSRKMAMDCTSREATPEHDISFESLKKKQSPPPPPVVKKKKQLKTTSLFFRTPPRF >KZM86849 pep chromosome:ASM162521v1:7:7629804:7631680:-1 gene:DCAR_023983 transcript:KZM86849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLSATKLYLCFISLLFTIASAQLSSTFYSSSCPNALSIIKSAVTSAVNSEARMGASLLRLHFHDCFANGCDASVLLDDTANFTGEKTAPTNSGSLRGFDVVDTIKTNLESSCAGVVSCADILAVAARDSVVALGGNSWTVVLGRRDSTTASLSTVNSDIPGPNLNLSGLISSFSKKGFTTKEMVTLSGAHTIGQARCQNFRNRAYNEANIQAAYKTTLQGRCPSSGGNNNLAPLDIGSATTFDNSYFTNLVSLKGLLHSDQQLFNNGSTDAQVSSYSSNQATFLSDFATAMVKMGNLSPLTGTNGQIRKNCRKTN >KZM87985 pep chromosome:ASM162521v1:7:22948064:22948840:1 gene:DCAR_025086 transcript:KZM87985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQSFMNVHAEVISLIFSYLILDASDFSSFAKLLMIWERERPSAQIKFVLEKLDWDRLYRFHNHPMEVTRDQFHGFVGYSVGHNVVQSLFFNSSQKLFLMEDVQLNLGILSSLASTHLPSSFTFLFFKSIYIRSDIDSTAREIFGIVNTVHLRGKVEELMDLLQSMYEHLFEMDYLLPQLNVCPKARDLNPQLKIDGFPNEECLWNSLCSNTVKGTYREPGEMFGKPLNLSHMWNSTCERCTLQLILYKILLVLVKN >KZM88206 pep chromosome:ASM162521v1:7:25473049:25476528:-1 gene:DCAR_025281 transcript:KZM88206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMILVGNPDSSNEIYLFIEKDKYGADQVLAVNATHEHVNKRHASSADSSASDAGLSKKIRLAKEIIDLEETDIMLYKDRSPLGLKLQKTPSLINSIEMKLLEARKRDAKLDHEKLKASNFPARLLQIGSWVRPCRNEGDLVAKCYYAKKKIVWEILEGALKRKIEVQWSDIIGIKAIVGEDRPGILELELKHPPTYFLETNPQPRKHTLWQTASDFTGGQAPSFRRHFISFPPGILDKHYEKLLQCDQRLLELSRKTFPTLPHPYFDSNNLYRLSEHPCNLNRNHVSELVSHEMQHYQVQKFPAPWSQQRHAQNMEAAANAPLPRSSIDSTTQGAAPMLQYHNQNFCSPAGLPRHLQNLRRRPNPHPGVVDLTLIRDETETNFVSKNQSTGFYSQGTNIIQGPSSMVNISPQQVDSGFLYQHLDGEEAGMGNPPRYTSEMSFAQIASNKLGDTALLPEQGVNPNTNSIFANKAMNHEQQFFMTNNHVGSKQAIDQYCEGNGWMLMPKQLSWLPSQESNATTTNLSLHPYHVFDEPMQQAVETQTPTDVHHLG >KZM88819 pep chromosome:ASM162521v1:7:31528909:31532636:1 gene:DCAR_025894 transcript:KZM88819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLVETGTDGPRNGGAVSEMKKVSYIAMPMIVTTVSQNMVRVISMMMIGHLGELSLSGAAIATSLTNVTGFSLLLNKRDPGSRDVRGGSRSSTRMDELLVETGTDGPRNGGAVSEMKKVSYIAMPMIVTTVSQNMVRVISMMMIGHLGELSLSGAAIATSLTNVTGFSLLFGMASALETLCGQAYGARQYHMIGTYTYGAIISLLLVCVPISILWIFTEEFLVMIGQDPSISHEAGIYSIWLIPALFPFAILQLLVRYLLTQSLLYPMLLSSVAALLFHIPLSWVLIYKFEFGSGGAALGIGLSYWLNVILLWGYVKFTPSCEKTRILFSKDVFPSIREFFRFGIPSAVMICLEWWSYELVILLSGLLPDPQLETSVLSICLTIAALHYYIPYSFGAGASTRVSNELGAGHPEAAKLAAWVATFLAAIEVVIASTILFSCRSIVGYAFGEEKEIANYVREMTPLLSLCLVVDCFQAMFCGVARGTGWQHLGAYVNLGAYYVGGIPIACMLAFVFNWRGKGLWIGLTIGSLLQTCMLMMITFFTDWKKQAREARERLFEGRSQLTIE >KZM87371 pep chromosome:ASM162521v1:7:15233094:15238830:1 gene:DCAR_024505 transcript:KZM87371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILMVKKLCNREKWNQNHMLCVGRHDKLDMQLSPLKLLVPHERKEFAVNLLKNINPKDTRDRKQRGQIMLDLTFVPFKEDYERSRSVVLQHSMKNSSIKRSESRSSHVYRAGLLFVTVVGAKDVKGNISL >KZM88342 pep chromosome:ASM162521v1:7:26993450:26994466:-1 gene:DCAR_025417 transcript:KZM88342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSFFSVHAADQILVSNFSAFLNLQSPSLTLVKEYIITVNQSRLLDLTFIPSPNSSAFVNGIEVVSIPDKLYVKGNDDSNGIKLVGLHSQSTTFVTIDGSKALEKFYRLNVGGQNVSSTDDSGMFRSWDGDEPYLIGSYGTTRLSKIEINYSETTPPYTAPEIVYSTERFKDDNNYTPNITWIFPVDYGFYYLLRLYFCESRLEVTQEGQLVFDILVNNQTAEKAVDIIYWTGGRGIPIYKDYVVSFGANPDGNKSKTDLWLTMQPNKGYQYENTILNGLEIYKLSNDNHSLAAPNPLPPSETKNSGGIQNSGTSGASIGGSFGVIIVFLFILALLL >KZM87672 pep chromosome:ASM162521v1:7:19259435:19261146:-1 gene:DCAR_024773 transcript:KZM87672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPCATASASISKHTLLASSLSTHSPTQHSPHFLTKSSSHSQIYGLKITHSSSLSTPCFSTKICTISAKVNKGSAPPAFTLKDQDGRNVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDDASSHKAFAKKYKLPFTLLSDEGNKVRKDWGVPSDLFGTLPGRQTYVLDKNGKVQLIYNNQFQPEKHIDETLKLLQSL >KZM88990 pep chromosome:ASM162521v1:7:32859529:32860723:1 gene:DCAR_026065 transcript:KZM88990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSSRSRQSSGGASRITDEQINDLVSKLQQLLPEINRNTRRSDKVSSARVLQETCNYIRSLHREVDDLSERLSELLANTDTTQAALIRSLLMQ >KZM89284 pep chromosome:ASM162521v1:7:35662361:35667925:1 gene:DCAR_026359 transcript:KZM89284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGTQVASSHYIHQSLSKHFLDSQHHPMAAAKKRSMPFHPLGFHLPQSQQQQPMFGSGFQQSRGQWHPNGWDWDSAKFLAKPVESDVIRGGPSTMSVQSVMQRGHGDEIIAANSVDLRGNHVVEDDENLLLKLGGSRVNSAEENVLRPNKKVRSGSPAGGNYPKCQVDDCKEDLSTAKDYHRRHKVCEVHSKATKAIVGKQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPDDVTSKLLPPTNRENVSNGDVDLVNILAILARAQGNTEDGSINGSSIPNKDQLIQILQKINALPLPADFSAKFPPSGVTSNIAPFQSESENKLNGNNSHSSTLDLLAVLSGNQAGSSPDATAVPSRRSSHGSDSEKTRSPCTNMQTRHQNEFASVGERSSTSYQSPTECSDGQVQEIRTNLPLQLFSSSPESDSPPKLASSTKYFSSGSSNPMEERSPSSSPPFVQKLFPTVFSREAVNPKRLLNRLEFNGSSKAGKDKGCSTSLDLFGGMNKCVDDSSVQSFPYQAGYTSSSGSDHSPSSLNSDAQNRSGRIYFKLIDRDPSQLPGKLRTQIFNWLGQSPSEMESYIRPGCVVLSIYISMPSSAWEQLEDNLLQNITSLVKDSEDPFWRKGRFLINAGRQLASYQDGKAHLRKATRAWSFPEVVSVSPLAVVGGQETTILLKGRNLSDQYTKVFCTHAVGYKLEETSGSASDDTTYDSITLHNFTVADEAPGVLGRCFIEVENGFRGTSFPIIIANATICKELNLLESAFDKVAAPRDAISEESFLDSGRPISREDVLHFLNELGWVLQRKRYMSMFEVPEYKLHRFKFLFIFSVEHDFCALVKTLLDVLLEICLGRDELSRESLQMLLEIHLLNRAVKMRSRKMVDLLINYFVPTDSGKTYIFLPNLAGPGGITPLHLAACMSDANSMVDTLTTDPLEIGLHGWDSLLDANGLSPCAYAQMRNNHSYNALVARKLVDRKNGQVSVPVGDEIQEQSLIAGQIHQASFQIRQGQRSCSKCAVGAARYNRKTSASQGLLHRPYIHSMLAIAAVCVCVCLFLRGAPDIGLVAPFKWENLGYGAV >KZM87918 pep chromosome:ASM162521v1:7:22048771:22053103:-1 gene:DCAR_025019 transcript:KZM87918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVDSPNKEANKSALANKKVTIVFVLGGPGSGKGTQCGYIVQHFGYTHLSAGDLLREEMKSGSENGFVIKDMIKEGKIVPSELTIKLLEQAMLENRNDKFLIDGFPRNEENRAAFEAFTGIEPEFVLFFECSEEEMQKRLLSRNQGREDDNIETIKKRFKVFMESSLPVIEHYEAKGKVRKIDAARPILEVFEAVKACFTRGDIKVNQL >KZM87476 pep chromosome:ASM162521v1:7:16962962:16964136:1 gene:DCAR_024610 transcript:KZM87476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVALRVLLFVASLTAVVLMVTSKQTELIPFPPVGLVSNTSRFTDTPAFVYFVAALSTAGLYSIITTLLSISALSRPGYTKILALYIVAMDVVMLAIVAAALGTAAGVAYVGIQGNSHTRWTKICNIYDTFCQQAAGAIIVSSFAATLLILLILHSVFTMYRKIPKY >KZM86178 pep chromosome:ASM162521v1:7:403157:403718:-1 gene:DCAR_023312 transcript:KZM86178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQKHEQEITSSVPAEKMFHGLILDIDNILPKAAPGAYKNVEIKGDGGVGTIKHITLPEGGPVTTMTLRTDGLDKKGFTIDYSVIDGDVLMGFIDKIENHLSVVPTADGGSTTKTTAIFHTKGDAVVPEENIKYAEEQNTMLFKAVEAYLIAN >KZM88135 pep chromosome:ASM162521v1:7:24833658:24836912:-1 gene:DCAR_025210 transcript:KZM88135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSAASSAGDHSNHTRRAMTNEERYAEYNVYGNLFQVSRKYVIPRIRPLGRGAYGIVCTALNSETREEVAIKKIGNAFVDVIDAKRTLREIKLLLHMDHGNVIAIKDIIRPPEKESFNDVYIVYELMEMDLHKIISSNQQLTDKHCRYILYQLLRGLKYVHSANVLHRDLKPSNLLLNVNCDLKIGDFGLARTASLTDLTTGVVTRWYSAPELLLNYSEYTAAIDIWSVGCILGEILTRRPLFPGKDYLHQWRLITELIGSPDESSLGFHRSDNAHIKFSQLPQHTKQPFTTRFHNKSPGAVDLLEKMLIFDPHKRITVDEALSHPYLAPLHDINDERVCSQPFSLDLEQSTYTIETIKELIWNESVKFNPDPIL >KZM86889 pep chromosome:ASM162521v1:7:8059337:8060390:1 gene:DCAR_024023 transcript:KZM86889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMERPLADRSYSPLEAPSGPDIYFQRWLCSLARHERTLKIQLKRHMIAAHHTESVHTALLKKKIAKQARTRRRIVMLSRKLRTDQGLHPLSSLHIMRVCSQPPSHVLSPRARTDLAQSTGSGPCTSSAGFHTPAKRAAAETSQPAPGILVPVLALRAPSVHFISFL >KZM89305 pep chromosome:ASM162521v1:7:35843914:35844462:-1 gene:DCAR_026380 transcript:KZM89305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYGTIPPSSSSSGKAVEYLSRAKHHFREGLATCRPWKELAKSISLPSGLRDATERLKINLTYFRTNYAIIVLLILFFSLLWHPISLIILAALIVLWLYFYFLRDEPLMIFHRLISDQTVLIVLSVLTFVVLLFTGAFWNVISAVLIGIAVVAVHAVVRKTDDLFLDEEAAETTGLMTSSS >KZM86385 pep chromosome:ASM162521v1:7:2218838:2219152:1 gene:DCAR_023519 transcript:KZM86385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGSVEELMDWDQRMGLHHPNFMDVFVSHNAPDTVNFNISVSVAEQIEDLSLGQINAPQVARMEIEMDEMEKLMDGTMEDSFMGSMGPKCSFLAHGPPIGNLD >KZM86485 pep chromosome:ASM162521v1:7:3282196:3285172:-1 gene:DCAR_023619 transcript:KZM86485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTLLRHLNHHTRRRALSITASVKQDTTVWTQTPLVSIKPAAESLFHVTVDVSESPEVAASYKSPGQYLQLRLPEVEKPAFLAIASPPSLAAERGVFEFLIKSVAGSTAEILCGLSGGDVVELTSVMGKGFPIGSISPPEEYQSVFIFATGSGISPIRSLIETGFGADKRSDVRLYYGARNLKRMAYQDRFKDWESSGVKIVPVLSLPDDNWNGESGYVQAAFSRAEKNYNPESTGAVLCGQKQMAEEITSALVSDGVSKEKILMNF >KZM87853 pep chromosome:ASM162521v1:7:21238375:21240954:-1 gene:DCAR_024954 transcript:KZM87853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDHADFKKQADKVRRYDELCTYDVPLNSPGLYATGFQEFLTYVLPRLELQLVLMFSLTQALHLLFKRFNFPRNFSEILTGIIIGKTLLGHIVGFEKHQLLFPDDDILLETLMKLGFIFYMFLVGVKMDPNLVPKAGKKGWSIGVSAAVCPFIVSSILGSQKLLDYYLPIYRRPAIRAIVKIIGLSPFPVIVALLIDLKIMNSELGRLALAAGLICDVLNLIQILGSTIYRIYFSEYGIPVVILTLIEALLVTIASISSHVLFLKIIQLTPEGKPVKNYYISLICSCVLVSSIACNNVGLQYHFAPFVIGLAVPSGPPLGSAIADAMDTFISGLLAPFILTYCAIKMDLVVFYDLSFLNSVLFVLSVVTASKIVGVCGVAMVIGVPIRDAVNLSIIMATQGIVQGALYESVYKLQSIDRESFTVLILSILVMALVAHLSVGFLNDYSRAYSGYQKRDIQHTPFNAELRILACADRLDDAMAVIRLLETSNPSKESPLAIYVLHLVELVGRATPVVINHRLGQKNANSHSRSHQLIGLFEKFGSQRTGFVSVQGFTAMSLPQFMHQDICSLAFDKLASLIILPFHKKWSQQGKIIFDSSLRRTINRQVLEMAPCSVGILIDRRKIRPPEASQDKEAGSVYHLGVIFLGGADDREAVAYAKRIMVKAVGCCLTVVRIVASDAVQENQWNTILDTETLREVKLQGANQHNIQYREVKSKDGPETALLINTMVEDGEFNMLMVGRRHSASSPLLTGLSEWCDLPELGSVGDILASAEIIRPVSVLVMQQQIVGRRR >KZM88607 pep chromosome:ASM162521v1:7:29677430:29680240:-1 gene:DCAR_025682 transcript:KZM88607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPISRCASLILDKKSRRKDGSEVSKQEIRRNPSVLRRLQEHKIREALEEASEDGSLVKSQVMDAEALDNQDEGLGRSRSLARLNAQKEFLRATALAAERTFESEDSIPELLESFSKFLTMYPKYQSSEKIDQLRSEEYSHLSESVPKVCLDYCGFGLFSFMQTVHYWESSTFSLSEITANLGNHALYGGAEKGTIEHDIKSRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFQTNKKLLTMFDHESQSVNWMAQCAKQKGAKAYSAWFKWPTLKPCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIVTSFYKVFGYDPTGFGCLLIKKSAMGSLQNQSGHAGSGIVKITPVFPLYLSDSVDGLPGLTGLEGDELDGDIEITSETRAGANLPAFSGAYTSAQVRDVFDTEMDHDNNSDRDGASTIFEETESISVGEVMRSPVFSEDESSDNSLWIDLGQSPLGSDYNGQRSKQKGTSPLPPFWFSGRNKNKQISPRRSSKISDSPIYDKEPHDAHMLSFDAAVRSVSQEVDYVKRIPEDEHYVETSHEQRFGNHADHRHVHEIEEEHESSNPAHLLNSSNLYDGSSAYRQGIMSNGTASENGLEAKESAIRRETEGEFRLLGRREGNKFSGSRFFGVEEIEQPDSRGRRVSFSMEDDRKARLNNHSERGEFSATSLDDEEYMSDEYADDQDSGRREPEIKCRHLDHVNMSGLNKTTLRLRYLINWLVTSLLQLRISGSNEDDGVPLVQIYGPKIKYERGAAVAFNVRDRNRGLINPEVVQKLAEANGISLGIGILSHIKIIDSSRQQRGLNLQDTTLCKPMENGQHDSKNGFVRLEVVTASLGFLTNFDDVYKLWAFVAKFLNPAFIKDGGLATVVEEEEEL >KZM86634 pep chromosome:ASM162521v1:7:5132308:5135582:1 gene:DCAR_023768 transcript:KZM86634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRSSLAKITRNGVDIRIPPGHPLYLKARDEEGLEDFELVKLVAELGADDEEASVEVQQKLLEEAQLIKQQQRTQEQVVEPRKSRSTRVKTRAGRPSATLEKPTSEEVKQNVGVKRKISYEDVKDKPVLELTEEERMAIKEEPMEFDMSSLPSFTQFFMSQREISCGFHCPMWTSYKPYSRGRIRIGCQISGLEALKKLEISSMEMKIGTMEMKLKNKETGNFF >KZM87242 pep chromosome:ASM162521v1:7:12991702:12993450:-1 gene:DCAR_024376 transcript:KZM87242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSVAAAIARKSKLSGGLCVALHSQPQVLHSIPSKTAAFLAPQLIFHQDPRYFSQDSSTPLSDEAQIPQFESAFGGEDVKDDIFGDAHNVFDELCEENKEGVDENLMSDVVGDDENEGGVVSEEAVLEKMENVVPLLQSGNVDVGALRSCLKNDISVELLEKFVLRVVETPLISGENLIGFVKLVLEKDKVAVSTAVVEALVRVVCIERKRNSAYALWDLLKEVGEDSVVLSTEILNSLLSLLSVLGKGKACYEVFDKFGEFNCVPNEESFYITAEALCKRSIFDWAVSVCETMVNAGKLPDIEKVGKIITCLCKGKKAKDAHALYLFAKKQDKIPPRSSVNLLISSLCREDVNVPLALEMLNEVSKEEKKDAIKLFSSVIQGLCRIKDTDKAKVLLFDMIESGPPPGNAVFNTIINNLSKAGEMKEARKIMKVMESRGLKPDVYTYSVIISGYSKGGEMEEARKVLDEAKTKHSKLTPVTYHTLIRGYCKLERFDEALELLSEMKKYGVQPNADEYNKLIQSLCLKALDWKTAQKLLDEMENNGLYLIGITRGLIRAVKELEEEGVETADADVEVIANVS >KZM86632 pep chromosome:ASM162521v1:7:5105545:5106785:1 gene:DCAR_023766 transcript:KZM86632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKDVENTNGTAFVRPSWINNDDLLILNTSVVDGRGAIFLEFEVKAIIQSLCGSINNDGSLFLGTKGAQVLTNAKIPNTRIIIVGNYLVAVKLLNHNGDEAGDVAGDLTCQPNDGTIQPMPINILETEYDLYCSTVEIPGVDLVLVLAMRVQGPETFKDKKETVFRIYAQIKGTSAGQEGTCLGLGIVQSLVRLMGGEIEIVDKEIGVKGTCFKFNAYFSICETDQRNQSDDTGSHKAHESTSDGIEATRKIRHEEAKYGVHIPIFAVSAYTEGPEIKLMVEARVDYNLAKPLNVQKIKEALSIFEDQ >KZM88984 pep chromosome:ASM162521v1:7:32821982:32824323:1 gene:DCAR_026059 transcript:KZM88984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPLMKLIRLKGVLILQQLHLEERLLHYAFGNRKFGGNAQSITKNRWIHHTSFLWDYEINNMAYLKLPKRAPEYRLARNHLDFICCMKEYMPRTNFITRTVDALQGEFSVETTDLEAVESPPNTKFIHSSKILTERELEEAALG >KZM86541 pep chromosome:ASM162521v1:7:3990853:3991047:1 gene:DCAR_023675 transcript:KZM86541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSFKQEIISSFCQEATRAEACSTASSPDLKPGGDKTVELLHISTYSPSYTKKIEYHFNRILQ >KZM89156 pep chromosome:ASM162521v1:7:34428023:34429711:1 gene:DCAR_026231 transcript:KZM89156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHKVLTFNWRDSNIDIKVEIDKCTLLDLIVEYEDEAERRGIHLDFGYPTFAYAWNMRHKWLENDAHLMQMFERFKDSTEIMIWVRTKMQPTPLYKLVMNLRRQNEHKKTVEVDGNAENEDENDTEDEFDVSLNDLEDTSVKPSTSNPKQKPKTKAKPKPIAKPKTKAKPKPKPKPKTKTTITPNILPSPPISPNTAFKNLKIRRSPRFSPLPNTNNDTVSANASANASVNAFDQSAMTQTQSNFVESCNSTLGVDRCRPVLTLLEVYYDVET >KZM86242 pep chromosome:ASM162521v1:7:911426:915646:-1 gene:DCAR_023376 transcript:KZM86242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVALVISATKLAGILATITVAANAFAFNLYRKKNLKPFISPIDENSDTVAIFNINAAHGEDEFFFGLATAPAHVEDRLDDAWLQFAEDDHSDKQQHVDSIMASSASNGICHQAPLAMMDVEDTMKISREAKTNGIEKYLKEEEPFSVPKESRHNVAAWHNVPHPEERLRFWSDPDTELKLAKETGISVFRMGIDWTRIMPEEPVKGLRKSVNYAALERYKWITRRVRSYDMKVMLTLFHHSLPPWAAKYGGWKLKKTVDYFMEFTKLVVECLSEMVDYWITFNEPHIFCMLTYCSGSWPGGKPDMLEVATSYLPSGVFNKTMNNMAIAHTKAYDYIHQQSKGLSTSKVGVAHHVSFLRPYGLFDIANVSIAKSLTLFRYLDSICNKLDYIGINYYGQGVRVLGYLFWTVSDNWEWADGYGPKFGLVAVDRLNNLARIPRPSYHLFSKVATSGIITREDRKQAWDELQRAAREKKTRPFYRIVDKYGLMYSGGLDEPTMRAYVERDWQFGHYEMEYLQDPLSCFFRWLLQPFSTCKKMKALQDDDELIL >KZM87302 pep chromosome:ASM162521v1:7:14013811:14014155:-1 gene:DCAR_024436 transcript:KZM87302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVEAEGKKWLLTALTSPLKPIHTKKDEHKKECGDAHDQAAFDEEKCTTPSSDESRIPSSRLSQCPGAPRKRKSCSRIDKFCGKSIGREFFSPPELESLFMSSVDHQRSKFS >KZM88472 pep chromosome:ASM162521v1:7:28177063:28177460:-1 gene:DCAR_025547 transcript:KZM88472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISMIRIMSVFFLLSLLGSQARMLPGDQGIFKVSSINYYKDKSSSFVVEQVNSIMSNYGKTPRPSPPPPNPAPTKHWISKPPPRGNCRASRSIANC >KZM88748 pep chromosome:ASM162521v1:7:30970921:30974006:-1 gene:DCAR_025823 transcript:KZM88748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGLMSFNGFGDDNASSSRSVLQRSTHSLLANDSSPASFSISFTSPVPASNAAAYQDFSRQGTHMCVCVCVCVWVYFLVLVAMFMWYGCGGSTGGMDSPFTRCREPFTPSQWLDLELQALIYKYIVANVPVPSHLLFQIRKSLNPFLYAGSFTGSYAPKSFGWGTFHLGLSGSNDPEPGRCRRTDGKKWRCAREAVPDQKYCERHINRGRHRSRKPVESRKGQVVPGTTHITEVAVDSTPSETVMSGPGTSKSLSLPQQQSKILQSTAANAYANALNRVQDTQGQSIISPTVDLKLKDTVPSIRQHHVRTEESSLQEFGLVSSTSLLYSSDKDSYNDPRNRDAILSFSEQETQNQQPLHRFIDDWPKNPSDHLNVVWPDEFKSDWTQLSMSIPKNSSTFSSCSNSPREKFSLAPLSLPCELDNVNMSLALRNDPSEKQTSWNPVTWENSMGGPLGEALNSTSNSVEASRSSLMLNLRNSEVWDGHSQLGSSPTGVLQKTTFVSVSNSSAGSSPRAGNKAGGSSLPDDVPCSIPSS >KZM87892 pep chromosome:ASM162521v1:7:21770608:21770865:-1 gene:DCAR_024993 transcript:KZM87892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRDDRGEFIRGKNLRYAGTVNVMEAEAIGLQEALAWLEDMGVQNAVIERDSQLVCSRTKNINLRLVMSLNSVVPREKQALIIK >KZM88222 pep chromosome:ASM162521v1:7:25659724:25660212:1 gene:DCAR_025297 transcript:KZM88222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAEPLLKLSCTSVKDEKSCPEHEVARKKLKTVTSSSAALSDDHEEDHHKNRAAKAAPKNIISSTSGDHVEKCYQYNAEDDESSEDEEDDRHFNTRPSRYVAVEEERHFSRRSKRREKGSHGSRSHKRRVFILKFETPCHVKSYYLLELISFTMRRPRPSF >KZM87829 pep chromosome:ASM162521v1:7:20932361:20939433:1 gene:DCAR_024930 transcript:KZM87829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLVRRKLAATASSSLSGSLGRVRSAVVLSHANQALYLKRGSEHIHSFCNQGELVEAVVPYMGESITDGTIATFLRKPGDHVDIDEPIAQVETDKVTIDVASPESGIIKEFLAKEGDTVEPGTKIAIISKSSEGVTHVAPSEKPPSKAASEPSPAPAEKEKMDKQMPKAETTLPKDKPKVPSPPNSRSSPSEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNDVDMTNLMKLRSEYKDAFLEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNAERMNFADIEKEINTLAKKATDGSISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVNHPMVVGGQIVSRPMMYIALTYDHRLIDGREAVFFLRRIKDVIEDPRRLLLDI >KZM89211 pep chromosome:ASM162521v1:7:35001527:35002603:-1 gene:DCAR_026286 transcript:KZM89211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLSAIGFEGYEKRLEIVFSDPGIFADPLGKGLRSLSRNQLDEILAPAECTIVGSLSNELVDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPPILELANAISLSVRSVKYTRGNFIFPGAQTFPHRSFFEEVSVLDSYFCKLGLASKAYLMGRAETKKWHVYTASAEPAKHSDTLYTLEMCMTGLDRTRASVFYSTQSSSAVEMTEESGIRRILPDSKICDFQFDPCGYSMNAIEGDAVSTIHVTPEDGFSYASFEAMGYNFKVLKLNHLLERVLTCFEPTEFSVALRTDGEGHDIGIHITPDVQGYSCEEKSYQVLGKNESIIYLSFTQVSGCASPTSTLHCCWSEIDKEEAVK >KZM88952 pep chromosome:ASM162521v1:7:32543975:32546151:-1 gene:DCAR_026027 transcript:KZM88952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPEFKSEMQFSSYLPVCSTTKDLPACGSRRLLLNNDQVKTFGHSRTWHHNDQVQIAGYGYNNLCPSSNASQLQDHLKEIVRKTMLEHEATFKNQVSELHRVYGRQQELMDETRMKGLTGNNIEGKISKTNPIMFGDLADWNKTFLLNQKGPLYSSSFFPLGTSIMAEPHLEQSYAQMPNMVFQVQTKEHDHIQIGKDLEIHSKDLHLKKLSGQREHTNHLMSSTKPFDTHASSSKNATLSIDNNCLNNSICLREKLASNPRYQIDLNSYPNEDESSQVEVEIRNAANINLEPPVSPQNKETSPPRGKSVEKQPSESEKDDKYQKDLALLAAEALVLISSNSDQCLKWFAEIVTSAENHLEENETVQCHVAVSKHSELVDDGLNNFAALTPLMEETKLGEYNSTGNRKRKALTTLSSPRRREKTRQIMSQKAMQGDILPRMTSLSRQEVSEETRTISWLRKNESKARLGKRKSSKNERQKGKKRNSEPTLLQQTADAKLDSLKRCLPDWGRRNKRQTGHRRPASDHALRLAVLRFKGPLLESSFI >KZM89023 pep chromosome:ASM162521v1:7:33151433:33153616:1 gene:DCAR_026098 transcript:KZM89023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFSKSTDEATSNVKLVVTKKRGSTLAELKQVKLDVRRRQWLSQGKNGGCKEEPKGAAEIHAQNGEDTRSLKVAPTDGEKIGSMHGNSSGSSSKDPSSSVTGSAVESNCSETNFSESSRSCSNGTSNSKNISEVQEDGGCVDDWEVLADELAATDDKVERCDPKSESLLEKRRVVKLDHLPEVVKLPISISPMDVLKPKIENRDESSQSLVENNNVSPLNHQAEVVKQSTAIVDGFKPKPKFGEEWRGCNAFQSKPKPGFGQAWKQRPEKPDPKCKSSVEDISVAQSDHLPKVVKEASAAMDCRKAKPGKGLAWRNNDTFRPKSLPNPRKKHTFPVKEDRHHGRGGTKSGNKNIASDPTPCPICSEDMDSTDLSFLPCSCGFQLCLFCHKRILEQHCGRCPGCREPYDNNAVKWEESSDKLGDCSTLRLARSC >KZM88679 pep chromosome:ASM162521v1:7:30339393:30340832:1 gene:DCAR_025754 transcript:KZM88679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQEHEPLLENPIFFQGLFCEEHFEEQEDLGGLSFEQEISTTLSSDKDISLFEHDFFWENDELLCLLSKEKLALFKFEDESVMQSRKEAIDWMLRVCSHHGFNGLTLALAVNYFDRFLASFNLHNDKPWMGQLVAVACLSLAAKVEETQVPLLDDLQVDESRFLFDSRSIQRMELLVLSSLEWKMNPVTPFSFFDHIIRRLELESCPHWEFLRRCDCLFHSIVTDSRILCYLPSVVASAIMCLVIKEVDDNNALEYQNQLLGILKISKERVDECCNLITELLGDCGYKNFVNHKRKYCPVPSGPNGVINGYLSCDSASDSWLAASSVSSSPEPRFKKSRAKEQQMRLHL >KZM87886 pep chromosome:ASM162521v1:7:21721638:21722029:-1 gene:DCAR_024987 transcript:KZM87886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFLVFNLPTPMEGGKHCYSLALVSGGISEDIINKTKIACQANLFHVDILRGVRMHIDKVINDIKPGDLEKAQLDLARSYCRQKLISPDEKKPKKEKRQISG >KZM86173 pep chromosome:ASM162521v1:7:302518:308837:-1 gene:DCAR_023307 transcript:KZM86173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAGMMMILYYVLSRRLSSKVGEEDNDHDENSKASRSRRIVRRTAHAPATWLETISTLTETLRFTYSETLGKWPIGDLAFGINYHMRRQGNLQVASVYAGDDCVELKGPYILADLKHYLTLLTSCILFSKKPFPVFLDTAGYNQADVLLQKPKAGILKPAFTILRDRNLKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLHDGGVSNLVLGYAHCGMVAAARWIAKLSSPFLLKALEEFPSYKVKIVGHSLGGGTAALLTYIFREQKELSSTTCITFAPAACMTWELAESGKHFITTIINGSDLVPTFSTASIDDLRSEVTASSWYNDLRDQVEHTRVLNVVYRSATALGSRLPSIASARERVAGASAFLRPVSSSTQASYIVQHSGVMKRAQSVAQAVVPSSSTLSSWSCMGARRRIVVSSNTKEEDMPETSLISGRSRSRSPQSPKTEIVGVSDAHHVKLNAFRVVSGNEETDEEEELLSESRVKNSASNMEEITEGELLYELEMELQRGENGANKKAQEEEEAAAAREITEEEVELAVAADTIPPPSTSEIAENLQFYPPGRIMHIISVVSTDASDSGQDHLTEEHEHVGIYETNRELYSKLRLSRTMINDHYMPMYKKMIELLIRELENDNDCICSI >KZM88077 pep chromosome:ASM162521v1:7:24178489:24179820:-1 gene:DCAR_025152 transcript:KZM88077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASRAKLREKSDIEVLVLNWNKNIIGIFRGDGEHKDDGVMKGLEPHPNLKELIIYCYMGKVFILDHNDEQLGENLVGWCNRCTEFPSLGLDSAATKTVTTMYPSLMTITLYRLEKLEEWAEEAMSTGSNDQSVFPKLESLEITYCPRLRKIPNSCFPSLKELKITDLDSSVILETMSKKVTSLKHLELLNIRNGGDSSLYSSSSCSNMDFIIEEMLKNNSLCLTSLRLIDCGGLKCPRPSTINLVEGSVGLKDIEIRKCPSSFLERVFAQTRSSTLERLSFGPFSEDLDEFPWPFSSSCVVSFHSLKKLSLDGWKKVESIFGQLDNRLSSTFPALTELRINNFKGVKALSDSIAKLPSLETLLIFDCENLKTLPLFEKSHPLQLLETEGCPLLREKYKKGWPEWFKIQHIPLIHW >KZM89208 pep chromosome:ASM162521v1:7:34983583:34988590:-1 gene:DCAR_026283 transcript:KZM89208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLPIVSNDGFLISQRNIRASSVKIESWGGYRGVSCSFANSCCYSGCFPILGLYNCSKTRQRLVLDSKIRSVSNEKENTKPHLGNRVNGGLKKKFLIRLRPRLRLLSRRLRMGSVKCLLDRVSTYVRKNLKRVTISAAVTVVLGLCFLFLKLTAVPSPKFVPYSDLVMSLQSGSVTRVLFEEGSRRIYYNTDVQSGENAEQVEGESVLRTAEDGKSENGVEGIEASRNSMSKQSSMLKKLAKGKPSAPLWQYSTRKIDHDESYLLSLMRESGTSYASAPQSALASVRSIILTILTLWIPLTPLMWILYRQLSASNSPAKKKRPSNQVVSFEDVEGVDAAKVELMEIVLCLQGSIDYTRLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFTVSASEFVEMFVGRGAARIRDLFSVARKSSPSIIFIDELDAVGGRRGRSFNDERDQTLNQLLTEMDGFDSEVNVIVIAATNRPEALDPALCRPGRFSRKVLVGEPDEDGRRKILAVHLRGIPLEEDTGLICDLVASVTQGFVGADLANIVNEAALLAARRGSECVAREDIMAAIERAKFGINDKQLTRNTISKEIGKLFPWMPSFIGKNDTQRNGTQGPLGYQTLS >KZM86423 pep chromosome:ASM162521v1:7:2679424:2681775:-1 gene:DCAR_023557 transcript:KZM86423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSNSSFQKVGSQRDARSTTVVGYDEDARILIEKLKGGRKQLQFISVFGMAGLGKTTLVRKVFTEPLIEYHFHVRAWTTVTQEPKKRDLLLGILKSGFDVDNKNESDMELRATLKRRLSGQRYLVVMDDIWDSKDWNDLMLCFPDDNLGSRIVFTSRLADVPFKVHPGCYKYPLRFLSHEESWNLLRYRVFLEDSCPTSLIKIGQEIARNCKGLPLSLVVMAGILASDQTANWWNQVGEDTSSNVSNAPEQHMKTVELSYKHLPNHLKPCFLYFAAFPEDYEIPAWKLILLWMAEGLIKTTQTTEKFPEDEENSLEKVAAGYLNNLISRSLVMVSKTGSNGGIKACIVHDVLRDFCIQRASKANFLQQLPRYQLHVSNTPTKSTVKRVYSGSDSEKNFILELTRYFQLENLFSPYETLLNKSTDSLKVNTILCCNASLSFYADCYDFYALGAFRVLRVLDLSGTGLSNVPHVMVSLLTLRYVALCIKPVDDHRGFVDLSLPNSMNSLIFLETLIVHNRKENGIHVPYETWMLNRLKHLILRGNIVHQDIPDSQMHCLSNLKTVSTQIPLDQCKKILEYTPNLRKLGIRAALYLSSTKLRIPNLDKLQHLENLSLQNDLNPGYDLTDNLLHRELTSPFMFPATLKKLTLVCTFLKWDEMEQIGMLPNLEVLKLQCEAFRGEKWETTDGGFCRLKHLAFKFIKFVQWSAYSEQFPNLQHLKMDECTQLEEIPIGIGDIYTLERIEIRNCNYAVVQSAHKIIEDQLNKGNDFLKISVFQGMQTSV >KZM87732 pep chromosome:ASM162521v1:7:19899949:19902859:-1 gene:DCAR_024833 transcript:KZM87732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDKSHNFPSGNLLIEERREKAENVLPSQQITTSSRFTALNCIGDETLSTSSAYSLLGVPPNCSPSDLKAAFRTKVKQFHPDVRRDGEDSDIMIRRVIQAYEILSQCRKSEIIESECLDPFDTPECEAFDLFVNETLCAGKGCPSSCVMRAPNAFKYDSTTGTARASSQGHEEDYQVQLAVGLCPRNCIHYVTPSQRIILEELLDSILNMPFDCSAEAELLYSLIAKAKFENNRYQKPKKQSKVSTEHVDWF >KZM87027 pep chromosome:ASM162521v1:7:10250523:10251656:1 gene:DCAR_024161 transcript:KZM87027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMLLKRARKLGQLSYLYCPSCGLSSKASKAFGFVNEGTPSSSVEYKRNVGSGSGSSSFHPFSLLSDSWDNVKDYKIELVDNQTWGVSSGLADAWKGGGQIKDLRNDVVDEFETYVPSNNNDQDFYDIEEMRIRGNLFYKLDKDSKEYEEYNHEFLKKKSSKNKDAQNEKKKEKQSHDSSPIADKSSKNKDGQKDSKMKMNVTDFSVRSGKLDNVNENYHLTSSLADIHGLRDGKKLRTPTFNQLTAAYHEPFCLDIYISKASVRACIVHRATSKVVAVAHSISKDMKFDLGSTRNATACAAVGKVLAQRALADDIHNAVYTPRRGEKLEGKLLVVLESVIKNGVNVKVKIKQRKFTKSGIAPAAKNFNTGSVSRQI >KZM88260 pep chromosome:ASM162521v1:7:26246443:26246937:1 gene:DCAR_025335 transcript:KZM88260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQHNAWRPQRQLPKRSVSVLRAWLFEQFLHPYPKDSDKHMLAKQTILSRSQVSNWFINARVRLWKPMVEEMYTEGELFCYYTNQEAPPVV >KZM86674 pep chromosome:ASM162521v1:7:5531900:5532061:-1 gene:DCAR_023808 transcript:KZM86674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQKCRLHENLFTDESNVSKAIGRHMTGHLRRLKKIDRNRTILKIGRCMEIG >KZM86516 pep chromosome:ASM162521v1:7:3655887:3656887:-1 gene:DCAR_023650 transcript:KZM86516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANELSSCGEEGSELRRGPWTFEEDSKLIRYITCHGEGRWNLLANSSGLRRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAAHLPGRTDNEIKNYWRTRVQKQARQLKINSNSKKFIEALQHFWMPRLLEKMEQNSAQPSLLSSPSTKETENSESGSKLTNSIAIGSSSQNSMAILEQTKSSDYENPLENNSYHVDSTGFAKESFRELDISDLESNEFSFSDCQMAKVDWTNDIAGAFWDIGESWEFLK >KZM89067 pep chromosome:ASM162521v1:7:33568189:33570373:1 gene:DCAR_026142 transcript:KZM89067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQIVLFGDSITQQSFSSGGWGAALADTFSRKADVLVRGYGGYNTRWALFLLHPIFPLDATTPPLAVTIFFGANDAALSGRTSERQHVPIEEYKENLRKIVHHIKECSNKVLVVLITPPPIDEDGRFKYARDGLHLTPEGNAVVHQEVTRVFSESGLSPSEMQLDFPHHSNIDPEAPEKAFEIRCN >KZM86539 pep chromosome:ASM162521v1:7:3959514:3960812:-1 gene:DCAR_023673 transcript:KZM86539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTIAISFSEPDERHAPREPRKGIENLPVEISHKILASLPVLHLVQCRYVSRALRQLSHEVSLLNMHLTRIGKKNPSLIFHCLDSTVNKLHFVELSDPICDNKTVYEVDIPFRTVMPDKVHILDSCNGLVCISDEFCRDPFYIYNPFTGRYKKLSKSKQFQKQTVEVGFGLDPINNEYKLIKMVYYDDPCTGLLSQNVFTCGFPSYPHSEVQVCDIVSNSWRSIGSIPWHSRRHSTGHFGSPHDIFCLNGRLYWLMGSEAQYGYIDINCVPRIISFNLSDEEFQVVKKPACASPRNIDVGVQPSPNVTFFYVHGIWATRVLHGYAVRALCFLKNGDVLLEYKDGKLASYDPQNGEITDLKLQGLPTRFNTVVHVGSLNWIE >KZM88950 pep chromosome:ASM162521v1:7:32533021:32533452:1 gene:DCAR_026025 transcript:KZM88950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLQNPSSEQQPANNQQAADSNQQQPGPSAAGPVGWIDHHYRRLKENAETYPYVWASYAVVYVGFGLWTTYRWRKLRRTEDRVRVLQARLHKLVEAEESATSKAVPDMTATSTAVPKMTASSTITKAGESAASTTVTDKAAI >KZM86794 pep chromosome:ASM162521v1:7:6937584:6939499:1 gene:DCAR_023928 transcript:KZM86794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGTDSLYFSPSSILTAGFQDSVVKVCIWLIPALIILRAILKSRTSSRLPPGPPRLPIIGHLHLLAPIPHQALYKLAVKYGPLYHIYLGNNPCVIISSPEMAKEFLKTNELSCSNRPESMASEYLSYHSQDFSYAPYGPYWRFVKKLCMSELLSGQSLDFLHTVRRFEIESMVKEMLQKARAVEAVDVGGVITRITNNVISNMIMSKRCSDTDDEAGEMRKMIKEISKLLGEFNPADYVWFYKFLDLQRIKKRLVDVRARYDRLAQSIIDERREIRKTQEKIAADKDFLDLLLDVYEDEKAEFRLNMDKIKAIILDMFTTGTEAVANVTEWGLAELLNHPMIMDKARQEIDSVVGKSRLVQESDLVNLPYLQAIVKETLRLHAASPLIPRQASEDIVIGNYHIPAKTNVLVHDWAIGRDETHWENALEFIPERFLKTSGENGITGSAGHMDIRGQHYQLLPFGSGRRACPGISLSLKVIQATIAAMIQCFEWNVQGEGNDSIPPVKMDEGRALVLERVHPLICIPVARLSPFPSI >KZM88628 pep chromosome:ASM162521v1:7:29795198:29799402:-1 gene:DCAR_025703 transcript:KZM88628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAPAAAGMDMPIMHDSDRYDLVKDIGSGNFGIARLMRDKQTKELVAVKYIERGDKRILNVQYCIPENIEISPECRHLISRIFVADPAMNKWSLLKEEATIPSYKFTIVLRLIYAVSLSDFEECNTFVLALKKRISMPEIRNHEWFLKNLPADLMDEKMISDQFEEPEQPMQSIDVIMQIISEATVPPPGLYDMEMDDYLDDLDSDPDSLDIDSSGEIIYAL >KZM89308 pep chromosome:ASM162521v1:7:35864665:35875003:1 gene:DCAR_026383 transcript:KZM89308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDGECCSTHLIEGDGTFNASGLENFIKEVKLSECGLSYAVVSIMGPQSSGKSTLLNHLFHTNFREMDAYRGRSQTTKGIWMARCAGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDVQKIWDSVPKPQAHKETPLSEFFNVEVVALSSYEEKEEQFREQVASLRQRFNQSIAPGGLAGDRRGVVPASGFSFSSQEIWRVIKENKDLDLPAHKVMVATVRCEEIANEKYSSFTANKDWLEVEEAVQSHPVPGFGKKLSSILDTCLSEYDAEATYFEEGVRSSKRKQLEEKLLQIVQPAYQSMLGHIRSRTLDKFKDALDDALKGGQGFAVAARYSTKAFLKFFDEESADAIIKQANWDTAKVREKVQRDIDAHIAAVRTSKLSELTTTYEKKLSEALSAPVEALLDGASDDTWPSIRKLLQRESEAAVSGFSTALSGFEMDEQDKDKMISSLEDYARGIVEGKAKEEAGRVMIRMKDRFTTLFSQDSDSMPRIWTGKEDIRAITKTARSASLKMLSVMSAIRLDDEIDNIENTLSLALVDSNSGSASNKAASLDALASSTWEKVPASKTLITPVQCKSLWRQFKTETEYTVTQAIAAQEASKRSNNWMPPPWAIVAIILLGFNEFMTLLRNPLWLLVIFVGYLLTKALWVQLDISGEFRHGALPGLLSLSTKFLPTVMNLLKKLAEEGQVPTSTNQRNPSLASKSFPSGSSDISSSASSEVISSENGTEYSSPIVHDKTQ >KZM87120 pep chromosome:ASM162521v1:7:11168534:11172125:-1 gene:DCAR_024254 transcript:KZM87120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKGRSKRSSGPWVSTTTTVVFVALCVFGVYMLTPGTLISTDTTNRMSVSKSSFQTTDSKQDTSTFQDNPGNLPKDAIKTDEDDNAKNSSSDQVLEVASADDQKQDGLAQIVADKKDQLSDEHAENLVKTAAAEIEHLDTKDHPTGENVKRQADAEGNSEGLKGTVSEEEQQRLNQQEVQLSEESSMTHNQESDQEISTAKSDDNRKAIQNTFETMEGQNEETKDEKQSGSKNDRAGHSESADNENTLEENKRKMQPESHDNLKDTTNKVSQENEQMEQQQRQDWQQDGIATDHEGATSKDQIEHDESTIDSTDSSDDRMRNQDTVREEKVQTQKQDAQKDQPVTTEDKGKDNKHHQRKRKKKQPKPSTVEDQSFATGEGGIPNESVESKKTWSTQADQSDNHNERKKSPSENQDGSLYGYSWQLCNVTAGADYIPCLDNEKAIRQLPSRGHFEHRERHCPEEPPTCLVPTPQGYKTPIEWPHSRDKIWYHNVPHTSLAQFKGHQNWVKLSGEVLTFPGGGTQFIHGALHYIDFLQKAAPEIAWGKHTRTVLDVGCGVASFGGFLFDRDVLTISFAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPGRVFDLIHCARCRVPWHREGGALLLELNRMLRPGGYFVWSATPVYRKGPEDAQIWKEMSALTVSMCWKLITIKKDKLNMVAAAIYHKPVSNVCYDERKRKQPPMCKDDDDPNAAWYVPLQSCMHTVPVDEAERGSHWPQEWPRRLNSAPYWLNRSQMGIYGKPAPDDFVSDYEHWQREVSKSYLSGLGISWSNVRNIMDMRAVYGGFAAALKGLKVWVLNVVNVDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSRLKKRCKLEPVMVEVDRIVRPGGKLIVRDESSAVGEVEKLLKSLRWEVRLSYSKNNEGILSAQKSDWRPESYEVASQ >KZM88275 pep chromosome:ASM162521v1:7:26410316:26411956:1 gene:DCAR_025350 transcript:KZM88275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFVPDQFVGSSPAPKSRMRRARSLNAASQGRGEWTIRKTLTLSDVDISHPFLTLPRQPVENYILVHLTQLERDQLSNREQVTVSTRDDDTGENYVMKLKWCGSYYNLIGKWGKLVRAKGLDAGREIRLRWVNGCLHFSVPLLQVAAEAPVQMIAPPILREHWPIRKVLSLSDVDTSHSFLPLARRTVEDHILIHWTQQQRELLRNEEQVDVNALDVDSGDMYAMKLIWRGNYYNLIGKWGKIIRRKGIGVGEEIRICWCNGCLNFSVPYERNVLLLPGQEQWPIKKALTLSDVDTNHPFLTLPGKAVEDHILYYWTLQARDQLRVERQLNVNARDDDTGDLYLMKLKWRGSYYNLIGKWGQIIRGKRLQVGREIMVRWDNGCLVFSVPES >KZM87103 pep chromosome:ASM162521v1:7:10978698:10978922:1 gene:DCAR_024237 transcript:KZM87103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKKSVIFCASIILFIVLVSEVAEADKFISYGAMYANGRKCRNRSGCIVRDTPANPYNRGCQASQRCRGGTE >KZM87688 pep chromosome:ASM162521v1:7:19408519:19409661:-1 gene:DCAR_024789 transcript:KZM87688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQRSDGEGAAEAVDQANGGYANKHEGSSNLMCLDDHMNFSSGLAHNLIADKSLDKMALPEP >KZM88153 pep chromosome:ASM162521v1:7:24991660:24993586:1 gene:DCAR_025228 transcript:KZM88153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEHDEIPEVKTESLMDKISEKFHGDGSSSPSHADFENKSPVKASSPPPVQSSMKSRIYRLFGREKPVHKGLIVVAAADVFLWRNKKISASVLGGATAVYAFFELLEYHLITLVCHISILALAIMFLWSNVSTFINKSPPRIPEVQLPEDLFLQIAAELRIEINRALAMLRNVASGREIKSFLVIIAGLWVLSIVGSWCNFLTLFYMTFVLLHTVPVLYEKYEDKIDPLAEKAMIEIKKQYAVFDAKVLSKIPRGTVKDKKKA >KZM86706 pep chromosome:ASM162521v1:7:6037626:6037820:-1 gene:DCAR_023840 transcript:KZM86706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVICGEIRSLEFARFGDKEWNKVKTEESIRRPKLIEKESGAKCGLQNHQKMVMQLKPNDSFSFF >KZM87174 pep chromosome:ASM162521v1:7:12077393:12078398:1 gene:DCAR_024308 transcript:KZM87174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQSSATIFVTALLAALHVAALVAAVDPSVSEVPVIEIYMHDVLGGNSPTARPITGLLGNIYSGQVPFARPVGFLPPKGVAIPNSNGALPTVNGNGIPLGTGLAGTAFAGNINNNGNNVQTQLGPDNLGLGFGTITVIDDILTTAPELGSQAAEGKAQGVYIASSADGSTQMMAFTAMLEGGEYGDSLNFFGVFRIGSTMSRLSVTGGTGKFKHACGFAEVRSLIPAGQHVADGVESLLRITIHLNS >KZM88379 pep chromosome:ASM162521v1:7:27435473:27438435:-1 gene:DCAR_025454 transcript:KZM88379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVCCCLRDECEDYADINSSVYRNCLCLRFFVQGCIHMRGEEQTNSSVTQGTGSFSSTTSENSLSDMYRSPPRPLPYDADTRYFRLQQDGLVSRREKGTSHSQEECNPLRISDSDADSEPLVAANKWNESTSEEESKEYDSKSAVRLSMGRMSGVAHIYTSSEDEDVCPTCLEEYSIENPKIITKCSHHYHLGCIYEWMERSDSCPVCGAELKIDLDDAAL >KZM88551 pep chromosome:ASM162521v1:7:29131330:29135150:1 gene:DCAR_025626 transcript:KZM88551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKKSTRFNVPGDTVSGTPSNHVEYDHGEVTRDVVDSVAVYTANAEDRKLKVSFGSSGVRIASMKNRQVSQEMKRLTSVRERPQKQSSRSKSGAVHALTGLKFISKSDGGFDWKKVEKMFDELTAATNGFLPRALFWECIGMHKESKEFAGALFDALARRRNIMIDSIDKEELKEFWEQISDQSFDSRLQIFFDMVDKDANGRISKDEVKEIISVSACANKLSSIQNGADDYATIIMEELDHDNLGYIMIEDMEMLLLQDAAEPIRGSDSKALSKMRSQKLKTKKKDVVISRAYKDTKYFVHDNWQRVWVLAVWLGIMAGLFAYKYVEYKNRAAYQVMGVCVCLAKGAAETLKFNMALILLPVCRKTITWLRNKTRLGVAVPFDDNIIFHQIITVGIAIGVGIHGLAHMTCDFPRLLHADKQKYRLMEPFFGKDQPPNYWWFVKGVEGVTGISMVVLMTIAFTLASPWLRLRKVPRGNSLKYKKKEKQSLEKILDKLTGFNAFWYSHHLFIIVYVLLIVHGVKLYLTHEWYKKTVVVYPENLLALQVFKPEGFKYKSGQYMFVKCAAISPFEWHPFSITSAPDDDYLSVHIRSLGDWTAEIREVFSKVCQSSPTRKSEVLRAEFNQGDKINSSVKVSIDGPYGAPAQDYKSYEVVLLIGLGIGATPMISIVKDIVNNIKAKEEEDNNSSPLTKESPGHSSARVINEVTDVDKNGVVEMHIHCTSVYEEGNVQSAVIAMLQSIYYEKRGIDVVTGTHVKSHFAKPDWQKVYQGIADKHTNSRVGVFYCGPPPAAVKLKKLAADFSRTSTEFEFHKENF >KZM86465 pep chromosome:ASM162521v1:7:3064987:3065613:1 gene:DCAR_023599 transcript:KZM86465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEHVVLYKFKPEAEPSQITALMNGLNDLISINQVVHLSAGPLQSTRSSSFAFTHMLHCRYNSKQDLKAYAVHPAHVGLVRRTTIVDDIMAIDWIAEDVSALAPGSVSRVMFLKLKDGVAQKNYVLNVIREVGSKLSSIREFSFGENFSPDRAKGFSVAILMVFDAVEVLDSNVEVLDLLNEKLSDLLEDDLVVDCIIGTPQPGSGSS >KZM87046 pep chromosome:ASM162521v1:7:10410802:10411221:1 gene:DCAR_024180 transcript:KZM87046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGALPESMGGMKSLEQLNVAHNNFSGDIPASICSLPRLENFTYSYNYFCGEPKACLRLADKDDRMNCLFDRPLQRSAYECKAFYSHPVDCGASGTCPARSPPPPPPRHAYASPPPPPWHAYASPPPPPRHAYAPPPH >KZM86450 pep chromosome:ASM162521v1:7:2920804:2925687:1 gene:DCAR_023584 transcript:KZM86450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYRDRTDTCTYPDRSFIESPKDHPGSNNLEPGTSENKHTNTSSWSGLLVSAFSNFEKYSAPKLFTKRESSTKRHGWTATVKRVMVGATMRRFHERVLGLNKNGISISNSDIWLLGVCYSLSNEDSSADPIQSHGFAAFVEDFESRMLMTYRKGFAAIGESKYTSDVNWGCMLRSSQMLVAQALVFHRLGRNWRKSLEKPLDKDYIEILHYFGDSEESVFSIHNLIQAGKLLSPGCWVGPYAMCRTWETLARCKMDDTELENQSLPMAMYVVSGDGDGERGGAPVVCIEDASRHCREFSGGQVDWSPILLLVPLVLGLDKINPRYIPLLAATFTFPQSLGILGGRPGVSTYIVGVQDENAFYLDPHEVKQVVDIKRDNLEADTSSYHCNAIRQISLELIDPSLAIGFYCRGKDDFDDFCSRASGLAAQSNGAPLFTVAQSCNSMKPARHCETSCDSAEVHDDDSFSAVPTADADNGAQEDEWQIL >KZM88513 pep chromosome:ASM162521v1:7:28467275:28469549:1 gene:DCAR_025588 transcript:KZM88513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARSLWLYFTLLVFLALVLQASAETDEHETKSLQDLHRTLNIPSQLKGWRTNGGDPCAESWTGVTCKGSSVVQLEIPGLQLGGNLGYQLSDLRNLKKLDMSSNRIQGPIPYALPANLSYLNLAHNMFSQNLPYSLTNMKHLKLLNLSHNSLSGPIGDVFTGLTNLKEMDIQDNHFSGVIPPSFRGIPNLWLGGNRFHRRTGDIPWFFPWFDFTPHITSPPPPSSEATNGSSPPYCPKKEQKTKDYAPGAIICIICGVALFLAAILFAVARIKQRVAAAVFLAAARIQQRGRSHRRQESSPGSGQSLPSTARGEIDISSSCRFSFFMF >KZM89140 pep chromosome:ASM162521v1:7:34246861:34248492:-1 gene:DCAR_026215 transcript:KZM89140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKAFLNSPVGPKTTHFWGPIANWGFVISGLVDMQKPAENISGNMTGALCIYSGLFMRFAWMVQPRNYLLLACHASNETVQLYQFSRWAKSQGYLQGKDDKAVSQ >KZM89191 pep chromosome:ASM162521v1:7:34811411:34812532:-1 gene:DCAR_026266 transcript:KZM89191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYLSTQSSVNAPSLSESTHSSLPDLSSYEAACRVDPELRSFDSSVHRRTTGLLTSLAAGVEVRSVSLDSLKDVTESLLEMDQDVVKVILECKKDIWKNEELFNLVDEYFKNSVETLNFCNAVSGCLKRARDSQFNLNVALRKFEEEKRNGGRNFEGTLREFEKLKGNGENFGGEFVVLFQSIYKKQMVMLSKLQTEKLRLDRKLKSMKKWRKLSNVLFVVTFTTILICSVVAAAVAAPPVLTALAAAAAVPFGSMGKWVNSVWKKYESEVKEKQEFIGAMEFGTFIVIKDLDNIRVLVDKLETKMESLLYNADFALKDSEAVELAIDEIKKEVGGFTQTIEDLSHYADKCSRDVGRARTMILHKMIKYPSA >KZM88592 pep chromosome:ASM162521v1:7:29533278:29533454:-1 gene:DCAR_025667 transcript:KZM88592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFPGALGTSASLALRLGQAIFSVTSLLFMCFHIQFYSYTSFWLVLSIPPAFSRQF >KZM88815 pep chromosome:ASM162521v1:7:31506180:31508903:1 gene:DCAR_025890 transcript:KZM88815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNITNVTVLDNPASFLTPFQFEISYECVSSLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVFQADPPNPSKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYDDEKLKEEPPQKVLIDKVQRNILTDKPRVTKFPINFHPENNEGGEQAEQASPSHPAEADAVEEQTPTLLEPPADKEKTIE >KZM86859 pep chromosome:ASM162521v1:7:7759535:7760900:1 gene:DCAR_023993 transcript:KZM86859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRRVQTFNLNDTAVSSPNKAGSSTDFRYLSKKNLGGVIFGCTNSTIKECLHKQLFGLPASHISYVSNIAPGLPLFLFNYTDRKLHGIFESASSGRMNINPYGWTPDGSGRTLYPAQVEICLRLQCQALHEDQFKPIIVDNYYSATHFWFELDHVQTNKLMSLLSSLAVAPSTSLPQNVAKWRDLFEVIPLPHKGKGNQVNEANLDVDFYQLYESNRDMQLATSDVVPGFSGNSQPLELHLKKGTVPEEEKEHILMKLKEMTIAREHTDSSLKKNVEDAAIVNDMSMEHSSMLKRNEISREKAEPVNTSDDSEIITQAWDLIERLEELEAFKEEQLRRTEHAEKKLV >KZM88559 pep chromosome:ASM162521v1:7:29188699:29190250:-1 gene:DCAR_025634 transcript:KZM88559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRSPSNLKSVMGRLRMGGSPSSTFATSTTPKRSPQTLSAHQSANNPRTVKRSKGEWVPVYVAVGLILVAAGFGAHTVTQQIGRAPGVYVKKSRRESLPEVDDPDQQLELADRFVNKSFFRKIAHVQDYDLPHHNMPDPIRDDVYAKRPRAETLKSVGIDPKAH >KZM89150 pep chromosome:ASM162521v1:7:34349893:34351485:-1 gene:DCAR_026225 transcript:KZM89150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSLLLCLSLCLTLLSFLLTFFLSRKNPISYPLIDSLFVFYKNRYRLIHASLVAFYKNRYRLIYWYTELLSESLIGSLVAFYKNRYRLIHWYTELLAESSSGTIVVRRLGAQRTIVTANEKNVEYILKTKFSNFPKGKAFTEFLGDFLGYGIFNVDGEMWKSQRKLACHEFSTRCLREFVVKVLEDEVENQLVRVLENAVENESVVDLQVVLKRFAFDTICKVALGFDPSQMDSSETDRLACAFDTASMFSAMRGGAMVNAVWKLKRWLNVGSEKRLKEAVAVVHDAVDEIILVEKNKMRLNRGENSENSEKSLLWRLLSAGIDDGLVRDMVISFLMAGRDTTSSAMTWLFWLISQNQDVEERLLNEILLSSEELVFDELKEMRYMKACISESMRLYPPVVWDSKHAANDDVLPDGTVVYKGNRVTYFPYGMGRMEELWGKDRLEFRPDRWLDESGGLKMVSPYKYPVFQAGPRVCLGKEMAFIQMKYAVASVLRRFVIKPVCLDQPVFVPLLTGHMAGGLKVRVFKRV >KZM86842 pep chromosome:ASM162521v1:7:7531165:7539450:1 gene:DCAR_023976 transcript:KZM86842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVCMNGLCGATFGDGKRGWPLRSGGFAVLCHSCWTLYEQLAFCDMFHSDDSGWRECISCGKRLHCGCVASSSLLEILDSSGVICIRCAKGSGDQPKGFESPTGFGTSVEKYVGETHFASTDNQINVDCIHGINLKQFNNLADGDGPKQFLPSQDGNIVGSHCQMKQEGSIPPSADTGITCFSNFGRLSAGSSYDAKTKVCEGKEYSDVKDIYGSAVQTNLTISLATPSLIQHSSPTAVCEVVKSTKTVSSFQSESRTSHVLPKASQPDVTERLDPNANMISPIRVARPPVEGRIKNQLLPRYWPRITEQELQKISGDSNSTIIPLFEKILSASDAGRIGRLVLPKACAEAYFPPISQPEGIPVRIQDVKGEEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLLMGFRKASNNLMQDTHFSGKHTGSFASEPFLSGVIENLPAMSGYSGLLQSMSGGTDPHLKVFSKNLSSSSGDVIWHVTDKPGGKRIIDSLPPTLLAPEKKRSRNIGLKSKRLLIENQDALELKLTWEEVQEMLQPPASVRPTTVTVEDHEFEEYEGPPVLGKRSIFTVRSTGEQEQWTTCDGCSKWRRCSCSAPDELSPWELEQLFKLNNDFKRRRITVFDQMSTHNHDSNFPGVLASSSVQGEVTDLGATAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMLRKKKRQSDREAEIAQRNQFLWGPKEEVEVDSTSQGRRLLNLSENGSRSGSEPQAYDERNNQVDRAINANTDKLDLNFQPNSGEDLPIKSTQVSMISLVQVATRPLEMYLKQNGLTSLIPEQQTSSGSHVPPQDITESEGHPHQDQSLAQEDPPGSDDKVSEAGQTGTNLDQP >KZM88624 pep chromosome:ASM162521v1:7:29771052:29774948:-1 gene:DCAR_025699 transcript:KZM88624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVRRPREDRDVYAPNECPTYTSPELFTIKLFHGGVMSGKPKKYYGGDFDYFDCYDGDTLSLLELGHMLTECGVLGAYIYYYKLPTTDWLVPPSRLIHVYVVALQPIFGDPPVEIESQEFNPAEIESLEFNPAEIESQEFNPSQVLLLEYVEGDKGCDLGGPQEGYEGGDQGAGFEEGGGDQGAGFETNEEGGGDQGDGAETNEEGGGDQRAGFETNEEGGGDQGAGAETNEEGGGDQGGEADNNKEASAHDKANGCEKVVKRRNKKTKKDDIEEGTAADDEGNEDAAGDTQTDAPTEGSQGGVFNMQQPHDSTARPSPLGIDPLQFSTRSNATVTSLRNLELAKRRREGRAKQKPLWKH >KZM88801 pep chromosome:ASM162521v1:7:31399160:31400275:-1 gene:DCAR_025876 transcript:KZM88801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKWSELEELTLLSKYSELLSAGTLAKLRTREKKFKPIADHVNSVHHLIDPIKYPFRWSWRDVSIKVQNMRHQYLGVKQKIRGLDGEFNWKDGENHWENFMKYKEVFGDVELEVKGDSFKRFGDEGVDLFGDSRNGDDGDDDFDDLLGEYGDDEDEEEGREGLERFGRGKKGFVGRRLGLLGAQVLELRDVVTRREERRREREWSREDGEVERDERRREREIMKEMRRTERDEEVEVKEVELDEREVALARRERDWRMRMEREFDEERVRRMKVEEKWEEEEMEWREKMVKMQIEHEKQMMQMHADACQNQMQVLQVMARVMCQFFGSGNDGLGAGLGTLPHQILQNLQHPGGLDDGVKPDANSPSEFL >KZM87633 pep chromosome:ASM162521v1:7:18790159:18791930:-1 gene:DCAR_024743 transcript:KZM87633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQCASIDSGFKDLFDWQYFIETLKDDIHIVEALPPAYAELEPFSKTPISWSKASYYKLEVTPLLKQHKVIYFTHTDSRIANNGLPNSIQKLRCRVNYRALKYSAPIEDLGKVLVSRMTQNGNPYLALHLRYEKDMLAFTGCSHGLTAEEDDELRRMRYEVSHWKEKEIDASERRQLGGCPLTPRETSLLLKGLGYPSSTRIYLVAGEAFGNGSMQHLVEDYPNIFSHSTLSTDDELRPFRNHQNMLAGLDYVVALQSDVFVYTYDGNMAKAVQGHRRFEDFKKTINPDRMNFVKIVDDFDQGKISWKKFSSKVKKLHKDRMGAPYMREPGEFPKLEESFYANPMPGCLCEKNR >KZM88483 pep chromosome:ASM162521v1:7:28241829:28242852:1 gene:DCAR_025558 transcript:KZM88483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAFFDDQSMSRSGSNDVTQSASAPISPVSSPPKRRPEKSFSIAKSRHESITSASESVVELEMLLEAYFVDIDSTLNKLKVMKEYIDDTEEFLDFKLDNIRNRLIMFEVLLATAAFIVAVFGVSSDFETYMFQYSKFKWILIGTGIMGFFIFSSVLWYFRIRKPFWMT >KZM89035 pep chromosome:ASM162521v1:7:33249161:33250081:-1 gene:DCAR_026110 transcript:KZM89035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHYSAPSFDARQEILRMEVPKLGKEAADKAIKEWGHSKSEITHLIFCTTSGYDMPSADYQLTKLLGLNNSVKRHMVYLQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITTITFRGPHIHSLLPQALFGDGASSVIVGSDPDPLTERPLFQIVFAAQHLVPDSEDTVRGKLGESGLMFFLKRNITTLFAGNMEKILKEVFEPLGITDWNSLFYITHPGGPAILNQVEHVLGLKEEKMWASRKVLSEYGNMAGAWVFFVMDEMRKKAMNDGRVNTGDGLDWGVALGFGPGFTVETVVLHSMPVIA >KZM88776 pep chromosome:ASM162521v1:7:31228106:31228312:-1 gene:DCAR_025851 transcript:KZM88776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSQSVESCKWTSEGLKVSQGKTRVVFVKWQRHSTRRGLKLSFPKLEKGINYYKMVSQVARELPSQ >KZM89108 pep chromosome:ASM162521v1:7:33903411:33905124:1 gene:DCAR_026183 transcript:KZM89108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLRPLQQEDARMLLKDLLFALLVLILLLFLVKWLIFNASVRKKNLPPSPARLPVLGNFHQLGLLPHQSLRAMAQKHGPVMLVHFGSVPTIVLSSADAAREVMKIQDIAFCDRPESSIGRRLLYDMKDISVAPYGEYWRQLKSIFVLQIASNKRVQSFRNLREEETGLMMSKIKDMSSSSLPVDLSELFLTLTNDVSCRSAFGRKYSEEGNGREFKRLLREFLELLGALSFRDFIPWLGWVDRISGLDARLDRVFRRLDDFLQDVVQEHISDQEIQLGESNLHVEHKEDFVDILLRIQKETTHGISIDDDSVKAVLLDIYSAGTDTTATALEWAMTELLRHPVVMNNVQKEVREILGSKPDIKDDDLEKMKYLKAVIKETLRLHPPVPLLVPRAAREDVKVNGYDIAAGTMVITNAWAIGRDPETWDEPEEFLPERFLNSSIDFKGHDFQLIPFGAGRRGCPGIAFAMATNEFVLANLLHKFDWKLPNGTTEENLDMCESTGVTIHRKVPLLAVADPRIV >KZM88439 pep chromosome:ASM162521v1:7:27897780:27899996:1 gene:DCAR_025514 transcript:KZM88439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLLEPIKEAQEQIRNALRLAHVTDDRMKPTAKERRRSRALSDYQLGMRHILRLLSNAQKSTFVIPESPTHVDIALVEEIDSMEQKFHNMLPPDLRSRKDETNDLAMNHEVFVKCYLDSLKELETAMTSKYNEIKTEEEAQLRQGKARAKKERRKKRASQQQEKATTPLPSPKKSFLYLYVQRCERLSRLNVTKLSEAPLFELVCDFQRSHPRDCLSPVVCFNVESDFFMVGDVFIHHKQRPKLHRPPFLDVLSVDTVKSSSFIPCPPTAPRLAGPKVDPVVVTLRGKIYVLALHPYPDQPSFEVFADEKWETLPPPPFLRCTEGDDDDEDAYLVASRNYFLSLYAWDHKIVVCLRKGMSYCFDTKAGKWTDMRHIYKDSKVQPVLQCVAEYDKFLIAKPFFSEELLVYELDADGFPQFYQNLDGLEEVFTDSRILSSSNAFIIPFDDDADKFCFICSGPAPCTDLERNVDFFLRVVVIRMTISNLDGKKKLTAVLEAHQIYPFYRLVSSSFQQHICSAFLK >KZM87003 pep chromosome:ASM162521v1:7:9740832:9743948:-1 gene:DCAR_024137 transcript:KZM87003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLDESTYSVRKAGSLPAAVSTSQGAVLDLSNGVGKPSAAPLVDLLDLSSDEIPVPNSSGSDFLHDLLGVDLSIGSTQTGTNQVQKSGADVLMDLLSIGTLPSQSSLSSVDGLSSDKDNSTSIGALENLSSHTTPSVQASSVSGGFAMMDLLDALPPSEKKLEDSGPTYPSVVAYESSSLKITFNFSKQPGNVQTTLVEANFVNKSSNVFTDFVFLAAVPKFLQLHLDPASNNVLPASGNGSITQKLRVVNSQHGKKSLVMRIRIAYKVNDEDVKEEGQISNFPPGL >KZM86784 pep chromosome:ASM162521v1:7:6846069:6852868:-1 gene:DCAR_023918 transcript:KZM86784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLVNDSLSTRPLFHHHHISVVSTTCSLRRHHRRRRNLPQPSKPSADSNNLQLTIDIDYLSPLTLQTRLNKLIASSTDAYHDLKTLVSVDSGNHIRISCRKSTVIFLGYLAVWGIVVVLGFRVLVKLGGLVRNRLEGRGGEVVITRRDRSLGGKEVVVGNVSRGGGSRVLESPLESREFKGGLFKGISRNWGKRKEKQLPEWWPKNSSGSVTVVDDNEEYQRQANRLIRAIMDYRMSGKDIWMDDVVQLRQICRVSGVKVSIDTANSRDSLYRTAVDFVLNICSSVPDPSAFVQIIGEDAREFIAGLADNIVLEKDRAARMVTAAVAARTRSWLLQAWALEMQGKHSEAVRELSKLSLTHSIFPPEESSPEMEMVARGLEKHLKVDQREFLMNMYVKVCGEETHRSVAEALGLVRYLILSWLLH >KZM89077 pep chromosome:ASM162521v1:7:33620473:33621567:-1 gene:DCAR_026152 transcript:KZM89077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFKQSDLDELRNSSRYLSDVFIEFYFTYLSSIHPSDLILFVPPTISFLLSNFNDKKDVADCVRPLEFHTKDLIFFPVNNGGYHWSLLVYNRELNVFAHHDSLGGLNNEEAQELYLAVRHCVHKKRSYRFKIEFLNKRRLMEDSCVPVFHKAVSPRQSNGYDCGLYVMVMAKAICRWFCSAVREVNWLREIEKIDDGVGVTMRPLVLQLIREASEGRLGAVLCSIEEERRDDKVEELSNDELELCKFWAGFDDSVESGAAIRPSTSCGDDDPLSIAVEKTVADDSPSLMEEETKDGKIALIKGDEAVNDEVPWFSSCGSASEEKDLEKLLDSDKSDSSHCRITAFRREYLTSWPPEERSTGDK >KZM87798 pep chromosome:ASM162521v1:7:20588350:20588583:1 gene:DCAR_024899 transcript:KZM87798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLMLKNRDSIASSKPLQLRNAASASTLGSSVPPVAFKDLVNSVDQFLCEAIQNLCERISDDYHSFTSRFSCVLSR >KZM87497 pep chromosome:ASM162521v1:7:17391520:17393926:-1 gene:DCAR_024631 transcript:KZM87497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAETEPPSAGDEANRVARLPRWTRQEILVLIQGKRVAENRVRRGRMSGPAFGSGQAVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWETGREAEATESFWVMRNDLRREKKLPGFFDREVYDILDSSDDNAAAANPNPLPLPPPPAVFDSGRSAAADDGLFSDSDQQHHDHDIVNPPPLALPLPSTSDPDVAATHEKQPSLNPDVATTQEGRKRKRPASEGDGEMHLQHQLIETLERNGTILSSQLEIQNNNFQSDMELRKDHGNSLIAVLDKLADALGRIADKL >KZM87214 pep chromosome:ASM162521v1:7:12523041:12524209:-1 gene:DCAR_024348 transcript:KZM87214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRTQDNEILGLSEDTMKTYASNANALQISPIKPGVDYGLHRKKSSATIRSHAANTKPTKQTPKSAGKADFRCWNHLPADLLRPDESMFTYFMTMAQRLGNQDAEFYWVCKSVVLRNHVCYDILDISYKIIQDLSTRGHMLSSLFKNMMDIYFFPKKRRHAVTAIAQMITTPTTRPQISSMILALKKIGGHIYPDTIFDKLSGSPICNAQIPNEDNHYTPDGYPVHPDQMDDFTCLHCKVVLLMGCLSAYLTQSIDFYLHLF >KZM88811 pep chromosome:ASM162521v1:7:31471227:31473777:1 gene:DCAR_025886 transcript:KZM88811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPDDVKQVGGDVSMDCDNSSNQKEEEVIKRKYGGIVPKKAPLISKDHERAYFDSADWALGKQGAEKPKGPLEALRPKLRPTQQPTRYRKSPCAPSEGEEASNDPSEDTTTNG >KZM86906 pep chromosome:ASM162521v1:7:8256303:8260440:1 gene:DCAR_024040 transcript:KZM86906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLISGGGENEEWSSYQYVGRSGSVLPTSSLAGTQVSVDEIRSASTVSHLYPPSLHAPLISSPEPHPNDQAIAYQGSYGGAYGTTSEFQRFLVIVFCVLYVALNFTEVVPLFMNFLLVSCIGRQTLDEVEIRELLIDHVGHRCCWGSRPARAWKISAVEDCNVYVGTLETFVEERETTVESEPYLSGKKLQKDKDLELGIWELDLRSEFPVLFTPYKESRTQIPHSESIVKCSDCGGRGDLVCPTCNADQEHQMTKCLSCHGRGLIAHRDGSDTMKTASTRKVSATSGAASVPDEVFHRARGVQLCNTQAYLCTPAFFADSYFLNKFSSEVIADRAPVAPTARVICERHTISVIPVTRVTMKDHNRSFSFYIIGFSREVYLKDYYPARFCWGLCPCLEWIKI >KZM88969 pep chromosome:ASM162521v1:7:32670963:32674791:-1 gene:DCAR_026044 transcript:KZM88969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKVKLGDDEIPEHLDAELVLSIEKLQEIQDELEKINDEQSDKVLEIEQKYNEVRKPVYDKRHDVIKGIPDFWLTAFQSHPTLCDLLNEEDEKIFKYLSSIEVEDSKDVKSGYSISFIFNPNPYFENSKLTKTLTFLDEGSTKIAATPIEWKEGMGLSNGVAHDKNGNKRPHDDESFFTWFSETEHRDNMNEVPDEIADLIREDLWPNPLNYFNQEADQVEFEGDEEENGSDGSEDDDEDGDEEDVDEDEDN >KZM86212 pep chromosome:ASM162521v1:7:670709:685201:1 gene:DCAR_023346 transcript:KZM86212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEGQLYWNYEYSSPCDGLNGLDYFLSKTQRVKYYRLNEDGKWDDQGTGHVTVDYLERSEELGLFVVDEDDIETLLLHRIRSEDIYRKQEDTIISWRDPEHLTEVALSFQETTGCSYIWDQICSVQRSLQFSTLTNETYHTIHSELKDLPPVELSTLPSILKAVVESSNADQCRVVELISHDQDFFRKLMDLFRICEDLEDIDGLHLIFKIVKGIVLLNSAQIFERIFGEELIMDICGCLEYDPELPHVHHRIFLKEHVVFKEAIPIKDPQVLSKIHQTYKIGYLKVVSMLKDDTTFIQELFARMKSPETPAESMKNLVFFLHEFCNLSKSLQMVHQLRLYRTDIFILFLNQDSNLTRSFVTRKEGVPLLGLLVKGMLTDFGDDMHCQFLEILRSLLDSYASGSQRDTIIEIFYEKHLGQLIDVITSSCPPSSSGQTISRSAGVQLNSAKPEILLNICDLLCFCVSHHPFRIKCNFLLNNVIDKVLLLTRRREKYLVVAAVRFIRILISRNDDHLFNHIVKNNLLKPVIDVFVDNGSRYNLLNSAVLEFFEHVRRENFKILIKYIINSFWSQLVNFANFSSIQSLKVRYDQSLESLGEKGSNDVLEPRRRIDERALEKEEEDYFNEDSDEEDSASAPAPAPAPISRGNRIRVQPHVSNGSVPSSVSSRVGGLVDYDDDEDDEDYKPPPRKPSEVTDEDEGILDPLRLKRKSDSKEDIEMVKRQRVNRNSKSKDGVLAALCSTLSKKVASAVHILPHSPNSSNKSNDINKGEKGSLVCSSDTLSSDVRKSEDRQSDVEKLGNHPSKESPCLQSCDESLHSTPENSHQNGDDCPSIPSKPSAEMAVNGS >KZM86336 pep chromosome:ASM162521v1:7:1791398:1797806:-1 gene:DCAR_023470 transcript:KZM86336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLRCVLCCDDEINTGQSESESYYDESPSQPFNYRVILDNYDTFSRTQIDKTTRTHDICTTYRFPPKSNPPPVYNPPKQTLSRINDKVISESKKTPTGKDTIVFPRPASSSSRVVLPPQPSPISPSLSKKPILAPAPSSSTLNQAKSQYNVVEQGSTPLFVIPEDIKGLIRKDIVPGVLKKPLSPQTYKDYFEALLYAEDYYLEKWDGFEMTNVTLKLNEAEIHRRKGKSKNKNNNQKDEKIFVEFEVDSIPERRPFLLSRDFASVRPTGTKVDPFQGIIFRVVRSNIVLVEFGEDFHAQHYSSCKYDVKFSFNRVCLKRAHQAIAAVSDMFFRKFLFPDCRPEKVLLKGKILFSSHKLDSSAISRIINLSGPPAYLLEGPISVTRDKQLSRTGMVIRDAVVQLCQVSSHNRILICAPTNSTCDVFMRSLRKEVGDSDIFRANAAFRELDGVPIDILPFCPYKKKEEVFSCPSLSQLQKFKVILSTFMSSFRLHNEGIEGGHFTHIFLVDASSAIEPEVLVPLTNLTNASTNVVITGAPENQSGWVRSTIARQNGLKTSYFERLRQSKLYRDLNPESITQISDDSSSSLSYFRIQVVKSKEEVNMFGFFFSALKKVYHLFRKVFGNRQQSLPSTKQDLKDPQKYHLRQQLLPSTKQDLKDPDTYHLLQQSLPSTKQDLKDPEKYHLCQQSLPSTKQDLKDPQKYHHCQQSLPSTKQDLKDPHKYHHNAFSFSRIKSPDSFPSAQNAPTTQRQTLPSPVYNQPKDTLPRVTLKVDSGINTRKTPYAPIETHSALPGSAKSPAVLNKPVLCHAAPGSTSHLTKSTYNIVEEGSTPLYEIPEDIKTLIKRDIVPPVLKKPLSPQTYKDYFAALLYAEDYYLEKWDGFEMKDVTLRLHEAEIYERKGKYKQSSGVKKDDKIFVEFEISAIPEKRPFLLSRDFASVRPSGRNIDPFQGIIFRVVKSNIVLVEFGDDFHAQHYSSCKYDVKFSFNRVCLKRAHQSIASASDMLFKKFLFPNCQPKYSSHYSTAITRIINITDSHPYLLEGSLSITRNNQLSGTGTLVRDTVVQLCQTSSLNRVLICAPLNSTCDVFMRSLQREFSDSEIFRANAAFREVDGVPDDILPFCPYKQKEEVFTCPSLPQLLKFKVILSTFMSSFRLHSNGIEGGHFTHVILVDASSATEPEIMVPLTNLTSVSTKVLVTGSPGNQSRWVRSDIARHNGLRTSYFERLRKSNFYRELNPEFITQLEDDSGFPATSYFR >KZM86556 pep chromosome:ASM162521v1:7:4169388:4170398:1 gene:DCAR_023690 transcript:KZM86556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEYTQRTNLEPIWNEPLPRVNMNTAHWISTNSSTGGIHLHSEPSDHSSKMKKSTTSSKGSTARKSGKKDRHSKINTAQGVRDRRMRLSLQIARQFFDLQDALGFDKASKTIDWLFSASKKAIKQLDCSSSLVSEMNNNNTYQKSEQKRKVDVATSEGEDIIGLKTAEKPVASGNTKSTAKELRNKARERARERTREKLMIRSLEKSNSNICGESYNLAQLGPAEYPNFTGQELMSNPRSQDTTWTSQSSLHSQLANMALLGATASSSGSVSSSMFNYDEKKDLFTGTGLDSISNNLCYTGNWDFSSEYLNPNSISFQENRGYHFQYYSDQNQAE >KZM88035 pep chromosome:ASM162521v1:7:23751371:23752903:1 gene:DCAR_025110 transcript:KZM88035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSMETSNNGHYLELEESSNHPGQASYQMIVDHSYLELSLNTPSYGQDTNDEPEQMGRSDDREAEVLLLSNEMQNPNVRDAAAAISTRIPLFLISTFILLI >KZM86169 pep chromosome:ASM162521v1:7:285258:285554:1 gene:DCAR_023303 transcript:KZM86169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGKSSSDLMKVGLEGFAMLEEYLGHKKKQQPQPQPLVYKQHKYWHQPQKPTVQVITQVPAAAQAVRIDCYEAAKFYGGTVIADYPDNNKMKKSPIY >KZM87941 pep chromosome:ASM162521v1:7:22245535:22250037:-1 gene:DCAR_025042 transcript:KZM87941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDRGGGSSKSEVVGGALDRKRINDALDKHLEKASANSRLLNLKERERLSIPSTSAAGSSKSQYDNRASVNLNLKNKCADEESESDSEESDVSGSDGEDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHVRYILTSKGMAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKANQSYVQRVFGFKLHKP >KZM86798 pep chromosome:ASM162521v1:7:6989150:6992623:-1 gene:DCAR_023932 transcript:KZM86798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNFRAGSRVEVRSDEEGFRGAYYVATVLEDPKFEQCIVVYDQLSESEDPNSRNLTEKVHVSRLRPLPPLSKGEMIKVHDNVDAYYNDGWWPGEIVESLQDGKFLVYFADPPDQQVVERNDLRHHLEWANGKWKKPRRKSQIYKMITQGSAVEVTFERENYSAWHVGNVLQVKGNNKFLVKFQFLGVDNKPACTTETVDFPCIRPFPPQLEEQDFSVLDKVDVYYNLGWWSGVIHRILNDMRYVVITQANVKLICICSHLRPRLDWIDGKWTITSRNTQALLSYNEPLKSNRTRTPSRKQQAKKYRGTAGKMIDDAVRADEPDMHVHEELGTLSETPVNEKVKRHISDQNKQCIEGSSGTKRTRNRKRGRPQKVSLENQIGKDNLMLTTVDNQPAEGHFTLSCKDYMNLIISERKILTRLCRRNELLSRTVVSPGENQSDKTGASTRVKMFLNESLCDAHPELMPDTYLAEDQVAKESLIERHGETSNIAGTQSQNQGVKSNIPVVEHQDTQTIAGNGATTNGSASKAQDVPLYQCANASYGESNNDASSAYASKAIIPFSPLQKTDANYPTCEPATPSAIISCDGRDKNVPFFKSSPLWKSLESMEIFNRTQLKPHFNPLAKCREETREGLAIGHMVTFSSVAEKTLNLRFSDPSSVIINNLKTLVELEKQGFDVEPIRARSMTLLAKKEQERKLEAEYKMIENEITNSEHEKDRLSQEKIQIKATMKELVEKLSWIDSEKGRKDEKISTLRSRQEAIRERVRGVEDEFENAANCPFN >KZM88010 pep chromosome:ASM162521v1:7:23266220:23269795:1 gene:DCAR_031533 transcript:KZM88010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFAADLASRLVCKLVSLAADEVIQAWNLQDDLITLRERLESIDDLLSDAATKKLTMSAVKNWFNKLEAVAHVADALMDQLEYEVTRRKVENCHKVRDFFIPSKNVLLYRFKVAHKIRSIHASFDKLFKWARDLGLHPVAHLSASVLPGEVRNTPPFEDESQIVGRDKDLSYLVQTVCKDHDQDLQVVAIVGMGGQGKTTLARMVYNKDVVVDMFPKRMWVTVSTEFDFMKILNHMVVSLTSTSSALENAEGLINNLKKNLKEEKFLLVLDDVWNEKPEEWDNLRNSLLGVGGARGSKIIVTTRKQEVVDAMLCSVSYEVEKLSDEYSWELFKQRAFSHREALDSGTLASLGRRMVQRCGGLPLAIKTLGGLLHSKKSEEDWLLISNSEVWKSKGVMSSLRLSYDNLPYSSLKRCFTYFSIMPKDSIIYKNELVQIWMALGFLLPPTDSNALMEDIGNEYFNILLWNSLLQDVERDELGNITSCKMHDLVHDLALDLSKSQSLTVKAGHELNCTSKPIYMRLEKGVSDIEPTIAKRNFERVQTLYAGHRILNDMLPHLKCLNVLVLSTHEISNELPTSLRLMKYLKYLDISCFRCRLPTYITELYNLQTLRVWDLQELPRNFCNLINLRHLYIVNANARCMFIGIDKLTCLQTLPHFVVDKDQNCLVGQLGPLKNLRGKLELYGLADIKDMEEASKASLRTKYNIQRLMLDWSNNTDERDDLEYNNEEDVMEGLKPHENLKELVIDYFYGNKFASWITKMTNLVKITFRDCNRCEEFPSLGHLPKLREMEINGMEYVKVIGRDSYDGSGAASTELSDNGATKILKTIYPSLRKLILWNLPELEGWLEPGMNTMGEDQRTMLIFPELELLIIKFCSRFSTMPSACFPSLKQLIIQDSDSSNMILETVTKNVSSLTYIRLGNMSNGQGSSSSSNMDSIIDKLLKKNSMSLTTLNLDDCQGLTCLSPGAAIVELIVVNCSNLTSINVAQELSPPKCLTHLQIRSCPCLSSWIFARSLCSTLVRLQLGPFVEELDEFPWPVSSPVTSFPNLKSLLLWGWVKLKSMLPIGELDDSLSCSFPALTHLTIYNFEGVKGLPDSLAKLPSLKLLFIWNCKNLESLPTFDESDSLMSLKISGCPILEKRCMKETGPEWFKIRHIPHIKWD >KZM88425 pep chromosome:ASM162521v1:7:27802229:27803428:-1 gene:DCAR_025500 transcript:KZM88425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRSVIRLGTLNHAAVVISTAVAAASLSTTATLSDPPALIKLKSERDPEKLFHLFKANARNRLVVENRFAFEDTVSRLAGARRFDYIEELLEQQKGLPQGRREGFVVRIIMLYGNAGMYKHAINTFYDMHLFGCKRSVKSFNAALLVLTKTRDLVAIQSFLDRVSFRFGISLDAYSFNIIIKAFCEMGLCDKACLVMAEMEKLGVKPDVITYTTLISAFYKNNGWEIGNGLWNLMVRRGCLPNLTTFNVRIQYLVSGRRVWQANSLMGMMRYLGIKPDEVTYNLVIKGFCVAGYMDMAKRVYSALHDEGSKPNAKIYQTMIHYLCKAGDFDVAYTMCKDCMNKYRSLNVATIYMLLEGLKRNGKIQKVEYIITLAQNRVPPFPAQQVDVMKSILSRR >KZM88873 pep chromosome:ASM162521v1:7:31939073:31939312:-1 gene:DCAR_025948 transcript:KZM88873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSLSSSRSSSCHWTPKQNKLFEKALAKYDKDTPDRWHNIARAVGDKTAEEVKRHYEILVEDLRHIESGTVPFPSYR >KZM86879 pep chromosome:ASM162521v1:7:7909715:7910428:1 gene:DCAR_024013 transcript:KZM86879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSSSSSSSSSNPRASFETFFEGWLVRQEHFLEELQSVVETCEESRHEDLEELSDRVLSHYKQYYEEKSRMCSRNVFLVFNPTWFTPFERTFFWIAGFKPDLALRVVSVAAGDLTPEQNQSIEKLKKEMRVVEKELSAELARVQESVAAPELMVVGGRAPVDGEIGNIDGVLEEIRADMVAVLSNADVLRTRTAERLVGILNPVQKVKFLAAATQLQLRVRTVGLQRETRNRARN >KZM87635 pep chromosome:ASM162521v1:7:18811375:18811659:1 gene:DCAR_031925 transcript:KZM87635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQLQDYGLMLDKIPILCDNTSAIAIANNPVQHSRTKHIDIRYHFLREHVMNGTVELHFVPTDQQIADIFTKPLDESTFSRLVGELGMLNMSN >KZM87537 pep chromosome:ASM162521v1:7:17944735:17948392:1 gene:DCAR_024671 transcript:KZM87537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSRQMKISCQEFKGKCSLLYLAELDFDQLHVPDLVQLEKELESALVQTKAAKILVNTQQMMKPVTTLQEKKKLLREENELLAQQIAAMAKENTAAKDKTGEAANNETLMLLC >KZM89331 pep chromosome:ASM162521v1:7:36000378:36009838:-1 gene:DCAR_026406 transcript:KZM89331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHKQKWTADEEEALKAGVKKHGMGKWKTILVDPDFAPSLTHRSNIDLKDKWRNLGISGTAAGQASKEKSPVLAITNGSAALPAAQNTNAATQTASTALVLVDANANGVPDDAKTPANAVMIPTKRFHRSGVDALSQQKHEVPQNFRRALSSNVRRLTAQKKLEKVEHRFKIKNTGSRIPTPRQRGDAKAGTSKKPGLLDPNTLEGANSIASHRVADADNKSFAAAEAVKESEDIKELTEESWLILELKSAPGLLRHDHALLVRWLSSDAISFANGRDDIVKLRRIGNASQEMDGVCSNYTSTTNCLNYNHSSINPSMVTSVGSKQIKSTTSNMKLQSLLVGSVLSRAHWDNIGELSLLAQGNIARWLKKEGDQVSTGEVLCEVETDKATVEMECMEEGYLAKIVHGDGAKEIKVGEIIAITVEDEGDIAKFKDYKPSMSEPEASPKETPVSTPPKEDVVEKPTSSPEQKSSKPSAEKQTGSRIFASPLAKKLAEENNVSLSSIKGTGPDGNIVKADIEDYLASRGKEASPKASKSEKPAVSSTDYTDIPLSQIRKVTASRLLLSKQTIPHYYLTVDTCVDKLMDLRAKLNALQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTNEYIRQYHNVNINVAVQTDNGLFVPVIRDADKKGLSKIGDEVKHLAQKAKENNLKPEDYEGGTFTVSNLGGPFGVKQFCAIINPPQAGILAVGSAEKRVIPGAGTDQYAFASFMAVTLSCDHRVIDGAIGAEWLKAFKGYIENPETMLL >KZM87756 pep chromosome:ASM162521v1:7:20137479:20140789:1 gene:DCAR_024857 transcript:KZM87756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVDKLIERLIEVRSSKPGKPVQLSESEIKQLCATARDIFFNQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPQANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFSVKLWKAFTDCFNCLPVAALIDDKILCMHGGLSPDLVNLDQIKNLPRPTAIPDTGLLCDLLWSDPSSDVKGWGMNDRGVSFTFGPDKVAEFLAKHDLDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSIDGNLMCSFQILKPAVKKNKFTISTKM >KZM87594 pep chromosome:ASM162521v1:7:18467980:18471603:1 gene:DCAR_024716 transcript:KZM87594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVAVAVKDGSGSRRAVRWAAENLMLSDAHHLILIHVFPTLTCIPTPSGKQIPIKDMDSDVVEMYVQDMKSRLEQMFLPFKKLCKSKDITTVLLEGDNPASSLVSFLTDSGITTLVLASSSSNCITRKLKGPEVASTVVKHAPPTCNLYVVSSNRITFKSAISLSANGKDYCLLTERGQGASMKNQRLPAFISSSEESDAHAEVEQVRLELQKVVGLYNRACEDLVHAQAKVHVLSSDYLEESKRVNEAIKNEEKLRIMAVDEKERHVEAVNEIEMAKNLLSKEIYERQIAEVKATQESMEKQRVIDALLLGDGRYKRYTRDQIEVATDFFSVTKVIGVGSYGKVYKCKLDHTPVAIKVLTPDASDRRYEFLTEIEVLSQLRHPHIVLLLGACPEIGCLVYEYMENGSLDDHIVCRNSNQSLSWVIRFRLAFEVACGLSFLHNSKPEPIIHRDLKPGNILRDRNFSSKIGDVGLAKLISDAVPDNVTEYGNSVLAGTLLYMDPEYQRTGTVRPKSDLYGFGIIILQLITAQHPYGLTQRVENAFESGSFADVLDKSIKNWPLAETVKLTQLALKCSALRCRDRPDLDTEVLPVLKKLAAFADASEKVTEIINAPGHFYCPILQEVMDDPHIASDGYTYEHKAIRMWVDRQNVSPVTKQRLKHKMLTPDHSLHSAIQAWRLNVASSRT >KZM88619 pep chromosome:ASM162521v1:7:29751993:29753253:1 gene:DCAR_025694 transcript:KZM88619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKRGEHFVLVHGSLHGAWCWYKVATRLRSEGHRVTALDSAACGINPKQVQEVQSYTDYYEPLMEFMATLAPDEKVILVGHSYGGYGVSIAMEAYPEKIAVAVFVAANMPGPDLTYLTISQSSDGRVISYILKPEALASKLYQLSPTEDLTLATMLVRPHSSQADTNASEILMVSKEKYGSVHRVYIVADQDMLLPEEIQRWMIQMNPPNEVKVIQGSDHMTMFSKPQELCSCLLAIAQQNC >KZM87862 pep chromosome:ASM162521v1:7:21411496:21413319:1 gene:DCAR_024963 transcript:KZM87862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWSAATADDAFMASDLNSFWPPQTNIPQLPLNQESLQHRLQSLIEGAKESWTYAIFWQSSVVDYSSQSLLGWGDGYYKGEEKEKQLMRTKSTSVSEMDHRKKVLRELNSMISGSQGSPDEAVDEEVTDTEWFFLVSMTQSFVNNAGLPGQAFFHSAPVWVTGAERLAGSHCERARQGQTFGLQTIVCIPCSNGVVELGSTEVIFQSSDLMNKVRVLFNEFANPWPLQSENNESDPSTLWLTDPPPVPNNEISKDVPFKLPTQNVFENNHSSSTLTENPDSSGVINGHNPGGSTRELNFPGLGFEGVSSSNVRNGNSNSVSCKTEFGEILNFEEGKRSSCSAGNGNLFGIVEDNKKKKSVTSRSSQEVGMMSFASSAILPSSGVGKSSCGADSEHSDLEASVVKEAESIRVVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQKFYALRAVVPNVSKMDKASLLGDAISYINELKSKLQTSDTEKDEMRSQLEALKLELSSKELSTGQDLRSSSNKLIDIDIDVKIIGWDAMIRIQSSKKNHPAAKLMAALKELDLDVHHASVSVVNDLMIQQATVKMASRFFTQDQLRASLMARIAETRV >KZM87312 pep chromosome:ASM162521v1:7:14162542:14165738:-1 gene:DCAR_024446 transcript:KZM87312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFSSAALQCAELFVSSLVHVVYGFYIFSTAVAGDLSQSLNEWFHRNKCSDIIRVTQKDSQSLNNSNDLPPIVLVHGIFGFGTGRLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRIYEKGHYPEWDENHPIHFVGHSAGAQVVRVLQQMLADKAFKGHENASENWVLSLTSLSGAFNGTTRTYLDGMQPEDGKSLKPLCLLQLCRLGVILYDWLDISWLKEYYNFGFDHYNLTWKKIGFWGLVDCLLGKTGPFASGDWILPDLTIQGSLRLNSHLSTFPDTYYFSYATKRTRSVLGFTVPSGILGIHPLLFIRVLQMCHWCHPSDASPPFKGYRDEDWWDNDGALNTISMTHPRFPVEHPSCLVESNSEFQNVQPGIWYYKFVEGDHILFIINRERAGVQFDLLYDSIFERCRKHAFRKTPLTLPNQ >KZM86216 pep chromosome:ASM162521v1:7:697337:699070:-1 gene:DCAR_023350 transcript:KZM86216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEIFFSKQQNSRVIPDRYGRSKGYYNMEEKNMEIKTRWYGAKESVETGDVNLFHQNNAIEEHLSFPGKQQVLTSSSDFAGFDDLCYDLGASQPQYCLEELGNLAINHSENPEHLVPQETSCQIPISNESKFGPENCEQSSLPDHSTTWSNASEKLATKDLLRLAGETFIQSNSAMVDSRSFSNPFTTSLCGLSDEERRDVEIIQQLLASAEKVGEQQFIRAGNLLNHCHEYSSIKGTPVQRLVYHFSAALQQKIDHKTGRRTGTSTGKMQSLALKDSLMNPNPSLFAYYQKVPFSQVILFTAMQTMTENLSKAKKIHIIDLEIRNGLHYTILMEALAARTDCPLEHLKITAVGTISKHKIEQTGNRLMSLAQTLNVSFSFDVVIVADMLDLNESLFMLNAEEKLAVYSPYTLSLMIENQARLETLMKVIQKLNPCIMVVAEVEANHNSPVFVQRFIEALFYYGAFFDSMEDCMDRDDPDRMMSESITLSPAITSIVVFEGEERIVRHVNSNVWKAFFSRFGLVETELSTASLYQAKLLVDKSVCANSCTFEMIGKSLSVGWKGTPILSVSALKFL >KZM88279 pep chromosome:ASM162521v1:7:26428510:26435577:-1 gene:DCAR_025354 transcript:KZM88279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEVKNYSWRDSYRGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKRAGASGVRAGVGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIIRHEKTHNAVLAHIILREKLHIFGVLGCILCVVGSTTIVLHAPQERAIESVKEVWDLATEPGFLLYVSVVLAAVFILIFRYVPQYGQTHIMCYIGVCSLVGSISVMSVKAIGIALKLTLSGVNQLIFPQTWAFTMVVILCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQSPTQIVTEMCGFVTILSGTFLLHRTKDMTDGPAPLPVRLPRHTDEDEGIPLRRQETASRSV >KZM88016 pep chromosome:ASM162521v1:7:23312018:23313313:-1 gene:DCAR_031521 transcript:KZM88016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENVQSTLGKFKMEKSPYMHRLRWHSPIVKLTFWSFVFLGLILVFFFRSPSTSSPLGSDVSRRSLSTNSWGGPAWEKRVRSSAKVRSRNGISVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPTLKRARQALLERSGVYIVEGDINDKALLEKLFEVVAFTHVMHLAAQAGVRYAMVNPGSYIHSNIAGFVSLLEVCKSANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGKSIQIFEAANHGTVARDFTYIDDIVKGCLASLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTNLVNILERLLKVKAKKSIMKLPRNGDVQFTHANISSARRELGYKPSTDLQTGLKKFVKWYLSYYGEGKKSAK >KZM89318 pep chromosome:ASM162521v1:7:35928766:35930314:1 gene:DCAR_026393 transcript:KZM89318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVLDRGQTEFTNMHDLLKEEFVLSTADSVAPCIIGSSPWWTSAKSHIDNSYAEQSCLSKSLSLKMAAQSSYCQSFKQLEFRFQDLDLSPTQSSSQSYPEVAGAAASNLCGQDMISTKSSYKTIHEKLDFSKEILSMQARDYVCPPLVDFRQQLERVPLSYPDPYCHGLPSTGTEPMEVYHPHITAAASARVPLPNDFSQDEPIYVNAKQYGAILRRREYRAKLEAQKKLIRNRKPYLHESRHLHALKRARGSGGRFLNKKKLEDSNPISESTQSQTCSTILKTELHCSPKTYDINPSPTGWCYDMMTISDNDSCSIFQQQETSFSSYPAHVGMDLRGGKPTKSCSSLEFGGISSKG >KZM88826 pep chromosome:ASM162521v1:7:31562017:31572574:1 gene:DCAR_025901 transcript:KZM88826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVTPRRLCFIEEDDSLAFLIDMEAGFSGSHNQNNNQINHNQHNHFISRHVYYSRKALGDNKDFMYRGLIPRRGSGRASRGGGGDRDDRGWTMLHIGGRRGNVREIATSNFILANSNCLTAFVGRDMELGPLTSVKWAPDGCHIAVGMNNSKVQLWVCQSTGSKSGRRSSVLLRSNRENVSPNLENVIPGTQSDITRDEYTPPIGSESVSIKQLRGKKSRSRISGDNVDGTSTQLFHPTVINQDDTIGTFIIHITHRLVLYLKMLKNCLTMGVSFLQSLQAYF >KZM86741 pep chromosome:ASM162521v1:7:6366358:6385041:1 gene:DCAR_023875 transcript:KZM86741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGTVVNIIVGTHVWVEDQIEAWTDGQVTKINGDQAEILDANGKKIVAKLSTLYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLRIRYELNEIYTYTGNILIAINPFQRLPHIYDAHMMEQYKGAPFGELNPHVFAVADVAYRAMINEKKSNSILVSGESGAGKTETTKMLMRFLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDTYGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIDKYKLGHPKTFHYLNQSKCYELVGVSDARDYLATRRAMDIVGIGEKDQEAIFRVVAAILHLGNIDFSKGKEADSSVLKDDKSKFHLKMTAELLMCEAEGLEDALCKRVMITPEEVIKRSLDPLSAAVSRDGLAKTLYSRLFDWLVDKINHSIGQDSASISLIGVLDIYGFESFKNNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSNKLYTTFKNNKRFVKPKLSRTDFSIAHYAGEVQYQSEQFLDKNKDYVVPEHQDLLSASKCYFVQGLFPPVPEENTKSSNKSAKFSSIGSRFKLQLHQLMETLNATEPHYIRCVKPNNLLKPGAFENANIMQQLRCGGVLEAIRISCAGYPTRRPFFEFINRFGILAPEFLVGNYDEKVACRKILEKKGLKGFQIGKTKVFLRAGQMAELDARRAEVLSDAANTIQRRARTHIARKHFLALRDASICLQSFCRGKLACKQYGEIKLEAASTKVQKTVRKHQKWKAFNELRVSVLVLQTARDTGLLKEAKDKLEKQLEELTWRLQLEKRLRTDLEEAKQQETAKLQNIVETLRKQVDETNALLVKEKEAARKAIEEAPPVIKEKEVFVQDTKQIESLTSEVDNLKALLQSEKQNSEDLGRKYTESEERSEERRKLLEETDKKVDQLQESLRGLEEKLNNLESENKVLRQQAVSIAPNKLLSGRSKSILQNMRSSSMMQRDLDDKPQKSLNEKQQENQELLLQCIAKPLGFSGSRPVVACLIYKCLVQWRSFEVERTSIFDRIIQTIGHSIETQESNDVLAYWLSNASTLLLLLQRSLKASGAAAMPPLRRRSSSAALFGRMTSSFRGTPPGANYYVNGSGGVDTLHQVEAKYPALLFKQQLTAYAPRTSRASLVKGSSRSIATSSAQLALIAHWQGIVKSLGNFLRTLQENHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCFKATEEYSGSSWDELKHIRQAIGFLVVHQKPKKTLDELSRNLCPVLSIQQLYRISTMYWDDKYGTHSVSQEVISSMRVLMTEDANNAVSNSFLLDDDSSIPFSVDDISKSMEHIEIADIDPPAFLRDNASFSFLLPRPD >KZM86966 pep chromosome:ASM162521v1:7:9058191:9065569:1 gene:DCAR_024100 transcript:KZM86966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLCCIAPVSIDRDRSDNPVVGKAQSQLGFERNVRLGMNQVSSISGVEFSEANCEIEESEGGRESGKSVGGILYKWVNYGKGWRPRWFVLSEDGVLSYYKIHGPDKICVMGSRRGGKGGVKVIGDDSLRYVKRKASESYRIGSGFGGPGGGGNKQWKPFGEIHLKVSSVRASKSDDKRLTIFTGTKTLHLRCVSREDRASWIEALLAAKGQFPRLLTSSDLAPSEDIVVSTEKLRTRLVQEDIGEAVIKDCESIMLNEVSVLQNQLKDLQLKHILLLDTLRQLETEKIELETTVIDETKERDSCCGQGNRRFSDFYSIMSEGSASDSDVDNESRYGVDVETDEEDGTYFDTNDFLSSESLRSASYRSRDSRGNGCISMTCEAESFFSDRLRDVGPIKAIDYPYIKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQGNELMRVLNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRNWRFWADSNLKGKFWGRSIQLDPVGVLTLQFDDGETFEWSKVTTSIYNIILGKIYCDHYGTMRIKGSANYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLFGKWDEAMYYVLGDPTTKPKGYDPMTEAVLLWERDKSITKTRYNLTPFAISLNEVTPGLLEKLPPTDSRLRPDQRHLENGEYELANAEKLRLEQLQRQARKLQERGWQPRWFRKDEEGCYRYVGGYWEEREKANWEEIPDIFGQTIDLHPSTVDE >KZM86726 pep chromosome:ASM162521v1:7:6228936:6241182:-1 gene:DCAR_023860 transcript:KZM86726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRKFFEGSGIFDVLEDILEYIFVNMHSIQKNLQFWQSKAEGSRGQKVYFMICERGPRAFVDGIIQLIRDCVSEGSGMQNLYYSATSHIYDRIDILTSLRFALATFLAQIYIEIDKFGDELAKDAEKSGPLLLLTVDGLFSKLEASIAHLYAPPQNGSSVDGSCSVPLRFEKLSEVMQEGSQWTGSEIKDAVELIYQNLHKLDSYLSVLVSEHQKPRKVTLYWMRYACGILGISVCSAWLLRHSKLTGSHDIEHWIREAKDSTVSFFSDHVEKPLLSIRDELFDTFRKRHKGVMELEEVKLTTNSLHRMLLAFSEQTQGQKFPDNASDQELLEIMMARYEKELMHPIQNLLKGELVRAILIQIQKLKLDIETALLELDQILRANEINFAVLAALPAFLLSLAILALIRAWLKQDTRAEGRGRIARLQRRLLIVEVEKRIMDFQTCIDKGQDEDAQCTYGLLLYSLDRLYRAVESHAKATGEWQCLRQDITDLGRPGLQTAYKLAITSRMDRVYDCLLPSLKRY >KZM87910 pep chromosome:ASM162521v1:7:21935740:21949853:1 gene:DCAR_025011 transcript:KZM87910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSNNTSVPVSEMYWSLVAKADKKFSKIRDLPYYERNRYDTYFSKVFKAYTQLWKFQQENRQKLVEAGLKRWEIGDIASRIGQLYFGQYMRTSDRSYLSESYIFYEAVLTREYFRDGFSQDLNLAIKQLRFLARFLTVCLVMSRREMVFQLVNQLKMLLDECKRIFQETDFREWKVVVQEIGKFLKADTGLMNFRPLRYSLVLDRHPDYIPHIADAKRKLKLREAILCSYHPNEIKFSELTLDTFRMLQCLEWEPSGSFYQSTGAASGTVHKGASGPSRINYTQDIADPTLPANPRKAMLYRPSATHFIAVLATLCEELPPDGVLLVYVSASGSIGHLGNADNNILNSELGYMQLEEASTPTGCLQIGARGDGEKGERIAMLLSPTFSSPTPGLLDSIPQPSGSMFTSFLTAPLQAFLMLIGISGSDIEMGGEEMRNSNNTSVPVSEMYWSLVAKADKKFSKIRDLPYYERNRYDTYFSKVFKAYTQLWKFQQENRQKLVEAGLKRWEIGDIASRIGQLYFGQYMRTSDRSYLSESYIFYEAVLTREYFRDGFSQDLNLAIKQLRFLARFLTVCLVMSRREMVFQLVNQLKMLLDECKRIFQETDFREWKVVVQEIGKFLKADTGLMNFRPLRYSLVLDRHPDYIPHIADAKRKLKLREAILCSYHPNEIKFSELTLDTFRMLQCLEWEPSGSFYQSTGAASGTVHKGASGPSRINYTQDIADPTLPANPRKAMLYRPSATHFIAVLATLCEELPPDGVLLVYVSASGSIGHLGNADNNILNSELGYMQLEEASTPTGCLQIGARGDGGHKWNRKRRAYCDASVSNLFVSYTWTFRFYSSAKWKHVYQFPHSSFAGFSYDLYNKGDKLLSSLLDHWGSVLITSEGLDLVWVQVLSDPFLRRLLLRFMFCQTVLTLHAHTFNKKEYLPQCLPCLPKAVSPVTAASQTALLQIASVFGASNRFIFSEGITIHGDAQTAIDEPIST >KZM86505 pep chromosome:ASM162521v1:7:3546754:3546924:1 gene:DCAR_023639 transcript:KZM86505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPRCCTAHRVRRFAPGAALRTGCCGSHRVRLGVHRVRRVAYPTALGIHVVREG >KZM87072 pep chromosome:ASM162521v1:7:10735314:10735891:1 gene:DCAR_024206 transcript:KZM87072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRRIRLSSSSNPRNSTENTPVGSQQSERQHTPAQSQPNTPNVSTASANESANESADEGWVVGSMHNDGRLRIEVISGLLEPSGACSRAITDSISERQDPTGFNWKVVSKEVKDFYFEEFKNCEKMSHERVIVYFI >KZM87207 pep chromosome:ASM162521v1:7:12460126:12467430:1 gene:DCAR_024341 transcript:KZM87207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQETKFSGHRVQLRRSSRLQRCNRFSNTADDPIDLEPTKQSEVNNNMEDDQQNSTPTTSEGSRKKRYKHAAKKNKVKPGQKSTPDSDESNEEPYSIHELYRAEFQATNANNQSEAPHAHNQSEQTEDARQTEDQTEGNFQPPTEEDQNMDEENQHEDQNMDEEHAEENQQSQSQEEAQNKDKSPTAKNKKWKRKKTEEEEAQPKAKRPNFHLPTKKDANEKYELFNADRVRHKGIKLVERQCPSFKGWTQEKLRERQAIDVYGGPFGFGLIMKPLHDLPSSQEQTATENAKGNDKGKDVPNPASDDWNSHQNDDDLWEEWERAQRQSVQNETNDREDVRDTEQHIRVEQQHDDGQASSEKDFVESIRSMANELIDTKLLFDTELNLALQKYPTNEQLLDIKNIAFNVFHQQGTEKTTNTGPPEQTTKTLPPEQTTNTRPTYSRPSKQCTDSYAPEENTNTEEFQDSYEEEDFQLTIEDVEQLDLLSFVDSAKINAQQTDLFETDTVGEIYPSFSLGIDDEEDIPPITPKPALREKSSRALKIGRYGKSPFIERVIDIHSKITNQEFGVCRYMTEIKDPMEQIFLCNDFFSLREDMQSLNIGKHIETMIFDPNTVSLNLNNELIPIMEEDVYEVLGLPCGRESITLGTYDYYRSRIDEWSAQFKTEKESTQVTVAKLVQLIKNQGLTQNFKFNFLLVLSNVLIGTPTYSYIDRQMLRLHGNLDECYRYNWAEFLISYLVSATKSWNENASSHFRGSAIFLTLFNADRVRHKGIKLVERQCPSFKGWTQEKLRERQAIDVYGGPFGFGLIMKPLHDLPSSQEQTATENAKGNDKGKDVPNPASDDWNSHQNDDDLWEEWERAQRQSVQNETNDREDVRDTEQHIRVEQQHDDGQASSEKDFVESIRSMANELIDTKLLFDTELNLALQKYPTNEQLLDIKNIAFNVFHQQGTEKTTNTGPPEQTTKTLPPEQTTNTRPTYSRPSEQCTDSSPPEENTDTEEFQDSYEEEDFQLTIEDVEQLDLLSFVDSTKINAQKTDLFETDTVGEIYPSFSLGIDDEEDIPPITPKPALREKSSRALKIGRYGKSPFIERVIDIHSKITNQEFGVCRYMTEIKDPM >KZM88693 pep chromosome:ASM162521v1:7:30435661:30437673:1 gene:DCAR_025768 transcript:KZM88693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSTISSPLGPPACEKDAKALQFIEEMTKNADSVQEKVLEQILSRNAETEYLSKFDLKGATDRHTYKSKVPVVNYEDLQPYIQRISNGDRSPILSSHPISEFLTSSGTSAGERKLMPTIAEEWDRRQKLYSLLMPVMNLYVPNLNQGKGLYFLFIKAETLTPSGLVARPVLTSYYKSSQFRTRPYDPYNVYTSPDETILCANSFQSMYSQMLCGLIMRLEVLRMGAVFASGLVRAVKFLQLNWRQLAQDIATGTLSSEITDPSVRECIAGILKPDPELAQFITDECSGENWEGIIVRIWPNTKYLDVIVTGAMAQYIPTLDYYSNELPKYCTMYASSECYFGLNLKPVCMPSEVSYTIMPNMGYFEFLPHDDQSAALSRDSPPRLLDLSELVVGKEYELVISTYAGLCRYRVGDILRVTGFYNSAPQFKFLRRKNVLLSIDADKTNEEELQTAIDNASVLLREFNTSIVEYTSFSDTKTIPGHYVIYWELLVKDLANSPSREVLNQCCLAMEESFNTVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRLISSHFSPAAPKWTPERRR >KZM87568 pep chromosome:ASM162521v1:7:18217549:18218682:-1 gene:DCAR_024696 transcript:KZM87568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIVKTQSQSNPAQLAPGDAPNNFHPSLAAIIGVLCCMVIFTFILLAYAKYCHRSSPMTTSPIQGLLTRSRSRYSGIDKTLIESLPFFRFSALRGARGGLECSVCLSKFEDIEILRLLPACKHAFHIDCVDKWLEKHSTCPLCRHKVSTEDLSVLTYSHSLRFLAAGHSDVRQDSSLEIFVQREEDYNHRSSSFRVGGSFRSKNEEEKEELPIHENDEEILHKFNHRIIVSDAVLKNRWSNISSSDLMFLNSELSHGLSSGRLPFKESDGEELKKVMEIKQTDETSVEKNASTSKISGVNEKRSMSEIVTHPRFTDISIRIDAENSAGRNQENSDNVKEERIRRMWLPIARKTVEWFANRDTSTPEFQNTRQTFDV >KZM86303 pep chromosome:ASM162521v1:7:1496177:1496693:1 gene:DCAR_023437 transcript:KZM86303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPAVFLSPIPLQINYFCLARIIHALKGYVTQSSSTFLYLANNPEVLKLLWTRGAERPPLEPPDDKSIRTLYVNKMDGRITELDPRDKFHAYGEIETLKMVSQACAFITYMRVQKKQLNNSQTS >KZM86511 pep chromosome:ASM162521v1:7:3603930:3608094:-1 gene:DCAR_023645 transcript:KZM86511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEERAELIVYNSLTKQKEVFKPRVPGKVGMYVCGVTAYDYSHIGHARAYVAFDVLFRYLKHLGYEVTYVRNFTDVDDKIIKRANERGVDPISLSKDFSNEYLQDMEDLQCLLPTHQPRVSDHMKEIIDMISQIESNGCAYRVEGDVYFSVDNSPKYGQLSGRKLEDNRSGERVAVDSRKRNPADFALWKSAKEGEPSWESPWGPGRPGWHIECSAMSAKYLTPVFDIHGGGMDLIFPHHENEIAQSCAACPDSNVSYWVHNGFVTTNDEKMSKSLGNFFTIRKVTELYHPLALRHFLLGTHYRSPVNYSITQIEIASEAVYRIYQTLQDCQDALSLSREGSADTAGGKKVRITDAANECIRNLQNDVKKMSDDLHTYSLLNSALPEALKLINSSLTQLKKKQQQKQKQSVIQSLIELEKEVRDALDILGLLSKLEYSEVLGQLKSKALIRSGFEDEYIEDQIKERALARKNKEFSRGDKIRSDLASKGIALMDVGTETIWRPCMPVQQEQLAVPKEAKPCKDAEKQPEAQLVQVKLEDPLPNEQEKSSGVEAVKDNPTLPTTP >KZM89031 pep chromosome:ASM162521v1:7:33208919:33209883:-1 gene:DCAR_026106 transcript:KZM89031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDSRTDHQVQSPLFIRHHNMAMVDEVVSYQNMTSLNSTKTDRKILIRVTRLWLSISVEDESFDFLDSRC >KZM86360 pep chromosome:ASM162521v1:7:1998006:2000155:1 gene:DCAR_023494 transcript:KZM86360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPCLSNHTQEPLIASSATLGSSNTKMVVTLLRSRSSGDALGILHCRKLEDN >KZM86183 pep chromosome:ASM162521v1:7:438671:439244:-1 gene:DCAR_023317 transcript:KZM86183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQKTEAEVTSSVSAEKLFKALCLDIDTLLPQVLPGAIKSSETLEGDGGVGTVKLVHLGDASPFKTMKQKVDAIDKESFTYAYSIIDGDILLGFIESINNHFAYVPNADGGCTVKSTITFNTKGDAVVPEENIKFANDQNRAIFQAVEAYLIAN >KZM87150 pep chromosome:ASM162521v1:7:11639710:11642403:-1 gene:DCAR_024284 transcript:KZM87150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSKKVDRIHGHSVAVKKIDKNKMILPIAIEDVNQEVRILKALSGHENVVQFSWKKTHMLCEGGELLDRILAKKSGRYTENDAARVVRQMLKAAAECHLHGLVHRDMKPEMILPIAIEDVKQEVRILKALSGHENVSLNNFLRLCEGGELLDRILTKKSGRYTENDAARVVRQMLKAAAECHLHGLVHRDMKPEVCLK >KZM88870 pep chromosome:ASM162521v1:7:31916444:31919319:1 gene:DCAR_025945 transcript:KZM88870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKKHLVQINPGTVMNFWIPSETLNNPKNNKTNPTSETDSNVARPNKPVIVLIHGFAAEGIVTWQFQIGSLTKKYSVYVPDLLFFGDSISDSPDRSPAFQAECVVKGLEKLGVERCTVVGFSYGGMVAFKMAEMYPEFVENMVVSGSVLAMTDSISDATLESLGFGSSSELLLPTSVEGLKALFSVAAYTKFWFPNRVYKDYLEVMFNNRKERAELLEGLIVSNKDTIVPIFNQKRIHLLWGADDQIFKFELAKNMKEQLGEMATYESIEKAGHLVHLERPCVYNKCLKQFLDSLQNTHAQ >KZM88812 pep chromosome:ASM162521v1:7:31474131:31474652:-1 gene:DCAR_025887 transcript:KZM88812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYISCTLTTSTAIKNFQSTTKVIFPTGEVRHYCEPVKAAEVMLESPNFFVVNSKSLQIGRRFVALTADQNLEVANAYVMFPMKRVNSVITAADMGALFLKAKRVTTGNQTRILPEVINHPEAVTKEEEAAPKLNIEDTDDFLFHEFNHRLSMCRSKKPLLETIEEEPIFSR >KZM88703 pep chromosome:ASM162521v1:7:30523759:30524231:-1 gene:DCAR_025778 transcript:KZM88703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQECNAAYGLSQTGSQEHIIEGRLLVIVCAPDEYIVPADSGFASEDEQDEEDEVMQDGQDDEDDNMNDGLDDEDDNVEDKDKDDVYEEYENQTNDVDMLDTTSGDEENYYSENDGYSSPSDDED >KZM88266 pep chromosome:ASM162521v1:7:26302572:26306336:-1 gene:DCAR_025341 transcript:KZM88266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEVEKSHVLFTITDGKTSMNVTFFNEFGDDFLYERARALIDPEIIVITSAKVSVYKGFYVLPQEDEKEEVLQTLKINELKKLTEAYIEAGDDQKFPAMLKFPQKKLYNFTLLLTKENVKEGSNVYKAVQVSEPMDMSGNHSPLKNTTNNEVPTEIIDTKSKVDTPLTGNSTNKTKPRFTDNLDKSQAMDFSGNVTPLKSTRDNEVPTEIPDTHVEQTEPKVDTPLATYGSGKTRPRLNVSLDKSEEPVVKQPRVRNIKKEKDKNQRHILGLICNSVFGGFGQGYRRSYYTNYYKCKSYQLSCNQNPNFNKKQISTRTKSLKLLTVEQIKNLEKDSIQDEVVCKAVIKTLEEYNSWKYFVCTSCYGKVDTDNNYYTCGPCKREVVEPNQK >KZM86960 pep chromosome:ASM162521v1:7:8965024:8965203:1 gene:DCAR_024094 transcript:KZM86960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLHPQLFQMCRARFLFSCEENRNNGSFDVNKNVDSFELVVNYTTGSRISEIQEPQCL >KZM89039 pep chromosome:ASM162521v1:7:33277862:33283042:1 gene:DCAR_026114 transcript:KZM89039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLISPTLFSTSSISALDPKWAAKKKRMSLKVRDMFYHAYDNYLTHAFPHDELKPMSKSFTDSLGELGNLKLEHLSKQYKGLALTLIESLSSLVIMGNITEFERAVNWLSDNLTFDVDVRVNLFECNIRVLGGLVSAHILATDSTNRLGQRVYNNELLSLAEDLGQRFLPAFNTPTGLPYAWVNLKYGVMENETAETSTSGCGSLILEMGALSRLTGDRRYEIAALRALRKLWSMRSSLNLLGTTLDVESGEWLEHSSGIGAGVDSFYEYLIKAHILFGKDEFWMMFQSAYLGVQKYFRHGPWYHEADMRTGMATFWQLTSLQAFWPGVQVFVGDIAAANSSHREFFYVWEKFGVLPERYLLEHRMVHPTERYYPLRPELAESTFYLYQATKDPWYMEVGESIVNSLNQYTKVEGGFASIRDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFLSGRNYVFTTEGHPLPVLSSWHERLPQTYLPSNWTSSESIHQAKRTSAMSSRICPAIPLNSQHPNRQIESACHVLDAREDHRCLTDEDCGVDGMSCRRRTCSIAGYCGLWLII >KZM87175 pep chromosome:ASM162521v1:7:12080634:12082050:-1 gene:DCAR_024309 transcript:KZM87175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDESTKWGSTAWTHLSSTRQQSPLIQCITNFVSMDLMANTLLSAGASPAMLHSLQEIPDFTPNIHALCINVGTLTSDWLPAMKMAADFAFKAGKPWVLDPVAAGASSFRLEACLELVKLKPCVIRGNGSEILALFMASVGSNSTHDSTDAIDAAKALAEANGCIVAVSGATDIVTDGKRVVGARNGVAMLQKITATGCSVTALIAAFVAVDPVHAFEATATALSVFGVAAEMAMDFAKGPASLRMHLIDSLHGLDQSTVLSRVNITSL >KZM88357 pep chromosome:ASM162521v1:7:27176298:27177052:-1 gene:DCAR_025432 transcript:KZM88357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAAGNATTVNKAFCYNRFIQNLNCNNAVTHQSEAINVTLRTRAVTHPLCPSHVHLGNANQKRNSGKICASRRSRANLSSDTYVLMEPGETEVFVSEEELRAKLKNWLENWPAKSLPPDLARFEDIDDAVSFLVSSVCELEIAGDVGSVQWYEVRLE >KZM89068 pep chromosome:ASM162521v1:7:33571636:33575709:-1 gene:DCAR_026143 transcript:KZM89068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSTLSIPKHLSHSHLSPRLSLSHLSPRFSLSPPPISLKCNNKSTNNFSISSSSPRLPPLFNCSSRLSRVSETSDDSVVKKRAVEVRAGSVVPESGEDGTEKSRFKETLVLGLLFGLWYLFNIYFNIYNKQVLKVYPYPVTVTAVQFAVGTVLVMFMWTSNLYRRPKISGAQLVAILPLALVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLAEESLDNITLFSIITIMSFFLLAPVAVFMEGVRFTPAYLQSAGLNVEQVYTRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGVALAGVFLYSRVKRIKPKTS >KZM87455 pep chromosome:ASM162521v1:7:16564712:16565989:1 gene:DCAR_024589 transcript:KZM87455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSTMISSHLFLICCLLFIASSTAQTRPKGIILPVTKDPSTLQYITELKQRTPLVPVKLAVDLGGQFLWVDCDKGYTTTSYIPSRCNSASCSLSKAGSCLTECYSPSRPGCTNNTCMLFPENQYAPIATSGTLGSDVLSVPSAFGGPPVTISKFLFVCGTTFLLENLSSGVTGMAGLGRTKVSLPSQFFAAFSFKKKFGVCLSSTSSKGAIFFGEFDSSTAPLTYTPLLTNPVSTAGVYTAGEASTEYFIGVKSININQKPVKLNATLLAINATDGYGGTKISSVDKYTTLEASIYNAVTNAFVKELNVPTVPSVKPFGACFSAKNIGTLYTGPDVPTIDLVLQSKDVYWRIYGGNSMVRVNNNVWCLGFVDGGVNSRTSIVIGGYQVEENLLEIDFAASKLGFSPLLYRKQICANYNFQAKS >KZM88971 pep chromosome:ASM162521v1:7:32685245:32687142:1 gene:DCAR_026046 transcript:KZM88971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLDDPSCSIFDPFVYASLIKACNRIGAVKEGKSAHCYVIKVALDCNVYILNSLVHFYSGSAKLLNYARVLFDRSCERNVVTFNCMVSGYVKAGDFRVGLSLFVKLLRGGFGLSLKPNYVTLVILVSGCVQVGGFSVGNSLHSYCCKTGLELNKEVCNVLIDYYAKCECIHKAARVFSDMPEKDLVSWNTMITGYAKSSDSRKAFVLFRKMRNNNIAIDRVTLIGLITACAKIRDCKVGNAIHGYAKVWGLDSTVALGTALINMYSKCGLIQYARKLFEKLPKQCIDPWNSMIHAYVECGLCNEALDLFPQIQCQKLKVDETTMLGLILACRNADMYAKCGTMDRAKSVFDAMSNRDVISWTTMITGYAINGEVEEAIVTFKHMDAEKIMPNSISFLGVLLACNHAGRTEAGRNFYAIMQQPDDDREGTTHIVTGSHFCESSSELAMT >KZM87004 pep chromosome:ASM162521v1:7:9748768:9760891:-1 gene:DCAR_024138 transcript:KZM87004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRSIRACKTAAEERAVVRKECASIRAAVSDNDNDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNISSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFVNTAASLLKEKHHGVLLTGIQLCTDLCNVSTEALEYFRKKCTEGLVRVLKDVVNSPYAPEYDISGIADPFLHIRLLRLLRVLGHGDADASDCMNDILAQVATKTESNKNAGNAILYECVETIMGIEDSSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDSQAVQRHRATILECVKDSDASIRKKALELVCLLVNENNVTSLSKELIDYLEVSDQEFKGDLTSKICSIVEKFSPEKIWYIDQMLKVLSLASNYVKDDVWHALIVVISNASNLHGYTVRSLYRAVQLSGEEEILVRVAVWCIGEYGEMLVNGMGMLEKEEPITVTECDAIDVIETTYKQYTADLTTRAMCLVALLKLSCRFPLSSQYVDVIPVLHKTFHYLYLICNIVMPAL >KZM88514 pep chromosome:ASM162521v1:7:28515013:28515330:1 gene:DCAR_025589 transcript:KZM88514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPLGKRPERAQESVNLPHLAVLTTRVTYIQNCRS >KZM88887 pep chromosome:ASM162521v1:7:32062064:32063060:1 gene:DCAR_025962 transcript:KZM88887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALPAFFPNQLRQFTDKHSQSCCKFVMRTLRRMLNCTQQQTSACDSNGVTQWTQEKQKNKGHLPVLAQLKQQHRTIIFKALQKTT >KZM89189 pep chromosome:ASM162521v1:7:34801729:34802542:1 gene:DCAR_026264 transcript:KZM89189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHVVISVHLNFLLHPPLRVPPSLSSSHPSDPTRSTRAITGFPQPPDVGNLRGHIRRNPLLRRRRDSRHAVVVAALEDHAAPMALLLPPRDPPLGSGLLLVLLVLPLPLPTHVPHNLPHLPPPQALLLLALQLVNPHPHVIPLARILPIISSTRYPNDHFNLRHRLRLPIMLLTCNLICHVGVLVMHVTKGGCNGIGAWILNSVLNAAILVMFLSFYVKTKQRIKVNVAVADGCVGQNGEELVKGKDKTI >KZM87830 pep chromosome:ASM162521v1:7:20941184:20943784:-1 gene:DCAR_024931 transcript:KZM87830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSTPWTCPKCTFTNPNSQQTTCQICLTPQAQPHVSPKPTWSCKSCTFHNSYRNNNCEICGTRASASLLSDLGTEADDEIDPSVGTVFLPLQRCKRKIGRVGEMGLGDSGGSGLFASVDVKSAKNEVDVLDNPCTEKVLKTLKILSYNVWFREDLEMRERMRALGNLIEMHSPDIICLQEVTPNIYDILQQSSWWKLYKCSVSNEMAFTRAYFCMQLSKMPVNLFSCKPFRNSIMGRELCVAEVVSREDMPLVIATSHLESPCPGPPKWDQMYSKERVDQAKEAINSLKQHKNIIFCGDMNWDDKLDGQVPLPDGWLDAWTELRPGEIGCTYDTKTNKMLSGNRTLQKRLDRFLCSLQDFKLDGIEMIGMEAIPGLSYCKEKRVKNEVKKMMLPVLPSDHYGLLLTICPS >KZM88393 pep chromosome:ASM162521v1:7:27544431:27548471:1 gene:DCAR_025468 transcript:KZM88393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMASSKGWVEKMRRIARTIYFMVAMVASLLVMSLPLLIAIGDVLLPALLISSFTCVTCHSFLHHLRRYAFNSSLTDIPLVSLIRSLLITCVYYMCDGPALSHGPYLGTVAMSSIASVLLLSVKAYVFSVNSQIEAEASLSLSKRKLHLKKSWGMPVLFLSSVVFALGHIVVAYRTRCRARRKLMLHRVDPESKVPRSPTPAAGKTLRSDSELIRRPGGLICDQGELPVQLLADVDSLFMMTQGINLHYKLSISGAPSRSLSSSTFLSRPSANVYPKSKYYLHRSFSSQFNTSSLHTPLLDRSNYPGQSEEIPILSLNEAGDYEDMSGHRPPVLVEDSSTNRQFGIVLVHGFGGGVFSWRHVMGVLARQVGCTVAAFDRPGWGLTSRPQKQDWEENQLPNPYKLETQVDLLLNFCSEMGFSSVVLVGHDDGGLLALKAAQKVISSAAPVNVEIKGVVLLNVSLSREVVPGFARIILGTSLKRNLASLVRAEIIQAVNRRAWYDATKLTTGVTNLYRAPFYVEGWVEALHEIGRLSSETVLSPKDAASLVAAVQNIPVLVIAGAEDAVVSLDSVQTMASNFVNSRLVAISGCGHLPHEECPKALLAALSPFISRLLSIRDLQN >KZM87105 pep chromosome:ASM162521v1:7:11002728:11003288:1 gene:DCAR_024239 transcript:KZM87105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVANGKAHEVVTAKSTDEIKYDRQSELKAFDDTKAGVKGLVDAGIKNVPRIFIRPKDDLQDSISSSEGGQFKFPIIDMDGLDKDPVRRQIIDQVRDASQTWGFFQIVNHGIPDTVMNEMLEGVRRFYEQDLGVKKPWYTRDNLKRFVYNCNFDLYSAPVANWRDTFYCAMAPSAPDPEELPEACR >KZM86434 pep chromosome:ASM162521v1:7:2778197:2781121:1 gene:DCAR_023568 transcript:KZM86434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTESEKSLLHHHKEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANATSSIILTAGIAEVAAGAISMGLGGYLAAKSEADHYFKELKREEEEIITVPDTEAAEVAEILADYGVQPDEYRPVVNALRKNPQAWLDFMMKFELGLEKPDPRRALQSAFTIAISYIIGGLVPLSPYMFIKTAENALITSIIVTLLALLIFGYAKGHYTGNKPVKSALQTALIGAIASAAAFGMAKAVQG >KZM88412 pep chromosome:ASM162521v1:7:27684360:27685433:1 gene:DCAR_025487 transcript:KZM88412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNLPSDLLANIFSILSPDSLARAMTVCREWHKCAKCTPSRLRHPAWLLALPCHTRSSLFCYVHNPTLQTWHMLSLSFVPTPSKAIATINGLVLFKSTTIALQLSVCNPFTRQFKYLPLLCIARTNPAIGVVEVGSNHFMIYVAGGMSDASKAGGATYEPTVEMYDSQFDTWEIIGTMPVEIAVRLTVWSPNESVYSNGVLYWITSARAYSIMGFQVQTNRWRELSVPMGDTLEFASLIPRSGKLTLVGGNVDAWIWELGVEDDWCLVEKMGLDLKTRFLGGKESWGCTKCVGVEGEMCLYKESGLDMLAWRKVVGECSKREWCWIKGCSTIKDKQVPKFTTKGLLIHPNLSSPVF >KZM86497 pep chromosome:ASM162521v1:7:3388041:3392288:1 gene:DCAR_023631 transcript:KZM86497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGLLCCMFFGICIISLPFTTFCKEDEQFEKCKKAGYCGNQTIRFPFYTEDIPEFCGFRGFNLTCLNNQVLLLNISEDQYRITQIFYSNNSFRVSNVLSSRSGFCSLGKIRNLSLPSDHRFELDSTSNLTLLSNCTSDFARIFSRSKVGCDVGKNDTDSVLAMKTNDPGLNYANKECKTVAVAPVLDYTEESTNYLKLIRDGFDLKWVATDCRDCQDSGGYCGFEGGSVNKFKCFCKDRPHSRSCKNIPKLQLAGQLYDVKGLNQTTQEILVHGQALQNLLDQEDCASFSSIYLPNSPSIYFESNNITLFKCPENSKYTFHNYSVQKCNGSNIYYKNPFLHGTKIPDDLQYSCSVIKLPVSRLGNTRTTQLFKLLTADFTLKFRVSPECLNCHSRGGQCVTYGNYCINAKERDTDNSTRKAVLLGTAVPGACIIVILGAFFIFWRCKQLKRRKVERDTSDDIEDGTSFFGVPFFSYKELEEATHHFDPAKELGDGGFGTVYYGKLRDGREVAVKRCYENNYRRVEQFMNEIHILTLLRHRNLVLLYGSTSHQSRDLLLVYEYISNGTLADHIHGDKSKTEPLTWPVRMKIAIEIASALSYLHKSDIIHRDVKTNNILLDTNFCVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSLPAVDINRQRNEINLANLAIDRIQKCAVHELIDPSLGQDDSTENMTTSVAELAFRCLQLDKDLRPTMDEVLKCLELIQDMHSSKTALPPPSPEMEDVVLLKKNFKLMDSPVSVAEKWISTSTTPSSSK >KZM89168 pep chromosome:ASM162521v1:7:34601531:34608117:-1 gene:DCAR_026243 transcript:KZM89168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGILNLFLAASMPVLKVLLVTAVGSFLALDRIKILTEDALKHLNTTEDLHQAVMNLSGELYAKDVHFLMELIQNAEDNEYPEGVDPSLEFVVTSRDITATGAPATLLKFNNERGFTPRNIESICSVGRSTKKGNRRRGYIGEKVIESIHGIGTALPTTTIILPLKADKVKPVKNQLSNIHPEILLFLTKIKRLSVREDNEDSKLNTVSAISISSDTNFVTRKNIDAESYMLYLSADDTGDDDLEKECGYHMWQRRHVLVPANGSNWVELIGTNPWRTEGYVELGKDYLQPASYVGIHTANRELISFLKTYVPAFDIPNISPPDAVIPTMSATLTRKNLFLLLDWIRYLRRKGINIPQKFLSCIKEGSWLKISLNGSSSYRPPSQSFMFSSSGAHILQRESELVDIPEVDIQFYGQKIIDYKEELRVVGVMFEVNEACRFIGNQLMSIAASSNLSRDNVLSILKFIKFLRLKLLSPAEFINSIKGGRWLRTNQGDRSPAESILYNEEWKAAMEVSNIPLIDEVYYGANLMSYKVELGLIGVRVNFDGNYQLVGDNLKSSNCLSSLFADALYLILNCLRHLRSTNNLVHALKDKKCIKTDDGFTSPTEFYCLTLSGDVFYRKQASLHFIGKRNVLALLQYFKRPKTSTFPTNLKKCIREVKWLRTRLGDYRVPADCILYGQCWKSISSISLLPFIDDSDNHYGATVHEYEKELKSMGVVCSLKDGAHLVVNGLYLPQDSSSITPENVYSLLDCIRNYKPENHKLENQEHFPSTFVEKIGRKWLKTYSGFNTPKRCLLFSPDWARLLEMSDGPFLDEDFYGSRITEYKNELRSLGVIVDVRNGCSLMADYLDFHSSFTTVSRIYNYLSEFNWKLDDEDNKKIWVPSGTDNVKLNPSIDDYCEIWRSWEFVIKNWSSRTGEILAQRLLKLPLYSGSSPINLVNKHDVFIADDLQLKDLFERSSLDSLFVWYPQPSMKSLPRTKLLEIYSKIGVRNISESVQQELSEVDAVNLKQLKPKEVFIGKGLLRLILGFLADVSPKMEVDVRQDIVRRLLDVTVLEAGGKITMRHTLSLSSGETLNVEARQMLRWEKQISKLFVQKLDKDGDQIL >KZM88614 pep chromosome:ASM162521v1:7:29728215:29729508:-1 gene:DCAR_025689 transcript:KZM88614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQSALISSLSLNTKDVLLHVRATRIWESFSVDKKSSQRKMLNTKVVFIDEEQSQIMLTVWNNQKQDYFPLLKEGGVYDISQFRVVPNLTGYRIVNSEIALSFDHNTKVIPKEETERIPLFKFELTKFEDVPSLLWNTKNLIEVAGLVTEYGDPETASNGAKKMDILLLDSSNKDMIVTLWEEKANGFQNDLAAADDGAAFVIITGLLVKKYSGCLISICAILSPGVFKQSKLQTTIL >KZM88647 pep chromosome:ASM162521v1:7:29930065:29930646:-1 gene:DCAR_025722 transcript:KZM88647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMQAIYSWAILLAVITCHGILSGEGRQLKPMKKEVGVQTVPITKDNITPSTKSMESSTVAEPIADFRPTTPGNSPGVGHSYTEHSVVVQPNEASKISKLLKESKQESTTKQKVPTVSNSIDGFRPTAPGNSPGVGHAFTGNDVKVQTKGVGIGPAIGHSNAGSTDDFKPTQPGHSPGVGHSFRNKNTEPNA >KZM88548 pep chromosome:ASM162521v1:7:29100172:29102277:-1 gene:DCAR_025623 transcript:KZM88548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKKNYYFMPEGALVPNLNFGFHEEVIRVRIIRVWDDASYRAPDEMATYFILLDEEDKQSLALTQSPTKTPSLDQMDEGMIYYISNFKVVAGPPKWKPIDTEKALLFGQRTKVRACYNACSIARYKFEFCPLPTISTHLEKDSALIDVSGIICNVGDINHNAYDIQKLYIQLMDESGEIVTISLLGPKLTLQFDYNFNIYRKRNVVLAISSLMVKRGKGRSSICFRSLRIYLNLQEMQDPNKWVRPKLFRKQAFFCKVTIVDILLHEKWDFRPICMHESPSEKVYRCGGCNVSFVPINKRSRTVILVADSTTNARLVLKAHDLEQITGLTMLELITDCSANHMAKHGATFHNRINRIKDALCTFEVEAPVYSGTNSTDEIIITAIVEAEKLVGKRKPSHCLALSDEKRQRMGRPHDTF >KZM87627 pep chromosome:ASM162521v1:7:18715094:18736845:1 gene:DCAR_031930 transcript:KZM87627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVYIPVQNSEEEVRVSLDQLPRDATDILDILKAEQAPLDLWLIIAREYFKQGKVEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSHLGKIETKREKEDYFIKATQYYNKASRIDMHESSTWVGKGQLLLAKGDLDQASSAFKIVLDGDREHVPALLGQACVQFSRGKYLESLELYKRALQVNPQCPAAVRLGIGMCRYKLGQHEKAKQAFKRVLQLDPENVEALVALGILDLQINEAAGIRRGMEKMQRAFEFYPYCATSLNYLANHFFFTGQHFLVEQLTETALAVTNHGPTRAHSYYNLARSYHSKGDFEKAGLYYMASVKEIDKPKEFVLPYYGLAQVQLHLGDLRSSLANYEKVLEVAPENCETLKAVGHIFVQLGQSEKALEYLKKATKFHPRDHEAFIDLGELLISTDAGAALDAFKSARALLKKGHEEIPIDLLNNIGALHFEREEYELAEEAFKEALGDGVWRKLIDTDTKGNRQQPDPIKEALYKPLDASASVEKYRDTQLFSQIEEAGVSVELPWIKIPPLFNLGTLLEHLHRTEMASILYRLILFKYPEYIDAHLRLAAIAKAQNNFHLSIQLVRDALKIDDKCPNALLMLGDLELKNDDWVKAKETFKAANDATNGKDSYATLCLGNWNYFAAVRFDKRNAKLEATHLEKAKELYTKVLVQHSSNLYAANGAGVVLAEKGHYDVAKELLTQVQEAASGSIFVQMPGVWINLAHIHFAQGDFALAIKMYQNCLRRFFYNTDSNVLLYLARTHYEAEQWQDCKRTLLRAIHLAPSNYTLRFDAGVTLQKFSASTLQKTKRTVDEVRATVAELTNAVRLFSQLSAASNLHIHGFDEKKIETHVGYCKHLLEAAKVHLEAAEREDQLNKQRQELARQVTLAEENRRKAEEQKKLQLEKRKQEEELKQMQQQEEHLERMKEQWKHTSASKRKDRTHAEDENGGRSEKRRRKGEKKRKREKKSRYETEEAGDMDDQEEMEMEDEYVNRNYKQTDNTMNDDGDEVDENPQDLLAAAGLEDSDAETDAVENPSAANRKRRAWSESDDDEPTTRHTESSPIRENSAEMQDSRSAGF >KZM87127 pep chromosome:ASM162521v1:7:11273813:11278237:1 gene:DCAR_024261 transcript:KZM87127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRLLHPPISTRSFGVARQTRRQLSSSSSLGNKSQIAKARKSEAVETYVETHPGSLPKLSHVQKEIGGSWYTLKELFENAKEKIFGDSKVQRESVNDVTLTSATDATASTNITTQDKGSEKSEQNEATKTLANAFCHKSNILPNAMPGTSGENLPDMMGDTALDAKRICTDVESTGPCKPRNLIQSSAAMNSEDNSVSQAISYVKPELCSKIQINATALEGECDSPYTRTPEAASKIEVDRVAGEGFLYKPNLFQPRKKHVQLKEFHNAFDEPEKLSSLIFEATRPWQGKVEDEKASTGCKNQVENKMFTGYDKKIVDPPSVEADKKHLESEDKPSTELVSPNAETTTATLQKDVPTTYHSIRKENQLQKEQKVSKISGDEVSKASGMPGSGRGAQKFTRLSALFSKVDDQKAGQVADKYNIWETNGYLENSDNNNIVNVPKPSDSNDLIACIKGYSLGQQVTSGPGNAVSYRTDQNHHIDHVRVLSQVNMQNLDGLKTSSSHIFNEKIEEPSVEAPMKKAYINGKANNREKGNTESVSHNAITPSMRMGHPDNAQDPTCRGSLDQYKVIVKFVHKDVEEQELRNFLKRFDNNLKIELSGAGKRFFKTATVYFKTWTGMQNALKATDLLLRNWTITLEEASSLKSQSKSITIPRLIGDPDAPAALVKNPTRTVAIKQLTHEICPRHIEEALAFCESNISGFYLGTSDSVAYVEFETEDGKDKALAKHSIDVIGKRLFIYRVDTPRTTVVRIKTMLPAVVPRHIPIFKSLGKVKACYQRSANILDVHFSITEWTNMLKILNKLNGLQVDGVRLIAEPAPIAPSDILLQIYSQPEERERMKSNMHRLLQKLEENAVHKTKVTDIFSKFYGER >KZM88631 pep chromosome:ASM162521v1:7:29815800:29816252:-1 gene:DCAR_025706 transcript:KZM88631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTKEESRGEKIGVQDVERRPSAETLSTALVPPGSPNGHRAHVRAEKKQVFYGLTLRNSSLGLGLGFTQSLNHWFEKDKLLRIPSFEPGTTYSSSVSSNCTPQIDTPKLVEQDLFPPTTPRSSGETTIIQEDMDDGKENGSDIDLSLRL >KZM87130 pep chromosome:ASM162521v1:7:11292043:11293753:1 gene:DCAR_024264 transcript:KZM87130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSVKGLLKGLRYISHIFENEKKEEMVIGLPTDVKHVAHIGNDGPTSSSSTPSWMNQFQGRSETSSSAFNNGKPPTKQTAKSSNKDLSIQGSRDVQTISEAPFESPNRGRSRQSRHHKSSPGRAGIGSPGRNPRRPSNSKLGSESPSRDTMASPKPTRNTSSSPGREVMDAEKPRVPKQAGNIGSPGRKKYSNLESPRRRSSSKLGAESPSRATLASPGRTHKSSSSPGREVMDAENPRHYKQAGSESPGRIKQSNLESPRRSSPKFGSESPSRDTFASPKPTRRKKKTASSGEGARSKSKSTELQN >KZM88297 pep chromosome:ASM162521v1:7:26577256:26579283:-1 gene:DCAR_025372 transcript:KZM88297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVNFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARNLLTLEEKDPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNVPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRRNMKAASKKQSGGDGDEEDDE >KZM87430 pep chromosome:ASM162521v1:7:16314195:16314518:1 gene:DCAR_024564 transcript:KZM87430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPGADSLDGSRHRKMLVHVPSNEVITSYSVLERKLMMLGWERYYDDPDLLQFHKRSTVHLISLPKDFNRFRSMHMYDIVVKNRNVFEVRDM >KZM86519 pep chromosome:ASM162521v1:7:3694374:3695273:-1 gene:DCAR_023653 transcript:KZM86519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSARRHLSKAVVIMGPTGSGKSKLSVDLASTFFKPSEIINSDKIQLYKGLDITTNKITLHQQKGVTHHLLGAIDSSYCVTPFEFRSLASRYVSDIISRRKLPFIVGGSNSLIYALLTKRFDPDSEVFDGSRPDPVSSELRYNCCLLYMDVSLPVLNQYLSKRVDEMLDSGMLEELQEFYESGGFDSVNPNGLGQAIGIPELNKYFRCTKKINSEDDVARRMYEEAVQQIKDNTCQLAKRQVWKIQRLREAGWDLHRIDATEAFKAALGRSKARVADIWERQVVETSVKIVREFLEE >KZM87493 pep chromosome:ASM162521v1:7:17305581:17310880:1 gene:DCAR_024627 transcript:KZM87493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKEEERGGVVSKKVEDIDVEEGEISDSCSVEEISEKDFSKGDADEEKKKKDKEGAAAAAAANPSSRVWTMQDLYKYQNNYHNQTSSNYKISNGYASGLYNIAWAQAVNNKPLDHYLVSSFRNISSDDDDNASNNKNNNNSHASLDRNGTAKEGAKVVIQVDDDDDEMEEGELEEGEIDLDSELEPASLNNDQLVAANNVESALNDDRVNCDAALLEKQLHLISRDLEALALNDGDKSYSEVCSRLQNLLDSLRNLHSDDSVSQKDALIYKAFAVIQSVKQAFLSMSQNLKEQNKDVLSRLFAHITNQKPSIFSSEQMTEIEAIISSLASVMPLSVRVTVTGEEIQFDTIQSVEHRESNVSALNASENSISLKKCVLESMPVDSPYQNDFHMLDMSRTGVASFKSRGAMLPLLDLHKDHDADSLPSPTRETPPLFSSEKAPSYGNGKLRPDWPVPRPVVDTQTPVVQSYGTDALKAFSTYQQKFGRNSFLVTNRLPSPTPSDESDTGDGDTGEEISSSSPLPNVVNASTLAQTINSIPQMDNSRRQGVMNPSNAIPLDRVTNSAVRSLAKSRDPRLRLANSNVTSMDLNRQNIPFPNTGSVVVPPGLVTNARKQKIVQESTLDGPALKRQKYEMSDSRASGFVESLSGYGGWLEDRGTAGLHVTGTACLVDDKGSQPRNIENSLVSSGNVSSTLSGTGMEPQHTPVMGGNATASLNSLLKDIAVNPTLWMNIFQVNKQKNVDPAKVTSQPLGSDSVLGSLPSINTAVSIIPMPEQRSAGVLQAPQTTSSDEFGKLRMKPRDPRRVLQNNVSHKIGNLESGQATSKVSTTQDMVNQNVQKPDQLKSMSTQSTEAPDIAKLFTKNLKNIADIMSVSQTSTSPAAASQIPSSLPVQVHPSLVSSKGVLSHLTGESDLPSEAVTAGPFQSQNKWREVEHLFQGFDDKQKADIQKERTRRLEEQNKMFSARKLCLVLDLDHTLLNSAKFAEIDPVHEEILRKKEAEDREKPHRHLFRFPHMGMWTKLRPGVWNFLEKASKLFEMHLYTMGNKLYATEMAKVLDPKGVLFAGRVISKGDYGDISDGDDRVHKTKDLEGVLGMESAVVIIDDSVRVWPHHKLNLIAVERYIYFPCSRRQFGLSGNSLLEIDHDERPESGTLASSLGVIERIHQNFFSSKSLDEADVRNILAAEQRKILDGCCILFSGVFPLGEANPHMHPLWQMAEQFGAVCTTQMDEHVTHVVALLTGTGKVTWALNTGKFVVNPGWLEASTLLYRRADEQKFAIKP >KZM86660 pep chromosome:ASM162521v1:7:5384809:5389798:-1 gene:DCAR_023794 transcript:KZM86660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKKALMELDLRNEFMPLDECTDMEIDENENGSMKNEGGEASDGDVVFSREGPLASDGHIGMLENTCTCGASKKMKSTLATSDSETGSTDNGVPAKKLSRQDRIELGRMFQAAVSCRDWELAESLILLADPQTLNDSLCISLDSVWFLSTEEELFGITGIIKKVIANGAYDFTRAALRTSFLASCVSACQSRTMSLADTVSVMAERLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRCQGNGDDIYQNSPVQIQLQLSAFKIFLDLAGNNLSGKDFTEAFDAACFPLTLFSSSFDPGWASGISATAIQGLLSMLVDGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYGKIGTMECLVEEGNAMTFLGPLMRAAERGCLLVVQWFVKRGCRDMELCLALTAATSSSQVEIAAYLLPHVPHHVLSALSVEILKAAGERSSGSLDGVAFLLKSDFLGDPAATYAVAESISKSDDDGVAPQLRDFLREHWSEGAFLDGLREGEDNYLNLVRILKWGESPICLGDLPSALKVAIAYLPLYRECVEAGGCLLSQRLRGQLVEAARRLGGKKLDEAGQEKELLAVLEHHLPQFFLYEAKCA >KZM87761 pep chromosome:ASM162521v1:7:20165328:20166302:1 gene:DCAR_024862 transcript:KZM87761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRYSYSQIKRSTNGFKDKLGEGGFGTVYKGKLRSGLIVAVKVLSNYKASGEDFINEVGTIGRIHHVNIVRLVGFCAEGNKRALVYEFMPNGSLDRYIFVDGDRGEAGEITTLNCKKMYEISCKVACGIEYLHRGCDMQILHFDIKPHNILLDENFNPRISDFGLAKSRATGESTVTMTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLLEMAGKRKNLNPLKDEISQIYFPSWVYDQISKGKEIETEDATTNEKELVKKMIIVAMWCIQMKPTDRPSMNEVIDMLGRDLGLLVMPPKPLICPEEVMTEDAELTLTSSN >KZM88501 pep chromosome:ASM162521v1:7:28393716:28395689:1 gene:DCAR_025576 transcript:KZM88501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDSEDVVSAPSTPVTPGTPGAPLFGGFKPARYNSGKRSLLKSCTCLSVEPWNLEEGALPPVSCSLPIPPISLARKVGAEFIGTFILIFAGTATAIVNQKTQGSETLLGLAASTGLAVMIVILATGHISGAHLNPAVTIAFAALKHFPWKQVPVYIGTQVLASLCAAFALQVIFHPINGGGVTVPSVGYSQGFALEFIISFNLMFVVTAVATDTRAIGELAGIAVGATVMLNILIAGGATGASMNPVRTLGPAIAANNYKGIWIYFTAPFLGALAGAGTYSLVKLPEEDLKNTPPTEHSFRR >KZM86172 pep chromosome:ASM162521v1:7:301480:301749:-1 gene:DCAR_023306 transcript:KZM86172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEDTDTFVVKVAIFPIVQALVYFILSNSSDVFSDNKLIKSLSFRSARKLSINRVIAAISDIPQGGEAFPSFSISPSPPSQEEPTMVID >KZM89027 pep chromosome:ASM162521v1:7:33171958:33176096:-1 gene:DCAR_026102 transcript:KZM89027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKESCRAELRTAIRHLSDRCLYSASKWAAEQLMGIEIDPSNTKNTPSHTRFQRGSSSIRRRYRTNESTSTPAAGISYISTPVPEEDNEEMDNDFYLLAKSYFDCREYRRAAHVLRDQTGKKAFFLRCYALYLAGEKRKEEEIIELEGPLGKTEASNQELVSLERELSVLRKNGSIDSFGLYLYGLVLKEKGNDNLACTVLVESVNSYPWNWNAWSELQSLCTTADTLNSLNLSNHWMKDFFLASAYHELRMHNESLAKYEYLQGTFVSSNYIHAQIAKAQYNLREFEQVEVIFEELLRNDPYRVEDMDMYSNVLYAKECYSALSYLAHRVFMTDKYRPESCCIIGNYYSLKGQHEKSVMYFRRALKLNKKYLSAWTLMGHEYVEMKNTPAAIDAYRRAVDINPCDYRAWYGLGQAYEMMGMPFYALHYFRKSVFLQPNDSRLWIAMAQCYETEQLNMTEEAIKCYRRASNCNDREAIALHQLAKLHKELGRFEEAAFYYRKDLERMEDEEREGPNMVEALLFLGQHCKDQKRFEEASMYCTRLLDYTGPEKEQAKSLLRGIRLEQSGLPAMDVEQFPQ >KZM86270 pep chromosome:ASM162521v1:7:1150711:1153595:1 gene:DCAR_023404 transcript:KZM86270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKLCESFSKTLIDEAHKWGCMKQTGVSLRHMMKFGSRPTDRNLLSGAQFLHKKLPIRIARRAIELETLPYGLSQKPAVFKIILGSSSMARRKILGDMGYEFTIMTADIDEKAIRMDKPEDLVVTLAEAKADAIIARLKTMDQSVEDASSKEPTLLITADTYIYHIMLSLTAQLIDIEVVVYEGTIREKPSDKKEARHFIKGYSGGQASVVGSVVVSNLTTGKRTRGWDRAEVYFHEIPDEVIDSMVEEEIMLKVAGGLTIEHPLTLPYIDTVVGTYDSVMGLSKSLTEKLIQEAL >KZM87587 pep chromosome:ASM162521v1:7:18394024:18404271:1 gene:DCAR_031953 transcript:KZM87587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVLQVSSLVLKVSWAFAVFLVLVGSADPTSAATFDAISPVGEGSTGPVPQWKQLNHNATSPFQSSAVSPPITSPPAPENTKDQAPLLSPSTPTPSQPSKFSSPTTFPGIAPNVPPSASQGQPPPTKDPELAPEDPAPVVSPPIKSPAKSPSINPFMPGSAPPSSQNSQTPDDTAPLSAPIAPVQSSPPPREMLQTPPTSQPTLPKVPDNKAPLSAPTAPGQVAPPPRNLLQAPPISQPTVPQLQMTPPPKEMLQTPPASQPILPKLPDNKPPLSLPVAPVQIAPPPRDMLRKPPTHQPVVPEVQSASPPRGLLQTPPANQPIPPKVPDNKAPLFVPIAPLKNAPPPRDMQQTPPTSLPSVPETPPSDPPATSPPHNLPRYAPPIHPEGPKVPPSISPESDISPVSTTPPSFNPKKRGIPVAAPSHAVPKQFSPPNLSPAASPQNNSPRDAESASPPLVVLSPTPLPSTASYRHHGKMNISSPGPSSYLAPPPESADRGKPLSPGSPRQTRMPFLSPEISPSVSSPMIPKIPHLRPIQAFPPPPPNAECKPLPCDEPLTNTLPGFPCGCILPMQVGLRLSVSLYTFFPLVSEFATEVASGAFMKQSQVRIMGANAATEDPEKTIVLMDLVPFGEDFDNNTAYLTYQRFWHKQVPISTFYFGDYEVLYVRYTGLPDSPPSAPLSDTEPHDSKGGTIKPVGVDIRRGQHKKGLKGSVIAVIVLSALIAMVLICAIAWIMFFRHRDHDYQVQENPQTKQLSLAKSSGIATSMTGSGPSSISPSYNATIAPYTGTAKTFTLNDMERATDNFAASRVLGEGGFGLVYGGVLEDGTKVAVKVLKRDDQQGDREFLSEVEMLSRLHHRNLVALIGICTEEHARCLIYELIPNGSVESHLHGIDKEDAPLDWGARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDYTPKVSDFGLARSALDEESRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVTWSRPLLTSEEGLELIVDKSLGPNFPFDSISKVAAIASMCVQSEVSHRPFMGEVVQALKLVSNECDATKDLGSRSCSQEDLSVDFDARSSTHSDHLPDRFQIQSPLSDYESAISVDRGLPLSELYSTSAKIGKTDSESFRRHSSSGPLRSESVLPLWQRMRRSSGGSVSEHGAMFKLWNGYH >KZM86358 pep chromosome:ASM162521v1:7:1986381:1986575:1 gene:DCAR_023492 transcript:KZM86358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGHTKLQVTSYYDEDGCSCQMIGGLWPVENQVPSRDNEQKEIPGFTAGESLDEKINLFLEAS >KZM88103 pep chromosome:ASM162521v1:7:24542527:24542936:1 gene:DCAR_025178 transcript:KZM88103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSHDACVSCSCPRELGQNGPEPAAEVEILVLKVDYAGHQVFNRNLSKTEGKTSVFQGTTTLKLKEGISSIDYLSFTQNSGCTRISKLCLGARAVNRFPGTTVEPAKT >KZM86349 pep chromosome:ASM162521v1:7:1894894:1903178:-1 gene:DCAR_023483 transcript:KZM86349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAALTYSSKPVHSPLPRLFGGGSSGIKSSQCSFMVGSKVSFPMQRMRSFQVSRECGKRGAALSVSCRGDKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSNQSYLLVPNVLSAAISHKCTMLHPGYGFLSENALFVEMCREHGINFIGPNNAGVPTVPGSDGLLQSTEEAVRLAEEIGYPVMIKATAGGGGRGMRLAKEPEEFVKLLQAAKTEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKFGNVIHFGERDCSIQRRNQKLLEEAPSPALTAELRKAMGDAAVAAAASIGYVGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVARGEKLRHKQEDIVLRGHSIECRINAEDAFKNFRPGPGQITTYLPAGGPFVRMDSHMYPDYTVPPSYDSLLGKLIVWAPTRERAIERMKRALNDTVITGVPTTIEYHKLILEVEDFKDGKIDTAFIPKHEHELAAPRNIVATPPAKETANTAA >KZM88451 pep chromosome:ASM162521v1:7:28006972:28007679:1 gene:DCAR_025526 transcript:KZM88451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSFSPSRLIQFHSKPLNCRTLNSSSLDNPSNPTEVEVKLRLPNSHSHQTLTTLLSQFHTQTHLQENIFFDGLNSELTSSLSVLRLRFYNQDSKCVISLKSKPRISSGISRVFEDEEPIDSSIGRSCVAEPGRLLLIDELRIVKRVREEFGVEGLVCLGGFKNVRRVYDWNGLKLEVDETMYDFGTCYEVECESVEPEKAKKLMEELLRRNGIEYCYSQVSKFATFVSRKLPK >KZM86882 pep chromosome:ASM162521v1:7:7948275:7949513:1 gene:DCAR_024016 transcript:KZM86882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDSEDTASNKSCFSGILRRILCTGSLPTHPSDSDIVPGSDDLILEKKNIQVKGQGTPGVVARLMGLESLPDIKWVPKDAVNLRSRSVRSADYFPQFHHLSEESQHRRVKTSVSFREIPTFLHQQNEDLFVVCFDKRDGNNASEDIKNLKSGSRSARGNMKERVAVKKKEKQQIKKRNVEPKKSYVKGSHVLPYYTKSNEVYIRSSPRIQKKRRTKAPNSYCEEQVSSGIKHARMRENRRTSSHARIGSSSSTGSCSESTTTTSEDSRKAGQNFFKQSKAAVTIGNQITESEGLVKSKVMNFYIKNLAEVCRLNEEDAESCHDWKWMKPESLKFDDSGDICLEFEQCILDTLLQQLVDELC >KZM88162 pep chromosome:ASM162521v1:7:25046789:25049108:1 gene:DCAR_025237 transcript:KZM88162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFTVATSSCMAATAVMKPRLPATSSLPCLPPRFSLSPAFSTSFKRISVSRIPSRFQTKASSTDESIDSGEVFTDLKEKWDAVENKSTVLLYGGGALLGVWISSILVGAINSVPLLPKIMELVGLGYSGWFVYRYLLFKSSRKELSADIETLKKKIAGSE >KZM88261 pep chromosome:ASM162521v1:7:26248215:26249182:1 gene:DCAR_025336 transcript:KZM88261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHTISNNPILGSPKMDTTSRININMKGKAVGPLPTAFRSGEVQEGNKDYEGKLPVTLVDARKLFYVFPGKTDPYVILKLGDQVIRSKKNSQTTVIGSPGEPIWNQVTFLVI >KZM87265 pep chromosome:ASM162521v1:7:13308124:13315961:-1 gene:DCAR_024399 transcript:KZM87265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTWLVLMWLTFQKLFCTLDIHIHNLLRFPAYRVSIANALAAASLSSTVLPSRILTKGIEINKFLSIHPAQIGFFRVQATTTSMQPSASERNDSAFTADMNTSTNVGNGFASDSVFGDNVFYATPVGVEQISPVPASPADSLPVSSDDVASSGPQTTARPSLPGPLQSVPAQFVGGVEMEEAAGKRVEIDRESLEKRPGIFFIGSPNVGKRTILSRLLSVDFEDASDSSRDLLAYGWTIDTKYYSADVSLWMAHLQDGFSVEALPVFDRLAALVMVFDMNDLSSLDALKKWVSRTDIQTFDILLCIGNKVDLLPGHSAHVGYRRRLQKLEESWGSTFSELDYGISETEGSSLLGDEESSGQIKNSCLEWCAEHNIEYVEACASNADFDKCLSVDGDSQGVERIHGALSAHMWPGMILKSGNRIAEPSLPESQELSEDESDYEIEYEVLSDGSAEPWDDTDGDWVSASHFTSVGDTQGLVNQNLATENTKEERNNTLARELQPSTSASTSQEEIDKDESSTADISDKASESDEGAPYALDNLEHIMSEIGTMRDSLRLMPDFQRREMAANLAMKMAAMFGDESGDEE >KZM87978 pep chromosome:ASM162521v1:7:22834594:22835223:-1 gene:DCAR_025079 transcript:KZM87978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPGYITIDTYTMLQFNPPSNPLSLFLPAAPLWVINTTHSNPSPHFQPTLFLVISSPSTINCSANHHHNLLLLDSNPSPFKTPKPAPAAKLSPSSLFHFQSNTTPTPFPTPTHTRLHSWTHFTTFKPIPLSYSCSRSAARRRLHLRSEPSPRNNDIESPSLFNQTSINISVNTPFLHLLTSVHTNRVYRPTAMKRRKQPSPPTQSRLL >KZM86803 pep chromosome:ASM162521v1:7:7197513:7201369:1 gene:DCAR_023937 transcript:KZM86803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKDRDVNFRNVRKSPRLSVQKGTEKHVIESDQEGVKCRLNSQNKLCFPAEIISANLQQSKDRNFEFEGKMNLPDVRRSPRLSEGAREIRTQILFESTEKITSCRKKSRMQDLNQEKFSPAEAKFVDKIQRQNEISNDEGVADVQNTRTLPRVSAKDLGIGLQSIVDFSDRGVFTHESHIKRTYKSNLSSETTAAPANMPHILNTSGSCNGIMNSQSRRKSVRLSSKNGEMDLQKNNLPGEYSFSSDMELTTVTQVWIKSSDCNQRTDLESIQKSVRLSCRSKETDMNSSIICSEEMRTEPQKNGSDSNILPAVKFDNHQVQNVSANSGGHVDLKKMQKSPHLSGMKRQKHLQLHSRKEVKLGTESQKKGCHGNNRFLPSKLGLTDAPSVQNQTVGANKEEINLGEKSQKKGFDGSTRSPRIELELTTAPSLHNESVEAARCLLNKADGSPLNSPKSIPTFQYYVGSGRGINLIVDLNSSPSDWTKKIFETSSSSQSLQKNKFESFRHEIESLRSKIMISSLISNPFNKISPGHLHNDAYPESVSGEACPLQSDIPERGNGSSDITDTNSFIDIRTRDGQIENSSGLNTETFGDHIASSQEFQETTITIYETKASEM >KZM87600 pep chromosome:ASM162521v1:7:18499771:18514292:1 gene:DCAR_024720 transcript:KZM87600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRWSCFARLSSIIKQPFSKKRAAPVNIIVGSHVWVDDPKLAWLDGEVIKINGQEVHVRTSHGKTVITNFSKVFPKDTEAPPGGVDDMTKLSYLHEPGVLQNLAARYELNEIYTYTGNILIAINPFQRLPHLYDTHMMDQYKGAAFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQISNPERNYHCFYLLCAAPPEDREKYKLENPRSYHYLNQSDYYELDGVNDAHEYLATRRAMDIVGISEEEQEAIFRVVAAVLHLGNVEFAKGEEIDSSVLKDEKSRFHLNVTAELLMCDAKSLEDALIQRVMVTPEEIITRTLDPESALGSRDALAKTVYSRLFDWIVEKINRSIGQDPNSKSLIGVLDIYGFESFKQNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFPKNKRFIKPKLSRTSFTICHYAGEVNYLADLFLDKNKDYVVAEHQDLLTASRCSFVAGLFPPLPVESSKSSKFSSIGSRFKLQLQSLMETLSTTEPHYIRCVKPNNVLKPAIFENLNVIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGLLAPEVLEGNYDDKVACQMILDKMGLRGYQIGKTKVFLRAGQMAELDARRTEVLGNAAKIIQRQIRTYIAQKEFISLRKAAVQLQSCWRALSACKLYEQLRREAAALKIQKNFRSHVSRTSYVSVQKAAITLQAGLRAMKARDEFRYRKQTKAAIVIQANYRYHRAYSYYKSLQKAAIVTQCGWRQRVAKRELRSLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTEMEETKAQEITKLQEALRASKLQMEEAKSKAIQEQEAARKAFEEALPVIKETPVIVQDTEKIDALNAEVESLKAVLLSERQSAEEVRKARTSAEVQNAELVQKLEDADRKVDQLQNTVQRLEEKLSNSESENQVLRQQALAMSPTGKSISSRPRTTIIQRNQQNGNVSNGETTLSINLHEMTPAKARSREPELEETPQKSLNEKQQENQDMLIKCISQDIGFSGGKPIAACVIYKCLLHWRSFEVERTSVFDRIIQTIASSIEVPDNNDVLAYWLCNTSTLLTLLQHTLKASGAASLTPQRRRSSSASLFGRMSQGLRGSPQSAGLPFLNGRVLGRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRGSLVKGRASANAVAQQALIAHWQSIVKSLNNYLQTMKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKSGLAELEQWCCHANEDYAGTAWDELKHIRQAVGFLVIHQKPKKTLNEITNELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISNMRVLMTEDSNSAVSSSFLLDDDSSIPFSVDDISKSMQQVDLADIEPPPLIRENSGFVFLHQRSE >KZM87962 pep chromosome:ASM162521v1:7:22559346:22564510:1 gene:DCAR_025063 transcript:KZM87962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANPFDLLGDDDNDDVSHLIAIQQKKKATAASPAPAVAKQPAKPAKLPSKPAPPAQAVKEAKSEGIRGGGRGGGRGYGRRGGGGYNRDFASNDSSFRNSGLAGSQGAIDGSDSGKTFERRGSYGGPRSGFRGDRRGGDEGEENQNRRVFERHSGTGRGNEYKREGSGRGNWGTPTDEISQVTEEISVEGERSLDAKKPSGEEDAGDGNKDKAENDSEEKDLENKEMTLEEYEKVLEEKRKALESLKTEARKVEMDKELASMQPLSSKKSNDEIFAKLGSDKDKRKDSAEKEERAKKSLSINEFLKPAEGETYYSGGARGRGRGRGFRGSSSGSSGTSNYGKAPAIEDPSQFPTLGGK >KZM87925 pep chromosome:ASM162521v1:7:22117446:22117877:1 gene:DCAR_025026 transcript:KZM87925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETDNGRELNKLYRSAAADKEFLRMLIGEEKKERGLTHETSLSKKSLDRLLSQEDDYSDDHYRKNCRQRFLRSYRLVGEEKAEKKNRTWLEKKQASMEVYNSVTKATICYKLCYVINTAVFCSCNYMANQYRCVTRIAKRKG >KZM88706 pep chromosome:ASM162521v1:7:30543048:30543251:1 gene:DCAR_025781 transcript:KZM88706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGCCIGHRRPLARLRAAASLCRLSRHNSALHPSSSATEQPIATNSLPNSPTAINTQSPNPDSSLFR >KZM87504 pep chromosome:ASM162521v1:7:17521079:17522321:-1 gene:DCAR_024638 transcript:KZM87504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPNMATITASLERSLQNCSINNHHQRPGANGHGRRSGSAASSFMTNNEPESAENQNQSHRDYVYSNSNSFYAHRDDDAALDLNSHLSLPYQWEQCLDLKSGEIYYINWRTGMKAKEDPRTSHREMIGDHHYDNYYTDEEEDDDDDDEEDEEEDDSSSSSSSEVYSPPEVEAVSESASCSSSSLLSRGEELRNSKDERSRQVLVVAGCKVCLMYFMVPKQAQLCPKCTSAQLLHF >KZM87817 pep chromosome:ASM162521v1:7:20740869:20742209:-1 gene:DCAR_024918 transcript:KZM87817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSVQHISECYIKPSHLSQDSKKVIHLATWDLAMLSFHYIQKGLLFKKPDAQHNFIQPFLESLKHALSITLAHFYPLAGRLARKQQDSRSFIVFIDCVNSPGARFVHSSVDTTISDILSPPYVPVIVQNFFDHHKAVNYDGLDMSLLTVQVTELVDGIFVGCSVNHSVADGTSYWNFFNTLSHVFQGSQVTPPVHERWFPDGYGPFIGLPFTRDDQFISRYDAPVLKERIFHFSVANLARIKAKANANCKDNKAIRISSLQALSAIIWRCVTRARGLTEDQITSCCMPANNRSRLDPPVSKNYFGNCIQILRTFTTASKLLENNFEWAALQLNKTIVQHDDESVRKSVATWLETPITYQLAQLVDPGTVVVSSSPRFNMYGNEFGFGKPVAVLSGYGNKFDGEVTLYQGSEGGGSIDTLICLNPNIMRALECDEEFLDVLNCSC >KZM86182 pep chromosome:ASM162521v1:7:437163:437715:-1 gene:DCAR_023316 transcript:KZM86182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQKSEVEVTSTVAAGKLYQVLCLDIDTLLPQALPGAIKSSEILQGDGGVGTIKLVHLGDASPYNTMKQKIDAIDKEALTYSYSVIDGDILLGFIDSINNHVVFVPKADGGCTVKNTIIFNTKGDAVVPEESIKYATETNNAIFKAVEAYLTAN >KZM87907 pep chromosome:ASM162521v1:7:21905013:21907311:1 gene:DCAR_025008 transcript:KZM87907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNSDHTNECFDPTSIQDQTLGQQIVVPNFSPPLTYTQQDGLSFEYQNQMGLEIDNQMIQETGLANWDVTQNQDMNFTQESQMLNNFSTHNQSFVNSDSYFLTQTTPCTQANDMLSFSSISFTNPSQKFGFSGDVGNVSGSNILFDPMSHLNLPPQTPLFRELFHQSFPGTKSEGNGEFDDGVFEFGSEMKFLAKGKEAKTTKHLGTERQRRVDLGGKYTILKNLIPSPTKGDRASIVADGINYIKELQRTVNELKVMVEKKRYHRDRLRRHKIEDESGLEVESGKPNGEMGQAFNGPSLRSSWLQRKSKNIEVDVRIIDDEVTIKLVQQKRINSLLVVSKVLDELQLDLQHVAGGLIGDFYSFLFNSKIYEGSSAYASAIANKLIDVVDRQYAAASQTRCNGGSVTAIDAQLATDREPTDRELIK >KZM87461 pep chromosome:ASM162521v1:7:16607273:16609918:1 gene:DCAR_024595 transcript:KZM87461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNGGIAIGIDLGTKYSCVGVWQHDQVEIIPNEHGNRTTPSCVAFTHSDHLIGDAAVDQAALNPLNTIFVKNAVVTVTTFSNSFQRQATKDAADIAGLNVLRFINEPTAAAIAYGLDKKATIVGEKNVLIYDLGGGTLDVSLLTIEENIFEVKATAGDTHLGGEDFNNRLVNHFVQEFKRKCKKDLTRNARSLRRLRTYCESAKRTLSYTVKTAIEIDYLYEGIDFCTSITRTRFEELNMDLFRKCMEPVEKCLRIAKMDKGTIHDVVLVGGSTRIPKIQQLLQEFFNGKELCKSINPDESVAYGAAVQAAILSGEANEKVQDLLLLDVTPLSLGMETAGGVMTVIVPRNTRIPTKKELFLPKREDNEPAGLIQVFEGERTRTRDNIMLGKFELSTISHSSRGVPEITVCFDIDEKGVLDVSVVEKTTGQTKKITLNNKGRFSSKDIEKLVQEAEMYKAEDEEQKKKVGEKRIRAKNALENFAYGMKKTIRDVKMKVYNAVEQSVQWLDGNQSAQAEEFEYKLKELKEKCNFIIAKTYGPGAAPNMAGGKDKYNSSACASGEGFKIEEVD >KZM87880 pep chromosome:ASM162521v1:7:21603660:21607039:1 gene:DCAR_024981 transcript:KZM87880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGFIAERFLKYVTEHDLFTDEIGGLSCVKFLTETDPVLDELVVPASFIHICVSQLSLRCFVDYVLSNGKKVAGGYTRSTLTFTGFQRVGECLGIHDLNTYNMLLLKYESNGDLSVGVFDDSFVEVLSNGTPLSPVFVDEDGLCFLTTVGCGNTVVDDIDVVVKFRKLSLTWDPSDSIMVYKENQKWSLNVRKKKKHKTTMITNGWIQLRQDLELHVGDIVVFDWKDDRVRSFDVRVLRYFYAM >KZM88662 pep chromosome:ASM162521v1:7:30104666:30105202:1 gene:DCAR_025737 transcript:KZM88662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNKGDWKKVAMIRKVMRDRGVKKEIGFSSADVGDTDDSSSLHGFLSDGKTHKQWEKIYKLAESLGSGMKLILKAGEDVALKDGPYINESA >KZM86348 pep chromosome:ASM162521v1:7:1885392:1889260:-1 gene:DCAR_023482 transcript:KZM86348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNESGDSSIWSREQDIMFENALATYSEDLSDRWEKIAADVPGKSLEEVRDHYELLVDDVNRIESGCVPLPRYNSSSSGSIGQAGNEGTGKKGGSFGNFNSESNHNGKALKSEQERRKGIAWTEEEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVNNGEMPVSPTPITGQTNGSSAGGSSGKPIKQTPQLVAPGVMYGGTTIGQPVGGSLVAGTPVNLSQPAHMGYSMIAPSGQLVPGAPVNMGPMAYPLPQTSTHRRELEWENERIGMSIPRIKEWENGRIGMSIPRINE >KZM88746 pep chromosome:ASM162521v1:7:30955020:30955616:1 gene:DCAR_025821 transcript:KZM88746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAIPASSEIFGSQFPAFFEGGFPPWDCQELPPFLHQPNEQVQLFTPIPAKEPVFSNSGSVRAELNATQKNINQCATNRKRKSPESSGSDDPEERKQRRMISNRESARRSRMRKQKHLENLRNQVKRFKIGNRDMMNRLHTMIIHSRIVRMENERLQVESVMLQQKLWDMRQILHARQLQQQLSSSAWPCNNAPFHQ >KZM86528 pep chromosome:ASM162521v1:7:3796267:3799887:-1 gene:DCAR_023662 transcript:KZM86528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNIHFRVSLIIFASALLLYNTNGADSSTKISNGYRLISIQESPDGGFVGYLQVKQKNIIYGPDIPLLQLYVKHETDGRLRVHITDADEQRWEVPYNLLPRAQPPNIKPIYRSRKRPTVTSEYSNTELIFSYTTDPFGFAVTRKSNRETLFNSSGPFNSLVFKNQYLEISTQLPKEASIYGLGENTQPNGIKLKPKDPYTLYATDIPACNLNTDLYGSHPVYMDLRNVGQLAKAHAVLLLNSNGMDVVYTGTSLTYKVIGGVLDFYFFAGPTPLAVVDQYTEFVGRPAAMPYWSLGFHQSRWGYRNLSEVETTVESYKKARIPLDVIWNDDDTMDSYKDFTLNNVAYPHKKLLAFLEKLHSQGMKYIVLIDPGISVNSTYDVFQRGLANDVFIKYHGKPYLAQVWPGAVYFPDFLNPKTVSWWGDEIKRFHELIPVDGLWIDMNEASNFCSGLCTIPEGKKCPSEAGPRWDCCLDCTNLTGTRWDNPPYKINASGMVEALGFKTIATSVRHYNGVLEYDAHSLYGFSQAMATHKALKGIQGKRPFILTRSTFVGSGHYAAHWTGDNNATWEDLRYSISTILNFGIFGVPMVGSDICGFYPAPTEELCNRWIELGAFYPFSRDHANYYSPKQELYQWKSVAESARNALGLRYKLLPYLYTLTYEAHISGAPIARPLFFSFPQCTICNELSTQFLLGSSLMVSPVLEPNKTKVNAFFPPGTWYNMFDMSHAIVLQEGQYVSLDAPLHVINVHVYQNAIIPMQQGGLTSKEARKTPFSLVVTFPAGATNSKASGNLFIDEDELPDMGLGNGFSTYIDFHATVSKGKAKLWSHVKGPKFALDKDWIIEKVTVLGLNGVGGTFGVEVDDVAVDVSSVVITTGNNDRNSGNVTRKSMMIEIKGLKLAVGKKFIMSWKW >KZM89006 pep chromosome:ASM162521v1:7:32987260:32994026:-1 gene:DCAR_026081 transcript:KZM89006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPEVLEAVLKETVDLESIPIEEVFENLRCSRDGLTTTAAEERLAIFGYNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKAPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVFRDGKWNEEDAAVLVPGDIISIKLGDIVPADARLLDGDPLKIDQSSLTGESLPVTKGPGDGVYSGSTCKQGEIDAVVIATGVHTFFGKAAYLVDSTNQVLTAIGNFCICSIAVGMVIEIIVQYPIQDRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGAHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAARASRTENQDAIDTAIVGMLADPKEARAGIQEIHFLPFNPTDKRTALTYLDRDGKMHRVSKGAPEQILNLAHNKSDIDRRVHSIIDKFADRGLRSLAVAYQEVPEGRKESAGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLAEIFTTGIILGSYLAMMTVIFFWAAYKTDFFPSTFGVSSLEEMPSDTPERTKLISRKLASAIYLQVSTVSQALIFVTRSRSWSFVERPGLLLVGAFAVAQLIATLIAVYANWGFAAIEGIGWGWAGVIWLYNIIFYIPLDVIKFFIRYAISGRAWDLVLERRIAFTRQKDFGREQRELRWAHAQRTLHGLEVPDTKMFGERNNVTELNQMAEEAKRRAEIAKLREMNTLKGHVESVIRMKGIDVETIQQSYTV >KZM88547 pep chromosome:ASM162521v1:7:29096590:29099117:-1 gene:DCAR_025622 transcript:KZM88547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQSALISSLSLNKKDVLLHVRATRIWESFSVDKKSSQRKMLNTKVVFIDEEQSQIMLTVWNNQKQDYFPLLKEGGVYDISQFRVVPNLTGYRIVNSEIALSFDHNTKVIPKEETERIPLFKFELTKFKDVPSLLWNTKNLIEVAGLVTEYGDPETASNGAKKMDILLLDSSNKDMIVTLWEEKANGFQNDLAAADDGAAFVIITGLLVKKYSGKDIVLSSGDATRTYFNIDYAPLKELSQSMIRNARERGTTIPPPRKKIFVSTAENALKVVKIQDILEVELPNAGEIMRFICEANIINVSKYDGWYYNSCPKCPKGIRIEENKFYCDACKKETDGYTQRYKIIIGVQDDSGRTTFALLNNDAEQLIGVPVRSIISDLGQDNLTQDIPPIINNIIGRRCAFEVKVSSYNRDGRAGYTVGRLIEMPGSSTHVKEGEQHNEAGPSKKARLSSPK >KZM88300 pep chromosome:ASM162521v1:7:26593454:26603641:1 gene:DCAR_025375 transcript:KZM88300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVFGKRAKQMRLNKVSELIHNSTNHQNLDCASVTVYFQEIIDLEGEMYEVVPGSEFSVTRKAYRTEKVEYFINNHSSTYTLVTKKLKEKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDLGFLEYIEDIIGTNKYVEPIEELSKELETLTEKRTGVVEMVKLAEKERNSLEDVKNEAEAYMLKEWSLLKWKDKATNLASEENSAQMGELQAKLSQFEENLKSEREMIKENKNKLKKLEVMHSEKMKFQEELDSDLKHCRDEFKEFERLDVKHREDFKHVKQKIKKLDDKLEKDSVKITEIEKESEESTNLIPQLEGDIPKLQKLLSDEESLLEEIKENAKVETEIYRSELTKVRAELEPWEKQLIEHKGKLDVASNESKLLNDKHEAGRAAYEDAKRQLCDIHENIETKTASLKEIQSELEKSKLQDCLKEQDQLIPLEQSARQKVTELVSVMESEKSQGSVLKAIMQAKESNEISGIYGRMGDLGAIDGKYDVAISTACPGLDYVVVETTAAAQACVELLRRKNLGVATFMILEKQVNHLSRINEKVKTPEGVPRLFDLITVKDERIKLAFFAAMGNTVVAKDIDQATRIAYGGDREFRRVVTLEGALFEKSGTMSGGGGKPRGGKMGTSIRVSSVSREAVTDAEKELSLVLGKLDMIRQKINDAARSYQSSEKAISHLEMDLAKCQKEVDSLKSQHAYLEKQLDSLKDASKPSNDELEALKKLTRIISGEENEIARITESSKNLKEKASELQNKIENAGGEKLKGQILKVKNIQSDIDTKSTEINRHKVQIETGKKMIKKLTKGIEDSKTERERISLEKEKLLANFKEIEQKAFAVQENYKKTQERIDQHKDGLEKAKSEYEELKKTVDADYKLKDMKKLYKDLEIKGKGYSKQIDDLENATRNQLEQIKKDLVDPEKLQETLADASLHEACDLKRGMEMVVLLESQLKEMNPNLESISEYRKKVSLYNERVEELDSITQQRDEVKERHDELRKKRLDEFMAGYNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGIVFSVRPPKKSWKCIGNLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKNAQFVIISLRNNMFELADRLVGIYKTDNCTKSITINPTSFGICQKSA >KZM86710 pep chromosome:ASM162521v1:7:6076863:6090552:-1 gene:DCAR_023844 transcript:KZM86710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNLCAVDTFSDDNDDLPEKKPKRKVKTPAQIGGLERLYEEHKYPSESLKMQLAESLGLTEKQVSGWFCHRRLKDKKLKDDPNAYGKQDRSSGVIQDRGSGLKQDSCGSTKQGEQRHSEPREVESKRFTGEKVPGSQDITCEPVSDQIQNDSGTDDTSSGSSFPLQNNYFSRSTEPRGMVTSIDVSRKGNSKAVAVEGLKVRTKPSGYLKVKVQAEHVAITSVKRQLGRHYKEDGPPLGIEFDPLPPGAFESPIRDAVEEPGLVCESIPFSSRNSMTCKQRHPGRGYGDYLSRASSRNSDLDMTSMQVMQKSNSRENYLDLHGEKKPTFSYDRNSAGKMYSSMEMNEDFAREAVRDIRDGKEMRTTHHGRINEFDFNRRMKESASNDRVPMYGKRLDRRQREPSDFGDVGEKFTLTKSSESRPSNFPVKHNDFFPLEERKASRQAIKETDAYGKKRQFDAYYDPVEVSAFPANEIRHKIFFADTDNADIAAHAFTFREMATATKNFRQECVLFDDGFGRVFKGTLKSTGQVVAVKQLDRNGIKGTKEFLDEVRILSPIKHPNLINLLGYCADGDQRILVYDYMPLGSLETHLLDAERAADKNPLDWVTRMKIATGAAQGLEYLHEEAKPPIIYSDFKSSKILLDDNYNPKLCDFGLAKLAESGNAAIPARVMGTYGYSAPEDPKRFPEMADPLLNKDFSVKSLNQAVGVAAMCLQEEPTVRPYITDVVAALSFLEFDAPNPPAPTASPDKESAKENDHADNVSSRSSSPSDHNADSCDHSDHEDNNDIISQSTEMPDGSSVDHEFEHDHSSSSGDEQYDDAENEPKSRTNTMKSTDSKTDKEMEDSEDESIYSSSSSSSDKKDDDDNDDDDELGEKKSSKTKKKVKSKIKSSIRHAAGTNKKIKNKWRKAKTVLHHKTKK >KZM89241 pep chromosome:ASM162521v1:7:35274922:35278818:-1 gene:DCAR_026316 transcript:KZM89241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESGVVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFLGTAGAASNLKSIAEKNLNAAVVDCCIGIPIYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGLKKGQLKILAHAFDRSLGGRDFDEVLFQNFAEKFKREYKIDVYQNARASLRLRAACEKLKKVLSANPEAPMNIECLMDEKDVRGFIKRDEFEQISIPILERVKKPLEKALSEAGLTVENIYAVEVVGSGSRVPAIMKILTEFFGKEPRRTMNASECVAKGCALECAILSPTFKVREFQVNESFPFTIALSWKGTAPDAQNGADNQQSTIVFPKGNSIPSVKALTFFRSGTFTVDVHYADVNEVQAPAMISTYTIGPFQSTKSERAKVKVKVRLNLHGVVSIESATLLEEEEVEIPVVKETTKMDTDEVPPAPALSSGTENDVDMEDAKSAAPGSANAAQGDVPVQMETESKVEVSKKKVKKTDIPVTELVYGGMPHADVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLHDKYHDFVTDPEREEFIAILQQVEDWLYEDGEDETKGVYVAKLEELKKRGDPVELRYKEHLERGSVVDELVYCINSYREAVMSSDSKFDHIDIAEKEKVLKECVDAEAWLKEKKQHQDSLPKHATPVLLSADLKKKAEALDRFCRPIMTKPKPKAAKPAAPEVSSPSSDQSHGAENFGDLNKDASENTPGTEVPLASEEPMETEKPESAA >KZM88841 pep chromosome:ASM162521v1:7:31671009:31671263:1 gene:DCAR_025916 transcript:KZM88841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCRRSLVLVVAALVVSVCPIWMCSHGMVDGIRIFYETNSASELGDCSGVNRGREDSGCSGSARRRFEDFKRRVPTGSDPLHN >KZM88705 pep chromosome:ASM162521v1:7:30535263:30537377:-1 gene:DCAR_025780 transcript:KZM88705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRMRLTARKRVPLNHPQIFRFNLPAQESLTESDSDGSSVERSPQVPPLPSHSSSASVDANPVQAEPEEDPEEDPVVGSVQEVVANPALVKSVEKTVEAVKTGRVPISQMEPGEARVMRIIEEAKQEVGIETDADGRQRIVHRQIRATASVSATSRAPAGGMTQAVPHHVFAALGRDCDFLRGQNAEIRRLMDVLLQERRVPVEDSEARSRIGAIEHIARQRLAEFPSTSEWDVEARRVTRLICWILSELRAVRGPRN >KZM88735 pep chromosome:ASM162521v1:7:30851571:30854633:-1 gene:DCAR_025810 transcript:KZM88735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIDSPNANIGHYNESVAFIEEGSGKDGIAQPSTLAIAYESSEDENEKLTSRFRKLDDLADVKLESSNMLEQVQQEREYVSAASDRVKATVDEEVWRIRHMSPEDAVAAAAEAVKMAEAAMAEAEEAEKEAVEAERLAEEKQSIADELERKLMEKKARAAAKHKKHGRQQ >KZM87731 pep chromosome:ASM162521v1:7:19846824:19847699:1 gene:DCAR_024832 transcript:KZM87731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKSKIILLRGIKTFFFFIYMLFSFLLFSAPVLLVIADTLIPSLAFLSASPAPFSLPTLYSLLAEYDFRNSLIDIPLISIIRSAVILCVYSLCDGPRLSRGPYLAVVTICSVSSLVFVSLKASYVFDDLSYNIYEQGDYVGAMEIALFLCSWILAIGHIVVAYRISCRETRKLLVYKIDIEAVSLCKKGYPRYQKILQEERVK >KZM87926 pep chromosome:ASM162521v1:7:22118380:22120405:-1 gene:DCAR_025027 transcript:KZM87926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFITLAKPFTCNKTPSFPSISTPKFPGFQRNSLRINAIAKTIDPTKVVPQADRVLIRLQDLPQKSAGGVLLPKSAVKFERYLMGEVLSVGSEVAEVEAGKKVLFSDINAYEVELGSTGKHCFCKAADLLAVVE >KZM89215 pep chromosome:ASM162521v1:7:35023662:35023979:1 gene:DCAR_026290 transcript:KZM89215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNFGATMHTTSAGTTAVAAGVHQWNSPLPYLFGGLALMLTLIALALVILACSFKKAMTDSQSQNVEEENLAKPAGYVMELEMEPRIVVIMAGDEIPTFLLQKS >KZM88468 pep chromosome:ASM162521v1:7:28162708:28163277:-1 gene:DCAR_025543 transcript:KZM88468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAFFLLSLFTIQLGTQARILPAAGENGTFEFINVNYNNSPPPPPAPNASSPKTKMNNYRKEPSSPPPPPSASLTIGEAVLTTLPKPKMSDYGIIAKSPPPPPYASPTKGHVSSTILKPWTRQGGLEKTPQSRPHPRDIWIASTFVADC >KZM87845 pep chromosome:ASM162521v1:7:21137250:21154589:1 gene:DCAR_024946 transcript:KZM87845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASRLLLSKNICKSLLQPPFSSSPISRLITSVAHRQAFSFRGFTGLPDYDSSSSVATALNLDNRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDSSLVASHSSSNEDILMVNNGCLCCTVRGDLVKMLLELVKTRRDNFDHIVIETTGLAKPGPVIETFCTDELVSRHVKLDGVVTLVDSKHVLKHLDKVKPRFVVNEAEEQIAYADRIIVNKTDLVTDGELEVVTKRIKHINAMAEIKLAKFGCVDMDFVLGVGGYDLDRINSMVQGDDHAAQRHEASHENHNGNHHHHHHHDHVHDSSVSSVSIVCEGTLDLDELDDWLERLIEEKGEDLYRMKGVLSVNGSSERYVFQGVHSTLDGSPGKTWEPEEKRINKIVFIGRHLDETALRKGFKGNECLEKSELIHMWLQNAVIFYSNSFEVSKYGGTLLDISQVSGDSGASVGNYNVPFPTDYDQLLQQAREASELALKDRKQLMEIEFPTAGLDSVPGDGEGGIEMTGSMQLIREFCDLFIKSEKVIRTRIFFPEANEVTFARGSVFEGASFKLDYLTKPSFFEDFGFTEKVKMADRVKPEDELFVVAYPYFNVNEMLVVEELYREAVINTDRQMIIFNGELDRIRSGYYPAFFYPKLAALTKTPFPKMETVYYIHNFKGRNGGTLFRSYPGPWKVLRRVGNKHICLHEQDSMPTLKEVALDILPSA >KZM86579 pep chromosome:ASM162521v1:7:4607275:4608114:-1 gene:DCAR_023713 transcript:KZM86579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSHYLNNPEDLSLPPEGPNSGYLVIQDEESEIFCCFGLWKDRDLVDLPFPQNKNLTTRYTGTHHRQEQHTSYHDVTFFPVLNQPLSSNRYYAIKPHGKHKGKAYASSKEEDMTTCCFCSSVSDVKPRPFDPSDTHQQFEIANYETACNEGGGFYAKSVAQDSFPPHFLRRKGWKINTKTPKNYTLGEALGLNSSLRACLPDLSSKAVVIGKWYCPFMFIKDGASVNFKRSNDKFNVL >KZM88852 pep chromosome:ASM162521v1:7:31762878:31767555:-1 gene:DCAR_025927 transcript:KZM88852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRIAPGVGANLLGQHAAERNQDATAYASEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFVGNLDPDVDEKLLYDTFSAFGGIASNPKIMRDPDTGNSRGFGFISYESFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPGIQKSRPHTLFASGPPSLNNVAQANGAPVPPRPFANGVVPSGQIPAFRPPPPQVFPQMQISGPPPSWQGHQQGQTLAPPPMQQPPMQHYRPPPPNMPPPPQQMAQMMNRPPPPPAAMGAPQQYWRQPPPPQHMVGGHHVMQQMSMPPPPPPNAQPMPPPASS >KZM87603 pep chromosome:ASM162521v1:7:18552397:18552636:1 gene:DCAR_031943 transcript:KZM87603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPEFKSSKRGAAYCRIARSLSSTINAPAGMSPLLTLSSIATSVCLILRCAFLLLLNVHSISGSKSNTTGCLSLMHKET >KZM87643 pep chromosome:ASM162521v1:7:18874680:18875312:-1 gene:DCAR_024749 transcript:KZM87643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHNNLRNSCSFPYILLSVLNFILFILSATSLVPTIALKMPPTSLGLAFLMVSSISLLSSFLGFYSHLAHFCFLTHVSLLLASCTGQLLGILALFTKEKSSLSMLKSDRDPKEAKLLVRLECGIFMAMFVMQLAVVVITCAVHSCWVREYEDIEAEKEALAQKRSRRVARVQEESIANALKISEIKAKELDEKMKVKYGLWVKNDFEG >KZM87961 pep chromosome:ASM162521v1:7:22555627:22555941:1 gene:DCAR_025062 transcript:KZM87961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSLQYIRKIKYISFTQHALTNKIYNSTGYLRLGITTTEMALFQTEDTSDAVITGRKIALTFATSFDMLAFMVNLYCKYSEGCVPISIIECTTFYVCCNQTI >KZM87341 pep chromosome:ASM162521v1:7:14813633:14814447:1 gene:DCAR_024475 transcript:KZM87341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKNIASFLIFTTLLSCFISETFAIAGRASWYATLVPSTCFGGADEGNMVVAIPQAMYDQGYQCGQMLTVSCQAQGYPCKSSESINVKIVEKCTSSAPNIIALYKDAFAEIADPGAGSIPIEFS >KZM86327 pep chromosome:ASM162521v1:7:1731741:1735582:1 gene:DCAR_023461 transcript:KZM86327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKFMNSFNINIVVVFLVVVVLDGVVLARHQPSEVSYEEAKAQQGADRIGKLPGQPEVSFKQYSGYVTVNESHGRALFYWFFEATEAPEKKPLLLWLNGGPGCSSIGYGEAEELGPFLTQKGKPELSFNKHSWNKEANLLFLESPVGVGFSYTNTSSDISNLGDTITAKDSYIFLVNWFKRFPQFKSHDFYIAGESYAGHYVPQLSEVIFDSNKHVSKEEYINFKGFMIGNALLDDETDQKGMIDYAWDHAVISDHLYDELKLECNFSERNQTDACGDALDKYYNVYKIIDMYSLYTPSCFDNNGTSISRQLPKIHGIAPHLFSKHDGWHKKPAGYDPCLSEYTEVYLNRADVQKALHANVTKISYPWTHCSDAISFWEDAPSSVLPVIRKLVNGGLRVWIFSGDTDGRIPVTATRLTLKKLGLKTVKDWTPWYSNQQVGGWTIEYEGLMFVTVRGAGHQVPTFKPKEALQLVRHFLADKKLPITPY >KZM88523 pep chromosome:ASM162521v1:7:28810292:28818301:1 gene:DCAR_025598 transcript:KZM88523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAFSQHITRRFIDLENVEEREYSTAINSAHSLKLEQEEVVEVQEKEEQEGDDVAHLVGCISLHKKSSDSNHGAEAIFALWTFSVAYNKTVPVSRFQIPNPANMNRQQLSQSTHGSGSANTRATPSSPLIRVMYLDNCLKHMVFSRVRSEFYLTCLDFPKHRNYSTGDCRTHGSDQTQNSASCKRISLGPDSPSTRDGELTFMDSGHIIFTEDIGLCFIGI >KZM88430 pep chromosome:ASM162521v1:7:27820918:27821679:-1 gene:DCAR_025505 transcript:KZM88430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKHLLFSSVLLLNLISLLSFSQARSSIVPLPQPALSSFKINTTSKNDRSCSYTVRITTSCSSPVYTRDQISLSFGDAYGNQVYAPRLDDPSTRTFERCSADTFTVYGPCTYPICYLYLYRRGYDGWMPEYVRVYGSSTVTFYYKTYIPSDLWYGFNYCNGVTSSATPAVSVQ >KZM86934 pep chromosome:ASM162521v1:7:8561155:8561886:1 gene:DCAR_024068 transcript:KZM86934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTENKDIRIRPGYPSYDSYIQRQLNKTLNPKLREIWTTRDWDRKIQVFSIFFDNLKRENLLTNSSKALCIGARVGQEVEALKRVGVTDSVGIDLVPYPPLVIEGDFHNQPFHNETFDFEFSNVFDHALYPDKFVAEIERTLKAGGICVLHVAVSRRADKYSANDLYNVRGLKALFLRSDCVHVRKVDGFGLDTEVVFRKRNS >KZM89342 pep chromosome:ASM162521v1:7:36090867:36095457:-1 gene:DCAR_026417 transcript:KZM89342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKEIMGFSVLEKSSFVTSSNIVLFNNNFRQRTKNHRFCATPVVVCCSDNKRFQRTTPSAAVTDNLVRVAPEKAVRFKVRAVITVRNKTKEDFKETLVRHVDSFADKFGRNVVLQLVSTQVDLRTGTPKKSKETVLRDWSEKSNVKEERVNYTADFVVDSNFGVPGAITVINKHHQEFFMESITIEGFACGPVHFPCSSWVQSTTDLPGKRIFFSNQPYLPSETPAGLRALRQKELRDLRGDGKGVRKLSDRIYDYDVYNDLGNPDKGIDFVRPSLGGEKCPYPRRCRTGRLPSNSDDHAESRVEKPLPMYVPRDEQFEECKANTFSFSRLKGMLHNLLPAITAKFSPKNPDFKGFEQIDSLYSEGLPLNLGTQDKFLEKFPMMKGSRQANLLKYDIPMITSQDKFAWMRDDEFARQAIAGVNPVAIEGLTSFPPVSKLDPELHGPQESALKEEHIIGHLNGMTVQEALEGNKLFIVDYHDIYLPFLDKINAIDGRATYATRTIFFSTPSGTLKPIAIELSLPGPSSRAKRVFTPPVDATTNWLWQLAKAHVCSNDAGAHQLVYHWLRTHACTEPFIIAAHRQLSSMHPIYKLLDPHMRYTLEINALARQNLINADGVIEACFTPGRYCMEISAAAYKNWRFDLEGLPADLIRRGMAVPDSTQPHGVKLLLDDYPYAADGLLIWDAINNWVRTYVNHYYTDSNTVCNDRELQAWYAESINVGHADVRNESWWPRLATSDDLTSILTTIIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLVPDENDPEYVNFLSDPQKYFLASIPSMLQSTKYMAVVDTLSTHSADEEYIGERSNPSIWSGDAEIVEAFYEFSAKIGHIEKEIEERNRDPKLKNRCGAGVLPYELLAPSSGPGATCRGIPNSVTI >KZM89275 pep chromosome:ASM162521v1:7:35573742:35577351:1 gene:DCAR_026350 transcript:KZM89275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSNNGHNSRTCPTRPGGPTAPGVRLFGVRLTDGSIIKKSASMGNLSAFSAVSPNPPGSPCDALLDPTHVPDEYLSDDPAHASCSTNRRVERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRNFVISRTPTQVASHAQKYFIRQTNSTRRKRRSSLFDMVTDTPPVVEEQFMLPPQAVETDKKSSLPSLVLTPPVVEEQFMLPPQAIENDKKNSLPSLGLSLKTDYGSTEDTHMEDPRAEMVEDIKESVTTQAEAEIQQVIPSFYPAYIPVTFQLWPSSASILEEEKGTEASHHEVLKPIAVLRKEPVNVDELVGMSQLSIGETDTSRMEPSALTLKLLGEPSRQSAFHPSTPVSASDVSKGNGSSVIQAV >KZM87486 pep chromosome:ASM162521v1:7:17103956:17110888:1 gene:DCAR_024620 transcript:KZM87486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRGDDEDDDDEIQENKKNLNPQIDNAETEFMDTQPSPLLLQGYESYDDAVTLEDAETQGVCLAGETQALCYDGETEAVDDVDCAEDLCTQLFDDHDTEVVVDVDDEGAKRNGVLDDTEALSDVDCVERVDNHTVVQDIMLQTCLQKQDDGDFKEVENTEECDTAPVLEGFTSLRVASIRSSGLAARNMSLRRTNNTSYYSMSSSQHEVESSGPSVIDSSLKVLGLIDQRHSLEELDDKIKGSSSENKCRVGKSAVRKLLYEDMSHEEGLDINTNNTDKEENFPPVCNSDMELAGLSYVDSQEPGEASQTIALDFVDQFLKVNVTELDQEAEIIRSTGGKLKPLPGARGTQFLAKRANRIGSASGGTFDWDDYQEDGGGQFLRKEKGAFSNDGRRAHQSLPKSQDLKHLNPNNCWSVKENGEKGEQHDLCNSVAGLFDSDSKLLLHKTKKIDESMKVAGKFIGQNLIKKLNDARDGMVETGTQKDNPDMLDIGVDTQLAADAMEALCNGVGLNDEENNVTNQGAEIHFLSNEKGNFLRTLVSDRDFVQKRASRSPGSALTTRQTNQTKRYGTGLTKGFSILSEQQSRKARKQCDETEDMCNLHCELVEKSMNEKELERGVIGENERCVVSAPSGKKTVKKGCVQEQLNTYLPIACRTRQKASTQTQRDTKTSTILVKGIDNLSAPIAPKRKRSRAAINTLARKNCTEFKFIQPAHPKKTKVTHTEPSDLKICGTGTIQKGNVHPKPSKEEINGMSNSKIKFTQMKSGIKRKILASGQSQKELVEDTTVDHSPNHHSAIGTSEDSAVIKAQTAEYTIGKSRSAITAAEGAKLNPWSKESLKDICTPKSSAYVTPNIYTKSVNKASPVGKEYHKPSFRKKPLSSPLKKEDSRIIEDGPESAYTYKELRKRRDITKVQVLFSQHLDGDVIKQQKKVLFRLGASVASSVSDATHFVADEFVRTRNMLEAIAFGKPVVTHFWLESCGQASCLIDERNYILRDAKKEKEIGFSLPVSLARACQHPLLQGCRVFITPNTKPGKDILASLVKAVHGVAVDRIGRSAAKDEMVSSDWIVLSCEEDYADCVPFLEKGASIYSSELLLNGIVIQKLEHKRSLSFPYINFFIHVPVSLILTRSYAKEKKKLFHRFHAI >KZM89314 pep chromosome:ASM162521v1:7:35902541:35904090:-1 gene:DCAR_026389 transcript:KZM89314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWIIWFISRRKIQTTRDPARQALRALKALVRLQAIVRGRLVRKQAAVTLKCMQALVRVQARARARACSESQASEDDLNDNGFINQGEWCDSPGTIEEMQAKLKMKEEGAIKRERALTYAIQQQQLRKKEFGLNSRKNKVATPKVSKSSSGWNCLEGWMTPVSKHKQDYSVWPCSNSSESDSVKITRNNISTRISARPCITSPIIRSTSGPCSELFYDDSSTSNSSASTFGTPRSSNSQSERTTAKRNYMNLTESIKAKQRASNHLSRNVKDHATEKLQLRKKSSPLSKMLARRSSDCDMYSDDMEDLYPPLI >KZM87813 pep chromosome:ASM162521v1:7:20723966:20724766:-1 gene:DCAR_024914 transcript:KZM87813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTYANTPDIFSSEMTTSYWLFKIAFSILSILLSLVSTSAVVFTIACIYSARETTYKKVMKVVPKVLKGLLITFFWIFIVSFAVIMGVSLIIFIVVFVVVITSGTVPSTPSIPVIIILLILGGIILLGTLYMGLVWQLANIVSVLEDVHGIQAMKKSRNLIKGKTGLSAAVLVVLSLCYLVIDQGFKASVVRGESVWGKILHACLWAMVVSMFSLFGLVIQTIIYFVCKSYHGENTHKSSLALHLEVFLKDYDQLDSQEEVFMV >KZM88446 pep chromosome:ASM162521v1:7:27963761:27964347:-1 gene:DCAR_025521 transcript:KZM88446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVSFSPLPPSLISYFQNGMVFEVRMGRFKSISSGFGVIRFRVGLSLPGLAAEIFGSVPEADIWFGFGSVRVCKVQEKLIPVRLRVGLRSKAEIFGSVPGRLSIQTSEAEMFGSVSGRFVFAKFRRS >KZM86524 pep chromosome:ASM162521v1:7:3739574:3739906:1 gene:DCAR_023658 transcript:KZM86524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSGRKRSCSATYTSSLILLLTISCLYTWASTSCKAEAYRILREQKQAPQDQQQMRNITAEADRFREFFSSKVSQDSDLSNNNNNSTDTNKGFQEEKRRVPSCPDPLHN >KZM86788 pep chromosome:ASM162521v1:7:6872233:6872659:1 gene:DCAR_023922 transcript:KZM86788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCCSSHIQTPGLCSCGRSPVLRTSWTDANPGRRFWGCSQYVRNRSRGCNFHMWHDPAVGDRAKNIIPGLLRRIQRLEDEIMRRRNKEKMLFFSLIIALAIVCVLVVVCLVIVI >KZM87503 pep chromosome:ASM162521v1:7:17449678:17456226:-1 gene:DCAR_024637 transcript:KZM87503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQSSWSFPQQSAIPVRFEQQLRRPSEQPMCLSFSTGNNLRRRDWMRALAMERSSGGGGDPPAAVPNSNYVVPMDSNLSSCITRPLAEILRDLNKRIPDNIITKTHEDLINTSTPFIPWYHANRMLSFYAPGWCGEIRDVIFSDSGSVTVVYRVTVRGSDGEAYRESTGTVSSSTDHVVDPVAAAEELAFGRACARFGLGAGLLFLAVEAEGLENLLKKKSHLLWPPAHQMNERLVQTNKRKQQERRGVKAMSSLVMGMSEQQARAALVVLISYCFCVAACASTSIPKPREWPHQFHSILMMNYSGELQIIDLWYDWTNGRNFNIIQKQLDPNVVYDLEWNNGTSFYYTLPSNSNSNSDSDYTPYCSSAQLQVGILRPNWLDGANYIGQRHLDGFLCNVWEKVEFLWYYEDVVTRRPVHWLFYTGISAAQ >KZM86968 pep chromosome:ASM162521v1:7:9114832:9116829:1 gene:DCAR_024102 transcript:KZM86968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPYQMISNLRPQTTTAWRLKVRVTRIWQAIDRQGETVGINLIFVDELLFVAEGVDYIQRHVFHFTDLSAIMDAARESNFLTDVVGILQQVQPISTYRNKYNQLKYSIQFTINDMHTSAQVIFYDEMAQSFDQEVHDAGQHPIIVIISSVKARLIQAIRFFINLNHEAVKDLRDALRLTNWRLH >KZM88170 pep chromosome:ASM162521v1:7:25157538:25158932:1 gene:DCAR_025245 transcript:KZM88170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASHIAIFPTPGMGHLIPLVQFAKRLITLHKFTATFIVPTDGPLSKAQQKFLDSLPNGLDYVVLPPVNVDDLPNDVMIETRMSILISRSLPSLRDEFESLHATKKLASFVVDLFGTDALDVAIEFKVSPYIFFPSTAMGLSLFCYLPKLDEMTSCEYRDLPDPVQIPGCIPVHGRDLLDPVQDRRNEAYKWVLHHARRYSLAEGVMVNSFMDLEGGAIKALQELGRPPVYPVGPLIQRDQLSTGADGLDCLRWLDGQPCGSVLFVSFGSGGTLTANQITELALGLEMSEQRFLWVIRSPNDDSANAAFFESYSKKDPLDFLPQGFIERTKGHGLVVPDWAPQAQILSHGSTGGFLTHCGWNSILETVTNGVPVIAWPLYAEQKMNAVMLNEDLKVALRAQVGENGMVGRVEIATLVKGLMEGEEGKGLRTRMRDLKDAAVKVLGEEGSSTKGLAQVVSKWCS >KZM86851 pep chromosome:ASM162521v1:7:7649940:7654025:1 gene:DCAR_023985 transcript:KZM86851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFGLLRKAISSSPEKYLQRRCLSPPQAATAQLQQNDGPVPKMPAFDYTPPPYTGPTADEILRKRKEYLSPSMFYFYKKPLNIVDGKMQYLFDESGRRYLDAFGGIATVCCGHCHPDVVRTIVDQTKKLQHSTILYLNNEIADFAEALASKLPGDLKVVFFTNSGTEANELAMMIAKLYTGCNDIVSLRNAYHGNAAGTMGATAQCNWKFNVVQTGVHHAMNPDPYRGAFGSDGEKYAKDVHDLIQFGTSGNIAGFICEAIQGVGGIVELAPGYLSAVYSTIKKAGGLFISDEVQSGFARTGSHFWGFESHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRSYFNTFGGNPVCTAAGHAVLKVIEKEKLQENAQAVGSHLKERLNVLKDKYEIIGDVRGRGLMLGVELVTDRQQKTPANAEILHVMEQMKDMGVLVGKGGFYGNVFRVTPPLCFTKEDADYFVDVMDYAMSKI >KZM89001 pep chromosome:ASM162521v1:7:32961616:32968449:1 gene:DCAR_026076 transcript:KZM89001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFATQSHYAEQIAAAVEAESHCASQTRLLIDQISAQKGEIVFLQEQKKHQDEKCRQLSALVQHLESKESVMRCLLAISASLKKALISISKCAHACEVDSSLFCRYQEPVASKYPLFVSQHLDFERVRTERPGEIIAYYKIARHYKWALDKLFIEHNFSRVIILEDDMEIAPDFFDYFEAGAALLDSDKSLLAISSWNDNGQKQFVHDPYTLYRSDFFPGLGWMLTKSTWDELSPKWPKAYPFPLLYFQLKENHKGRQFIRPEVCRTYNFGEHGSSLGQFFNRYLRPIKLNDVQVDWKSMNLSYLIEDNYVQHFGDLVKSAKPVRGADPVLEAYNIDGDVRIQYRDQEDFERIAAKFGIFEEWKEYPVIEDQECKHNCCEHMGESDSDDYDSCSRIILGNTGLFGADPRMDASSDDNIQH >KZM86282 pep chromosome:ASM162521v1:7:1259362:1263343:1 gene:DCAR_023416 transcript:KZM86282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQKSLKLMKNNDVISELPDDIVSQFISLLSFKEAVRTSIVSKSWMLIWTTHKDIVCDVSNILESLSEESRDVYFLVRQDHRDKFVERVDHIMQRRCKGPKMNSIVISFPLSNEHESHITNWISRAVMMGVEKISLDLTGGFGFVTSDVPRAYSFPLAVLISPGKACSVKHLQLASCSLDSLSISNSLASLVTLELQNVNLTDQQLDIMLCNCSFLEKLVLKSCTELVNFKLTNRSSRLRFLSIKSCCRLNYFELDAENLEIFEYTGQIDRFMFKHVPKLAEKNNDVISELPDDIVSQFISLLSFKEAVRTSIVSKSWMLIWTTHKDIVCDVSNILESLSEESRDVYFLVRQDHRDKFVERVDHIMQRRCKGPKMNSIVISFPLSNEHESHITNWISRAVMMGVEKISLDLTGGFGFVTSDVPRAYSFPLAVLISPGKACSVKHLQLASCSLDSLSISNSLASLVTLELQNVNLTDQQLDIMLCNCSFLEKLVLKSCTELVNFKLTNRSSRLRFLSIKSCCRLNYFELDAENLEIFEYTGQIDRFMFKHVPKLAEVYFCFCGSSRVESAIYALSQLANDIPQLKILNMVWIRVNLAFQLPEGVPTFINIKHLVLTVFPFLDEDKLGWITFILKAAPFLKNLQLNQFISSFTRQSEVHDRQLPEVTHMNLREIEINGYQGNYHEKELLKYLVNSAVKLDLLVISPQVKVYKGLNDWCYEDLNLPGDKLSLEKIEELHSMVPKAIRVRII >KZM87358 pep chromosome:ASM162521v1:7:15056644:15059119:-1 gene:DCAR_024492 transcript:KZM87358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGVKVLGSNYSPFVNRVQIALNLKSVEFEFIHENLNPKSELLLKSNPVHKKIPVLIHDDKCICESSVIVQYIDEAWTGNGLSILPSDPYDRAVARFWAAYYDDKPITRQKEEIKPRLHDGFNIEMMQSSWLLIMASGGVKVLGTPYSPYVNRVQIALNLKSVDFEFIEENLSSKSDLLLKCNPVNRKVPVLIHDENCICESLTIIQYIDETWTTNGYSILPSDPYDRAVARFWSAYFDDKITVDQHSFS >KZM87567 pep chromosome:ASM162521v1:7:18208052:18216753:1 gene:DCAR_024695 transcript:KZM87567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSKDCIGEICTPKDSKVKQTGNARAAEIGVFSPATSYGDDEDEARDQSTHLRDHEAGITRLSRVSAQFLPPNGSRTVQVPTPKYELRYSFLSQRGFYPDALDKANQDSFCIHTPFGTNPNDHFFGVFDGHGEYGAQCSQFVKQKLCENLLRNSRFHMDAVEACHAAFLKTNSELHDDDIDDSMSGTTAVTILVRDRTIYVANSGDSRAVIAERRGTDVVALDLSIDQTPFRDDELERVKLCGARVLTLDQIEGLKNPDVQCWDTEEGDDGDPPRLWVPNGMYPGTAFTRSLGDSIAESIGVVANPEIVAFELTADHPFFVLASDGVFEFLSSQAVVDMVAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHISGLTNSSGVHSASSSDVLKPPVPQFVELSGSESPSLLGWNIRHQRVRQDISRTRLRAIESSLENGHAWVPPSPAHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEFQPGDIVVKLGGEGDCFYVVGSGVFEVLAKQEETTGEVPKVLQQYTAEKFSSFGELALMYNKPLQASVRAVTHGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSKLTILQLSHIADSLSEVFFSDGQIIINNNEDLQGLYIVQKGQVIINFDLEAVRDRNATSLLSDSLNQEDAAVSSKASTVKKTEGSYFGEWTLIGENIKSLNATAVGDVACAILTKEKFESVVGPLAKLPQDDYYKSRESSARFAKESVGNADPATLATVQLSDLEWRSCKYTTDCSEIGLVLLRNSENLLTLKRFSKQKIRNLEKEAQVLKEKDLMKSLSHSPFVPQLLCTCADRTHAGMLLNTCIACPITSILQNPLDEPSARFCAASVVIALEDLHKNGVLYRGVSPDVLMLDQTGHLQLVDFRFGKKLAGERTFTICGMADSLAPEIVQGKGHGLPADWWALGVLVYFMLKGEMPFGSWRESEIDTFAKIAKGKFTLPQNFSPEVVDLITKLLDVDENTRLGSQGADSVKRHPWFSTVNWEEVEGRTFPIPDEIMCRVNQHLESHIEQVASSALSPVRDLEELNTPEWLEDW >KZM88666 pep chromosome:ASM162521v1:7:30127808:30129039:-1 gene:DCAR_025741 transcript:KZM88666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMHAKTDSEVTSLTASSPTRSPRRPYYVQSPSRDSHDGEKTTNSFHSTPVLSPMGSPGHHSRDSSSTRFSGSRKVSGRHHQHQRKGDKDFGAIEEEGFLDDGENRSKGFPRRCYFPAFVVGFFVLFTFFALILYAASKPQKPVVTMKSISYDEFKIQAGTGSSGVATDMVTMNTTVKFTFRNTGTFFGVHVTSSSIDISYNDLTLATGAIKKFYQSRKSQRSIAVTLKGGYVPLYGASVDWSSKDGKLTAPVPLTLNFNVRARAYVLGKLVKPRFYKAIQCKIILDPKKMNKPLSLKNSCTNK >KZM88943 pep chromosome:ASM162521v1:7:32489625:32502585:-1 gene:DCAR_026018 transcript:KZM88943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQITEEQRKRSELNRLAALAKRRERGVSDHDPWKLFKCRKVSPEPNSSTDSFKCPPRPDLSGRVLKEAVQLADRFRVRLEICSADSFSVTPVAVEGLAFPGEAACLEIIDDCLSSIMQSHYTQNTGGGKACVYKLRDYDAVLRCFKMSKHIQCEEVPWSTLNVVVKLSDSFSSGRWTPCRPEHLSDEKVDELLQRLPNFLLDTLLPFQHEGVRFGLRRGGKCLIADEMGLGKTLQAIAIAGCFLNEGPVLVVCPAILRYAWAEEFEHWLPTCLPCDIHLVFGHLNNPARLVKCPKVVITSYTMLSRLRKSMSQQDWAVLIVDESHHVRCSKQISESDEFIANQNRLKLFLMWQERPSIQFYFRGRLPYPGDHRPYDIFHQINMLWPGLLGKDKYDFAKNYCSVKSFRGIQGKVYKDYSRGVRLEELNVLLKQSVMIRRLKEDVLMQLPPKRRQVISLALKKSDFDFARGVTKVVEDTSTNKNSEHESLDSADKPNDDKSAGNSDGCKFSTDITDQELGLAKLSGFREWLSIHPIIADLNADEDTEKNISSHKMIVFAHHHKVLDAVQEFICEKGIEFVRIDGSILERDRQLAVQSFQTSKKVKIALVGILAGGTGLNLVAAHNVVFLELPKEPAHLLQDTSDESRWQKLNKSLHRVSSTVNGKYDAIHEIKIDSVAHLGATGRTNLMEDELPPVSSTTEHPAAELRECSYSIDQNLHPDQASGPVDEYKRYDEKNNSDGSSPLKAASFHPTEGSKEIALKNEKEICTRLSLHSEIDVKEAKDKSERANNEFHEIAKEGDVEPAGLVGAASVINSLRFEVSQYSGRIHLYTCSPGVDTRPKPLFENFRAEELESQHLSADCSQRMTHSIKDDPRYRPFLLEFIQEWNNLRPIEQKKLLAKPLQLPLSVELCCLNNSINHDLGGLLKGKSKKRTTSLHEISYPLPTDAVWRKISLFSGRGKKEKVYEQGWTLSDEPLCKLCQTICKTFRGKNAKVPEYFEDLFCNLSCYEEFRLRTSNRSLREGLFQMEHGICTSCRLDCHKLVEHIKRLPLERREAYIKKVAPDLAVRPKLLEKLVREPCEGNAWHADHIVPVYLGGGECKLENMRTLCVVCHARVTKEQCAERRSTRLKAKKQLKELMNDLRSVQNLKQDYSEEKESKQMTEDDAVEDDLLIDVPGSSYCNSRNINSGSEEHERNSKTTESSHLGSACIVKDISIGVEEHERNSNNAEFGHIDGTFSVAKNSSTARQEHERNSTL >KZM89292 pep chromosome:ASM162521v1:7:35730696:35731838:-1 gene:DCAR_026367 transcript:KZM89292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLDWECSAAATNVASSWSNQLNDMGERYAAMVNSCSNLNQKSNQMEQQMASVNYLRSQEALRLAAEKVLANSCSWGWGEGSSTAELFSPQVMDPLSGLMVDLDVPVLGQPRYIEGKAADTAGSLGSLDCLISATNSNTDTSVEDDDISSILFSDGKKLWNPRFMPLGDSENITAKIKAEHCSRNSLMCETNEAVSRCSAKRTNQSSGFISDNQPKGKKPRLEKRPSSSNINFQHPDHSSNCDEEPDTEAIAQMKEMMYRAAAFRPLNIGELDTAEKPKRKNVRISSDPQTVAARQRREKISEKIRVLQRLVPGGNKMDTASMLDEAANYLKFLRSQVKALESLGPITTQFYPFPSHSPFPFNHSFPMQITNNFSFPKP >KZM87033 pep chromosome:ASM162521v1:7:10272941:10273429:-1 gene:DCAR_024167 transcript:KZM87033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLPLSTKRNVTFLNDHNSPMDQPGSRKLRRLPHVFGKVLQLPFRSDADVLIEDTDDAIRFIAEIEDFDLSGAQNNVRAHAVEIHPGVTKIVIRNGEMGELLVNELMVDVWRYRLPPVALPELVTAVFVNGELIVTVPKSEELGVLRNGNNGAVPRIIVVQ >KZM86754 pep chromosome:ASM162521v1:7:6469395:6470057:1 gene:DCAR_023888 transcript:KZM86754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEVILNAGIPCYRLVQNPGEFIVTFPRAYHSGFSHGFNCSEASNIATPEWLLFTGEAEIRRAAENNPPSVSHIQLLYDLALSFSSGWFTLHEFPRVFNCMI >KZM87670 pep chromosome:ASM162521v1:7:19090072:19090749:-1 gene:DCAR_024771 transcript:KZM87670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTVTNESGSGSNEAAARHGNQSRISKSSADSSSCKYTGVRKRKWGKFVSEIRLPNSRERIWLGSYDSAVKAARAFDAALYCLRGPDSKFNFPENPPEIPGGRSLTPPQIQVEAARFAHSGPRVVSDHDSSSSSDPSDELQHVDSPSVSDGATQVNTEAGVDNMLMDQFKTMGWESNYSVPDLGYFPSFEDFQDDLFMRPVTTGPVDNEVEESCDQFGSFLWSF >KZM89062 pep chromosome:ASM162521v1:7:33523701:33525479:1 gene:DCAR_026137 transcript:KZM89062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSLQVCMDSSDWLQGSIMNEENGMDSSSQSSGDILTCSRPLMERRLRPPHDQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKISNHKKSSDNNNSTAHTNSSVISHVIPGPTSDLQFSYLSHLLGGSQGNGSFMDSKYNAFLGTNTNTNPRPIEFLDSKYENEAIMSHDFMGNGDHLGIMSNGADHHFPTFQGNASTTSTFIPTPYGMSLDGNASYSYGGALMDTCHRLMLPNPSFEEHQEQGGGGGGDVEVKPDTKLLALEWQQDQSSGKDNHHSFGYQNAGLGSWNAGLMNGYGSSATNPLV >KZM87232 pep chromosome:ASM162521v1:7:12833137:12833937:1 gene:DCAR_024366 transcript:KZM87232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCINNSTNLNLNPQPTKKSRVIYHLDSYSETELKELKHKFISHLDRLESWLTQIQSRQLELASKKIIGQKRAMPVVSPHTETKRKRLMKNKTMELDSTETRKVEAAMMSKCGVMFKGKRAQQKRRSKPWAEFIQTPAVVSSQRDPEKPLQKLPTPALPKKVCKEVAGKTVPKQKRTVAEAKENPRKRRDMCVEERDQLGLVLQDLADEHLDEILQIVAKRNPKLTTPDGDGEIELDVSALDSESMWDLNDFVRNLKPKQDNKVT >KZM86288 pep chromosome:ASM162521v1:7:1320818:1323043:-1 gene:DCAR_023422 transcript:KZM86288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVTENPEVGGEADDRGDRQFVYQPIQRPREGGFRATLFVFGLMLLDNIGFVANMASLVLYFMNVMHFSISGSANTLTNYLGTAFLLTLVGGLISDSYMSRLNTCLLFGGIELLGYLLLIIQSHYPELQPEVCGKYSCVDGTKALLFYASICFAALGGGGLRGSAPALGADQFDPKQTKEIASFFNWFLFSITVGASIGVTVVVWVSTNKGWDNGFIISMVCSFIGFCSVAVGKPYYRARVPGESVLLRVLEVLVVTIKNLKVELPQNSRDLYEIDDMGRERIRHSYQFRVLDKAAILPEGTTPKKWKVCTVTQVEEVKILTRMMPILLSTTLMNTCLAQLQTFSIQQGSLMNTKLGNFNVPAASIPIIPLFFMSLLIPLYEILLVPLLRKLTGHPNGITHLQRVGVGLVLSAICMTLAGIIELKRKNAFVDHNTRISLFWLSFHFAVFGIADMFTLVGLLEFFYSEAPPRMRSLSTSFSFLSLSIGYYLSSVFVEIINSVTGKLSSNNVGWLEDMDMNKNHLERFYWFLAVISVLNFGNYIFWANWYKYKKDVPVDRQKLMDQSFSDASMRFTAKIDDLIPPSNKI >KZM86679 pep chromosome:ASM162521v1:7:5647446:5648447:1 gene:DCAR_023813 transcript:KZM86679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGETINLSGIVSETKRIINAHSRHFLALSLIFLLPISFFITIYPTLLLQLQSSFNNNLPYPETLFNTLNPSPNQPFKPLVAPIIFSIFVALFSVSAVATITYSTIYGFYGRPVRLGSAIKSLMRSILPLVFTALCVLFLVSLIALVLGSGFYAVSVLVSFFGVNVEYGSGCFNWMVGVFVFGLVLVLFYLQVHCTLGFVVVVVESKWGFEALRRSGDLIKGMRLVSLWLLLFGCVTVVFPLWLNSVPLVRFEGGFDFWKSAGFVAQTVLSSSFVTLFMLISISANAVLYMYCKAFHGELASEIAEEFARDYVSLPFDDDKVPHIVTVVQA >KZM87284 pep chromosome:ASM162521v1:7:13730949:13736872:-1 gene:DCAR_024418 transcript:KZM87284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVVPVSSSQKEQKKRNKPNPFSIDYGAVGGSGSGSRLRVLKEPTGQDILQKYELGRELGRGEFGTTYLCTDLESGEKFACKSISKKKLRTSVDIDDVRREVEIMKHMPKHPNIVSLKDTYEDENAVHIVMELCEGGELFDRIVARGHYTERAAAGVMRTIMEVVQNCHRNGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFDEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVEFKRDPWPKVSDNAKDLVKKMLDPDPKKRLTAQGVLDHPWIQNAKKAPNVSLGEVVKSRLKQFSVMNKLKKRALRVVAEHLSGEEVAGIKEAFDMMDTEKRSKINLEELRVGLQKLGHHVPEADLQILMEAADVDGDGTLNYGEFVAVSVHLRKMANDEHLHKAFSFFDQNKSGYIEIDELRHALNDEDEANSEEVITAIMHDVDTDKDGRISYEEFATMMKAGTDWRKASRQYSRERYNTISLKLMKDASFQA >KZM87865 pep chromosome:ASM162521v1:7:21446366:21452060:1 gene:DCAR_024966 transcript:KZM87865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVSDKEMQNKEDLPANATVDVVTSGKASEIDDWETIKDNDIMQQQSAILAEEAEKIQYVGDKEPVSSLAAEYQSGSAILLEKIKVLNEQYAALRRTRGDGNCFFRSFMFSYLEHILETQDQVEVDRVKASVEKCRKTLLDLGYTEFTFEDFFGLFIEQLESVLQGNEGSIRFVTSGEIKRRSEFFEPFIMGLSNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDTGGTSVNHHDFIPTSEALPSTASGGSEASKPYITLLYRPGHYDILYCK >KZM88751 pep chromosome:ASM162521v1:7:30994455:30998242:-1 gene:DCAR_025826 transcript:KZM88751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVFAGMTSGLTLGLMSMSQVDLEVIRKSGKRRDRFRASNLLASLAKILPVVKRPHLLLCTLLIAYTAAMEALPLLLQNLLPEYGAILISVTLILLFGEIIPHSICCRYSLKVGAALAPLVHVLLWSLFPVAYPISKLLDFMLGKGRSALFRRAELKTLVSLHGNEAGKGGELSGKETSIISGALELAEKTARDAMTPVSEVFAVDINAKLDSALLHLILENGHSRIPIYHEQPRNIIGLILVKSLLTINPANETPIKNITIRRIPRISEKMPLYDILNEFQEGLSHIALVLRHRSEMAEHSTNSREVRLNIYGEGHHQNLGLRRSRRSLRNLKAIPRSASISRRETSKSKRWSETIDSNILHIDEAPLPSFTKEEEVIGIITMEDVIEQLLKDEIFDEMDHNGEYSGISNSARKVHGVLEGYSGF >KZM86897 pep chromosome:ASM162521v1:7:8146882:8147460:1 gene:DCAR_024031 transcript:KZM86897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFIRNIVDCKAAAAMSAYVAQEEDIYTKDGTTDYRHKPAVKSKTGTWKACPYILDDAMRSLCSALSLTTAALGNYLSTSLVNMVTDVSTRNGGKGWIPDILNYGHLDYFFWMLALSSVMNLGVYVLVAKCYTYTKPVDPISQD >KZM88180 pep chromosome:ASM162521v1:7:25235949:25236536:1 gene:DCAR_025255 transcript:KZM88180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPYRSKPRRGRTNLASCLVAAVFLLLIAVAITTVYFLLFKPKHPRIAVNAVQFPTFTLSNGTVNFTFFQFVTVTNPNRDTFTHYDSSLQLDYSGDPVGFVFIPAGRIYAGRSQQMSAKFQVDRFPVPERGKVSAAVAFGDGVVAAGDVMEIETKMKLVGRVRVLKVFTHRVESEVKCSVDVRVTDGSVLALHC >KZM88636 pep chromosome:ASM162521v1:7:29844316:29847922:-1 gene:DCAR_025711 transcript:KZM88636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMESRCNRRRKCIDGTTAAKLKGKKIETRNTKEDLKQAKRDAVGWWLNSRPLFDEIGEYQSARSNIIASGLKSQIEASKAEIKSRREEELQVTTRIKELNDQLDVTCKYIEQLKLKIQEESRKKSEMKQVLLMRKQTVEALRLTHRALLFETEASRASAAQALQYIFRSQSDDTTIQLSVEEYNALTRAAEEEISLNNWRVSVSTEQRLAAQDSRDLAFKKLEALYCPDNDIKHEKTENEIREERSTGSQDEKKHLPIIEEEEEEDTVFICPARSPQHLVDVTEQSDDTKLVAIRKKPSVLTQLRVFFEQNWQSFSTASPPQKGRMVTVLSIDGGGIRGIIPGTILAFLESKLQELDGPSARIADYFDVISGTSTGGLVTTMLTAPNKENRPLFAAKDIYKFYKENGPKIFSEDRLKGFLEKIRRLFVSFTGPKYTGEQLGSIVKELLGDMTLNQTLTDVIIPTFDIKRLYPLIFCSDKARANTSWNAYLYDVCLGTSAAPTYFPAHYFETKHEDGRTRTFDLIDGGIAANNPTQVAITHITNEILNGNFKIVDDMTPMNTTRMLVISLGTGAAKYEAKYDARKASRWGILNWIFDNGNTPILDSYGAASQDMVDIHVSSLFQALQAEQNYLRIQEDGLTGDESSVDVATVRNMERLSAIGNELLQKPVSRVNLETGMFEAVAGEGTNSDALSGFAKMLSDERKFRLGT >KZM87637 pep chromosome:ASM162521v1:7:18837353:18840549:1 gene:DCAR_024745 transcript:KZM87637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHGGLSINLGTEEDYKERINAWHAQCNTEQVTAQKIVQAMKFQSVSDNFKLNFLVLMSNVLIGTTSCSYVDKQLLRFADSLDNLERYNWPEFLLGYLVFATESWNNTTSTFFRGSLIFLTLFYVDRVRNKGIKLVERQFPSYIGWTHEKLKERQSLELFTGVFGVGCRMKPLREVLNEKDPFEHQPKKTDETNIDWDDWNMWKTVDEMEAEYNKKNEASQHRDGNCESDDVDNDNYQQEPEMEMSQEDILDELTRRAQDILDAKFRFDDDMKKAREKFPESYSLKLIDEVMNENFPVHRESTPQNDSPVHPTKDSHPTMDADENQQLNQDTTHLQGQQGEPVDDFERDLSPATVEHLEIVEQIYSTLQDGKNKKPSDDKDNDSRYIPSFSLGIDDEINQNSTLPKNKKDKKAPDSKRLALMQDHPILIECLDGEKKVGETFPSFCEGMDKIIKTVSLQKLEDLELIFFPINKHEHFYLICYNIRTPACFLIDNIRRDDNPKGYYDRHSHLFNYLTAKRKPILSTRIRKLKPEYLKMPWQTMYNSHDCGIFLMRHMETFKGDAKNWETNLLHEGTLQTSQIWRLRIKYYTAILSSQANEIKDAILKEAADFYKKAKERNVFEMVRLKSAAAKEARTPKCNQKTVTFAKNLTTDFEDVVTPLQNDSNARND >KZM88359 pep chromosome:ASM162521v1:7:27186545:27189937:1 gene:DCAR_025434 transcript:KZM88359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYKCYSSHKPFKFLIFFWVFASVYGSDLFGPDSDKSALLEMKASVSDPTGMLSSWKSTSANHCSWYGVSCDANSRVLALNVSGGGGNSGSFSCAKYAHFSIYGFGIRRVCSGSGVVLSGKISPAVAKLSELKVLSLPFNGLSGEIPVEIWGMEKLEVLDLEGNSVGGLLPSEFKGLRNLRVLNLAFNEIGGGIPSSLSDCGGLQVLNLAGNQMNGTIPVFLGKFGDLRGVYLSFNGLNGKIPQEIGDNCRKLEHLELAGNYLVGGIPSSLGNCSMLQSLLLYSNILEEVIPMELGQLNKLQVLDVSRNSLSGMIPSELGKCSELSIVVFSNWWDPLSGGVESDSDLLSTSGHEEFNFFQGTIPTEIITLPKLRVLWAPRATLEGKLPTNWGNCDSLEMVNLAENYFMGEIPMGLSRCKSLYFLDLSSNRLTGGLVESLQVPCMTLFDVSSNFLSGSMPLFNSSACPLVPSLSHKPFEPYTPSSAYVSFFDYGARNATSLPLFGDVGNLAIMHNFGGNNFTGGFSSVPISQERLGSHTVYAFLAGQNKMSGPFPGGLFGKCDMLKGFIVNVSGNGLSGQLPLGIGAMCRSLIHLDTSRNQIAGAIPSFGGLVSLVALNLSWNHFQGAIPASLGQISNLKSISLASNNLTGSVPPSLWQLQSLEVLDVSSNSLSGEIPDSVVHLTNLTTLLLNNNKFSGQLPTGLANVARLSVFNVSFNNLSGPVPVNSKVIKCNDLLGNPFLQPCRVYSLSGSSSDQQGGDSQNYAASPSVSESSKKSGNNGFNSIEIASITSASAIVSVLLALIVLFFYTRKWHPKSRVGGSVRKEVTVFTDIGVPLSFENVVRATGSFNASNCIGNGGFGATYKAEISPGVLVAIKRLSVGRFQGVQQFDAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLEKFIQERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSSYGNGFNIVAWACMLLRQGRAKEFFTAGLWDAGPHDDLVEVLHLAVVCTVETLSTRPTMKQVVRRLKQLQPASC >KZM88816 pep chromosome:ASM162521v1:7:31510550:31514461:-1 gene:DCAR_025891 transcript:KZM88816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFFKHEHGDKDAPSDSSSSSSDDDSESEVELQSDNEEIESDDNVAAQVKQSNGPGSSSSGYESEDSSAHEVDLDSPGILSSEDDVDPAEKGEDAGVTHLLEKRKSGIKDKLYNAKTEKDEIQSDLPSCVLKSKSVFKCKICPRIICLTEETLRTHLTSKRHSRSEKLYSEGRLKIMLNSDGELEAPFEEEETHQERHAATLAAAAAAAQSSENSNKAKKKNRGRQRQRMRAKKKTGEDVPSTNTKATKRSPKKTGEDVPSTNTKATKRSPKKTGEDVPSTNTKATKRPPKKRRQT >KZM88972 pep chromosome:ASM162521v1:7:32690828:32691259:1 gene:DCAR_026047 transcript:KZM88972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVPLLSSSLMSLKPPRGWSQNSSHQQLMIHANNHQLCSQAASQITEASTCSTTTVPYVFNIDNVAMWLQNWNSETLNSRLENVGACHPPPPPADVFGGEHEVFPPSAEVTTAASSGWQNEDDRLSQLEMPVPHNQAHRPFF >KZM87409 pep chromosome:ASM162521v1:7:16058928:16060146:-1 gene:DCAR_024543 transcript:KZM87409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPWALPNSTGMSSRRAKRKRYLELQDVLVSKVQSLNLISSDLDNDVITANEDFGLMYKSMEDRQSELYSRMLGIAEKSGELHSIIKDGKRADGGSSSTEETFALPEEYKGDVGRFIEDSRASVNGHAKLLKAQTTEMYAVFETFVEEWTKKLKDLKDAANEVGAEHEHLSALLTDFILNV >KZM87668 pep chromosome:ASM162521v1:7:19078554:19079357:-1 gene:DCAR_024769 transcript:KZM87668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFARKARPVINSLITGASGSGHRTPTSPSDMIKIQSPRVLKNYGGAVGVGLGIVAALDKNTETQALCNKSVNNSVPIAVSCSVRNRGNTEESVGDSLEDYTYVTSYGPNNKKGQTRVYYCGCQNSEKRSHRLHSNKKNHPGCVFQICPETTVESAAGRDFLSSCHMCRKKLHGEDIYMYRGEKAYCSTECRERQIVMDEKKENKRISQASSRSTVSTSPCGAHDRPMFTTGIFAI >KZM88553 pep chromosome:ASM162521v1:7:29159147:29163199:1 gene:DCAR_025628 transcript:KZM88553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEDGGSNNNVNVNSSDDRMEITLAARQNSVEVHSKATKDANVQHSKWTQLAKRSTFGSMKIRQVSEELQRLAALSKRPLEKYDRAKSGAVHASSGFNFVSKGSGGTNWMAVENNFKKLTENTNGLLPRARFWECIGEKVVSRDFAEGLFDAIAKRKNIMGNSINKVQLKEFWDQISDQNFDSRLQTFFDMVDKDADGRISKDEVREIITLTASANKLSTIKNRADEYATLIMEELDTENLGYIMIEKMEMLLLRAENMEAEAPSKPSQEIKPTHYQVILRTYKDFQYFVAENWKKVWVLAVWLGIMAGLFAYKYVQYKNKAAYQVMGVCVCLAKGAAETLKFNMALILLPVCRNTITWLRNKTRLGDAVPFDNNIKFHQIITVGIAIGVGIHGLAHLTCDFPRLLHADEEKYELMEPFFGKDQPPNYWWFVKGVEGITGIVMVVLMAIAFTLASPWLRSRKVEPKNLKTASPSKNPDANSPIYLKELKRGLEQILNKLTGFNAFWHPFSITSAPDDDYLSVHIRSVGDWTGEMKEVFSQVCQSSPSERSGVLTAEFIKGTNNTPNVKVSIDGPYGAPAQDYKSYDLLLLIGSGIGATPMISIVKDIVNNIKAREEEENAIEDGTGKASGTDSNYFSPSTKKSPGQSSASGFKTRKAYFYWVTPTQGSFEWFKGVINEVTDADKNGVIEMHNHCTSVYEKGNAQSALIAMLQSIYYDKHGVDVVTGTRVKSHFARPNWKKVYKDIADKHKDSRVGVFYCGPLPLGEVLKDLAAEYSNATSTKFDFHKENF >KZM89263 pep chromosome:ASM162521v1:7:35488783:35493231:-1 gene:DCAR_026338 transcript:KZM89263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQFPLHIGHLSSGHVGSDFSGDTMKVKASTLHASNSKWKMPKNYGIARNNHLNRNLLNDTPLSKVTSVSWSKPMVVPAKSSSDTDQQNADDEQPEAKANTFAIDIEFNRIDCLVGVIHEAAKGVLDAIQRHESNRRDPELAMAWRGVDVHSWHKKVAYQIFPFKDIEDLEFWLGLAVSKLSVALRREGVISGQQVFCDKDREDDLAVFGLFAFLGKETRSFFTKMKIIYLDEEVEEFLRQTSLSIRHYLEFGSLFFYPRFSILPDYQLFMEVVTDEIKWLDIYAPYYSKFNPNRGKKSRKRKRRLESLKEIILHPVLTVCYDLFVGFAHYVTTTRRPLNSNLRAFLLQSQSLLAICLECYWAVYSRSRYPVTMMERPAYKVTSCKASSERGAHLINPDNIFVSILSASAWKLRSVSTAIRMVTQLYCIDVSVSVKFVMKPLRGQKVTARERKKVERTLTDVAKLIHITILMLTPVSAWMHAAMLIAITKYIPTLIPSPFSAERLGMVKLLEKMREMEFQEWSDTDDAAVDGETTGDIKDDGSSSSANNSEETDPPDLH >KZM86602 pep chromosome:ASM162521v1:7:4815359:4816819:1 gene:DCAR_023736 transcript:KZM86602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAQREGNLPLYGFHDPEAFVNSIQKPRVVIMLVKAGAPVDQTIKTLSAYLEEGDCIIDGGNEWYENTERREKAMAEKGLLYLGMGVSGGEEGARNGPSMMPGGSFEAYKNIEDILLKVAAQVPDSGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLSNEELHEVFTEWNKGELQSFLIEITADIFGIKDDKADGYLVDKVLDKTGMKGTGKWTVQQAAELSIAAPTIASSLDSRFLSGLKDERVAAAEVFKAGGVGDILSDETVDKKKLIDDVRQALYASKICSYAQGMNLIRAKSIEMGWGLTLGELARIWKGGCIIRAIFLDRIKQAYDRNADLASLLVDPEFAKEMIERQAAWRRVVCVAINSGVSTPGMSSSLAYFDTYRRDRLPANLVQAQRDYFGAHTYQRTDMPGDFHTEWFKIAQQSKI >KZM88792 pep chromosome:ASM162521v1:7:31352401:31352990:-1 gene:DCAR_025867 transcript:KZM88792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQLTNYQVIFRTLYDSPNKRTLLAKQITPCRVGREPDRSKKECKTVRLDWVSMVKVKSVSSPEFXSQRARLALHDTSTYIR >KZM86459 pep chromosome:ASM162521v1:7:2991161:2992794:1 gene:DCAR_023593 transcript:KZM86459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKPSNLNTMFPSPVPATAYCGYLLKHDHNFCVANHHPSSGNERNKRGVMINTEEVMMGAARWTPTPEQLYALEEIYQTGTRTPSATQIQQIAARLRRFGKIEGKNVFYWFQNHKARERQKRRRQQLRNDPFLNQPHFHGNVPSLGLRRTGLEVGQAKNWESVVSLATAEVAKGETDERIEFEDTRLRLTMSTNEARNHNKLLVPYKEMTNNSKDERKYPTLELFPDWICDRNDRYRINNAPETDDHRETGTTNISTKFDPPHFFEFLPMKN >KZM86284 pep chromosome:ASM162521v1:7:1282730:1284130:1 gene:DCAR_023418 transcript:KZM86284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFSFSLGSKNPSSSSNKRTIPRPNTHTDETKELITEFDSSKPLLSSQSKTLIIPPQPNSWRPTKKLKNLDAPIESAGDSKGALEFETAVDPGQAQPGSEPEVSYGLNLRKSGNGDVGSVENSEFVSIDRVMVKNLKEDLEKLPDDMGFDEFDGCPVEGFAAAVLKGYGWTQGRGIGRNTKEDVKVVEYERRVGKEGFGFVADAPVMSSNGKDTEKRNERKSGGLVGKDVRIVRGREMGLTGRVLEVSGGGDYAVLSVVENGSEVEVRVRGSDVAELGSVEEERCLRKLRELKIGEEKKDRKIRRSDDREKKVGIKIEERRRDDKKRRGDEREEKPVRKEKEKVRWLTSNIKVRVISKKLKGGKLYLKKGKVVDVVGPSTCDISMDDSRELIQGVDQELLETALPRTGGPILVLYGKYKGVYGSLLQKDTEKETALVEDADTRKPLHVLLEQIAEYTGDPSEIGY >KZM87015 pep chromosome:ASM162521v1:7:10030859:10032587:1 gene:DCAR_024149 transcript:KZM87015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESVLPFMGMVIVQVAMVGQLVTGQEAMLDGMTNFTFIFYFNALSFLILAFSLFFFRNSSNLPALTYAVVWRCLLFGFFGFMTQITGYTGIQYASPTLATSMLNLIPGFTFIFAIIFRLEKAEVRSSGARAKIIGTLVSVVGAFIVTLYQGPRILKGTSSANSTQNLTQSQDWVIGGLLLALTSVFASLFITTQALILQKHISKLVVSLFYSSTIAILSAVLSLILERDLSPWSLSSNVRIMAVVYSGLFGASFQVTVSSWCMQRTGPLFVVMFHPLGIIISSIIGITFMGDAFYLGSLVGSIVIIVGVYTVIWGISKERKFTQANSISSPLMLDNPKDTTLPAP >KZM86668 pep chromosome:ASM162521v1:7:5491882:5500408:-1 gene:DCAR_023802 transcript:KZM86668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLATISWLILNILLLLSGIEPIYATPPDVSLTKSTCHDACGTIPVRFPFGTGFGCGHPDFGRTIKCSSSGALQFSTSTGVYTISSIDYSANILILQDPFMSTCASMQNSGSFHLDSMTPFKYVEEDTFVLLGCSTTSPLFDANEDLCDTGSGLHICRGLYSCKGVTGIGLEPNAPISTCCVYDPPFAVGTSAVSNGLDLPKLQCSSYASIFGFGGDEGNPMKWQYGISLQYNDSYYSDETCKNCVTSGGTCGFSAIDQSFACICRNGINTTSNCFGRAELTEMMEGEGGGMKAAIGVRAMESLGLGFDLASDFRLKFAKKCPDSADGRLVVLDDSSRRDVVLPGGGGGVIRNVPDSIRCDKGDRIRFKSDVLTFNQMSELLNQKSSVQGKVPSGYFNAMFDLSGSWLNDAAEADHLAFDGYFISLYYLHLIASPLVLHDKVKKSVPSHWNPASLSRFIRTYGTHIIVGLAVGGQDIICIKQRPSSVIPPADLRGYLEDLGDHFFSDGRSPLLERKTRDGKQKVPEVFNRMLQQHTMQFTSITETSSKDGLTIISSKRGGDVFSQSHSNWLQTVASHPEAISFKLVPITSLLSGVPGSGYLSHAINLYLRYKPALEDLHCFLEFQVPRQWAPLFCELPLRHQRKKSSCPSLQFAFFGPKISVSSTQVGSGKKPVVGLRLFLEGKKCNQLAIHVQHLSSLPNMMELASVNSSVACQWRGSDDYESNEQFLEPVRWKKFSNVCSSVVRHDPNWLQGQESGGAFVVTGAQLVSKGQWPKTILHLRLLFTYLPNCTIRKTEWAAAQQSSRKSGFLTNISTTFSFTQRAVTDAPKQLPAALNSGVYPEGPPVPLRSKKLLRYVDVNEVVRGPHDTPGHWLVTAAKLVSDGGKIGMHVKFALLDYTPA >KZM88219 pep chromosome:ASM162521v1:7:25615165:25618103:1 gene:DCAR_025294 transcript:KZM88219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPPAVTIHVTGFKKFHGVSENPTETIVTNLQEYLKVKGLPKGVTLGSCSILETAGQGAVIPLYQALETGLSEKDSEASNGGKIIWVHFGVNSGATRFAIEHQAFNEATFRCADEMGWKPQKAPIVSADGAISRTRETSLPVEKITESLAKMGYEVMTSDDAGRFVCNYVYYHSLSFAEQNGISSLFVHVPSFLTIDEETQMQFAASLFEVLASIV >KZM88020 pep chromosome:ASM162521v1:7:23365142:23367453:1 gene:DCAR_031517 transcript:KZM88020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQAFSPPDLHSFSPSDQLCYVNCSFCHTVLAVSVPCSSLSRTVTVRCGHCTNLFTVNMRSLLLPPPNQLGNNLFPPQNHAEEIRGSASDNMFMNQTSPTDSLIPIRGGVNELPKPPATNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPEISHREAFSAAAKNWAHFPNIHFGLLPDESAFRVSREPILV >KZM88155 pep chromosome:ASM162521v1:7:25006242:25007138:1 gene:DCAR_025230 transcript:KZM88155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLAISLAFVCSLVLLLAVNSDAGGISIYWGQNGQEGTLAETCATGNYKYVNLAFLTTFGNGQTPQLNLAGHCDPSSNGCTQLSADIKSCQAQGVKVILSIGGASGSYTLVSAADARDVATYIWNNYLGGHSASRPLGKAVLDGVDFDIEGGTNEHWDDLARYLSAYSKRGKKVYLTAAPQCPYPDAWVGGALKTGLFDYVWVQFYNNPPCQYSSGADTNLEDAWKQWTNDIPATKIFLGLPAAPDAAGSGFIPVNDLTSQVLPAIKGSSKYGGVMLWSKFYDDQTGYSSSIKNHV >KZM87782 pep chromosome:ASM162521v1:7:20384193:20388133:1 gene:DCAR_024883 transcript:KZM87782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPNTVRTMFCCRHLFFIFLLLGSCTSTSIITVDEPLSDGHDNVLVSSGESFVLGFFSPGNSSRRYVGIWYNKVSEQTVVWVANRNNPITNNSGVLSLDKTGNLVLFDSQKPDVVVWSTNVSSLSSFGESNYSAELLDTGNLVVHNSKNKGFVWQSFDFPTDTLLPDMKFGVDRRSGLNRFLTSWKSSDNPEAGSYSYMIDINGSVPQLFLYKDKDPFWRGGSWNGLRWTGVPELRSNFIFKVSYVDNAEEVSIVYGMLNASIISRMVLNESTGTIQRSTWHPGDHRWDNFWSAPQDQCDYFSHCGVYGDCSLYNSGEYECKCLPGFEPKSPRDWYLRDGSQGCVQKQNSQLCRNGEGFVQLAEVKLPDTTKTKLDMNLGNKACAELCLKNCSCTGYSGADVRGGGFSGCITWYDKLVDLREYSRGGQDFYIRVDAVELAKNTKKSKRIQGYVKVLVPVLLSAVIFVLFALAYWLITKRKKALRRKEGMMWFQNDTNKRGISVHGSSMEEEVDEIETSNVDVKFYTLSTIIDATENFSLANKVGEGGFGSVYKGKLRNGQEIAVKRLSNTSGQGIEEFRNEVTLIAKLQHRNLVRLFGYCIQREEKMLIYEYLPNKGLDCFLFDKEKKSVLDWKKRFDITLGIARGMVYLHHDSRLRIIHRDLKASNVLLDLNLNPKISDFGMARIFGNNQVEETTRRVVGTYGYMSPEYAMEGLFSIKSDVFSFGVMLLEIITGRKNSSYQSENFINMIGHVWDLWGESNALEIVDPALGNTHEYDSEILRCIHIALLCVQESAAARPSMSEVVFMLCNEISLQPPGQAAFLLRTANRGLTNTSSGSVGGVSVNDYTISTVEARILIVRILLDPSLDAATISHYHAE >KZM86407 pep chromosome:ASM162521v1:7:2553532:2555367:-1 gene:DCAR_023541 transcript:KZM86407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSVQTVLSSPRDDAIQLYRAFKGFGGNTAAVVNILAHRNASQRALIQREYRAMYSEDLNEKLSSELSGDVKRAVLLWMYDPAARDAYIVYQALGGSEGFTDLTAVTEVICSRTPSQLQHLRQLYYAMFSIELEPTIELQASGDHQKLLLAYLITPRNEGVVRSMVEHDAKALYEAGENKLGTDEKTFIRIFSERSRAHLAAVSATYHNIYDRKLEKAIENETSGNFGYGLLTILQCAENPGIYFAKVLRKAMKGLGTDESTLTRVIVTRAEIDMQYIKAEYHKNYGKPLNVAVYSETSSHYRAFLLALLGLTHR >KZM89348 pep chromosome:ASM162521v1:7:36167603:36182901:1 gene:DCAR_026423 transcript:KZM89348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDMIEPEDIAVRERFRRYGKRPLPPKSYEIRSNSALIIENIKQELESFDAAAHSQGIASNTHSNFNRRSLIDSHGGSEIEAASNAVLQFGNHPLKICKTEDDDLTYSGDAMFAFFAQLLDSTLQGLMPVSDLILKLEKECRDVSKSIRYGSEKLRIGEDKLMRQTARLLLDEAASWSLLWHLYGKGSEEFPEDLVVTPTTSHLEACQFVVEDHTAQLCLRIIQWLEGLAAKSLDLDNEIRGSHVGTHLPSTGVWHHTQRLLRKGVSNPKIIHHLDFDAPTREHAQALPDDKKQDESLLEDVWILLRAGRLEEACNLCRSAGQPWRAATLCAFGGLDHFPSVEALMKNGKNRSLQAVELESGIGHQRRLWKWASYVASERIAEEEGSKYEAAIYASQCSNLKRILSICTDWEELTSLQSACWAMAKSWFNVQVDMELARFQPGAISQLKSCEDAIENSPGEQVCVSQPIAGPESWPLQVLNQQPRDLSALLQNLHSSDVVHEAVHRGCREQHRQIEMRLMLGDIPQLLDLIWSWISPSEDDQNVFRPRGDPQMIRFGAHLVLVLRYLLAEEMADTFKEKIMTLGDLIIHMYAMFLFSKQHEDLVGIYASQLARHRCIDLFVHMMELRLNGSVHVRYNIFLSAIGYLPFTAEDETKGSFIEIIERVLSRSRELKVENYEKASIVAEQHRIQSKQKAMVIQWLCFTPPSTITDVKSVSAKLLFRALMHSNVLFREFSLLSMWRVPAMPVGAHTLLSLLAEPLNQLTDSAEGYDVTENLKEFNDWSEYYSCDATYRNWLKIDMENAEVAPHELSGEEKQRAVAAARETLDSSLQLLQRSGNSWLILAENGIDESPEPVFLELHATAMLCLPSGECMSPDPTVCTTLMSCLYSSVSEEVVLNRQLMVDVTMAAKNNYCINVVLRCLAAEGDGLGPHELNDGGLLATVIASGFKGELVRFQAGSTIEISRIDAWYSNSEGSLEEPATYIVRGLCRRCCIPEIILRCMQVSVSLMESGNLPEGHDDFIELVSCPETRFLCLFSQQQLQEFLLYERDFSICKMAELQDEPIS >KZM86828 pep chromosome:ASM162521v1:7:7425747:7425965:-1 gene:DCAR_023962 transcript:KZM86828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRSPPPPPSTLSLSPPPAAKSPTSISSPSSLTSKPSPYLVMVGVTGKSRAVGGETEDNRGEGLRIREGCC >KZM86857 pep chromosome:ASM162521v1:7:7744853:7748871:1 gene:DCAR_023991 transcript:KZM86857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMINMQDADFNSRAGSKPEEEEEGDIEAGITSMITSEQEPLLLPQSHQQDAEFSSFLLFYLYLGHFLARWGARMWEFSVGLYMIDVWPGSLLLTAAYGAVEAASTALFGPLVGQWVDKLTYKKVLQNWLFIRSVSFVVAGGTVIGVLVYPALKSDNVVAFILLIISINISGAVGVLSTLAGTILIEREWVVVISEGQPPEVLTNMNSIIRRIDLICKLFAPVVTGFIISFVSLKASAVSITIWNIVSVCIEYWLLLSVYAKIPVLSESDQKRSSRLATTNLEEGPSTSEVRPNLNSLEQYNANSLGKVTEKFFNNSYFQAWKVYLNQDVVLPGVALALLYFTVLSFGSLMTATLQWQGIPTYVIGIARGVSATIGITATFLYPILQSRISTLRTGLWSIWSQWAFLLVCVASIWVKDKLKSAYVLMGGVALSRLGLWMFDLSVIQLMQDQVHESDRCIVGGVQNSLQAMLDLMTYIMGLIISNPQEFWELTLLSFSLVTLAAALYSIHIYRVRKHLIHWEKLYVLVQWSFWSSQRSDRS >KZM86867 pep chromosome:ASM162521v1:7:7820820:7825342:1 gene:DCAR_024001 transcript:KZM86867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKQRKGKHDDGDDDSYKKDEKPKMTSGQQLLPSMIKNKEKRSAVHAKLKEQKKLEKRKKVKARDDLERRALELGEELFAANDADEFSSVLKQEHIPKILITTCRFNSTRGPEFISDLLSVIPNAHYYKRGTYDLKKIVEYAKKKDFTSLIVVHTNRREPDALLVIGLPDGPTAHFKLTKLVLRKDIKNHGNPTSHKPELVLNNFTTQLGHRIGRLIQSLFPQDPNFRGRRVVTFHNQRDFIFFRHHRYIFENKEDKQADTKNTKNKDDKAEGVAKDRVIARLQECGPRFTLKLKTLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >KZM86747 pep chromosome:ASM162521v1:7:6420947:6421785:-1 gene:DCAR_023881 transcript:KZM86747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGENPGPEFSPFDIVGEVPRPGFSPSGRIQFSLFGEVGEVPRPELSLFSEVGEVPRPEFSLFGEVLTTEFSQFGEVGEVPRPEFSLFGEVGDIHRPEFSPFGEVGEVPRPKFSLFDLPKFSPFGEVGEDPTPDISLFDRHEFSELSSFGEVGDVPEPKFSSFDEPEFSPFDDVARPEFSPFGEVCEVPRPKFSPIDEVCEIPGPEFSSFAEVNVLEFSPFARMIRTLNRNLQVRVIM >KZM88692 pep chromosome:ASM162521v1:7:30425337:30425984:1 gene:DCAR_025767 transcript:KZM88692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSDREGKNELELSVRNHSDNESRISYENAVKSSQSDVNLGEWLTLGLHSSGESSGAASAKDIATRIPYKQEANKVFSCNFCIRKFYSSQALGGHQNAHKRERGQTTDHLSVASQPFTSRAMLSLGVQAHSLVHKPNRGRSGAMRSSLVQAHSSVHKPSREGSSVIRRSSDHAPGYGIVWRASLLEEVGSNWPGSFHMDKKLDRNKLELNLRL >KZM86650 pep chromosome:ASM162521v1:7:5312310:5314183:1 gene:DCAR_023784 transcript:KZM86650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTCTMLLVAFLLILLKNTIAKPVTFDLIELGAKPDGETDSTESFLSAWTGACDSVKSAVIYVPRGRFLVQRVYLGGKCRNNKILIRIDGVLVAPADFRVAGNASNWLDFHGVDGVTISGGILDGQGTGLWDCKLAGENCPVGAATLTFTNSKNIVVSGLTSLNSQLFHIVVNGCQNVKMKGIKILASGKSPNTDGIHVQFSSNVTILNSKISTGDDCISISAGTTDLWIEKVVCGPGHGISIGSLGRDLTEAGVQNVTVKTVTFKGTTNGARIKTWGRPSSGFVRNVLFQHITMINVQNPLIIDQNYCPYNKDCPGQFSGVKIRDVTYEDIHGTSATAVAVKFDCSKMNPCDDIRLEKVNLTYKNEAAAAYCVNAAGTASGMVVPTSCL >KZM87221 pep chromosome:ASM162521v1:7:12641704:12643998:-1 gene:DCAR_024355 transcript:KZM87221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTSTPVNKLDPSKIKWEIKVRAQAVWKGITRDTKEFRGLNILFIDDSNSRIHAFIGARISSIFEPSLEEGQMYHICSFSVHRYTGYESHRCVRTDLHIYFSDYTSMQKITVSVPRIPNYNFDFYDLSSLGSTNDEKRFLFDVIGVLGDREPLLKYMSESKEEKMQLKCSITDGRITFFDEFALKFDKALEKQADEITIVIIGCGKIGHYLGELNISNYPATRFFINVDHHSVRMMKLWSLKPTFRQKVVVKKDEPRDVLLSVACIKDLKEEFNERRVCCSVKVEKVEEVQWFYHVCPKCSEELVAIDGRFKCTKYKRYIPYPERRFRISVICSDESGVIFIALGDSEVRKLIKKNVFEVDLDHAKESKDSDFPAVFKQFAGKVHSITLKINLKNVIKKSEVYESVDIAELSGISSATISNIEPSGETDDNRGDTVTEMEEGNEEESPVKSLESVSKDKIRKNVKVNTSTVTDGDDDFVLIELISEGKSKKCKK >KZM87860 pep chromosome:ASM162521v1:7:21381217:21382342:-1 gene:DCAR_024961 transcript:KZM87860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPLASTRSKRSVAQDLILRKYSPASFELPKHVVIVMDGLKEPSLALLEWVLKNFAVETHCTVTLLRVMPWLTFPFSGRTWDDIWMLDFEDLVTNQEETQCKNDVYLKLQPLIELCRQYNVIPQVKPLMGFPIHLLVGEQITSLHATLAVIDRHHAKKNINYYAARVPCNILAMNQDGEFDMIKARSGIDVDDYSIGDSPAPTPKLIVSHRFLNKFLKQKEKTGKEEKSLYTVCSTP >KZM87775 pep chromosome:ASM162521v1:7:20291211:20295098:-1 gene:DCAR_024876 transcript:KZM87775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRNSPSFVFLFFLLRYCTSTSIITLDKPLRDGSVLVSAEQNFALGFFSPKDSSKRYVGIWYNKVSVQTIVWVANRDHPLTNTSGVLKLDKTGALFLYDSQKPGVFLWSTNITNSSSVAQSNYSAELLDSGNFILHGDVNNKDVLWQSSDFPSDALISDMKIGVDRRSGLNRFLTSWKSPDNPGSGSYTLMIDANGSVPQLFLYRNWEPVWRGGPWNGVGWSGVPEMAVLGSARFIFNVSYVDNAEEVYLVDYLRNKSVISRMIVNESTGTLQRLTWQEGNNKWVNFYSAPKDICDYFGHCGAFGVCNIYNVGEYECKCLPGFEPKAPRDWYLRDGSQGCVLKQEGRICGNGEGFVKLERMKLPDTSNARRDLSLGMKACEDLCLKNCLCMGYSAAEVSDGGSNGCITWYDKLVDLREFSSGGQDFYVRVNSFELGSFRFRIPYYWRCILRLHDLLHWRLRSSSQFSGPFLLSSPEGNFLLVQILRDNCASTQILNLDLHVLRSTNYSKKERSYGYIKVMVPLLSAALLILLLLPYWLTMKKKKRRQEGIWLFSCSNIDGEDLEFDEIMTSTVDVKFHSLSTIIAATENFSNANKVGEGGFGSVYKGKLHNGQDIAVKRLSNASGQGTEEFRNEVTLIARLQHRNLVRLLGYCMQNEEKMLIYEYLPNKGLDGFLFDDQKRCMLDWKRRFDIAVGIARGMVYLHHDSRLRIIHRDLKASNVLLDAQLNPKISDFGMARIFGSDQIEETTRRVVGTYGYMSPEYAMEGLFSIKSDVFSFGILLLEIIMGRKNSSYYAENSVNMIGHVWGLWEESRVMDIVDHESLGESHQHDSEIFRCIHIGLLCVQESAAARPSMSEVVFMLCNEINLPLPDQAAFIFRTSDGGITNTRSSSVGVTSVNDVTISTVQGR >KZM88593 pep chromosome:ASM162521v1:7:29535109:29536636:-1 gene:DCAR_025668 transcript:KZM88593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQAAATFMQPTKLGRPGLQLKPSSQCLSKAFGVESSASRLTCSLHSDLKQFADKCADAAKIAGFALATSALVVSGATAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGTETFAVKPGKYNAKKFCLEPTSFTVKAETVGKNAPPEFQKTKLMTRLTYTLDEIEGPFEVASDGTIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPDGFSGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENTKNTASSTGKITFTVTGTKPETGELIGVFESLQPSDTDLGAKVPKDVKIQGVWYAQLES >KZM88681 pep chromosome:ASM162521v1:7:30346709:30349747:-1 gene:DCAR_025756 transcript:KZM88681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNIGMMDGAYFVGRSEILSWINTTLQLNLSKVEEACSGAVHCQLMDAVHPGMVPMHKVNFDAKSEYEMIQNYKVLQDIFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVSGGDKLHSYNPLERREPSKGGKDVSRKCAPSQASHKPAGVAPRTHNARKTEAHHTSVANQTAKVSKPAAKVEPEYEKQITELKLSVDGLEKERDFYFAKLRDIEILCQSPQIENLPVVEAVLKILYAADDDASVVEEAKAILCQQKKPRDILSPISEVVEDKENVDTLKRKSIVNVDVDAAATNKSSPRQRISDASDVNCSPAPLITF >KZM86383 pep chromosome:ASM162521v1:7:2191776:2192444:-1 gene:DCAR_023517 transcript:KZM86383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFINTLDLNLPIDEEEISSNVNEKLPIQANQEGSLNHRQVFDLNIGEEDDQGYLTKNIFHEEIVQLGQRKSAIIDLNQSPPSNGEEDELQSGRTRKRKRM >KZM88408 pep chromosome:ASM162521v1:7:27666761:27671253:-1 gene:DCAR_025483 transcript:KZM88408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSVKMSEDVWLTCVTHALSTESEEIMGLLLGDVQHSKNGSVTALIWGALPQPRSDRRKDRVETNPEQLTAASVQAERMSTETGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDTGFIGLIFSCFNEDVHKVGRIQVIAFQSQDGKQNFMPGPASLTPVIDIGFSPSSSENLSSRSGSPWVDSLEHDTGDSRATAAALKVGGRSSDLGGFFANADTNFLGGDRTGGSSHIDKEKAIDLDPMDMSESMQEAMHRSNLEMSGAEFVRKEIPLNVLPTLSILNLDSPLRSFTDLQAMLYEEERTAYTQGVLQNLRNGKVHPLTFVHHTSSYQASMCKLMEYCLSPSIIALQDRLRDNDIRLAMLAEEAKQLEAEVIKGTDSSSVSPRNVGHRGSGSFSRRDVYSPSEPVIVRNVSGSGSRSKRSSS >KZM86847 pep chromosome:ASM162521v1:7:7592418:7593782:1 gene:DCAR_023981 transcript:KZM86847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYIQELNVPHLDIPLSIDHIYPVLPASPIVAVPGDSLFLSNLDDVIGARVFTPTVYFYRSNNLLSEQEPVTKILKEALARVLVPYYPFSGRLRERDDGKLEVYFAQEQGALMVEAHSELPLSSLGDLVVPNPAWKTLIYRFPYEEPYKVIDMPLVIAQVTRFKCGGFSLGLRICHCICDGLGAMQFLDAWAATAKTGRLITNPKPCWEREVFKPRVPPKVTFPHTEYMKIQEGSSLTMSLWQTKPVQKCYRISREFQTRLKNKVQPDNSSGCTTFDAMAAHVWRSWVKALDVKPRDYELRLTFSVNARSKLKLSSLKTGFYGNAVCLACVTSTVTQLVDGPLSSTTQLVREARLDVSEEYVRSTIDFVEVDRPKNLEFGGKLYITQWTRFSIYETADFGWGRPIYAGPIDITPTPQVCVLLPEGEAGSSGATVVCICLPEYAIHKFREFLDD >KZM88982 pep chromosome:ASM162521v1:7:32763309:32763740:-1 gene:DCAR_026057 transcript:KZM88982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASVYKWITSWLLGFKQMSQMKETVFATRMGGGFLRLLVVLLAFSQLLSLNAVPVTRTRSLMHDLGHVVSEDNQVHYTPYLVIFRLMTRSDIDEIICLMITRYTKEKKEV >KZM88860 pep chromosome:ASM162521v1:7:31831640:31833090:-1 gene:DCAR_025935 transcript:KZM88860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLNNQFDDQMVVLNHYYPDVYTQVASVQGSETKPRRRRKNNKAESNSGFRKRKLSDEQVNMLELHFQNEHKLESERKDRLAAELGLDPRQVAVWFQNRRTRYKSKKLEEEYSRLKSEHENAVFEKCQLKTEVLKLKERLSENEEKMQKLLEQSNGASSNSPSSSFSAEIMEPPFLGGFGMEGLESVFCVTGNNYDTTGMEYWHNFYDI >KZM87936 pep chromosome:ASM162521v1:7:22211849:22221596:1 gene:DCAR_025037 transcript:KZM87936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNQTRADKTQSGQYRATGRFSRSNSGGGGGHRNYASRGGGANPPSRSTSFKKGNDVQGVQTRVTNGSVNLDSSNNAALPANAAHSQSHGGADAQAAVKPADVSSQKSTRGVPKAPVTNAPAVISSTMAPSTPVKGGGFPLQFGSISPGLMQVPARTSSAPPNLDEQKREQARYDSLRAAPVLPIQSIPKQQVSTRKYVTADQSSAVDGHSVSKARRDVQVTAGPHTIQTQKPTLHPVTGMPMQMPFHQSQIPAQFGGLNPQLQSQSMVNSSIPVPMPIPMTMPFPMGNQGVPQQVYFQGVPPHMLPPHSVMHQVQGTKLTSQMGTQLPHLGNIGMNINPQFSQQPGDFGNARKTVKITHPDTHEELSLVKKADTSIEAGSSAARSQPSIPPPSQPIPTFPPAHPVNSNPNSYIQGSIILKGPSSLLSSSNQIAPSLQVPKLHNQVPVMPVADQCGEKNAESLLPISLSTVEKTIPIISSREGEATLVPSQIDAENITGRLLQQSKSTLIPVQSRPTIGVSDSVSAVEPKTDFLSSTSPEITEHLPSMASSTTLGTKTDASKSDDNNKKPGKKIHSLLQNQLGQQSTSGLPSSLKNEEIIKSSPSPEAGPAQDDIRESEGTAVDLRTDSTEVQGNFDMAKIDGDDSVKISAELAMLNKAQFDDSEENASGYVRSPKSILPRVSGNGDKTDSPVVDVKDEQQELKVPESVREGGADNVLASTSGAVNFLITKTSLLSLGARITHDADKNLAPDASTNNNDAVGRTEALSTVSGMLGQNFPILSVSSQSEMPCATEVANIDSDSTGLLCPSTDSRDKPMLQTNVSKNTLARGKKKRKEALQKADRAGTTTDLYMAYKGSDEKKETLTNVESSVTTSSHAREEISSGTSHKEIPNESKAEPDDWEDAADLSTPKLESLEDQNQLGEVKHHIEDESSMTRKYSRDFLLKFSEQYKDLPDGFEITSDITELLTVSMGNVPREKLQIPGRNVDRSMERSRSGRRGSGMNFDEKRSKVPGPFPSGLDMGYGNHGNNVVFQPGGNLGVLKNSRAQVPVMYSGVLSGPVQYMNQQFGMQRTNSDAERWQRTNSFQRGLIPSPRTPSQMMHKAERKYEVGKVTDEEQAKQRQLKGILNKLTPQNFERLFEQVKQVNIDNAGTLTGVISQIFDKALMEPTFCEMYANFCYYLAGELPDFIEDNEKVTFKRLLLNKCQEEFERGEREQEEANRADEEGETKQSDEEREEKRIQARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQNPDEEDIEALCKLMSTIGEMIDHPKAKEHIDAYFDMMAKLSNNMKLSSRVRFMLKDSIDLRKNRWQQRRKVEGPKKIEEVHRDAANERQAQANRLARTSSMNSSSRRVQQPTDFAPRGSNGLLSPNAQFGGFRGVPQTPRGYATQDIRTDERHLFDSRIVSVPLFQRPPGNESITLGPQGGLARGMSIRGQPSMSKIPFSDMHSSDFRGTTTSNGYGSLTDRPAHGSREELFSRVSPDKLVSPATSGHMNLKDGNLTYRNREVQHPDQVLNRSRPSTPQTRSTESSSVGNIPPEKVLPKEQLRKMSMETIKEFYSAKDEKEVALCVKDLNAPSFYPSMIYLWISDSFERKDMERDLLAKLLINLAKPQDALLSQPQLVEGFESVLASLEDAVTDAPKAPEFLGGIFAKVVLENVLPLAEIGRLIYEGGEEQGQLVDSGLAAKVIGRVLEIIKIEKGDAVLKQICTGSSLSLENFRPPNSKRPSSLDQFI >KZM86721 pep chromosome:ASM162521v1:7:6195276:6199745:-1 gene:DCAR_023855 transcript:KZM86721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGDKFDECWKFDEFSGMCEGMSDEIVVVKNESGVKVEEVNEEDEAKPIMGSIAERRAGFDAPKIDVVRFRGVGSPVSSPSGRSPYLTIPAGISPTALLDSPVMLLNSQAAHSSPTTGTYQSPSFNHESMMQSAAGAADTDGDCDVSSSLSYKLAGLPCVSELADKPPIDFKCPAKYLRETATDNCATGSTAYAVNLSEDTIFNVRSTALKRSRHTNTESDQMSLFSETSNGVDTEVSRLLEGQQKEAYPPLETLRTSEDGYNWRKYGQKQVKGSEYPRSYYKCTQQNCQVKKKVERSLDGQITEIIYKGAHNHPKPQPSRRAILGCQFSPKISDILSNTRGKSMGAFESSGTPELSSTFASHDGDDEDGATQGSVSLEEDAEDGESESKRRTTNAPKPEPPVPDIALHFERNPAARNNFMRPYVVGNLGTDMKFGAPSLYQVKLPPLPNSMSFGSYLMNPDHTDPPAACSVSSVLPGYSFSLPMTIPGSGNINQSGVDLSCNGKSMDSVHPFLDGQLHQNDLKFVEPKVEKDDNLYGSSLPISDQANSASSSMFHQINGKFPS >KZM87521 pep chromosome:ASM162521v1:7:17708224:17710792:-1 gene:DCAR_024655 transcript:KZM87521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNWSTSLNADDEFEKLVLRMNPPRVTGDNASDRKATLIKVDSANKRGSLLEVVQILTDMNLIIKRAYISSDGEWFMDVFHVTDQYGNKLSDDNVAERIQQSLGPRGRSFRSLTRAVGVQAGTGRTTIELTGRDRPGLLSEVFAVLSDLKCNVESAEVWTHNSRVASVLYITDEADRLPIEDSSRLADIKQLLLYVLKGNRDKRGANTAVSVGSTHTERRLHQLMYADRDYDTNDTDFGSTSDSMKPLVTVEGCADKGYTVVNVRCPDRPKLIFDTVCTLTDMQYVVFHGTIVAEGPEASQEYYIRHIDGCPINSEAERQRVIHCLEAAIKRRTSEGISLELCCEDRAGLLSDVTRIFRENGLSVARAEVTTKGSRAVNVFHVTDPSGIPVKPETVEAVRNEIGRSILHVRNDTHSSSTAEPTSRFSLGNIFRSSSEKFLYNLGLIKSCS >KZM86805 pep chromosome:ASM162521v1:7:7209983:7213666:1 gene:DCAR_023939 transcript:KZM86805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSKKKKRGGGGGGGRRSKASRADHNSLRSDDNELLAEEITALCAIFQDDCRVVSESPPQVNINLRPYSKDMGYEELDISALLSVRYLPGYPYKCPKLQISPEKGLSTSECDKLLALLHDQVGGGYLFNKALEHSEDYMI >KZM86731 pep chromosome:ASM162521v1:7:6273515:6275422:1 gene:DCAR_023865 transcript:KZM86731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLSKATLIMPTFATVSYFLCLFLLVLPTFAAAAHTLLFQGYNWASCDKPGGLYNSLINSVADLAAAGITHVWLPPPSQSQEGHPQGYIPGRLYDLDGSKYGNKEELKALIKAFSDKGVKCIADIVINHRSLEKQDSCTFEGGTPDDHLDWGPSSICNNDEQYPCGTGNPDTGTQFNLAPDIDHLNPRVQRELSDWMNWLKTEIGFVGWRFDFARGYALSITKVYMENTKPDFAVGEWWDDLAYWKDRELDNNQDKHRNDIAQWIQASGGVVTAFDFTTKGILQKAVKDNELWRMKDSKGNPPGLIGISPGNAVTFIDNHDTLSQQVWPWPSDKVMLGYVYILTHPGIPSIFYDHFFEWGLKPELSNLTSIRIRNGISATSTVQIVASEADLYVAKVDDKIYVKIGSRFAVGDLVPPNYKVSTKGKDYAVWEKN >KZM88334 pep chromosome:ASM162521v1:7:26902896:26903060:1 gene:DCAR_025409 transcript:KZM88334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFDYVYEEKRRVYNSNLKSQVLNQKVEQKIPKSVQVPVCVYAQFAQHHTRAKP >KZM86997 pep chromosome:ASM162521v1:7:9567823:9568107:-1 gene:DCAR_024131 transcript:KZM86997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHKRSRKADEGMEELACEQTKGELSIVAERGSRKMKFVAEMRLLQYREERKGRHWVPTKDETRTEVMYGVGRALREYHFRKDRDTNNSFLRG >KZM87741 pep chromosome:ASM162521v1:7:20005226:20008687:-1 gene:DCAR_024842 transcript:KZM87741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSNDDIADTYRKLHANEHFSIEIPDTAHQISQDSWLQAGFVLTTGINSAYVLGYSGAVMVPLGWVGGVIALLVATAASLYANILIARIHEVGGKRHIRYRDLAGFIYGKKAYALTWALQYVNLFMINVGYLILAGQALKAVYVLYTDEHTMKLPYFIMIAGFTCGLFAIATPHLSALGVWLGFSTLFSFIYIVVAIVLSARDGNRSPSRDYDIPGSKVSKIFTTVGAMASSVFAFNTGMLPEIQATVREPVVKNMLKGLYFQFTVGVLPLYAVAFIGYWAYGSSSSSYLLNNVSGPDWVKTAANIAAFLQTIFASPMYEYLDTRYGIKGSALAVRNLTFRIGVRGSYLALTTFVAAIVPFIGDFMNLTGAISTFPLTFILANHMYVVAKKQELNRISKIWHWLNIIFFSCLAVAAAVAGVRVIVVDSKHYSIFADL >KZM89123 pep chromosome:ASM162521v1:7:33990931:33991401:1 gene:DCAR_026198 transcript:KZM89123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDDEQIGELREIFRSFDMNNDNSLSQLEFNSLLRSLGLSPSPDQLQAITEKADTNNNGLIEFSEFLALVAPELARSPYSEKQMRVIFKMFDRDGDGFVTAEELADSMERLGHKLSKEELTGMIEEADADGDGQISFQEFSQVLSFAAFQNSWT >KZM86168 pep chromosome:ASM162521v1:7:278138:278413:1 gene:DCAR_023302 transcript:KZM86168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREEDKLLKIAMEGFAMLDACPRPNGRRGGLVEARRQNPQNLQTRVYRMTTQAAPSKAGVVINSNEAAKIYGGVVFADYPKKGFFRRLFG >KZM87039 pep chromosome:ASM162521v1:7:10324513:10325440:1 gene:DCAR_024173 transcript:KZM87039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQFTTLLRSLPALAIAIIVLIVPVYGQINTACSPSMLSSFSSCASFITNSTANGTSPSSDCCNSLKSITSNGTDCLCLIVTGSVPFQIPINRTLAIALPRACNMPGVPLQCKASSSPLPAPGPAALGQGPTLSPGISPAASPSASGVPQAESPAMTPESNATPSLTPPSDTAGAPTTNSGIRPVVNPSAASPVFSFSPAFLLALLGATFLKYYY >KZM87819 pep chromosome:ASM162521v1:7:20809450:20811206:-1 gene:DCAR_024920 transcript:KZM87819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPRNPTRRPLQSLRAAFGDDYFMCRFQHVSCYDVDIMFQGMKRDIDTVSENESTGSKKRVGRAALNEFLENRLKNMQDVNTNSTMDRGIAIKFGS >KZM89122 pep chromosome:ASM162521v1:7:33988949:33990541:1 gene:DCAR_026197 transcript:KZM89122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLVLVFLSLALVLGLCQSFEYHDKELESEEGLWGMYERWRSHHTVSTSLDEKNKRFNVFKANVEHVHNTNKLDKPYKLKLNKFADMTNQEFRNLYAGSKVSHHRMFRGERRGNSSFMYENVQNVPLSVDWRKAGAVAPVKDQGQCGSCWAFSTVAAVEGINYLKTRNLITLSEQQLVDCDTEQNEGCNGGLMDVAFDYVKQKGGLTTEGKYPYRAQDGICDFQRASHPAVSIDGHEDVPANNERALLKAAANQPIAVAIDAGGNDFQFYSEGVFNGDCGTDLDHGVAVVGYGATRDGTQYWIVRNSWGAEWGESGYIRMRRGISAREGICGIAMEASYPIKTSSSNPSDQTPPKDEL >KZM86899 pep chromosome:ASM162521v1:7:8175812:8178212:1 gene:DCAR_024033 transcript:KZM86899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYVAQEEDIYTKDGTTDYRHKPAVKSKTGTWKACPYILGNECCERLAYYGINTNLVNYLKFQLNQSSVVAVSNVTNWSGTCYVMPLLGAFLADSYLGRYWTIAAFSIVYVFGMTILTLSASVHGLKPLCDEQKGCHPTSTQIGVFYVGLYLIALGTGGIKPCVSSYGADQFDDSDESERKSKSSFFNWFYLSINIGALVAATVLVWIQTNVGWGWGFGIPAVAMALAVVSFFSGTRLYRNVRPGGSPLTRIFQVIVASVRKSRVQVPMNKSLLYETTTDEESVIRGSRKLDHTNKLSFLDKAGVETQSDKIKDSISPWRLCTVTQIEELKSIIGLLPIWATGIIFSAVYSQMGTLFVLQGNTMDLQMGGTFQIPSASLSLFDTVSVIFWVPVYDRVIVPMARRVTGHKNGFSQLQRIGIGLVISIFAMLCAGTLELMRLKMVRQHGYYHMKHIPMSIFWQVPQYFIIGCAEVFTFVGQLEFFYEQAPDAMRSLCSALSLTTAALGNYLSTFLVNMVTDVSTRNGGQGWIPDNLNYGHLDYFFWMLALLSVVNLGVYVLVAKCYTYKKPVDPISQD >KZM89057 pep chromosome:ASM162521v1:7:33427311:33432626:1 gene:DCAR_026132 transcript:KZM89057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSAPPSNFSDQNPVSEVAKPASEVHQDAKDISPPSVFVNSEPIREDQVQNAVKFLSHPKVKGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPTPTVSTGQPAEDGQLNSSKMQQQSPTQAIQPASNTPIGTVSKGGTSALYHLGWQQALLAVGLLAISGAGTALVFKKAIVPRLKSWIRKVVSEEDEDLANKTNSKPSLAEEAAAAAKSAAAAAADVARASQDMLISKNGEKQYFEELMSLLGVQVQEMKSMSNAIRNLEGKTSISGQEDHGFSLNNSKPPYANGKSDFTPSSARPVSPPASVEPSGAPPHPKSYMEIMAMVQRGERPSNIRDVNDLPPNPNQPVPTPSLAPRPKPWEVGQVQNSSNQALQSQDNGFTQMNGNNSAPWWQQKQSNNVRINDIESVDEYSAGSSGAQTIDRPIQRSWVPPQPPPVAMAEAAAAIRQPKKSTFQSEPLTDDQFLARSSELTNELQRVTKISESGGITEANGESYVPNTEIQTEESNSYVEA >KZM88804 pep chromosome:ASM162521v1:7:31427251:31433713:-1 gene:DCAR_025879 transcript:KZM88804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSQMLRRFCCSSSSSCCVSQSTKKKQLVFLGSPQVSASVLDALFNASSAPDSLFQIAAVVTQPPSGRNRGRKVMPSPVAQHALDRDFPSDLIFTPAKAGEDGAKETGVSLAFTVRALDAGPIISCERMEVDDHIKAPELLELLFNRGSELLIRELPSIFDGSAMVNAKPQDESAVTLAPKITPEESWLSFDEAASVLHNKVRAFAGWPGTRAKVVVWDQKSGQQSVIELKIITTRIYSTNNNQSYETDEVIYTKGSLLIPCGGGTTLEVQNIHMESRIHN >KZM86971 pep chromosome:ASM162521v1:7:9154807:9156933:-1 gene:DCAR_024105 transcript:KZM86971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPILNKVKVVWDSCNIQGFIILSLFLQTCLVLFAPLRKRTTSRWMIMFIWSAYSAADWAANFIVGLITNSVLESSGLDEKDDLLAFWAPFLLLHLGGQDTITAFALEDNELWMRYFLSFIFQILTSGYIVLLSLPKNSLWLPTSLVFFAGITRCCQRAQALYLASLENFRESLLAEPFTGPTYAELLDDIRSRVMSNAPDAWVWPVWAKSTPQELKDVHNAKEGKLTELEIVGYASRFFYSFRALVVDQILGFEEREESRAFFLKRRAKDAFKIVETELNFFYQALYTKMRVLNSTAGYIWRFLSFTAVFTSLVIVFFFIDELKTFRKLDIAVTYIMLVGAVFLDTISFMMLLFTDWSIAKLRDQSTLKFRVLKRLFDLKRKLVKRQPEEHTKNSGRSVYNLGFPCRILFRRWSGSVPSFNLIDYSRHQRSKTLDRIITMWGVGDIYDSIVFVSRQPFNENLRDFIFEEMLRKSRLMDTLKSAKALSLGRGDWVLKDGGWDSLLTYIVDIDFDDSLLLWHIATELCYNSDDIEVNRDQQEYRQYAKLLSDYMIYLLVMKPVFISAVAGIAKSRFRDTCAETKRFFLKYGKARTEEDHKFACSLILSVDVDIKPVNLRGDGSKSVLFDACILAKELNRPCYPNRWEIMCKVWVEMMFYAAIRCRAFSHVQQLSKGGELLTLIWILMAHFGFGQQFQRENYPKQKLGE >KZM88645 pep chromosome:ASM162521v1:7:29905270:29910811:-1 gene:DCAR_025720 transcript:KZM88645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFVCLGFMERDKAVCGVFKLLFTLFCIVNAGPCATSKEKNSVKCDACGPYSENFKVHYDDDFAADGDNQILSGNSAAQPSLENVCSNSNLFCFPSTLPGFLSEDKIADSADLNDSELQLDDITSTHGKSNATWASNVDSYKLLNGRVVSCSLNSLVGDHDNLCHRSSLCDQDDITSCRGTLLDRRAAGNENSAKIKSDISDGGSLQVEISPPLLDWGQNYLYKPSLAFVTVTNTHSNGILNVYEPYSTSSQFYPCNFSEMTLGPGEAASFCFVFLPTNLGISSAQLILQTSFGGFLIQASGFANESPYGIEPLLDLDRSSGRRLKKNLSFFNPFKETLYVEEVIAWISFSSGSTSHLAKAICSINSLQNHAGISDPSVQKWVGKKLSQVDMPEIVMRPHRKWEVAPQSTEIIIDIDFQHSKGTIFGALCMQVLRSSVEKADILMVPLEAEDDITSTYGDHTNPVSVSLEALLPCDESGIVLAALSLRYNVPHLLKVVKISAVGEDAQSLLFKYVEGLIIFPGTVTQVAAVTYAPVVDQSNKLESEVTNLSMNCKVLVTTNDSSNSQIEVPCGDIISICSGHGSDHLHEDVDTDLDIQPRSQLKALEMAQPDELVLLNWRSHGRSRDMSVLEEHEVLFPMVEIGSHQSQYITVKNPSHLPVVMQLILNSGEIIDDCRDSNGILQPSLVHSKDIAPARYGFSIANNAVTEAFVHPNGRATFGPILFQPSSRCWWKSSALVRNNLSGVEWLSLQGFGGSLSLVLFEGSYPVHRIEFDFNIPSFFNLSSSGMLNANNSSACSQPYLKEFYAKNTGDLILEVSKIEISGARCELDGFLVHACKGFALQPNESKKLVISYQSGFSAAIIQRDLQLALSTGILVIPMRASLPTSMLHVCKRSLLWTRVKKYWLLVVVASSLMLLIVPRIGSLVLSSYSQNHLFSGRKCPIPTLSHRGDCSFWNCNHRDSSKFTLPAKLSGFLRLLGREALVTESGARCLDGQYIEPEQEKTVTSGNLASGNSSQKRCVVNPQKESKLGVSLLSKSVALENSAMQDVSESEKLTVKVGKDKGKRRRKKKGSTSGLTGQPEVSSSQSGNSTPSSPLSPLTPFNSRKSCSKSPDVDPCLEVKSPFANPRHKKIPGPETSSKSNMSDRTGSVKYGNNSSSLNSTVKSPTPSPRPRRVATPVLLPSATFPSAGKSSPSMFTATPLPSSTSPIAPHARAPGSKLGDQRVVRTQDQKKTEDTFQYDIWGDHLFGVHRMLKSGEVYTKSRFAAKSEPRFPSTSESGFASKSDSNSFFVRGPQIIAPNSQVLGSYKEN >KZM88663 pep chromosome:ASM162521v1:7:30113596:30114102:-1 gene:DCAR_025738 transcript:KZM88663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQILPQNCPMPTQAFNSSEAVMAKTNSMESMREMMFRMAAMQPIQIDPESVKPPQRRNVKISKDPQSIAARQRRERISEKIRILQRLVPGGTKMDTASMLDEAVHYMKFLKKQVQSLERAATTSYRPMGNAGYPGTVAAAAMNMNYSALARPCQPAAHMVGSMQMLS >KZM89287 pep chromosome:ASM162521v1:7:35707675:35707878:-1 gene:DCAR_026362 transcript:KZM89287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLEKEVPVKQYNTVVGGVAIGSAKFVKNGHVVITTAPLNKARYAAMLKVGEKQAQPPTEDAEKSG >KZM88292 pep chromosome:ASM162521v1:7:26527570:26529788:1 gene:DCAR_025367 transcript:KZM88292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTKLTSCLILLACFAAFIPTLNAQEIELEDASYWRERALIAYNRTLGAYKPYPHLVTSHTNEQVRRMLENTTNDTRRVLRGNKYKGPCMATNPIDNCWRCNPEWADNRFRLADCGIGFGKPAIGGKGGPIYVVTDPSDEDMVNPKPGTIRHAVIQKGPLWIIFGRDMTIRLNQELIMESDKTIDGRGAKVHIAYGAGITIQFVNNVIIHDIRVHDIVKGSGGMIRNSVDHFGFRTESDGDGISIFGSTNVWIDHVSMSKCSDGLIDAIEGSTAITISNSHFTDHNDVMLLGASNHQVKDKHMQVTIAFNHFGKRLIQRMPRVRYGFVHVVNNDYTHWNMYAIGGSQAPTIICQGNRFIAPRSDRIKEITKREYAEESEWKSWNWRSEGDLMMNGAYFVESGDPATVENFERDFNLVKAAKGIEAPLITKFAGALNCLPGSPC >KZM86571 pep chromosome:ASM162521v1:7:4530914:4536557:1 gene:DCAR_023705 transcript:KZM86571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRRRKIHFSKIYTFRCGKSSVKDDHHQIGGPGYSRVVYCNEPKSFEASLTEYADNYVKSTKYTPATFLPKSLFEQFRRVANFFFLVTAILSFTKIAPYSAVSSVLPLVIVIGATMIKEGVEDYRRKRQDDEVNSRKVNVHQGDGTFKQCEWKELKVGDVVKVQKDEFFPADLLLLSSSYDDAICYVETMNLDGETNLKLKQALEVTSSLHEESSFKDFKAVVKCEDPNANLYTFVGSMELEEQNHPLEPQQLLLRDSKLRNTEYIYGVVIFTGHETKVIQNSTDPPSKRSKIEKKMDKIIYFLFGVLFVMAFIGSIVFGIVTKDDLHGERMKRWYLRPDNANIFFDPDRAPLAAIYHFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDIEMYHEETDTPAHARTSNLNEELGQIDTILSDKTGTLTCNSMEFIKCSVAGTPYGRGVTEVERAMAKRYGTALLGTKDKKNDTVENDTKPHIKGYNFEDERITDGNWVHEPHSEVIQKFLRLLAICHTAIPDVDENTGKVTYEAESPDEASFVIAASELGFEFYKRTQTTVSINEFDPVSHTKVQRDYDLLNVLEFNSTRKRMSVIVRNEEGQLLLLCKGADSVMLERLAKSGRQFENETREHVDEYADAGLRTLLLAYRELTEEEYKEFDEKFKAAKNSVSADRETLIDDATELVEKDLILLGATAVEDKLQQGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIVTLETPEIKQLEKVGEKGPIAEASKKSILQQISEGKDLIASSGNEAFALIIDGKSLVYALEDDLKKMFLDLAVACSSVICCRSSPKQKALVTRLVKEETGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDISIAQFKYLERLLLVHGHWCYRRISSMICYFFYKNVAFGFTLFFYEAYASFTAQPAYNDWFLSLYNVFFTSLPVIAMGVFDQDVSARFCLKFSLLYQEGVQNVLFSWRRIIGWMLNGVCSAVIIFFLCTRALDVQAFKKDGKTAGLDVLGPTMYTCVVWVVNCQMALSISYFTLIQHIFIWGGICLWYLFLLAYGALPPSYSTNAYKVFTEALAPSASYWFITLCVVIAALIPYFSYKSIQMRFFPMYHGMIQWIRHEGRSEDPDYCNMVRQRSIRHTTVGFTARSMARTNPLTGSIHYQTSTARTNPLTGSNHGQR >KZM88586 pep chromosome:ASM162521v1:7:29475001:29479991:1 gene:DCAR_025661 transcript:KZM88586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGYGSAVPFTTLAQKAMSRHFRCLKDAIGAQIKKSCELLGERDAGGTSGVTKGETPRLKLLEQSLRQQRAFHQMGIMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKQLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQEETEEREAFHAQTPTPSTTTPQDFAATLTAPAASRSEIMRNADNDFSQLAINKQQQQRFSKNQATYSAEVSQSFAGAATASGVTGDVSLTLGLRHAGNMPENNSALR >KZM87762 pep chromosome:ASM162521v1:7:20171827:20172552:1 gene:DCAR_024863 transcript:KZM87762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPRQIMSLCLRIVIIALLSVASCSLKVHKSNISSSCNMNLNISCPFYLEDHPQNCRNFSYPLSCHNNRTSLPLLSTEFYVEAINYANSSVRITDSDIATCSSFTLIPYRYDSDKYFYVKDIFSPLGEYNTPLTFIACSTPVINSSISKRYKRTSVCSSSSVTSYVVVGYMDYSEVENNCTIRKTSWVSSAWPGINKTSFMDIHDFTYGIELPFRYFACLECYAPRSPYCRSIVTCDPGE >KZM86215 pep chromosome:ASM162521v1:7:693796:695472:-1 gene:DCAR_023349 transcript:KZM86215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMFQSIQPDISGSSDIRGSNFPEKNVDKQFQDSQNCASHQEQQMSVFSGFEFGEQQMSVFSGFERFAELCFSSNPSPFQLSQEEHEKLRNIHSEVLELVAPEEDESSPSSLSPFRILSSSRSNFKGQNPVEVKVSGSDVSWPKVSSQSVNEIIRLAGENIIQSRSVKVDDHVEPSQGPSDEKSEDVVIVQHLLSSAEKLGEQQFECASELLSYCNGYSSNKGKPLQRLVYYFSAALRERIDLEMGLATSKTISSELEEAMRKSSSINVSLHQRIPFCQIMQFTAMQAIIENVAQAKKIHLIDLDIKFGTQCSILIQDLASRLTCRVEHLKITAVRTKSNMIPAETGKQLMSFAKSINLSFSFHEVTVADMLDLTEDLFELDPDEATAVYSPFFLWTMIGQQDRLECLMRVIRNINPCVMIVIENEVNHNSPMFVNRFVEALFFFGAHFDCFGECIHPDDPNRFAVEFLLSLGIQNIVAAEGKERTVRQVKNNVWISFFTQFEMVQKELSTSSLYQARLVAKNFNCETSCSLDMDGKSLIIGWKGTSVYSLSAWEFL >KZM87465 pep chromosome:ASM162521v1:7:16637220:16637885:-1 gene:DCAR_024599 transcript:KZM87465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLETLQATSRSINPISSPRISFSSNSLDDDDFISINPNSMAVKEKTRNVEFEFLSSENQTMLSADELFSEVWQMQQPEKLKTMSLNAEQQAEAGRAEDRSKAETKVGWLLDDDPSPRPPKCNVLWKELVRLRKQRSSTLSPSSSSSSSSLKSLDLRSIEERKQGSGSKDKHVKRMKKGLERSRSTSMRIRPMVNVPVCTHGRRNAVPPLLSFRKEKPEK >KZM87750 pep chromosome:ASM162521v1:7:20074447:20075902:-1 gene:DCAR_024851 transcript:KZM87750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLHVLVNKLLGTDELDDEQSDENHWSLVEFFSFRQSVMNGNYDTALLPRSYFVDVPHIHQLRTWDCGLYCVLMALRTLGIKNCNIQELDKLCCTTSIWTVDLAYLLQKFAVNFSYFTVTLGANPKFSAEIFYKVAFDAMN >KZM87194 pep chromosome:ASM162521v1:7:12278078:12280159:-1 gene:DCAR_024328 transcript:KZM87194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIAFTSLQEVSSGSYEWAVKVRVLRKWRGVSNTGKEFKGFNLLLLDTQNCRLPGFVPALIAQNYERKIVVGNIYALSNFTIKDYKPDDKFRCVCCEKQIYFTNFTEVEAIAEDGVMIAHDMFDFSDLGDLTDIADDNTYLTDVIGVIQKDFPLRKFVNKNGVSQVQMKFEITDGRCYGTVTFWDSLAEEFELALKTVSVHPAIVIVASAKITSWQKKVDIANVTATTFYLNYAHHSVSDLRQMLGSPLFSKCDFSSQIPETFEEHGIESVKTLGVEYLNMEVVCELKIILIVNMDWYRAECSSCYREISVVDGEYKCIVCNRDVPFADKKFQVLVEALDEKEHIELSLNDRTIRKLIGKTVDELLEKGETQEKVPSLLRALEGKWYSAKLKISPLNIEVPSSFFYVSDMFELGGSFRSSTSTPSAASEEACEHEFNTFGWA >KZM89163 pep chromosome:ASM162521v1:7:34500054:34501811:-1 gene:DCAR_026238 transcript:KZM89163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSAHWTLVFGILGNVVSFAVFLAPIATFYKVYKKKATEGFQSVPYVVALFSAMLWIYYALLKSNTLLLITIISAGCVLQTIYISIFLIYAPPKARIQTLKLLVSINVVGFGLIVILTQFAAKGAADRVAIVGWICLIFSVCVFVAPLCINVLPNVLGFTFGILQMVLYMVYKNTKKNAIEPENLPELVQDDPVIIIDDKNLPELKAKIEDVMRLSALVCSEMNSNENELDVIEIQVVVPKKQLIPLA >KZM86448 pep chromosome:ASM162521v1:7:2892847:2893532:1 gene:DCAR_023582 transcript:KZM86448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGAVVTGVNGSLKRASVDTRSERGIGKVSLGTELTDDDDDFETPAEHFGKTKSIRMQKKPRMMKGIRSDNQKSLLNKLRVVYGQKILTWEHNSKKEYVMGGATYLNKGKKIAE >KZM89223 pep chromosome:ASM162521v1:7:35102652:35107698:1 gene:DCAR_026298 transcript:KZM89223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGPARALFMDEISTGLDSSTTFQIVKALQHSVHILQGTALISLLQPAPETFELFDDIILLSDGHIVYQGSREHVLSFFESMGFKCPQRKGVADFLQEVTSKKDQKQYWLHKDQPYRFVTSKEFSEAFQSFHVGQRVGNDLATSYDKSKSHPAALTTEKYGVNKTELLKAVTAREILLMKRNSFVYIFKLFQLTVMSLITMTLFLRTELSQDTFTEGNLYMGALFFGVVMLMFNGLAELAMTIAKLPVFYKQRDLLFFPAWSYALPTWITKIPVTFLEVGVWVFLTYYVIGFDPNITRFAKQYLILLLVNQMASGLFRMVGALGRNMILANTFGGFALLILFALGGFVLARGDVASYWIWGYYSSPMMYGMNAIAVNEFLGHQWHKLNKNSNDTIGVAVLKSRGFFPYAYWYWIGAGALVGFILLLNFGYTLALMYLDPLGKPQATLPDESDPAATELEESAAGNQKKKGMVLPFEPHSITFDDIKYSVDMPQEMKEQGVTEDKLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITVSGYPKKQETFARIAGYCEQNDIHSPYVTVYESLLYSAWLRLPSEVDTAKRKMFVNEVLELVEMDTLKEALVGLPGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGLELYAGPVGRHSCDLIKYFEAIDGISKIKDGYNPATWMLEVTASSQEMILGVDFTEIYHNSDLYKRNKALIRELSAPRPGSSDISFPTQYSQSFIVQCVACLWKQRCSYWRNTSYTAVRFLFTTAIAIMFGSMFWDLGSKMDTRQDLFNAMGSMYAACLFLGSTPKWWRWYAWACPVAYTLYGLIASQFGDITDKTIPDDNDGRQTVQKFIEDYFGFNHDSVWAVALATAGFAIAFGAIFAYSIKAFNFQRR >KZM86364 pep chromosome:ASM162521v1:7:2020193:2024732:1 gene:DCAR_023498 transcript:KZM86364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKIFSELEEKIVKSLGKKDEAVYNEYQKNVKNYIFIDTDLFVSLSKVLMLIYGDPVSVTRPSGRVDMNAPVIDGMYPLHLAVLTSSQLLVRRLIGLDGARLDVKCCGDPNSPFYGLTPLEMALQVLRRNLIPWTPQVSVHELLSMFHGNAKVKSLMENISLLAYITPRIRHLVTEYSLQGKVVELAALLKLGSSHPIDYTIMYVPSADIPPELHFGVPMMLRLLVATRSASLIKECIQLSAGSNPEEYENCKIKLKEMDFIGALLESARRGDLNRFDKEQQSTVNIELTDDMLVGSQDESKVNTVAPWYLKNSLFYMDSSKFQAPGIFNTMMPRGYEDKMEYYDGFDIRSCNALAETIFRVLDGKKVEELTLRDASDFRDELEDFLKNKFKEAVVLTALEEKALEKEAEKQGFISGSSSPGSVTPVLKPGHFYYPLNKAYERLDVKRISTSVFSALKKGKT >KZM87767 pep chromosome:ASM162521v1:7:20219002:20228533:-1 gene:DCAR_024868 transcript:KZM87767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPVRLLVQRRRSWPHGIPRKSQASSNDPSTMCENVDPNRSARVQRDIGSRVATPTTAGKAYPAACRNSQDSGHSNCGVDNKEARYATAICDVAVHQISASSENKNPTTEKFSSGQIHRSSAYTFGFSVFFFREEWTTEMLLHNLSSIVDGYAADHEKSVSHENVNPNTTASDIGMILVILVDLSKENKMRVAVLVSKAAYKFTRVIGVQQLQLSDYVVPEDVKGSGYQIGADKLGFVVEGRDQKKLIFHGGVPGIAQKLCTSTTDGLPTDSDPLKRRQELFGINKFTASEPRRFWVFVWEAFQDMKLMILAVCAFVSLMVGIATEGWPRGAHGGLGIFASIFLVVFVTATSDYRQSLQFRDLDKEKKKISIQVIRNGYRHKMSIYELLHGDIVHLVIGDQVPADGLFVSGFSVLIDESSLTGERSSRSPLSMLNQDSPFAFVQESTTKERICWPCVGVTVVLKVHMHCEACAQEIKKRIMRIKDDKTIATNVILMDRVVKRLIGTTVTNLFTEMKKEDSLSKNVFPPLLKHMVGKEMMVKIALTEANIEGESTIFDAIDLCDSSAKADGICELSPIPKCTRISESEVIHVIELFQTPDLGKPSVLKKIKVFIAAVRVIVAALIESVVAGSVVHVSAFQGTQPTYLIALFEAAGSIRVLYFGSSGSSKNTGKHLLLGNGKYLTELSLKAFSPPYLAPDLRHSQLTGNTYQSPLGRVLEQRAALNNNRPGFSLPASTITSKQHTSPTSVFTPSVFTQEDNHNLSGVSVDFTYVYV >KZM86408 pep chromosome:ASM162521v1:7:2556456:2560430:-1 gene:DCAR_023542 transcript:KZM86408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTVPPVLTSPRDDAIQLYRAFKGFGCDTAAVVNILAHRNASQRALIQQEYKAMYSTDLNKKLSSELSGDVKRAVLLWMYDPAARDAYVVHQALGGGGSSTDLKAVTEVLCSRTPSQLQHLRQLYYAMFTTYLEPTIELQAFGDHQKLLLAYLTTPRHEGPEVDRSMVEHDAKALYKAGEKKLGTDEKTFIRIFSERSRAHLAAVSATYHNIYDRKLEKAIKNETSGNFEYGLVTILRCAENPGMYFAKVLRKAMKGLGTDDSTLIRVIVTRAEIDMQYIKTEYHKKYGKTLNDAVHSETSSHYKAFLLALLGPTHR >KZM88385 pep chromosome:ASM162521v1:7:27492403:27493101:-1 gene:DCAR_025460 transcript:KZM88385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVRLLVLMITSLVLLLHNSCALAVHHQGRKLKGNTLMTSQENLAPAKMGLVHRHSSSGALGGNQHQWKYQDGKASEGKTRHSSEKKERHVSTEEANEREFMKMMRRDYGRRGKSTGRRKPPINNHNHKH >KZM87495 pep chromosome:ASM162521v1:7:17347649:17349629:-1 gene:DCAR_024629 transcript:KZM87495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRQRIILSILFGVITGLVFSILFACLLRCFIRYINRTPILKGPVVFSPKISPKTLQSALANENHLLGSSPNATYFRTVLDNGLTIAVKRLHPFPGLQDAHTKRKIQQELQLLASLRHRNLMSLRAYVRDSDNTFSLVYDYVPTGSLGDAMNKVRENQLHLCWDARLRIAVGIVKGLQYLHFTCVPKITHCNLKPSNVMLDAEFEPRMADCGLAKLMPSVHGVASPYKAPECLDNFRYSDKSDIFSFGVILGILLTGRDPLDPFFEETSSGGSLGRWLHQVQEAGEAQEALDKSIIGEEVEEDEMLMALRIAVVCQSDLPADRPSSDELVPMLTQLHSF >KZM88912 pep chromosome:ASM162521v1:7:32233366:32235068:1 gene:DCAR_025987 transcript:KZM88912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPILLTIISVILLVSRFYSRPYPPGPRGWPVIGNMLMMDQLTHRGLAKLASQYGGIFHLRMGIRHIVVVSSPDMARQVLEARDKVSNRPTSIALDYLSYGTANMAFADYSPFWRQMRKICVIKLFSRARAESWDSVRDELNEMLRVVASNAGQAVNIGELVFGFAERIIYRAAFGSRLSDGHDDFLKIMQEFSKLFGAFNICDFIPGLSWADPQGFKARLSKARGSLDAFIDSIIEQHISKRKGKNITGRDEGNNDMVDELLAFYADVGEAKADSDDLSSSIKLTRDNIKGIIMDIMFGGTETVAAAIEWAMSELLRNPEELKKTQEELANTVGLHRCVEEGDFEKLTYLKCVLKETLRLHPPLPFLSRATAEDLNVAGYYIPARSRLVINLWAMGRDRKCWNDPEAFKPSRFLNVGAPDYKMNNFEFLPFGTGRRSCPGMQLGLYTFEMGVAHLLHCFNWELPDGMKPTQVDMSDVFGLSAPKATRLTAVPSPRLKCPIY >KZM88865 pep chromosome:ASM162521v1:7:31882538:31882846:-1 gene:DCAR_025940 transcript:KZM88865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQFFRFLKIVGVGFKARAESGGRLLFLKLGYSHEVELTVPPAVRVFCFKQNVVCCTGIDKQRVHQFAAAVRNCKPPEVYKGKGIMYLDEVVKKKAGKKSK >KZM86856 pep chromosome:ASM162521v1:7:7703571:7707970:1 gene:DCAR_023990 transcript:KZM86856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDSSSERKRSCLSEVVSDCVRRWFQETLKEAKAGDLNMQILVAQMYYSGYGVPVDAQKGKLWMTRASRVRSSVWKVSNKRPGYNASDSDSDELDHTVSYSILFRFILIVPVTTFLPVSAEKKLN >KZM86840 pep chromosome:ASM162521v1:7:7516507:7518370:-1 gene:DCAR_023974 transcript:KZM86840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYSALTIANPTRLLLLTSSPSAALRFHSSPPTHHPSCLPQRRRIGIALTCSPLLLHHHPNRFAFSLAASKQDSKPLETQGPEEDHNTPIEGDDSEEYWNQMLASFKEQALKMQSVSQEAYEEYSKRANVILKETSKQLKIQAEKAKKDLTVIAEEVSKEGKQYLSKAADESPEPVKDIVQTFAASSDELNEVSQVCDFYIGIPYGALLSFGGFLSFMITGSISAIRFGVILGGALLALSISSLRSWKRGESSLMALRGQTGYTLRTNHISN >KZM87543 pep chromosome:ASM162521v1:7:18013133:18013399:1 gene:DCAR_024676 transcript:KZM87543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLSVLNLYAPRVQGLILVLVGSMTFLAFLYAAILSKLLPPSEYYFVAAIQNDRCLFIPSTINIILIVLQLKLTASWKSIQKRNGPF >KZM88395 pep chromosome:ASM162521v1:7:27557308:27558528:1 gene:DCAR_025470 transcript:KZM88395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFDSTSGSASKVVGASGMGQPNGPEGVRGRKKSATSRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDDAARALRGANARTNFELPQSSTRNSLPENAEPFSFEQACGKDEAEEGLLGALKAKLYDGNNLRSLICPQLNTTTPLPALQQQQQSSHVLTVSQQKNHVTKRDHLAMSMPFMATPTKTTPNQLMLPSNFEQPPKKIDNNFLPNDLNSSHDNNPIDFMAIHEQQFGGMKWQNQGTDITSSMVWQQQPNDMPWQPSSDLNMHIPQDQNAFFTNSTTMTTLPWQFSGTGTQSAMIDHQMSHYTDGLCYNNQQLSNSNKMSEYNIPVTYGITNQVANEGELDVGVCGGGSTIWSPDQQFTSGWGSTGNGGVNISNNWEQLLYASSVLG >KZM88537 pep chromosome:ASM162521v1:7:29004311:29010194:1 gene:DCAR_025612 transcript:KZM88537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAGCACTACQTVVSGISRRSARIAYCGLFALSLIVSWILRTVAAPFMEKIPWINHFHQTPSREWFETDAVLRVSLGNFLFFSILSFIMVGVKSQKDPRDGIHHGGWMMKVICWCLLVILMFFLPNEFISFYETTSKFGSGLFLLVQVVLLLDFVHSWNDKWVGYDEQFWYVALLVVSLVCYVATFLFAGVCFYLFTPSGQDCGLNTFFIVITLIFAFLFAIVTLHPTVSGSILPASVISLYCTYLCYSGLASEPREYACNGLHKHSQAVSTGTLTVGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGGKPLLPLDKLDEHVEKENASKPVSYSYSFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWASVWVRILTGWATAALFIWSMVAPHLFPEREF >KZM88942 pep chromosome:ASM162521v1:7:32485261:32487317:-1 gene:DCAR_026017 transcript:KZM88942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDLNHPLLSKAVEAFKSLRIRVGGSLQDRVKYDMGNLDYTCHPFAKGDGLFGFSEGCLNMSRWDDLNNFFMKTGAIMTFGLNALYGRHKISHREWGGDWNFSNAHDFMNFTISKGYQIDSWEFGNELSGKGIGAFVGAEQYAKDIINLKAILDKLYKNFHPKPLLVAPGGFYEKEWYDKLLEVSGSNVVNVITHHIYNLGPGVDPNIVDKILNPLHLSKVSTTFSSLEQTIKKYGPWASAWVGESGGAYNSGGRHVSDTFVNSFW >KZM89064 pep chromosome:ASM162521v1:7:33531552:33544177:-1 gene:DCAR_026139 transcript:KZM89064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTDRQPLLVNSDVISNDDNNNCNSASISTSSDITSSLSGGGDKEMAPVPGSSIHRSGSRPQLDLSGAAIQGNFEEKDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRLINNRRTKSVKEKFGLPTGIRRSYPILGGRLHFVKFETHKINECLDFINSKQLHRGGMDSLGWQSEVESNENAVIKATGGGAYRFADLFKEKLGVTIDKEDEMNCLVAGANFLLKAIRHEAFTHMEGHKEFVQIDQNDLFPYLLVNIGSGVSIIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNSNIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISENKELGDYRPEDISLSLLRMISYNIGQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVQFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLVDVDQLQISWMEKFVQKGTEITAPVPMAPPGTTGLGGFEVPSSKGDSLRSDASNLNVGVLHLVPTLEVFPLLADPKTYEPNTIDLLDRGELDYWFTVLSAHLPDLVDKAVASEGGTEDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFYFVDAYRSIKQRENEASLAVLPDLLLELDGMDEERRLLMLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRDKMHRPWRVDDFDVFKERMLGSGDKKPHPHKRALLFVDNSGADIVLGMLPLAREFLRRGTEVVLVANSLPALNDVTAMELPEIVAEASKSCDILRRAAVAGGLLVDAMISSQDSSVDNSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRSLHTNYNAKFKCEALKLAMVKNQRLAEKLIKGNIYDCVCRYEPAS >KZM88750 pep chromosome:ASM162521v1:7:30991521:30993338:1 gene:DCAR_025825 transcript:KZM88750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPQNPLESNPSRTFEPICLEKKSGSFENGGSYDEFAVDVEKIYRILRKFHSRAPKLELALKESGVVVRSGLTERVLNRCGDAGNLGYSFFVWASKQPGYRHSYEVYKSMIKILGKMRQFGAVWALIEEMRRENPQLITPEVFIVLMRRFASARMVKKAVEVLDEMPKYGCEPDEYVFGCLLDALSKNGSVKEAASLFEDMRVRFTPTIKHFTSLLYGWCKEGKLTEAKFVLVQMKKSGFEPDIVVYNNLLHGYAVAGKMADAFDLLQEMRRKGCNPNATSFTILIQALCAQEKMEEAMRVFMDMQKSECDADVVTYTTLISGFCKWGKIEKGYELLDSMIQLGYTPNQTTYLNILLAHEKKEELEECIELVKEMQKIGCNPDLNIYNTVIRLSLKLGEVKEGVRVWHEMEANGLSPGLDSYVIMIHGLLEQECLVEACEYFKEMVEKGLLSAPQYGVLKDLLNSLLRADKLELGKDVWNCIMMKGCELNVFAWTIWIQALFAKGHVKEACSYCLEMMDAGLLPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERQTTFKMYKRRGERDLIEKAKEKKEGRKRRSRRHQWGTNRSRGSIS >KZM87421 pep chromosome:ASM162521v1:7:16196516:16199042:1 gene:DCAR_024555 transcript:KZM87421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSISIYTPFSRQLLSPPYCKIKRSPRKAKPRKLTPNPNPLLKSRSIPQLEATTYTRLPPKDDFIADPSQFSAPEITLSDLNSIRDVSARKPPVNGEKVEEIGGGDEELGFDYGKFELFEIGSDNEEDDEEFDDEVVLGFGDGELGDEFEGVDENGEEGEREKEKGVPAVMRCFDRAKIFVKAGDGGNGCVAIRREKFVPLGGPSGGDGGRGGHVYVEVDGSMNSLLPFRQSIHYRAGRGSHGKGSNMHGAKGDSVVVKVPPGTVVREAGKDGEEGELLFELLNPGQRALLLPGGRGGRGNAAFKSGTNRVPKIAENGEEGAEMWLELELKLVADVGIVGVPNAGKSTLLSVVSAAKPNIANYPFTTLLPNLGVVSFDYDASMVVADLPGLLEGAHRGFGLGHEFLRHTERCSVLVHIVDGSSQQPEYEYDAVRLELEMFSPELAEKPYIVAYNKMDLPDAYENWPSFKETLQSRGIEPFCMSAATGEGTREVIYAAYELVQKRKEAAKGEG >KZM87300 pep chromosome:ASM162521v1:7:13949632:13952337:-1 gene:DCAR_024434 transcript:KZM87300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHRHRHYSTAMNQKTWPNSADTSHYTALSFYHKTRIDKPQNNRPHKRVSSTRRWVWVPKISPEAESRPSDPKRDFSMLPNDIIVRIAGGFNHPTLEAASLVCRAWCDALRPLSQAMRFLAWGKKYKHGRGGVEVDHERALDYFLRGVARGSTLAMVDAGLLYWDMGKKEEGMKFYRRAAELGHPAGQCNLGLSYLQIESPDLKEATKWLYKAANSGYVRAQYQLALCLQHGRGLKRDLCEAAKWCIKAAEGGYVRAMYNTSLCYQCGDGVAQSYQQSKSWMKRAADRGHSKAQFEHALGLFAEGKLKAAAVYLELAARAGETAAGHVRTALFEQMSPTSQERTIQLADNWRPLPAVH >KZM87562 pep chromosome:ASM162521v1:7:18166331:18174178:1 gene:DCAR_024691 transcript:KZM87562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHAKSESDGSTSSTPKSTTSHNQPLYYVISPPHDFSPPQTSPLFSSPINSPLHPSRPSNSSNTSSRVHSHSSYSSRVSGFGRRYWSRKRGWNECNVIKEEEDFDILYCDYRRCKCLIAVLGLASVFSLFCLIIWGASRPYHPQVSLKSLTVYNFYSGEGSDFSGVPTKMLTINCSLNMSITNPATFFGIHVHSTTVNLIFSQITVATGLLWKWYQNTLVVHPVKTQVISSGVIWGVGDIAAQFITHSTAKTLSHTHHEDKEFKISWRRVVITSLFGFGFVGPFGHFWYEGMDRFIKSGLKLQPNTVRFVATKVAMDGLIFGPLDLLVFLSYMGYSSGKNSLQVKEDLKRDFLPAFILQGAAWPVLQIANFRYIPLRYQLLYVNIFCLVDSAFLSWLEQQQDASWKHFLVSSITSKGQDR >KZM87345 pep chromosome:ASM162521v1:7:14835669:14843597:-1 gene:DCAR_024479 transcript:KZM87345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTVSSAGGLLAMLNETHPSLKLHALSNLNTFVDHFWPEISTSVPIMFFYYLGELNDSLSYALGAGPLFDISEDTEYVHTLLAKAIDEYASLLNKAVEFVKVVEIDTRLEAIVERMLDKLDKLEEAITKSDNVQATLSYCCNISHSFVNRREYRHRVLYLLVHVFEKMPSPDYLSICQCLMFLEEPVGIARILEKLLRSEDKSDALLAFQIAFDLIENDHQAFLLDVRRRFSLPRYIPSEAYQPVSIETESSQDANAAKSEDTQMEDGNQAVTESSPTADPKEVTYAERLTKLKEILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLHWLSRATNWAKFSVTAGLGVIHRGHLQQGTSLMDPYLPMSASGDGSPYSEGGALYALGLIHANHGEGIKQFLRYSLSSTNVEVIQHGACLGLGLAALGTADEEVFDDIKNVLYTDSAVAGEAAGISIGLLMVGTASEKVDEILAYAHETQHEKLIRGLALGIALTVYGREEEADTLIEQMTRDQDPIIRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFILYSQPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGSSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGIIDAGGRNVTIKMLSKTRHDRMTAVVGLAVFTQSWYWYPLIYIISLAFSPTALIGLNYDLKVPRFEFLSHAKPSLFEYPQPIVATTASAVKLKLPTAVLSTSARAKARASKKEADQKAVAEKSSGTESTSGKGKSSSDRDGDSMQVDAPPEKKVGVELSFEILTNPARVVPAQEKFIKFLEDSRYTPVKSAASGFILLKDLRPSEPEVLSLTDAPSSTASTGATAVNTQAEESRSPQPFEYTS >KZM88241 pep chromosome:ASM162521v1:7:25969075:25969677:1 gene:DCAR_025316 transcript:KZM88241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQLNPTDHLLKNPAHGDDYAVGLPDDGKKMISELMSFYDSHIYHNQVPDNGNLNILGDRIYQNQNLNNGDLNILGDHVHQNQNLCSGDLNILGDNDLQQQTFKLDDNLFGQGTVMGGNISEETNMPLNQSVFPSTDFQFGQHEVYDSIFDSSPNENPLAQFSSPSNLAATDYDVDSFPEHDGSLWKGWGSSFDGGLE >KZM88825 pep chromosome:ASM162521v1:7:31561103:31561468:-1 gene:DCAR_025900 transcript:KZM88825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFSSTSVRDSLRGSKRSGYNGNYYDTSGSTSGGSTPTRKHIKSVRFGDANKNHEGSKGDQTSSFKLWRKMKDGYYNMMISLGGNGATAFGDKRTPKAHAASEFDKRLVQEIYKSMSTTS >KZM86597 pep chromosome:ASM162521v1:7:4744570:4745070:-1 gene:DCAR_023731 transcript:KZM86597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDHVSRVLDNPIRYKDFDQEIHINSLKELKTIIVKGMYTRVIGSLKNLEAQFAEECEVVLESRENEDLELDEKRRETLYSVPGRKFRMNRNEMEFRCCNSGHKLWFTLDGARDFGSFALQKRIEEIEDFIETLQEAALIADLNLLVDERKREEQKAKGERKAKK >KZM87019 pep chromosome:ASM162521v1:7:10201259:10204160:1 gene:DCAR_024153 transcript:KZM87019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLDADESDYVEMDPSGRYGRYNEILGKGASKTVYKAFDEYEGIEVAWNQVKLFDFLQSPEELERLYCEIHLLKTLKHSNIMKFYTSWVDAANRNINFVTEMFTSGTLRQYRQRHKKVNIRALKHWCRQILQGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYAEEYNELVDIYAFGMCVLEMVTFDYPYSECFHPAQIYKKVISGKKPDALYKVNDPEVREFIEKCLATVSCRLPARQLLEDPFLQNDDYGSTFTPLDCRELIGIGSMLRQPSFEDQYSKNSLVNDCSSYIGYEPGVDLDYFTPDFRSNESDTLLNQEDEDFENVGITIKGRKEDDGIFLRLRIADKEGRIRNIYFPFDMETDTALSVAAEMVSELEITDQEVTKIAEMIDREIISLVPEWKTRLGQEEIPDHTSRSFCQSCAGNGPYKSYLSSSNSSAKNPHCSRDGCAAMHGRFEEITYQFDTTEQCVTDDAPVVSSQSDDVHYSDIWGQPDEPELCLQESDDEKQPEESCLKEEMKYVNDDSDTHSYTEKSQSRNLIISRNLEEDYENEIRKELRWLKAKYEIQLRELKDMQLRSLSRTGSFSPRADNRKEEIKDKVSSSVLSEKKNEDLLRSVDSGKHYSLCFPVNYGSTCASQGIQNFDAAFGAYGPKHVVTTNGFYAEELLPQSLHRASSLPVDAVDY >KZM89074 pep chromosome:ASM162521v1:7:33609750:33611714:1 gene:DCAR_026149 transcript:KZM89074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFITGSSKSSWQPVVTTDTTTSSYWLNWRVLICAICLVSSLVFTFFLILKYEGPRDSRNRSRETEEGKEHAGLVYEDELWKPSLRGIHPAWLLGYRLVVFFVLLIMLCLNVAVDGGSIFDYYTQWTFTLITLYFGVGAVISLQGCYKYQSKVGSNGMGNLERDAERGSHGFSLPAANPNMAISARNLSKIEIGNDRQVAGLCGYIFQVMFQMSAGAVMLTDTIFWFIIVPLLAIKDYELSFLVINMHSINAVFLVGEAAFNCMRFPWFRIAYFFLWTTVYVIFQWILHSLSATWWPYPFLDLSDSFSPLWYSTVALLHIPCYGVFALIMKLKHLTYLRLFPQSYESVS >KZM89281 pep chromosome:ASM162521v1:7:35631579:35634595:1 gene:DCAR_026356 transcript:KZM89281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGCLVGVDWRVDGAVRGGGDFAEEGRHSGGEPPPVSEFGREDGGDEAGCRWRRRRVYRRRKEEQGGESLTESDSDGSSVERSPRVPPLPSHSSSASVDANPVLAEPEEDPEEDPVVGSVQEVVANPALVKSVEKTVEAVKTGRVPISQMEPGEARVMRIIEEAKQEVGIETDADGRQRIVHRQIRATASVSATSRAPFI >KZM87107 pep chromosome:ASM162521v1:7:11008045:11008557:1 gene:DCAR_024241 transcript:KZM87107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLAERHAFSESKGCVKELADAGITKLPPMFIDPKAKFVDPRPRNLTVPVIDLKHVSTDAVPRAKAVEQVQQACEKFGFFQLVNHGIPASVMDEMLEGVRRFNEQDSEVKKQFYSRDKTRTFSFCSNFGLFSRPEINWKDSIHAIMAPNPSNPEQYPPICRFLKIQFYF >KZM86171 pep chromosome:ASM162521v1:7:292087:293377:1 gene:DCAR_023305 transcript:KZM86171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFEPPIRTGRKVTSRDVWAKFEGKTRSDLFGWNSFDDTCPPFSTLDDSFGEPKQPHHIQIKEVSHEASGKPSQEGHKRTRKNAYRGIRQRPWGKWASEIRDPKKGVRVWLGTYNTPEEAARAYDEAAKRIRGDKAKLNFPDPPAKKHCAGAPRAPPELTQSYPELSYSSTESTQSYFNALTPTESTQSTFNALSPAGSTQSELGYDPAQCFGTFQPPNFSPAQMATPEEELEFKEHITSLKSFLGLEQEVSQMGFDSVDYWAMDDLDALLAA >KZM86143 pep chromosome:ASM162521v1:7:60339:60626:1 gene:DCAR_023277 transcript:KZM86143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENMFRSVMASTYPDRTETAPLLNTEVLMSVNNSKKQHGISSSLVITNTVLPPRPLIFLVSSVAVCFGICAIVFHPQKVSQIAVTIRRCLFDHS >KZM88476 pep chromosome:ASM162521v1:7:28201612:28202132:1 gene:DCAR_025551 transcript:KZM88476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTSKASEDGEDDRHKFGASSMQGWRSTMEDAHAALLDLDDSTAFFGVYDGHGGKTSEL >KZM88958 pep chromosome:ASM162521v1:7:32583115:32588900:1 gene:DCAR_026033 transcript:KZM88958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTSKQAVSVTPAFDHSGAFRDNAGSGSGLDAGRNDGFGVEVEAKKSKRKKKRSELGVSGSELSESGRASSNGGAESASFRLGSLQKYVEGEQVAAGWPAWLSAVAGEAIQGWVPLKADSFEKLEKIGQGTYSSVFRARDLNNGKIVALKKVRFDNFEPESVRFMAREIFILRRLDHPNIIKLEGIVTSRLSCSIYLVFEYMEHDISGLLSSPDIKFSEAQVKCYMKQLLSALEHCHSRGVMHRDIKGANLLVNNEGVLKVADFGLANFCSAGKKQPLTSRVVTLWYRPPELLLGATEYGPSVDIWSVGCLFAELLLGNPILQGRTEVEQLHKIFKLCGSPNDDYWKKNKLTQVTLFKPHQPYESCLLETFKELPKTAVTLIETLLSVEPNKRGTATSALASEYFTTKPYACDPSSLPKYQPSKEIDAKHREDARRKRPSGRSRGPETLRKLSRKQNASKLAPEENLPARNQTSYKIKGSGGLDNKKGDTISGLQPRKASVDLKEEVSHIKNASHGDIPYSGPLEVSGSSGFAWAKRRLDDSCASSRSRSSSRSLMFEPSVALNSRQDVDLKRHANGEVLHGSRGNSRGYDSYELSKHSMMKSWSQLEGPDSFDASDGYHSQDLSLTLHQREELATKRFISVFQDHEDKVEFSGPLLSQSHKIDELLKRHERQIRQAVRRTWFQRGKKHGKKTEAVTGIR >KZM88215 pep chromosome:ASM162521v1:7:25589621:25591138:-1 gene:DCAR_025290 transcript:KZM88215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRQFTSINSRFLSTPSYFASDFRSFSSKSTPYEVKVGIPEFLKGVGKGVESYVEKLENGIGDFQKLLVTRTLKLKQLGVESCKHRKLILKYTHKYKLGLWRPRAEPMKTQ >KZM87022 pep chromosome:ASM162521v1:7:10221634:10231751:1 gene:DCAR_024156 transcript:KZM87022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGMGSADRGSDVIKKKSSSGCLIIKKKVNGVSGFGGSSSKKSLESRSEKKRSRLVLTDSGSSDEVEPVRRRVREDIIYERRRSGIEDCRMLGFERKRGGIDVFEFDEYDGFDGKRMRMDYMDDRLKLVGRNEDYQGFEIGSSRNVGFDGRKGSISGGKSKGHNHSGKSRYEEEDDDDESHVPISIFREKRHEALNESIRVQGKNGVLKVMVNKKKQQGFPLKGSDNPRAEERMSSRSEAAVKKNKEIRPSSFSGSKRPENLDSLKTEKTYLNSRKPLPTLSSKVEDKDEDSDSGGSEKSLKQETREQVQKFKKAIESERKRTTTPTKFTNPPSGGKESKGKRGYGTEKQLLREKIRSMLLDRGWKIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALQKQLEEEEDNVKPFDESPSFTPLPEEIISKLTRQTRKKIERELKKKKRDAVCSRNAKEVTMRESTNCTDSGGRLHEADSVSGNESSGNLYQVKAKKDTAERQSASNSHIIQGRKSRKIGRCTLLVRNSDKGLSEADGYVPYTGKRTILSWLVDSGIVQTSEKVQYMNGKKTRVMLEGWITKDGIHCGCCSKILTISKFEIHAGSKQRQPFLNIYLESGMSLLQCQIDAWNKQEESKREAFHSVDVNGDDPNDDTCGLCGDGGDLICCDGCPSTFHQTCLDIKMLPTGDWHCPNCTCKFCGLAGRSNAKADDRTDSSLLLCSLYHQSCRQDEFNIAVNSGDAANSFCGKNCQEIFSHLQKLLGVKHELESGFSWSLVHRMDPASERLHLGFSQRVECNSKLAVALSVMDECFLPIVDRRSGINLIHNVLYNRGSNFGRLNFNSFYTAILEKGDEIISAASIRIHGLQLAEMPFIGTRHIYRRQGMCRRLLSAIELALSTLKVEKLIIPAIAEHMNTWTEKFNFSPLKKSHNQEMRSMNMLVFPRTDMLQKSLIKRDIAEGSIINNSGLGMESAKENEDSLTLSVLGVSETNSSLKHDINTDHSTDLLPLSERSSKASTLLSSSKIPIVPLNDVTAKSGALVSFCEVKDEPAEEMTPTINSVSVDSLADTTTVNFKSTHPSNEHPSSFLAQKAELGQSVKDHTQSFVDGIIIHKEEAKETSGELIGVSLCEISKGKTEEDHVIVFQNSVSVHDSVSRGTNESTLVESNMSTHTAVDLDNSCEVHMDKTSANLPKDSVEQLCSQDNVEDNALPENSLINIEEDPTAIQNSVHARDCEGRLINSNTSNHTAVDLTDTCEVHVINTSPRDAAKHAGKDIVGNETLRETFDSNIEEDATAIQKNSVSVYDSVSLGACGNTDTDFDMTNQTAYDLDDSCEVDANDTSFNALMDSGEQLPSTDFVKDGTLPETFGASIEEDATPIQNSFSVHDSASLGGCESTHIDSEKTNLTAVDLNHNCEVHLNETFVNSPKDSAEQLSSKDIVEEDTSCETFSGIIKEDATAPQNSVSVNVFVSLGPCEGMPVDLDKINPTAVDLDNSCEVKANDSCVNSQKDSAEQLSLKDLVQNDTSSEAFSATIKEDATAYKNSLSVHNSVSLGARESTQIDSDITNITAVDLDNSYVANVNDTSVNCPKDAVEQLSAKENVEDDSLCETSAGNLEKDPAVQNYVSVQIPVSHDTCGSTPVDFDMSKHPAEYLDNTCEVDLNDTCVNSPKDCVEQSAIENTVKEDVTAPRIITHKNGGNVVDDIHEVEQEAAYAEPLMDPFHRKFQMKNTAQAISEDPSELSSATDVVGDEKKGDLKNYIMGDAQEGDVKISSIKPIVESGCDTSVANSTCQALNENETGFTSDERTKDVKSVSSPLDDMKSNLHVMATEVSPNSFDQGSK >KZM86735 pep chromosome:ASM162521v1:7:6307417:6307710:-1 gene:DCAR_023869 transcript:KZM86735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIAYLIIFLSGFMTLYVLLAVVRFAGKVWWNPLRVQYKMKLQGITGPSYKFLYGNTKEILVMRRSSMEKPMDDLSHHIFPRILPHVHSWMNNHGN >KZM86250 pep chromosome:ASM162521v1:7:1012278:1013530:1 gene:DCAR_023384 transcript:KZM86250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSRCTFSITTLLTLLHLHSTLPASALSQCRTTCNNNIPIRYPFSIDDGCGSPSYRHMLNCSAPDLFFLTPSGSYKIQSIDYTKQTLTLFDPAMSTCSILQPHHDFIMSDIQSVIMPPSPDTIFALLNCSIDSPVTNHYKSLCFNFSGHSCDELYTGCTSFRLFHLAVNSTMPPCCFTMYDTVKYMSMNILDCTHYTTMYNTDNLKGVAPVDWVYGMKLSYSLPETGCERCGKSGGTCGFDVETQGMLCICSGNSNATRECASASGSLAESTGESRRLFPMWQASQALVVGTVLHVLL >KZM88644 pep chromosome:ASM162521v1:7:29892643:29895629:-1 gene:DCAR_025719 transcript:KZM88644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTHRNVVASRRASSRERKLALLQDVDNLKRKLRHEENVHRALERAFSRPLGALPRLPPYLPQHTLELLAEVAVLEEEVVRLEEQVVDFRQGLYQEALYTSSRKSTEKPTTVASMTSSRGKHSRSFSQSEVNVETSPPTKPSPSTSRTTTRRRLLSSDPVCGQDEHSSDRHTSDKQCLQKLDTSLEDGLGKENQLCTNSTKVKQYLGNESAIARTPAKRPPNKPKSSEKTVDFQKQECIAVEQEIAQESSSGSSERNLETESSTANKISEDVVKCLSSIFLRLSKSKGKTMESELLSSVIALAFEGDNKFRDPYGIFSESRRDIGPYKDVSVIEACSIDLKRKTNAAFLINRLKILLGKLASVNLEGLNHQQKLAFWINTYNSCMMNAFLEHGIPRSPEMVVALMQKATLYNWHFKDISILHNYASQILQATLTVGGHLLNAITIEHFILRLPYHLKYNSAKSERNDEMKARSIFGFDWSEPLVTFALSCGSWSSPAVRVYTASQVDKELEIAKRDYLQAAVGISTKNKIIIPKVLDWYLLDFAKDLESMLDWVCLQLPDDVRNETVKCLERKGREPLSQLVQVTPYDFSFRYLIHR >KZM88690 pep chromosome:ASM162521v1:7:30408887:30410946:1 gene:DCAR_025765 transcript:KZM88690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWEQIPPNSVPGYLGLFNATRPVPSGNQIVEVEFDSYVNEDWDPPYEHVGINIDSIISAQTAPWDASLHSGDTADVWVMYNAITKNFSTLSEKDSSNRDKKVIFIVSTTVCTVVLLSLTVSLAIVWTGRVGLGSVWKCQLLSAVDGRLNSEFDAAQAECLIIVGLWCAHPDPNLRPLIRQAIEVLNFEVAMPSLPTTMPLSVYHAPFSTVTAVSSSPAASSTSAASSSQATISYTSKNFGR >KZM87217 pep chromosome:ASM162521v1:7:12573593:12575023:1 gene:DCAR_024351 transcript:KZM87217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEDFQGLKFFKVAMPSTFMTGEMTFPRRFSNYLNQIPSRGKFVLRDGHEWEITICKERKSVLGLKPILKFFECNKGAFFFMQYKGGGQFMCDVFPLIRGSPQETVEVDFQGRYIMKIVTSNGHNFDDVVLPPSVLAQFKSHIPNTLRLRLKNGVEYVAKYEKEKRAISGFKQLQQSIEIEFGDTIVLSCDIYPTYHVTLFGTDGIEKNPASCEMNEDLAVKTFEITVRPSHLQKYSQGVLVPAKYQNITASWQAFQFIEVTDGLNKWKIEVKKRNNMTELHRGWEVLWKDMKLKAGDTCVFESLGSNFKFNLRVFYRIR >KZM86832 pep chromosome:ASM162521v1:7:7459508:7463680:-1 gene:DCAR_023966 transcript:KZM86832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLNVVSPLIMKNNTTQVIESSTDVEEDEEPLPEEFVLVEKTRSDGVIEQIIFSSGGDVDVYDLQALCDKVGWPRRPLTKLAAALKNSYMVAALHSTTISAEKEGDDNRKLIGLARATSDHAFNATIWDVLVDPEYQGQGLGKALIEKIIRALLQRDIGNITLFADSQVVEFYQNLGFEPDPEGIKGMFWYPRF >KZM86397 pep chromosome:ASM162521v1:7:2451600:2455015:-1 gene:DCAR_023531 transcript:KZM86397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSAQLSVIGLSEGLITFTRKISPEAACEVIEAEMHSHVFYEAEPDIWIVLLPNFRNTLKERGTVQMLTVSREAAMEVQVVFYIYSDSLNTPAYISEHVSCVNAVLTLVDTSLLARPSEARESLAFVLCKALFDLLVYSRNKFLVSKLILLVCAVACQTLRVVFGECILPLILFQDLLVSTTLSPDDTVNLYTYAILRLSPAFSSGSSTWSYLRKGKAASHTTGAVSMLANAGSGLDQSHGSRDSHPAGDNNHDITRPLQPNNSMASANRGLEEKMYILAYQHKSLTLILLIPLMFIMDGEQGISMVKQQVLENESLVAASRLQEEVDLEKGRAKWDSPDLEKELEICIGAKK >KZM88610 pep chromosome:ASM162521v1:7:29697682:29701368:-1 gene:DCAR_025685 transcript:KZM88610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTINNASTESPAAAPEQNVLQQSNERKMSEYERCREERIKENLERMKKLGILDLSLKLKASAKPKRRCNNGSGQKTPQRESPVSRHVGPVRRSSRLGSVTPISYSELPLSKKDSFETDEGLLRKGPRPEIYTEDQLKLLGCSNTSWTLFVDGYGKDGKRIYDQIHGKTCHQCRQKTLGYRTRCSQCCKVQGQFCGDCLYMRYGENVFEALQNPDWICPVCRGICNCSLCRNAKGWAPTGMMYKKVTRLGFKSVAHYLLHTATDSEKEGTKVPGSAKRSLAFSSTEATSINMESVVSKDNLKESAEKEFEKDMINENHKGDTNDQGSLMPKPKDNCQHDETNMDGHVDTETREGTVKMSANVEKNKEDGADKMNENHKGDAIDQGSSTPKPKDNCQHDETNMDGHVDTETREGTVEMSADVEKNKEDGADKMNENHKGDTIDQGSSTPRPKDNCQHDETNIDGHVDTETREDSVKMSADIEKNKEIEHCHSIIAKKNVTASKRKTPVRSEPMPDSIGARLRAAKISANAATNKEESVQNLENKHCESSVAKNTTASKRKTANSSEPMPDSIGARLRARRRLCSP >KZM89153 pep chromosome:ASM162521v1:7:34369364:34370310:1 gene:DCAR_026228 transcript:KZM89153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRNSEEQVIIHKRSKASMDVDLRRALFTQKPRSPTENGRPSSMVFKKAHVVIPAHIVAEAISTLHGLDLRWSGPITPTEMNYVEQYVLAKYPEYSNALVEGGEKTDLYDLCIKEEPAHLSPDDKRKSPRGGFRDLVAPTFRSNHPDLDKTQLEPSRLQDVLTKKSSFLGSFISIPEIQARNKVLKQCGLPDEEYLVIFTSNYKNAMMLVGESYPFFRGNYYMTISKQGDDSIREFAS >KZM86224 pep chromosome:ASM162521v1:7:749706:750221:1 gene:DCAR_023358 transcript:KZM86224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINLSFIILLLVLSPCSLLVKAQHQTNSLILDTCKKTPNYALCVSTLRSNPHSSTADVAGLGLILVGAVKAKSTAGLKTISELSRANPALKKRLSQCMEDYKMILSAFIPEAEQAIGGDPKFAEDGMNGIADVTAQCVKSLQGVRAPLPSTNKLVHDLSLVTVSIIRTML >KZM89220 pep chromosome:ASM162521v1:7:35053585:35062519:-1 gene:DCAR_026295 transcript:KZM89220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTKPPISFGIKTTTFDQLASDTHLVCAESPGGMLRNITRKVVFASPRFSGSFKVQFSSLGTSSNCGFLGCSSSVFYGEFDKYRPFEGGYWSREGFLEMGFFGLRKDRIFSRNDVGFNGLGLRSYGSVAENVAVSGTDCEEEGNEVEELLNELKKEDRRGYQRMRWRQKKSEGGFKYQKLRKRQVKIETEAWVQAVREYRNLLKEMCDRSLAPNLPYMKSLFLGWFEPLTNKISEVQEVCRLGKNKASYAPYFDQLPADMMAVITMHKVMGLLMAGGGQGTARVVQAACAVGEAIDQEIRIHNFLEKTKNKKAKKDARKEEDAASDIATIEEGKLRKTVNNLIKKQKLQTVRAIIKGQDPTKPWTPDAKAKVGSRLIELLLQSAYIQPPADQFAEGPPDIRPAFVHTFISVTESKETKRYGVIQCDPVIRSGLDKTVRHMVIPYMPMLVPPVKWTGYDKGAHLFLPSYVMRIRGARQQREAIKRAPKKQLEFVFEALDTLGNTKWRVHKTVLNVVDRIWNSGGRLADLVDRDDLPLPEQPDTEDEAVVKQWKWKIKKVKKENRERHSQRCDIELKLSVARRLRDEEGFFYPHNLDFRGRAYPIHPHLNHLGSDVCRGILEFAEGRPLGKSGLHWLKIHLANLYAGGVDKLSHDGRIAFTESHLDDIFDSADKPLEGRRWWLKAEDPFQCLAVCINLSEALRSSSPETTISHIPVHQDGSCNGLQHYAALGRDKLGADAVNLIAGGEPADVYSGIAARVLKIVQDDAKKDPATFSEAVCARNLVNQVDRKLVKQTVMTSVYGVTYIGARDQIKKRLKERGSIEDDAELFRAACYAARVTLTALGEMFEAARSIMSWLGDCAKIIASENQPVRWITPLGLPVVQPYRKFGRHHIRTSLQVLTLQRETEKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYERPILENVCYLSTVFL >KZM86502 pep chromosome:ASM162521v1:7:3500366:3500638:1 gene:DCAR_023636 transcript:KZM86502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEYLFDGQFPVKSVVYSFVIVLLEIIAGEKNRGFYHPVHILNLIGHVWKSIQKIGQTCHR >KZM88542 pep chromosome:ASM162521v1:7:29053027:29055797:-1 gene:DCAR_025617 transcript:KZM88542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4CL3-2 description:4-Coumaric acid: CoA ligase METKTNKKQDIIFRSKLPDIDIPKHLPLHSYCFENIAKFSDRPCLINGATDQIYTYSDVQLISKKVSSGLNKLGIHQGDTIMLLLPNSPEFVFAFLGASYRGAISTMANPFFTSAEVIKQVKASNAKLIITQANYVDKIKKYASENNLKVMCVDAPPEGCLHFSELSQADENDMPEVEISPDDAVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYMHSEDVMLCCLPLFHIYSLNSVLLCGLRAGTAILIMQKFDIVPFLELIQKYKVTIGPFVPPIVLAIAKSPVVDNYDLSSVRTVMSGAAPLGKDLEDAVRAKFPNAKLGQGYGMTEAGPVLAMCLAFAKEPFEIKSGACGTVVRNAEMKIVDPDTSVSLPRNQRGEICIRGDQIMKGYLNDPESTERTIDKEGWLHTGDIGYIDDDDELFIVDRLKEIIKYKGFQVAPAELEAMLLTHPNISDAAVVSMIDEKAGEVPVAFVVQSNGSTTTEDEVKQFISKQVVFYKRIYRVFFVDSIPKSPAGKILRKDLRARLAAGDLPQTK >KZM88250 pep chromosome:ASM162521v1:7:26062636:26064711:1 gene:DCAR_025325 transcript:KZM88250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSCEASPVGLQGKGHPHTLQCSGNQPEGTVEVKDESHAPQSVEVVKADRGPNSKLVESSNTCYKGNNYPHDISPWLFHPDSNNVQLCQMSAFDGHLYPVSVDNRFQHVPINIFPPYPFEPLPQDFHYFVVIDFEATCDKAKNPHPQEIIEFPSVIVSSMTGQLEACFQTYVRPTCNQMLSEFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKRGIKSTNFTVVTWSNWDCRVMLESECRYKKIRKPPYFDRWINLKVPFQDVFGNKRCNLKEAVEMAGLVWQGRAHCGLDDAKNTARLLALIMRKGYRFSITNSMMQQSPEYSMAWKHPAENLPCTPYHPMKLKDAHVPMMQLSPFCYCGVKSSKGMVRKPGPKQGSCFFGCGNWTAARGARCQYFEWASA >KZM87433 pep chromosome:ASM162521v1:7:16373805:16374686:1 gene:DCAR_024567 transcript:KZM87433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVRAIMISALTLECNEDGSHQRLHKFPHKGTETSYSTYILVSKIHTSQIYVIGEGSYSILQLVIAQPRFSNGTIVKKV >KZM87673 pep chromosome:ASM162521v1:7:19261956:19267499:-1 gene:DCAR_024774 transcript:KZM87673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSRSSSRSSNYSRAFDLPQDHIRCDDHGFEYNGGGGAMLPVFLNDLGRNAADQRRGGDYDDDDDLVQVTLELEQRNDSVVLCSIAPHPANIICDTNCSLASTSSVVATGRSSLSASARIGRKFQWLRTLSFSSTEVNHLDLSTRDAMKLKAKLVRMKSSAQRALGGLRFISKTTGDSSEPNELWKEVESRFDSLAKDGLVSRHDFAECIGMADSKEFALGVFDVLERRRRHQNQKIGHITKDELHDFWLQITDQSFDARLQIFFDMADSNEDGRVTRTEVQELIMLSASANKLSKLKEQAEEYAALIMEELDPENLGYIELEALLLQRENYMNYSRPLSTASVGWSQNLNAYRAGVVCRLRFKLKCLVLENWQRSWILLLWLSAMAGLFVWKFIQYKEKAAFQVMGYCLTTAKGAAETLKLNMALILLPICRNILTFLRSTRARLFIPFNDNINFHKIIAYAIGIGVLIHAGNHMACDFPRLIKSSPDKFAVIASNFDNKKPSYKYLLTGVEAITGISMVVLLIVAFTLATPHFRKNVLKLPVPLNRLTGFNAFWFSHHLTALVYVLLLVHGNFLFLVDKWYQKTTWMYISIPLLLYVAERCLRTFRSEYHSVKLLKVSILPGDVFNIIMSKPSGFKYKSGQYIFLQCPSISPFEWHPFSITSAPGDDYLSIHIRTVGDWTQELKRVFTEDNCSPCIIGRAKFGQHHVDQTGLPRLFVDGPYGAPAQDYQNYDILLLVGLGIGATPFISILRDLVNNTRTFEDQTDSNTDNSKSEDSVTSFASSSMTPNEKKKSQRTRSAHFYWVTREPGSLEWFEGVMNEVAEMDHKGHIEMHNYLTSVYEEGDARSTLITMVQALNHAKHGIDILSGTRVRTHFARPNWKEELTKIALKHPFATVGVFYCGMPMLAKELKELSHELSHKTSTRFEFHKEYF >KZM86463 pep chromosome:ASM162521v1:7:3046629:3048789:1 gene:DCAR_023597 transcript:KZM86463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVFEYFSNSGYTFEECNFRQDFIRCHTCNESIEGSVGYWFTDTRLSDRQSIFLHKTCSELPISVYLHEHSLCLKEDNIFSEDAACRICNKPIAGSPTFTCTNPDENVNCQNFYLHKICAELPLQINHHKHNIHPLALFPQPDGHICSVCTRSVKICYACYDCEFNVCVFCAFEQRVLHHEGHKEHTLTLMKKESLFQCDACNEEAKDYFYACTTCDFVVHKRCAFSPYIIPNPSYHHHPLNLIYSIPDIHRYFKQFCSICGEFVCKSYWVYYCHKCTYFVHMKCSTSTVSMRNKDEADDIDNESDLLQFPLPSQESMFDLIVTQCAKSQIDLKDEGENCVTMSTAPNDPHIIEKHWSHEIHPLQQLLCTVSENDEDDNDGRRTLICNGCIQPITVSHPSYYACIQCGFFLHSFCATKLPQKLPVGASHFHPNHSLLLQKPGKFYYDVLCESCYLVTNGFYYHCQTCDINIDIRCAFLPTRIKHKSHKHHSLVQRFSSNSRCCVSGSTIENDMVYACETCSNFQMKKYCIFFPSSAKHKYETHPLTLRYPPFFYEGVFYCEICEERVNNQELLYHCSESEHSFHRYCLGVISNMKLGGSIKVFIMDKPHTLALVIKNLTRNKSTDTCSQCLTHFSLPECFLECDGCGILACAGCASKLLGEKQANNRAPFYRSTHSIYI >KZM88086 pep chromosome:ASM162521v1:7:24237427:24248466:-1 gene:DCAR_025161 transcript:KZM88086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIVGDLAAGLIRKLVSLATNQVIQVWKLHEDLETLRQRYELIGALLHDAQTKNIIMSSAKIWFNKLEDVAQVAEAFMDELEYEVTRRKVENRHKVRDFFVPSKNTLLYRSKVAHKIKNINASFDKICKWATDIGLKPVEHLRSTVQHREIRYTQPFEDESLIVGRDDDISFLVNLLCNPNDEGLQVKAILGMGGQGKTTLARMVYNRDAVIKMFPKRMWVTVSDDFDFMMILNQMVVSLTSSPSVLDNAEGLIKNLQEKLKGEKFLLVLDDVWNEKPEEWDKLRNSLLGVGGARGSKIFVTTRKQEVIDAMRCSDPYLIKKLTEEDSWELFKQRAFLNGGVLETEAFVALGKTMVERCGGLPLAIKTLGSLLYSKKTEDEWLLIKNSEIWKSKGVLSSLRLSYDNLPYSSLKRCFAYCSIMPKDHDIYKDELIQIWMALGFLPGDSTVLMEDIGNEYFEILLGNSLFQDVEKEKDQYGYITKCKMHDLVHDLALEVSSNYSTTVNQSHDVNKGSKAAYVRLEGFKDVKPSMFKLRFDTVQALYAEATIFNCVLPKLKYLRVLVLNSFCNEVPGLIGNLKCLKHLDISRTAYSNTSYKLPNHVTRLYNLQTLRISLAHELPENICQLVNLRHLVIGAYTKTRYMFVGIERLTSLQTLPHFVVKKNQNCLVGQLGMLKNLRGSLKLYGLNEVENIEDARKAKLCEKSNVRHLLLKWRNNEDEREEGEYNDEAVLEGLEPHLNLKVLEIEDFMGKKFASWISKMTNMVRIAVTDCSRCEGFPQLGHLPKLREICIKKMENVKVIGNDLCVGLSPAQKAVTSVMYPSVTTLTLRRLPKLEEWVEDVLSKGGKDQIVFPKLEELEISDCPKLRKILNSCFPSLKDLSITNSERILESMYMHVSSLTTLKLQNIRDGGGDSSSSSSSSSSSSSSSCSNLESILKVLLKNNSLSLTSLDLVDCKGLQHLTLGVSLKILSVYDCPNLVSINLVEGSAGLRSLYLSGLPSSLLDGISTQIQSSTLRELSLGPFSDEFPWPFSSSLHSFPNLVWLSLRGTEMVKSIALFEQLQLSTFPALTELFICDFGGMKDLVLSIAKLPSLADLSIQNCKDLERVSLFDESHCLRFLRISRQRLSSINSSCTLNCVQIKHREMTMTMERVVEENGKTRGDDEYTEDGTVDLKGNPVLRSNTGRWRATSFIVGYEAFERMAFYGISTNLVLYLTRKLHEGTVKSSNNVTNWVGTVWLTPILGAYIADAHLGRYWTFIVSAFIYLGIFVVQQGMSLLTLVVSLKSLRPPSCGDSITDVDCNKQASPFQVGIFYCALYIIALGTGGTKPNISTMGADQFDEFEPTEKTQKISFFNWWVFSIFFGTLFASTFLVYIQDHAGWGLGYGLPTIGLFLSILVFLAGSPYYRHQPASGSPLTKMARVLIATIRKWKLVVPDDPKELHELNLDEYSKPGKYRIEHSPLLRILDKAAVVDGMSPHWMQCTVTEVEETKQMVKMAPILLVSFLPSTLIAQGHTLFIKQGTTLVRSIGPHFSIPPASLVAFITIFMLITVVIYDKFLVPTLRSYTKNPRGIPMLQRMGIGLVMHVIIMIIASVCERKRLNVIKDHGITKKNQIVPLSIFILLPQYALMGVADTFWEVGRLEFFYDQAPKSMKSFGTAYYTTSLSIGNFLSSFILTVVSDFTKRDGHKGWILDNLNVSHLDYFYAFYAVLSFINLLFFLLAANFFVYNKEQDDATIELENVKNTSDTNAFFKDVIMYKLKAVAHVADVFMDQLAYEVTRQKVESHHRVRDFFVPSKNNILNRFKAANKIKTIHKSFDKIFKWAADLGLQPIAQLSATVQVREIRHTAPFEVETQVVGRDEDVSNLVHVLSKIHDEDLLVVAVAGMGGQGKTTLARVVYNKDVVINIFSRRIWVTISDDFDFMKILNQMGVKVLLVLDDVWNEKADKWDSLMNSLLGVGCAKGSSILVTTRNQEVIDAMQCSVCYRVEKLSEEYSWALFKQRAFTHGGVLETREFVDLGRRMVERCGGLPLAIKTLGGLLYSKKSEEEWLLILNSEVWKSKGDSLIYKDEMVHICMALGFLLSPGDTNLLMEDVGSEYFNILLSNSLLQDVETDEYGNITCCKMHDLAVDVSTNHSQTVTPSQDSNQLSQAIHWLMHHPAITRSAANKIKTIHKSFDKIFKWAADLGLQPIAQLSATVQVREIRHTAPFEVETQVVGRDEDVSNLVHVLSKIHDEDLLVVAVAGMGGQGKTTLARVVYNKDVVINIFSRRIWVTISDDFDFMKILNQMVGSLTSTTSVLDNTEAVIKKSSKTSKGGKGFTCTG >KZM88089 pep chromosome:ASM162521v1:7:24269124:24270440:-1 gene:DCAR_025164 transcript:KZM88089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPSWYMSILFMTTRGILKMQLMQSTRMRSRVDKSAQNSVQYTVFGNMEPGTHIFLKDHTLLFSKVKVLRG >KZM84711 pep chromosome:ASM162521v1:8:18142119:18151466:-1 gene:DCAR_027867 transcript:KZM84711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALHTLGSTFILPLVLVMLAGLKEGFVEGQSGHLPQEEVKALREIANQVGKKDWNFSVNPCDKDDPNISNWNTTKIKEMPQYNNSVLCNCSYPGDICHVESMFLKGQDLDGIPPPSLAKLLHIKHVDFTRNYLHGNIPPEWASTNLEYISFTVNRLSGPIPGYLGNISTLRYLSLEHNMFNGTVPPELGKLANLINLTINVNYLTGQLPMELSNLTNLEEMRLSTNNFSGKLPDFQSWKQLDKLEIIASGFDGPIPSSISSLSNLTELYITNLNGGASKFPQLENMTKLKTLMLRSCNISGEIPTYLSQFSQLQKLDLSFNNLAGEIHSDLTDLKSLEILYLTNNSLTGNIPQWIKDRNPADNIDLSYNKFSLEPQPCRDSLNLFRSYGNNLTHGNCLDRLPCSEDRYSLHINCGGEKVTIGNRTYEGDEDSVGPAKYDYEEGYWGANNPPKLSNSDQKRKIIIAVVVVALGLLLLTFSGISWWKGYLGSRLSREEALKGLDLQTGVFTFQQIKAATDNFAAANKIGEGGFGPVFKALNVQENGNLLDLVDQRLGSNYNKEEAVRMIKVALLCTNSSPALRPTMSCVLSMLKGDMRIQKLKLNHTEMHGEDYLKFQGLRDKYGQGIYGSSSAHSQTFGDTSDDIKYGSSSTSGHDLYTVNLQSQ >KZM83687 pep chromosome:ASM162521v1:8:463598:464371:1 gene:DCAR_028891 transcript:KZM83687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSFGGNMNTSNSETTQPDFTYSAQFMNSSFTDLLAQPDNNDFGSNWGFDHSKPKPNSHHSALPFSPTNFSPSSFLSFFDSPIQPSTSNIVSSSTNGNFDGQVLMEGNGNYANVSFPTQARNSMFSSTGSYYSVIKTMS >KZM83721 pep chromosome:ASM162521v1:8:800827:803171:1 gene:DCAR_028857 transcript:KZM83721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLQSWPAPVVRVQSLSDSGMQMIPDTYVRKPCDRPSSLDQNLIPSHGDQIPVIDLQELFSGNPSTLSLLHTACSEWGFFQVVNHGVSHRLMEQALEVWRQFFHLPVEAKQAYANSPTDYEGYGSRLGVEANAKLDWSDYYFLNYLPLSLRNQNKWPSNPSTCRKLTAEYSEALVKICERLTKILSENLGLESDHIQKAFGGKETGGCMRVSFYPKCPQPDLTLGLSPHSDPGGITLLFADDHVEGLQVRKDGNWVTVKPVPNAFIVNLGDQMQVISNGKYKSIEHRVIVNSTTERVSLAFFYNPKEDMTIAPAQKLISNSSPALYPPMSFREYRSFIRTKGPSGKSQVESLKSP >KZM86043 pep chromosome:ASM162521v1:8:30991519:30993418:1 gene:DCAR_026535 transcript:KZM86043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVTLCVSSQRDLKRFIKNKQDATSAIAMRKHKESSSVFEVSLEKQLQAWHENPTWVDQPPVIKVTVPKGSLSHLSVKVNVGFPPDAVYNIVTDPDNKRVFKNIQEVISRRVLVDEGTRQVVDLEQAALWKFLWWSGTIPVHVLVDQNREDHSMKFTQVKTDYMKKFEGCWKVEPVLLDEKMCHPLKPKTLKEYMSCTKGKGRIASLVSLEQIIEPAVIPPPPISWYLRGITIRTTEMLINDLLVETARMRGVSSSGIIKEQILPEKIPDKCSFDELHDIKERWELRRRSARQRCKRLSLPLR >KZM83891 pep chromosome:ASM162521v1:8:2679796:2682651:1 gene:DCAR_028687 transcript:KZM83891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTEEEVGRLYRIRRTVMQMLEDRGYLVVEAEKEMRHHLFANNKWFHLDQIYVFYPEEAKVGVKTMKTYTNRMKSENVFRAILVVQQSLTPFARSCQAEISSKFQLEVFQEHELLVNIKEHVLVPEHQVLTPEEKKELLDRYTVRETQLPRIQLTDPVARYYGLTRGQVVKIIRPSETAGRYVTYRYVV >KZM84664 pep chromosome:ASM162521v1:8:17475687:17477556:1 gene:DCAR_027914 transcript:KZM84664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTARKRAAKSITNKARLGVRATLPKRDKGKKVRIYDGYIQKKFSPSIMTDVLLNQSEAQSEWVKKAVFEHLLGLCMLTYPHKLVYKIVDAFRSRTCELRLKVGVVVILESLVHKIIGLPQGELDIELKQGKFEGLDGKNNILVPRFHRA >KZM85511 pep chromosome:ASM162521v1:8:26405488:26406156:1 gene:DCAR_027067 transcript:KZM85511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESKGKIESLREWVVDHKLRAVGCLWLGGITGSLAYNWSKPNMKTSVRIIHARLHAQALTLAALAGAAVVEYYDHRTKKKERVADFLKIKED >KZM84511 pep chromosome:ASM162521v1:8:15529723:15530289:1 gene:DCAR_028067 transcript:KZM84511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKDTHHKVYGRENRVRIPKVAAARVFQLTRELGHSTDGETIQWLLRQAEPAIRRHTGTGTIPAVPISSGSASVPQSTSPPSVFAPLNTSSNIIAPQQPQLPPPDLLMQTSTCGSTWGAALGGTVSASLQVGSSTMVPAMVDPAPGPSESCMVQQQNQGFYLSLLMQDDEIINDLQFLHDEGGSSAL >KZM86122 pep chromosome:ASM162521v1:8:31521983:31525900:1 gene:DCAR_026456 transcript:KZM86122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQIIAQFDDFIQLASAADHHHSTTTTSFSSSPFLLNITTFPHNPSPLLHFPRSRWCSLNLDDSSHEDDCCSFSLRNEKLESSGNFEMFDPDKPPTKRNRMDRDRVEPTAMTDPVDDMMEDQIWKELPEDLFEAVIARLPVASFFRFRSVCRKWDSLPTSHSFSWQCAQVPQAQPWFCTVTRGNVDTAAMYDPALQKWYHPTIPALPTNINVLPLASAGGLVCFLDIGHRSFYVCNPLTKFFKELPPRSIRFWSHVAVGMAVNEKFISSGYKIIWVGCDGEYEVYDSRTNSWTRPGSMPSSIKLPLSLNFNSQAICIDGLIYFMCSDPDGILSYNMKTGIWKQFKVPAPKHLSDHTLAACGGKILLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNVWCLEFYGKHIRMTCLGNNGLFMLSLKSKHMNRIVMYDVTKRQWVKVPRCVLPHGSKEQWVSCGTAFHPCLTNPV >KZM84858 pep chromosome:ASM162521v1:8:20219141:20221581:-1 gene:DCAR_027720 transcript:KZM84858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARNMYAERELECCFLHIEDPTNNNRMKKKDAELTPVLRLGAGACAGIIAMSATYPMDLVRGRLTVQSGSSPSQYRGIAHALATVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLVKSRPFGLVEDTELGVATKLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDASAVVTGDGKSQARLEYTGMVDAFRKTVRHEGFRALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLKVEMRISD >KZM84288 pep chromosome:ASM162521v1:8:11627192:11631896:-1 gene:DCAR_028418 transcript:KZM84288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPYNEPGRPVNGNSRQQESTPVLQHSSGISMEWTPEEQAILEDNLARYGSETNIVRYAKIAVLLHNKTVRDVALRCRWMNKKENSKRRKEDQSLSKKNKDRKVRLLLHLP >KZM85869 pep chromosome:ASM162521v1:8:29473271:29478620:-1 gene:DCAR_026709 transcript:KZM85869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTESLVESQLSKDVDVNSTCTESKDLRSDNLNQSNVGAQSDCVQAMSGGMSNNKLVETNGQASSGSKRARVSDDLQAAVHVRFECLSRESKKKLEELLSEWSKWHAAHCVSSKDSYDALESGGETYYPALQCGTENTSVSFLMETQAMKKQKIDCLSLKCDSVPLYDREYDFALKLTNGSNEEDRVLSPLNASRCFNCGTYGHSLKECPKPRDSLAVSNARKEHQLKKNQNGGSGTHVLTRYYQNTPRGKYDGLKPGALDAETRKLLCLAELDPPPWLNRMRELGYPPGYLDVEDSDQPSGITIFDDDESCQQKEITEEVVVVEPGRTRSVSFPGINAPIPENADQSRWAASPLPQNINPSGYHSNQWSNISSELPYRGNSYFERQQSDNRNGFFSGYAQELDSLLSSYSGYSHYKEPDCAASSGSTLRDGYSRLSGQHTPGLSPSYGNYVSSHSSGSNLTDEFLGAHRGHQFDVRPDHLPSARVKVSAHNWYCLLASRSVCMNKLRCFSGLNYLNCDNCTYRMRTIMAKTPHDSSFSFSRRFFHWKKNVSEEDDDMEEILTFNSSRVSELRLDDLDLSGQALPVPGMVPSKKRSIVSVSSLRSALTFGKSTSGLGSKVVCTLFGYRHGRIHVAFQDDVKLSPAFLVELATPTSVLVREMASGVVRIALECDKKVVKKRTKLLQEPIWRTYCNGKKYGYATKCDCGPEEWSILNAVGPITNGAGVLPVKNKAGVSEGELMYMRAKFERVVGTRDSEAFYMINPDGKGGPQLSIFLLRV >KZM83868 pep chromosome:ASM162521v1:8:2441828:2444478:1 gene:DCAR_028710 transcript:KZM83868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNYLTELDGKRLHDPRLTRPKTMTTTSRIRVEGPVIVGAGPSGLAVAACLRQRGIPSLILERANCLASLWQLKTYDRLRLHLPKHLCQLPHMPFPQDFPTYPTKQQFVAYLMAYAKAFHIEPEYNTTVVSAEFDNNCDFWRMKTVKGELELESGEIEYVCKWLVVASGENAEEVKPEFEGMDEFRGPIIHTSHYKSGDPFRDKRVLVVGCGNSGMEICLDLCNYNARPSLVVRDTLHVLPQEMLGRSTFGVSMWLLKWLPIRFVDCFLLLVSRLTIGDTDQLGLYRPKLGPLELKKKTGKTPVLDVGTLAKIRNGSIKDNVLFSKKDGHSKKPFRPEGWKGENGLYSVGFAKRGLLGTSMDAERVAEDIELQWYLKDSKIITESVDQVPEIERVLCNL >KZM84438 pep chromosome:ASM162521v1:8:14524029:14524181:1 gene:DCAR_028140 transcript:KZM84438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYAKYMTIIFTQIVIMDLLPPLPDVSMTCHHCLSSPIVTYTFHHSLQN >KZM85253 pep chromosome:ASM162521v1:8:24109476:24112534:-1 gene:DCAR_027325 transcript:KZM85253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQENGSEKSGHGEASNTQETVVCSKNNIPPSEVPYKSGDILSDMMGDTVLDAKRRYNDVENKGSDTIQSSVQMISSTEDNSLSQAISNATENHCSEIMPNARTSTGVCDSPYQTGLPEAALDSSVNSVKLKGVLHNQNLFQKNKTRVLLKEFQEPFDKSEKLSSLIFEATRPWQQKDKEKMPLTGRGQQVENKMYVDRNDEKLIQPPGVEPENGHLESAGSSSETSKENVPNAHVSVENRLNHPDNQHNFLHISPDKVSDEDGKPASGGAAELSALFNNLIDHEAGKIMNKCNSLETDGHLEGSEEVSRENLTNPLDIDNLIHSIKVNPIGRQVASDSGSVISNKSDQNHYTDHIRVPSLVNIQNLNNGQQVSSSHTEKRSEKDSSVVTPVKIVNNNGKTCNQQKGNADSAALTANKSKSDPCVPPMRMRQLDKAQDTTSRESLYQNKVLVKFVHKDASEEDVINVLKCFGNILKIELSDAGQSSFKSAIVYFEKRQDMQKALQKTYHVLKGLALSVEAASSLESQHKKIIIPGLIGDPDAPVALLKNPTRTVAIKQMTCEICPRHIEEALAFCESNISGFFLGPSDSVAYVEFETEDGKERALAKQSIVVLGKHLFIFRVDAPRTTVVRIKTMSPLVRFKYTSTFRSLGKIRTVQVRSPLILDIHFCITEWPNMLQILNKLNGMQVNGMELRAEPAPIVPPDVLCHIYSQPEERNRLKGNMLRLLQKLEDSPFYREQKLRI >KZM84349 pep chromosome:ASM162521v1:8:12847522:12862175:-1 gene:DCAR_028357 transcript:KZM84349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAPLHIHHPTQFHHHPRRRSIPTVHPSRSFVVFRTFQNFRVFKCTTTDDAATSSSSSEVKRAYPFDEIEAKWQLFWEENRTFRTPDGDDLDTSKPKFYVLDMFPYPSGSGLHVGHPLGYTATDILARYKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKLTTLKNIDRFRLQLKSLGFSYDWDREISTTEPAYYKWTQWIFLQLLKKGLAYQAEVPVNWCPALGTVLANEEVVDGLSERGGHPVIRKPMRQWMLRITAYAERLLEDLDDLEWPESIKEMQRNWIGKSEGAEVEFHVLDPNGLESGNKVTVYTTRPDTIFGVTYLVLAPEHDLLSSIVPESHSKNVKEYRDLASRKSDLERTELQKEKEGVFTGCYAVNPSNKEAIPIWVADYVLASYGTGAIMAVPAHDTRDHEFALKYDIPLCWVVKPNEETSTDSGKPYSGHGTCVNSSSSDSGLDINGLNSRNAASKVIDWIEKTGNGNRKVNYKLRDWLFARQRYWGEPIPVVLLDDTGETVPIPETELPLTLPELDDFTPTGTGEPPLAKALAWVKTKDPLSGKPAKRETNTMPQWAGSCWMKNIGAGLSYYGIPKANLNPVQHESNAMMLVVESFEFIGSDVQENVTRSFAGKGKVSSRKKVDGRLTRSMNLSTFRYWSPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGAVSTKEPFKCVINQGIILGEVQYMACRDSDGNLVSADSVDVLKDYSQEKVPEDNVTKSGVHFVLKDNRNIRLIARAHKMSKSRGNVVNPDDVVAEYGADSLRLYEMFMGPLRDSKTWNTSGIDGVYRFLGRSWRLIVGSALPNGMFEDGTIVTDDQPSLEQLRPLHKCINKVTEEIEGTRFNTGISAMMEFLNVANKWDKLPRSIAEDFVLLLAPYAPHISEELWCRLGHPDSLGYEPFPKANPAYLKEATITLPVQINGKTRGTIEVEEACTEEDALKLAALNPKLSKYLDGKIIKKIIYVPSRILNVILNRENVKVGVR >KZM84725 pep chromosome:ASM162521v1:8:18301882:18302680:1 gene:DCAR_027853 transcript:KZM84725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYIDKRKFTYLNCDHNLLTEEHIHPKVFTRCFSNHKLSCYLSIHKSDLVSSYRSW >KZM85595 pep chromosome:ASM162521v1:8:26991780:26992136:1 gene:DCAR_026983 transcript:KZM85595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGNIERVEDSKDLQQQSKALDKLTDHVEDRQLDSTRVQEAMASISASKEADLNAMKLRFFAFFPCY >KZM84581 pep chromosome:ASM162521v1:8:16460276:16461607:1 gene:DCAR_027997 transcript:KZM84581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSILSFPILISVIFVISISSAQAVVPANATFKYTNEGELGEYIVEYDASYRTLPIARFPFQFCFYNTTPTAFILGLRMGNRRSESTMRWVWDANRAKPVREKATLTFGTDGNLVLADVDGTVAWETGTANKDVVRLELLTNGNLVLIDSKGKFVWQSFDHPTDTLLVGQSLVSSGANKIVSRLSDVEASNGPYSYVMEKSQLSLYYKPANVKTPILYDQTVFGTGKDTLTKIQFTIDPFTNIESDTVWANEFHLESFMNNSTESSGSAVLSRAKYNTTYSMLRVDSDGNLRVYTYEEHVDYGAWEVTYVLFDRDQGRESECKLPQRCGALGVCSDDQCVACPTANGLAGWSKSCAPPVLPACGKGAIDYYKVAGVEHFTNGVTSGTPRSTLADCRKKCDSDCKCVGFFYREESSTCLLASVLGALNQVANASHVAYIKMSK >KZM84358 pep chromosome:ASM162521v1:8:12959914:12964141:1 gene:DCAR_028348 transcript:KZM84358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDASKKKVAQKKAAAAAKRGGKAAAATKIASSSSTDNLSNGMDALQISDRTCTGVLCSHPLSRDIRIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTSIGRRELPIPEHMDIFHLTREIEASDMSSLQAVLNCDEEKLKLEKEAEALAAQDDGGGEALERIYERLDAMDAATAEKRAAEILFGLGFTKEMQAKKTRDFSGGWRMRIALARALFMNPTLLLLDEPTNHLDLEACVWLEEMLKKFDRILVVVSHSQDFLNGVCTNIIHMQNKQLKMYTGNFDQYVQTRSDHEENQMKQYKWEQDQIANMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTQKVSRDQVLVFRFVDVGKLPPPVLQFVEVSFGYTPDNLIYKNIDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPNEGMVRRHNHLRIAQYHQHLTEKLDLELSALVFMIREFPGNEEEKMRSAIGRFGLTGKAQVMPMKNLSDGQKSRVIFAWLAWRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAREIWVCEDQAVTPWAGDIMGFKAHLKKKAGL >KZM85469 pep chromosome:ASM162521v1:8:26028917:26031011:-1 gene:DCAR_027109 transcript:KZM85469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNKVAVGIDLGTTYSCVGVWQHDRVEIITNDQGNRTTPSCVAFAATERFIGDAARNQAALNPVNTIFGCFDLLMFSNSQRQATKDAARIAGLNVLRILVEPTAAAVAYGLDQKLTSSSVGQRTVLIFDLGGGSFDVSLLQIKKGNFKVLATAGDTHLGGEDFDNRLLNHFVEEFKSKHRKDISRNAKSLRRLKNACEKAKRILSHNAMTTIDIDSLYEGIDYHAKVTRAKFENLNLDLFRSCVDTVKKCLQDVEMDKNNVDDVVLVGGSTRIPKVQQLLQQFFNGKELCKNINPEEAVAYGAAVQAAVLSGEANHNIKNLMLLDVTPLSLGTEVSGNLMDVIIPRNTTVPVSMQNFFSTVRDDQKVVGVGVYEGERTRVEDNNLLGEFELRDLPPAPRGKVKICITFTIDGDGVLNVSAEHESSGLKKSIKIIRGGTLTEEEIENIVKNAEQFKTEDEEFKRKVNAMVAFEDYVYNRREETETSCMLEASVKKMLSYSFKEAIEWINSNRNAEICEYEYKKQQLEAICNRHIPGIEGIKIE >KZM85172 pep chromosome:ASM162521v1:8:23414417:23422252:1 gene:DCAR_027406 transcript:KZM85172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIGLLRALKIKPSILKPPNNPLLGVRNCCNSSSSASAIPTGVSTVKRKYDALLLDAGGTLLQLAHPVHDIYSSIGVKYGLSMSPAEIKQGFKRAFSAPWPEKLRYQQNCKRLLLHVNTLSPCMWSLRVQAMSKAKWVSVVVVTEGDGRPFWRLVVSEATGCARDDYFEEVYQYYANGEAWCLPPGAHETIVILKDAGVKLAVVSNFDNRLRKLLKDLNVVDLFDAVIISSEVGYEKPDAMIFKAALDQVNVEACRAVHVGDDEKADKLGASAVGINCWLWGTDALALSVINIGPHISAPTSKVDLLLQLMMQPDVSDVREVPDHQEAFADPERDESLIFELLDLKQDVPDNGSATWFFQDLASEQDSGGNMIIEQSGVFEAEWLRFRNMPAVMTTAIGQMAISKGRQGREAQNVVYLANLRLKEVGTDVLITAYEPLLIK >KZM85797 pep chromosome:ASM162521v1:8:28748386:28752060:1 gene:DCAR_026781 transcript:KZM85797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDMSYARKLFDELHKPRAYLWNTLIKGYVKYEIPCQAVTLYRDMHGLGVRPDPFTFPFVVKACAEFWDVWVGAAVHAVVLKYGLEFIAMVRTELMMMYVKYGELSYAEKLFFSMEDRDLVSWNALISAYAQNGYAVNALRMFHEMRVGGINPDGVTIVSALSACSQLGCLETAEKIYEFGRREGRVEDDLQCAAMNIYSNSDKALSLQSTDLVGRWKQ >KZM85065 pep chromosome:ASM162521v1:8:22374425:22376647:-1 gene:DCAR_027513 transcript:KZM85065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWWKMKMLSCNTRMLFSRYKLQNPLCFITKCNTFKFYFSTSAITDSSSIADCLPLTRKPNQTYPVYRERGIESSSGADSFVKLFQQFGFSDTHITRILSKQPAILAYRPQKYLKPKLDFLISVTSCETDVVNIVTKNPFILTRSLDNHLRPLFDSLKSAAGSNENAAAVIKCNPFMLSFCNSSSFVRNVQFLQTVGVPRNQILKMITKYGQSVGVKHDKFCKVVLKVKDMGFDLSSSYFREAVLCLSFLTESAWESRCEVYRSFGLSNHDIITMFKKQPAVMSFSEKRIWEMLDFFVHRLRWSPSRLSTTPNVFLFGLEKRIIPRCSVLQALVSNKCDIKDIMLQTILKMDESRFLKTFVTKYEDKVPEIMDAYQGKLTFGDYNFESESKGKIKIINSNRTISTMATTDSLALSPLLLEQSSDEKGHESVIKLFKSFGFSENHVARIVRKQPSIISFHPQKSVKPKLDYLFSITQSRSEVFDVVAKNPVILGRSLKNHIIPFFTSLGTLTGNDQHVFAAIKRNPYLLSNCVSTTFLHNIDFLQKLGVPQIQIMKLITEYGQSIGGSHAKFRKVVLKVKDMGFDLSSSCFLDAVRSFSFLSDLAWESRCEMLRSFGFSDHDISSMAKKQPVIMNFSERRMRDLLEFFVQKLRWSATKLSTSPNILRYSLEKRIIPRCSVLQALALNNCNSKRLLLTYIVKMTESRFLERFVTAYKDEVPEVMDAYRGKLRFDEFNFESES >KZM85106 pep chromosome:ASM162521v1:8:22777989:22780764:1 gene:DCAR_027472 transcript:KZM85106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMSLAARAAVATHSSIAPSATEFPSFLPKQVENVKDSFARKLASRIQRLPVEVLCGHALENPLDKFVRQLSSLNRPIMSSCVKPMVHEKTNPLVLLHGFDSSCLEWRYTFPLLEDANLETWVVDILGWGFSDLESRPACSVISKREHLYQFWKSHIKRPMILVGPSLGAAIAIDFAVNYPEAVDKLILIDASVYAEGSRSKLPKAVAYAGAYILKSVLLRFYATNLSFNGLPFDTCLDWTRIGRLHCLLPWWLDTTVDFILSGGYSVRELIKQVKQETLIIWGEDDNIIDNKLGIRLHCELPNAKIRQIPKCGHIPHVEKPNDVAKLIEEFLKAQSPVLSV >KZM85704 pep chromosome:ASM162521v1:8:28010900:28012192:-1 gene:DCAR_026874 transcript:KZM85704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSISSSQPSQDQYLKTLLESARPFLRGELEFVDPKLPSLVAVLRSVGAGECWHKHGSFLDHLVDIYKILKLWKAQDSVCLCGLFHSAYSNSYVNLAIFDPSTGRDTVRDHVGEAAERLIHLFCIVPRQPLIHDDLLFKYSDSELVEHLKVSEVSLRNAKEKGVFDGDESWRKKVQALVPASGITIKHIKTGEDVLVSRRVVAVFLMMTMADFSDQLFGFQDALFDNSNGRLEFSGNSFTALWPGDGKPGLWLNSISRMGAIYSLLVREEEIFIEERKRGNDNGLDRDRDEDLELVIPPVFAKCTKVLDAGDQKAARELYWEGVCDMSKGELGLDGVEKVLRMSVEKNPYVGEPYVVLAQICLSQGRYEEAEKEAERGLTLLLEWGSPWDKRMSWEGWVAWARVLVMKAREKSWPQTSWGILNLGLVR >KZM84441 pep chromosome:ASM162521v1:8:14655222:14656088:-1 gene:DCAR_028137 transcript:KZM84441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GGPS-3 description:geranylgeranyl pyrophosphate synthase MLEKSNKVNKALDAAVSLQDPIKIQESMRYSLLAGGKRIRPILSIAACELFGGHESTAMPVACAAEMIHTMSLMHDDLPCMDNDDLRRGKPTNHKVYGENVAVLAGDAMLALAFHHIATETKGVSSDQVVRVIVELAKAIGAEGLIAGQIVDECSEGNSNLGLKNLEFIHRSKTAALLEGSVVMGAILGGGNDEQVEKVRNYARCIGLLFQVVDDLLDVTKSSKELGKTAGKDLVADKTTYPKLIGIEKSKEFADKLTKEAIDQLVGFDLNKAAPLTAIANYIAYRNN >KZM85682 pep chromosome:ASM162521v1:8:27830295:27831508:-1 gene:DCAR_026896 transcript:KZM85682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFGCKNSVREDFEEGWVKTGSLPKKKKKNPWISWSRTKKLSPAKTVSVSVSMSEKLDSVGKSQLFKMDDVLPSKKHRHRTGVNAAANKPYKKKTGTVKEIILKSGEINHLTSLAREDMKHEKKHSGRKKETDKNKPSSPPENKTPVATNVENVDENVTIKNQNKEALDSIVGMSIIIVILAVVLFWGKLCAILCTSAWLYMIPRLRTAADSDVIMNDALESDVLNLYSDEHKKRVVLEGLLQRNRRSVIGLL >KZM85291 pep chromosome:ASM162521v1:8:24451830:24459497:-1 gene:DCAR_027287 transcript:KZM85291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPDLLPFKVGQLAEAKSFEKGYRGAWFRCKIHEIYVKNGHIWHALEYIDFPDEKKKVKKLKIYQLSVWIKMKGKEKERQLMVRPQYPRTCEEINLPLVKGITEVSIGTDDVWKVGDMVDWLTDGCFWTGRLTEVLNDGKAVVTLIPPPVGEGRTYIVSCKDLRPSLDWSPESSWRAPSLQEGESYSYSRLMQQLKQGIKNTDTPAAGHMKCDIDYKDEGSKDFVDKTVSPVDGSISSNNSANSLHTAEKSGSGKLRDMSRASGSIVPRTRRLEDLDMRDSVVEKPSLSDSVSSSHIKCASNETAVHTGTEDLDNSEGAVKKVKTGGSPPLNIAVSNSLDAVIMDLEELANKIQWLKGFLDFGIPLPNGTTPSWKLVEHRGSSTPK >KZM85279 pep chromosome:ASM162521v1:8:24340120:24341418:-1 gene:DCAR_027299 transcript:KZM85279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAEQSHRSYSNRKNELQAFDDTKAGVKGLVDAGVKKIPDIFIHPPDVFENNSGDKCKDFCIPVIDLEGLDKDQESHQEIVNKVRCASETFGVFQVVNHGIPVNVLEEMLHGVRRFFEQDTEVKKEFYTRDSTRKVVYYSNFYLFSAPAADWRDTLYCVMAPPPQPEELPAPCSVEHRVVANKIGLRVSVACFFSTGLMPSPKLYGPIKELVSDDNPPKYRETTAQEYLSYSYSKGLDGESRLLHFRLK >KZM86091 pep chromosome:ASM162521v1:8:31298973:31299866:-1 gene:DCAR_026487 transcript:KZM86091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQCLSNMITSHPVLCQKNITSKTKWPFSSNGLKIGHFAGIKASVHKDSLDHLQKANNYKPQQKQQQFKKRTPQVTPIGLWDRFPTARTVQQMMDTMDRIIDDPLAYSGNSGAWPSQLRSESGRYTRGRTPWEIKEREGDYKIRFDMPGMAKQDVKVWIEEKMLVVEAEKKIETNEEEKGSDKQEGWPAESFGKYSFRIVLPENIQYENIRAEVKDGVLYINIPKASVSSRILDINVE >KZM85335 pep chromosome:ASM162521v1:8:24860402:24872713:-1 gene:DCAR_027243 transcript:KZM85335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHFFPYLAPLHDINEEPICPQPFSFDFEQQSCTEENIKKLIWREFVILKPLEFRVLLFEEYSNSLFLDEQNNCSTRHLAKILIHLAWCLQELISDSRVLSSASTKAINAMYISSVFLKYLIEDAKSDTFEELYLSLNESEELPNNFLSDQSIEYFVMHSILTYIGQVDVSPNTCLLHHGLLDFMLVAMSTQLLSGPSPGPSDVHPFIDAAISQESSTVALVVSKLLLNYITQPQFPVEIVSYAIFSEDRPGVLKRVGSAAANFMLLPLTYLVSSTKEASRNPLAESSLNILLTLIHYRKCFVLKHEKDTSVGTATSDSLLKEDTYLSENPYCKALANAKDVEFDRVNLVGNAVSGSLVRLPFASLFDTLGTSLADEVAILLLYSLLYENADFLEYVLVRTDLDTLLMPLLETLYNASKRSSNQIYMVLIILLILSQDSTFSASIHKLILPNVPWYEERILHQISLGSLMVIILIRTVKYNLSMLRDVYLHTNCLATLANMAPHVHRLSAFASQRLVSLFYMLSRKYSKLAELKNNMMHLSNGELKDDDISEDTSPELHIYTDFLRIVLEILNAILTYALPRNPEVVYAIMHRQEVFQPFKSHPRFNELIENIFTVLDFFNARIDAQNKEGEWSVEKVLQVINVNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYVWQLVVSRSGFSFNPKSINLFPVDIPVEVSIYVIKCTSIIYLALTKKKNSLTKGLLKSLRALCSYNIYFLCLLGLCERPRP >KZM85832 pep chromosome:ASM162521v1:8:29156816:29157835:1 gene:DCAR_026746 transcript:KZM85832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPLKSSFIYDGCKNPEKSQQNFQEIISKAPNNSEWFAFSDLYEYQGFWFTPKFLEGTILAQEQFKPQLNDIILCSYPRTGTTWLKSLSFAIINRSNSDSLINPVNPLHSVMPHDCVPFLEVDLFQQKTIKYYPKIPLLATHLPYSSLPQPVIDSTCKLVYICRDPKDVFVSLWHFLGNVKGKETNTDEFSLQKGFDLFCNGVSLHGPYWDHVLGYWRASLEWPEKIFFIKYEDLKKKTSIHVKRLAEFMGYPFSLDEQREGVVEKIIEMCDFNNLRNLEVNKKGEYAIFESVVVQNQKYFRKGEVGDWKNYLSADMQERLNCIVDQKLQGSGLTLC >KZM85950 pep chromosome:ASM162521v1:8:30239175:30240486:1 gene:DCAR_026628 transcript:KZM85950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEISSFWGPVTSSTDWCEQNYVYSSYIAEFFNTIGNLPGILLALIGLVNALRQRFEKRFSILHLSNIILAMGGMLFHATLQRLQQQGDETPMVWEMLLYIYILYSPDWHYRSTMPTFLFLYGAIFAVVHSQIRFGIGFKVHYAVLCLLCIPRMYKYYIYTEDISAKRLAKLYVITLLLASLCWLCDRVYCKEISGWYINPQGHALWHVLMGFNSYYANTFLMFCRAQQRQWNPKVKHLMGLFPYVKIEKPKVQ >KZM84237 pep chromosome:ASM162521v1:8:10658612:10660100:-1 gene:DCAR_028216 transcript:KZM84237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVDAYSRPEFQFAAAMKWLLLRLDVFSCITFAFLLVLSTYFRKTINPAIAGLAVTYGLTLNNTLIGLIWCLCHFQTKMISVERILQYMYVPSEAALTIQENRPDSSWPSRGEIDIHNLQVQYAPHLPLILHGVTCTFPAGKKTGIVGRTGSGKSTLIQALFRMVEPKSGHIVIDDIDISSIGLQDLRSRLSIIPQDPVMFQGTVRSNLDPLEHYTDAQIWDALDKCQLGDQVKKMERKLDSIVHENGENWSMGQRQLVCLGRVLLKKSKVLILDEATASVDTSTDNLIQQTLKHHLSDCTIVTITHRVTSVLDSDMVLLLSHGAVKEYDSPSKLLQSKSSSFSMLVAEYTSRSSISNSQAKST >KZM84799 pep chromosome:ASM162521v1:8:19447597:19448778:-1 gene:DCAR_027779 transcript:KZM84799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSDVVWLPCSPFSCMLCEGKSPSTISNLKPLNISAAKKVPCKARACSSVHSRIQSSDLCAMARCPLEDIEVSDCAKFSCPPFYYAYGDGSFVAELYRDTLEIPMSNPSLNLHNFTFGCAHDALGEPIGVAGFGRGALSLPAQLATSNPHLGNQFSYCLISHSFDSARVRKPSPLILGRYVPENKNKRVESQNDIIDFIYTPLLENPTHPYFYLVGLEAVTVGKKRLTAPLSLTAVDKKGNGGMVVDSGTTYTMLPAEMYKPLVTEFSRRVETFYKRASHVEDRTGLSPCYYVDSVKNVPQLIFHFVGNSSVVMPRKNYFYEFLDGGDDGKNKRKVGCVMLMNGGDFPESDGPSGLLGNYQQQGFEVMYDLENKRVGFAERKCAALWDTLG >KZM85017 pep chromosome:ASM162521v1:8:21739067:21746131:-1 gene:DCAR_027561 transcript:KZM85017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSKLVLCMFIASCYIFTIVMPRPLLISNSSLATRLKLDQDGSSTCWDSLFKLQSCTGEVILFFLNGEAYLGPSCCRAIRIIGHDCWPSMLGSLGFTSEEGDILRGYCDASNSSVTSPPHTGNVTINIMLLEALLSKNEEKDGDGAPSHTRNDNGANQLEETMVNWNPGSVGALRYALHIRFLCPSPRKCSRSVEKGKFKFLSQLRSKIDNEERKIYLYNDLRVVFSQRHSVADEESSVHTLLPLSSSRSRRRPKDSIESTEEDDDEDGGGGGTSDAGSSRNHLHSHPIHHRSGLLVVDGGVVLRRKMRSLLNLRPKKKNIRSWISGALTFLIVTTIILKMMLMHSLNSKTAELKRNDFLIYPLNRNDFLIHPKKRNHLIHPMKRNDFLIHPVKKKDFLLNTDLVNNSQEYIAEEESEENSNEVRQAMRDFLVPEIWKNPRTDDYYPCIDRSSKEIANENAATNGYILVHSNGGLNQMKTGISDMVAIAKIMNATLVIPSLDHSSFWTDPSDFKDIFDWLVFKTVLLKDVDVVESLPPDLAAVKPLVKSPVSWSKPSYYKKQILPLLKTNKVIEFTHTNSRLANNQVPNSIQRLRCRAMYEALQFADDITELGNKLVNRIKFDRDPYVALHLRYEKDMLAFTGCNHNLTSKESAELKKMRYEVKHWKEKHIKSKQRRLKGGCPMTPREAALFLEAMGYPSETKIYIAAGKIYGENSLNALIEKYPKLFDHSTLATEEELKPFIGRHNKLAALDYMVAVESDVFVYTYDGNMAKAVRGHRMFEGFRKTIDPDRRSFAKLVDALDEGKLSWEGFSTQIKNIHANRTAAPKYRVAGVTPKREQSFYANPLPGCICKVPSKAQ >KZM84531 pep chromosome:ASM162521v1:8:15943674:15945335:-1 gene:DCAR_028047 transcript:KZM84531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTRAAAEKQAHVVCIPAPSQSHIKGMLKMARILHSKGIFITFVNTEFNHKRFLKSGGLQSLGDVASFRFETIPDGLPPSDADKTQDIPQLLVSIFEKRMLPPFQNLLAELNAGTYQVTSILSDGFMPFTADAAHSLGIPIVLLWTISACAFMGFYQFKNVLERGLVPLKDESYLTNGYLDTIIDWIPGMPDIRLGDLPSHVRIMDPVDTLFHYFVECTQRAADCTAFVLQTFDDLEQELVNVISSMFRTVFTIGPQQLLVDQIPLDRKEGVTSSILVCLLC >KZM85248 pep chromosome:ASM162521v1:8:24082363:24085656:-1 gene:DCAR_027330 transcript:KZM85248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKECHPLLKGGRRESKYTHGFPSHDLQTLASICEVFLPPLPKNSVHFSSKRDSSDQHIQSFLESSGSDNFIPEEVAEVLMKKAFLEAVLVVRLVTRILSTRLGTLLLCGFSCVGDKWPYVNKFSSLSLEKRERVVQKWYKNWLLTPIRLGFIFIKFLCLLTFFSQANENSENPAWKAIGYHVDDVNEKFSSEEEEKEEEERPLDKGMVETIYETDLSFVHSLTEKGLTVTKDPERGDVYKLKCDVVIVGSGCGGGVAAAVLASSGYKVVVLEKGNYFTKTDYSSLEGPSIAQLYENGGILSTVDGKTMVMAGSTVGGGSAVNWSACIRTPKDVLQEWGNDDKLPLFTSHEYDSAMDTVSKRIGVTEKCVKEGFQNQVLRKGCEQLGLKVEAVSRNSSEDHYCGSCNYGCKSGDKKGTDTTWLVDAVNAGAVIISGCKAEKFIIEKNLSLHHAKKRKNKCLGVIARFNLNGDSNIHKKSILQIEAKATVSACGALLTPPLMISSGLRNQHIGRNLHLHPVLMAWGYFPEESSSGIEGLPYQGGIITSVHKVVDPESKNVRAILETPALLPGTFAALCPWESGLDYKTRMLRYDRTSHLISIVRDRGSGEVKVEGRISYSMSREDEENCRAGLREALRILIAAGATEVGTHQSDGQRFKCKGTTPAEVEEFLHEVSAAPGPKAMVKNWTTYCTAHQMGSCRMGIDDSRGAVDDNGESWEAQDLFVCDASVLPSAVGVNPMITIQATAYCLSNKITEILKERASHSS >KZM85952 pep chromosome:ASM162521v1:8:30244811:30249193:-1 gene:DCAR_026626 transcript:KZM85952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKESAAAPANQWRRDLRQEPASGNPLKLYLNCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRQPVQGYDISFLITNYHCEDMQKNKLIDFIVQFMEDIDKEISELKLSMSTRGRLVATEFLKQFI >KZM84523 pep chromosome:ASM162521v1:8:15764382:15781609:-1 gene:DCAR_028055 transcript:KZM84523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGSEGDRTGDGSVKPKRQMKTPFQLEALERTYAAETYPSEATRADLSVLLGLTDRQLQMWFCHRRLKDKKEGAAKNQLATPVARKGSFDSHTDELKVVELGRDNGSGSRSRSGSGSDSSQFSDPEDMPLRWQYELSPQSAKVQRVISCIEAQLGGPLREDGPIIGVDFDEIPPGADRTSIGRMEHRERSKNSHDGQLYERAKPSKSKAALGGVCEPAELNNKADGYRRSPSNFYDLSPDNPSSKRSFMQGNKQWSRQYVAQVQVSGVGQFSQEGKQAQFLSSSVGNDYFQNAEEPLPLGKKRKNEDRIDMEVSHEKKSRRDLEKEDLLRRKRDEQMKKEMEKQDRERRKEEERLLREKQRREEKYQREERREHERRERFLQKELLKVERKKQMEEVRREREAAKQKITMERAALRRIAKESLELIEDERLELMELAASSRGLPSIVALDYETLQNLESFRDQLCTFPPKSVHLKKPFSIKPWNDSEDNIGNLFMVWRFCNTFLDVLGLWPFTLDEFIQALHEYDSRLLAEIHIALLKLVVRDIEEGARTQCGGTGTNQYTAANPEGGHPQIVEGAFKWGFDIRYWKKHLNPLTWPEILRQFGLSAGCGPQLTKGSEENANLHDKDEAKGCEDVVSMLRDGSAVENAVNVMKEKGLPQQRKSRNRLTPGTIKFAAYHILCLEGSKGLTLIELADKIQKSGLRDLSTSKTPDATVSVALSRDPTLFVRIAPLTYCVRPAFRKDPADGEAVIAAARDEIQKFLNGSLTEETNGDAEKDDDSDSDIADGLEGDEIATPVGVNKISDDFKESGTLLATSNNSSHHDMQSTLKSNPDTAGHHINQCYVTGKDDAESDHFQGLMGVDESNSGEPWVQGLTEGEYCDLCVEERLCALVVLISVVNEGNIVRAVLEDRLDAANALKKQMWSETQLGKRRMKEDNLAKFNDFSVTAAADSSQSQLRVVQNRVDELALVVSEAHPVDLENVHKDDNYPNGVVNERSLIVHDSCISQIIPSIQHDALKSERSRMQLKSYIGHRAEEMHVYRSLPLGQDRRHNRYWKFVASGSRHDPGSGRIFVELCEGYWRLIDSEEAFDALLMSLDTRGLRESHLHIMLRHSETSFKDNLRKNLQSSCDETGTVPKVEPTELSSNALCIYSGKRDSNSDMESSSLRVELGINETEKINAFRRYQDLESWMWKECFNSSNLCAMRNGQKAITPVLWICDFCLNTFILKEHNCPSCQREISTFGNRFTYLEPAIQSEDQKEINFRNPVVSDPFFTFKIRMMKSLLAFVEVSVSSEAFQSFWSRGRRNWGLNLQNASSVEDILQILIQFEGGIKRDWLASDFETTTELNSCAFPGKIVTQSDKSVAQLPWLPRTSAAVALRLFELDSSIFYSEHQKAEAYSMTEIAKFELPTKFANMKDVQHVELTGVHQRENKASSGAMRGISACRQAISSRGGAQPRGRVQKKIHKSRSKPVRRSNKVKKTMAPGFMQQEKKAYYQKQGHGYNHGPRTVRKRRETMDVEEMQLGHFVELQEHRSLDGIGISHSPNNANMKWVEESGKLQDAGTGNCDSTEASESDEGADATRYRHLHVEPSFGAPSDRSARDMVETSDDDAEIDDVHDYEDDNNIDDVIMNDGPHGNNVESLETSGDYSDSSGMD >KZM83965 pep chromosome:ASM162521v1:8:4149043:4155978:1 gene:DCAR_028613 transcript:KZM83965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESPIRITESSRTWASLKGTSGYGSSSANIGGIDELGLILNSQTFQGSGRALVPSRSGSAPPSMEGSFAAAKNIIANQHFDSSASLASINSSKDSHVSGENVYADPAYIAYLSSVKMNPRLTPTQIPSNNKRLVRQIGTAGYDRRLTPSGDSSHGSLLMPHGKLPTHREETEDDRAAQLTFSNWPDRSTPLEVPSQPDYVAGMTQEKSTHIKSPVHRQELSFSLNSVEEGVTRDADSFTPVLSADDMHKSSYPDPASSVSSSSSLPSSRSTSSKLDHDRRLSNTVDSYLEDHNSSSSVTHTVPAGIDSNLNSSSSSSPNQNQQHSRQRNVVQKQIPREQRYMSEVQNSQSEMSPQAINPPYIGSNQSFHVPSNYHSAEVHPVAQSSRIDPPLYATAAAEHMNSSSVLYQNLHPSSYFSPQYTLGAYTFQSEGLSPYIAGYVPPAVVPVAFDASAHPHFSPQTSSFSGINSHGINFPHFNSHYAQFGYPTQPSIDSLHIQYFQRPLGDAYGLPGQFDHLRPRPAATGNLINAVDSTKGSELSAFSADQNFWNQECMGNIYLNPGRPVIPYYVGSPRDTGHLHFPATAVASPVLPGSPINGTSFFSGTNQLRFSPHLARRSGLTSGWPGARGPDGIKSISFLEELKSGKGRKLELPDIVGHIIEFSGDQHGSRFIQQKLENCSFEEKESVFREVLPYTSKLMTDVFGNYVIQKFFEYGSLEQRKQLAGQLAGQILTLSLQMYGCRVIQKALDVIEQEQKVKLVHELDGHVIRCVRDQNGNHVIQKCIETMPTHQIEFIISSFRGQVAKLSTHPYGCRVIQRVLEHCTDDLQSQFIVDEILESVCSLAQDQYGNYVTQHVLERGKSPERHLIINKLSGNIVQLSQHKFASNVVEKCLEYGDSNSLDIIIGEIIGHEDGNDNLLTMVKDQYANYVIQKIIQNCSGDQREMLLGRIKTHLNSLKKYTYGKHIVARFEQLYGEELKNEDPEISAE >KZM85262 pep chromosome:ASM162521v1:8:24180590:24189446:-1 gene:DCAR_027316 transcript:KZM85262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSILGKRQKLQEELRSIEKQAEELGIGREGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEETIIKLHELLGNRWSAMAAKLPGRTDNEIKNVWHTRIKKRLKNYQSTRGSKRQKIKEFEPQSQNEIVRFGCGSISQQSSSELSSVTYSKAMLTQDIVKHENLNSSKIPDHDLRMWFEPDQESKDVERNDGKDFWYNLLIKAEDLQDLPEF >KZM84115 pep chromosome:ASM162521v1:8:8200742:8202814:1 gene:DCAR_028338 transcript:KZM84115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQYTTIDNLKTGVDTYRIKARVISLWRGSTKEGEEFKSFNIVLIDQKGQRIHAFVPTKCAEEFQYQLYLGKLFSIKNFDVQHYKQTDKFRFLRKDTQLVFSKEMEIQELPDDGVTIPADGFDFYDLSQMEELTKQTTYLSDVVGIIKDYDNIRDLGNKHGKDQRQAKFIITDGSSQVNVTFWDKFGENFDKQMKTPLDQPVIIIISGCKVGKWNGQIDISNNNATRIYLNYKHHSVTQLRKLLKNPDFAKRLLGKAKAKPMLMATVEALGNMGKEAVEDLFMAHVRIVKIDENLKWFYNACTSCDKEMKIEQLGPICESCNRIVPYPQKKFKFWVVAEDNSGQMQVILGDREVRTITGRKASDLVHEIFSNQGTPKCLLDIVGHEYSMVIRLEETNIGKSFKLYWCNNICRGLVSLPANISDGASNSQAQTSQADTSKDDAQEISDVNLASSLNI >KZM84405 pep chromosome:ASM162521v1:8:14003281:14005213:1 gene:DCAR_028173 transcript:KZM84405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQNMVIGTPVPTDLPVKRKRGRPRKDENLVKKDNPLLHVAPAPDVIDKTQETQLNQCKDVDDSMVGTVVSGVIDACFESGYLLSVKIGNSSTLLRGVVFQPGKVSPVTPINDIAPNAKMYKRQDIPIPVVNTPSQANGSVSRSVQVENPVPLEKLPVAPNQVLPPVMQSTVPFALGNSSSVVFPLNNMPKNVAGASLPGNATTNQNSDLQFVNVSSSVAVPFDMSKTDAEISMSGVSVMPQQISDLKFENPASRIVPLPNIVNDVSNVSLDVEKVALQQTPVFGFESQSPHLLKNLKMVEQDEVMQVFEAPASEPMDGIFPGNKTSNQLPPIQNQTVESEVELHQTSSGGESQFLLSEPQTDSEPCPTEPVHNDLNNSHNEIHQNNVVTDSLSMPMESEYVDSGLKSSEPIQDKVADSNLNLNEATVVEPESETTEPKSVPMDIVTETPVSPTKSNPHYEKQEPTEKDLTKYEASHNGKQGELKHNVYDN >KZM84223 pep chromosome:ASM162521v1:8:10564120:10565871:1 gene:DCAR_028230 transcript:KZM84223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFIYMEQSHALAHYERPEIPNIYSEIDEETVIHSEDMSVSDLKRQEQALDYYNRTKESLPQDPAGISRIESQIQERDFQANAPYQEDDGFQYLFDTSQIEEQDDWHTLKLLVYLERKKGSISPTQHVNQGRFLTRRSQLEAKHNRLVRLYGKRPLNKHDFDSKLGYSMYRMSWFIWGDHVIQSDGSDDLDDYGEFFDPNAPFSHPDMKCNPYDPKENNVSDDSVVDVDMTGSYPDLKEVLKPDDPIFDEIDDLDLNEIFHWIDVCFGSQFDSTPASASGVSADKSHIFKGPKPQAVKDTDDADLTLATEMSLGKVSEDTKSKISEDQPAPEMDTLPRKRRMTDPMPEAIENKQSKRRKLLYSPPKHGVNQEEERCLLLNTDNEYDEDYEILWRLYWYTVNQRTSIFQGGAFVLDFENGVTKFINLFLDSKITTDQCKKKVMELREKFLCFMELNGNEDFNEADFYVPHEYKMFQMFKIVWGDDRGRGGSCSVADPISATVTNVSGAGKAPEPANVKSGAADKELEADMARAVSNSLKEIREDTMLQGAISDIRELDMKVDSGSVQDSGENIYVQDNMDIDR >KZM85966 pep chromosome:ASM162521v1:8:30330043:30332674:-1 gene:DCAR_026612 transcript:KZM85966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQNMQYWTFTGLVGAFLDLGIAYCVLCASSLAFFVSEFLGLFGLRLPCPCDGMFTRPRNHYCLQRLLFDYPHEKVGYVLCSVRNKFPFHSELTNDENLGVKLVEERSNLGNGYYVDFEAEASCSSVSDAKVSRNTGEKEMVPRKGIGFEFNVGRWPDKKIDMKGKGISIHRPRANMRRRRKGGYDHKRSSSVSSHDQVPVPRYSSSIKKEKNEDLGGMSASDEADTNYLSDDRRAPSVMSAGGSGSRDVDLNSSLPGMNLKEKYESSNEDFMSDARGALSLGDEINTIGVLEQALKEEHAARVALYIELDKERHAAASAADEAMAMILRLQEEKASVEMQARQYQREIEEKSAYDTEEMNILKEIVLRREREKHFLEKEVEAYRQLLYSGKEQSELDIQSIVDKQRRESSSGYLSDDTDLMLRELNESLGKNTAAKGRISNAELTCKDTQQRPFVQLGSSEWNEDDVVPTQQGKDKYSSLNSSDDVNQELQEKEMVSVGNSQLAQPIEGQGSNNSEEPQFPKSTIPCSSDEQKKNGSEDIYGGITKNVNTNMSSVPIQIDDDDIKKHEKDTMENIVQSNEANKKEPVYDVHVINNNSKIFNEASGKKTEILPKTDTSKVTPDVSQEVSDIHKRESPSTSKIGIATDSNRSTLDMAMRLPPPGPREKSVPVSHRSSISVSDTERLKIDMEVEWLREKLRAVQERRTKLNVSVDHDKEKLQLQLLEDIASQLREIRVMTHPEKAMRQASLPLPSSEVSTYRL >KZM85054 pep chromosome:ASM162521v1:8:22233161:22237826:1 gene:DCAR_027524 transcript:KZM85054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPISSSSSSSIAQKTWELENDIVPMEPSPQTDSIFYYDESVQAKFQQEKPWVNDPHFFKRVKVSALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPADDPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNADYVAGQISDLADKLEHAESQLAHSRIAPFIAPQQRKKEEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNTVRQSNKLQADSSGPEPMVES >KZM86077 pep chromosome:ASM162521v1:8:31225444:31225872:-1 gene:DCAR_026501 transcript:KZM86077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQFVIFATLVVVLAMEIASVASAGKKESRKIAGTVVDLTGGGANAPSPGPSPGPSSAGAPSPGPSGASAPSPGPVGAEAPSTGPSGASAPSPGPSGGSDQPSIQEMSDSTGSWPEPEALGPSSSADAPSPDGADLASDQL >KZM84355 pep chromosome:ASM162521v1:8:12937816:12940251:-1 gene:DCAR_028351 transcript:KZM84355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGMLFDHIQNLDKSRSNWWIKARLTHFWTTFSPETSSIKGYNLILLDDDNSHVHAYVYPDNWRAIDKEVVEGKVYVIENFQVRETIGKLKPVSTRLCIRLLSSTYIEPVEDDAMIPRHKFEFMDMGDLLEECNRLTENQNPEFAYDVIGVVEDFKKVKRVQTKYGERDQARFILTDGRHVNHFGPILHNASLHVYICSASLQHSVYSLNEHQIRALPSTKIYFNLAIDSVAEFRERMIEEGYKPMDDSSEGASEPPVTAVIEKTFFRDLIENSVTYRAKRTVMVKFMITKVEDEENWWFNSCDSCQSEVEKIDKKFKCPECKRSFGYSEKRFRIVVLADDSSLVTNVILLDRFVKRVAGTTVANILNEIKKDSSVTVLAKLFETIVGKEVTVLIKLTDANVDGDSNLYNVVDLCGSALSEVTIVEASPSNTAPSFTMDGVVAGIELFQTPGSSESVTKKIKMEDTPV >KZM85481 pep chromosome:ASM162521v1:8:26120718:26126616:-1 gene:DCAR_027097 transcript:KZM85481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITPNTPIDGNLSLEMPGYGNEPQHAGYYQPLQRERRKGFGLMKKGLRSLVVRVVTAMLCACAEGTADEAGFASYPPQYSKAKQVLLAAMAKNPKPNPNSTIKIKQKRKRAKSEDDDQQFASEDPIEATQIEEKALEIDTNDNKINKNETLTFESLNLSEPTLKAINDMEFNYTTEIQGRCIPLLMEGKNVVAAAKAGSGKTLAFLVPAVELLHKLNFAPRNGTGVIVVCPTRELAIQTHAVAKELLKYHSMTHGLVIGGAARRGEAERLVKGVNLLVATPDRLLDHLQNTKGFIYKRLKCLTIDEADRILEANFEEEMKQIIKILPKVRQAALLSATQTNKVADFARLSLKDHIYVGLDEEKKMVTSEGLEQGYCIVPCAKRSILLYTFLKRHLSHKVMVFFSSVDSVKFHSELLKHINVECFDIHGQQNQQKQITTFFDFCKAEKGILLCTDVAARGLDIPSVDWIIQYDPPDDLKEFMHRLGRTARGKGSKENALLILTPEELPFLFYLKEAKVPLKEYEFPEKKLANVQSRLEKLVATNYHLNKSAKEAYRASVLAYSLHSRKDIFNARHLDQQAVAASFFFTNPPKISITSDRSTSKPRKSKRSRN >KZM84372 pep chromosome:ASM162521v1:8:13279269:13279844:-1 gene:DCAR_028206 transcript:KZM84372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFEKAKTVRLRTITDKFLVAEDDEETVFQSREDYSKNSIWKVERIRGRYVRFKSCHGTYLTASAQLFVPGIIGKKVVHQSIPQTADDVWIDWEPVRDGFQVRLRSRSGSFLRPNGGLPPWRNTVTHDMPHTSKTHEKVLWNIDVVEALPTPHRRSCSDFTKAPAPHVDDHKTAASHPPHKKLTTLEDLW >KZM85391 pep chromosome:ASM162521v1:8:25408755:25417155:-1 gene:DCAR_027187 transcript:KZM85391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAERRIQAIQHHVCSDQYQTSLLRRNETAGEFVLEQGYSVILPEKLETGKWNVYRSVLSPMKLVSKFPNHPEIGTLHDNFTRSAKVFKDYKYMGTRVRVDGTVGEYEWMTYGEAATARSAIGSGLVSLGIQKGSSVGIYFINRPEWMIVDHACSAYSNISVPLYDTLGPDAVKFIVNHATVQAIFCVPQTLNTLLSFLSEMPSVRVIVVVGGIDDHIPSLPSTSGVQIISYSNLMSQGQTNLHPFCAPKPSDTATICYTSGTTGTPKGVVLSHGNLIANVAGATFSMQFVPSDIYISYLPLAHIYERFNQILVAYYGGSVGFYQGDNLKLLDDMVILRPTVFCSVPRVYNKIYAGITNAVKASGGLKERLFNAAYNSKKQAILSGKNPSTMWERLVFKKIKEKLGGRLRFIVSGASPLSPDVLEFLRVCFGQVVEGYGMTETACAITALDKDDVSIGHVGAPSPSCEVKPVDVPEMNYTSHDQPHPRGEICVRGPIVFQGYYKDEVQTREVIDEDGWFHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYSKCKFVAQCFVYGDSINSSLVAVVSVDPDVFKSWAVAEGFKSKNLEELCENPRARAAVLADMDAVGREAQLRGFEYAKVVALVLEPFSLENGLLTPTFKPRSNRQLRVLTMTKEYTFIYDRTLNCSEKIPSF >KZM83903 pep chromosome:ASM162521v1:8:3012187:3012633:1 gene:DCAR_028675 transcript:KZM83903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEETHIKSQRKLKTHIVRGMYIRVIGSLNNLEAQFAEECEAVLASRVNEDSKRVEKRRATLYIIPGRKFQINWNEMEFRCCNSVHTLWFTLDGARQFDSHALTLRIEEIEDFVETPLKAALLADLNLLVDELKTEKQKAKEEKKARK >KZM84669 pep chromosome:ASM162521v1:8:17523418:17523648:-1 gene:DCAR_027909 transcript:KZM84669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTAAIIAIAGIVLGWITIEIACKPCLEQGREAIDRSLNPDYDPDDDDRIRAPLNPPAKIVVDDDVGVATSHPA >KZM85067 pep chromosome:ASM162521v1:8:22382088:22385504:-1 gene:DCAR_027511 transcript:KZM85067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEDGKQQAHLVLADKLFLLTLPDVDDIEKVRLRQQVLDAVVADDMATLYETLVSTGVLELDQALLDSMRDKNVQELKKIDDKISDAEENLGESEVREAHLAKSLFYIRIGDKVKALEQLKVTEGKTVAVGQRMDLVFYTLQIGLFYMDFDLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAADLFLDSISTFTTYELFPYDTFICYTVLASIITLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYGCQYKSFFSAFAGLTKDIKLDRYLHPHFRYYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVDFIDLELSRFISAGKLHCKIDKVAGVLETNRPDSKNALYQSTIKQGDFLLNRIQKLSRVIDL >KZM85044 pep chromosome:ASM162521v1:8:22100292:22111233:1 gene:DCAR_027534 transcript:KZM85044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSVLSLAFKGSVIDAILEAKRKKKLFVVYVSGNNPESSNLEKSTWLDLSLAEVFSKYCILLQISEESADAANLSAIYPQKCSPCITVIGYNGLQLWQNEGFCTAEVLTSSLEKAWLNLHLQETAAGVLTAALAAQKTELPVQGNSGYNLLGQGTSSTSDTPSTLMDNHIPYQDVRTHNNFNTVDKKEHLPVKKVNLEVGDNLYPEPVNDPGRANAEQASSSNVNESLDPEPENIINDDIGCRPVQETNLISLRSEGKRVASTSVDRESKSAVQGESSSDNKNDDRDFSLDKSDVHLNIRLPDCTSLQEKFSLTSTLREVKNYVDKKQDISLPSYDLAIPYPRKVFGNEDLDKTFLELGLFDRQALILIPHSKFGGYPKEGSIFRNQTSEINNTGSSSGSSEGYFSSLRRIISYMNPFSYIGRGTNSPSSVLEPQSSTWQYRPSATPQNSLRNAGPNQHASSASNGNRNRPTFSGFGSNIHTLKHDEDDNKTRDKNAFWNGNSTQYGGDSDGK >KZM85605 pep chromosome:ASM162521v1:8:27084741:27086145:-1 gene:DCAR_026973 transcript:KZM85605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGEEDHEEMMKMEELRRGPWTVEEDFSLINYISLHGEGRWNSLARAAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNSWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKKFKDTMRYLWMPRLAERIQAHSSATIVSNIANITESASTALNYNTNTTHNITESPQVTIPQAQATSNTICSSSNATSFSSDSPISDFAHDYPNHGTDDFQAHIDTDHLGFIESLISPSGYYHQDLNFQAMEQNTEWMSGDLADNLWNVEDIWSLQQQFNY >KZM85986 pep chromosome:ASM162521v1:8:30488908:30490845:1 gene:DCAR_026592 transcript:KZM85986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNRKQLHRLLHPLLFHSISFRPLSTARSGDSNNSSDATKEIEIASGSSLRRALRSSIPHSASILTPSISDHQPTITSTISSSISHTTRGLIPISILTPYAPAISTSHTTYSHFLISQKCNILKRYIGFPRMKTIPPRPLDAMKPYDLNKFHVPPHEILDTDLTPQEEEDEDDPDYWKHNLIMTAAIKLIKLDLKTCGIEETEMKELWYSDSGNTENMWGHGLVKHSRFSFSPGDLIYDYASKIFYMAKPILKGSEGCPGLGEFLMQEGFVVGQYHDVADLMVSLKKFFGVDVYPTFIGHGGYHPSLFEIGLLATRDCARRLPHPMSSKVPKGKFLMRHL >KZM84193 pep chromosome:ASM162521v1:8:10039822:10043630:1 gene:DCAR_028260 transcript:KZM84193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAATSEETAFDEIKSFFDSAPPLKDGDGIVKKLNGFLEKNSLPTRIGSKRVVCVTSGGTTVPLEQRCVRFIDNFSSGHRGSTSTEYFLKAGYSVIFLYRRGSYQPYCTTLPDDPLLECFEITNESDVQVHPSHSDAVKKAIGEHQAAVKGQNLLKLPYTTIFEYLQILQLIATSMKTLGPYAMYYLAAAVSDFYVPWESMAIHKIQSASGPLDMRLAQVPKMLLVLRNDWAPKAFFISFKLETDMDILLKKAGNALRKYKMHAVVANELLTRKEEVTVVTTDGNISVRRDKAKGVTDVERPLIELLVEKHSAHIEDSRNQQ >KZM85777 pep chromosome:ASM162521v1:8:28590864:28591127:-1 gene:DCAR_026801 transcript:KZM85777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARQNNIMEQKKNTESNKDATYLGVAVHSQVRKIKQEMEKIKHPSLEQDGVKEFLLRPVLREIKRQQGRSRSPLGIAQRPISVGES >KZM83935 pep chromosome:ASM162521v1:8:3666317:3667006:-1 gene:DCAR_028643 transcript:KZM83935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEITCDLEDDEPLKNFKTIKKEQNNMIHAFIPGECGKKFINKLTVESLYVINNFTVQP >KZM85599 pep chromosome:ASM162521v1:8:27031575:27035042:1 gene:DCAR_026979 transcript:KZM85599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNYSYRNSDINLVQLGNETITEDDRAVLLEGGGLQPVSPRARSSSCTSHIIRHQTEEWKGWMQVLFLMYHYFAATEFYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFLVFFCCLVLNNSYTLYYICPMHTLFTLMVYGALGIFNKYNENGTVIATKFIACLLVVIIIWEVPGVFDVVWSPFTFLLGYTDPAKPNLPVMHEWQFRSGLDRYIWIVGMLYAYYHPTVEKWMEKLEETEVKRRIIIKTVAMTISLTCLHNAEKLNTNFPRIQLDLICVSGLDLEFVIPLNSAQVKKHVETHRGIVLYH >KZM86054 pep chromosome:ASM162521v1:8:31084575:31091123:-1 gene:DCAR_026524 transcript:KZM86054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNLVADLLMTLNLPEYPASALILEASLRENSPVIRAKALRAVSVIVEADIQKFCVITDKHVQSAVEDRFCDSSISVREVSLELVGRYITSHPDFGVKYFEKVAERIKDTGVSVLKRAIKFTRDMCTADPNFSEFTNACIIIISRICDEESSIHVIFLKILSEFFGPQDLVCKTFYEFWFDDSSSSQTRSFGDGSCVPIEVAKKTEQIVEMQRRMKDNQLLVTVVKCNLALDFFTADSRGVAQLLESIVFAIDSVLPLAPRIPQAVVEELEQDLKQMIVRRSFLTIVHACIKCLCTVSRVAGKGARVVGYLIHVFFKRLDALGFDNKQALGYVLVSRPEFMLEKDIGKILEATLHDIQNLLLQMQSLQNLYEYLLDAESRMGTDNSDNNEVAYSVEGGQSVPVAAGAGDTNICGGIVQLYWESILGRCLDEVELVRRSALKVNLLYQS >KZM84945 pep chromosome:ASM162521v1:8:21063110:21063941:1 gene:DCAR_027633 transcript:KZM84945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPTPPVVAPLVKLVVRVLTFICLLIGLIILTTNTASIFINFAEFKIRFQDVKAYRYMLATIVIGIAYTLMQTALTVYEATRGNRIGGQGFLLFDFYGDKFISLLLATGTGAGFGVTVDLKDQYGAITSGYDKFFDKGYAVSSMLLIAVLFSAVSSIFSSLSLPKRA >KZM85351 pep chromosome:ASM162521v1:8:25060099:25063957:1 gene:DCAR_027227 transcript:KZM85351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTVPISPPAPLLYDDDEPFLDFTTRNRDLMTGQVEVDDTTPSKNFPRRKKYSLGPVKCFGVELTPDNIAVAMVYFVQGVLGLSRLAVGFYLKDDLHLDPAETAVISGFSSLPWLIKPIYGFISDSVPLFGYRRRSYLILSGLLGALSWSLMATLVDGKYAAAFSILMGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSAYFSGSLVEAYGVRFVFGVTALLPLLTSAVAVLVKEQPVIASAWRQNNSLADPGFLDTFKTSIVELWKAVRQRSVFLPTLFIFLWQATPHSESAMFYFTTNKLGFTPEFLGRVKLVTSVASLLGVGLYNGFLKNVPLRKIFLITTVFGTALGLTQVFLVMGINRQFGISDEWFAIGDSLIITVLGQASFMPVLVLAARLCPEGMEATLFATLMSVSNGGSVLGGLLGAGLTQYLGVTKDRFDNLALLLILCNLSSLLPLPLLGLLPRDNSDANSKVSNDIAIKSN >KZM85590 pep chromosome:ASM162521v1:8:26963063:26963716:-1 gene:DCAR_026988 transcript:KZM85590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLNSNPVTTSPRESSRKKKKKRGQLKASSQSPENNQISWKSETQQQLYSSKLVQALRQVRLDSSSASVPSRSRAVRDTAYRVLATTAKGKTRWSRAILTNRLKLKFLKKAKRHRVPIADRRSAKKPKVSILKLKSKNLPAVQRKAKALGRLVPGCRKEPFPVVLEETADYIVALEMQIRAMAALADLLSGGGSSSGASSSTQPQLDQNMDESSS >KZM85955 pep chromosome:ASM162521v1:8:30266356:30267247:1 gene:DCAR_026623 transcript:KZM85955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFKSSNSRGTHLGKRPASTAQENRGKKRATEQMEEPREITVKVKSQVKEVNFKVKHNTKMQKIFKKFCDKAQVEYRTMQFFFDGSRVPPTATPHELNMSDGDEIEAMIHAGGGGNPPIV >KZM83968 pep chromosome:ASM162521v1:8:4247245:4247746:1 gene:DCAR_028610 transcript:KZM83968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISYYIQGAGSNGGDGGGRGSKAGRGRGGQGNGSVVELGNNGISVGREWVIKEQILSKMSRMRVLVMKGSKNRKHKHRRVVLCEESHMRGPTVASVLVITIKNQRGLKIGELFISSMEETSGRPRRKRLSATLSK >KZM85441 pep chromosome:ASM162521v1:8:25817160:25817306:1 gene:DCAR_027137 transcript:KZM85441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSILLSPFLIYNQFISSPIKTLKKSISLSLSLSLSLSLSLSLSPID >KZM84330 pep chromosome:ASM162521v1:8:12607299:12622073:1 gene:DCAR_028376 transcript:KZM84330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEDQVKLNPKSPWNKLHSSASSSSSSSDSWPALSAAQSPSPPPPPPVSSELQKLNGQSNSIPSHKNSSFRRQKSGSKRNPNVAGSFQVPLPSHQQGASPLFHTVVPPSHVPIAGYAYQPLPGSFPSIEVPMQAFVHPAYGSIPPAWGETNAYVADFSTRRPNLHEPSSHPYPGWNNQQPYGSNKNILGQQGVGPRAFVRPPFIGPAPGFITGPIYPGAPGPMYYIPGPQPGSIRMPQPPFFVAHAKSGVSTVPPISPESDSLRSNITKQIEYYFSDENLLTDKFLLSLMDAQGWVPISIIADFKRVKRMSTDIPFILDALQNSSTVEVQDEKVRRRDEWSKWLPASVGKNEGIDTQEEHMKRVINDTKNTEVSEVMEVKTFEVSHSESVSHNSSSGLPKPDMEITELVDGNVTNERRKSVLQTQGTCGPKIQSDSNSSVKFPHNVHDSGSVGVPSKHHSFNDPESESTLVHDDVSAQIRERPCNEFSGTFMFDEDIELELKATDDHTSSSLKRSQGTYDEDDEMIVNDQAVERLVIVTQNNRLREEPKAGVKESENISNELASAINDGLYFYEQELRAERSNRRGNSASNDSKHGNSKSNPGTRLVAADILAEGNGCEMLGNENSRRKQNRGFSKKQPVQKQRLFSSNFRNHGSSRSSAGVISESPPSSSVGFFFGSTPPENYSLRSSKLGTVSQGNLSGSSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYMKYQKRCLSDRKKSGVGCSEEMNTLYRFWSYFLRDMFVPSMYNDFRKLALEDAAANYYYGIECLFRFYSYGLEKEFREDLYKDFEELTLDFYNKGNLYGLEKYWAFHHYRKTNDQRALNKHPELDRLLREDYRSLKDFKRMKEKVNVVKRDGQ >KZM83676 pep chromosome:ASM162521v1:8:372830:373724:-1 gene:DCAR_028902 transcript:KZM83676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDHITKQNDVGLEPKVMLDPATYFWINCLKQQMELTLLKCTRHNFTGNTRMINQPHIRLNALQQAVVPLGSAVGAFLDPRRADLIAALGETTGSLLLKGFLKG >KZM84532 pep chromosome:ASM162521v1:8:15948916:15949476:-1 gene:DCAR_028046 transcript:KZM84532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEAEAAEKQAHVVCIPYPAQSHMKGMLKMAKLLHSKGALITFVNTEFNQRRFLKSGALESVESLPGFSFQTIPDGLPPSDADATQDIPQLCHSIIQNNLLPAFEDLLAKLNAGIHPVTSILSDGFMPFTADAAHSLGIPVVLLWTIAACGFMGFYQFKNARDRGLVPLKGMILSQLNVHVITIL >KZM84810 pep chromosome:ASM162521v1:8:19567565:19568237:1 gene:DCAR_027768 transcript:KZM84810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAAVCSPLFRIVPLDIIVSLSSHLVVLEMTIREEKSGDEVVPHVESFESEDSSLVADVIVQNPDISRNKGCGSRIKSS >KZM86131 pep chromosome:ASM162521v1:8:31628497:31629147:-1 gene:DCAR_026447 transcript:KZM86131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRNKGKVHPSPSSSSSLTQSPPDDIFPVLKILPAAILLLVSVLSLQDREVLAFMVTRSLKSSDPSIPPNKKNIHHKTAAFNCDCFNCYTSYWCKWDSSSNRELIHQAIEAFEEHLNNDEHFKKTNSKTKKKDRLVCRKIAGKSPEISPEISEDIEEIERQFVKVVQEDAEGMTPEIESPTPELLPEHKGVARKVLPDIMGLLNSRIWSLWSPNV >KZM84253 pep chromosome:ASM162521v1:8:11028775:11035743:-1 gene:DCAR_028453 transcript:KZM84253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQHSSDTLAYLSGFGNHLSSEAIAGALPESQNSPLICPFGLYAEQISGTSFTAPRKLNQRSWLYRIKPSVTHEPFKPRVPCHGKLVSEFNNSNSRATPTQLRWRPVEFPQLQTDFIDGLFTVCGAGSSYLRHGYAIHMYAANKSMENSAFCNADGDFLVVPQEGKLWITTECGRLQVSPGEVVVLPQGFRFVVSLPDGPSRGYVAEIFGTHFQLPDLGPIGSNGLAGPRDFLVPTAWFEERTCSDFTIVQKFGGELFTAKQNFSPFNVVAWHGNYVPYKYDLARFCPYNTVLIDHGDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTFEATVALGNEVGPRKITNTMAFMFESSLIPRVCQWALESRYLDDDYYQCWIGLRSHFTHEYGDEMNLQNEQNASGTNTEL >KZM84506 pep chromosome:ASM162521v1:8:15504080:15504448:1 gene:DCAR_028072 transcript:KZM84506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNTASFALVLSLSLFCFSLVSATTPTPYTTSTYYSSGKCEGLKLGVCANVLNLVDVVVGSPPTLPCCSLIQGLVDLEAAVCLCTAIRANILGINLDVPVALSLVLNNCGKKVPTGFECY >KZM84296 pep chromosome:ASM162521v1:8:11776215:11778215:-1 gene:DCAR_028410 transcript:KZM84296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFNREATPLPIETTFKLQTQITTWSQGTDGFGSGTIDLGGLQVRQITTFNKVWATSQGGPDNLGATFYEPASVPDGFFMLGSYSQSNNKPLYGSVLVAKDITTAESGNAKILEKPVDYTLVWSNQGGNGYIWLPTPPEGYKALGHVVTSLSEKPSVDKIRCVRSDFTEVSETDAWIWGLKKEVDPSGFNVYGSKPATRGTQGVGVPVGTFIVQNNGTAALLGCLKNVQPDFSAMPNLDQIQTLMKNYSPLVYFHPDEEYLPSSLKWFFENGALLYTKGDESSPIPIEPPAGSNLPQGGSNDGAYWIGQPSNGSLRKGNLGSAESYVNVKPMFGGTFTDLAIWIFYPFNGAARASVAGVPIPLGQIGEHQGDWEHVTLRISNFSGELRSLYLSTHSNGTWASAPELEFQSSNKPVVYASLHGHALYAKPGLVLQGSGGIGLRNDTAKGKNVMDTGERFQVVSGEYLSIVEPAWVNYYREWGPRITYDLATEINKVQQSFPENLRSSFASFVKSLPAEILGQVGPTGPKMKSSWSGDEKV >KZM85868 pep chromosome:ASM162521v1:8:29458739:29460544:1 gene:DCAR_026710 transcript:KZM85868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIIKSKASSKVLVFILYMSVVFGDGEEAETLGALMEKREQEALYSVIQGFVGKSWNGSDLYPDPCGWTPIQGVTCDIFDDGYWAVTALNIGLVHENSPSCVPDMEFSSELFALKHLKILSFVNCMVHKNHPITIPAKNWSALSGSLESLEFRSNPGLMGKIPISFGSLSNLQSLVLLENGLSGQLPTNLGNLINLKRLVLSGNQFTGQIPSNFGSLRRLLIMDLSRNSLSGTLPFTLGGLKSLLKLDLSNNKFMGSIPHEIEDLKNLTLLDLSRNKLSGFLINSLKELSSLEELVLSRNSITGSLMNLNWRNLQSLIILDLSEMNLTGEVPESISELKGLRFLGLNDNNLTGELSPKLAEMPNVTAMYINGNNFTGQLNFSESFYAKMGRRFGAWNNPNLCYTIGLTSDSLAPSGIAPCQYQT >KZM85817 pep chromosome:ASM162521v1:8:28937460:28938413:-1 gene:DCAR_026761 transcript:KZM85817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSSMQGDTSLVATRERFSSVLKRYGELAERLSRDSDKNVFERLQREFEAACYSKTREICLDGEEWNDGLLATIRERVHMEVESRAMQLPGSPRSPLAEKIIYKVGTKILCCLDGARIGIRYEALYGGYVREHYHCVLESKSFLQKMTVLEHTVPFFLPIREAENNFLSSNAIKFIDHVGELVQAYVDRREQVRLTKELYGNQLKELYHSLPYDMVEFMLGHCDCNITVSLKYADLLSILPTRVSVLAWPVSESKNSSTAKKLRKGNGASENRDVPLRLTYAEDALRTMSLPEAYADMVLNLPEELSELNTQRNV >KZM84340 pep chromosome:ASM162521v1:8:12739565:12740209:-1 gene:DCAR_028366 transcript:KZM84340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPDIYEGSPTPVTAFLSIAPKISISANISRVSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKVKRLLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVSALRQTRVKYIADLGALAKTNPISAITFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGIVTSVIGRWAAGRLPRVSQFGGPKAVLRAPDT >KZM84667 pep chromosome:ASM162521v1:8:17512814:17514678:1 gene:DCAR_027911 transcript:KZM84667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSQPVEHVNFPAIKSCNGNSTHIPVNVDLSNPDAKTQIVNACQEFGFFKVINHGVPMEIVTKLEAQALSFFKQPQNHKNKAAPFGYGNKNIGRKGDTGWVEYLLFGTDTQLISQNSLTILPNDFWAMVNKYLSAVKNLACEILKLMAEELNLQPKNVLSRLLSDKKSDSFFRINHYPASDRNELGFGEHTDPQIISVIRSNNASGLEIALKDGTWTQVPADPSSFFITVDDCLQVMTNGRFRSVKHRVITESLKERLSMIYFGGPPLSEKIAPSTSLMQGEESLYKEFTWGEYKKAAFNTKLAFNRISFFEKSPS >KZM85811 pep chromosome:ASM162521v1:8:28885655:28886992:1 gene:DCAR_026767 transcript:KZM85811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHHQNNKDSSFDKALSSANVDLSPLLVVEVLKKLNNAGALALQFFKWAENKHGYKHTTDTYNCLIESLGRIRQFKMIWVLVNDMKQKGVLSKDTFLMISRRYSRAKKVKEAVEAFERMERFGLKAELCDYNRLLDCLCKSGNVEKAHQLFDKWKKGTIEADVKTYTVLLEGWGKEQNLLKLNEVFREMKGDGLKPDVVTYGIVVHAYCKAKKNDEAIELFREMERNGVKPSPHTYCTLINGLGNEKRLAEALEFFEQSKVSGFAPEAPTYNAVVGAFCWSLQMYDAYRMVDEMRKCGIGPNSRTYDIILHHLVKARRTEEAYDIFQKMSNEPHCKPVLSTYEIIVRMFCNEGRLDMAMLIWDQMKANNVLPGMHMYSTLIHSLCHENKLDDACKYFQEMMDMGIRPPVHMFSNLKDSLLEIGRKDTVLDLSQKLDNLRNTQLVS >KZM85363 pep chromosome:ASM162521v1:8:25135774:25144672:-1 gene:DCAR_027215 transcript:KZM85363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNMEDGVGSGDGSSDVIKKKSSSGCLIIKKKGNGVLGFSGSGSKKVHESSNEKKRSRLVDAESESSDELVEPVKRKVKEDIIYERRRSAIDVEEAMAGFGSERKRSGLDVFEFDEYDGFDGKKMRMDYMDDWSKGVGRSGNYRDFGAGASRNVVYRSEDNEKGSMSRVKDKVLHYSGKGRYEEDGDDDDESHLPISFLKEKYREAPNDRIRLQGKNGVLKVMVKKKKQGFREKGSDYPRGDERMGSRSEAALKKNEVKRPAFYSDSKRPRKPVSPRSEKSHKKPRKALPILSNKAEDSETDDSDKSLKLEPMSKQTQRSKKAIKTEHKKLTPTEISTPPSGGKESKVTRGNGTEKQLLREKIRSMLLDRGWRIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALQKQLEEEEDKVKPCGESTSSTALPDEIISKLTRQTRKKIERDLKKKKRDAGRVRSAKEVTLTESANGTDSDQQEEKLSSYRKQSHKSLKGKMHEADHAAESDSSGSLYKRKAKQDMAEKESATDSHMIQGRKSKKIGRCTLLVRSSDNGLNSGSDGYVPCTGKRTLLSWLIDSGIVDMSEKVQYMNRRKTRVMLEGWITKDGIHCGCCSKILTISKFEIHAGSKQRQPFPNMFLESGVSLMQCQIDAWNKQEESEREGFHHVDVDGNDPNDDTCGLCGDGGDLICCDGCPSTFHQSCLDIKPAIGSVSTCIRLTYQDCGLLVPAVLFLRLVRMVGNKSLEPVSNAKANDRTDNLLLRCSLYHQSCCEDEVDLSVDSGGAANSFCGKNCQEIFSHLQKLLGVKQELESGFSWCLVRRMDSASEMLHLGFPQRVECNSKLAVALSVMDECFLPIVDRRSGINLIHKVLYNCGSNFSRLNYSGFYTVILERGDEMMSVASIRIHGNQLAEMPFIGTRHIYRRQGMCRRLLSAIKSALRKLKVEKLIIPAIAEHMQTWTKNFKFSPLKGSDKQEMRSMNMLVFPRTDMLQKILVKRENMEGSTSNHSGSPSTELKDNCLLLPVSGEKSELDSSPDHDPHTVDNTEVQPLTKNTNKATAAVPALETPTLAMNDIPELCNSLVPSRETKHENSELDSSPGHDLHMTDNTELQPLAESNNKATALFSASETPALPRNDPAVCSSLVFSCEAKDDKSELDSSPKHNPHTRVATESQPLIESSDKATALVSASDTPTLAASDIPAISSSLLSSCQPKHENSQLDTSPMHDTHMSDNTELQPLTESSEKAAAEVSASNVLVVGSSLVSSCEPKHEKSELDLSPEHDPHMGENTEFQPVTESSDKATASVSALETPTQAVNDIPAVCSSLVSSCKSKHETSEIDLSPEHDPHMSNNTESQPLTESIEKAAAVVSASETQILASNDIPLTSSSLVFSSEPATGETFATNLLSDNNLAESIVNLRSTSPFDETSGTIAADNDVPSSSVTGHIQSSDSKAALVKSVDDSSRETSEGDIEEVATDIQNSLSVQDPVSHDKSESTDVDCDINNHSAVDLNDTCEVNVNETLVNPPKIAVEPLSVKDTVEIDTPCETSKANTIAIQDPVHVHDSVSHGNDTAVDLDNTFEVKVSDTSVNSPKDSVEQLSTTKTVEDLAANGTITMCEGGNVVNNTTAIDEKVAGVEPVTDSFSKAVAQNNMSQAIGEGPPESGSETVVVVDERNGDLINSDKGGDVGDVQEVDVKVASVDPIIESNTSAENNTCQTLDESSKNMTSDPIDRSLVDVKSDSQVTPEVASNTMP >KZM84663 pep chromosome:ASM162521v1:8:17430080:17434674:-1 gene:DCAR_027915 transcript:KZM84663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-25 MESYTSEAAVSIQVLVKEMKQKLFSPQLQNSSESPSAYDTAWLAMIPDSNNTKNPMFKSCVQWVLNNQKEGGFWGDEDYLIHTLSSTLACILALATWNVGPKNIQLGKSYVVTNAKKLLDGNDRKLPRWFTIVFPAMLEQAERVDLHLNLPRELKALVSSFNVGREKIDDENEHTSLITLSCPEAHFSACNIDKLHLVKNFTEDGYIFKSPFASAAGYMATGHPALLKYLESVVQKFPCGVPSVYPVDEDLIKLCTLDKLINLGLAEYFAVEIEETLAQIYRSYNKNRAREQEDIHLALVNIYKGALAFRLLRFHGFDVTPGIFCWFFDHKNIMDHLEENSDYFASTLYNIYRASDLTFPGETELDDAKSFSRRLLERIVSVEYDRYGDNISQSFKQMIKKELTNPWIARVDHLDHRMWIENINAPLLCLEKPSFSRLSCLDDDILIQLSVQNYNFRQSIFRRELEELKSWSKQLGLADMGFGREKTTYCYFAVCGTMYSPHHSIIRKLVTKSAILITVADDFYDMEGSLTELQFLTEAVQRWDGEGLTGPSKIIFDALDHFVRDMAAEFLDHERNEMNNRIQTLWKETFVSWMMETTWGRTGYTPSADEYLDVGMTSIAAHTIALPASSCLLNQRMPAHEIVNYDNETITNLLMLNARLLNDIQSYEKEQEEGKNNLVLLHLKENLNADIQDSIKYVKEILNENRMEFLERVLGNDNSEMSKECKNLHLSCLKVFEMFFNSSNLFDSKTALLEDIEKAIYIPPRNPLLKRTIKPNGTSASMEFPKKKGFPKMNLSINWHGCRNMNRIGQKLSRPISSHGCRVIHIAPQLTTLGFF >KZM84837 pep chromosome:ASM162521v1:8:19916606:19918078:-1 gene:DCAR_027741 transcript:KZM84837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTISKVDETIDRAQNEGQLPLTGHYNPKDFVLSLKKPRSVIILVKAGAPVDQTIEALAAHMEPGDTIIDGGNEWYENTERRIVEAKKNGLLYLGMGVSGGEEGARNGPSLMPGGSQQAYGNVQDIMEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNAELADIFDEWNRGELESFLIEITADIFKVKDEETGEGELVDKVLDKTGMKGTGKWTVQQAAELSVAVPTIAASLDGRFLSGLKDEREAASEVYSGIGGVTSSVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSDVKGWNLNFGELARIWKGGCIIRAVFLDRIKIAYQRNPNLASLLVDPDFAREMVQREKAWRRVVGLAIAAGVSTPGMCASLSYFDTYRRARLPANLVQAQRDLFGAHTYERVDRPGAFHTEWTKLARKSGSRVAALK >KZM85912 pep chromosome:ASM162521v1:8:29879730:29881214:-1 gene:DCAR_026666 transcript:KZM85912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNLHSTLLVLSLFFSLSIADDRAILSKLSASLTSSPSGWTGTSYCQWTGIKCDSSNRVTSINLASKSLSGTLPAELSQLSQLKTLALQRNSLSGTLPSFANLTTLQELFLDSNNFTSVPRDFLLGLTNLRTLSISDNSNLSPWKIPDYLSESTDLGTFAASNSGIFGTIPDIFDSFQNFQNLRLSYNNLNGVLPKTFWGSGIQNLWLNSQAIGLSGSIDVLSSMPQLSQVWLFANAFTGPVPDLSKCLNLFDLQLRDNHFTGILPPSLTELPKLINISLQNNKLQGQFPEFKSGVNATLGDTNSFCRSSAGPCDPQVNVLLAVAGALSYPISLAEAWTGNDACAKWTFVTCDSRGKSVRTLNFAKQHFSGTISPALSNLTSLQNLLLSDNNLTGSIPESLTTLDQLVALDVSNNNLTGPVPSFAPTVKITANGNSFIGKDVDSEDGNGKKSGSGSDDGVQLSDNVASMERSTWSVTATIVITVLLMFMRVC >KZM84400 pep chromosome:ASM162521v1:8:13924353:13924917:1 gene:DCAR_028178 transcript:KZM84400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVSSVRLNLVTLGRSKILMLPFPFLTSHRSRAKDNKAGVDFRRAKDNKATEAHRSRRKKQGRDGSDED >KZM84722 pep chromosome:ASM162521v1:8:18217038:18217208:-1 gene:DCAR_027856 transcript:KZM84722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFDSKAIKIFVVMNGAGQLEMACPYLAHEHGQGQQGRGGVQEGQGQQGHGGS >KZM84188 pep chromosome:ASM162521v1:8:9968021:9974784:1 gene:DCAR_028265 transcript:KZM84188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVVPVAAVGALGYGYMWWKGLSFSDLMYVTKQSMSNAVSNLTKHLEHVSDVLAETKRHLTQRIENLDGKVDEQIEISKLIKNEVSDVRADLSDMGYDLDTIHAMVSGLDGKILTLERKQDLANKGILYLVNFVDGKRTSSPELLQVQEQLKLSGKAQGFLTASEESSPKGLKELTEALLSENSTGFKNNGNVEDLADARRRLVSLNPAVDSQLQITSLRHQQPSIDNIIEGLEMTFARRQILFYLAGSTLEVYNICTSGDA >KZM85142 pep chromosome:ASM162521v1:8:23067439:23070970:1 gene:DCAR_027436 transcript:KZM85142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKELKSQMVPEWTEAYMGYDSLKNLLKDINHFQERNRTAPLATNPPGLSRKLTLYRAFSGLTQRTVSPRTHDSESQPILVNSVKRSNGEEGYETRFLMVADEGGEYELVFFRRLDDEFNKVNKFYKGKVQEVMKEAAELNKQMDALIAFRIKVDNPKHVIFESQVEMNRLAAAAKASSEAAALTASASTAMRSRRKVDHMDVIDEDHSNTGQRSDDSFSNDAISGGVSKTRGITFRPPPLEILSHVTVNNSIETPRSTIRSVLNIPIQTDLKLTKENLSKVEEQLKRAFTEFYQRLQLLRSFSFMNMLAFSKILKKYDKITLRNASKSYLKLVDNSYIGSSDEVPKLMERVEAAFVKHFSNSNRKKGLSILRPTAKRQRHRTTAFLGFFAGCTVSLIIALILIVRARNIIQESGRDKYMNTMFPLYSLFGFIVLHMIMYAANIYFWRRYRVNYPFIFGFNQRTALGYREVLLLAFGLAALATGCVLANLDMEMEPKTGDYKAITELLPLVLVILVLLVVILPFNIIYRSSRYFLLTCIFHCICAPLYKVVLSDFFLADQFTSQVQAFRNLEFYICYYSSGDYKRRENSCKDNEVFNTFNFIVAAIPYTWRLLQCLRRFFEEKDNWQGLNGLKYLATIVAVTTRIAYSRDKSAGWNIVAWIASIIAAFSSTYWDLVWDWGLLGRTSKNRWLRDKLLVPHKSVYFVAMENDMHLFQTTMSIVK >KZM84772 pep chromosome:ASM162521v1:8:19026537:19027163:1 gene:DCAR_027806 transcript:KZM84772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLGLYYLFFVLFSFHFVTNNAAGSAVAVNSKNGATNFIRLSCRATLYPVLCFQSLSMYATKIQQNERHLAEAALSVSLAKARFTAMFVSKLTKVSGIRPREFRAVKDCIENMGDTVDQLSRSMKEMSRIRGNQDFMWHMSNVQTWVSAALTYENTCFDGFSGNFMEGNVKAAVKRRIVTVAQVTSNALALVNRFAERHQAAATNMP >KZM84327 pep chromosome:ASM162521v1:8:12509080:12513817:-1 gene:DCAR_028379 transcript:KZM84327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENGEGIFEGLPPPTNDNTTHSNSNQSITKTLIQSNTSDPNPNPNPPAPPPPPALKSALKRTKHNPSPQLQQQQQEEEVNVPQKRLRFKTTTDASEVQVIEAMKKIASHIKTSSKVGKASKLAIQLITAGSIKPATSDYFFAILESAMWSQTVCNDPSVRADYHALFSATQDVTECFNKKQRNQIMAWTIRAVVANDLFTDDSFVFSKASGRIKEAILQLPLATEEEDIEESAALTETEKLDADNQSKEETPSASFENSKKEEFDPFGLDALILNKNDEKAKGKKDGAAKYRKGEDDENKRFLKCQREALVLCLEIAAKRYKLPWCQTVIDILVKHAFDNISRFTSKQREAIQKLWASIREQQARRKQGKSVSGKLDVNAFESLQEKYANQKISIRRAVGGGGSRRAAQWLG >KZM85706 pep chromosome:ASM162521v1:8:28021408:28025937:1 gene:DCAR_026872 transcript:KZM85706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNHTPSSRISRISCKFSSFSTSSSKNGINGAEFKTPFAQDHNFQIPFLRNSRIRCNSSSFSASGSKNEIDGEELKTPFGENDNFAADSLLSSSSNSSPYPGGIGPFTGRDLSVKKPEWLRQKAPQGFKYEEVKETLSRLKLNTVCQEAQCPNIGECWNGGGDGISTATIMLLGDTCTRGCRFCAVKTSRNPAPPDPAEPQNTAQAVASWGVDYIVLTSVDRDDIPDGGSGHFAETVKALKTLKPDIMIECLTSDFRGDLNAVSTLVHSGLDVFAHNVETVKRLQRIVRDPRAGYEQSLSVLKHAKADKEGMITKTSLMLGLGESDDELKEAMADLRAIDVDILTLGQYLQPTPLHLTVKEYVSPEKFSFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVKESIRRTSTQ >KZM85205 pep chromosome:ASM162521v1:8:23698595:23700073:-1 gene:DCAR_027373 transcript:KZM85205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTTANNHHETTVPPTIIVEQCSSCNSSKRKPLHHFLDTQQNDHHLSKKPNLSQLYSQFTNIHISSPETLRRAFSAPTSPPMQPFSNPLTPENNTNKSQTVLPRCASDPTPVLGFPVSSPESKIGNSSGNWTVKANTAPLPPRWNPMIRRRRRIEAARQMRRKREETRSQEKVKKMREIIGVTSRWLRRIAQDDQEKEQEQEGSQDTRVVQIPKEGENVGEKCDPETEEEAVSVEKIGECLVLQFKCNCSKTYQILLYGDVCYYKLM >KZM86128 pep chromosome:ASM162521v1:8:31593217:31596043:-1 gene:DCAR_026450 transcript:KZM86128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLERYQKCNYGAPETNVSAREALNKMAALSQVLTSTMHARLVPLEVASQQEYLKLKARYEALQRSQRNLLGEDLGPLNSKELEQLERQLDMSLKQIRSTRTQYMLDTLSDLQRKEHALNEANRTLKQRLMEGHHVSPFQWTQGNAQDMGYGRQSHGQPQGDAFYHPLVDCEPTLQMGYQTHEAMNAAAAAAAAGPSVNNYLGAWQLQ >KZM85498 pep chromosome:ASM162521v1:8:26317634:26317960:1 gene:DCAR_027080 transcript:KZM85498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPGADSLDGSRHRKTLVHVASNEVITSYAVLERKLMMLGWERYYDDPDLLQFHKRSTVHLISLPKDFNKFKSMHMFDIVVKNRNVFEVREMK >KZM84955 pep chromosome:ASM162521v1:8:21118781:21122442:-1 gene:DCAR_027623 transcript:KZM84955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCVIQCSANNMIMPLSPINFLEQAALVHAERVSIVYRNTKFSWRETRQRCLKIASALHVLGVNRGDVVAAVAPNIPALYELHFAVPMSGGVLSALNTKLDTTMLASILTQLEAKVIFVDSEFVEVVHSAFETLSLAQTKLPILILIPDSDQEVSHFEKLPNGCLNYSSLFAMGQNDFQVVKPKNEIDPISVSYTSGSTGKPKGVIYSHRATYLNTLAAIFRFEMRKFPVFLWTVDMFRCNGWCLPWAMAALGGTNICTRNVTTEVIFDAIELHQVTHLCGAPSILNKIAEAPANYTRKNAYKVEAVIAGALPAIEILSRVQELGFNITYGYGMTEALGPVIVNKLSNAELSMSTISLKFRQGIMEEVDVKDSVTMKSVPSDGRTIGEVMFRSNTMMSGYLGDSDMTRKAFEDGWYRTGDLGTRLPDGCIQVKDRAVDVIKFRKEIIGTLEIEAVLLNHPMVLEAAVVARPDSVNGETPCAFVKLRDEYDDNVSGEEIIKYCRELLPLHMVPQMVFMYDLPVNSTGKIQKFVLREKTRTCRI >KZM84131 pep chromosome:ASM162521v1:8:8578138:8589592:1 gene:DCAR_028322 transcript:KZM84131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNEGSGSPSWRASLFMPTAGDVARAVVAAATAATTPSSPSSPVLTSSNDESGSHLQKLQQQVSRLLRGLSDPPEVKNRAYNPEVLTKLKRQWASFQIRSLDHRIWKEPSKLIEGMVVVGLHPSCDIRPLQNLSFGRKSEGSSRSRTELELQSLVETNLEPQVLYVYPPEKQLPLTDKDLISFCLPAGVEVHAVERTSSMSELNEILLGQEHFRQSDLSFIFRMQVADLSTLYGCCVLVDEIIQESSGLLSAVSDRQHLRLPLSRHILTTRRCYCILTRVPCFELHFGVFNSMFTEERLERLTKNIGESDMDSLLLYDEENYSEDRQENLAEISASNSPEHGEKGMLNDTVQSLQRSQLCTSDIISKNAIDDNLYPEHRNLKEENSLSEVLDNNFVVPEAEKLQADKKSLGAAHLSEACDTPIADISINNNTSQMHLPISQHQLYESLESSSSFQGSPSEDRNFRNNFDETELEEASVSGQSSDHNDILEWAKANNHGSLRIISEYYQLSCPARGSTLKFHPLEHLNPLEFHRPDEAVLRIARSTMDLESCSNGSENAEVRSALMAEEESAALSVWAIACLCGSLRLEHVLTLFAGVLLEKKIVILCSNLVLPKDMQDFLDAPVPYIVGVKTQTDVLQSKLADVIFINANKNQKFCNRVNNGLFHDNTTHKSWTVYHDTVVPNKSRPNFRLDVAWTLTKNNNLTTTALWKQVRARAIPELPRQKELYSSLAPYHSKLVGESYLGRRRPVYQCTDIQVAAANSFLEVLRTYLDSLTSNLRSHTITNVQSNDDKVSLLLKESFIESFPSRDRPFMKLFLDTQLFTAHTDLMLAFFQKE >KZM85870 pep chromosome:ASM162521v1:8:29481604:29482154:-1 gene:DCAR_026708 transcript:KZM85870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVAVLQKTLPAPFVGIAVFVIKGKKVLIGRRLSSVGHNTFFVPGGHLEFGESFEQCAVREVREETGLDVENIEFLEVGNSVILNEPEPAHIVCIFMRGALVDGDQVPENVEPDKCDGWEWCDWENLPRPLFSPLEDYVQSGANPFSIA >KZM83848 pep chromosome:ASM162521v1:8:2196917:2210237:-1 gene:DCAR_028730 transcript:KZM83848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTAPYHFSQTPHLRNHFSNFSSPAAPYRRAPHAILPPKMSLASGTATPTIHTVTISYTQLKEKNLDLSSKIEEGFGPDSLGILSISDVPGYSLLRQNLLHLAPRLANLSDEVKKKLEDPDSRYNFGWSHGKEKLESGKLDMLKGSFYANPIIDVPTTEESLIKRYPSYCAPNIWPHAALPELEVAFKALGKLILDVGLMVAYHCDRYVSKEMNLHKDEGLEQTLIRSRCHKGRLLYYFPAKLSNSTQESDSMSSWCGWHTDHGSLTGLTSGMFMRDAVQVTCPDSSAGLYIKTRNGQIVKVVYGENDIAYQIGETTEILSRGHLCATPHCVRAPKGADATDLERATFALFMQPDWDEKLNFPEKVHIHQEVIPSLGSLTFGEYTEKLLDKYYHLKL >KZM84170 pep chromosome:ASM162521v1:8:9579822:9584041:1 gene:DCAR_028283 transcript:KZM84170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNEDNGMEETNGDSFGKVKQRFKDRTLKVAQTKEMLSKQAVKSKEMLSKQAVKSKEMLSKQADKIAKQAEEHERFINKVTHFLGVIAFGTFCFLLGARPQDIRYVYCLFYFIFVPLRWIYYRYKKWHYYLLDFCYYANTIFLVMLLFYPKNEKLFMVSFSFAEGPLAWALIVWRCSLVFSSVDKLVSVLIHLLPGLVFFTIRWWDPAFFEAMHPEGTAKRASWPYVEDRSYLWTWLFVVPLAAYTLWQILYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNLWWRLSGLLGDQNRMFMYILLQAVFTVATMALTVPIFLSYELHVIFQVLKVSASVWNGGSFLLEVMPRQVVLKEKKKSEKQPIPPAPQVQALVAEETPPPSPLDTVDMGEPLSQ >KZM84536 pep chromosome:ASM162521v1:8:15987055:15989032:1 gene:DCAR_028042 transcript:KZM84536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCSESPGRDEHALVTMGRNQTLTCPSCNHSIPLQDQVGVQHLPGLPAGVKFDPSDQVILEHLEAKVLHESQKLHPLIDKFIPTLEGENGICNTHPDKLPGVNKDGQIRHFFHRPLKAYTTGTRKRRKVHTDEEGGETRWHKTGKTRPVFVDGVLKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGDNEEEKDGELVVSKVFYQTQPRQCPGSSVKATDNESPSRLIRQDLDHDFSMKNSGLIEFYNPSFISYNNNVGGQNREMVQPQLIPNLTRNNLQIGANYKVGDAGSS >KZM84595 pep chromosome:ASM162521v1:8:16647715:16650031:1 gene:DCAR_027983 transcript:KZM84595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRSMSGTTKKPDAKKIVKSGTTKKVADKGCTTAVWSVIDDAYCFFMKDCKAKYYEKNAKYYEKHPRGRIIPEELRDVIMQKWRDMSDGDKAPYVECAEELRAQGLNYLTAVNDEQEVYYKAKFKTTKNIAKSGTAKKVADKGCIATIWSVIDDAYCFFMKDSEAKYYEKHSRRRIMVMFVLTGLLIFLGNISDDPDDETLKLMEIARLEVDGGGVKNGMEGIVVGILIVGKEGIVVGMVGSDAGKGGNVTLGIVVGIDGRDGIVGREVAGIGGSAAALGRLGIAGIGGNANLGRVGMVGSVGIVGIVGSVGIVGTVGCEDCSKWRAAKLTSMLEIDSAKTKTMKTLWFIGAIVDLMSRCNDQTLFKLITSNDAF >KZM85603 pep chromosome:ASM162521v1:8:27059508:27065856:-1 gene:DCAR_026975 transcript:KZM85603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAALFVSATKLAGILVTVSVAANVFSFDRYRKKNLNKIISPIDETADILAVFNVNPAEGEDEFFFGLATAPAHVEDELDDAWLQFAEKDPSNKEPIVQPADAIMGSAAGDGGSQQAPLPATDVKNTLKRRKPRKISMEAKIRGFGKYMKEEGPPPVSEESHHNVAAWHNVPHPEERLRFWSDPDTELKLAKETGIRVFRMGIDWTRIMPTEPVNGLKETVNYAALERYKWIINRVRSYGMKVMLTLFHHSLPPWAGEYGGWRSEKTVDYFMDFTKLVVDSVSDMVDYWITFNEPHVFCMLTYCAGSWPGGNPDMLEVATSALPTGIFNQTILSITTAHLKAYDYIHQQSNGSSTSKVGVAHHVSFMRPYGVFDVASVSVANSLTLFPFMDSVSNKMDYIGLNYYGQEVIAGAGLKLVETDEYSESGRGVYPDGLFRMLMHFHERYKHLNLPFIITENGVADETDLIRRPYMLEHLLALYAAMLKGVRVLGYLFWTISDNWEWADGYGPKFGLVAVDRLNDLARIPRPSYHLFTKVATSGIVTREERKQAWNELQRAAREKKTRPFYRIVNKKGLMYAGGLDEPSMRAYVERDWKFGHYEMEGPQDSLSRFVRWSLRPFSIRRKSKAYQDDDELIPQPL >KZM83794 pep chromosome:ASM162521v1:8:1673252:1675775:1 gene:DCAR_028784 transcript:KZM83794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSIMLGLTFVIVSSIITRITSDSQAEELLERYGIHNFYKEITFENPVLVCSYNQSVAEPRSSSAKRLIPGIVGGLCFLFLIIASALWYQLVRKAKLAERAPEYAIHGHLTEKVDTYSFGIVVLEIISGLHCTDVKIESVNNSLLDYAWKLDEDEKHLDLVDEKLDPNEYDTEYVKKIIDIALMCTQLPASRRPAMSEVVVLLTS >KZM84218 pep chromosome:ASM162521v1:8:10517559:10519220:-1 gene:DCAR_028235 transcript:KZM84218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSKESNSDVHAAARAGDLSGVQLICSTNPLAVNSRDKHSRTPLHLAAWAGQAQVVSYLCENKADVGAAAMDDMGAIHFAAQKGHLKVVQILISSGVSTKSANRKGMTALHYAAQGSSLELVKYLVKKGANLNAKTKAGKTPFDLASSEEIRSFLVESVCISKDSAADGEKKPEDVPKISMDNEEVTKTETAVSMSETVDLVKDESTKRKNDSEDESKEDFSAPKKPKISLNHLLASDDTQEEEDNL >KZM84642 pep chromosome:ASM162521v1:8:17307539:17307730:1 gene:DCAR_027936 transcript:KZM84642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKIARVFTICIMVLVAFFNLGASAALEDVAAPAPSPTSAGTALYVPAALAVVASLVAYIF >KZM84680 pep chromosome:ASM162521v1:8:17730874:17732143:-1 gene:DCAR_027898 transcript:KZM84680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVPDDPPPQPAATSPPPATLDPPPPPPSPPRFDPSRMIGIIRRKALVKDLAAAYHAECLAYCQELLELQRKCEESIIDVKVAEESRKEAMRPPKRLKKSR >KZM84907 pep chromosome:ASM162521v1:8:20727243:20727788:1 gene:DCAR_027671 transcript:KZM84907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFSLKRDREAEHFDESITNMANYFILLSRGNIVIDNSPTAARVFECKTCNRQFPSFQALGGHRASHKKPRLMGLDPLEDHEQEELSPAKPKVHECSICGLEFAIGQALGGHMRRHRGGGSGSPTVNEKINHLIPVVKKSNSRRIMSLDLNLTPLENDIEFRLGIEERRTDSLTITSGKE >KZM85582 pep chromosome:ASM162521v1:8:26887109:26887883:1 gene:DCAR_026996 transcript:KZM85582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAGLPWTDGLAWDHKGKCDIAQIIVSRSINCIDFIQFVYVEDEGKKLVVSEKIGNDVPIIFSLNTITLDYPHEVITGSGVKGKCEELSTGDSEGFQKLLRSITFVTNKRSYGPFEVEQTAGSEEFEFEYHVGFKQFGGFFGTYMLYGLETIGIYMKPMEKLLNSTSIKTE >KZM84317 pep chromosome:ASM162521v1:8:12164216:12164788:1 gene:DCAR_028389 transcript:KZM84317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLATATFREDFKKTKCFDRDIGAGPDKVLQVVALNKKIFKKEMCHQFMKVKCMGPPKCKYDEVMYVEIADGCTSDELLQDEDICLHKYVWDTLADTTNNPTRMIVDIEGPFKGNM >KZM85534 pep chromosome:ASM162521v1:8:26589254:26589508:-1 gene:DCAR_027044 transcript:KZM85534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETFVIKVVMFVVVQALVYLILSNSSNVFSDNKLIKSLSFKPARSLSISRMLASISDFPQSGEGSPLLTSSSSVEFSNLSCN >KZM83911 pep chromosome:ASM162521v1:8:3201385:3201956:-1 gene:DCAR_028667 transcript:KZM83911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLLYFFLCKYLKVSYNHLNLDSFPSFAREGVSGLRGMASRSVVDKRRQKKFISSSNPRTKIFIFGVWTCRRVVWPLFLSTWTTRDL >KZM84160 pep chromosome:ASM162521v1:8:9384676:9385648:-1 gene:DCAR_028293 transcript:KZM84160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGYKPKSVRKRKKYADKENISGNSRNRFSYPLSLLSPENHAPSWPIEATPGTIKQCSSSLPERGHGKKALFNRSTQKINCTARTPLSNITNIGDKETINDQRSKSRITRTNFGATTRSLFTDISSESEELDKEKYLPDDEIDPVFSDESSSDYLSGYSSTDEDYNPTMDVDSESG >KZM84950 pep chromosome:ASM162521v1:8:21101429:21102241:-1 gene:DCAR_027628 transcript:KZM84950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMKFNKRCTVRSTSFPSTLHPSACKIEEGLTRIRSWEAEASDVLTADTVCDGLCRLGELYKYTDEFLNLPLTQQALSHHQNEKCVNELMDKSVRLLDICGNTRDVMSEIKVHARELLSALRRKKGDSSAEFAAFRKAINKDSKKLTASLKQVDTKSSVLEIFDQDQHLGAVIRSLKEVTAASVSVFQSVLLFLSTPILKPKATTKWLQVSKLMHKGAVSSEDQQHDVNEVDRVKTLESDVEGIENGLESMFRHFIRTRASLLNIVSQ >KZM86015 pep chromosome:ASM162521v1:8:30783397:30783561:-1 gene:DCAR_026563 transcript:KZM86015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKGVWTAMEECQTLGLTKSIGVSNFSCKKLADILAFAKIPPAVNQVCWNFLN >KZM85288 pep chromosome:ASM162521v1:8:24416047:24416892:1 gene:DCAR_027290 transcript:KZM85288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPWWTGQVGLLPGMNPGGSPLMLNNKTQQDVAINNNNSGGDEEDEDRDNCDDPNEGAVVVGSRRPRGRPPGSKNRPKPPIIVTRDSPNSLRSHVMEIASGTDVAESIAQFSRRRQRGVCVLSGSGSVANVTLRQPAAPGAVIALQGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLVAAGPVMVIAATFTNATYERLPLEEDEEEGIVAGNGGASPPDIGSSGQHSGLPDPSGLPVYNIQANLAPNGGQMSSHDAYAWAHASRPAY >KZM83915 pep chromosome:ASM162521v1:8:3263554:3265158:-1 gene:DCAR_028663 transcript:KZM83915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPFGMKCKDAFLNVFQENVRLIRCPIGCVGSFVGVRGFSSSYTRGIGGGAGGNGGGNGKVLPWLAKGRFSDGKRVGKSVVAPKTSRSSWEESAETFLKGGAGVVENGDVRGVETRRGDFRKKVGGAAVVENQEGRRYENRRSDFREKVGSAASVENRESRGYESRRGDFRKRVGSDEEGEGGDEEEGKDPRWDNIKNRFGRIVDVKPRSERSEFRRWDQQEMWGKKTWKEAAESTLPKMTGEGVYGVGPVLAALSAGRREFYTLYTQEGLDLSTNNKKKKDKKGFEKVLRIAEKLGLSKKEISKHDLNMISDNRPHQGLVLDASPLEMVSIKELDPMPADEGNGLLWLALDEVTDPQNLGAIIRSAYFFGAAGVVLCAKNSAPLSGVVSKASAGSLELMELRCCKNMMQFLVSSAENGWRVLGGSVAPKSAPLNEVASGLPTILVLGSEGTGLRPLVERSCTQLIKIPGNIPVDVSAAVEDDETLETNPKCSAEEFRSFLAVESLNVSVAAGVLLHHLIGSNSVSQIQEAEN >KZM84760 pep chromosome:ASM162521v1:8:18879720:18880723:-1 gene:DCAR_027818 transcript:KZM84760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSGEEKPEDYLFKIVLIGDSAVGKSNLLARFARNEFNPNSKSTIGVEFQTQKMEIKGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRQTFDSIGRWLNELHTHSDMNVVTILVGNKSDLEDAREVSSSEGKSVAEAQGLFFMETSARDSSNVTAAFQTVVKEIYDILTRKVMQSQDFKKPEPGNGKTVVLNPDDKQDVGEAPKTSGCCSS >KZM83852 pep chromosome:ASM162521v1:8:2237313:2240062:-1 gene:DCAR_028726 transcript:KZM83852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCLEAQSCSPQCSILLFLLYNCSRLLDHQDEVYNIRIQDENSLDSRWTKLFNKGKLDKKCSFLAANIECDIFGAVRVPASFHDRYGDFLPSQLGIRCKKYLWPAKYDKAVRKIYDIGKFMRYYGLTVYNVALFEYYGDGLFEVQIFRDTAVECLYPKMHPTEFFKTTGKYYDEEDYILDTKSLELEKQISLLCFNACANKTDFVEMCLSEQNLNPHLQNLELDPSWEKFYNKWDDGSKVVLRLERTHWEVFVSWQNNRCSFGRGWVDFARESGLQAGDNLLLFKHNTDEENILNFCIFKAEAWSDACVEGTSKAEHSFYKMVYPDAAKEGHFVLPRLFSKKYCSRLCRIRQVDVDDRSWYIFYNVPNGYIYNLEDMLKHFKVIEKEAIVFSMNSSNVMTARIFQKDGMEIAYKRRIRSAKYLGDEHWFLKPDLRSDYDLEEDSEHGSESSGGNAGVGNDMAENDLQFTITVSTLLIDKKTHGPFIPVAIHPPNRAWKKGDEVEIRTEKGSWRLGMVLHGNRARMSAGWNKFARDNEYQVDDVLSWQLIEENGTDVFIVTKVAPV >KZM85146 pep chromosome:ASM162521v1:8:23159275:23159484:1 gene:DCAR_027432 transcript:KZM85146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVQLRGAGDAGVVAANAGGAAGYIGVMRPNNNGEDIMEMKKKMESLEEKLKEREEKL >KZM85109 pep chromosome:ASM162521v1:8:22797147:22799831:1 gene:DCAR_027469 transcript:KZM85109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNIIFNRSRPLLLRTLKPIFSKPISTSPFLSQQPQPLSPDPPTPAPSSQTSSATWTHPPGSTHSLVPLDFLQTHVVKLERIAESLDLESIKELFADWMTLQKWHDMKKVFEHWSSCYDKNGKLNKPDVGLYNHYLKANLMLKASPSQLLELVSGMESLGNLILKTMYLYKEVDTAVQLLDRMIESEKETDKGSEQDVVCRPDDESLDLESIKELFADWMTLQKWHDMKKVFEHWSSCYDKNGKLNKPDVGLYNHYLRANLMLKASPSQLLELVSGMESLGNLILKTMYLYKEVDTAVQLLDRMYVCRVGGG >KZM85831 pep chromosome:ASM162521v1:8:29153059:29155931:1 gene:DCAR_026747 transcript:KZM85831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKFVQCCMFISICLLCLLDGSFCEDQQYEDCNKPVYCGKQNVQFPFYIQEAKSCGSPGFELSCEKNDSLILEVSDDKYQVKEIFYSNNSLRVSNLLSSDGDLCSLPKIKNLELPSGGQFQLHSTLNLILSSDCDFESGQNFSSYRVGCDLKKNDTDWVLVMRTNDTNIDYAYGACKSVILAPVDDHSGDDNDYLKLLRYGFILKWSNATNCSDCEASGGNCVPDGEPVNNSRNCNPLVPGACIFVLLGVSCILWRHKKLKHRSLDKNTSSDIEYGTYFGVHVFSYKELEEATHNFDPSKAIGEGGFGTVYYGKLRDGREVAVKRCYENSYRRVEQFMNEIHILTLLRHRNLVLLYGSTSHQSVDINRQRNNINLANLAIDKIQRCALHELIDPDLGQETTTARMTTSVAALAYRCLQLDKDSRPSMDEVLECLEQIQDIELPKNAASPLHEGEDRKLINSPVAVTDKWLSTSTTLSTSK >KZM85692 pep chromosome:ASM162521v1:8:27905203:27905379:1 gene:DCAR_026886 transcript:KZM85692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETSRKIRRRRGRREREIGRETEAEGEMIAGGGGEAARPVKEEREETGEGSLVTSQM >KZM83734 pep chromosome:ASM162521v1:8:911047:912250:1 gene:DCAR_028844 transcript:KZM83734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTVAAAASSFIGTRISDANSNSGKVQARFGFGAKKKAAPKKASKIVPDRPLWFPGAKAPEYLDGTLVGDYGFDPFGLGKPAEYLQFDYDELDQNVAKNLAGDIIGSRIESIDVNPTPFQPYAEVFGLQRFRECELIHGRWAMLATLGALAVESLTGITWQDAGKVELIEGSSYLGQPLPFSITSLIWIEVLVVGYIEFQRNAELDPEKRLYPGGSFFDPLGLAADPEKKATLQLAEIKHARLAMVGFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFSSS >KZM84379 pep chromosome:ASM162521v1:8:13478770:13479282:1 gene:DCAR_028199 transcript:KZM84379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWLPNIESKTKPNEVENDDLELQEEDMWLGFMEKSEDPKEPVKKLPKPVSFSWPPSKSLSAWGSEASATPKMNLEGLKSWEKKSKKCGVEKPIAGVKIPEKTKKCVADDVGGDEMIPPHEILAMRTASRRMTAVVAYSMVEGIGRTLKGRDQCNFRNAVFLRTGYLD >KZM85601 pep chromosome:ASM162521v1:8:27050800:27051237:1 gene:DCAR_026977 transcript:KZM85601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIVDTLNTAYQEYVAAAAGALEAKELAGAQNTPATDAALENFKQRWELFRVACDRAEEFIESVKQRIGSECLVDEATGSVTGKTGQSAAPGLPPISAVRLEQMSKAVRWLVIELQQGSGTAGSSSLTHPSAPFDARFSEDPAQ >KZM85805 pep chromosome:ASM162521v1:8:28831716:28833349:1 gene:DCAR_026773 transcript:KZM85805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRLDIFYRLQGLRMSIHSFVQVPYSDIVCKRVVLSCDAVQNELYMRFKIKLQEEYQKKKGQSVTA >KZM84368 pep chromosome:ASM162521v1:8:13163364:13163519:-1 gene:DCAR_028210 transcript:KZM84368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVVGGGCYKIRREKKMCGDSGAWPCVCVMKEAGRRTDAATSGGGRRVT >KZM85525 pep chromosome:ASM162521v1:8:26512313:26519994:-1 gene:DCAR_027053 transcript:KZM85525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQASGVVHDFNVDVLVHYCSQHVQAFPLAPTHVKVSQFGHGQSNPTYLLEVSLGGSSVKQYVLRKKPAGKLLQSAHAVEREFQVLNALGAHTLVPVPKVFCLCTDSAVIGTPFYIMEYLEGRIFLDPKLPGLAPNRRRQIYSATAKALASLHSADVDAIGLGKYGRRDNYCKRQVDRWAKQYLASTGEGKSPRNPKMLELVDWLQQHIPSEDSSSAKAGLVHGDFRIDNLVFHPIEDKVIGILDWELSTLGNQMCDVAYSTLHYLVDVDVDKLQNEGIEATGIPEGIPSLPEYLADYCSASGKPWPVDEWKFYVAFSLFRGASICAGGNASGGKRAQNSGLKGDALIGAAWSFIEQKSVLPQHPPSDRFARGHRGQFNNSEDQNALSGGFVPTKKVVELRDRLMKFMEDHIYPMESEFNKLANSSMRWTVHPDEEKLKEMAKKQGLWNLWLPLDSAARARKLLFDGKNDNKAFDQLLGAGLSNLEYGYLCEVMGRSVWAPQVFNCGAPDTGNMEVLLRYGNKEQMQEWLVPLLEGKIRSAFAMTEPQVASSDATNIECSIKRQGDSYIINGKKWWTSGAMDPRCKILIVMGKTDLSAPKHKQQSMVLVDIQSPGVQVIRPLTVFGFDEAPHGHAEVHFENVCVPAKNVLLAEGGGFEIAQGRLGPGRLHHCMRLIGAAERGMELMVQRALQRKTFGKFIAQHGSFQADLAKCRVELEKTRLLVLEAADQLDRVGNKKARGILAMAKVAAPNMALKVIDMAMQVHGGAGLSGDTVLSHLYATARTLRIADGPDEVHLGTIAKLELQKASKL >KZM83993 pep chromosome:ASM162521v1:8:5095941:5096183:-1 gene:DCAR_028585 transcript:KZM83993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAAKGDLYDETIKSNGQLAPAEISVEKGKDVMWKDVLTDSEEDRLALDIPQFADFLNSVPSTFEVGESSGQGDSEAWT >KZM86022 pep chromosome:ASM162521v1:8:30818059:30826823:1 gene:DCAR_026556 transcript:KZM86022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKFAIRASASSRNRSSRRVHTESQSQATSPMGAAKEIASFIVPAGTFVIITFVLWKLVEMVLMPKPTKKVTEENKSSSSQGTKWSFAAGTNLMSGFGAKIERESKQTLNEFAKELRAFAVVDMSGRNFGDEGLFFLAESLAYNQTLEEVNLSANGITSNGLKAFDGVLQSNFTLKTLDLSGNPIGDEGAKYLSDILVNNNGIQKLRLNSTGVGDEGAKAIAEMLKANTSLRFLELNNNLIDYSGFSGLAGSLLENKTINAMYLNGNYGGALGAASLAKGLEGNKSLRELYVNGNSIGDEGVRALVSGLLLHKGKLTALDIGNNAISSKGAHHVAEFIKSSKSLTWISIYMNDIGDEGAASMADALRENRTITSIDLGGNDIHAKGIEGIARVLKDNTVISSLELGYNPMGPEGAKALSEVLKFHGNIKTLMLGWCQIGAKGAEYMADMLKYNNTIATLDLRANGLRDDGAICLARSLKVVNEALVSLDLGFNEIRDEAAFSISQALKANEDVRLTSLNLSGNFLTKLGQVSSDSEITDQVKPLTYADCQGLCQVRCGLHSRKNVCMRACGTCCARCNCVPPGTYGNREACGKCYTDMTTHGNRLKCP >KZM83653 pep chromosome:ASM162521v1:8:129865:135679:-1 gene:DCAR_028925 transcript:KZM83653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGLPVPEWERSSKLQIKNRKFGLGFGVSKQVGVERGLPRDSYAGGSRTLSVGTTQDFGGHKSYGPGGDGAISDPGGSGGSNGGPGSAPIVIPKSIMRDELVQAPPQPNPRVPFFSDHTLRLCGKNGLYLDAARKRERSPELQIKNRKFGLGFRVSKQVGVKTALPRGSYAGGSRTLSKQVGVERGLPRDCYAGGSRTLSVGASHDFGGKKSYGPGGDGATSDPGGSGGSNGGPGSAPIVFSKSIMRDELVQAPPQPNPRVPFFSDHTLRLCGKNGLYLDAARKRERSLELQIRNRKFGLGFQVSKQVGVKTALPRGSYAGGSRTLSKQVGVERGLPRDCYAGGSRTLSVGASHDFGGKKSYGPGGDGATSDPGGSGGSNGGPAVLAVPFFSDHTLRLCGKNGLYLDAARERERSPELQIRNRKFGLGFRVSKQVGVKTALPRGSYAGGSRTLSKQVGVKRALPRDSYAGGSRTLSEQVGVERGLPRDCYAGGSRTLSVGASHDFEGHKSYGPGADGGGCGADADADGGGCGAEQQ >KZM86080 pep chromosome:ASM162521v1:8:31230286:31243167:-1 gene:DCAR_026498 transcript:KZM86080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFRNWKFEYEFTYRHGSFGLFPAVQHPLQCAHTPIQTVVQFVPMGRTIALELKCARNVQGIAVDPQPDWSLDSLLLEINSIENKLNLSLSSRELLPGKGIKRNPAFVMRVSDDEMENEECNADSDDSFDVESRALVVGTRFACDDDFSDSEDSEHELVLNSEPCLMEKVGLVEGALSELTHEHRIVVMEEVRNQISTLEADLADKNEKFTSAIARVEKHSEARREMEKKLDLQYQRTVAEDLDKYLTDVQRRHEQRSQIEERRIRDDAAVEEAKRRQEEKHRQEKIKAEAEMQARLEAEKKRAEEVKALESQRAAKEAAEKLAAENSKKAAALAISPEATAQIERTQADKAEKAASAPYRLLLHHKVTSLTELVKVSVTNLFVGSFLKGAERALKMEELRSQIYKEVAAEAEALRRNMDLRSHEMQIARRIRQISGTTESVRGKAMELVTLISNSTSPRSSTAIFAEKIVSQCVNPSGSFSKSVYAYAQVVVLVTSKVPQTMDILLAELNRVCIYTVPKYMKYSESIFKTKEAYYKAIGFKEENGKLENRDTYVERVRSCMKLYGALVQTEAEGVKNPHGIEEGWAWIARCLNALPANLYTAVSLQAFLEMAGFALYRKYRSQFKKILNIISRNFITALKQQPDLANVVMNIQVYIDSKKFLEEPDGRLTCFALSMANFGDEDNVDGLSDVDDEVPALAGVGRELPENAPMANFLELEAREVAETLKSERDEALREKKEALKAIEELSAELFEAKKVRDEAIRAKDCLRSEIETAAQMLVIGIDKISGKIINFSSFAATGLPRSQKYTGLQAVAYGVIKRTNEIVEELLRRIDLSSRSALEAREQMEQRSYEIAIEFSQLEATLGGLSRELAEKNSYVEKMENHVARKDKRISELERDVVERQTLADSEVADLRKVVDEFREQRSLMVHQSRYLPKIYDQLNEVIKIAGGDELVTSLFLTRETDMEGNICACLRGIELIYELSKTAVKSMRDLADERNLEVKRLNDAMSLLLKERDHIGGLLGSALSRMAPDLVCKTNELSKSEESLSGNVALEGVGAPLIMDKTVSQMAYSLEKISKQLELEMIELKHIVDKLSLIYLTSVCSALDITAFRIQGREVLTHAAGRISGRGAHHCKQNIKEIEEKERAANENVEGLMMDIAAAEEEITRWKVAAEQEADAGKAVEEDFVAQLSTLHRELEEAKKAVAESEKKLELKDQIADAAIAARDAAENSLRLADSRTSRIRGKVEELSYHLEQLDNQETYRFSRNRPRYVCWPLQWLGLKYIGVHRPDRQEETSNEMELSEPLM >KZM85096 pep chromosome:ASM162521v1:8:22691436:22692046:-1 gene:DCAR_027482 transcript:KZM85096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSWGLVSFQKPNITFGIHKLIIRSFKNFSQLFVYKEEMEKEMEIGLPTDVKHVGHIGWDGSNSLKSWENLKTPEIISFSSVSLKQFELAMAAQVDQAPLAGEATSDHYPNTTMMY >KZM84010 pep chromosome:ASM162521v1:8:5322952:5323299:-1 gene:DCAR_028568 transcript:KZM84010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHADSSHHTPNKRRRGAVPAAAADSFSGEVWRNFTDSFREVQSVLDRNRVLIQQVNENHQSKMHDNMVKNVELIQEINGNITRVKVLYSELSSSFSSVLRNGRDGAGGGNDGEE >KZM85588 pep chromosome:ASM162521v1:8:26935336:26937119:-1 gene:DCAR_026990 transcript:KZM85588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKNQEILNMSDQNLDPSSSVTPPFSGEEIITPVTYPPPPNIFDMPDIDVEKYDFMEMLRTQNYYFPPTTSIFDLLGAPSPAIGPPQPQQLTAAAVGTSSDMPVLTPVTPNNSSSISSSSNEAVTEDQISIKTGEDDEEHKPEQKNKRLISEPRKKKPKKQREPRFAFMTQSDIDNLDDGYRWRKYGQKAVKNSPFPRNYYRCTSAGCGVKKRVERSSEDPTTVVTTYEGTHTHICPVNAPRGSIGMFPDAYSFRGLGAGAGIGGGGLMSSGIGSSFSGGIGSSFSGGIGEGIGGGMSINAGYRVGGIGSRLVEGGGIGGGSNIGNVSGTGSGSGSISDINSHYAAEQLARYQQRMQQQQQQQQQQRQPYSLYNSIINPSLPSYNFGITNAPAATATTTTTTTSANAAIDSGSFSNRMLQDRHNLLPMTPNQQLTMFRDQGLLQDMVASSQIQSEKKEDKK >KZM85838 pep chromosome:ASM162521v1:8:29194729:29196429:1 gene:DCAR_026740 transcript:KZM85838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNNMSVENFSSNFLGLLATSSDNFSHHYERAPQLQIPTTIDNIQSSFYPYHAQVYDPSANFLSTIDNIPVSQEESIVNSTPLIYDTGNLTGDGKASRNCNHGGRKRKRNNEREVEKPGEVVHVRARRGQATDSHSLAERLRREKINEKLRSLQDLVPGCYKTMGMAVMLDVIINYVRSLQNQIEFLSMKLSAASLFYDFNSSEMDALETMQGTNGHVESQVMERMVGDDGYGGFPQFQSWPL >KZM84243 pep chromosome:ASM162521v1:8:10835875:10836189:1 gene:DCAR_028463 transcript:KZM84243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSDGSVRICQRCFSVTVWGVRYHVLSLPDEVVEEMDFETHLEVQFLTMNCYLHQERLREEAEARRLAAIRRREWIIRFAGMMSSILHKQEEEEKKAEEESSS >KZM84916 pep chromosome:ASM162521v1:8:20831009:20832058:-1 gene:DCAR_027662 transcript:KZM84916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSILNTCATISARTGAGDLLQVRLDRSPKLSDGAGKPKLEILASAPFVWVPFEAIVPNVTNYYKILGSAKTVPIRMVNRQCSSGNQAVADVATAIKAGFYDIGSVTLEQSIQG >KZM84963 pep chromosome:ASM162521v1:8:21168524:21169678:-1 gene:DCAR_027615 transcript:KZM84963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSTAPSNGKKTAAEALKRAPHEKPPFTIGDLKKAIPAHCFEKSLITSFRYLIQDLLMAYALYYVATNYIDQYLPHPLNYLGWAAYIAVQGCVLTGAWVVGHECDHDAFSDYGWVNDLVGLVVHSSLMVPYFSWKISHRRHHANTQSLENDEVYVPRFKYNIRNYYKIFNNPPGRVLVWVTTLLIGFPLYLMFNVSGHKYERWTSHYDPHSPLYTERERKQIIVSDVAILTVIYGLYRLVLIKGFAWVFCVYGGPLLVVNGWFTLITILNHTHPSVPYYDSSEWDWLRGALCTVDRDYGILNKVFHNVCNAHVCHHIFSMIPHYHGLEATEAMKPVLGDYYQYDGTPILKAMYREMKECIYVEKDEGETKGVYWYRKDI >KZM85265 pep chromosome:ASM162521v1:8:24208891:24210270:-1 gene:DCAR_027313 transcript:KZM85265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSQIQTVIYCSKRHGIQMRIRGGGHDYEGLSYVSKVPFVLLDMNNYRSINVDEVAATAWVQSGATLGELYYNIAQKSRTLAFPGGVWYTVGVTGLISGGGYGPLRRKYGLAADNVVDARLVDVNGRILDRQSMGEDLFWAIRGGGASSFGVILSWKLRLVYVPRNVTIFQVDRFLEQNASEILYKWQFVAPRLPKEVDLRVAASPYWKNMPNPILRTVLSSGSRSNDGDKTVSLRFYGSFLGPRDQFFALMSKSLPELGLKEENFMEMNYIQALLMSSLFSPLDSPTQLLGRSLYSIPFKAKSTFVERPISRDDLDGIWKILLQTDPPTANMRFTSYGGLMDEIPESAIPFPHRAGTLYMTYMRVTTDGDAAKSLRWIRNIYNYLMPYSNPFQTAYVNYNDLDLGVNNQNGPTSYEQASAWGRKYFKQNFDRLVAVKSVVDPDNFFRHEQSIPPLPI >KZM85576 pep chromosome:ASM162521v1:8:26870909:26872603:-1 gene:DCAR_027002 transcript:KZM85576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMPSKLSNSRNIVELYSSSEDLDLDLESEQCGKRKKQINLNAAGPANSSGFGGFEDLYFDAGSPQVQSCQVQSCQDEIVEVGMVKFEDSELGESEKQKAEKFNLDSLGLLKNYGYRFRHLKGEKIEVAGCGVERDKGSERRVSTDAIIRQAGESFINSSVKRCNGFSDASHTNKLVGLSDKEAKDVELVGNLLAAAEKVGQKKLRRARKLLRKCSEWACDDGNPVQRLVYYFAAALCEKIDQHIGREMSKSLEKKVELLDFEEVVMSQKSANIAFLKEVPFAQVSQFTGVQAIIEHVAEATRVHIIDFFIMNGSNCIVLLQALAARDEHPIEHLRITAVGTKSQERLEETGKRLMTFAESVNLPFSFNLVMVADMLDLNEGLFKLNAEEVVVVQSSYLFSTLISKSDRLEYVMRVIRDINPSVMVMIENEGNHNSSVFVKRFVEALFYYGAFFDCIEDCMERSNPDRLLVELIHFSPAIQNIVVADGEDRITRQVDMSVWREFFKRFMMVELELSKSSLYHARLIVNKFACGSSCTLDRDGKCLIIGWKGTPIHSLSAWKFE >KZM84695 pep chromosome:ASM162521v1:8:17821431:17825589:1 gene:DCAR_027883 transcript:KZM84695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSFLTSLGTSFAIFVVLMLMFTWLSRKQGNAVVYYPNRILKGMDPWEGRSGERNPFAWIQEAINSSEQDVVNMSGIDTAVYFVFLGTAVGILVLASLVLLPLLLPVADSDPGETASNGTFNELDRLSMGHVQPGSQRLWAFVFATYWVSLVTYYLLWNAYVHVSDLRAAALMAPIVRPEQFAVIVKDIPTLPQDQTRKEQVDTFFKEIYPDTFYRSMIVTDNKQVNKIWEELEGYRKKLAHAEAVYAKSRSGSSPERTRPTCRTGLLGLLGEKVDAIDHYHGKISELITKLEAEQKVTVREKQQSSALVFFSSRLTAASAAQNLHSRIVDTWNVMEAPEPRQIIWSNLPKSFYERQIQQYVVYVIVFLTIVFYMIPIGFVSAFTTLSNLMKLLPFIKPVVEENSIRTVLEAYLPQLALIIFLALLPKFLLFLSITEGICTESHAIRAASGKYFYFSVFNVFIGVTLGGTLFSTFKTIEKDPNFIVPLLASSLPGNATFFLTYVALKFFVGYGLELSRMIPLIIYHLKRKYVCKTEAELKEAWAPGDLGYGTRIPADMLILTIVLCYSVIAPIIIPFGVIYFALGWLVLRNQALKVLVPSYESYGKFWPHIYTRMMAALILFQLTMFGYFGVKEFYYTPLLIPLPICSLIFAYICKQKFHQFFQNTALEVVRHEVKDLPNMEHIYRSFIPPSLASDKLDDDQFDDAMSQTSRPGSSV >KZM84152 pep chromosome:ASM162521v1:8:9198570:9199597:1 gene:DCAR_028301 transcript:KZM84152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKYNDSMRGPGISAKSSADEYDWDTIKKNTFAGKEWNTTGVLQNQGNEWNTNDMHQNQGKNQNVLSGEEIIIKNDMIKDKYSLLESLLDSYHSIQSTLMSHIISAEKESNKDEKIEDIKFAFVEPNDEANHFILNSGTTND >KZM84320 pep chromosome:ASM162521v1:8:12372754:12375465:1 gene:DCAR_028386 transcript:KZM84320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTSQNFTSTKFAFFHYIPSAHGSSCVSFSGFKTSQSQSPRKLQHFLHLNAGPRRKLSVIQASTEETTSTYDGERWLLQPVGDGDSRHIGFKVPMPDAYEISSTVVTVGRVPEKADLVIPVATVSGEHARLQMKEGSLVVTDLNSTNGTFIDDKRLSPGVPATIETGRYLTFGDTNLAIFRVSKLPKSVASEPETDVKVEAEVETESATN >KZM84365 pep chromosome:ASM162521v1:8:13083235:13085178:1 gene:DCAR_028341 transcript:KZM84365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQWLSLVAAMWLQSISATNTNFPAYSSQLKSLLSISQLQLNNLAFASDAGKILACFSGLAAAHLPLWLTLFIGSVIGFIAYGAQYIMLDLLTSPPYSVFFILSIVAGNSICWINTVCYILIIQNFPLDMQLSLGLSTSYQGLSAKIYGDIVEVVYKNSSASEKARGYLLLNSVLPFIVCIMVAPLVARSSDNIGDSTSSGGGSSYTCSRKLSTGFVVMFLITTATGIFAVISTSLGDLILRSSTSTPLIVLIGISVLVIFFPITVPLVEKIRENVQHKCWIRERRVRSFPADEQAENGNQALALSVETGAVKHGDNNAVQVDEQETGPWLMIKKLDFWIYFFVYMFGATIGLVYLNNLGQIVESRGHSRTTSLVSLSSSFSFFGRLIPCLLEYCFTRARYMSSRAGAMAVMMAPMTGAFFILLIDSNICLHISTAIIGLSTGAISSIAVSATRELFGAKRFGVNHNIVIINIPIGSIIFGSLAAMLYRKNGGFDDDGKCMGMKCYNTSFIVWGSLCCLGTLLAAILHSRTRKFCSYVN >KZM85524 pep chromosome:ASM162521v1:8:26509529:26511429:-1 gene:DCAR_027054 transcript:KZM85524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKITVIGLSAVVLVAVVVAVAISGGKDDKKEGGGVATASKSVKTMCQPCDYKDACAESLSHANSTDPRDLIKTGFQVAMDNMKQVISNSSTLQEAEKDPRTSQAYGICKDVINTAIDDFHRSFDKVGDFHVDKMDDYLADLRTWLSGVVTNQDTCIDAFQNTTGDAGEKMKNLLKLASELSSNALAMVGQLGNFLSNLDIPDFGHDKKERRLLSSEYPEWVDHPHRRLLQSDPEPKAVVAQDGSGQFKTVGDALKTVPQKNTEPFVIKIKEGIYKEYVDVPRHVDNVIFIGDGATKTKITGNKNFIDGVNTYKTATVAINGDGFMAKDIGFENSAGAEKHQAVALRVSADRTVFYRCQFDGYQDTLYAHTYRQFYRECTITGTIDFIFGDAATVFQSCTMKVRKPMDNQGCMVTAQGRKEKRGTGGLILQNCTISAEDDVLAMNPAPKQYLGRPWKEFSRTIIMQSFIDKNIDPEGWSPWTGNFGQDTCFYAEYKNRGPGSDTSKRVKWKGIQTIKEEDAETFTASKFIQGDTWVKTSDVPYDAAMMQV >KZM85968 pep chromosome:ASM162521v1:8:30355991:30356245:-1 gene:DCAR_026610 transcript:KZM85968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTPLPDRDNPPDYRGRAFQGASSILPPPPKEDNSSDYKVGPSTIQQLDLLMFKGVPLNKSISFCPSEPLLTELSSARWRAQR >KZM83718 pep chromosome:ASM162521v1:8:766502:775807:1 gene:DCAR_028860 transcript:KZM83718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESSSNHFKLHSELELHEFQDKLVIRAVDSPDQGFAISRVDGNIDELRSDTCTGNPSKISTIYGVAGTIRLLAGTYILAVTSRREVGTYLGFPIYQVMSMKFLSCGQGSRPLNSEEKRDEAYYLNLLKMVESTPGLYYSYETDITVNLQRRFKLADGWMRKPIWKQADPQYVWNKYIIEELIENKTGKLKLKRVPATITLISRRSTRRLGTRMWRRGANLQGDAANFIETEQLMEFDGFISSFLQVRGSIPLIWEQIVDLSYKPRLNIIHHEETAKVVERHFHDLLQRYGETVAVDLTDKHGDEGELSMAFAAEMEKLPDVRYVSFDFHQKCGNGNFDNIQLLYDEISEDFEEQGYFLLGPKGEILAEQEGVIRSNCIDCLDRTNVTQSFLARKSLNTQLQRVGAFSSIDCISMFEEDFETFKTLWVEQGDEISLEYAGSHALKRDLVRFGKQTMAGRIKDGLSALSRYYYNNFQDDLISGHYTVKYGPPPFVPNRNEALSYLPVASALLIGGLTVTSITLNRAGQNAQSFISSLVCASATAGVMALVKVKVIVVANICLCKTVANFSESANSPYGSF >KZM83933 pep chromosome:ASM162521v1:8:3627322:3629283:1 gene:DCAR_028645 transcript:KZM83933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPAAHRAHADDKGSGFYCESWRYSVETNDAGEWRSIPARCIEFVKDYITGERYRSDLEVVAENSLEFVKNVKMVGDGKDAWVFDIDETLLSNVPYYAAHGFGSESFNEKTFNDWVGLAEAPALTASLRLYKELSEMGVTLILLTGREEFQRNATEKNLLDAGFSHWEKLLLRNPLDKGKPATTYKSERRKEIEDEGYTIHGCSGDQWSDLMGYAMGIRSFKLPNPMYYIP >KZM85359 pep chromosome:ASM162521v1:8:25103470:25103907:-1 gene:DCAR_027219 transcript:KZM85359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAEEPVNEQAVATIYGNMRADINQVYSKITELEMEVSEHSLVINAIKPLDQSRRCYRMIGGVLVERTIKEVLPAVQHNKEGLEMVIARLNEALEKKKKEMADFEAKYKIRIKKGDEVKDDASKKEGSAQGVLVGPAGATEPN >KZM84868 pep chromosome:ASM162521v1:8:20289671:20292371:-1 gene:DCAR_027710 transcript:KZM84868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLRNKYMFFQDLNYSSVITVHPEIVDGRPGTVVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPIDRI >KZM85905 pep chromosome:ASM162521v1:8:29812950:29813692:1 gene:DCAR_026673 transcript:KZM85905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDDEGEEYLFKVVIIGDSAVGKSNLLSRYSRNEFNLHSKATIGVEFQTQTMEFDGKEVKAQIWDTAGQERFRAVTSAYYRGTLGALIVYDITRRTTFDSVRRWLQELKTHSDTTVTKMLVGNKKDLANIRSVSVEEGAKLAEEEGLFFTETSALDSTNVNKAFEFVIGEIYNNVSRRVLNSDSYKPDLSANRRVSLVSTGADEPKQSSCCLR >KZM84161 pep chromosome:ASM162521v1:8:9387801:9390197:1 gene:DCAR_028292 transcript:KZM84161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLAWDPKGKQGPMTPLPDLVTIHPPKEDEEYRQPIATTMLPAADIDLGASPMPGQIPVA >KZM85160 pep chromosome:ASM162521v1:8:23317271:23321785:-1 gene:DCAR_027418 transcript:KZM85160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETISSYVLKALHLIEENANSLDDNHQLAVYTPADPAASDGTVTQPPQNQVCRIAPSVEAYTVQYSLYYKWRLIPSKKRFEEIREHLIEKPFVCRALHQRLPQISCTVDLNFQPDGSKLWAIETPNITRPPSGWERILKIKGPQGTRFADVYYYTSSHERLLSTVEVRGIRVNIPVRTISSYLLKALHLIDENANSLDDNHQLAVYTPADAAASDGTVSQLPQNQNNIQLPAEGTPSIEENANSLDDNHQLAVYTPADAAASDGTVTQPPQNQVCRIAPSVEAYTVQCSLCYKWRLIPSKERFEEIREHLIEKPFVCRALHQRLPHISCTVDLNFQPDGSKLWAIETPNIPRPPSGWEHILKIRGSQGTRFVDVYYYTSSHERLLSTVEVRGIRVNIPVRWMELT >KZM85090 pep chromosome:ASM162521v1:8:22612473:22612811:1 gene:DCAR_027488 transcript:KZM85090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRTLKTNSPPFRTNGHELTRSYNEMRKMEYQRSFSQGHDRKMKSYFTLQSLFLLICLTASLLLLPLILPPLPPPPPFMLLLLPICLAVVLMFLAFLPSNVKQVTNNYTYM >KZM86047 pep chromosome:ASM162521v1:8:31036354:31041795:1 gene:DCAR_026531 transcript:KZM86047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLYGHRADYADKLLMSPENIMFPSSDYQNLISSQRFPVYGSDELFSAASAISESASNSRRSFQQGEDSNNDPSLIKARIMSHPSYPKLLHAYIECQKVGAPPEIASLLDEIRRENDVYNKHDHVNSCIGADPELDEFMETYCDILIKYKSDLSRPFSEASTFLSNIETQLGDLINKALSADENAVSSDDELSGVEVDVQEGQARGEDRELKDRLLRKFGSHISSLKLEFSKKKKKGKLPKEARQALMEWWNVHYKWPYPTETDKIFLAESTGLDQKQINNWFINQRKRHWKPSEDMQLAVMDSISGQYYNDE >KZM85893 pep chromosome:ASM162521v1:8:29715707:29717043:-1 gene:DCAR_026685 transcript:KZM85893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENILERYERYAYAERQLVANDPDSSTNWSLEYSKLKSRIEILQRNQRHYMGDDLDSLSVKELQNLEQQLDTALKHIRAKKNQLMCESISELQKKERAIQDQNSMLAKKIKEKEKAMTQQAQWDQQNPDTANTTSFLLPQTFPGLNNSGTYYGEASDGTRMNLLELSLEPGFNPSHLGCFAA >KZM83943 pep chromosome:ASM162521v1:8:3798273:3810102:1 gene:DCAR_028635 transcript:KZM83943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKKMAGQKSLLSCIMFYYIQNVSVVKRCTPVMGSGVLSLALAVAQLGWIAGTGSLIIFSLITLYTSHLLADCYRCPQTGKRNYTYMDAVKSTLDHDLWTGHASENIDDIRNALVQQPIVGKIYATPEFTTSKSCYKGRKDSIEHIIQTIHESSHQNLGLPCKRQIYHYHVVDEHVISTTESITSENANDIVTKKCSFVEHRNYKIVYRRYASLFFLVGVDNEENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSNILGPIQLMDKAS >KZM84715 pep chromosome:ASM162521v1:8:18177759:18178406:-1 gene:DCAR_027863 transcript:KZM84715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRCIPWKFIHYHGNRLNDTVKLKFRNEYRIRVTLDRQNKRFQGMHDLFVDFSLKRGEILFFETLNRSTLNVFIVGEHLGEIQYPNVVHSSQDCSPTPFICTRDDWHFVYLIRFEEYLVDELVPPHSFMTRIIQKMPAKVKYVLDNGDEFCGTIIGGRGF >KZM84854 pep chromosome:ASM162521v1:8:20197271:20198104:1 gene:DCAR_027724 transcript:KZM84854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISPPLSSNTHTEHKTHTTIFTTLHPTTPPKIIRIHVADPDATDSSGDENDTSPLKIRKYINEITIQPSSSIENNTAHVIEFMKTPLTDVENVCRKKRKVAGSSRMAKKMRKSGGKHRGVGQRPSGTWAAEIRDPMTRGNLRSDKEATIAYDHAANTLRGTHALANCSPEESNIEKPESTQCLAKEAEEVESFSGFPGSDDVFLNEDPLYDPVMSVFDPLDFSMFDFEKEWCGSTMFGGSMSEFGLWGGPTSWPTRDYFQELGDVFGSDPLLSMI >KZM84493 pep chromosome:ASM162521v1:8:15389044:15392626:1 gene:DCAR_028085 transcript:KZM84493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAKTQLLSLLVFLLTQPCFSIDFITPNQPLKDGDFLISQAKTFQLGFFSPGNSTKRYVGVWYYNFPQKYVVWVANRDNPLSNTSGVLTIAESGQLVVAYSEAPEAPIWSTNISLSRDNYNNSARILDNGNLVVFRDLYSDKNVLWEGFDHPTNTYLPEMKVGLRKKTGEAWFISSWKSPDDPGTGQYSYRIVLNGTVPQLYIYDGSKPYMGMGPWNGITFSGLPAYGVDVADQLSQLFYIDDENEVSNYYTITNSSYVTRSVMDYTGVAQRLDWNPQSETWKASWTGPDGQCEKYGHCGAFSTCNSFRIANQGCDCLPGYTDKFEGGTTANSFVGCVLKPGASLCRSGEGFRKVSGIKVPDAFNAELQSDLDIKACHNLCLKNCSCTAYGASRLNSDKGCLTWYGELIDIREFSDGGQDIYVRVDHDELAKDSKKKILKVLLPVLFIIVLILVITCWVLRRKKRERSKRNLTMSNGSERDDIAFMERFSDVRSSNESGTTSAEVHCFSLSTIIAATDNFSFANKLGEGGFGTVYKVWDRWLEGKPLEIVDPSLEESYDVNEVLRCIHTGLLCVQESAAVRPTMSEVASMLCNEKTPPAAPEQPAFILKGKGYLGPVKFSYNTESGGSSGAQMTVSIVNGR >KZM84100 pep chromosome:ASM162521v1:8:7359986:7362852:-1 gene:DCAR_028478 transcript:KZM84100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVKLCLATLLALQLVVLSASKQTYIIHTHHHKKPENFATHEDWYQSLSESPLVYTYTTAYHGFAASLTPSEAAALRRHDFVIGVYEDDRYVLHTTRTPDFLGLDSEIFAENFGEVVRGSGEDVIVGVLDTGVWPESKSFGDDGMSEVPLRWKGGCESRVEFGPRLCNKKLIGARTFSKGYKMAIRAHKNKTKEEAESPRDVDGHGTHTASTAAGAHVANASLLGYASGVARGMAPRARVAIYKVCWKIGCLASDILAGMESAIHDGVDVLSMSLGGGSVPYYRDTIAVGTFTAVEKGILVSCSAGNDGPKRGSLANSAPWIMTVGAGTLDRDFPAFINLSKRRRFAGISLYSGKGMGEKPVGVYYHNETTGSGRFCVEGSLDPELVRGKVVFCDRGKNARVEKGLVVRAAGGVGMILANTAENGEELSADSHLIPTVAVGEEIGDEIRKILTSEEGITAELSFGGTVLNVRPSPVVAAFSARGPNLVTPEILKPDVIGPGVNILAAWSEAIAPTGLDSDSRRTQYNIASGTSMSCPHISGLAALIKAANPDWSPSAIKSALMTTAYTQDDTGSQLRDTVGGKLSNPWAHGSGHVNPQKALSPGLIYDLTTEDYIAFLCSLDYSMDHIHAIVKRSNVTCSKKLSSPGQLNYPSFSVVFRESRLVRYTRELTNVGSEGSVYNVSVLAPRSVEMTVKPSKLVFKHVGAKLRYTVTFVSKEETDEMEGRSAFGSISWNNAQHQVTSPVAFAWM >KZM83741 pep chromosome:ASM162521v1:8:1043573:1044523:1 gene:DCAR_028837 transcript:KZM83741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRITSDSQAEELLERCTRFKVSTDLSTFLSSVNKTLEEMRKQLSNAHFATAYEIDVFGMAQCRNYLSTVDCLACFDAGVTQIRRKCDATMDGAHFIYQGCFLRYGIYNFYKEITFESPVLVCSYNHSVAEPTAFNSTAQELLTEIAAATPKIKNYFAAAKRQAFSSGTAPTVYAAAQCLETISPSDCRNCLARVYTDLQTCLPQPGGSSVEPGCFLRYSDRSFFADSNITNITPYTGGGNT >KZM85007 pep chromosome:ASM162521v1:8:21602819:21603537:-1 gene:DCAR_027571 transcript:KZM85007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPSPDSTITWYTLKDSERLVPTDKLSWAYGPCVEQRNGFRLDDTKGSASLEEEFLQERIMN >KZM85668 pep chromosome:ASM162521v1:8:27718459:27721504:-1 gene:DCAR_026910 transcript:KZM85668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMSPEASSQRTLYPYVTGTSVVAIKYKDGILMAADMGGSYGSTLRYKSVERMKAVGKHSVLGASGEISDFQEILRYLDELILHDNMWDDGNSLGPKEVHNYLTRLMYNRRNKFNPLWNSLVLGGVKNGQKYLGSVSMIGVHFEDNHVATGFGNHLARPILRDEWKEDLTFEEGVKLLEKCMRVLLYRDRSAVNKLQIAKLTEEGMTISQPYSLKTFWGYGAFQNPTVGAEGSW >KZM83754 pep chromosome:ASM162521v1:8:1151930:1152962:1 gene:DCAR_028824 transcript:KZM83754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYVTQLYPDYMKGTKIFSSSSYLGNSKWFPEEIKDTKWSQEENKMFEDALALFDKDTPDRWHNVAAMIPGKTVSDVIKQYRELEEDVSDIEAGLIPEPEYACDSFALEWTNHQGFDGMNQFYVRCGKRSTLTRPTDQERKKGVPWTEEEHRQLSGGKDKRRSSIHDITMVNLIEAKSTSTDSGQQHSDTNARLKDHHKWNLSDKGSVMDFNQANSSQLTTPRPDTSSQALSVQDYNLQTGILQRPQFGYYDSLSEMQQCSTYDRINLVGTLF >KZM84401 pep chromosome:ASM162521v1:8:13958029:13962967:-1 gene:DCAR_028177 transcript:KZM84401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTRKNALVYFVVLLVIQPCICLDSITQTQPLKDGDVLISQGEYFEIGFFSPGSSSNRYVGVWYHQIPEKNVVWVANRDSPVKNTSGVLSVDGTGQLVLSYSGTPQALIWSSNVSGSGDSRFSAKILDTGNFVLFKDEYSEKNVIWQGFDHPTDTHLPGMKIGWNKKTGENRFITSWKSPENPGTGQYSFKFDANESNPQVFIYNGAEKLMRIGPWNGVTFSGYPEFTVSGAYQVSKLIYIDNEEEVSWYYTINNPANITKFVLNETRGLAQRLNWDPVTQKWYPFWTGPEDLCDFYRHCGAFSTCNPANVGAQGCECLPGYVSQGNPLRDRSQCLRKSEALVCGKGEGFVEVSGVKVPDTSTAHLESDITLKACNNLCLKNCSCTGYTIANISNGVGCLTWYGDLVDIRQYSDGGQVLYVRVDHHELADSKQRLLRVLLPVLVAILLIIIAFGYWLLWKKKKRGGSKRLELFNSLENSDERGTSSTEVHCFPLSIIIAATNNFAFSEKLGEGGFGTVYKDSRLRIIHRDLKASNVLLDASMNPKISDFGMARIVGSDQNEETTNRVVGTYGYMSPEYAMEGHFSIKSDVFSFGVLLLEITSGRRNNSSFDAENSLNLIGHIWDRWLQGTPLEVVDRSLGESYVVEEVLRCIHIGLLCVQESAAVRPTMSEVVTMLCNERIPSSPPEQPAFINRATGYFGPVRSSSSGNGAIAVTEMTVSMIEGR >KZM84662 pep chromosome:ASM162521v1:8:17428877:17429437:1 gene:DCAR_027916 transcript:KZM84662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSELIASHREGAIVVTGEEAIKQKAAELTKNAFLPSGLIPLGEIVEIGHNPTTGFFWTLRKKKLEHFNKKINKKSTFDTEVTAFIEERRMRKVTGVKSKELMLWVSITDIRIDDPASGKIIFTATAGITKTFLVSAFEDEEEEKKVEVEVEAETVEAVKEVSEPEKEEAEVKKVEEVEKVVEVKN >KZM85864 pep chromosome:ASM162521v1:8:29425628:29426947:-1 gene:DCAR_026714 transcript:KZM85864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFLISLLILIHLSPSSPAASDDQYHLKLPLLHKPPFPTNPTQSLSSDLLRLSTLHKKSPQLPLTSGASTGSGQYFVDLRIGTPPQRLLLVADTGSDLVWVQCSACRNCSNHPPNTSFFPRHSSTFSPHHCFDASCKLTPHPRHVACNHTRRHSPCRYEYSYSDGSLTSGFFSRETTTFNTSSGNVVKHSKLAFGCGFNLSGPSVTGPSFNGAHGVIGLGRRPISLPSQLGRRFGNKFSYCLMDYTLSPPPTSFLLFGNNLAVKKSYTPLVINPLSPTFYYIGIKSVFIEGVKLPISPSTWAIDSFGNGGTIVDSGTTLTFLPDPVYSRILKVFKKLIKLPSPNETTPGFDLCINVSTESRPSLPKMSFKLVGNSVFSPPPSNYFIDTAEDVKCLALQPVGLPSSFSVIGNLMQQGFLFEFDSDRLRLGFSRRGCAGP >KZM85056 pep chromosome:ASM162521v1:8:22275790:22280057:1 gene:DCAR_027522 transcript:KZM85056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAQLSSEFAPDSKKNLGSNMETVRGNSGLLTNPRGKGLRCIKSEDSVLMDDPPTGQDTEEDVAVNINDSTDGTEYSSSFADSASGNEICSGMSDAEVQSQFFSDDGLASTFDDFGAMKKKLTCHWRTYIRPLMWRCKWAELKIKEFGSQAIKCDREILALNQRKHTSLHQYPAEESGSRSLPYTLQEQKQKVLKRRKRERVEDKVDTKSYMSNHNLFSYLGDKRSYQDGTLIADDLCNTEQTTTGKNEFGLSNEWSFLEDKDTSLEQIFQKIEVVHSRVKKLKSQLNLIMSKNAAKFPSSENLSHLVPCDVQTSSVRSPTFSACNGDTMLMGGLYDPIHSISEYDLGDLMLPDSAVSSFGEAIHIPDIIESTVGMLSSVDVTQHYSQMGDSGEDIVDNIPIHNQAAEADEAYTSITSKNQQSKGTVKHQEPVKIKIEESSINPALQASGAEVVPEAVMPQEQLTLKSQLPSDFHFPKNKRKRGERKAGMGNWSRQCPGDSGN >KZM85871 pep chromosome:ASM162521v1:8:29482892:29484344:-1 gene:DCAR_026707 transcript:KZM85871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSNLPAPFVGVAVFVLKGNKVLMGRRLSAVGYNTFALPGGHLEFGESFEECAAREVKEETGLDVVKIEFLAVRNNVVLNEPKPAHVVCIFMRAALADDDQLPQNLEPEKCGGWEWYDWENPPTPLFIGLENLVESGFTPFPTN >KZM84304 pep chromosome:ASM162521v1:8:11870750:11889116:-1 gene:DCAR_028402 transcript:KZM84304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHWVQASPSDFGGTLPQARSGHTAVNVGKSKVVVFGGLVDKRFLSDTTVYDIENRLWYRPDCSGSGLDGQVGPSPRAFHISIAIDCHMFIFGGRSSGKRLGDFWVLDTDIWQWSELTSFGDLPSPRDFAAASAIGNRKIVMYGGWDGKKWLSDVFVLDTISLEWTELSVSGALPPPRCGHTATMVEKRLLVFGGRGGGGPIMGDLWALKGLIEEENETPGWTQLKLPGQAPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCVVLDRVSVQWKRLSTNNEPPVARAYHSLTCIGSRYLLFGGFDGKATYGDLWWLVPEEDPIAKRLSASPRNISERKDVHIAYDQPPVQESQIDASRISELQKRFQIDVSFSTTKIYVIEESEDTELLEVASQVIGSASTTEQAAQALREHWRKSTATSITLKEISPLLRDYQRLITRRYVLKDGFNLQSGSETHRFYHMKNISQLRMDDIANLLAEYKQIG >KZM84600 pep chromosome:ASM162521v1:8:16702542:16702823:-1 gene:DCAR_027978 transcript:KZM84600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFTKPPHKATLNTKILFFIILLNLHIILSSSRMIPSPQNLETSSSLDLLSTAPEVHNAGQNLLPMLVKGRKPPPSAPSHRGHKAAMFQQPP >KZM84215 pep chromosome:ASM162521v1:8:10396118:10396333:-1 gene:DCAR_028238 transcript:KZM84215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMSQPFGRVSELWSLDMGLGPVDPQFIPVHEEDINPEVVNNNDHEVPEVEDGDPRNEDDELVEVIEILD >KZM85028 pep chromosome:ASM162521v1:8:21857709:21858933:-1 gene:DCAR_027550 transcript:KZM85028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFNERQPIGTAAQTQDKDYKEPPPSPLFEAAELSSWSFYRAGIAEFIATFLFLYITVLTVMGVSKAPNKCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLTLTRAVYYMVMQCLGAICGAGVVKGFEGKRVFTDKGGGANVVAHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNQDQAWHDHWIYWVGPFIGAALAALYHVVVIRAIPFKSK >KZM84730 pep chromosome:ASM162521v1:8:18431864:18432982:-1 gene:DCAR_027848 transcript:KZM84730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKGLQKLKYYCQMCRKSFRDENGFKCHCLSDGHVRQMELFGQNPNGIIESFSEEFEASFLECVRRRCRGGSVAANVVYNEIVSDRHHVHMNATRWSTLHEFVRYLGRSGKCRVEERREGWFVGCVDRGSGRKRVRVDEEREEWGVRRQIERACEEVRVDQVGVEEQFKVLRRDRGDNRKVKIRITKNVDNVKRKEGLRVMVIEEDGIESKGAKLEECSDGALGELMREQEEAKERRRRKDYWLCEGIVVKVMSKALAEKGYYKQKGVVRKVIDKYVAEIEMIEKKHLLRVDQEELETVIPQVGAIVRIVNGAYCGSNAKLLAVDTSEFCAKVQIEKGLYGEFCAKVQIEKGLYGGRVLPAIEYEDICKIL >KZM84150 pep chromosome:ASM162521v1:8:9189791:9190288:1 gene:DCAR_028303 transcript:KZM84150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWNKPAAGILKINVSGHSDEEIVLSSIGCFMRSNSGHFFCGYYGTRDFADPVYTDLLAIYYGFKLGDDEDQRYIEVESDSATIVHLVNNPNHNSNYSDIVLNIRRLKDMAAQPCILRYVERSSNLMAIRLSSYSIEKRLPITRLNSCPAELFEELAADWYYSA >KZM86053 pep chromosome:ASM162521v1:8:31073955:31074134:-1 gene:DCAR_026525 transcript:KZM86053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSNLLSSYPIRAAKFSGTGATLLFSTPPSRNSEGSFYSATVPEAFRIKSLVAAGDC >KZM85339 pep chromosome:ASM162521v1:8:24911226:24913320:-1 gene:DCAR_027239 transcript:KZM85339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTTTFIFVALLFLFLQTCWCDTIDDLNLAPIFSPIFDNVCKAVECGKGSCKASQNSTFFFSCECEPGWKQSFSDDDGDFRFLPCIIPNCSMDYSCTHTKAPAPAPVQDEDKPTNASIFDPCRWADCGGGKCNKTAQFQYTCQCEKDYYNLLNATYLPCLRDCALGADCANLGISILKPSSSASPSTLPDKNSNRGATYHDCNVFLFLFSLSISLAIIVPKVLFI >KZM85214 pep chromosome:ASM162521v1:8:23766306:23768479:-1 gene:DCAR_027364 transcript:KZM85214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPVELVETAEMDEEGQVIKIRLSDPEARVIEIKLSNSEVTLLREHYLAQQKSIECLVKRKKSQRARYSYGIIFLLTNLIAWAVRDYGQIVFSDLHYVKACGIEGRSCYQKMGVLRMFFFIMLLATFKTSKLYEGGNLRHSEWWALKFLIWFISLVTSLFVPSSFIQLYGEVARVGAGVFLILQLISVIEFITWWNTYWTPEERKKQRCFLGLFMSTLFYIASMCGIGVNRGLLSSGIMASYIVFLCWSAIRSEPASEKCGAQRQGNGHDDWTSILGFLIAICSIVMATFSTGIDSKTFQFRKNEPEVKDDIPYQYGFFHLVFSLGAMYFAMLFISWNLSSPTTK >KZM84121 pep chromosome:ASM162521v1:8:8440080:8440820:1 gene:DCAR_028332 transcript:KZM84121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEINFEKGSIQPISRQKAGRDKGGLGSKYEKFDQSIGSMSTDPSSLCAPRTGALQERMDKLDSVQLVKNDRGDNILIYFMSDGTVFRVIEADLYAKHWEELRYVSHIFQVKNKSCQHISNLLKDQIRRKMGITGNKNAGPFIPKYLNHKGQLVEMKKNSAKIVTIAGIRTLAFNEESDKAYNIKLDRDLRRNKIHDLRAAIYQTGVSDPELREIKRQMITVLEEAERELLRGYLKTANGVYEAKE >KZM83739 pep chromosome:ASM162521v1:8:937807:946549:1 gene:DCAR_028839 transcript:KZM83739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLGFKSVVFDGDCCLGELEVVPVKDQSFRFPNNEIRIHHLSPRSERCLPISVLQTISPFSVRCKLESKAVNEQPHLLSLHSTLFHELKTAVVLLGEEEIHLVAMLSKQKNYPCFWCYSVRPGLYNACLGMLNLRCLSIVFDLDETLIVANTMKSFEDRIEVLRSWISRETDPVRIHGMTAEMNRYMEDRAFLKQYKDNDSVVDNGKVYTVQAEEVPKVLESHPRVVRPVIRMPEKNLVLTRINPEVRDTSVLVRLRPAWEDLRSYLIAKGRKRFEVYVCTMAERDYALEMWRLLDPDAHLISSKQLLDRVVCVKSGARKSLLNVFQDGNCHPKMAMVIDDRLKVWDDKDQPRVHVVPAFAPYYAPQAETANVVPVLCVARNVACNVRGGFFNLTKLVMIILDLYSSCNINMFDLPSREFDENLLRSISEIFYEDEVVNLPSAPDVSNYLMPEDPSFVPYNNLMPPMAEGMNGPEAAQRLNSVDGKATVNSAIYPMATNSEWRADISQSQQPTVSTPNGVGPAFLGPSEKPSLLGVPSRRDVSLSESDYDVKKRIPIVNQRQDLRYRDSGDPPLLSRLPGPQSVLGMQPVGVRLTEEDAKKRRFENQPSEIVQGPDLSNSDRPQALALEFASQLPKSEEAYQGHEVQKQNLPSTTRTSVFGNSSSFNGREIRTEGIKQNLPPSSIYIEVLQEIGERCNSKVEFRPVLSTSENLQFSVEVLFTGEKIAVGMGKTRKDAQQQAAGNALRSLADKYISHVAPSSRAVDRASNKPSNGVERGFLWDTVSPESEQMLNGASLTKESPSEVAEMAPGSSCSEEVNQQQVQRRACLPRRRKLLHYLCTFKLRSWKDKKRL >KZM85738 pep chromosome:ASM162521v1:8:28231866:28232690:1 gene:DCAR_026840 transcript:KZM85738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETDHFLLHKKLEGKVAIITGGASGIGEATARLFAQHGARAIVIADIQDALGQSVAESIGHGQCTYVHCDVADEGQVKGLIDSTVEAFGNLDIMFSNAGVGKTGDLRQSILDLNLDASDRLFAINTRGMAACVKHAARAMVEGSVKGGSIVCTGSLAASTGVEQFIDYAMCKHAVLGLVRCASKGLGEYGIRVNCVSPGGVATPLTCNELLACNEMTLSVEECEKFLEGIMGLKGFGATKTKDIANAVLFLASQDSQFITGQNLIVDGGTKLP >KZM84460 pep chromosome:ASM162521v1:8:14949042:14949919:1 gene:DCAR_028118 transcript:KZM84460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSTSKMYLLMRRIMGGYDPCLDDYAKSYYHKHDVQKALHVSEGHHIKNWSICKLLVGFKNTKG >KZM84989 pep chromosome:ASM162521v1:8:21501745:21511456:-1 gene:DCAR_027589 transcript:KZM84989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLDSTRAFLRNVKRIVIKVGTAVVTRADGRLAVGRLGALCEQIEELNYQGYEIILVASGAVGVGRQRLRYRRLVNSSFAELQKPQVELDGKACAAVGQNGLMAIYDTLFSQLDVTSAQLLVTDNDFRDPNFRKQLTETVKSLLSLKVVPIFNENDAISTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVDGLYSGPPSDPKSKLIHTYVKERLEGVITFGEKSRLGRGGMTAKVKSAVCAADAGIPVVITSGLAGSNIIKILRGERIGTLFHRDAHKWVPVEHIGVREMAVAARESSRRLQAISSKERSKILLDIADALQANEKQILAENEADVAVAQQAGYEKSLVSRLEMSSEKARISSLANAIRVLANMKDPIGHVLNRTELADGLVLEKTASPLGVLLIIFESRPEALVQISSLAIRSGNGLLLKGGKEAKRSNTILHKIITSAIPQSVGEKLIGLVTSREEIPELLKLDEMIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYVDKSANIEMAKQIVLDAKTDYPAACNAMETLIVHKDLMQSGALNELIMELQIKGVDIYGGEKVSTLLNVPEAQSYRHEYSSLACTVVLVEDVYAAIEHIHRHGSEHTDCIVAEDSEVAEVFLHLVNSASVFRNASTRFSDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWIARGTGQIVNGDKGVVYTHKDLTQKA >KZM85470 pep chromosome:ASM162521v1:8:26039702:26041674:-1 gene:DCAR_027108 transcript:KZM85470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNGVAVGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSCVAFTDSERFIGEAAKNQAAFNPANTIFDAKRLIGRKFSDSTVKDDMKFWPFTVVGDSDDRPKIVVNYRGVEKRFLPEELSAMVLCKMKEIAEEYLGKEVKNAVVTVPAHFSDSQRQATKDAARIAGLNVLRILVEPTAAAIAYGLDQKLTSSSSGEKIVLVFDLGGGTFDVSLLNITKNSCKVLATAGDTHLGGEDFDNRLLKYLVEEFSRKHGKDISKNAKSLRRLRNACEKAKRVLSHSAVTTVDIESLYEGIDYHAKISQARFEALNLDLFRSCLDTVEKCLKDAEIDKNNVQEVVLVGGSTRIPKVQQLLQQFFNGKELCKNINPEEAVAYGAAVQAAILSGEGSQNIKNLMVLDVTPQSLGIEVHNDGLMEVIIPRNTTYPISVEQTFCTGYDGQKYVRIGVYEGEMPRVADNNLLGEFELSGLPPAPRGKDEILVTFTIDANGVLIVFAEHKISRLKNSIKIIKGGTLSEEEIEVMVKDAEHLKAEDEEFRKKVKAILAFEDYIQNMKAISQSNCMLEASVKDMLNYYFKEATEWINSNKDAAIYEFKYKTLQLESICNKLIPGSEGMEIEEYE >KZM84880 pep chromosome:ASM162521v1:8:20438068:20457600:1 gene:DCAR_027698 transcript:KZM84880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIASQLQAIKSLINVDTEAPQKRPFTRPSILFSPKEAADIDLESLLSIALSGLEVLESRDGRFESYKNNLFSHKSREMDRELMGIEENNQINLSISSYMRLLSGYLELPAALKTLEYLIRRYKLHVYNSEELILCALPYHDTHVFVRIVQLLDTGNSKWKFLEGVKNSGAPPPRQVIVQQCIRDLGVLDALCEFALPTKKMQPSRPVMRFCIAVIIEVIGYVNVVDSNVVKRILPYIVHGLQPNVKVEPDHKAGALMIITLLVNKVALAPDLVRSLIRSVAVIARKDATETTDLQWIRASFMALISLVQLQAVDMLPKKVVDSLKVISDLPGILVGLTKEFNIDKFLAILLESLLEYSPADDLCHRSLISVIETVPAKGLVGHMVSKLLHTCVRLSKTKNESASLESGSRLKEVFLSIKRRYPSELRGAVNCFLEVQDTFLPSINFVLSKKEDSMHEVLCQMLDGKQDLSTSDFKLWFALEHPKAEVRRITLSNFDTDSLLKCKDIDLQRFSTIQDAVLRRLQDDDLSVVHAALKLDRLSELINSSSLLEALQNVLQRCISNLMTRSPDNTSLAADVALLSLEHAILNFHDQEKYSRQLAGMLFPLILIIPKMQILNVRAISLTREVKWPLYANLASICHPQKTLKHEDITALNMDTISGLADTFSVHPHPEEYMTWLVECCNVSDLSKTLFFLVLLQSFTRLKIDVSQLFTLYEACFPALIIEWKSLEHVGNLDVTESTSKLLDRDCKTFLDNLFDTDLKVLSAKILRSLFWKLSEAIITVAPEDISEDENKKWLFTLQDTFTFFASQSKPVFREHLNYFVRKCKISPVRFLSKLFTGEGVSVAVKVESLHSFVHLCSQLDESILLQLLAEFPSVLVAVCSTNQDVRVAAMSCIEGLFALWPHVTISGRKNGSALGSQFLGELLGLLIQQMRLIVSDENILPSLFTNLLGTSCHSILVSESVGQRFEKAVKEDITHYLVTSALKLSAYGKLMLLTLLKGVGSEVMHIKDVELLLDELLNRRHQFHLGNDQFCTKLSKTEINTMCLLLEFCMMPVPSPDGFVHVDGILKALEFEGTFSEDPAIVQPCITVLKNINSSFFEGLKIEAQELLFKSLVVLFHSAHVDIHNATREALLRIKISSSTVGLVLEVVLKKEGFPNKPAHGKKKKKSSSHLNSGQHKDATLRCGGVVTFLSSLLDVILLKKEIYNRASILGLLFQLLRSLFMDDYWINVTNNEENYTQASPEVLPTSSSSLSYVQQSLLMILEEISASLITSLPQKDEVKYSFDVELLVKCARSSKDAVIRNHVFLLLSTIAKVVPDRVLDHILDILTVVGESAVTQVDSHSQKVFEDLITVIIPCWLSKTGNIEELLQVFVRVLPDVAEHRRLSVISHLLRTLGESFSLASLLLILFRSLVTRENIFLTDSRQSLDGLTTRIRTQWEYAFALQICDHYSCIIWLPSLVMALQKIETGTWRKELFLELLVAVQFISDKLEDPEISFKLKFVDNADDIQGTVEELTEQLVSHLQLADSRRKQNGLPSSIGKELKERIRSILKNITKGLLQLPSAYFGVVIKLLNHANYDVKRKALGLLCETLKDTAVKPKHERRGINNGARDSWLHLDASALESFNKLCSDIVKLVDESDDNSNVSLKLSAVSALEVLANRFPSNDSSFNLCLEPISKNIHSDNLAVSCSCLRTAGALINVLGPKALSELPSIMRHLLKSTHNISSSTDYKSSALSNPKEALFMSVLVTLEAIIDKLGVFLSPFIGDILELLVLHPDFTKIADPKLKLKADVVRKLIVEKVPVRLLLSPLLSIYSEAMKSGDSSLSTVFEMLANSIGTMDRSSLGANHVKIYDLCLVALDLRCQKPASIGNINVVEKNVINAMIILTLKLTETMFKPLFIRSIEWSESNVEEGDRSGLNIDRAISFYGLVNKLAESHRSLFVPYFKYLLDGCVRHLSNSAGGDVSLIRKKKKAKVAEENNSEKGGNGTLSVEMWHLRTLILSSLHKCFLYDTGNLKFLDSSNFQASTLSLLLKPIIAQLDIEPPRYIEQYTDIPTVDDVDGLIVTCVGQMAVTAGSDLLWKPLNHEVLMHTRSEKLRTRMLGLRIVKYLVDNLKEEYLVFLAETIPFLGELLEDVELQVKSLAQEILKEMESMSGESLRQYL >KZM84308 pep chromosome:ASM162521v1:8:11915505:11915771:1 gene:DCAR_028398 transcript:KZM84308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEKKKLLNLVDPRLEGDYPVKAFQKGAMLAYHCLNRNPKARPLMRDIVDSLEPLQVPCDIGAEKTGVTVIIKNPNAVSGEEVAQKA >KZM85613 pep chromosome:ASM162521v1:8:27152173:27155555:-1 gene:DCAR_026965 transcript:KZM85613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASIARRKATSLLSGQTSGVFRYSLSLSSFSRSFASGSDENDVVVIGGGPGGYVAAIKAAQLGFKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAQHSFANHGVKFASVEVDLPAMMAQKDKSVSGLTKGIEGLFKKNKVNYVKGYGKFISPSEISVDTIEGGNTIVKGKHIIIATGSDVKSLPGVTIDEKKIVSSTGALSLPEIPKKLIVIGAGYIGLEMGSVWARLGSEVTVVEYAPEIVPSMDGEVRKQFKRTLEKQKMKFKLTTKVVSIDTSSSGVKLTLEPAAGGEQSILEADVVLISAGRTPFTAGLGLDKIGVETDKMGRIPVNERFATNVPGVYAIGDVVPGPMLAHKAEEDGVACVEFLAGKEAHVDYDLVPGVVYTHPEVASIGKTEEQVKATGVKYVVGKFPLMANSRAKAIDDADGLVKIIAEKETDKILGVHIMAPNAGELIHEAVLAMQYGASSEDIARTCHAHPTMSEALKEAAMATYDKPIHM >KZM85086 pep chromosome:ASM162521v1:8:22580345:22581636:1 gene:DCAR_027492 transcript:KZM85086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYKSFFLALLVIGICHAFAEGAPKKMKSERLCNQCSTCDTSRCPASEAYPHMTAFDNTLIAGALQSDYVDAKDRGVYSVPNIVGGESKEFNAYFGWQSTSGAASGYHRFSNYMDKCSGGQNYLTVDKHGKVRLRSLESLESLAEADWKSYNPPPHLNHREYRFWFSRSTGKCLTVFGGKTNKRIVGVADCKFNGANLGQLFAFRFHYHNTFCCCNLHNN >KZM86078 pep chromosome:ASM162521v1:8:31226257:31226574:-1 gene:DCAR_026500 transcript:KZM86078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNLHVMFNIIKLDMILLTHYWELEEAKKAVSDSAKILELKDQIADAAIAARDAAENSLGLIDSRTSRIRGKEEELSYHLEQLDNQETYRFSRNRPRKPRRKFQ >KZM84800 pep chromosome:ASM162521v1:8:19456313:19471071:-1 gene:DCAR_027778 transcript:KZM84800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGGAPSSQCVFCEIARGSTSATLLHSDERVVAFRDIKPAAFRHYLVIPVEHISTVKDLKRRPEDFSLVNHMYDVGQTLLRRDAPHSAEYRFGFHQPPLNSVNHLHLHCLALPFTPRWKRIKYFPLGPLGGFIEVEKLLGRLKPLSDLSANL >KZM85131 pep chromosome:ASM162521v1:8:22974623:22975153:-1 gene:DCAR_027447 transcript:KZM85131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGSKVMKRRGTQLEIRVRKAYHYPLSHSTCQKSENIIHLKFVTNTLKNYLHSPTSSLIGEELVTTGTRTLFVDRRDFFEASNELKSYLTISESALEPLNVKADIVHDKIIRQVMRCGERMNKKKDFKGLVVAMTFIKTFVGDRASVHEALMKLDQQREEYLRRRGGFTVVHVC >KZM85380 pep chromosome:ASM162521v1:8:25264807:25265517:1 gene:DCAR_027198 transcript:KZM85380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGFGFDHVDLDFKVVRMVAAPLSPTVYLAEVYSSNRNTWRKIEPTPTDYPNYNHFDASLHGFLFTIGYCGMIAFDINKEVFISDINLPYSLGDYAYFETRVTEFKDSVATIVSVMYKRKIKLWTLDDEACLCGSGGVEASWTIMQVVHVGVRIQFVEGHFNDVQFLILDEDDDRFLYDSDKKATNVRIPPYFSGHNFFKYRKSLFSLVGFKRIKWDVSYSRQHDSSDSDVEIDE >KZM84983 pep chromosome:ASM162521v1:8:21420725:21426044:-1 gene:DCAR_027595 transcript:KZM84983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLNNGDLFKSQTFVKFLLFFFISVTFFYLGKHYSDNNNNQALIFYSSKQSPLSFSVTLSPNLNKQFNISSIINDTVSGSEPETAPGGSVTDAKNSPPPETAPAPPVVQRMGVIDENGVMNDTFEVGEFDSSAVEEWESENRTESVEGESGGKISVKKFGVCDMSMRDYIPCLDNVEVIRSLESSEKGEKFERHCPEKGKELNCLVPSPKGYRTPIPWPRSRDEVWFSNVPHARLAEDKGGQNWITVDKDKFKFPGGGTQFIHGADKYLDQISEMLPDIAFGRNTRVALDVGCGVASFGAYLMSRNVLTMSVAPKDVHENQIQFALERGVPAMVAAFATHRLLYPSQAFELIHCSRCRINWTRDDGILLLEINRMLRGGGYFAWAAQPVYKHEPLLEQQWEEMVNLTTRLCWNLVKKEGYIAIWQKPMNNSCYLEREPGTQPPLCETNDDPDNVWYVNLKACITRLPETGYGVNVTTWPARLQNPPDRLQSIQLDAYISRKELFKAEAKYWNEIIESYVRALHWKSFKLRNVLDMKAGFGGFAAALIENKLDCWVMNVVPVSGSNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDLLHANGLFSVEKKRCNVSTIMLEMDRILRPGGHVYIRDTLSVMDELQAVGNAIGWHVSMRETSEGPHASYKILTCDKRRK >KZM84855 pep chromosome:ASM162521v1:8:20199415:20199935:1 gene:DCAR_027723 transcript:KZM84855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKEAEPVCSQEALALLNCVAESSYDQDKCLLLLNSLRSCILQKKVKKFSLAEQSKGESTSTTKGP >KZM85196 pep chromosome:ASM162521v1:8:23586899:23597193:-1 gene:DCAR_027382 transcript:KZM85196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLNLRSNSFISTSEQPKQKAEQEIQTRPTGTLEGLIDEDPFPPTPPPPVHRAGFNSSSRFGAALSANSNTAGAENHVDVTEDQGWITIPYKELPENWMEAPDILSFESLDRSFVFPGEQVHVLACLSPYKHDTETITPFKVAAMMNKNGIGRIPKIENGKIADEPENVSSRVEVTSDGHDNDQNGVGQFDFKKDASHGESLLRMENQKRQTETLLQSFRNSHFFVRIADSHKSLWSRRRESGASAESSSATESFDGADIRKTEKKTSLSASVDRGGFDASSSGGMARNSIECCALSNGDIVVNVGVDLLKDPVLEILQFEKYEEKSLSLEKPKNVVPANEDPCGDLLKWLLPLDNSISPPSRPLSPPPPSLSSSSSIRTTSSRPNSSASSTSQMFSFGNFRSYSMSALPPNNPPPPTVAPNPKPNFDTEDWNQFSYKSFGKPDNTGNEGLLSFRGVSLEPERFSVCCGLEGIYIPGNRWRRKIEIIQPLEIHSFAAECNTEDLLCVQIKNVSPAHTPEIMVYLDAIMIIFEKASKGGPPLSVPIACIEAGTDHCLPNLALRRGEEPSFILKPATSMWKTLKVPSEKNTQPSHSRTGSVSTSFQHPNNVDQTASNNDQYAVLVSCRCNYTESRLFFKQPTSWRPHISRDVLISVASEMSKQTLVSNGRVPQLPVQVLTLQASNLTSEDLTMTVLAPASFMHPPSVLSLNPSPRSPLSPYVGSSGFAGRFQETSSLPVEVEIQKSIGDGGPSFISSEQLFAMSDVLPRGDLGCTHLWLQSRVPLGCVPARSTATIKLELLPLTDGIITLDSLQINIKEKGQTYIPEYSLKINATSSVATGIK >KZM86075 pep chromosome:ASM162521v1:8:31214822:31220667:1 gene:DCAR_026503 transcript:KZM86075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFVLPPFESTCFLKDKEIISVKKKGRKSSNVVKVADKNGSADELEIVKGVELLANEEFNKESGGYQSDSEDDEDANAVENTLGGNALSKKRKASEGLVGSTTKKHKVAVPDGAKKDHRTNKNKGRHDDGVLVRKSHHKKEKSSKTKTKPDTENISESTESSSSDESSKSVKSDQLEENDKENKDTSPAPTKKLPSRSARRKKAKRQWLRAMAKIGKKEEVCDTKRPVGDIRRPLKQKERRPRTEKKEVISQSKGLLHWKQSHEGYNKYKKEDSAPVLARPGHIRFDPLDEGRKEKNGLPVRIDAEEAKMYMRSSFFIETKETAGEKYGWEMGATSRENFQWNGITSKKQGQKWGIEKISSTQRNDHTNNDGDHSDTHNIVKDIVISSTIEFNELPPLPGNMPKEGHVIAYRLLELSSSWTPEPSEFRVGRVLWYKPESKSIMLAPVPEYPVVLEKLDGDEPASQQDIPLYKEDGSLEIDFRSLIDVRIVKIDETNSGKDATVKVGGGPVGNENATSSLSEDKQKQIPDKGDGEVNLWDHFTEDSNTKKIELSEDNGWSTWTEGASRKNAPSYRAWRGSALGPTMSRLRSKQGK >KZM84647 pep chromosome:ASM162521v1:8:17322416:17322667:-1 gene:DCAR_027931 transcript:KZM84647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVQIKDQAKQATTEAKAASAAGTNNAVPALSIVGDGAGAPTSRAAVTPTLEQATRTMKTTVRTEASFKLSITKITKIVSRE >KZM83647 pep chromosome:ASM162521v1:8:52996:55126:1 gene:DCAR_028931 transcript:KZM83647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQYVLILHFLVLYVSILGTVADLKSDKEALLDFIAAVPHSRKLNWTSASSVCRSWVGITCSENESGGVLSLRLPGMGLSGPIPANTLGMMKALTIISLRSNGLTGSLPADIVSLPSLRYIYLQKNNFSGDIPSSLSVKLEIIDLSFNSFTGSIPSAVQNLTDLTGLSLQNNFLTGSIPVLKPPKLEHLNLSNNQLNGSVPISLQKFPASSFQGNTWLCGAPLNQCLYLTPSPSPSPSFLQPIPPPTYFPISPTASVPFPKSKRSLSTGSVIAIAVAASAGSLLLFLTIVLYCLKKPNVREGRIISKGKAFIIGRGVKPNTDSGSGVQEAERNKLVFFDDCPHNFNLEDLLRASAEVLGKGSYGTTYKAILEDGTIVVVKRLKDVAIGKKEFEQQMGTIGSIRQHQNVVSLRAYYYSKDEKLLVYDYIPIASLSTLLHGNQDSGITLDWVSRVKVSLGSAKGFAHIHSAAAGKLVHGNIKSSNILLTHDLDGCISDFGLSPLHGSAIIPLKSAGYRAPEVIVTKEATQKSDVYSFGVLLLEILTGKAPVQYPGHDDVVDLPCWVQSIVREEWTAEVFDAELIKYHDIEEEMVKMLEIAMTCVATLPEMRPNMQEVAELIEKIRTQDTTSRHSSEDNKSTP >KZM85479 pep chromosome:ASM162521v1:8:26113882:26114190:-1 gene:DCAR_027099 transcript:KZM85479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIANLGSQKAVVIFSKSSCYMSHAIKRLFYEQGVSPMIHELDQDSRGKEMEYALMRLGCSPAVPAVFIGGKFVGSATTVMTLHVNGSLKKLLKDAGALWL >KZM84020 pep chromosome:ASM162521v1:8:5520185:5521772:-1 gene:DCAR_028558 transcript:KZM84020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQVSVNKVLVMLCLYSCKDALKALRDPGPLGLRQSLTITAQPRVMSLYQLLIRMHQINSHCTVSNVIFEMLFEYVIVDLSFLASTGRVPLTTVGQNASNQSIGRSPLSRIDQNANHGVLLDSTVTGVKRRGGRPSIETLFKQSKKSSTSEEAFGEAICEAVSLGFIKSGN >KZM83834 pep chromosome:ASM162521v1:8:1984925:1986206:-1 gene:DCAR_028744 transcript:KZM83834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTCGAVFVVSNHALITQRHTLNSNTEAKSIAAVSLKFKTRLTEENKKQVWDEKFKFKVDYEGEEDNTNTKLILRIMDKNRISSDKLIGETTIYVKDILLCGMDEENVKGIVDVETQKHRVVRRDKSYSGEISVALTFTKAMSEKKTHMALAQLLARSPLYQNHAFSKEVLQE >KZM85098 pep chromosome:ASM162521v1:8:22724457:22724739:1 gene:DCAR_027480 transcript:KZM85098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKLTSKLRENRRRVEENYMKNRFCRPDIFKHKADGTAVDEDTSKPGNKRKAPSPAPFLKVNYIFYF >KZM85729 pep chromosome:ASM162521v1:8:28141442:28142359:1 gene:DCAR_026849 transcript:KZM85729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSPLMMKFNLSRFRIKPKGLVAPPLNLSHTLSKPVRTTSPVVQSINAKTSSHELVFRSLRNTAVVIVFAASVMGKFQGLVSRAETKPIMTEEVTSQEETKTLVSEAIETLKQLLEQRYEARDFEESIRISRELISAQPERVEWKIALATVFKQMGDIEKAFGVLDEVLAENPTEPHALFESAAWMSFEGKEEEALERLEKALMIAKEKNNATEIRDVRLIIAQITFLQKKPDEALKSYNELEKEDPSDIRIVFLKGLLYYCTKRNAEATEQFDKYFELIPNAPPPVGDGYMTTLPKIRPPGI >KZM85829 pep chromosome:ASM162521v1:8:29142771:29143632:1 gene:DCAR_026749 transcript:KZM85829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTSEYFMSHGSLSSVYYQGKEDGNVIDLGLSLKTLQPQAYHPSGHGDYGELIDWQQLHPQLRSSNYDFTRNVTYDSAEDTDGVQSKSQCTYVKANMDGVVVGRKVCLFDHSDYSSLAMQLEDMFGKQSVSGLHLFHAASEFCLFYKDSDDQWRTVGDIPWRKFIERVKRLRIVHKNEVGMSSSASFS >KZM85953 pep chromosome:ASM162521v1:8:30250742:30256748:1 gene:DCAR_026625 transcript:KZM85953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKQLPDWLNSSLWSSNPPPPPADDPSPSDHSPFDRKPVVPVTPPGAIEPPEPVKVEASDPLLDDAVSDHQDEFENGASSVSSVVEDASKQAQLLHEISNKVISLTELRRLASQGIPDGAGVRSAVWKLLLGYLPGDRALWPSELAKKRSQYKNFKDELLLNPILEVAALRSCLPNIANWNNPVALDELSATLLSEIARNKGNSINLDPDETRKENKGLLSRSEISHDEHPLSLGTTSAWNKFFQDTEIIEQIDRDVMRTHPDLHFFSGDSQFAKSNQASSGHEALRSILIIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPAEENASSAEADTFFCFVELISGFRDNFCQQLDNSVVGIRSTITKMSQLLKEHDEELWRHLEVTCKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPQETLLRVCCAMLILVRRRLLAGDFTSNLKLLQNYPSSNISHLLYVANKLRSHPG >KZM84599 pep chromosome:ASM162521v1:8:16696078:16696398:1 gene:DCAR_027979 transcript:KZM84599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLVFLLYGLTLASIVATIHGRNLKQSMHERKKQQLEQQSYPPPPPPPSRESLYLASLSKGTVPNSSPTKHHHSFVVNEELIVRHLAALDRILRSVPSPGVGH >KZM85012 pep chromosome:ASM162521v1:8:21636289:21638012:-1 gene:DCAR_027566 transcript:KZM85012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSALDNLIERLIEVRSAKPGKPVQLLESEIKQLCVAARDIFFNQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGHPPQANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVKLWKAFTDCFNCLPVAALIDDKILCMHGGLSPDLVNLDLIRNLPRPTAIPDTGLLCDLLWSDPCKDLKGWGMNDRGVSFTFGPDKVSEFLAKHELDLVCRAHQPAEKKNKFPMTTKI >KZM85183 pep chromosome:ASM162521v1:8:23518728:23529217:1 gene:DCAR_027395 transcript:KZM85183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSEIQSSILLIVHHFHCSVFYFFPASESLKTASKTTPSARSSVTIPSYSWRSSAESLPTPRSESEILSSPHVKAFSFTELKNATRNFRPDSLLGEGGFGYVFKGWIDQHSLSAAKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCSDGDNRLLVYEFLPKGSLENHLFRRGAQPLSWATRIKVAIGAARGLSFLHDAEEQVIYRDFKAANILLDAEFNAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYVATGRLTSKSDVYSFGVVMLELLSGRRAVDKTKPGVEQSLVEWAKPYLGDKRKLFRIMDIKLEGQYPQKAAHSAATLALHCLRSEPKARPRMSEVLTTLEQIQAPKTASQNSSAVHQTVTDPLRRSPMNQHRSPLNLTPSASPLQHHRKSPHVKNCTRELAAVGSRMKHRSKGYSCRGFAAPEYVATGLWGPLPRDIDLLGSLEVLNISSNQIYGNIPASIATIKNLKNLVLADNVLYGRIPNLKGLQNLEELDLSNNHLGPRLPSLSGNVVSVTLKNNSLKFEIPSALQSYDRLERLDLSANKLSGPIPSFLFSLQSIQYLSLSRNHLSGELAKNVSCNKNLKYVDISNNFLIGKLPVCIQSNSQNRTVISWWNCLSNSSSKYQHRYSFCQKEALAVKPPAMNQKKRTTMKLGIVLGIIGATVGIVCTIGILVFIIYRRKAAAKARHHKDNGFIFDQNPARGSPIVDSRHRPQTMRRMATFGLPPYQIFTWEEIHDASNNFDYSNLVGEDSQGQVYNAWLRDGSPVLLKRMNVKHKHSPQIMKQYKESLSKLRHQNLVSVLGHCIANNVGNPNLTTIYVVQEFSINGSLRDHFKDWRKREVLKWPQRMGIIIGVAKGIEFLHRGVAPGHFGNNIKMKNIMDVRSPLNRDVKNSETARESSLYACENPEKDDIYQLGVILLQVITGKLFNSRSEIAEMKLQLETNLTDVMPDSSPKMSDLADPSLRGTFAYGSLKAAVEITVHCLEDDSSSRPSIDDVVWHLQYSIQVQEGWNSSGNLDTRV >KZM83699 pep chromosome:ASM162521v1:8:617843:621014:1 gene:DCAR_028879 transcript:KZM83699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLASFSAIQEATHGALFSSNSKSLSSSSMMSSQAHFTTRMHLFPRKRAGVSVSASVEIDSGNSMPVSGLVFQPFEEVKNEAFVAPVAPQLSIARQRFADECESAINEQINVEYNNSYVYHAMFAYFDRDNIALKGLAKFFKDSSEEERTHAEMLMEYQNMRGGRVKLHSIMNPPSEFDNAEKGDALYAMELALSLEKLTNEKLLSLHNVADRCNDPQLADFIESKFLNEQVEAIKKIADYVSQLRRLGKGHGVWHFDQSLLQEAAV >KZM84767 pep chromosome:ASM162521v1:8:18976685:18977026:-1 gene:DCAR_027811 transcript:KZM84767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGKMKTLILGVVLVIALTAIVEAQHSCASTLVACVGSIDATTKPPSACCDSFEEVVAHQLECLCNLEYNTPDLLRSLEINVTQALQPPTLCGVPDNLCQGAFLYLCVFIF >KZM84452 pep chromosome:ASM162521v1:8:14777754:14778359:-1 gene:DCAR_028126 transcript:KZM84452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQPQPLLNRLTTLDNHFSLTLYTLFHPILPHSLLKLLEFSGDGRLFFPLILSTLALIARSHPLYPLLGSLLIGSLLDLLMIGLIKHIVRRPRPSYNENMSLVFSVDHWSFPSGHSSRVCFIAGLLWLSDLGIGDFFGDVEGWRFGVCVWAGVTSVSRVLLGRHYVFDVVVGASIGVFNALIVFYYLNYENLASIWADQL >KZM84580 pep chromosome:ASM162521v1:8:16451535:16455589:1 gene:DCAR_027998 transcript:KZM84580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSNTSKIIAFAPSFSNWIYDRTSYFSTYHLGQAVVPLDKRFSYVNSGPLGEYSVEYGDYRPLEISTFPFMLCFQNATPDAFSLSLRMGSRRSESIMLWVWTANRGKPVRENATLAFGADGNLVLADSDGSIAWQTGSANKGVVGLELLEIGNLVLYDAKGAYIWQSFDHPSDTLLIGQGLHLNGATKLVSRLSYTEDADGPYTLVMEQRHLAMYYKSKNAANPLLYYKDDVFGDGKGVLANAVFGVNSDAYYTNDLFLAFDMKNSLTSGTRLLARPKYNATYSMLRLDIDGNLRIHTYYPNVDWGAWEVTYEVLNREDGVERTSECRLPKRCGALGVCEDNQCVACPTAAGLLGWSKSCAPPVLPPCKGSRANVDYYKVVGVEHFLNGYTEGGRMKIGDCRDKCSKDCKCSGFFYREESSKCLLAPELGTLIKVSNPAHVGYIKMSK >KZM85546 pep chromosome:ASM162521v1:8:26687880:26688472:-1 gene:DCAR_027032 transcript:KZM85546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQSHSLEITSSVSAEKIFSGIVLDVDTVIPKAAPGAYKSVDVKGDGGAGTVRIITLPEGFIESIETHLVVVPTADGGSITKTTAIFHTKGDAVVPEENIKFADAQNTALFKAIEAYLIAN >KZM86037 pep chromosome:ASM162521v1:8:30959357:30960184:-1 gene:DCAR_026541 transcript:KZM86037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKKTQPVFIKVEQLRPAANGLNLTVKVVSSKIIMQRGQGRQMRLAECLVGDETGMVIFTARNDQVELMKEGSTVILRNAKIDMFKGSMRLAVDKWGRVEVTEPATFSVKEDNNLSLIEYELLNAIE >KZM84415 pep chromosome:ASM162521v1:8:14081655:14083571:-1 gene:DCAR_028163 transcript:KZM84415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDIQPLLQSPTSKPSYYKAFFVLLSLFAVISPVAFVTYRLFDTSSDSLSPGICDQAHDQTLCLNMVSQVVSSGSVQINDVVLLQMLLQKSEAHVREAVEAASSVKNKINEARENVALADCLQLLDMSIDSVVDSVQALGKQTRQDHADAHTWLSGALTNYVTCLDGLNGTSRTIMEPKLNDLISRARIYLAMVVAISPRKDHALEFLQGNFPSWITSRDRRLLRSRPNEINANVVVAKDGSGNYKTVTEAVAAAPEKSKSRYVIYVKKGIYKENFEIGKSKQNIMLTGDGMDATIITGSLNVIDGATTFSSATVAAVGFGFMAQDIGLQNTAGPEKHQAVALRVGADQSVINRCRIDAYQDTLYTHNQRQCYRDCYITGTVDFIFGNAAVVFQNSKIVARKPMANQKNMLTAQRRPDINQNTGTSIQNCDVYASTDLYPVRGSIKTYLGRPWKEFSTTVFMQSKIGDHIDPAGWAEWDKDFALKTLYYGEYLNQGPGAGTAGRVKWPGYHVITSSTEASKFTVAQLIQGGSWLKSTGVTYTEGL >KZM84666 pep chromosome:ASM162521v1:8:17504890:17506390:1 gene:DCAR_027912 transcript:KZM84666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSQSVAHINLPTINSFDSNFTQIPVVDLSNPDAQIHIVNACREVGFFKVVNHGVPMEMVTSLETQAMSFFKLPQQHKDKAAPFGYGNKCIGQRGDTGWVEYLLLGTNTGLIAQDSPAIFPHDFWLMIRKYLAALEKLTCEVLEKMADGLNIEPRTVLSRLVSAEKSDSYFRINHYPASDQTKLGFGEHTDPQIISVIRSNNVSGLEIALKDGTWVQVPPDPTSFFITVDDCLQVLTNGRFKSVKHRVITESLKERISMIHFGGPPPNEKIAPIASLMEEGEESLYREFTWDDYKKAAFNTKLACNRISFFEKCPA >KZM85304 pep chromosome:ASM162521v1:8:24539256:24543398:-1 gene:DCAR_027274 transcript:KZM85304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNFGASIDLARCNSTSLSLCSPNLEISVAKLHVPVLRYFSNRLSAYSFAPKESLCRRSRKASTRILYSISNTYVDESNKNEVGLTYKSAGVDIDAGSELVKRIAKMTPGIGGFGGLYPFGDSYLVAGTDGVGTKLKLAFETGIHDTIGIDLPLFFLDYYATSRLDVDLAEKVIKGIVEGCQQSECVLLGGETAEMPDFYADGEYDLSGFAVGSVKKDSVINGKNIVDGDVLIGLPSSGIHSNGFSLVRRVLTRSGLSLKDNLPGESATLAEALMAPTVIYVKQVLDIISKGGVKGIAHITGGGFTDNIPRVFPEGLGAVIFKDSWVVPPIFKWIQKAGSVEDAEMRRTFNMGIGMVLVVSKEAALRLLEDAQATNVAYRIGEVVKGDGVSYQ >KZM84769 pep chromosome:ASM162521v1:8:18988491:18989261:-1 gene:DCAR_027809 transcript:KZM84769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSCISTLAGHTNEKVFAYHRSFSMPITSHKFSLADDDDGRFVSAVCSQKQSEMLVAANSVGSIKLLHMV >KZM85101 pep chromosome:ASM162521v1:8:22750128:22752662:1 gene:DCAR_027477 transcript:KZM85101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSLGNSACPDSACCCLRCRSQVAADDQVTDAKWPLGCDACLEANKTCTCSKVIDDVQHKANSSVLHAVVNMIGMLIGLGQLSTPYALETGGWASAFLLVGLGIVCAYSSHLLGKCLNNYPKSKNYPDIGYQAFGRRGKVIAATFIYMEIFMALVSYTISLHDNLNIVFLGSRINLAWPCLTTSQLLTVIAVLVALPSLWLRDLSSISFLSTAGILMSLVIFLSVAWTAIFGGIEVNQTIPVLQLRNMPTVSGLFIFSFAGHIVFPNIQAGMKDPSKFTKVTIVSFTLVTMLYTSLAFMGAKMFGPHVNAQITLSLPRNLIVTHIALWATVLTPMTKYALEFAPFAMQLEHCLPGSMKSRTKMIIRGGVGSILLLLILALALSVPYFEYVLSLTGSLVSVGICIIFPCAFYIKIFWTQLSKPALILNLVLIVIGFVMGVCGTISSMNYLIGSMQRGR >KZM85427 pep chromosome:ASM162521v1:8:25679207:25679828:-1 gene:DCAR_027151 transcript:KZM85427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTFTNFVTLLVLTATLLAVAESNRNLPNYNQKSRQIIVGGDQKWRFGFNYTNWAIKQVFKYDPPSNGTFPHNVYLLRNYQSFLNCDLKKAKKVADVTQGGGKGFKYVMKKQKPFYFACGVGNGLHCKVGLMKFSVIPLARC >KZM85025 pep chromosome:ASM162521v1:8:21792577:21798462:-1 gene:DCAR_027553 transcript:KZM85025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKDEQKSSNDNKRDQINTDLNGSSIDDSIDSMNSRRDLRSGEENGLIERLNDVLVEEGDDDLLFREENVLQWLQALDMQVMGACRADERLKPMLKRNGSGGVADDCLLAQLNQHFEPSEVAMLARCLCIPLVSIRVGKIDKQGTRLCPTSTRGNLTLTLLPTSNLRISFIGDEGQIERLATLSSSSNCTSVEIETIPADRSGRSFVMRIASCDNFYFWCSEKSKLLGDELIDKLNNLLTKKPSLAELTGISESRLGCFATRLRSSLGGATMTQNHTNSLALATTLPSDVLDVQFTTSSTKHARPRHYGNQAGKANAFYMGSLSPRSNSFKEGLPRNLSSLKIASKDKFRRRGESHLSLVDNLSSPSQTNSDQTGANQENNLKEFNENISSLTSSFLDSLGKSTELPSLSSAASNIPLSYISPYYCWCPPVTSTLQCAVEPIQLPISSTESFCLPPLSSLLSTSMPSNLLSCESPLKLSEIPSLDFPPFLPDPLVRLPLSMASSQQIATFTPLMCDPIVHIPVIDICSSGPVYLVSAGPTISTSIPSLHPTHPLIPASDSMIESSARETLRLLISRSSQTNSQLMSVFPSVLTSNGEAQSILAHGSRGIYEGGSDVGNIANSIAAMSLVSLSERPVGSSSVFNRCLSQGDMVDQLEKQGGGGSGGTCLDDEGRGLVD >KZM85958 pep chromosome:ASM162521v1:8:30277899:30278162:-1 gene:DCAR_026620 transcript:KZM85958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIRSDIRSRGGGGGCNDSCGCPTPCPGGEGCQCNNTTGSGGVEHKQCSCGAHCGCNPCRCPNAVSGSGKAFCKCGDNCACAACAS >KZM85136 pep chromosome:ASM162521v1:8:23003335:23004198:-1 gene:DCAR_027442 transcript:KZM85136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITYEEQKSLLWKVQRTASPVIPAVLPSAQVISFNQGNTSVAASSNYTGAPNFLPHGFDGVKQAQDAFNYPGNSSASGYFSNSWEAVYQEPGGSSLGYPGNINQYGAQVPEGGIGYFSNSWNALNQGLGVASTGYLSNGWQVPNIESAGASGEVMPINGYMSNGLQDPAQGAAGAGKHLRNNCEAPKQEPEGEFGTPLFGFGNAGNTGAMNNENHGEGTSGSGQHFGEIPSNEDLNQIMQEFEGSAVSFWDMVKF >KZM84827 pep chromosome:ASM162521v1:8:19831116:19831940:-1 gene:DCAR_027751 transcript:KZM84827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQDFPLPNFFGFALGTAGAVLLAKSQEHRHIMAFGFGVGQEIRGILRNTHTEWFRLQLVQRLLMYPAAHCGVTALRPPFGTVGRTGVNERV >KZM84742 pep chromosome:ASM162521v1:8:18578464:18580237:1 gene:DCAR_027836 transcript:KZM84742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAILLPYLFLVIGVPLLFMLALPKLLRNNRSKLPHPPGPRGLPFIGNLHQLDISVLPHNLWTLAKIYGPILYLRLGYLPAIIVSSPEMAKQLLKNHDLIFCSRPNFTGLRKVSYNGTDIAFAPYNDYWKEMRKILTVHVFSSRRLRSFRSIREEEVFQMIKGISEKASENEVLNLSEIMMPLTNSITCRLAFGKKFDEVHMKRFEGLLKRLGVVMATFYFMDHFPLFGWLDRFTGSAARLERSFSDMDLFYQELIDEHLSPNRPSSMEGDVIDILLQLKKDGETSSIDFTFNNIKAVIMLPPGIKKEDIDIEDGHVEGYGVTLHKKYPLRLQPKNYF >KZM85708 pep chromosome:ASM162521v1:8:28034011:28035910:1 gene:DCAR_026870 transcript:KZM85708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIDIVGILKDLPNDGHVPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLNNLKIAMHNTEIMCAVMLDTKGPEIRTGFLRDEKPIQLKEGQEITISTDYSIKGDEKTISMSYKKLPVDLKPGNTILCADGTITFTVLSCDPAAGTVKCRCENTAMLGERKNVNLPGVVVDLPTLTEKDKEDIMDWGVPNNIDMIALSFVRKGSDLVNVRKLLGPHAKHIQLMSKVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPETAVKIMARICIEAESSLDYRTIFKEMIRATPLPMSPLESLASSAVRTANKSHAKLIVVLTRGGSTAKLVAKYRPAVPIISVVVPELTTDSFEWSCSAETPARQSLVHRGLIPLLAEGSSKATDTESTDVILQAALKSATKKGLCKLGDAIVALHRIGSASVIKICVVN >KZM85508 pep chromosome:ASM162521v1:8:26393698:26394063:-1 gene:DCAR_027070 transcript:KZM85508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPIGMMEEQRGPPYGILLVIVVALLVVVPSLLGDQGEALTEFIAELLSPVGLLALPIVLLLIIQFLSSETGSFVSSIFSTGEPDSIHRASGSPVGVALVLLIVIFLLYNQFSIFGGGDDE >KZM85969 pep chromosome:ASM162521v1:8:30364160:30367578:-1 gene:DCAR_026609 transcript:KZM85969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSVHSLPLDGHAEFHSSVDGSGPGSDPCLVLTSDPKPRLRWTGELHERFVDAVTQLGGPDTSCIAESQDTGSSTSASSRMMAQDINDGFQVTEALRVQMEVQRRLHDQLEVQRRLQLRIESQGRYLQSILEKACKAIDDQTVASVGLETAREELSELAIKVANDYQQVMTVPLLSEIASSLETRNPIAASGRIGDCSLDGSLASNGNPLSPISMSSQAAAMKKRSRAYSNLSPLDSNLRQVEWMMSNFSQV >KZM84643 pep chromosome:ASM162521v1:8:17309814:17310008:1 gene:DCAR_027935 transcript:KZM84643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKLASVLTVVFMVLVACSNVAVTTALDVEAPAPSPTIESAGAALFVPAALAALASLVACFV >KZM85779 pep chromosome:ASM162521v1:8:28600568:28601567:1 gene:DCAR_026799 transcript:KZM85779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCMVSRTGRHLQKYSKGRRLVVGCIPYRYKNGDHDIYDDDYELIEVLVVSSQKGERMMFPKGGWELDESVEEAASRESLEEAGVLGHVQHGLGTWSYKSKSREIYHEGYMFPLLVTEQLDLWPEKNSRQRVWMTVEEAKKVCDASWMKEALDKLVDRLSESRKQEEDVSPYFLS >KZM85796 pep chromosome:ASM162521v1:8:28707013:28711839:1 gene:DCAR_026782 transcript:KZM85796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELLGLLKVKVRKGINLAIRDAVSSDPYAVVSMGRQKYKTQVIYNTCNPVWNDEITLSIKDLDLPVKLTVYDKDKFSGDDKMGEAIIVIKDYIDIIKMGLENLPDGTKVHRVQPGRNNCLAEESEIVWNKGKVTQEMILRLKNVERGEVVIGLEWVDVPGGKGEAADSEAISSTSCSLHVIIDLETHSAPQVKDSKKSGDLEASSFACPKIIFAWIQQFIIVRRLQNGSDVRGVAVEGEKGRAVDLTTPVAEAIAESFGEWVIKKLEEEKGRPVEDVKISLGRDPRISGASLSVGIFAGLARAGCMVFDMGLATTPACFMSTLLPPFSYDASIMMTASHLPYTRNGLKFFTKKGGLTSTEVEIICDLAARKYANRQAKVSTLLTPPTRVDFMSAYSNHLREIIKERVNHPVHYDSPLLGFQIVVNAGNGSGGFFTWDVLDKLGADTFGSINLQPDGMFPNHIPNPEDKVAMALTRAAVLENSADLGIVFDTDVDRSGVVDKEGNPINGDKLIALMSAIVLKEHPDSTIVTDARTSMALTKFITNRGGHHCLYRVGYRNVIDKGVELNKDGVEAHLMMETSGHGALKENYFLDDGAYMVVRIIIEMVRMKLEGSDEGIGSLIKDLEEPLESAELRMDVLSEPKHAKAKAIEGGKLAGWELDSCGDCWVSDGCLVDATEAPTAIDAHMYRAKISDERHGEYGWLHIRQSIHNPNIAVNMQSTVPGGCQSITRALREKFLLASGMDSVVDTSEIDKYVGG >KZM85847 pep chromosome:ASM162521v1:8:29267706:29271618:-1 gene:DCAR_026731 transcript:KZM85847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSPLVSLLFLAAALLCCNTQGAESTNKIGNGYRLISVQDSPDGGLVGHLQVKEKNSVYGPDIPLLQLYVKHETNGRLRVHMTDAKKQRWEVPYNLLPRAQPPSLKPTITRSLNKPTIFSLDTSNTDLIFSYTKDPFTFAVTRKSNGQTLFNSSSTLVFKDQYLEISTTLPKDASLYGLGENTQPHGIKLYPNEPYTLFTTDISAINLNTDLYGSHPVYMDLRNVGGEAQAHAVLLLNSNGMDVVYTGTSLTYKVIGGVFDFYFFSGPTPLGVVDQYTEFVGRPAAMPYWSLGFHQCRWGYHNLSVVEDVVDNYKKAQIPLDVIWNDDDHMDFHKDFTLNPVAYPREKLVAFLDKIHSQGMKYIVLIDPGINVNSTYGVYQRGMASDVFIKYHGKPYLAQVWPGAVYFPDFLNPKTVSWWGDEIKRFHELVPVDGLWIDMNEASNFCSGLCTIPEGIKCPSVTGPGWDCCLDCKNITDTRWDNPPYKINASGMGEAIGFKTIATSATHYKGVLEYDAHSLYGFSQSIATHKALEGLQGKRPFILTRSTFVGSGHYAAHWTGDNKGTWEDLRYSISTILNFGIFGVPMVGSDICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWESVAESARNALGMRYKLLPYLYTLTYEAHTSGAPIARPLFFSFPQYTECYGLSTQFLIGSSMMVSPVLESNKTKVDALFPPGTWYSMFDMSQSIVSEGGQFVELDAPLHVINVHVYQNAIIPMQQGGMISKEARMTPFSLVVTFPAGATEGKATGNLFLDEDELPEMKLGNGYSTYVEFYATVSQGKVKVWSNVQEPKFASDRGWIIEKVTVLGLNGAKGTFAVEVDDATVDVSSVDITTTENDDPNGAGVIRKSKMVVIKGLKLAVGKKFAMSWKLKAEN >KZM84826 pep chromosome:ASM162521v1:8:19819248:19825467:-1 gene:DCAR_027752 transcript:KZM84826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADKTTIALEAISKETVDLENIPVEEVFEKLKCTEAGLTSDEVQKRLEVFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAITMARGGGKGLDYHDFVGIMALLIVNSTISFMEENNAGNAAAALMARLAPRAKILRDGKWGEEDASVLVPGDIISIKLGDIIPADARLLQGDPLKIDQAYAHTSSLTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGRAAHLVDNTTHIGHFQQVLTAIGNFCICSIAIGMFIEIVVIASQHRKYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDKDTVVLMAARASRTENQDAIDTAIISMLGDPKEARAGITEVHFLPFNPTDKRTALTYIDGAGKMHRVSKGAPEQILNLAWNKSDIEKRVHSIIDKFAERGLRSLAVARQQVPENTKDSAGGPWEFIGLMPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDHKDAALATLSVEELIEKADGFAGVFPEHKYEIVKILQGRKHITGMTGDGVNDAPALKIADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLSAFWQFNFPPLMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLTEIFTTGVVIGTYLALMTVIFYYGMAETSFFANHFHVDDFNKHNYDLKSLSDNDAKEMTKIINGRLASAVYLQVSTISQALIFVTRSRGWSFTERPGSLLMCAFVIAQLVATVISAVVTWDVAGIVKIKWKWAAVIWIYNILTYLLLDPIKFGVRYALSGRAWGLIVDHKTAFTTQKDFGREAREAKWATEQRTLHGLQSRETKMFAENYTFRDINIMAEEAKRRAEIARLRELHTLKGRVESFAKLRGLDIDVNPHYTV >KZM85587 pep chromosome:ASM162521v1:8:26916031:26929237:1 gene:DCAR_026991 transcript:KZM85587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHRGGAADRYGDSRPYRNSRAPPPPPPRGDGAYPMKKHHNNHNHTSPDGSYFNSGFSSGGRDRGGVNSPPRFSGGGGGGRGPGFRGGGGRGGSGNFDQRSPNGGGGRGGMGNFDKQGPGSGGGRGGAGNFDQRGPSGGGGRGNFDHQGPPASGGGYGPGNFDQQGVVGGGERGNFDYHGPITGGGYGHGNFDQQGPISGGERGNFDHQGPVSRGGRGGVVNYDEQGPVSVGGRGGMSNFDQQGPISGRAHGDFDQQGPLSGGARGNFEQQDSVSGGGRGNFDRQGPISGGRGNFDQQGPVSGVGRGNFDQQGPVSGGGRGGAVNFDHQGPVISGGRGGAVNFDQQGPTTGGGRGGRVDFDPQGPVTGHKRGYPFSAPAVSPDNQDGGAFAKLFVGSVPRTATEEDIRPLFDQHGRVLEVALIKDKKTGQQQGCCFIKYATSGEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQAIEKEVEEASHKYMFFIFSPYGRVEDVYLMRDEMKQSRGCGFVKYSSRESAMAAINSLSGSYIMRGCDQPLTVRFADPKRPRPGESRNGPSFGGPGFGPRFQPPGLRPMPNTIETTHNHNLPNTWHPMSPQNQVPSDVGIHTRFPAPSTPGGSSSGGFSGSADGSSPVFPVSSSTVPQNYNQSKPQVPSFSQQITPVQQQPYHSSQQYPSSMQSQSAGSYPQKQTSVAQTPYSQTYSSQKQPGVNGQLPVSLSHNQQNLPPASTQIPSNNNVPPQSLPGIANQPQLNPQQQFPQPLHQSPSQLTAQMLSQQTQALQARLQSSQQAFSQIQQQLQMMQPSNQSFTMQQGPKASSQQTSWDGMTPQTSASSKVNPPVADGPSAATAPSVIPEMTHTAVPLKCNWTEHTSPEGFKYYYNSTTGESKWEKPEELSSFEKQQTQLQKSSVQQPQSQFQPQGLPTQQVPPNPQGQFQPQLQPQLRYPPQLQQPSQSSSYQAPGYAGHQGTQDIAYKQSPAVASSVNDPSRFQQGLQGSQEWMWKNNPTGSEFMFLFVTSNLLSFTCFFRGWVNSYISLVCRCLSEIGCMQKCLVMNTELEVVLPNARYAGRVQGNVDLIMKLMHGRVLLYRFLSHVLSFIDSWVINILTYAPSLTL >KZM84085 pep chromosome:ASM162521v1:8:7047418:7049794:-1 gene:DCAR_028493 transcript:KZM84085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPNELVQSCCLENVRECRLRLSNSLDFPVKFNAAERKIEGVEKMLSVLVINKFLPVLIDYIGFNCFTLTFFSDDGFQCDPSRVTESKDSRILEGSVEDQQNSDCRDYATLVVFGRTYDRQPVFYEIHENTIRRLSNRNKGDPKGFDIGDPNLSAGTLWLNMGFKSYPFMFEYRNGAIPFGFSVKTGVSLPRDCVCVLSDGYTVPVKHSENQRGLLGLRSLFADNKIEHSDIVVVSYMRNGVFKLRAFKKRGMEILLKTTAQGSQMLTKRKERGNESEASAANARSDEAKKAKVMTEEVISQVGGVEGIQPVIQHSSSTNRLKWDIIIKPSHLDRIVHGVNVSTVYKNITKSWNNRDIITVNCTTGIVNMVVRRNNGRITIHEGCNTFVEIMKP >KZM84756 pep chromosome:ASM162521v1:8:18847670:18850433:-1 gene:DCAR_027822 transcript:KZM84756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDENKNEGAKENEDENKTVGAEPEAENGGSGEEKLTRQISRSSVDASDHDEEDEEDVDGKLQLGPQCSLKEQFEKDKDDESLRRWKEQLLGSVDIDSVGETLDPEVKILSLAIKSTGRSDIVLPIPESGNPKGPWFTLKEGSVYSLKFEFKVCNNIVSGLKYHNTVWKTGLKVDRTKEMIGTFSPQQEPYTHEMHEETTPSGMFARGSYTAKSKFLDDDNRCYLEINYTFDIRKDWQSI >KZM83803 pep chromosome:ASM162521v1:8:1744561:1748582:-1 gene:DCAR_028775 transcript:KZM83803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLQFLIVHLKQLSGNAKSSLKVNYSMYLLNQNVLDRGSSLSAEDADSNSLISPDGSFSCGFYEVGTNAYWFAIWFTDSKERTIVWMANRDKPVNAKGSELALKKNGVLVLKDVDGTVVWETNSTSTGARKAELLNTGNLVLKDHKDDIIWQSFDFPTDTLLPFQALRKGKKLVSSLKKGGFATGYYNFYFDNDDNVLRLVYDGPEITSLYWPNIALGIYQNGRTNYNSSRIAVLDNLGRFLSSDQFQFSASDAGNGIKRRLTMDYDGNLRLYSLNNLTKVWNVSWQAMPQMCNVHGLCGKIGICTYTPNPECSCPPGYERSDQSDWNSGCKPKFNISSCLKPDEVKFLEIPHTDYYGFDLNNSNPITFEACRDICLGDCLCQAFSYRLTGEGFCFTKGVLFNGVQTTSFPGSIYLKLPASLQVSGSALLSDSSSKCEPSDVNVRVGSTLMYDMDFKKVRWAYLYSFSAAIGAIEFLIFVSGWWFLFRKNGTSSNLEDGYLLISSQFRGFTFSELKKATNNFKVELGRGGSGAVYKGHLTDERVVAVKRLGVIFQGDEEFLAELSTIGKINHMNLVRMWGFCAEGKHRLLVYEYIENLSLNNHLFTSSVLGWKERFKVALGTAKGLAYLHDECLEWVIHCDVKPENILLDSEFEPKIADFGLAKLSQRGMPNSEFSRIRGTKGYMAPEWALNLPITAKVDVYSYGVVVLEIVKGIRLSNWVVDDDIQEPEAELARFVRLVKRKILCGDASWLEETVDPRLQGSYSKDQAKKLVEIGLSCVEEDRYRRPSMATVVQALLDCEDSSRTEKT >KZM85929 pep chromosome:ASM162521v1:8:30007354:30009897:-1 gene:DCAR_026649 transcript:KZM85929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVLSFAIENLGNFLVHQVNIRIGVRDDIGWLKEELGFLQASVNRAESRQEDEIRLWISTVRDVANEAVDILKRFQDGQASLEQGHMDRFLNCICICKKEAQLYDIGNNIESLKKKIGVIKERRLEYGIDNILAGPDVKQKERTLIRTSAIDKQVDVVGFKDDIQKLMKELNSEDPALKIISIHGMGGLGKTSLATKLYNSNELRDFGTRAKVCVSGEYTIKDVLKRIVKSFKGPEHEQYMSNMDEHDLLQYLSKLLQNQGCYLVLIDDIWDINAWSQIKTAFPNQDNGSRIIITTRNKKVAETVDNKGLAYQLRFLREEESWELFCKTAQPTDQLENLGKEMVGKCRGLPLAIVILGGLLLHNNSYDYWAKVKKHIWRNLTDDSVDIVEILSLSYKDLSPQMRDCFLYLARFQEDQIIDVERLKHLWIAEEFISEDEEGDGVLVEDLAEDCLNELSNRNLIQIEYLQLNGKVGSCRVHDLVRELAIKKAKEQKLLVIFESSKHQPNLIHLLKGQRRHAIYDGTGKYLKSLEQHIFDASFLRSLLLEGYEDKVELKEMKLIYTSFINLKVLDMSSVESHWIPEEIGDLVLLKFLGLMSNGGKTVAVPTSIGKLKKLQSLWGGSDGSYYTVPREMWELPELRHLYYLFIGISGRLNIGSHQIKLHSLIGIQMREWVKIDTVNFINLSTLHMLNIDGEGYSLESLSSLNLLKSVYLVGPIKELRFLPDSIMDLTLCNSHLKEDPMPSLGNLPNLTALELDRRVYLGNKMVCSQNAFRSLQILRLRSVYNLEELQVEDGTFPCLKSFQALDCEELKKIPIQLERFLTEFGKGEMNSFSLILNVFFRYW >KZM85513 pep chromosome:ASM162521v1:8:26416018:26416392:1 gene:DCAR_027065 transcript:KZM85513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYDDVQCEEYCRSNYPPGLIDECAWRALSYGLICVSTMLFKFSDSTKEIYLWNPLVEKYKSLPDSQLSNIETQWNALAFGFVPEISDYVVVHIVKPRLHLGRSEPDPHSVIIGIYSQNTNSY >KZM83877 pep chromosome:ASM162521v1:8:2509927:2516213:-1 gene:DCAR_028701 transcript:KZM83877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTEEEVGRLYRIRRTVMQMLEDRGYLVVEAEKEMSKTQFLQKFRENFKREDLVIFMSSDFESLNITNMVPCCIKQNLIYVFYPEEAKVGVKTMKTYTNRMKSENVFRAILVVLQSLTPFARPCQAEISSKFQLEVFQEHELLVNIKEHVLVPEHQVLTPEEKKELLDRYTVRETQLPRIQLTDPVARYYGLTRGQVVKIIRPSETAGRYVTYRYVV >KZM85129 pep chromosome:ASM162521v1:8:22948167:22951212:1 gene:DCAR_027449 transcript:KZM85129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQCMSVSTVPRSSSGVNGPDHVSRRKFRFSETSHVIFGVQRHGFGGPFLPRQSSIYDVGIEKQRDFTVKAGWLFKGNDKESDASIERSDSAMEDIMIFFYQLDLGTRVQYALNVEQYEIAQQLRNKLTEVDAEVIKQQEAKRGSASKSEAQDKAISILRLRADLQDAIQSENYAKAASLRDEISKLESQSLAVSIKAQAYINTKFAFRLGQKLKHKKFGYRAVVCGMDPVCTESSSWMESAQVSKLKHGPNQPFYQVLVDVRADPNLLVAYVSEENLLAPEQPDTERFEHPYAPFLFYGVDAAGDFIPVKQLREKYNKPRHEVPYGPQDEENGQDG >KZM85519 pep chromosome:ASM162521v1:8:26466368:26472424:-1 gene:DCAR_027059 transcript:KZM85519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTAMEVGADGVAIITIINPPVNSLSIDVLNSLKETYDQALRRDDVKAIVITGEKGKFSGGFDITAFGGLQGGKSQAPKPGYVAIEILSDTVEAARKPSVAAIDGLALGGGLEVAMSCHARISTPYAQLGLPELQLGIIPGFGGTQRLPRLVGLAKSLEMMLTSKPVKGEEALSLGLVDAIASPAELISTARRWALDILERRKPWVASLYKTDKLEPLGEAREILNFARAQARKQGPNLSHPLVCIDVIEEGIVSGPRAGLWKEAEAFQGLLHSDTCKSLVHIFFAQRSTTKVPGVTDRGLKPRRIKKVAILGGGLMGSGIATALIVSNYPVILKEVNENFLQAGIGRVKANLQSRVKKGRMTQEKFEKTFSLLKGSLDYESFKDVDMVIEAVIENVSLKQQIFADLEKYCPSHCILASNTSTIDLNLIGQKTKSNDRIVGAHFFSPAHVMPLLEIVRTEKTSPQVLVDLLDIGKKIRKTPVVVGNCTGFAVNRMFFPYTQAAVLLAERGTDIYQIDRAITKLCDLVGFGVGVATGKQYIEHFPERVYKSMLLSLMIEDKRVGEATRKGFYVYNDRRKASPDPEVKNYIEKARNMSGVSVDPKLTKMSDKDIIEMIFFPVVNEACRVYAEGIAVKAADLDIAGVMGMGFPAYRGGVMFWADSIGSKYICSRLEEWSNKYGGFFKPCAYLAEKAAKGAPLSTPLDQGKARL >KZM85449 pep chromosome:ASM162521v1:8:25884220:25885963:1 gene:DCAR_027129 transcript:KZM85449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQFRKKLSHLCSRIRWLIWRRPKSKVVIRRFGKLSSKGQLRRKPSNLAVRRKNDLMGGALLQKSVRVATFNAALFSLALAVPRAEKAVVFLNEDDLFTTMEKSANNVPKSILKQSPLHSSFSGTASPEYLLSPIKPKMKVSINLPDNEISLAQKKVLGKIDEPSRPIRQGSVRNQGPMRSPVNIPFGMTNWMNDGSLIGSRTILDVLKEVDADILALQDVKAEEEKNMKPLSDLAYALGMNYVFAESWAPEYGNAILSKWPIKRWKVQRIYDDQDFRNVLKATIDVPWTGDINFYCTQLDHLDESWRLKQINAIIQSSDHPHILAGGLNSLNISDYSPERWADIVRYYQAIGKPTPKVEVTNFLKGEEYIDAKDFSGDCEPVVMIAKGQNVQGTCKYGTRVDYIMASQDLHYKFVPETYSVISSKGTSDHHLVKVDIVKAADRRPKKLTQKVARIASSCSSSGMWKID >KZM83802 pep chromosome:ASM162521v1:8:1743973:1744319:1 gene:DCAR_028776 transcript:KZM83802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKTSNRSALELIIVLAFAILLVSGSAEGSGKTDKALSCTSFIDHKDGETCFSVAQEFSLTIDEFMGFNPNLDCDKLFIGEWLCLNTA >KZM84289 pep chromosome:ASM162521v1:8:11675630:11676656:1 gene:DCAR_028417 transcript:KZM84289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGYKPKSVRKRKTSAEKGNISGNSSKRFTYPRNPLTPTAAHSVISKCTQTFTESSLSPPGSSNISSAYRRPDNSVAPSRTPLCNITNRMEYNTNKPGKPFSGTPKSKFQDTSRILFVDENSPLLEAKKRLQDDYIGLRNNSAADTTPDNAVAASRTPLSNITNLVEENNINPGKPVCCTAKSKYQDTTRTLFGNENSPPFEANKHLQDDEIECSTIANLVFSESSDNDDLCGILSRIYLICYKFV >KZM85617 pep chromosome:ASM162521v1:8:27229050:27230996:1 gene:DCAR_026961 transcript:KZM85617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQTALPPELANNALRLYRECLRRAKYVGSQQHNTPLVVEMVRNQFKRDMHETDPEKIQQMKDNAARGLINHMLFETEKMSGRKFTQSV >KZM84338 pep chromosome:ASM162521v1:8:12727769:12728650:1 gene:DCAR_028368 transcript:KZM84338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLDSPVEIRENSIQFSMETEFCERPPRHSRRVVAEIHEEVLRYLPVTPSEYPRRELGSTSPNLHFTPRRLVNGFCDRMSNFLEFSFVKGITEKSDKGKAKGGLLSALQVEAGLLLLMSPFGIPLK >KZM85538 pep chromosome:ASM162521v1:8:26616541:26617087:-1 gene:DCAR_027040 transcript:KZM85538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQTTQLELRSPVPPEKMFRGLVLEIDNIVHKAAPGAYKNVDVKGDGGPGTIKHITLGDDSPYKTMTLRTEAIDKQAMTVDVSVIEGDLLLGIIEKVENHLKVAPAPGGGSICTTTSKYHTKGDAVVPQENLKFADEQNTKLFRAIEAYLLAN >KZM83962 pep chromosome:ASM162521v1:8:4082169:4082528:1 gene:DCAR_028616 transcript:KZM83962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYNSASNVWSPDYCGCGLSPVLRTSWTDMNPGRRFWGCSLYMKNCRTGCNFHQWHDPPVCGRSGNIIPGLLKRIERLEREIEKRARNEKRMK >KZM85324 pep chromosome:ASM162521v1:8:24699572:24701695:1 gene:DCAR_027254 transcript:KZM85324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQFTISTRIDPRTTFLLLPKCRYLRGVINVGSLHLSKSTRSWGIRVSDGEDSYLDMWKEAVEREQQVGEFQKIAEESSEEDDVETEEVLKRKSNEFKKLLEVPTEERDRVQRMQVIDRAGAAIAAARSILKEESSLMKTNSDQGSLVPLNLEQELQGKKKDIVVPDSGNDKIYTPGPNFWSWTPPEDNTMISDTESNMESPGITYPSISDTAPVMVLKRDVDILSIPFESKLENDHNPPLTPLQSLTGIEKVELSSSTPETPQIEVERDLNIMFCEIDIMFSENAAEAATALTEIEIESPEGTYPDGSRWWKESGTEVRPDGVVCRWTIKRGVSADKTVEWEEKYWEAADDFDYKELGSEKSGRDSNGNAWYEFWKESMYQTNGERTGKEVSGGGNGGNPMVPLAKLRNGXKWWESYGASGQAEKWAHKWCSIDPNTPLEAGHAHVWHERWGEQYDGQGGSTKYTDKWAKRSEGDGWSKWGDKWDENFDTNGHGVKQGETWWKGKHGERWNRTWGEGHNGSGWVHKYGKSSSGEHWDTHEEQDTWYERFPHYGFDHCFENSVQLRDVKKPSE >KZM85859 pep chromosome:ASM162521v1:8:29376407:29378126:-1 gene:DCAR_026719 transcript:KZM85859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSLDYIESLEEERRKIQVFQRELPLCLDLVSQAIEKCKKDFYSPKQLSECTEQASSEGPVLEEFMPIKRAASSNEDEQEPHFKKAKNVMTDNNRSEKSLKKSDWLRSDSPQKLSVVEVNKNGNGGAFHPFKREDKKSEETPVAAAASSTEEAGGSSGGCKAEDKEDPRRKARRCWSPELHKRFLQALQQLGGAHVGTPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSSNNAQAPQFVVVGGIWMPPPPEYTAAATTEEAGLMIRSSNGIYTPKATLPKIRRQPSEADYSDKNGSYNQTRSRSNSPESSSF >KZM86051 pep chromosome:ASM162521v1:8:31061648:31062616:1 gene:DCAR_026527 transcript:KZM86051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFKQPKQIHEIKDFLLTARRKDARSVKIKKNKDVVKFKVRCSSYLYTLCVFDAEKAEKLKQSLPPGLSVQDL >KZM85890 pep chromosome:ASM162521v1:8:29666011:29666661:-1 gene:DCAR_026688 transcript:KZM85890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFIIITTIICIASSSFFTPCDSLSAAGYDHQLTRRTVLPRKLGLSEDHEEAKKKQASIETWREWVEEGSDSSQYFTMDYSRVRRRRPIHNKSLPVAP >KZM83844 pep chromosome:ASM162521v1:8:2106902:2108020:1 gene:DCAR_028734 transcript:KZM83844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGEAKAKARAAFMEYARARIKEYWARKAAQEVADAAEPKAKKPKLSSEIKLGPEIVSVPADTNSSLPTSSYVKDTPSSEDQIKPQPEPPLPQTPSSDDQIKPQPEPPLPRTSILGVIREKDNWLYDINKRRCKTTGVPGYINKFCTMALEKYNNEKGTNYRYACLLLAHEGGQIRGSIYTLKFRASQSDSDFKFEAFTARVTSGYKFSKGEWGFVREVEQVSLVPGSLHFAW >KZM84412 pep chromosome:ASM162521v1:8:14061305:14062555:-1 gene:DCAR_028166 transcript:KZM84412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTAATLGIMWLLFFPILGCKAHEGAGGGGLSNFAPSWMTGFQRGPETAGTLYGLPSPSPPTPTGPPATPSGPPVQPSDPQPSTTPQPSDPTGPPPSGLDASQPPATPAASQPPATPAASQPPATPAASLPLSLPRSLGPPERSTSPDITAPQDCERAYCHHHKSEHIHEPIHGPLTQPMFNMP >KZM84367 pep chromosome:ASM162521v1:8:13158788:13160524:1 gene:DCAR_028211 transcript:KZM84367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTADVIGMIEEWEPTSRVPTRYGEREKLRFKFSDGRVAYGVCLWGELAVTVSNEYESEKKRHVVAIIASSGLTTFRRVVFRLLVLADDNSFASTVVLTDRLVKRLAKTIVTNLMNSSKEAPNSEMPPVLRNIAGKTITVKISLSKSNVAGDSNIYKAVDLCEGSVSGKKAAEYSPITKFPSFEQSQTNDYVVCLNTPTSSDSVSKKIKMVTYFCIILMHMIA >KZM83705 pep chromosome:ASM162521v1:8:644161:644394:-1 gene:DCAR_028873 transcript:KZM83705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAPLSSPGHSQIISPAATQGAGEVSDSSYRLYGLHGEIMMVVVLSIFGLFIASLLVYLYVKRESNRGSKERDLEV >KZM83869 pep chromosome:ASM162521v1:8:2455380:2455928:-1 gene:DCAR_028709 transcript:KZM83869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFIRSSLSSTRKLFRRTLQSVKSLFSERTTYQRLPKPPSFRQFSCQSYRDLDRFYSEFTNLWDNELAVEDNVVVSTSPKREILQNLEEFRDDRYRLIAYEEMLEKDVIERRCLVAEKLKELEMIDSNNLENARDIEEVLHLYSLLTCPVYIDMFDKFVTDMYSDIFNLKVSSDPKRNRVIS >KZM85945 pep chromosome:ASM162521v1:8:30181012:30190428:-1 gene:DCAR_026633 transcript:KZM85945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSAAAAAGDDRKPIKLPISGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYVCGTDEYGTATETKALEENCTPKEICDKYHAIHKKVYEWFDIKFDEFGRTSTPQQTEVCQAIFTKLMENNWLSENTMQQLYCDTCKRFLADRLVEGSCPTQGCNYDSARGDQCEKCGKLLNPTELIDPKCKVCQNTPRIRDTDHLFLELPLLKDKLVEYINNMSVAGGWTQNAIQATNAWLKEGLRQRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITSCYTAEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWADLQAKLNGELLNNLGNFVNRVLSFIAKDQASGYGSIIPDAPGAESHLLTKNLGDKVGSCVEQYIEAMEKVKLKQGLKLAMSISGEGNAYLQESQFWKLYKEDKASCSIVLRTAAGLVYLLACLLEPFMPSFSAEVLKQLNMPLETQVTLTDEKGDIERSKRPWELLPAGHKIGTPAPLFKELSDKDVESFRQKFAGSQADRIVKAEAEAKQITEQLKKAKVSDGNVKKERTKKSASENKPKAAEQEISISRLDIRVGLITKVQKHPDADSLYVEEIDVGEGQPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPATMRGIKSHAMVLAVSNADHSKVELVDPPQSAPVGERLTFSGFEGDPDDVLNPKKKVWETIQPDLHTNKELIACYKDLPFTTSVGVCKSSSEHYASFDGNVKKERTKKSASENKPKAAEQEISISRLDIRVGLITKVQKHPDADSLYVEEIDVGEGQPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPATMRGIKSHAMVLAVSNADHSKVELVDPPQSAPVGERLTFSGFEGDPDDVLNPKKKVWETIQPDLHTNKELIACYKDLPFTTSVGVCKVSSISDGSIR >KZM84216 pep chromosome:ASM162521v1:8:10500895:10505744:1 gene:DCAR_028237 transcript:KZM84216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCITCSKQATEDGEEEGAPPRGTPSTKEAVKSLTAQIKDIAVKFSGAYKQCKPCTGSPTFKKGQRGYPEFDTSSEGVPYPSRQPESSSSTPAWDFTRTGNHQASRADSRFARPGGSSSVSTQSAEVPVKNEDEPGEWMAQVEPGVQITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNKQALGTPGSEDARDSSYSRLGLVKESPVTPSLNKDWTPKDHYKASSSRAYFPADSPDQGGNQQYNVSSNVYATGGPKCEASSVEASRTTTSSRDEASVSISNASDVESEWVEQDETGVYITIRQLADGTRELRRVRFSREKFGEVDAKLWWEQNRERIQDQYL >KZM85614 pep chromosome:ASM162521v1:8:27156605:27158451:-1 gene:DCAR_026964 transcript:KZM85614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAKIICEQSASLAVSIRPDFFDLAAPSKAVVDICASEAVSAELTRSESVVRCSTTIQATSLEPAAASKYVPSIRSGSYTDIGPRRSNEDEHICVDDLSAQLGSCNMWPLPSSFYAVFDGHGGSEASAYVKNNAMQLFFGDAALPQIYDNDDKLLEDLGNSHQKAFLLADQALADECTVCDFCGTTALTALVFGSHLLVANAGDSRAVLCRKGVAVPMSQDHRPSCLPEQKRVKDLGGYIEDGYLNGELAVTRALGDWYMKSPSGSPAPLTAEPEVQQTLLTEDDEFLILGCDGIWDVMSNQEAVSLVRCTLRKHDDPQECAKALVDLALRKDTSDNLTAIVICFTSPVKHRESVPQRPKSRCLSLSEDARIRLMSLLQGN >KZM85787 pep chromosome:ASM162521v1:8:28658555:28663644:-1 gene:DCAR_026791 transcript:KZM85787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKVKVFTQPHYLHNFVQSTFNALSADKVRGATLVVSGDGRYYSKDAIQIIIKMAAANGVRRVWVGQNGLLSTPAVSAVIRERVGADGSKASGGFILTASHNPGGPNEDFGIKYNMENGGPAPEGITDKIYENTKTIKEYLIAEDLPDVDISVVGVTKFEGPEGQFDVDVFDSASDYVKLMKSIFDFQSIQKLVASPQFSFCYDALHGVAGAYAKRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSQAEHEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVQSIPYFSSGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLGGGKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKDLMANLVKLQSSLSEVNNIVKGIRSDVSNVANADEFEYKDPVDGSVSKHQGVRYLFEDGSRLVFRLSGTGSEGATIRLYIEQDSQDALAPLVEVALKLSKMQEFTGRSAPTVIT >KZM83825 pep chromosome:ASM162521v1:8:1904236:1905534:1 gene:DCAR_028753 transcript:KZM83825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTWLEDELVRELLDDDSPLFILPCEEENDMSTGSNSSNYYSSYSFLDQLVSGSGILSEQTVESDSRSLTSNNYPSSYMQELLLATSRNSLLERGMCKSESKYTLRIKSSGNVISDDGYKWRKYGQKSIKNSSNPRSYYRCTNPHCSAKKQVERSTDDPDTIIITYEGLHLHFTYPFLKLGQTDSTGPVTKKPKLSSPIDEAHVDDTQRTKEKEPGYTSAGPDVVTDLAQDEVGLGPQGLLEDMVPFVVRNPQINTSSSHSSSVSSCPSPPTSPLSFSWSPPHYSNLYFDMGL >KZM85386 pep chromosome:ASM162521v1:8:25306140:25312347:1 gene:DCAR_027192 transcript:KZM85386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIRDGRRAAASNIPNGLSRRRHRTSSFRDSPEDEAEMHEPARLRERGVKKDRERDRDRERERSRSSKRRRADRLMNAADESSEEDESVNDEEDEDDDVSANFSRMLPPLPTPILSQPSISSNYNNHNNSHSYSHSHHQNLNRKSLLSDGGKMYKAAVPAWKAAADEMIGVSVPRKARTASTKRSHDWVSSGGGGGEQMNHRQASSSPVRGGIAMSTQSGAVVREAVPLSPPSSSNVSIKKKIKSSGGMKPRPQPRSTTTSKSSSSNNNPEELEIEIAEVLYGLMTQSQAPPKNDLLSKNEFNNKSSSEAKSRASSPVSNSSSAAPITAVAPKRKKPRQLLDNNFVGSSSTRSSPSMKLEIDQTARSELSPPNLERNFGSAAENGESANSGKSGAENNQHLSKSMKLEAGTLCESALMEEAGESRDVVSTTKEEVNSIKKDVPVVKLKDDPKEMIVTSMATSSNVKTAAPAIEKNREEKYQIDLMEKKKEMSNAKETDKVGSSKEDIVIHSAEKVAKTVIEEVEFQKSFVSKERNIDLQLDLEKTGMDTVSNPQNDHVVKSQVQFSKAAFKEEQQNTDKSAQSISLPLPMSVASWPGGIRPPIGYIAPLQGVVSVEGGTMPTAPVQPLFSQPRPKRCATHCHIARNIHYYQQLMKMNPFWPAPSGTTASMFGAKSSNLNVIPPTELHGNSSGRSLNSMQDKGHSLAIFPGSAVKENFAQPASIADAGHAKQQILLQQAMPPVPPTNMMHGPAFIFPFNQQQAAVVATSGRPASVKSPTTTGGLPSTGAPNSATVAASAPTPASALGFNYPNMAANETQYLVLQNSPYPFPIPAVGAPPNFRGHAQPMPMFNGSFYPSQMIHPLQLQQQQVPTTQQQQQIQQTHQNTGGGSMSSQKHLQSQQHRPQGIAINGGETGNGVLQNFAAPKSRSSQQSQQHHNQHLPPSQARQHESDVGGEDSPSTADSRGSRAPVNVYNQNFSMPIHPQNFALMNSSAASAGATVGSGGNQSEKKQTQHLQGFKSGVESLSSQAYAMSFGPMIGSTSASGIDLSSMSQNHAILQSLPEATTRHSYHQIMQAAATAQAVQQKKNTRLSEDGKIGGAVASSADEERKVLTGKGPASSGVQSISFSRPDFVDASESATPKDSASNSMARMLNLAPGAARMPNVMNLVGPSNSQLHAQLQHQQHQQLIQMHNQQQHQQQQQLAANMTARSKTPSSNGIASSEHLTSSSVASKFPNSISGFPPNFVQSSNNSSPTQSSQWKNSNRTPTSQVQSSLVSSTSSPKNHQQQQTRTLQNHTQISFGTNQKPSASPGQQHPNSSQSPSPPVVVGSPTHSSLSKGANSSPRINTASANKTGQASTLSSQQTKNSTSVPSQKASPAGGRNVPSVLGNPHSASLSATTKTQIQMQQLQQQQRQQQQFQQQLSKQTLQQAMFFSNPYFQGQPPNSASSNTSAASGYNLQRRQPEQPQHSQQSQGSSPSSSGMLSMGPPPTQSSTSTTDPAKAAAAAAAVAAATAAASSMKGGGFSSQGILHSPQFGVQSSGNSHQSLPVGFSYGHNVPAAVQVKPAEPKQPTEQKQPAGNNNLYTCWQPDKE >KZM85011 pep chromosome:ASM162521v1:8:21626093:21627034:1 gene:DCAR_027567 transcript:KZM85011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRYTYSQIKKITGGFNDKLGEGGFGTVYKGKLRSGLVVAVKVLGDYSASGHDFINEVGTVGRIHHVNIVKLIGFCFEGERRALIYEFMPKGSLEKYIFPEQGGERTATLSCQKIYEISCKVACGIEYLHRGCDIQILHFDIKPHNILLDENFIPKISDFGLAKLHATDDSIVTMTAARGTLGYMAPELFYKNIGSVSNKADVYSFGMLLMEMAGQRRNLKPLVDQISQIYYPSWIYDQISQGKEIEMEEATDDERKLTKKMIIVAMWCIQMKPSERPSMNKVIDMLEGDYELLVMPPKPLICPEEAPIEDQ >KZM84446 pep chromosome:ASM162521v1:8:14708807:14709469:-1 gene:DCAR_028132 transcript:KZM84446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGKRCSTRGNERVGCVRFSRSFGRKRVVISNDMEVDSCLVTPMKMHCEGKSAIESLPQDVLIRILCGVEHDDLKMVLRVSKAFRDATLVAKRLHFEYTTPRKRLAFRNPADFEGELDGRTPNAPKQTRVSKSRFGGNKEEEWWPRRALFVEMETEI >KZM85573 pep chromosome:ASM162521v1:8:26837126:26838865:-1 gene:DCAR_027005 transcript:KZM85573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEMFPSEQISSRCIPNEFGYSKDYYFRKEGNAERKTQWNGIEECEEWRSYNLFRQNTTTQGNLFLSTKQQGLISSAEITNFDELCYDIKPLSSQLSLEELTNFATIHSEFQELITLQESSSGIKLNNETKFGQADYEHFDLPIYDTTCANAGLSERLSTKDFLRLGGEKFIQSSSSVFNNFDLSHPYTTSLSGLSVEDHRDIEIIQNLLASAEKVGEQQFSRASHLLSHCHQCSSIKGTPVQRIVYYFSQALQQKIDQETGRRKINGAGKMQSLVLQDTLMNPNPSLLASYQKVPFSQVILFTALQVMIENVSEATRIHIIDLEVRNGLHLSMLMEALAARSDCPLEHLQVTAVGTISEHKIKETGKRLISVAQSLNLSFSFNVVMVADMLDHNESHFKLDTKETCAVYAPCILSSMIDRPSRLEHLMTVIQKINPRVMIVTEVEADHNSPVFVNRFIEALFYYSAVFDSMEECMDHSDEDRIISESINLGSAITNIVVFEGEERKIRHVNSNVWKAFFSQFGMVETELSRASLYQAKLLVDNFACASSCTLEMIGKSLSIGWKGTPILSVSALKFH >KZM86136 pep chromosome:ASM162521v1:8:31688617:31691872:1 gene:DCAR_026442 transcript:KZM86136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSSSSSSSSVVGFHPASAETPSTSLPALSHQLPRPPSSHVTHRLSRMPVSQGLCCGELVIGQGESAPLDYKSLPVVHRRTAVMSSIGLLVSVLMNNSDDHGAADASEFADMPALKGKDYGKTKMRYPDYIETNSGLQYKDLRVGNGPMPKKGDMVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKAFYRFKLGSREVIPAFEEAVSGMSLGGIRRIIVPPELGYPENDYNKSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELIKVGTS >KZM85034 pep chromosome:ASM162521v1:8:21944631:21999259:1 gene:DCAR_027544 transcript:KZM85034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVYKFWNCTLYLFLICHAQIIPTSTATVKGNETDHLALLAFKATIIHDPQGAFSSWNMSLNFCSWAGITCSKQHKRVTSINLASKGFVGSLPSDIGNMSFLTEIVLTNNSLQGTIPQEVDHLFRLKVLSLGRNALEGNIPDTLGQVNRLVILELFSNNLSGMIPNSVFNLSSLNVFNLANNQLQGSIPSDFGLTHHNIQKIQLSDNRLSGNIPVSLSNASKLQVIHLQFNNFSGPISVDFGRLLYLQNLSLEYNNFGLGEQGDLKFIDSLVNCRSLKILKLGANNLQGSLPRSIANLSIKLTMISLADNWISGSIPPDISKFINLIFLSLEHNNFTGIIPPEITQLGKLQRVLLSNNRLTGNIPASIGNLSMLDEVHLENNELNGTIPPSFGNCPMLVLLDLSQNNLSGTIPNEFFHVSPFSIKLNLSQNHLVGSLPAGIGGLDTIVELDISENEFSGFFPTELGRCITLDTLYMQGNFFRGHISHSMRNLRGMQNLDLSRNNLSGEIPDFFELPLKYLNLSWNHLEGEVHTKGVFANASEFSIVGNKELCGGIPELRLPRCSSDSDRSHKHKRSWVQDLFMIGFILVLLIVGYHLLPRGKKTEPPAVSIRPISVLPIRLSYKILHQATDGFSRANLVSEGGFGSVYKGELGPEYNGKAVAIKVFNQEATNSFSTECEAQQHIRHRNIVKIRSTSSSTAKKGKIFRAIVYDFMENGSLDRWQHLTCRTSPDELSMPQILNLKNRINIAIDVASALDYLHNQLDYPLIHCNLSPSNIFLDTEMSAYVSNFGLAKFLIDRRIFNGFAGPSEYAPPEYYQGSMVSTKGDVYSYGIILLEMLTGKKITGPSFHGSFKLQNFVSSVLSSGVNDVIDPFNLQELSTYDAAKANDCLVMLLGIGVRCAQESPKFRPDIKDTLRVLETIRSFFEANTGCTQTYAIGSLQAAVSMALRNRRSLLWNRLEVSEMPSPNLGETNSGRTDWNVATARQLLDPFPQMAPNLATVSYMDLHKATNGFSSANLVGAGGFGSVYKGTFNQGYVHLLLRDSEIVDEIGIAVAIKVFNLQRRGAVRSFNTECQILNNIDHKYLVKLIATCTSVDQDGHDFRAILFEFMDHGKYGLGCKMTTKGDTYSFGILLLEMLTGKKPTHRMFRGGLTLHNFVSLALPDNVFNITDTLMKIKCFASGQYRLYSDVCYRKFASCSLDGSKKQEISTLEQCCRLEVSEMPSPNLGETNSGRTDWNVATARQLLDPFPQMAPNLATVSYMDLHKATNGFSSANLVGAGGFGSVYKGTFNQGYVHLLLRDSEIVDEIGIAVAIKVFNLQRRGAVRSFNTECQILNNIDHKYLVKLIATCTSVDQDGHDFRAILFEFMDHGKYGLGCKMTTKGDTYSFGILLLEMLTGKKPTHRMFRGGLTLHNFVSLALPDNVFNITDTLMKSTTNYIVNLHLLVEFSDNFSPTKCMHNNLHQSSALKTSHRMRAAGANRYWTHVISLFLISTSQAAASSGNETDQLALLAFKAKISHDPLGAFSSWNMSLHFCLWEGITCSQRHQRVIILDLSSKGLVGSLSPHIGNMSFLTKLVLYNNNLRGLIPPEVDRLSRLQVLSLGRNALEGNIPDTLGRLNRLVILELFSSKLSGMITTFFNLSSLKVYNLANNQFQGSIPSEFGLAYPNLQKIQLSDNNLSGTIPVSLSNASTLQVIDLQFNRFSGPTLVDFGRLPYLQKLGLANNNLGVGEHGDLSFFDSLVNCSSLKILEVGANNLQGSLPCSFVNLSTELTMISLADNQIFGSIPSEICKFTNLIFLSLGGNKFTGMIPPGIVKLRKLQRIVLSNNRLTGSIPASIGNLSMLDEVHLENNELNGTIPSSFGNCPMLVLLDLSQNNLSGTIPNQFFHVSPFSVKLNLSQNHLVGSLPAGIGALKTLVKLDVSENELSGLIPTELYDCSALESLYMRGNFIQGFKSQPVKKLRALQNIDLSRNNLSGKIPDFFETLHLKYLNLSWNNLEGDVPKKGLFANASAYSIVGNRLCGGIPELQLPRCSSYSPAYQIIVVLLGLVFILLIFTILLHIYANMVLRSVLNRPISVSPITLSYKQLHQATNGFSKTNLVSKGASGLVYKGKIGPQYNKRAVAIKVFNEEATNCFITEIEALQNIHHRNIVKIMSICSTIVENRGFKAIVYNVTEHRSLEWWLHSKKYCLRSSMSTKGDVYSYGLILLEMLTGKKTTDPMFNEGYKLQNFVSSALSDGVKDIIDPVNLHELSRDAAAHAEDSLSMLFNIGVKCTLEMPQFRPDIRDTLSMLKTVRINFKANHTTEAYARTSSLASVIMAQRNMKSLPLYGITKMAPNSRSMSTLSSVVVSYVDLHKATNGFSLTNLVGAGGYGSVYKGRFLLGNSGLEDGTGTAVAIKVFNLQRRGAVKSFNTEYGTLKNIHHPNLVKTITTCTSVDKEGGAFRAILYEFMDHGKYGLGCKMTTKGDTYSFGILLLEMLTRMKPTHRMFRKGLNLHNFVWMAFPNNIVDITDPLMKIRSSANEGECLTRLFNIGEFSNKHPHQTAALETSYRMRAAGVNRYWTHVISLFLISTSAAAAASGGNETDQLALLAFKAKISDDPLGVFSSWNMSLHFCLWEGITCNKQHQRVVSLNLSSTGLVGSLCPDIGNMSFLREIDLYNNSLQGPIPQEVDRLFRLKVLSLGRNDLEGKIPGTIGRLKSLFFVDISSNELSGMIPTSIFNISSLSSFNLASNQLEGSIPSDIGLTLPNLKLIRLSYNKLTGSIPASLSNVSKLQAIEFQSNNLRGPVSVDFGRLPYLQLLRLSYNNLGSGEEGNLSFLDPLTNCSRLLILELESNNFQRSLPSSIANLSTTLQIISLAYNQISGSIPSGIGNCISLILLSLQGNKLTGIIPSEIARLGKLQRVILSNNMLSGSIPASIGNLSLLDEIHLEDNQLQGTIPAGFGNCPMLVFLDLSRNNLSGTIPRNLFSISPFSVKLNLSRNHLVGSLPAAIGALGTVVELDVSENEFSGFIPVELGRCISLSSLYMQGNFIQGYIPESLTNLRGLQYIDFSRNKLSENIPDFFGDLIYVEYLNLSCNNLAGKVPMIGVFSNASAFSIVGNKKLCGGIPELRLKKCSKKYIGTYNQIMAWGQVFILIGSIQDLLIISCVFMSWHRRKRREQLRYSASNVMISPNKMSYELLRQATKGFSRTNLVSESGFGLVYKGKLDLKYIGIPEGEKAAIAVKIFNTLNEEAANCFITECETLKNIRHRNIVRIISTCENHKFKAIVYDFMKNGSLEGWLHSTKYGLGSMVSTKGDVYSYGIILLEMLTGKKITDPMFQNGFKLQNFVSNALSDGIKGIVNPVNLFELTRENAAEAEDCLSILFNIGLKCALDLPQFRPDISDILSMLETVRIIFKMPPDSRSMSISPSVTLSFMDIHKATNGLSSTNLLGAGGFGSVYKATFHQENVRLLLRNSGIEDRIGTAVAIKVFNLQRRGAARSFKTEYKILRNISHMNLVKVITACTSKDLEGDSEPVKMFDRTANLANNQIINYKCDSSEKWLVLIGIAPGSPEISHRYSLIHVITKLGLLYVYDLKTAAALNNPKLAVDLSGGNLPVAENLVGYTPHDYLFLLQTILRSDSRGAVKFALMMAHMEGGCPVDYNIITDLFIEVPTITILSGNERDQQALLAFRAKIFNDPLGVFSSWNMSLHFCSWVGITCSKQHKRVTSINLSSKALVGSLSPDVGNMSFLSEIILYDNHLQGAIPQEVDRLFRLKVLNLGQNAFEGSIPNTLGRLNRLVILKLFSNKLSGVIPTSVFNLSSLNVFNLANNQLQGSIPSGFGSTLPNLQKIQLSDNKFSGSIPMSLYNASKLQTIDLQFNNFSGPISVDCRSLKILELGANNLQGSLSRSIANLSVELTMISLADNQISGSIPPDISKFINLIFLSLEGNNFTGIIPPEITELGKLQRVLLSNNRFSGNIPASIGNLSMLDEIHLQNNDLNGMIPPSFGNCPMLVLLDLSQNNLSGTIPNELFQVTPFSVKLNISRNHLVGSLPAGIGALKTLVELDISENEFAGFIPAELGDCVTLDSLYMQGNFLQGNISQSMKKLRGLRNVDLSRNNLSGKIPDFFENLSLKYLNLSWNHFKGELHTKGVFANASAFSIVGNKGLCGGIPELRLRRCSTHKMSWVQILIMIGSIQVLLVVGCLLWLRRKKTETRAVSIGPASVFLIRLSYELLHQATDGFSRANLVSEGGFGSVYKGELGAQYSENAVAIKVFNEGAANNFNTECGALQNIRHRNIVKIRSTCKSIERSGKEFTAIIYDFMENGSLDSLEMLTGKKITDPMFDRSYKLQNFVSNALSDRVIDVIDPFNLHELSRYDAAMAMDCLEMLLDIGVRCALESPQFRPDIKDTLSILETVRRVFEDNTGGTETYTTGSFQDAVLVAQINSRSLPWNRLEVPEVPSRNLVERNSGGADRNVAATRQSMDPSPQMAPNSVTVSYTRQLRDPSPQMAPNLATVSYMDLHKATNGFSSANLVGAGGFGSVYKGTFDQEYVRLLLGDSGIEDEIGIAIAIKVFNLQRRGAVKSFNTEYQTLNDIDHTYLVKLITTCTSVDQDGHDFRAILFEFMDQGSLEMWLHPTYKKYGLGCKMTTKGDTYSFGILLLEMLTGKKPTNRMFRGGLNLHNFVSLSLPDDVIKITDPLMKVMTSANKGDDKRVEDCLTRMYSIGLACSKTSAKDRPDMRDNSELGFKRERERGFCQQGGNLFITESQARVQISSFFQGFDLLKMDDEFKVLRFNWRGKIVDIRVEIDKCTLLDVVMDYEAKGKEEGVKLDYHFPTFYYRYKDDQFQLVVDKDMMTMFKRCAEKALIPIAVGTTIKPSPIHKLVLNLRRSNEAKHPPAAAIDNVVENVQENDVGLEDLEDNSVATLYFPARMAGGGPINSGSGKAYPGELTTYIMLTCIIAAMGAAMGGLIFGYDIGISGGVTSMDPFLKKFFPSLYRKQKADHSQNQYCKFDSQILTMFTSSLYLAALCSSVVASWVTRRLGRKLSMLSGGSLFCAGALINGFAQDVAMLIIGRILLGFGIGFANHAVPLYLSEMAPYEYRGGLNIMFQLSITVGILVANVFNYAFDKIEGGWGWRLSLGGAVVPAVIMIAGSLYLPETPNSLIENGKQDKAKKQLIKIRGVDDVEEEFNDLIAASEAEDPWRNLWQPKYRPQLVMGILIPFFQQVAGINVIMFYAPVLFKTIGFGGDASLVSAVITGGDAELM >KZM83845 pep chromosome:ASM162521v1:8:2126621:2130025:1 gene:DCAR_028733 transcript:KZM83845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQLVILVFRTLVGAVIYPKSSQKACKTFDDDASFKSNPGSLPIFLLADRGAWNAQNAGAAAILVADDRVEPLITMEDARANYLQNITAPSALISKYLGDSIKKALSNGDMVNINLDRREALPHPDERVKYEFWTNSNDECGPKCESQIDFVKSFKGVAQILERNGYTQFTPHYITWHCPEAFTLSKQCKSQCINRGRYRAPDPDQDFNKGYDGKDVVVQNLRQACLYKVANESGKPWFWWDYVTDFAIRCPMKDKKYTKECADQVIQSLGVDLKQIDKCIGDPTADVDNTILKTEQEAQIGKGSRGDVTILPTLVINNRQYRGKLNTGAVLKAICSGFEETTEPDVCLSEGLKPVIQGTPNVATYGDPLRSCPIPEQSRPETPGFAT >KZM84472 pep chromosome:ASM162521v1:8:15077395:15078906:1 gene:DCAR_028106 transcript:KZM84472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDVDIISTLPDDLLGRIISLLPTKDAIGTAVLSSRWKNLMDFVPVLDLACHVPTAGFIDTVKKLLPSTSSSANTQVIQNLRLQICECHWCIVPVHVNRWVRSAIERKVVHLDLCLPDFVSGTVANDVVLALHIMASDSLQTLNIRGGLGLRMPYTTGSFKNLKTFNLRINNPDKEILANLFCSLPQLEELSVEATFSMIRPGDMTICINIISPALKQLCLCINQKDYHDVDFKILIDTPMLQSIFLGDDFLAAYLVKSLPCIVKATLAVGRNLYYEDYSEYDKRFFRAIELIRGLSNVKYLSVTSDASAALDWADQDFPILHGVTDLELDDVPFSGISLIPKFLKSAPNLKNIVVTIHPEVELTGWSWISPETLPTSLSHLENFEIKEAETISHEPSFVTMIKYIIENAKALKVFMVYGYRFVV >KZM85014 pep chromosome:ASM162521v1:8:21688567:21690341:-1 gene:DCAR_027564 transcript:KZM85014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWLMLGNVIRPAIESDIDICDSTSDKETWITKTSETAQKMAKLTSSQPHVVIFPFPVQGHINSMLKLTELLCLANIHVTYLLTVQTHARLLANTNVVSRYTKYPGFRFQTLPESVSDGNAQSMDILLNLYNSLKTAKGFFRDLLEDHRALI >KZM84386 pep chromosome:ASM162521v1:8:13563131:13568265:-1 gene:DCAR_028192 transcript:KZM84386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVHYSGAICKSQTYLDNSTLPEPFHCKIANVNLPNHTIVSLVNIKSSCTAGLHTSSLFPNGCTQLKVHHVPQHTTSKRWLCQLQDTASSDDEYRSSRNIAISLFKRYKNVIDRGGGDNLKDFIGAGVNAYALGCTDEGLRKELTDMKESGFQIEAMQTYGGSTSLKSKICYEEISECIMWLSIIFITILCTPQPTIVRWSSTSPVSDEMKNQWKGFCAIIANAYYVRGMAWLPVKTLQLEQLAVSGCAEEPSVVASRMRLVFSTLEVRTILLLISFDNFWVLVVFDHTYYLGTLCIFSHLVIRSFIMISWTIIRSFRISKKYWEDCLSFLYYYTC >KZM83830 pep chromosome:ASM162521v1:8:1951575:1955265:1 gene:DCAR_028748 transcript:KZM83830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNHQPEVQIRVNSKRGGNVVHPLHMGSPGGVERNEVELDKMGALDVDKVVEKHQGWRLITCMWLHGGVFHLLANMLGLVVIGIRLEQEFGFVLIGLLYVISGFGGSLLSALFIQSNISVGASSALFGLLGAMLSELITNWTIYANKVAALVTLLLVIVINLAVGLLPNVDNFAHLGGFITGFLIGFVFLIRPQFGWVSQRYTTPEYSATLAKSKFKMYQRILWIVSLILVIVWLVIALVMLLRGVDLNDHCSWCHYMSCVPTSRWSCNSQTVSCTSDQRSNQLVLTCSNNKTKSYDLLNATPSQIQGLCSQLCR >KZM84502 pep chromosome:ASM162521v1:8:15469024:15476895:1 gene:DCAR_028076 transcript:KZM84502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGEIHGDWEMVEAEPRPPTPPTPCLPFSPADIHMGIEQLEVQDEEEEDGPPPGWNFIPQLQSNKGDENMDDDEEGPPPGWDFKPKPEQLTEHENKDIKEAEQPPDWHFVSLQEPEIGSHHDDIEEGPPPGWPSVLPAQQNMMAEKQESKVGSELGSHSVHSPQIRTRSELEALKAKEIGIQHGKSSIDLLQPIVEYKQDTEEAQPGLNSILLPQPQVTSPMVPPSPLQLSDTDRKSEQEVADEEEPPPGWNSTEKNKFNSEHKDTKEGETRVRTSPAKTEIELEKQDILEGADEERAPPGWYSIDKDIISCEHQDIKDGASLSSTSSAKLEIKIEKQDIIDEGPPPGWNLMPPPQSKSGSENQAIKGEGPSRGLHLGPPPPKLDSIQQEIGEERPQSMSNSLCQQSKVGHRQQEVKEERPHPGSNCMPPPSPQIRPPMPVNPMSTSARRPPPSGNHSEMGQMVCGSCRRLLSYTRGAKYVKCSCCQTVNLVLEAHQVGQVKCGGCEVLLMYQFGANAVQCASCRHLTEIVVCNATLL >KZM86093 pep chromosome:ASM162521v1:8:31308132:31318629:-1 gene:DCAR_026485 transcript:KZM86093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKRDKHNNIIALTGAAGAAALLAFALNFAISSLNSRHKKKELKGSKVQINLSPSRIIRLADQLIAKSKAVHDAVASVPLDKVSYNNVISPLVDLEIQQFPLLQSCIFPKMVSSSEDVRKASAEAERKIDAHADKLRKREDLYRVIKAVAVKGEKMSTEAKRYTQFLVKDFERNGLNLTLAKREELQRLKTQLDDLSIQYIRNINDDGSFLLFNEMDLLGLPLQFLQSLDKAENGKLKISLGSHHLLPVLEFCKVGTTRKSVAVAYGRRSELNLSVLQNLVHLRHKFARLLGYSNYADYTVELRMAKFSRKVFEFLEDISAGLTELAAKELDLLKGLKKEEEGEHPFGIEDLQYYVKRVEEKQFDLDFGVVKQYFPVDVVLSGVLKICQDLYGLRFEEIADSIIWHHDINVYSVYDLRSCELLGYLYLDLYKREGKYGHTCVVPLQYGSSQDGSRQVHHICNRASFPKFSGLRLDPDFVEIPAQVLENWCYDNIALKMISGYHEDITKPINDEICDSLRRWRSSFSALKLKQEILYCLFDQIVHSNENVDIIGLFKHLHPKVMGGLQMLEGTNPASCYSRLAIGYEAVCYSRIWSEVFAADIFASKFRDNLFDQNVGTQFREKVLGPGGAKDPVEILTDFLGREPSIQAFIDSKSDFI >KZM84820 pep chromosome:ASM162521v1:8:19767361:19767609:1 gene:DCAR_027758 transcript:KZM84820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKKLLKVLEREDGKLHILDGEEWPEDLWVRDWEVLVRNHPDVHAWYTRTGRLLIASACVITTKQMQRLHSQLRIWYSMSN >KZM85633 pep chromosome:ASM162521v1:8:27409752:27410426:1 gene:DCAR_026945 transcript:KZM85633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNEDSISSCGLFCPLYNDNDYEDILQSLSTYDVTQYNIMEYDLVPNNVRHGSPPPIVEEEDFNLEPPEVEELKARLWSEASKFLSSGEAELKARIYHTSYAIPWDHGCKNCEWISDISKLEPPGKQCKHRVRFVKGFVRNLQNYPNETLPLRCRARFKLYDGSGGILVSLWDDSLEKKIITGGDIQENSVLVLYDVPVLFKNHGVPRYRLSIGYSNILALFN >KZM84290 pep chromosome:ASM162521v1:8:11683483:11683905:1 gene:DCAR_028416 transcript:KZM84290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGLPDSLPISKHQTLIKGASKQRGRGPGVNNMINSQEPLLAPRKAPLVALDAQVEVKY >KZM85378 pep chromosome:ASM162521v1:8:25259346:25259696:1 gene:DCAR_027200 transcript:KZM85378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKRKISLWTLDDKACLAGVGVEASWTKLHVIDVGVRVVLFEGLFNGVQLLLSDEDDNRLLYDLNNKATTNVHIPDFFVIGGFFKHTNSLFSLEGFKRIKWAVEHSEIQMNENIAD >KZM83987 pep chromosome:ASM162521v1:8:4965127:4970218:-1 gene:DCAR_028591 transcript:KZM83987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTCPQVFDSMIEYSVKTIVSVLSSSINCKAIRCGEEAVLSIGCLISCRDAGELMETCGDILGKLKARGVSEEESDGIICDSLLYAVVKVAVSASSFRHVKELGPVIDVRPGARRTLDLSKLLHYLPKELTVKKGEIPLSRQFLKILAGPLTSGGLLQLVHYISKSQVQDERCSPNQAATKSSLVDHKSMWAITMNFPDWFYFSCVVLYLNKSSGDSFGSTFISGAAFAQDTEEAEPLCSDAARYISWILNPIGKSHQDVLAQNLVKMAGNFPSKQYGLAKHTNPKKLKRPKIYHGVHGKEYDCQIIRLWINDFRDMYSKHIYNIVNSSIEVKSAPCAKLGQDMLFRRISLGILIGCSNNVSEEGWEILLHYAATCMILQSANTQDTGLWPKQKSQGMEVSIAWNENSDRKEVEKGVAPQPTVMIHDRFQLKSANFSHHVPDGDAEVSVEDLAATRIQTAFKHTIVSGLLCVLSLKATIFPKGGKVIDTATNTPRKNSVARNSPGVRLISIRRKMRLLSLITCTG >KZM84204 pep chromosome:ASM162521v1:8:10188320:10191393:-1 gene:DCAR_028249 transcript:KZM84204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGASGSSKAVRIVVAGDKGTGKSSLIVTAAADTFPANVPPVLPPTTLPADIYPEKVPVTIIDTASSVESRGKLTEELKQADAVVLTYACDKPSTLDRLSTFWLPELRRLEINVPVIVVGCKLDLRDEQHSVSLEQVMSPIMQQFREIETCIECSALNHIQIPEVFYYSQKAVLHPTAPLFDQEAQTLKPRCIRALKRIFIICDQDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQEKLPEGVNDRGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLDADQLPPPIKRAPDQAKF >KZM84108 pep chromosome:ASM162521v1:8:8004540:8004935:-1 gene:DCAR_028470 transcript:KZM84108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHKRSRKEDEGIEDWGSEETKGEVSIAAEKVSRKMKFVAEMQLLHYREARKGRHWVPTKEETRTEVIYGVGHPLREYHYRKDRDINNSFFRGQITDEQRIALLEENWLEYDVKVKDIEKSNFDEYAKHH >KZM83768 pep chromosome:ASM162521v1:8:1380105:1380425:1 gene:DCAR_028810 transcript:KZM83768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKSSLFLVVPLLISLVLASAAEARQLSLQSLQTKESALFISSAPGKSSPDTKMDADSKEGNSKLFRTLGMVCRCCDSAGGDCRTKWLEPCPNLECRPWKQIRS >KZM83876 pep chromosome:ASM162521v1:8:2506186:2508619:1 gene:DCAR_028702 transcript:KZM83876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDRKSRWKKEVDWLLSVTDYIVEFVPSQQKAKDGTTMEIMITQQRRDLLMNIPALRKLDTMLIDCLDNFKGPNEFWYVSKDANEAEKGSAREGKWWLPTIKVPAEGLSESSRKFLQYQKDCVNQVLKASMAINAQILSEMEIPESYIDSLPKNGRATLGDQMYKSITVEYFDPDQFLISTDLSSEHKVLDLKNKIEASIVIWKRKMVQKDAKSGWGSAVSLEKRELFEERAETILLLLKQRFPGLPQSSLDISKIQFNKDVGHAILESYSRVLETLANTVMSRIEDVLFADAATQEDPSPAISKIKPVRDSSPGPINFSSAEDDSERPTPVGTPSAMTLSDFMGWNYESTDPDMKRDDSPATLEAINKEAEAKIMSKPANIATKKKFSYLDKLEWSSLRSPTARH >KZM84685 pep chromosome:ASM162521v1:8:17752502:17752738:-1 gene:DCAR_027893 transcript:KZM84685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLMARYVSEVAPPLIVASMRRHCMSKVLDTIHEDEKEFSSLGDSFSFYVKRSTQERSLQPSYSSFAPVSNKINKF >KZM84828 pep chromosome:ASM162521v1:8:19842111:19842935:-1 gene:DCAR_027750 transcript:KZM84828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETEVSALEIIRQHLLEEFSPVDTFINHFSQFDSVFIADNSFSPSDSSSSSASSCTEIAISDYFMPDEIDAFSFSQDLFISDQNQHIQQQLNIELPEPDKNFELTESKSTQPQHTKSSTKEEDKKHYRGVRKRPWGKYAAEIRDPNRRGSRLWLGTFDTPVEAAKAYDRAAYNLRGSKAILNFPLEIGKSNDEAGATNRGHKRTKQVTNIEDNTASTKKPKASTQNISSNNVTDCLPPVFTPSSSSEIMNLSDFPPLSPLASLGSYHQLLAL >KZM85896 pep chromosome:ASM162521v1:8:29741521:29744495:-1 gene:DCAR_026682 transcript:KZM85896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMAFSGDGKDGRELRVYENLDELKVDLVEYIAKLSDAAVKERGTFAIALSGGSVIGLIGALCQAPYRETVDWSVWQVFWADERLVAKDHPDSNYKLAKDGLFSKLKVLVLPSQLHSVNGLLSAEDAAVDYEFVIRQLVRTRLIGVSETSDCPKFDLVLLDMGSDGHVASLFPGHFDPDETEEWVTYITDSPEPPSGRITFTMPVINAAANVAVIATGSDKAEAAHLVIDDAGPECPLPAKMVNPADGKLTWFLDKLAASKLGSCAQSSE >KZM85284 pep chromosome:ASM162521v1:8:24373502:24380479:1 gene:DCAR_027294 transcript:KZM85284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGIDVKEEENMKVIKSIFGCDVPESNVKSVLLKCKNDLGVAINEILDNPLVLSSDFGVKKTVTSTGARVMAQFKGEEGEECVDVKPLLEWKVEGKGEGEVDMGPHCALCVQWNRWNGGEGGDECEGVVKVEEECGQIVRENGDGIICVEDEGGSEGKGVVKVDEECGKGDVEMGCECGPVVRESVDVVCVEDEGGSECKEVVKVEECEKGDIEMGCECAPIVRENVDVVCVEDEGGSECKEVVKVEECEKGDIEMGFECAPIVRENVDVVCVEDEGGNECKEVVKVKEECEKDIEMGFECAPIVRENVDVVCVEDEGGNECKEVVKVKEVCEKGDVKMNCEGEPPVEEGVGENDFVEDEAESAYRPLVKGKKQGGVKRNNDRALVVKDGAIMVEPLSSVPAKPKMSMYDRLKPSGVKKQKVEDNVLSTVVIDDADFPEEEDWLLVGRTAITGLSTTRGRKLENNEVVHLAFPGSDMKSKCSSPFIRSKTVAALSGIVRFSTTRYGEIGRLPMEWGKCLIPLVNSSKVKVLCRCIAVPTNLQLMQEILLYGSFYIHRSLFTEVTNSSWKLDAPSNIDSTIYPLLTLFKLLKIQPYQKAEFTPEELQSRKRGLNLDDESKEFAGVLPVLKRGKGCQPDHGKDEQAILESSLNKLVGAADVYNLEEMEPPSTLMCDLRPYQKQALYWMTELEKGVDAEKATKTLHPCWAAYRLCDERASSIHVNVFSGEATTQFPTATQTARGGILADAMGLGKTVMTIGLILARPGRGIPEAKHIVPEAPIDTEYPTTKRTDNGLSRKARGGTLIICPMALLGQWKDELITHSKPESITVSVHYGGERSNDPEVIAEPDVVLTTYGVLTAAYKSDPNNSIFHRVDWHRVVLDEAHTIKSSKTQAAQAAFALSSYCRWCLTGTPLQNSLEDIYSLLCFLHVEPWCNWAWWHKLIQKPYENGDYRGLKLIKAILRPLMLRRSKDTVDKHGRPILVLPPTDIQIIECKQSEAERDFYDALFKRSKVQFDQFVAQGKVLHNYANILELLLRLRQCCNHPFLVMSRGDSQEYADLNKLAKRFLETDPDSANPIQVPSRAYIEEVVDGIRRGDNTECPICLESADDPVLTPCAHRMCRECLLSSWRTPAAGQCPICRHILNKNELITCPSENRFRIDVDKNWTESSKVSHLLDCLEQIRRQKSGDKSIIFSQWTSFLDLLEIPLKRRNIGFLRFDGKLSQKQREKVLKEFSETNVKMVMLMSLKVGGVGLNLTSASNVFLMETVEDRMQQVQARKQRMIAGALTDEEVRSARIDELKMLFR >KZM84044 pep chromosome:ASM162521v1:8:5831021:5831557:1 gene:DCAR_028534 transcript:KZM84044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSVSILLISTLVLLLRIATCQEDLVSTTCSRTPYSDTCLSSLRSDPRSQNSDVKGLATIALEKSIDKATETKAHIDYLVKLHSPNQTEYEFKCLEECMAEYAEALDNLQETSLAWSTSSYDTVNSNVAAAMSDAESCQNGYEEEQLLQNPSPLTDRNKIFSELCSNFLAMIPLLSS >KZM84967 pep chromosome:ASM162521v1:8:21191613:21194319:-1 gene:DCAR_027611 transcript:KZM84967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C4H-2 description:Cinnamic acid 4-hydroxylase MMDALLELEKAMLGIFLGIMIAIFISKLRRKQFKLPPGPTPVPIFGNWLQVGNDLNHGNLVDFAKRFGHLFLLRMGVRNLVVVSSPELAKQVLHTQGVEFGSRCSNGQDLVFTNYGEHWRKMRRILTVPLFTNKVVQRYRFGWEDEAARVVADVKANPESSTNGIVLRKRLQLMMYNNAYKIMFGRRFESEDDPLLLKLKASSWERIRLTQSLDYNYGDFIPVLRPFLKGYLRLCKQVKDERFQLFKDNFVDPRKMLVNTRRVDNDSLTCALDHLLEAQQQGDITEETIFHIVDGLDIAAIDTTLRSIEWAIAELINHPEVQKKLRHEIDSVLGVGVQVTEPDTHKLPYLEAVIKETLRLRMGVPLLVPHMNLHDAKLGGYDIPAKSKVVVNAWWLANNPAHWKNPEEFRPERFMEEESNVETNGNDFRFLPFGAGRRSCPGIMTALPIMGITLGRLVQNFELLPPPGQSKIDTAEKRGQFLLQILKHSTVVAKPRSI >KZM85925 pep chromosome:ASM162521v1:8:29953928:29956995:1 gene:DCAR_026653 transcript:KZM85925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSVEKTAAGREYKVKDTSLADFGRLELELAEVEMPGLMSCRSEFGPSQPFKGARITGSLHMTIQTGVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEYKKTGKVPDPSSTDNAEFQIVLGIIRDGLKSDPMKYHKMKERLVGVSEETTTGVKRLYQMQQNGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVALIAGYGDVGKGCAAAMKQAGARVIVTEIDPICALQATMEGLQVLPLKDVVSEVDIFVTTTGNKDIIMVDDMRKMKNNAIVCNIGHFDNEIDMLGLETFPGVQRITIKPQTDRWVFPDTGRGIIILAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKDQADYISVPVEGPYKPAHYRY >KZM83691 pep chromosome:ASM162521v1:8:496275:498984:1 gene:DCAR_028887 transcript:KZM83691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSEGPHGPIYCEKFQLQVISTGIFAGSNPTHSTLPLLLLQLGLVSLLGGVLQFVFRPLGVPKHVLDILSGILLGPSGIARNKSIFETLFAPKGAMVLDVYEMVSTILFSFFIGLRTDVKIIKRAGGLALTMGILCSILPQIINAIVLRVLTKDMSDDSALSHALTSCAGLEGLINFHVVYTTLVDQKFLNTEVGRVALSSSMISGFCSWIMIMVRKLYDDSVRGRIAQSMLSPFFRAFMIVITVYVLRPIMFWMISRTPEGKTLKQSYVCSMTLFCFGLAFYSEITGMHHVFSSILLGLAVPDDSPLQSGLVHKLEGFVNGVLMPSFIINVGRKVDIYQLKGSSVGKVEVLVATSFLGKLASSLIASTFWNMALMDAFLIGLLVTCQGIFDIQFFTIAEKLEKNLTIECFTVMVIMALVIPTIVTPIVAYIYNPSTLYKTSAKRGIHATKYNLEFKILACIHQEDTVTTLINVLEASNPTRKSPITAYVLDLVELVGQSIPLFISHKLKRSPSSRSNRTQRIIKAFYQYELQNQEFVTVHCFTSVAPFETMHNDICLLALEKGTSLIILSQGMVTNSSVKEMNNHVMENAPCTVGILVDRKALGESNAAVSPWLCFHACLIFIGGSDDREALTYCSRMCEHANISLTVIHITELIQSQLDLDAMDRFRDTNVYNNRVFYNSVTVKEGTETVRALQTLNNVDCDLIVVGRRHDPESAVMSGLADWGEKTPDMGTIGDIVVSPDIDNKAAVMILQAHSLDHEIEVIPTTPPLTPNKNLKCITTVDPDKDLWQLPELHSDKRSPR >KZM84919 pep chromosome:ASM162521v1:8:20849713:20850450:-1 gene:DCAR_027659 transcript:KZM84919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLEKRGNLYILTLTGDDQHRLNPTLLDAISAALHHVRSETIASASSSALITTSHGKFFSNGYDLDWAMKDPNRPKIMSLKLRSVIKDLISLPMPTIAAVNGHASASGFILALSHDYVFMRKDRGFMYMSELDIGMKVPVWFARVLRCKIASPAVWRDVVLRAAKMTAEMAAGKGVIEAACESAERTVEMAVEVGEELVKRRWKGHVYADNRLTLFGDVLSVMEFDETVEEVDVSHLSKNVARL >KZM86085 pep chromosome:ASM162521v1:8:31271739:31272071:-1 gene:DCAR_026493 transcript:KZM86085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATIETCSEEAQLGEGAGVGTAANASPAALSIDFVAGPPANAAASPIKSLADFGDPEEAAASEAAAAASEAAASSAAETAEAIPTMNKTTRAATKTDRHAIFFNFAAY >KZM85389 pep chromosome:ASM162521v1:8:25349742:25350911:1 gene:DCAR_027189 transcript:KZM85389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGTAKIKGGWGWRLSLGLAGIPAILLTIGSVLVSDTPNSLIERGNLEEGKRVLRKIRGIENVELEFQELVEVSRVAREVKHPFRNLVLRRNRPQLVVAVALQIFQQFTGINAIMFYAPILFDSLGFGGNASLYSAAITGGVNLLSTLAAIKVVDKAGRRILLLKAGVHMFFAQVIIGTVLGIKFKDNSNNLGIAFSILVVIMICTFVSTFAWSWGPLGWLIPSETFPLGMRSAGLSVTVSVNMLFTFIIGQSFLSMLCTLKFGIFLFFSVWVVVMSVFTYYLIPETKNVAIEKMTERVWKQHKVWRKYIVQEDGSEMQDMWDESGVTQV >KZM84432 pep chromosome:ASM162521v1:8:14422378:14423688:1 gene:DCAR_028146 transcript:KZM84432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKNGLKKGPWTPEEDQKLMDYIQKNGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGKFSLEEEEAIIQLHSVLGNKWSTIAARLPGRTDNEIKNYWNTSIRKKLLRNGIDPVTHNPRLDLLDLSTFFTSNLYNSPQFMNFLSASRSLGPNPNPLTTDNFLPSTYQNLDNFVSTEDRISNLDNASLQPSPAQELVQFSNELIEPNADQFTSFSTTGLNEWQCSEMGSNVNYGYYGSGQENSGFGSNSSHNNMSYTSVMSTPQSASPLNLNSTEDEREMNMFNFQDVFIRY >KZM85015 pep chromosome:ASM162521v1:8:21729212:21731952:-1 gene:DCAR_027563 transcript:KZM85015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYGGEAAVVADEDRAGEMRSVRGSWSNEEDAALTDLVTKFGPRNWSVLAQGVPGRSGKSCRLRWCNQLDPGLKRIPFTGTPVGTWKMRYMQGHHFPAEEEDQLIISAHKRHGSKWSVIAKLLPGRTDNAVKNHWNATLKRRCLGLSKPGPASHNTLVDGLLETSRASSQASYGHPNFIKPPEVRKASMTPSGLVDFENVHDTVIPEMEEHHHVPEKEKDECRHNPEKDNPNSDSTLYRPVANVGAFAVYNTLGSMITKTTPGQRSLLQASRPEFGISKVLNYSCGDPVVPRLCGYGCCASHGEANCQSSLLGPEFVDYQEIPSSTNQELAALVTDLNNIAWIKSGVGTTA >KZM85712 pep chromosome:ASM162521v1:8:28057432:28059025:1 gene:DCAR_026866 transcript:KZM85712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNWNHVIWLALISLVSLVWHLKNRRGRSKLPPGPKGWPLIGNLLELGSLPHRRLEALKKDYGPVVWLNIGPIKTMVILSAGAAEELFKNHDINFADRFGNDSMRSHDYYMSSMAVGAYTSYWRTLRKICTVELFTNKRINETVLVRRRSVDELLVWIEKEADKGASDGIEVISFVFAALFNMVGNLTLSRDLVDPYSTISSEFCDTLSGFHQCLLHPNISDLFPWLSRFDLQGIRRRMDGYLGKAIEIISGFVKERVKERQKTQKLSSEQKKDFLDVLLDYRGTGRDELDSLSEHQVTIFLMEMFIAGTHTSSTTTEWALSELLQNPDQMKKVKAELARVVGAKTRLQESDIDNLPYLQAIMEETLRLHPPAPILLPRKAAQDTTFMGYNIPKNTQVLVNSWAIGRDEENWKDALSFRPERFLNSNINSKGQNYELLPFGAGRRICPGIPLAHRMVPLVLGSLLHHFEWELCDGLKKIDMTETLGATATKRELLRAIPKLKTI >KZM84311 pep chromosome:ASM162521v1:8:11939279:11943195:1 gene:DCAR_028395 transcript:KZM84311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSLLVQRLNARRSLASLLFSRTFASKKPKSSPVTKNATKSKKGDPKSKNKHEEFGLAADDTSSSATDNLVIDDSSRLQQLALDDKDKSLDVGLDGRPLFTSATSISQLNHKHASSYINFSMKELDAVLPEGLPDGMLKEFEDSKRNALLVRQSFLDLRDNYRRIVDPPLQSAAAVKGPKAQKQIVLDGPVSCGKSIALAMLVHWARSEGWLVFYVPKGREWTHGGFFYRNQQTGLWDTPVQAASILQAKANSYCYSDFMKYNESRLLQLPCQVFDPIPLGEGVGVGQMKDVDTMAMPEGSTLYDLVQAGLNYTHASVGVLVQLRKELSLVKDIPVLIAIDQYNNWFTFSEYEEPVTVRSTRPIHAKELATVNAFRSMINDDMMIGAFSHSTAVGKLRQELPDVPTGARINFPRYTLEEAGTVCHYYLRCASAQSSPLF >KZM85390 pep chromosome:ASM162521v1:8:25366592:25375814:-1 gene:DCAR_027188 transcript:KZM85390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNHLHNGMESAKLMWSRLPNSEDADFEGLSPSESSGAGGGGSVESLDYEAQRGKLYVGFYVGVKWFFALLIGVGTGLAAVFINISVENFAGWKYSLTFSIIQKSYIAGFIVFVLINLALVYSSVYIITQFAPAAAGSGIPELKGYLNGIDTHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDVSDGQEDYSFVELLPMAVIGVIGGLLGALFNQLTLYLTHWRRNYLHKRGSRVKMIEVCLISVITSAISFGLPLFRKCTPCPEVDVNSGIECPRPPGMYGNYVNFYCSNDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGKFVVSFYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYQMRQMTAKEACGNQKVVYFPRVAKVADVVSILMSNSHNGFPVIDHTRSGETLVIGLVLRSHLLVLLQSKADFQHSPLPSALRGRSLPIRHRISEFVKPASSKGLSIHDIHLSHDDLEMYIDLAPFVNPSPYIVPDDMSLTKVYNLFRQLGLRHIFVVPRASRVLGVITRKDLLIEGDEDSSAVELQSASVRSLSQRDKRLSKRNADVEPPLLDGLLG >KZM85711 pep chromosome:ASM162521v1:8:28054139:28054336:-1 gene:DCAR_026867 transcript:KZM85711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSSPPVAKKVPHQMEMIGDVRVDNYYWLRDDSRSDPDVLAYLDQENAYIESIMSGIYLVYIV >KZM84249 pep chromosome:ASM162521v1:8:10971914:10976541:-1 gene:DCAR_028457 transcript:KZM84249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQHHQDLGPSLSHYGHSGVVEAARDIFMQIEGSAAGSGGFLSSLLGAGCECEGYEIRIVGHSLGGAIGALLGLRLYDRFPRLHVYAYGPLPCMDAVIADACSGFITSIVYQHEFSARLSVTSILRLRASALVALSSNSNTDCTAIYRLARRFLGENNQLRGDNRFSASDLTAVVMKAEENRGIHHSGGSMERAKDISHGKNTKMENSSCDEIDCSSSHSFMKPYYQSSADIVEDPVSQFIEDVPSAPEDIPDLFLPGLVIYIVPERNNLETTLWKLWTAQDNECSYRAYIANKDSFKDIIVSPTMFLDHLPWSIVYQHEFSARLSVTSILRLRASALVALSSNSNTDCTAIYRLARRFLGENNQLRGDNRFSASDLTAVVMKAEENRGIHHSGGSMERAKDISHGKNTKMENSSCDEIDCSSSHSFMKPYYQSSADIVEDPVSQFIEDVPSAPEDIPDLFLPGLVIYIVPERNNLETTLWKLWTAQDNECSYRAYIANKDSFKDIIVSPTMFLDHLPWRCHYALKKVLDTRRSQLIPDGSQVLRWCY >KZM84004 pep chromosome:ASM162521v1:8:5247967:5253010:-1 gene:DCAR_028574 transcript:KZM84004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVSTARQCLTQESISTLDAAVAVARRRKHTQTTSLHTVSALLSLPSSSLRDACTRTRTNSYTSRMQFKALEICLSVSLDRLPTQKDMEGDPPVSNSLMAAIKRSQANQRRQPENFYVYQQQSQAQSLVSNVKVELKNLIVSILDDPIVSRVFGEAGFRNYDVKLAILRPVSNQFFRYKRGNPVFLCDYNDGFDVGLRGFSFPFSGFGLVDDSGDAEFKRISEILVREKWRNPVLVGAYASDVLKKFLGNLEGRRMSGEFPVKLCGLSVISIEKEVGCWDLESVKLRFGEVRRMVEEGIGPGVVINFGDLKGFVGEGGVCVEAMTFVVHELSRLLEVFRGRLWLIGIALEYEMYLKFVKGFPSIEKDWDLQVLPMSSPKSSREEVHPRSSLTDSFVPLGGFFPTPPDVKFPLSGSANCVSRCHLCNEKCEQEVTALSDGGYTASVAYQFPSSLPSWLERPELNSTRASDDKANDGMVLSAKVARLQKKWDNICQRLHFAPFLAKPDTYRVYSQVPSIMGFQVVQDGKGNISSQHANASSAVSGCKFVDSSRLTDAELITTAEASDQVTANPKTINVRFRETAELDEQCGLKSPLKSWSCSNVAEGLTSPTSVASVTTDIGLRSNLSSSRVIEKSSNQCHRNLMQDFSGCLPKNSDVLAASTKKNASNTLSCPSSEIYGQYDQKDYKYLYTSLFSKIGRQEDAMGVISQTLARCKSRNGKRQGASRGDVWFNFLGSDGICQKKTAVALAEILYGGTESLICVDLSFKDGINYSNSIIGWQDKNKCDMKFRGKTMVDYITDQLRRRPLSVVFLENIDRSDPQVQSSLLYALKSGRLLDSHGREVSTGNAVFIATSRSIEGKKLFLSTKETCGYLEENVVAAKGKPIQILIGFDLGDSVISQNTRVLNNTRKDDSLIPVFLNKRKLVGNDGMIRQYKAVEVVKRPHTATKISLDLNLPAEESETTDMENSDREPLSENSKAWLDEFLTLGDETVIFKPFDFNALAEEKLKVITQCFHNIVGSEGSLEIDSQVVEQILATVYLSDSSKVDDWINQVLGQAFVEARKRYNLNSRSVVKVIPCEVQEQTQGVLLPARIIMNQ >KZM84541 pep chromosome:ASM162521v1:8:16030653:16031036:-1 gene:DCAR_028037 transcript:KZM84541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPLYYVATTCTDQYLPHPPNYLGSAAYIAVPGYVLMGAWVVGHEYDHDAFRDYGWINDLVGLDVHSSLMVPYFSCNIGCHHDSTQSLMMRSTSQDSGLTSGTATKSSTIHLLVSLCGLPHSIAHP >KZM85812 pep chromosome:ASM162521v1:8:28887796:28895808:-1 gene:DCAR_026766 transcript:KZM85812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKLVRERDSSLVTTPALEENPNLTTTLASEKVSFLTNPASEKDPSLTINQALERDLSLITSPMSVKDSSLTTQASETDSFLTTQTSENDSFFTTPATENDPSLTTQASHKDPSLTTLVSENDLSSTTAQASEEDRSLITTQASEEDPSSTTQTLGKDQSLTETQALDKDPTSTTTQDFEKDPPSTTKASENDSFLTPTQASENDPSSTNEASNKDPSLTTPASEKDLSSTTQVSEENQSLITIQASEKDPSSTTTQTLANDPSSKTTQELGKDPSSTTTQTLGKDQSLTATQALDNISSSSTTQALEKDPFSTTKASEEDSFLVTSQASENDPSLELAQASENDSMFTTNQESQTDQSLTTQTSENDPSLTTAQALEKDSSSTIRASEKDPSLTTQASEKDPSSTTTTQASEKDLSSTTKVSEKDPSSTTTQTLEKDPSSTTTNASSTTTQLLERGPSLAIEKIPDKAFLKVDRMPSDTSSLNLPPSGPGHRRSKSDVSSGNGGKRSNGFQKWKHQVQRALKWGKDESKDYNFNPEILADQKRQWYQLHSNSSGLTYTEPTSLFEHFIIAGLHPNSNLEVVEAAYARQKTWEMLNRNKMSPDPKRMRNMEPPSLEPEILFEYPPGKRLSMSPKDLAAFCFPDSIKTSLELTYVFNEMQGHLSTDDLSFVFSLKVADNATLYGVCLLVKEIVQRPPTPRTMSEDSLPLPTSYEVCSRVLTSAPRCYCVLTRAPFFELHYEMLKSIITQERLTRVTQFVNYVSLHDHAPSISRSHDQKKENGDPHKQESSADWMATAIPLDNAVALTAAAAGFITDDEIYSSSPKGEHCSSERTSTETSEHSHVQEIVIESGKNLKSLDDCTSEASETLPDGLGVEHKLQVVDANPRIHAVDHPESYESLISNKPLYFDSSENNNALLQIICAYYSMPFPERGGEISFKPLEHLQTVAYQRPSVSSLTQTDKHLNSIRWETLSDLEGDKKLAAAEEAAALSIWTTAAICRALSLENILTLLTGVLLEKQVLFVCPNLGVLSAMVLSIIPIIRPFQWQSLFLPVLPGKMKDFLDAPVPYIVGMQQMPTDFKLKTSNLIYVDVECDEVNSCKLPALPDYRDLISELGPIYFRMSKHYAIAKKRPVYSYNETEAEAAGRFLAVMRRYLYSLCTDMRSHTITSVRANNDRVSILLKDSFLDSFPSKDQPFVKLFLDTQLFSVLSDSYLSNYDN >KZM84941 pep chromosome:ASM162521v1:8:21024422:21024798:1 gene:DCAR_027637 transcript:KZM84941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFVTIYHCIVNTELFTLAQWSNGQERNSAQDRGATVLGLENSKIELIPRHIFEKGKGILDAECAVCLSEFAEDEEIRTLPECLHSFHVECIDMWAVASFAS >KZM85651 pep chromosome:ASM162521v1:8:27579679:27579891:1 gene:DCAR_026927 transcript:KZM85651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSQKKTTSLLANLLSACCFSGSDYDSWDDGTRRIWASDEDKGKWIGDRRIDSKASAFIARFHQSRLDH >KZM85094 pep chromosome:ASM162521v1:8:22657958:22680866:1 gene:DCAR_027484 transcript:KZM85094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAGGFVSRAFESMLKECSNKNIIRVDLMSSEIADLSYGLVIMIIMHIVACKENANNSNNFTSEMPYYSVYGKRELTLIFVMPSDSTKEGSKNLVSNKTEQTTTSEGDQSLTESSSGPAKNESEHDSAATSPTDQVGEHAGKQVVKSQTIATTLASAGHVLDGADAELVLNPLRLAFETKNIKVVELALDCLHKLIAYDHLECDPGLDGGKDAIMFTDILNMVCGCVDNLSPDSTILQVLKVLLTAVSSTKFRVHGEPLLGVIRVCYTIALNSKSPVNQMTSKAMLTQMISIVFRRMETDLGSASSNSAKHRNSRDGLETNIEDLPNTESREGATSLDASSVNEVKDAPAASVEELQTLAGGSDIKGLEAVLDKAVNLEDGEKTTRGIDLESLSIAQRDALLLFRTLCKMSMKEDSDEVTTKTRILSLELLQGLFEGVSHSFTKNFNFIDSVKAYLSYALLRASVSQSPVIFQYASGIFSVLLLRFRECLKGEIGIFFPLIILRSLEGTECPLNQKLNVLRMLEKVCKDSQMLVDIYVNYDCDLQAPNLFERMVVTLSKIAQGTQNVDPNSATASQMGSVKGSSVQCLVSVFKSLVEWEKSRRESDNQSKTNISLEGGSAIESHAKVGEDIHNNFEKAKAHKSTLQAAISEFNRNPGKGIEYLISNKLVDNTPCSVAEFLRNTPNLNKVIIGDYMGQHEEFPLAVMHAYVDSMKFSGMKFGAAIREFLRGFRLPGEAQKIDRMMEKFAERECVPRWQSRHLLPRLPRYCADNPSLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKADFIRMNASSDAEECAPTELLEEIYDSIVSEEIKMKDDTDDLGRSSKQKPEEERGRLISILNLALPKSKSSSDTKSESEAIIKKTQAIFRNKGPKGVFHTSYQIELVRPMVETVGWPSLATFSVTMEEGENKARVVLCMEGFKAGIHLTHVLGMDTMRYAFLTSLLRFNFLHVPKDMRSKNVEALRTLLDLCDTDTGALQDTWIAILECISRLEFLTSTPSMAATVMQGSNQISKDAILQSLRELAGKPSEQVFVNSVKLPSESVVEFFTALCNVSAQELRQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLSSHFIAAGSHRDEKIAMYAIDSLRQLGMKYLERSELANFTFQNDILKPFVILMRSSRSESIRRLIVDCIVQMVKSNVGNIKSGWRSVFMIFTAAADDELEPIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKTSHRISLKAIALLRICEDRLAEGLVPGGTLKPIDVNADISLDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFTSSFWESIFHRVLFPIFDHVRHAGKDNSVSSGDGWLRESSIHSLQLLCNLFNAFYKEVSFMLPPLLGLLLDCAKKTDQSVVSISLGALVHLIEVGGHQFSESDWDTLLKSIRDASYTTQPLELLNTIGFGNTRNQTIVTGDLDVNMGDTPKFTNAEQSENPQSIDIGAVDSARNQYLSSVSDQEMGLRTDADSEGLPSPSGRSQKHGEDDDLQRSQTFGQRIMGNMRDSLFVRSFTAKPKSRTSDVLSYSPSKLSDVVDSVEIDAKDEESLIMGTIRSKCITQLLLLGSIDSIQKKYWGMLTTTQKITVMDILFSIVEFAASYNSYSNLRLRMHHISADRPPSNLLRQEVSGTCIYLDVLQKSTGGNNSKTERELGLSLSEKVDASQKNIDEELVGIAEAKLVSFCAQVIREASEFQSSMGETTNMDIHRVLELRSPVIVKVLKGMCYMNKQIFRKHLREFYPLVTKLICCDQMDVRGALADLFKVQLHAMMR >KZM84220 pep chromosome:ASM162521v1:8:10552496:10553386:1 gene:DCAR_028233 transcript:KZM84220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALRSEHTFCNIKYEGKIFLSPTGLLVHKIVSSAMPSPKYRIMNPSIQRTLDLPNPHNRMICLGIFIDSSTSSYNVLSVYSDKDQGKVCFERLDLGGQSNDPCPSQDLSWRNFNIPEFDKLNGQKIYEKFLYYLSKEGILYIVTLLKVGPRKPKIICFDIVKQASTILDVPQISLGFDWPNLRIQLWRGKPALIFVLEEQLNIWVLDDYKRQKWADTIKIPLPFLKQFPGIKETVPYALVFCEDEDAMLVYDEDDIKGCIVYKLKSKELDVIPYVPCMIPATLVSVKGMQPVNSN >KZM85257 pep chromosome:ASM162521v1:8:24146543:24147145:-1 gene:DCAR_027321 transcript:KZM85257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNMNSSGSQQEVNSCPDLDTTSMVMTTTTSTNSIMTSASSSSASSPTTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHNQLCPFFGHPNPPGPCPCPLRQAWGSLDALIGRLRAAYEENGGKPEANPFGARAVRLYLREVRDSQSKARGISYEKKKRKRPGQLQQQQGAPPALPPPS >KZM85362 pep chromosome:ASM162521v1:8:25130972:25133894:-1 gene:DCAR_027216 transcript:KZM85362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNNGPSSFQLQTDVVRIGEVKRVTKETNVAVKINLDGSGIADSSTGIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALAIGTALLNALGDRKGINRFGDFSAPLDEALVHVSLDLSGRPHLGYDLSIPTARVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGNNSHHIIEATFKAFARALRQATESDPRRHGTVPSSKGVLSRA >KZM85168 pep chromosome:ASM162521v1:8:23376374:23387971:-1 gene:DCAR_027410 transcript:KZM85168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMITYTSALYTTPRSSLVSLLPNLHCSKSTSSSRLHLSPFLSNPALKTTRTRALSLLCAYKPGDDSDSKVGGLDWPILKRWDVPWKWQTVSLTSLACGLSFILAGLIEAAALPYFGLKIGELSLDQKAEILFVDQGLVTAAVLGVMYSLTNYQPLPDDFFRYAVMSLVRGENPQRETDALVLLLPLIGSSFTSTACLVGITGVLAPLLEETVFRGFFMVSLTKWLPTPLSVLVSAAVFAAAHLTPGEFPQLFVLGTALGFSYAHTRNLLTPITIHAIWNSGIILFLTFLQLQGYDLKELLQSS >KZM83769 pep chromosome:ASM162521v1:8:1388601:1391194:-1 gene:DCAR_028809 transcript:KZM83769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFVEESGVLGEKRDGVVVNECGVEEECGESCSGSECLASGNEEHSSSEGFSSPPSLRWNVVDVENGSDDVGLEPERPKVDDQKLEKQMSTFSEIEMMKERLSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPLRPEKRSMWKREMEWLLCVSDHIVELIPSVQTFADGSKLEARCSFSFTVMTCRPRIDLYVNLPALRKLDNMLLEILDSFDSTEFWYVDQGIMAPEADGSSSFRKHQRQEEKWWLPVPRVPTSGLSENARKQLQHKRDCTNQILKASMAINSVTLADMEIPLSFLEGLPKNARVCLGDLIHRYITSDQFTPECLLECIDLSSEHQALEIANRVEASIYVWRRRTNTRSVSSLSRSSSRSSWGMMKDLVIDSDKKELLAERAESLLLCLKQRFPGLPQTTLDMSKIQSNKDVGKSILESYSRVLESLAFNIVARIDDLLYVDDLTKHSDQLLPIPKVGVMTQKSIGVPFAVPITGTPYKTAFNTPKFSPTQLLTPAKGDSSPLIDSSMLPQRGVGVQKVLTEFLSMEAKEENYDNQLRRSNSCLNAVQESSGSQPVVETFNCPEDVSSPQHPFVGKPDI >KZM84081 pep chromosome:ASM162521v1:8:7007935:7008201:1 gene:DCAR_028497 transcript:KZM84081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQIGLTIIVLVLLMSIPEIKGGNRFGSIDMVASCCRKGGAVYPSAESLTPTPSPSELPLTLPPILADSPYRGGTGQPVVTTGKASP >KZM84097 pep chromosome:ASM162521v1:8:7270173:7280457:1 gene:DCAR_028481 transcript:KZM84097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSALPLKNLCGLEKQKCGKDALVKLLRWHFGYSQYRGKQLEAIESVLSGRDCFCLMPTGGGKSMCYQIPALVKSGIVLVESISLFTDFMLPKALMENQVTALKEKGIAAEFLSSTQTSKVREKIHEDLELGKPSLRLLYVTPELIATPGFMLRLTKIHSRGLLNLIAIDEVQSSRPSYRKLSSLRNCLPNVPILALTATAVPKVQMDVMESLCLHNPLVLKSSFNRPNIYYEVRYKDLLEDPYADLGNLFKSCGNVCAIIYCLERSTCDDLATHLSKIGINCAAYHAGLNNKLRSSVLDDWISSKTKVVVATVAFGNSEESSVYEVLVFLQDDRKDVRIVCHYNVPKSMESFYQESGRAGRDQLPCRSVLYYGMDDRRKMEFILSKAESKKLQSSSLPDGHTKKSLVDFRMMVEYCEEAKCRRKKILESFGEEVPATLCGKSCDTCKHPDVVTKCLEELTSSCAFRSRSGTSQIYINSSPSLILENQYSEFWDRDDGENGSEDDISDADDDIDVVKTLTRSSLPSKRTLNDKIEVLQRAEERYYQDKNHEKQKNKLDKNAISETLRGSSKQRLLNALKQSQQRLEKLEINLEMSAAFLENECFKKFGKTGKSFYLSQMASIMRWLPTANEMELSSRLSAMTDPAASSTEQIGISSSASPLVDQVSYDISNENMYCSVESNTPTTLESNLSDTKLPPIPSFSDYMKNKKAKGSQLSPSENLSPSAMQKNRDKRARYQ >KZM85026 pep chromosome:ASM162521v1:8:21818745:21824772:-1 gene:DCAR_027552 transcript:KZM85026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDGNDAASPGLGPGVNNFINNLQTTPDHLSGFAPGLMFLRHLPQWCHHNHIYTHSAANISASKDTQQKRRGRGPGVNNVINSLNTTKDHSSGCTPVIDSSVHASEKHVYRHRLRPTSPTVIGSTGIGIRILILMRTQIQAGSSDNSKFTMLVASSFSKWRTDRCSAYAPLRCINLGDQLTTISTLLQFYTMHKYVRIGFGSIVEEAETERYNQKGSTKDVSFKAVSKKKFHSEWREDIQKESTGRVILAIRQIQWYNSRHVSTYCKSVNSGVAMNWNSRNVNTRVVMS >KZM84319 pep chromosome:ASM162521v1:8:12357748:12358320:-1 gene:DCAR_028387 transcript:KZM84319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLATATFREDFKKTKCFDRDIGAGPDKVLQVVALNKKIFKKEMCHQFMKVKCMGPPKCKYDEVMYVEIADGCTSDELLQDEDICLHKYVWDTLADTTNNPTRMIVDIEGPFKGNM >KZM85676 pep chromosome:ASM162521v1:8:27775490:27775913:-1 gene:DCAR_026902 transcript:KZM85676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSSASNVHSPDFCGCGLSPVLRTSWTDKNPGRRFWGCSMYMKNRRMGCNFHQWHDPPVCGRSRNIIPGLLKRIERLECEIEKRGRNERRMKQWLCALAVLVVLLFWHCISMMY >KZM85728 pep chromosome:ASM162521v1:8:28136878:28138191:1 gene:DCAR_026850 transcript:KZM85728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLGLTPNEYTYTSLVDANFKAGNVGEAVKLVKEMLEAGFSMNIVTYTALLDGFCEEGRMEEAEEVLKSMPEAEVTPNLTIYTALIHGYIKAQRIGDAVNIWQEMKDKNIVPDQMLCGTIVWGLCKEERLEEATLILGEMKECNIQANDVIYTTLIDGYFKAGKTKEALILLEQMQELGIDPTIVTYCVLIDGLCKSGSVDMAIDHFGKMQEMGMIPNVVVYTALIDGLCKAKRVESAKMLFDVMGCKGMLPDKVAYTSLIDGYMKDGNIEEALNFRDLMLEVGMQPDLYTYTTLISGLLKAGHVQQARDLLDEMIMKGITPDEVVYGCLVRKYCDLGDMDEAQKLQTEMDGVISSGGSVLTISWALVSYGKEVPMTSNAIRSMFGYLS >KZM86092 pep chromosome:ASM162521v1:8:31300251:31306316:-1 gene:DCAR_026486 transcript:KZM86092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKLFHKLPRKNSKSHLESAYSSNGKDGADRKSGKLGDAVISSPYSVSYIGYNPGEKLPRDGNSLSVSYEALPGFREVSNSEKQHLFIRKLNMCCIVFDFMDPTKNLKEKEIKRQTLTELVDYVTSANTKFTEAVMQEVVKMVSANLFREFTPQPCRNKVLESFDLEEEEPAMDPAWSHLQVVYEFLLRFVESSETDAKLAKKYIDPLFVLKLLDLFQSEDPREREYLKSVLHRVYGKFMVHRPFIRKSINNIFHLFVFETEKHNGIAELLEILGSIINGFALPLKEEHKIFLVRSLIPLHKPKCLAAYHQQLSYSIIQFVEKDCKLADIVIRGLLKYWPITNSSKEILFLNELEEVLEATQQPEFQRCMVLLFQQIARCLNSLHFQVYLWYPLSIVAGGTTAKIMVAAKDNFLGKYIYKDTLARNLAAVIYKENGNMRAAISTNDVIELPKQDELKTVVDKVKDFFGDAKESFGKLTSLDTPPPTETEDKSKSSCDIISLANSSNCRRFVQTYISTETVVLYRFSSLSHVIYDFLWLEPAIVAPKQNFILNLSGVELRLQNSDNDYKDIPL >KZM85959 pep chromosome:ASM162521v1:8:30279618:30282947:-1 gene:DCAR_026619 transcript:KZM85959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFVSLYNTVMKLIFLGSSFSIVWYIRHHKIVRRSYDKDQDTFRHYFLLLPCFLLALFIHERFTFKEVMWTFSLYLEAIAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHFVHWITWISGLIQTLLYADFFYYYFQSWKNNVRLQLPA >KZM85643 pep chromosome:ASM162521v1:8:27519680:27521372:1 gene:DCAR_026935 transcript:KZM85643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQKRVKLEENYDIISQLPMDLLSQLVSLLPLKEALKTSTLSKTWKTIWTTHTDIVCDISSVLGVLRDESGNISLNVREEHRNQFIERVCHLMQQRLMGPNMRSIVISFPLSRKDGPHVARWVGDAVMKGVQTIILNLNGGSGIVSFPFSILRAPGQASKVRQLGLNSCSLKSLSVRNRTLDSLVNIHLQSVNLTDKQMDVILSKCFFLESLILRKCDKLARFKLSRNPRLKLLDIRSCLRLKSIELYAESLQILEFDGLLDHFSFDHVPKLVQLFACVYGEKFVQFSTYALSRIAVDAPLLQTLTLQARRVLPLPKGVFTFTNIKYLVLKLNPCDGEDELDWIRYILKAFPSLNRLEIDFSLVVPRNAGSTNQSHRGLAEVSHENLRELEVTGYYGGPGQVEAVKHLVDRAFKLDLLVISSPAKLYVGLRESIRVDLRNDNKSSSEKIEELRSVLPNSLRVDYSNV >KZM83816 pep chromosome:ASM162521v1:8:1856126:1856879:-1 gene:DCAR_028762 transcript:KZM83816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTITGSGGDKRWSLDGTTALVTGGTRGIGFDHLQLLSFSVLFCSRDQDESSEFRENVNVMVSRTPFPRAGEQNEVSASLGFLCLPAASYITGQIICVDGGHTVSGY >KZM83864 pep chromosome:ASM162521v1:8:2389032:2393011:-1 gene:DCAR_028714 transcript:KZM83864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGLLGRGFVSKCKSLIKPTKTRLEALRKRAEAKQRFLKEDLAKLLANGLDINAYGRTEEFLAGLCMLSHYDFIEYTCDFILKNLSIMQKQRECPENCREAVGSLMFAAARFSDLPELRDLRDAFQERYGNSIEHFVNQKFVDKLASRPPTLEKKLQLLRDIALEFSIKWDSHGFEKRMGTPSALDQNKKNGPPKVPSDISKYSNGNGSESKSDKRDVISNARSHSVADGKTIRNSKEGNVSTREDTDIKLVKRQEYNGNSYRPQLITGEKVEDNEISHRGTREHYVEKYRLDQKEDITPKVGSGSSSRGKISLMPDGRMNTVTKEGQSCSRLPSSIDGRSDSSMGNEQNSQHHFVTSTKKVREVEKYRSGSSSDGKYAASIYTMQNGRMATIAKEEEQNTLFHGKSEVSVNLSELLSGVDGRSDLSASNEHESRLNIAMSKRKVGEEEADRFKSYHNTPRPPPYVKLKDNAIPAPYVKPKVSHGETVISLLDKGNALANLPMNQKDRHHEEGFGPTPTRVNGHRHVEEPTYQNDIPLPKPRSTRRKQSKSSSTHYDDEKIEDNGIVKKSSSSRRKEHSRKGLQLLFDDEHHQKDEEERMMDKLLLHYSKKPSDFDVGKLRKKSHAHASNHKDSSGTKLRHASRRDGPDVSPEMAPPPTRSVSLPHEQTAPSEPTKVYARANSFQPDNMARHVHPKLPDYDDLAAQFAAMRGR >KZM83798 pep chromosome:ASM162521v1:8:1720778:1721839:1 gene:DCAR_028780 transcript:KZM83798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPQEIIIEEILKRLPVKSLVKLQVVWEVAVYSCKTDCWSYKPVDSDVFRSDIFQFPSVVVKGVPYWKDCYGTKIVKFDVRTNRFTSFINSTNPLGPYYTLVNIYDSLARIRYSYFTEVHLYDEERGVWSKMYGLKNVITRSIMTPMCFKYGGEIVYPDKDNVNCYDPKSDEIKVLLHCKKEYTQGFSYTPSLLSLDGMSSASHWI >KZM84469 pep chromosome:ASM162521v1:8:15018879:15027998:1 gene:DCAR_028109 transcript:KZM84469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSSSSVVVYEGEKLIGEVDAVYVDENDVVLEEIRISHLSNPSERCTPLAVLHSIANNGVCFKLECKSQFLYSQLNLMHSTCLRDNKTAVMPLGDTELHLVAMVSRQNEKQCPCFWGFKITKGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKVNSDSDPQRVSGMLAEIKRYQEDKLVLKQYAENDQVFENGKVIKTQAEVIQALSESHQPMVRPIIRLQDKNVILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVFVCTMAERDYALEMWRLLDPESKLINTKEILERIVCVKPGLKKSLFNVFQNGNCNPNLALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANNAVPVLCVARNVACNVRGGFFKDFDEGTLQRILEVKFEDDVKDIPLPPDVSNYLISEDDASTLNGNKDSLSFDGMADIEVERRLKDETSASPAVLPMVTTADPRLVPHVVYPPVMNLAPTMPTMLGQVMPVPSKSLPQVPSILKSVNQVGLSETNLQNSPAREEGEVPESELDPDTRRRLLILQHGQDIREPPIEPKFPIRPTSLQVSVPQVQGRGNWFPAEEKMSPRQLNPSVPVPPKEFPLNLELPPVDKQQPRHPPFVHKVDNSPPSDMVFNSEGQMMPKEVLQRDERLRPSPTLSTYPSFPGEEVSLARSSSSNRNLDSEPGRIEKFAETPVKALQDIAFKCRTKVEFRSALVPSMVLQFQVEVWFAGERICAATGSTRKEAQRQAAEESLLSLAEKYISHINPTTGHGDGNRFLSSNGNGFIGDVNSVGYQQLQEESPTFQYGLGPSRVTDPRLEGSKNLMGSVSELKELCMMEGLAFSFHPQPQFSTNMGQHNEVYAQVEVDGQVLGKGSGLTWDEAKLQAADKALGSLRSMNGQFSYKRQGSPRSLQGMPNKRFKPEFPRFLQRVSSSSRYPKNAYPMP >KZM83662 pep chromosome:ASM162521v1:8:252861:258021:1 gene:DCAR_028916 transcript:KZM83662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENNAAAAALHTAINSVQALGKGFDVNFDTRLLYCKGIAGSRVIEIDDEHKRDLYLDDHIVVPNVSRDVQISQETAGRHASGVCTYAEMMEYINKKANLSENVPMGCFNAAFSLTGSKSIDSAATKTLCMDGFFIPVAKFQLVKSQLVLQETVRRAVPTSWDPPALASFIENFGTHIITAVTIGGKDVIYVKQHQSSPLSKMEMKNYVQDIGSQRFSPTETHTSSGLLNSQGVHPQPSTTPSHIGNGKEDVTVIFRRRGGDDLEQSHTQWARTIRSSPDVIDMSFFPITPLLEGMTGKEHLTRAIDLYLEYKPQMEELRYFLEFQIPRIWAPVQDKLFGSLRKEPVCPSLQFSIMGQKLYISQEQISVGRKPVTGMRLCLEGTKQNRLSIFLQQLAYLPKILKPYWDSHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIECSETFIGDPSGVYVVTGAQLGVWDFGSRNVLFMKLLFSRLPGCTIRRSLWDNKPNEKSKKQVATGFNSDDSCSDSGETVLGNKLAKIVDTSTMSKGAQDPPGHWLVTGGKLGIEKGKIVLRLKYSLLNY >KZM85126 pep chromosome:ASM162521v1:8:22936742:22939923:1 gene:DCAR_027452 transcript:KZM85126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIDNPEEIKADEVFKAAESGDSSVFDSLSPQNLLKILSFRNEDQRSLLHVAASSGRTQVVKILSAFDPLVSGINSADEEGWVPLHSAASSGNVEIVELLLTRGADVNLKNNGGRTALHYASSKGWLKIAQLLIAHNAKLNVKDKVGCTPLHRAASTGNGELCEFLIEEGAEVDAVDRAGQTALMTAVICDNREVSLLLIRHGADVDVEDKEGYTVLGRASNDLRPLLIDAAKAMLEG >KZM85200 pep chromosome:ASM162521v1:8:23647457:23652461:-1 gene:DCAR_027378 transcript:KZM85200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEHQLARWDGYVDWRNKPALRGRHGGMLAASFVLVVEILENLAFLANASNLVMYLSEHMHFSPKDSANSVTNFMGTAFLLALLGGFLSDAFLNTYFIYLSSAFLEFLGWLILTVQAQSASLRPAECEANVPCRRVGGAKAAMLFAGLYLAALGIGGIKGSLATHGAEQFDEDTPQGRKQRSTFFNYFVFSLATGALIAVTLVVWIEDNRGWVWGFGISTFTIFLSIPLFLAGSKFYRNKIPCGSPLTTILKVLAASALNTCVAVARSPNNAIASMSASPAFGTSMKMENQQMTKKSSKSLDLLETPSDSLKFLNRAVTRMPAHKLLECTVNEVEDVKIVLKILPVFGCTIMLNCCLAQLSTFSVQQAASMDTKLGSFKVPPASLPVFPVIFIMILAPVYDHLIIPFARKVTKTETGISHLQRIGIGLVLSIVAMGIAALVEIKRKRVATDTGLDTTQPLPITFFWIAFQYLCLGSADLFSLAGLLEFFFTEAPSSMRSLATSLSWASLAMGYFLSTVIVSIVNSATGSAKNKPWLSGPNLNHYHLERFYWLMCALSILNFLHYLFWANRYKYKALNKG >KZM83857 pep chromosome:ASM162521v1:8:2316371:2320523:-1 gene:DCAR_028721 transcript:KZM83857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQGDEKNELMSSARRKEIGRACMLSTEVGAVLAAIRRKNESHPHYFSQEDCYDTSILQSLKSLRTLIFSPQQAWGTIDPSIYLSPFLDVVESDDVPAPATAVALAAITKIFRNNVFDEKTPGAKHAMAGAVTAITSCRLEKTDSACEDAVMMRILYVLTELVRHPASVLLTDHAICTIVNTCFQVVQQSANRGDLLQRSAMFTMHELVQIIFARLPDLDVRDGGNSESDDEEIYDNRYGIRSAVDIFHFLCSLLNVVQVYHVEYETGQGTMPRQSLDEDVQLFALVLINSVVELSGDSIMNHPKLLRMVQDDLFHHLIHYGTRCTPLILSMISSIVLNIYHFLRRSVRLQLEAFFSFVLLRAASLGNPVQVQEVAIEGIINFCRQPTFITEAYVNYDTHPMFRNIFEDLGKLLCMHSFPANGPLTSLQVQAFEGLIITLQNIADSVDKEDSSSPLGEYPIEVSEYRPFWEERPANGSESWVDFVRKRKAQKRKITIASNHYNRDEKKGLDYLKVSHLVSDPPVAKAFAMFFRFTPGLDKTMIGDYLGDPSDFHLQVLKDFTETFEFTGMALDSALRTYLESFRLPGESQKIHRIIEAFSNQYYDHQTSDTFQDGEVVLVLSYSLIMLNTDQHNPQVKKKMTEDEFIRNNRAINGGKDLPREYLSELFHSIATKEITVFGQNGMTLEMNPNIWIQLINRSKKMKSFKVCDTDRDLGRDMFAVIAGPSVATLSAIFEHTEDEGMLHECTEGLFSIARISQHGLEDTLDELLASLCKFTTLLNPYASADETLYGFSNDMKPRMATLAVFAIANSFKESIRGGWRNIVDCLLKLKRLKLLPPNVVDPDNVIESSDSVADEDSESPGQRGLVGRFSHFLSMESVEESLHLGLSEFEQNQKVIQQCRIGYIFNNSSSLSNDAMQNLGRSLIFAAAGKGQKFSTPVEEEETVALCWDLLLTTTLANVNRFATFWPNYHDYLLTVAQFPMFSPIPFVEKGIIALIKVSSKILATYRPEKQSEELVFTSINLMWKLEKEILDTCFEPLTKQMSKILTEHPGNIQTQLGWKSLLHLLSITGRHQETYEQAVEALIFLMSDGTHIYRTNYIYCIDCAFGFVALRNSPVETNKKIMDSMAESVKNLVQWYTSGYSDPGSSTSTSSADDSSRALASSNFTVNLFVRLGEALRKTSLARREGIRNHAIASLQRSFTLAEELYFSPSNIINCFNLVLFAMVDDLHEKMLEYSRRENAEKETRSMEGTLKLSMELLTNVYLQFLKPISESPGFKTFWLGILRRMDTCMKADLGVYGVPDLQQIVPDLLRKIIVAMKEKNLLVPTEGDDLWEITYIQIQWIAPSVKDELFPDV >KZM84601 pep chromosome:ASM162521v1:8:16704199:16705326:-1 gene:DCAR_027977 transcript:KZM84601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFVSKLFATISTIKASYAELQMAQFSNHNIEAIQSADQVVVDELRTLSEVKNSFLKKQIKSSPPHVTFLLTEIQEQHSHMKMYEITIKNLEAQIEVKKEELSALQENLRETTLINKAHAQRLNSGGRFSILDNIVLSSSSNPRDFILVLQYAMKSVREFINILVNRMEIAKWDIDIAVNAIQPNFQFSNRTQKWFAFESFVCQEMFKNFDTPGFSLQNGQCIPYYIDQFTKLKSANATHFFNQYPNSSFGKFTRSKYLQLIHPKMEASFYGNLNQRKLVNSWGCPETTFFAVFAEMARRVWILHCLAFTYNQEVSVFEVKKNCMFSEMYMVSVTSEVFLAGNDEVTVGFMVVPGFKIGKMVVQSKIYLAAAKI >KZM84533 pep chromosome:ASM162521v1:8:15951564:15951725:1 gene:DCAR_028045 transcript:KZM84533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDHKFKAARASVRGGAREREWKDREKGRTLTGSQSTEATEPKRSTQDIRIE >KZM83684 pep chromosome:ASM162521v1:8:447528:449694:1 gene:DCAR_028894 transcript:KZM83684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPPLSTSFEIKNCLIRGFNSFRHLKHVHTRLLRFGLDQDNYLINMFLKSIFTFSNQSYAHLVFNQTHEPNVFLWNTMIQGLVSKNCFDEALQLFVSMRKESFLPNNFTFPFVLKACARLNDYKVGLEVHSVVVKCGFDCDVFVKTGMVCLYARCGHLGDAHKVFEDIVEKNVVSWTAIISGYMGIGKFKEAIGMFKGMLEMNLRPDSYTLVRVLSACSQLGDVKTGVWVHRYVAYIGMERNVFVGTALVDMYAKSGNMEAARRVFDGMLEKDIVSWSAMIQGYASNGLPKEALDLFYAMQKENLKPDCYAMVGVLSACARLGALDLGVWASSLIDKNEFLTNPVMGTALIDMYAKCGKLALAWDIFEGMKEKDRVVWNAMINGLAMNGHVRAAFGLFAQLEKFGIHPDGNTFMALLCGCTHAGLVDEGRKYFHSMKDVYSLTPTIEHYGCIVDLLGRAGSLKEAHQLIKNMPIEANAIVWGGLLHGCTLLKIVCI >KZM85666 pep chromosome:ASM162521v1:8:27668726:27678355:-1 gene:DCAR_026912 transcript:KZM85666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLFAASVAISVILAILAHSALKLHQRLWIRPKKLEKQLKELGFKGNPYRFLHGDMKEYFSIAQAANSKPIKFPHDIGARLLPYEHRIVEKHGKNSFVWFGAKPRLNIMDPMLVKEILFKHEEFHKVYPDPIADLVVGGLSTTHAETWTRRRKILNVAFNVEKLKGTLPVLYLSCKDTVSKWKGLVSAGTTEIDVWPYIEILARDMISRAAFGSFYEEGRRIFQLHDMQADLAFQVMVSSYIPWSRHFKFGANKKMKELNKEMTGQLTRIIKKREKALKMGQKVNTDDLLSVLMDATKKDIQEGSGMSMEEVIDECKLFYSAGADSTARLLVWTIVCLSKHGDWQSRAREEVFQVMGDKDIDCEKLNQLKIRSSKRLKTTTTLSSPSDSITLPDDSDLSPDQKSRIEFNKLLAKSKRNLKLCSQRVSKASTGDGVMRLNLKLEELLVEETWLEALPNEFEKPYAKKLCEFVENEICGSGFPIYPPPHLIFNALNTTPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGIKVPSSLGNMYKELKQDLQCSIPSHGNLERWALQGVLLLNSVLTVRQHQANSHAKKGWEQYTDAVIETISKKKRGVVFLLWGNYAQGVLLLNSVLTVRQHQANSHAKKGWEQYTDAVIETISKKKRGVVFLLWGNYAQVKSRLIDGTKHHILKAAHPSGLSANRGFFGCRHFSRTNQLLEEMGMSPIDWQL >KZM83996 pep chromosome:ASM162521v1:8:5124888:5138849:1 gene:DCAR_028582 transcript:KZM83996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPITMKETLTLPSIGINQQFITFTNVTMESEKYICVRETSPQNSVVIVDMSMPMQPLRRPITADSALMNPDSRILALKASVTGTTQDHLQIFNIELKAKIKSYQMPEQVSFWKWITPKLLGLVTQASVYHWSIEGESEPVRMFDRTANLANTQIINYRCDPSEKWLVLIGIAPGSPEKPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVPGNDNPSILISFATKSINAGQVISKLHVIELGAQPGKAAFAKKQSDLFFPPEFSDDFPVAMQYVCLGKAAFAKKQSDLFFPPEFSDDFPVAMQISHKYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYAINRRGQVLLATVNESTIVPFVSGQLNNLELAVSLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQTGQTPPLLQYFGTLLTKGKLNSFESLELSRLVVNQNKKNLLENWLAEDKLECTEELGDLVKVGYTPDYLFLLQTILRSDPQGAVNFALMMAQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTSNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRSSVGVSIVFPLPHLSTSQFTSYDESAAVAYSVLGASSVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADASRVMDYVNRLDNFDGPAVGEVAVDAELYEEAFAIFKKFNLNVQAVNVLLDNVQDIARAVEFAFRVEEEAVWSQVARAQLREGLVSDAIESFIRADDATQFLDVIHAAEGGDVYHDLVKYLLMVRQKTKEPRVDSELIYAYAKIDRLSDIEEFILMPNVANLHNVGDRLYDEALYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELVYLYIQYDEFDNAATTVMNHSPDAWDHMQFKDIVVKVANVELYYKAVHFYLQEHPDLINDVLHVLALRVDHSRVVDIMRKAGYLHLVKPYMVSVQSNDVYAVNEALNEIYVEEEDYDKLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKVDNLYKDAMETASQSGDRELAEDLLVYFLEQGKKECFASCLFVCYDLIRADVALELAWMNNMIDFAFPYLLQFIREYTNKVDELIKDKIEAAKEMKAKESEENDVIKQQNMYAQLLPLALPAPPSMGGAGMSVPGMGGYGPSQPPSMGGMGMPAMPPFGMPPMSY >KZM84865 pep chromosome:ASM162521v1:8:20266618:20268003:-1 gene:DCAR_027713 transcript:KZM84865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACNWEKSTIANELIQGMEVARQLKFHLSSSTSSPDTHQRLLQRILSSYDNALLLLNWSESSAVQAQAVPAMVSLPQSPISTEGSPGEFKDHHQDVSKKRKALPTWKDHVRIYFENGVESSAEDGYSWRKYGQKDILGATHPRSYYRCTYRNTQMCYATKQVQRSDNDPTIFEITYKGKHTCLQANNSVPPATPENQELKHESHYHYHHHNQEPTNDVLINFRENLRVETEDLHKKEASDSFSFPQGIENLTGEAQLSSFSQLVNENVMGNFSPSFISPATSGSSYFSVSPYQMNSFGQFPESDHNEIISANTSTSNSPILDLDFQIDHADLDLKFPFDTPGFF >KZM84134 pep chromosome:ASM162521v1:8:8709033:8710981:1 gene:DCAR_028319 transcript:KZM84134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSSLPQTNYAGIQSAADATGCFQQIVLFMNNSNLKIPIIATPLINMDRLDQFWKTAKNQGTEEVPSVDFKIDGKEYMLIVTHINEAFGTTVAENESFADLGNDDTLSKFFLYIGYAGLVPDKNSTKWYPTSEMDKRYMRKEWNMLFDAMVKIFSTKTSGWNGIPSYIKKLTHSMVHGYKVNVGKVVMAQLRSSISKKIHTSEKPALEETHVDVGHSEVLTNPFEVAVTASVAVNDSAGTTTSIVVDTIPAIKTSLVVTSPPAIIIPTDVFTRTDTILSTKVVVTSTQHLHLDVIIEDGSDDENVPLCTFFKVE >KZM84563 pep chromosome:ASM162521v1:8:16263803:16264072:-1 gene:DCAR_028015 transcript:KZM84563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPPPAKTLPTARLNPLLSVARINSRKHIDNYEFKIALTGLSSTKSAFSPLNVVGRSPAKDFYISPVVIFWHILKTAINKNVLPICGQ >KZM85816 pep chromosome:ASM162521v1:8:28929034:28932039:-1 gene:DCAR_026762 transcript:KZM85816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLLVDRLLTESTLEAAIESRNPSKQSGSAENNDVVIDCASHSDSEIGFAPRKVVECRICQDEDFDSKMETPCSCRGSLKYAHRRCVQKWCNEKGNTMCEICHQQFTPNYTAPPPLFRFGGIPMNLRGSWQISRRDLNNPRLVAMVSTHRNFLSPTYDEYADSSSRNLICFRLVVAIFMVLLILRHAVPIFATGSDNFSFPLFVLPLLRILGILLPVYIILKALHGRRRQRQATSVSSVRSDDEEADDAAILQNQPESIQVP >KZM86034 pep chromosome:ASM162521v1:8:30933998:30936067:1 gene:DCAR_026544 transcript:KZM86034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINNSDVYTRRMLRTPAIRPCESVNPSTLLSSLINLAQKITCNYKSSTISSNKRNFKEVTRLITNLLMFFEEIKEGSSRFSDALVLSFSELHFVLQKIEFLLRDCGNDEARVWMLMKCEYVYDQLRMLMRGVGVALEVFELERVEVSVESKELVELVKRQALGGKFGVEEEDREKFDEVLEILRGFEAGLAPGSGELRRVVEYLGIEEWRECDQGIRFLESEVLVESQSVEKKDLGLLSSLVGLMTYVRCVCFVSVGDARVVKVSGSGRGCDREGISGLNLGNLDDFQCPISLEVMVDPVTIATGHTYERGSILKWFRAGNSTCPKTGKKLMCRDLVSNLAMKQLIGEYGLGGGVAMGETGRQNRQKMKTVGAGSVAAEGALKLVANFVNGRLKSGTNEEQRKAAYEIRLLAKSSSFNRSCLVEAGVIPSLMNLLCSRDSVKQEDASAALLNLSKYSQTKRILVESGGLELVLDVIQNGLKMEARQHAAGILFYLSSVEEYRVLIGEIPWTVPVLMELLLEGTFRAKKNALVTILGLLVYPDNHWRVLSAGVVPLLLNLLRSLQREDLITDCLAVLATLAENQEGAMAILSARALPQIVEVFGSSTTRSVKEHCVSLLLYLCINGGADVVRVLVKNQALMVPLYDVLADGSPRAGRKAGSLIRIIHEFDEKTSSGLMNLPLQRDRFVHV >KZM85210 pep chromosome:ASM162521v1:8:23729542:23735073:-1 gene:DCAR_027368 transcript:KZM85210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLFGLGGGCAVSLMGILLCSFLSCRSEGLNAEGMHLLELKKGLRDEFNHLGNWNSSDQTPCLWSGVSCTSDVDPVVYSLQLRGMNLSGHLSSSIGEYAYTMRVTEKCDIYSYGVVLLELLTGKTPVQPLDDGGDLVTCVRKYIQEHSFTSGILDSRLNLEDEQIVNHMLTVLKIAIRCTNMKPNDRPSMREVVQMLIDSNKREGNVIPPPVYDSLLKDNAPLEDDNAL >KZM86007 pep chromosome:ASM162521v1:8:30662000:30668498:1 gene:DCAR_026571 transcript:KZM86007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRRSCNLLKPLPTLLPYTSHFRRSVSTDSTPFTVETSIPFTSHNCEPPSRSVETSAAELMTFFNDMAKMRRMEIAADSLYKSKLIRGFCHLYDGQEAVAIGMEAAITKKDSIITAYRDHCIFLSRGGTLVECFAELMGRKDGCSKGKGGSMHFYKKDSGFYGGHGIVGAQVPLGIGLAFAQKYNKEDHVSFALYGDGAANQGQLFEALNMAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPLILEMDTYRYHGHSMSDPGSTYRTRDEISGIRQERDPIERIRKLILAHDIATEKELKDIEKEKRKEVDEAIAQAKESPMPDPSELFTNVYVKGYGVEACGPDRKAVKAVLP >KZM83700 pep chromosome:ASM162521v1:8:621535:621906:-1 gene:DCAR_028878 transcript:KZM83700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWKKWLSFNFKKSFLRPSKAILLKVISRLRHKSKEKGTGMVSLYKDKEACAGYEDIQVMWEMVHSSIYTNSPQTRKMRFRRRVCFRPT >KZM84927 pep chromosome:ASM162521v1:8:20901234:20901839:-1 gene:DCAR_027651 transcript:KZM84927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KZM85507 pep chromosome:ASM162521v1:8:26381918:26389685:-1 gene:DCAR_027071 transcript:KZM85507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQMQQSNAAATALYDHPSMAGGSLHNAGTSSDAGDAVMARWLQSAGLQHLASPLASSGVDQRLLPNLLMQGYGAQSAEEKQRLLMLMRNLNFSGEAGSEPYTPTAQSSNGITASDGFYSPDFRGDFGAGLLDLHAMDDTELLSDNALTEPFESSPFMTDVTEAVDNDFEVIPNQQQRGQADGDTSRVLPTNEKDNTRENNVAKIKVVVRKRPLNKKEVSRKEDDIVTVCDQALLSVHEPKLKVDLTAYVEKHEFCFDAVLDEEVTNDEVYRATVEPIIPIIFRKTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRILHQPTYRNQKFKLWLSYFEIYGGKLYDLLTDRKKLCMREDGRQQVCIVGLQEFEVLDVHIVKEYIERGNASRSTGSTGANEESSRSHAILQLVVKKHNEVKEKRRNNDGNETKAGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPKKDQGATPVSLLNKENTSIPQVSTGGEDVYDQEQEVKAVDTSRRVAEKNYQKPIADIDKQSTTFPSHQSFKSREESGVVSSFVDKERADLKNTSVGSTSHKLYSASSSHNAVDTEEKVQKVSPPRRKTFRDDKPDKLLRKDGTGFDQSNTPYQQKNTTHTNNITHEQLETEAPLNGNNNEILEEEAALIAAHRKEIEDTMEIVRDEMKLLAEVDQPGSHIDSYVTQLSFVLSRKAASLVSLQSRLARFQHRLKEQEVLSRRRVPR >KZM85910 pep chromosome:ASM162521v1:8:29869938:29876080:1 gene:DCAR_026668 transcript:KZM85910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSFIVSDHHHHRLPATTSPPCSQGHTSSLNLPTLQGGSICLLCLSNLISSPSSPSLHVSYALSQLSLALSQPPFLRALLTFHPHFLVSPLVSCLAAVDDEAIAKQVVEIVVEMCKCGDESVYGEFVARVSDRVSNGSLAWSRRQVFMLHCLGVLLDHQKNNPCNNIKDKESLALNLVSGLQLPSEEIRGEILFVLYKILIIQNTFKDDNVTDAFITCGPKLLRLSLEALMKTESDDVRLNCIALLSVLAQRGFFDSAYGTDISSGDQYEDDNFMETNQLSDRSAMTRLFAEAIKAPLLSSDSQVQTATLNLTYLYLSRDDGSGKEVHVLVEENIADYVFEILRLSAISDMEEDMVLGVLESFHAILLQDIDTKPKDFAYILLSSSWFSFSFGCLGLFPAERMKWRVHLMSSSLVDVLLGNDSGQPIRDAASHLPSDPIEMLYILGVKSSHNLDSLSCQSAILLILYTSSLYDDRLADDKLVLASLEQYILLNNIELLYGAAKSETIEILVNVYGLYRSLAKMSYQIPYSPEAERILFHLASEKDWDLLSSRIHFKSLKWLFQQEKMCKLLSTQILKLCRCSTSVANHILLHGKNSQSLDVLTLAELVASEDNFGATLLVYLLRELVEEGGQEDDIISTLNLIAEIIDTCPGASDQLCLHGIGIAIENLYYYSRNASFPNTYMNMLKLIFRIFSSVRSKSISEDESWLAVTMKLMDYLIATATADGWNHEGLMIIGILCLILHHSTNQALLGVSKSILLCSALVQIITKTIREACSKGPALTDHDEGTNVGASLIFLLLLNYFSFKSMHAVVPGNFYLLDLLDTNNVKEIYFISIPCHDLCRLIYFGSPPVKLVASYCLLELLTRISEEERTEPEKFNCNSCYLKSLIAVLEGMLHYSDIRVAMNCSLCLSIILGWQKQDISAQVFGRNNWCRFIIEELVMSLAVPCLASKTLPIHHKPAVHVAVALLKLQNIPQWMSSVFDDSSILGIVKNLSASNLSPEMVLLFRVLLTSGYLKADQVAVLNRLFQECRKRIYSQNSQDSKEEHTEKLNIASEGLGNVCEFLINLMSSQSPAGIRSQEVQVRNKDLLEEIELFSKDIMEEDVA >KZM84420 pep chromosome:ASM162521v1:8:14113510:14114376:-1 gene:DCAR_028158 transcript:KZM84420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFTQALKSCNDVFWSVRGGIALNYGIVLAWQIKLVPVPGTVTVSNVKRKIEQNATNLVHKYQTFAPSTNRNLFLRAQISPENLNTDGRKKTVAVYFQALYLGKASGVEKIMQNSFPELGLVNQDCLEISWAKSALWFAGDVGFPKGDSLQQLLNRGLAPEQYIKAKSDYIEEPTSIKGLEQIWLRLMEMEQGATNLVMIPYAGKMSTILEGVIPFPHRAGHLYMLLQEVYWNGNTRVDFQNKRLSWLRSLSNDLSPYVSSNPRRSYINYNDLDLGVGNSSYEEAST >KZM84467 pep chromosome:ASM162521v1:8:15009145:15012469:1 gene:DCAR_028111 transcript:KZM84467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEHKYIGVDGLKLHVAEIGPPSGSPTILFLHGFPELWYTWRFQMIAVANAGYRAIAPDYRGYGWSDSPPEPDKATFDDFVSDMAAVLDALHISKVFVIGQDVGAMVAYLFALRYPERVHGIVTLGVPFMPPRPVEYHNLLPEGFYITRWQEPGRAEADFARHDAKSIVRTIYILFARSEIPIAPENQEIMDMVEPSTPLPSWFTEEDLAVYGAAFEKTGFQTALQVPYRTIRPNKDMQQPINIPDPKVEAPALFVTGEKDYVSKFPEMENYIKSGAVKMFVPNLEIEYIPEGSHFISEQFPDKVNEIILSFITKNYEG >KZM85454 pep chromosome:ASM162521v1:8:25911866:25912923:1 gene:DCAR_027124 transcript:KZM85454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTDSDKILNDLTVALYQQPIDFRKLTEYTHQFKGSTSSIGAQRLRDGCDAFHNFSCEQNAEGCMRSLQQVKQEYLIVKEKLQNLITVCS >KZM85725 pep chromosome:ASM162521v1:8:28116968:28119421:-1 gene:DCAR_026853 transcript:KZM85725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWMWNYVLWSILITLMPLAWHLRRKNSYRRAKLPPGPQGWPVIGNIFDLGASPHRSLAALKQKYGPVVWLNLGAVKTMVILSAGAAEELFKNHDLSFIDRFNNDVMRADDYYKSSMALGLYSPYWRTLRRICTVELFSNKRINEAVLVRQRCVNKMLSWIEKELVESATGEIEVKDFLFPAIFNMIGNLTLSQDLMHPNSEMASEFYMALSGFSVCLTSPNISDLFPWLRWLDLQGLRRRTDRELKKAMQIISGFVRERVKQRQHREGRATEHKDFLDVVLDYEGNGKDEPVKLSDHQITIFLMHLADKSTIFARPSRMDFIYTIINFVIIVVLVLVVIFLSLMLKLYAGKDIRNPKNTHVFVNAWAIGRDEEIWEDALSFKPERFLESSIGYKGQDYEFIPFGVGRRFCPGLPLADRVLPLNLGSLLHYFDWKPGENSSGEMTI >KZM84302 pep chromosome:ASM162521v1:8:11820943:11827539:-1 gene:DCAR_028404 transcript:KZM84302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVRWSLARPNNFVLCIATRTLTRTICCSSPPRIAIALPGGVNKFGDKIKHYSRAMTTCSLLTGKEDDFGGVIVRFTNQMESNVFASLLRASMAQWKLQGKKGVWLEIPIECVNLVEAAVKEGFYYHHAEPKYLMLVHWIPETNSTLPANATHRVGIGAFVLNKEGQVLVVQEKSGKFRGTGIWKFPTGVVEQGEDICDAAVREVKEETGIITEFKEILAFRQSHKAFFQKSDLFFVCMLEPLSFDIQKQDLEIEAAEWMPFEEYAAQPYVEKHELLKYLVDICLAKKERKYTGFTPVPTTSTFSSEKHFLYLNGRDLSSL >KZM84101 pep chromosome:ASM162521v1:8:7693440:7694030:1 gene:DCAR_028477 transcript:KZM84101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINVTRESSGQAGHDQQVKYTGVRLRKWGKYVSEIRLPNSRERIWLGSYDSAVEAARAFDAAQFCLRGPSAKFNFPDNPPDIPGGRSLTPGQIQVEAARFAHSAPPQCSANNPQAEAHQAEAPSNTGQVGVENSLLDEFRTMSWETESVSEFGYFQGFDDFENELLLSGPNYGMDEVEETGDDSFTTHGSFLWNF >KZM84991 pep chromosome:ASM162521v1:8:21527561:21527956:-1 gene:DCAR_027587 transcript:KZM84991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAARGTLGYMAPELFYKNIGGVSNKADVYSFGMLLLEMAGQRKNLKPMVDQISQIYYLSWIYDQISNGKEIEMEDASKDERKLAKKMIIVAMWCIQMKPSDRPSMNKVLEMLEGDTGLLVMPPKPLICPQ >KZM84268 pep chromosome:ASM162521v1:8:11311222:11312115:1 gene:DCAR_028438 transcript:KZM84268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWFCNYCIPCDVDLEQVGNRFKCPKCGKFKPYPDRRYEFSMLCSNKTGTIPILWSSEELTRFTGKTVYDVLGDESQVGDGDKFPPILQQFEKKSYAFTLCISKENVLQGSNLYTAIKVTDPAEMWESLDNTKDITAPLQQTEISQDITMVKSNSPSTGESTNKTKSRKTTDPVEMDLPHKTPQRKAKHVKIEKK >KZM86039 pep chromosome:ASM162521v1:8:30963701:30966239:-1 gene:DCAR_026539 transcript:KZM86039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAYEAAIAGLKKLLSENDHLGDLAIAKIKQLTAELEVTDKFDPVEKIQNGFAQFKKEIYEKNPDLFDELAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIANMTKYSGAGAAIEYAVLHLKVENILVIGHSCCGGIKGLMSCSDDDTTASDFIENWVKIGAPAKAKVKAESQHLDFTDQCTSLEKEAVNVSLGNLLTYPFVRDAVAKKTVSVKGGHYDFINGSFELWSLDFGLSPPLTV >KZM84001 pep chromosome:ASM162521v1:8:5198884:5202446:1 gene:DCAR_028577 transcript:KZM84001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVRDWPEPIIRVQSLSEKGLEAIPERYIKPMSDRPSSNFPVIENQNIPIIDFSGLYGDETIRAKTLSEISEASRDWGFFQAVNHGVSPELMDRARQLWREFFYQPMEVKQGYANSPKTYEGYGSRLGVEKGAILDWSDYYFLHCLPCNLKDHNKWPAQPSSLREAIEEYSKQVVKFGGEMMKALSINLGLSEDYLQKAFGGEDIGACLRVNFYPKCPQPDLTLGLSPHSDPGGMTILLPDQNVAGLQVRRNDGWITVKPAAHAFIVNIGDQIQVLSNDIYKSVEHRVMVNSNKERISLAFFYNPRSDLLIAPAKELVTPERPAIYSPMTFDEYRLFIRLRGTKGKSQVDSLKSPSPR >KZM84495 pep chromosome:ASM162521v1:8:15406127:15409530:1 gene:DCAR_028083 transcript:KZM84495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQSRNNLKEEGYNSSRGFALAVNDTVPVLEHVSFASLIGNADSILDKVSVLVTFIVKKIEEADSWWFHSCTVCHEEVVKVERKFKCEACNCSFPYSEKRFRILVLAEDATHACNVILMDRIVKRIFGTTATNMLNEMKKTPADNPINEMYKMIVGKEIFAKIVLTQGNKSGDSNLYEAVDLFDQKFHDAGHGDISPRSSVASFNQSSVVHGIEHFQTSGSSGSVSKKIKKAPSMRLHLARTKLRCESRITMPAPMYPS >KZM84259 pep chromosome:ASM162521v1:8:11159087:11166007:-1 gene:DCAR_028447 transcript:KZM84259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAACSLTPTTSPAASFNKSIIQKWSLNNGAVLFYKKPASSILTRPCAASSSSSSSATTKKKRKKKKKKDEEEEEEEEEKDESRSGDDESSSSMMKRLDDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTIRSDEDDEAVGGPMCEFAIPGAQNTTVLVVGATSRVVRKASQQVVDMLPTSVEIVIGDVGDSLTLKAAVENCSKIIYCATARSSITGDLNRVDNQGVYNLTKAFQDYNNKLAQLRAGKSSKSKLLLAKFKSEDSLSGWTVSKGTYFQDVVATKYDGGMDAKFEFTENEEATFSGYVFTRGGYVQLSKRLSLPLGSTLDRYEGLVLSVGGNGRSYVIILESGPSADTTQSKMYFTRISTKAGFCRVRVPFSAFRPVMPEDPPLDPFLVHTFTIRFEPRRQRVTDGTTGGNQDLRSFQLILEYIKALPTGQETDFILVSCTGSGIEANRREQVIKAKKAGEDSLRRSGLGYTIIRPGPLMEEPGGQRALVFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEQGKELYELVAHLPDKANNYLTPALSVLEKNT >KZM84691 pep chromosome:ASM162521v1:8:17793209:17796065:1 gene:DCAR_027887 transcript:KZM84691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDRIHKPQTGIISPSKLRMKLMGQQRKKEGGSNSNSARTSPSKLEDAEFVRNSLLASDVEDFYQDVSNLQIESVKPGGSAVLDYSQGDYNPYQQREHGNGGRLKLQQFSKADGLSLSSVHPMRALEDDIDYDSNASSSSFEFQGERTVNNPIGRSHLRPKSSKWNDAEKWIMNRQANHSKSSNVQSQAMRMQVKNGVKFASESASSDNKSAVKRVDFCQPAELMSMEKFSFISPGGLPTGQGNGTNLPIDLCPQSKDLEAVESKNSSCMTAAGDGSTGPPVIRAVSMRDMGTEMTPVPSQEPSRTATPVGATTPIRSPTSSLPSTPRGVPTCTPADYFSDAELKTSTKGGTKELSEQELKLKTRKEIVALGVQLGKMNIAAWASKDEKDNSAAAAENAELEELRRIEFEKRAELWEEAEKSKHNARFKREAIKIQAWESQQKAKLDAEMRRIESQVEQMKAHAQAKMVKKIAMARQRSEEKRISAEAKKNRQNEKLAAQADYIRQTGRLPSYPYMCCG >KZM85352 pep chromosome:ASM162521v1:8:25072074:25072439:1 gene:DCAR_027226 transcript:KZM85352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIELVKCECCGLKEDCTQDYINEVKSKFEGKWLCGLCSEAVRDELSRGNSKAFDVEDAMKAHMSFCGRYKSNPAIRVADGMRQMLRRRSDMSSGSSGSSKKYSRSSSTSQVGDDSSFSYY >KZM83725 pep chromosome:ASM162521v1:8:837585:839302:1 gene:DCAR_028853 transcript:KZM83725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCVQSWPEPIVRVQSLSDSGIRVIPERYIKRHSPKPSLKLTTPVRELTIPVIDLENLASRDIALRANTLALISRACREWGFFQVVNHGVSHQVMDQVREVWREFFHLPVDEKQVYANSPTTYEGYGSRLGVEKGAKLDWSDYYFLNYLPTCQRNEHKWPSYPSSCKEIVSEYNEALVKLCGELMKILSINLGLEENRLQQALGGDEVGASLRVNYYPKCPQPDLTFGLSPHSDPGALTILLADNQVSGLQVRKDDNWVTVKPVPNAFIVNIGDQVEVLSNGNYKSVEHRVVVNSAAERVSLAFFYNPKGDKTIEPAEELITPTVPPQYLPMTFNEYRTFIRTRGPCGKTSVESIKSPR >KZM84527 pep chromosome:ASM162521v1:8:15856734:15857742:1 gene:DCAR_028051 transcript:KZM84527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVCIGFRFETIPDGLPPSHADATQDIAALNRSIKENNMLAPFQSLVEKLNAGTHQVTSILSDGFMSFTADVAHSLRIPIVLLWTIAACGLMGFYQFRNVLESGLIPFKGGMPNIGAKSFSIKAPLLRIKIKYKKKHLSCSLLSRPSPESPPSAPLFSFTHLSRTYYSSSSLISLAPTALLSPISCPFLKP >KZM85268 pep chromosome:ASM162521v1:8:24269967:24271055:1 gene:DCAR_027310 transcript:KZM85268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLLLFIGVVALATSCLAELKLPIHEKPQPVHKPPSFHKPPVYELEGHHKPPFHKPPVHKPPVYDTEELHKPPFHKPPVHKPPVYDTEELHKPPFHKPPVHKPPSVNYETEELHKPPFHKPPVHKPPTVDTEELHKPPFHKPPVHKPPSVNYETEELHKPPFHKPPVHKPPTVDIEELHKPPFHKPPVHKPPTVDIEELHKPPFHKPPVHKPPTVDTEELHKPPFHKPPVHKPPSVDPEELHKPPFHKPPVHKPPTVDTEELHKPPFHKPPVHKPPSINYETEELHKPPSHKPPHVPELQEHHKPPSYKPPVHKPPVAFLAGNARKTLPRQQIEGTVNPEGVKPEGHHKPPFHKPPVHHP >KZM85696 pep chromosome:ASM162521v1:8:27947579:27947788:-1 gene:DCAR_026882 transcript:KZM85696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIVMYCEPYILVRYGKKKLRRLLWRARAHIERHIKWKKMEKQRISFHYDHFSYSLNFDNGDFGFLC >KZM83883 pep chromosome:ASM162521v1:8:2584344:2594685:-1 gene:DCAR_028695 transcript:KZM83883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASETLEAAISSSDASVKSSSLRVAERIVDSYPKNVFSWFLSCVVYSLLNRPIEGALSLLEVFYDDPSLARSEISPELFEGLFLRHFVRVLEWYNEQKSRILSSLCKDSGYDSDDHSICVESVGVSCTTLLSKMNGNQASELKELERNYEDVLDENCRVFVWYFKEVLKNEDEYVVIDPPSLVLELTDREGGIEGSDHDPVEIDTDGPGLKNGRYNPIWTDEEKHVSRNLSKFPSFFPERVSLKVLTNRGSLKRSMASFNKDCESEPVADYSYSSSDCEGKKEEMDQSSSSLDSRQSQSQIIGLASSTDSSCSPDPEMTDTDNPPGGGKHKAPRDFVCPITSYIFDDPVTLETGQTYERKAIQEWIDRGNLTCPITRQKLNGTQLPNTNYVLKRLIARWREQNSSPTPVKSDNQHQESEPSFSNGAPLASPDSVISQATFDGAVGELRHAIETLSMSEILKESEMAVLWIEKFWKEANMQADIQTMLSEPSIINGFVDILFNSVDAQVLRASVFLLSELGSRDDSVIQTLCGVDPDVECVVALFRKGLLEAVVLVYLLRSSATSFIDVGILDSLLNVLGKKEDDFVKMCIRPQSASVLLLKQILGSADEISISGALSSFISDEVIENILYSLKAEWHGERCAAVSILLRCIQEDGNRRNLIAQKAELAPLIESFLEANEKERFQIVQFLSELVKLHRRIDNENILHVIKDEGTFSTMHTLLIYLQTARQDQRPVVAGLLLQLDILMKPRKASIYREEAVDTIISCLRNSDFPDAQIAAAETILVLQGRFSYSGKSLARDLLLRRAGLHKNYKSQMLKDQDMMTSQNSGEMMEEENAAEEWEKKVAFALVSHEFGLLFEALAEGLKSTNAKLHSACFVSATWLVDMLARLPDTGIRGAARACLLEQFVSIFKSAKDIEDRAVSMLALSSFIHEPEGLQDLTLYMKDILKGLRELKKFSVVAFEMLKSLADGDDSSNEMWNHKELVQEDCSANGEVLSVVCFKDKIFSGHSDGTIKAWTGKGSILHLIQETREHTKAVTSLTVSESGDKLYSGSLDKTVRAWNVDSEGMQCEHVSEMKDHVNNLAIANNISCYIPNGAGIKVHSLNGTSKLLNPKKYVKCLVLVQGKLFCGCHDNSIQEIDLETGALCTIQSGSRHFITKANPIYALQVHDGLIYSASSPLDGAAVKIWSASTYDIIGSVPSTLEVRSMEVTSDLIYLGCKGGIVEVWCRKKLDKKETLQTGTNGKVLCMALDSDEEVLVIGTSNGRIQMKFSSEIVTRKLGGLRTMPFIIANEAFEKVASTGLHANMILYLVFEYHMDVVTGTTILFLWNAIANFMPILGAFLSDSYLGRFRVIAWGTVVTLIGTIILWFTAIIPEFTPPDCDIRQPRDCVKPDSGQLALLFISLAVMAIGAGGIRPCSLAFGADQFDKPDNPENERILQRFFNLYYASVGISLMISVTVIVYIQNKFGWIVGFGVPVGCLLLSTVLFLIGSPLFIKIKPNKSMLQDFVQVISLSWKNKHLALPPEHLDGWYHHNKGSKFVSPTEQLRFLNKACIRRIGEPDGLTIGPKDSICTVQQVEEFKALIRVLPIWSTGIIIAVTVNQHSFPVLQADTMDRTLVGDFKIPSGSYGVFTLLTLTIWVAVYDQLIVPLITKITKRPGGLTLQQRMGTGLFLSIAATAVAAITENIRRQHAIDEGLVDTPKGVVNMSAMWLIPQYALIGLAEGFNAIGQIEFYYSQFPKTMGSIAVALFALGMAFGNLLGSLIVEIVDKVSKHGGKESWVGNNLNKGHYDYYYWVLSILCAANFLYFLVCSWAYGPNVEQKVWNHDEEESMEMEGDSKLYESSMISIALSKQSPLHVY >KZM85418 pep chromosome:ASM162521v1:8:25586525:25587799:-1 gene:DCAR_027160 transcript:KZM85418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSIFIHFAVLYSLVFISSAQTPIRPTGLLLPVTTDRSTLQYTTQIQQRTPLVPVKLTVDLGAPFLWVDCDKSYTTSSYKPARCNSAQCSLAKSTSCMTECYSPAKPGCTNNTCTLFPENTITSSGTSGALGSDVVAVPSANGASVSVSQFLFVCGSTDMLNKLSSGVTGMAGLGATKVSLPPQFVSAFTLKNKFGVCLSSTSSNGAIFFGSFDTSTSPLTKTPILVNPVSTAGISTEGEASSDYFIGVKSININEKPIKIKASLLEINATDGYGGTKISTVQPYTVLERSIYKAVVNAFVKELNVSTVASVAPFGTCFRSKDIGTLYTGPDVPKIDLVMQNKDVYWRIFGGNSMVSVSNDVMCLGFVDGGKNSRTSIVIGGRQVEENLLEFDVASSTLGFSPLLFRKQICANYNFVVTASA >KZM85764 pep chromosome:ASM162521v1:8:28446083:28449264:1 gene:DCAR_026814 transcript:KZM85764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAQMGFFALLFFLFFLLAHCQDEDASVMQALNVALKPPGWTDADPCNWSKVKCSQDKRVTRIQLGSSKLKGILPTDMNKLTALQVLELQLNEIYGDLPSLSGLSSLQTLLLHDNKFESVPPDFFNGMSSLQVVDMGYNTFSAWEIPASLASVSTLQTFAATNANVTGKIPEFFSSDTFPGLTNLELAFNYLEGGLPRNFSGSSLQVLWLNGQKSESRLNGSIDVLQNMTQLTQVWLHSNSFSGPLPDFSRLGQLKDLSLRDNGFTGPVPQSLVNLVSLAQVNLTNNMLQGPTPKFKPSVAVDMKDNTNRFCLNDPGVACDLRVSELLAVAEANGYPSGFADNWKGNDPCKSWLGITCNSGGNITIVNFQKMGLTGYISPHFAAISSLEKLILSNNYLTGVIPDELTNLINLNLIDVTNNQLHGKIPSFKANVIIKTEGNVNMGKDSGPGPSSSTPGDDGSSPGSVHRSGGKKSSTGVVVGSVVGAFAVLGAGLVVFCLYRAKRKRSGRVQSPNAMVIHPRLSGSDNDAVKITVTGSSIDGGATSETLSLRSSGPSDMHIVEAGNMVISIQVLRNVTNNFSQNNILGKGGFGTVYKGELHDGTKIAVKRMESGVMSEKGLDEFKSEIAVLTKVRHRHLVALLGYCLDGNERLLVFEYMPQGTLSRHLFNWKDEGLKPLEWTKRLIIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPDGKASLVTRLAGTFGYLAPEYAVTGRVTTKIDVFSFGVILMELITGRKALDETQQEESVHLVQWFRRMHINKETFRKAIDPTIDLDEESLASVSTIAELAGHCCAREPHQRPDMGHAVNVLSSLAELWKPADPDPDDIYGIDLDMTLPQAVKKWQALEGISGVDCSSTSLIGSGDNTQTSIPTRPTGFADSFTSADGR >KZM83789 pep chromosome:ASM162521v1:8:1614907:1619078:-1 gene:DCAR_028789 transcript:KZM83789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQTRPRKPEKRGKGVVTPVQIAFLVDRYLADNNFANTRSSFRNEASDLVSRSPVNQAPSSLLSLGDILNEYISLKGQKVMLDQEKMCFEQEKFRVQTLLNGMQDVMNGYNSGLAAAAAAAVPAQALVANPGGLVPYVDPVVGSSAGLPVYHNSVVMSASRPRGTPANPRNLFTPMTNASSSKRRKSSKDVTDASVTAKRSRSQSAAENQQSCYPNTMRQLNNVSNSSLQDSVVNASSDIDIPSRSSVQGSSVAKRLFSHHKQSLPMNSSGPITPPRLTSSPPEKSGSSPDGTSDPFTNDVTPQQMMSNCTVIASETITVSPAKQMGYYSIERNCRISTSSPIKTNLKRCFSTRDNVKGRLDFDGPSGAMDLDKPVHCEVMPSETEKRADCFDFDLPNLDAFGEDFSFSELMSEFEINCEGINYTFQPDGTPSPDSVSGSQDTPDNNTINGCNQYPSELSSTITEIISGENMNTPGPDSVTTMKSITKSIQILSPALVLISAMVSTASVETIVEAAGSNIMSNEMVTRGSDGLTYYNKSPLSRIFLPKGNVADGDCLPNGGFCMFRPMDCCGSCGCLYPVGVCFGTGC >KZM84997 pep chromosome:ASM162521v1:8:21542878:21544918:1 gene:DCAR_027581 transcript:KZM84997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLKRGCRHYSDVASDNRDGKGKAPRTPFTDLTNLTNCSSLKSSFDAIHSDHRGAVSANVSKKVNIIVSTKKGLAAACADCVSRSPGSIDLFHKANVHLPSRGLFSSENNNVNSTATPLQSCLSDDIQRFNTKPNSSVQPKRRKAADDEHNVHPQQIFKPRGKKSKLHMAKDLSCFTTNIPGNQTYPVHASVLSTHLDDAVPGKRREPADDQLGVHSQQMPKQRTNKSKLHVAEDLSCITRNILTNQTNPLDDSVLCTHLDDGESSFIADHQVYDDFLGDDDFN >KZM85345 pep chromosome:ASM162521v1:8:25027848:25030545:1 gene:DCAR_027233 transcript:KZM85345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDARDLFRGTVYGKPTNQDTPRHYLSLNSKGMLAVVQGYCDSHKSIIFAIVHHFLIFFRLRLDPDFVENLAEERHGDILGHLLYAAKLAAEKEGIVDGFRILSNNGPAAACMQVDTTYKPQLPSLNSYS >KZM83661 pep chromosome:ASM162521v1:8:241229:242367:-1 gene:DCAR_028917 transcript:KZM83661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSKTSPEQTATGKGSTNPLAHVDLVKIRTEVYTSQQMVNSSPSSEMNKDESIHEQTAPCKEITNPLKVVALPKSKTEVQTSITLVKPFDLNLRPNRGTQIPKETEGQISAVPTGYKGPKPIMWCLMAQMQLISSVEALDPTYAGAITHEMESASITVLGHIPKSQQLLIQTDEEIQTDEDTIETYYSGFNYLYQYGRIATEKEFVSEHFFTTDKKNVSYFNFYPNTDPDMVYEAYQYGLLTQVYPANNLLEISKFHKELRKAVKTFKNNCFKGKEIDLIIKTTTTIIYWENEKKYGCPPNQPFHYIQVGSAKEKIYSPSQAMQPTLEKMI >KZM84529 pep chromosome:ASM162521v1:8:15874360:15876514:-1 gene:DCAR_028049 transcript:KZM84529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFRRSTSKDDPLLWNLFNYQLPVMKEYVHPPKDESYFNQLIKETHIEDDVGTTKKTALHTQQKTNVQSPSCIPGSRNSVKRFPRKNVENIKPDTPPVSYSQCSRIFSPLTPLSPNIARPLQSPLPKHKPNALGQHTQRNKENVPTTRVKGNECLPEKRIHKQSSAFKENRPPTNIKRKALLKSCGSNIHSATQKRPCKNLDVKKMVSPAEHTIFTNLSSSKDKGKMVVENAIRPQHLRSEVDVMNLSEYDSDSSDAFSDQDFPEDFIDESHKFGPTVGTQSDRGVNNDLPPRKLNFDPKDSQQDSADHFNDLAIKVTAIQKMVI >KZM85443 pep chromosome:ASM162521v1:8:25849228:25850801:1 gene:DCAR_027135 transcript:KZM85443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRRALLKGSNRVPVPSPSLKTNHRQPRNQTRSSKPNLLKDPKAEQNNRDWQDLPPDLMLSILQRLHWYDVVMNAKKVCKYWLTLCKDPALWRIVDIRDDILLKKLWEKKKSVQHNKAKPSDQELEKFYQKMSMLVVDLSRGNLLQLCIQNHGSDYLLQYIYHRSSQLKCLQLENCFVGDYYYCYTRGYNPYISSEGLIQMLKNLPLLEELHLFYTRISGEGIEVAGRCCPNLKSFTWTTRQDNRDIPPEECDEEALAIAENMPGLQHLQLIGNGITITGIMAIINSCHDLEYLDLRQCCCLWEALEDPSVEKKLCQQIKHVRFPEDSLQRRIDVYDGLFYSDYYSDLPSPRSFQV >KZM86005 pep chromosome:ASM162521v1:8:30646992:30648779:-1 gene:DCAR_026573 transcript:KZM86005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSEQRRRRKQSLSKIKLSSVSVKSFILLVLFTSLFFWSYHNKIVITPSSLQSVSILASSSLSVLSSSSSINSADTYRIEDQVRFPDQILLLVSRRAGNDLMIGKNDRLECIYGTSFFNESDSNKIGRVTSNSLSVDEYTDDRFIVRCPLPQMNYLKVVDLVWLGRSLSYYDGDESLWGRNWKVNSWEKVVYEAVLDGETAVVFAKGLNLRQDRESDPTQFSCHFGLGNWERDERFVLTTKAITAAQEVVRCLLPQSIRSRPEKAEGIRVTIGVTRHVHARGKDYELMPSVAKLSNSKVMVNQKKKYELCACTMVWNQASAIREWIIYHAWLGVGRWFIYDNNSDDGIKDATEELDREGYNVSRHMWPWIKTQEAGFSHCALRAKDECNWVSFMDVDEYFYFPIPTRSQRRNKNLVFPGQDSLRHMVANFSSTSIAEIRTTCRSFGPSGLRSPPLQGVIAGYTCRLQYPERHKSIVRPDALDDTLLTVVHHFHLKKGYTHLNLPQSIAVINHYKYQVWETFKVKFFRRVATYVADWKDNRKEGSRDRAPGLGTEAIEPPDWRLRFCEVWDTGLRDFVLANMADPGNGLLPWQRS >KZM84652 pep chromosome:ASM162521v1:8:17343175:17344266:-1 gene:DCAR_027926 transcript:KZM84652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADWNNLPEELLVEVLARLPVKGLLQSKSVCKAWLGLISSRSFILTHLNHAAADPNNKTFIAHSYQVISPNHRISLLRLDDLDNPVNLEHPFPSSVSPGEMEVVGSCNGLLCLTDPLGHIRLWNPAMRRVRDISDFAISEVDSTGEVSVGFGHDGVSGDYKVVRIVWTSRSEKSPGRVEVYSFNQASWREIEVEVDFELIHGSCRVIVKGNPYWMALAHRWEVHKQFFVSFDVHNEVFSKIPWPDQCMNPNGRRQGYGTLTEFQESFAVAVGKYVEGRILEVSIWVMDDNTGGEYSWTKKISVGPILGVNRLVGCLKNGEIVGENNIERQLILCDSTTQEIKQTQVRIRTLGVHNYVESLVN >KZM85542 pep chromosome:ASM162521v1:8:26670174:26670427:-1 gene:DCAR_027036 transcript:KZM85542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQSHVLEISSSVSAEKIFQAIVLDVDTVIPKAAPGAYKSVDVKGDGGPGTIRIITLPDDGKFFLSNALS >KZM84077 pep chromosome:ASM162521v1:8:6992408:6992617:1 gene:DCAR_028501 transcript:KZM84077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSLLCVHHLFQKLRLSYRPQMQFPKGFIYESKPLSQKKERKITHSHQGEKSNYNQNKLKQLDLHHDA >KZM83681 pep chromosome:ASM162521v1:8:418211:419944:1 gene:DCAR_028897 transcript:KZM83681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCKETGSVDVHGDTSSCSSLNGYESGNQIHLNISTSRKDLLSKKDVDSRYLSIISSLPSRLRFLKFASGSGGLVSPTARFRQFAEKRDEISRSVLSSSNVQGPRKRSKGNFIREFDWALSYKASKKWIRNPMNMALLLWMICVATSGAILFFVMTGMLNGVLPEKSQRNQWFEVNNQIINALFTLMCLFQHPKRFHHLALLFRWKQDDISRLRKIYCKDGTYKPHEWFHMMVVIILLHVNCFAQYSLCALNLVYKRAERPAIGVAICLVIAAAAPAIAGLYCILSPLGREYELEVDVEEPDRILTVSTNQTSYLRAKVTEKTLAFESKTDEMFLQAGPKWRGGLLDIWEDITVAYLSLFCTFCVFGWNMQRLGFGNMYVHIATFLLFCMAPFWIFNLAAVNVDNDSARAALGMTGVILSLLGLLYGGFWRIQMRKRFNLPPNNSCCGIPEYTDCVQWLCCCWCSLAQEVRTADYYDIVEDRLCRKSMNSAVASPLSPLTREQGFKEYRSESSSPSRNKPKVSMLQPEDNLPGPSRKCTDHSGEHSILQGEIIREEIMKPPVPALIKREDDLNQQS >KZM83839 pep chromosome:ASM162521v1:8:2036629:2039020:1 gene:DCAR_028739 transcript:KZM83839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSPEAIRENRIDSYPLLMEQPENGESSDHVIDIERHGDASSSSSVSPDNHSSSFNHNEQRPSSSAQATMSHSPRSTSNLPNPPSPSLSRRGESYGRRHWSPFNTMLWISIELAFISAQIIAAIVVLTLSRHENPQTPLFAWIVGYATGCLACLPLLYWRYLHRNQAPEQVSTPLRQDSSQTNSQAEQNSYITIALTRSSDEEGQNNVPQTQNTQSIRVENPRLIALVDQFKMALDCFFAVWFVVGNVWIFGGNASAADAPNLYRLCIVFLTISCIGYAMPFILCAMICCCLPCIISILGVREDVNQMRGASEESINALPKLKFQLKRNGSSSSKGSSSSGVDEGGVVAAGTEKERAISGEDAVCCICLARYTDNDELRELPCSHFFHTDCVDKWLKINASCPLCKFEIVGSNENSSTVDPNQQEC >KZM84141 pep chromosome:ASM162521v1:8:9013410:9014567:-1 gene:DCAR_028312 transcript:KZM84141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSKVGLNRGVWTSDEDKILTDYIQLHGEGRWRNLPKRAGLMRCGKSCRLRWLNYLRPDIKRGNISDDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTSLRKKAHGNHTASSSPPPKISTKQNNTKRKDKRKRDSAQVNVDAKLPHQTPSAQCTEILISPKPDNLTTREPVPKILDADEGKMCPDLAIPTGSNETVSVKTNEHVSEPKNISQLALDPDVNIGSSDDISFTKLLSPGFSGLFGSDFYNHEENETTSTSPSSSNEKSMMEMLEEFWNVENIIIEPNLGASDLHFGSAAECLGDWLL >KZM84683 pep chromosome:ASM162521v1:8:17746723:17748366:1 gene:DCAR_027895 transcript:KZM84683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQESGAGKRVVVIGGGVAGSLLAKSLQFISDLTLIDPKEYFEIPWADLRAMVEPSFAERSVIHHKAYLTNGNIVTSTAINVTENQVMTADGRSIPYDYLVIASGHKEPYPEARAERLKQFQSDNEKIKSSQSILIVGGGPTGVELAGEIAVDFPEKKVTLVHNGPRLLEMLGPKAAKKTLDWLTSKKVDVKFNQKVHLSHIAEGSKEYATSSGETIIADCHFLCIGKPLASEWLKETVLRDSLDESGRLMVDANLRLKGCKNIFAIGDITDLKLHVVVASNFHLNVGNETRVPGTKTFCSCGEEHQDVNVRKK >KZM85923 pep chromosome:ASM162521v1:8:29938547:29938918:1 gene:DCAR_026655 transcript:KZM85923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLFILLVFLHTLLISCHGVSVLELNSLKSSEFEVMAKRACGRKVGECSELAGDEELMDSESNRRVLMMGKRYISYETLKRDLVPCGTPGSSYYNCRSAGVANPYNRGCEVITRCARNSVGS >KZM86134 pep chromosome:ASM162521v1:8:31670629:31672684:1 gene:DCAR_026444 transcript:KZM86134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRNVNIADIDPYNLDEDVVDKFAAAAAACYSISSGSETDKEQEGGNKKGRIKAASKMMVIGHRGSGMNLLQSSNKRMKFIKENSLVALNAAGNFNLHFIEFDVQVTIDDCPVIFHDDFIFTKNMQGEITEKRATDLTLAEFLSYGPQREPANVGKPLLRKIKDGRIFEWKVETDDHLCTLQQVFQDVTHSLGFNIELKFDNKIVYKEHELVRVIQLVLEVVFRSAEERPIIFSSFQPDAALLLRKLQTSYPVLFITNGGSEIYADTRRNSLDEAIKLCREHNLDGIVSEVRAVLRNPGVVTEIKDNSKLSLITYGQLNNVSKVVYVQHLMGVDGVIVDLIEDITTAVAEFSNSVEESRGNLKEDNVQNKMTRY >KZM84929 pep chromosome:ASM162521v1:8:20917024:20921870:-1 gene:DCAR_027649 transcript:KZM84929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVSVSGSSENLETRDVNTRMAHQVGLVGSVPEAYSKKSLQCVSFIKKGVAHPVVGIIGVFLLKTAALEVVRRISNAKFPIVWEGLQALQLLCYPPFRWIERWTPFKGLVKNMQGLSKPMLALSIATIFSDESSCDNSYASPDGYETQSGSPSQLSSPDVRSTNEAPRVLVSRKWLQDLHEKLNEEGLTLPERLRTNPILFEEASSNYGFDGLQGIITIWFSDANIAIVCYTAARSLSNTSCLLGYHTASCGCTYDNSNSISGRFSLSTLSVHENQVVLKPATRQKVRIIGDNYQEVVTEFLQTLPLFLGGTCSCVNCLGSSNVTSTNEEIIQTSPIAELETEINEENAETELGDSTDEIITTTEIGDETYEEITGASSSTNHHNHVNVGPSHYSDLRTNMSREEVVRTTIIGMVVLWMFIAYLWAAKHATQPAP >KZM84986 pep chromosome:ASM162521v1:8:21487914:21488369:1 gene:DCAR_027592 transcript:KZM84986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPGFWMDIGQPKDYITGLRLYLDSLRKNSPSKLTTGSHIVGNVLVDESAKIGEGCLIGPDVAIGPGCVIESGVRLSRCTVMRGVRVKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >KZM85726 pep chromosome:ASM162521v1:8:28120224:28124265:-1 gene:DCAR_026852 transcript:KZM85726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLHNYVLWSIFCLMTLIWHLRRRNSYRRSKLPPGPRGWPVIGNIFDLGTLPHRGLAALKQKYGPVVWLNLGPVKTMVILSAAAAEELFKNHDLSFADRFTNDTMRSHDFYKSSMALGSYDSYFRTLKRICTIELFSSKRINETALIRQKCVDEMLLWIEKEVEEGASGGIELTKFIFPASFNLIGNLTVSRDLMNPYSEMASEFQTALSGFSECLGSPNISDLFPWLRWLDLQGLRATTDQSLGKAMQIISGFLDDRVKQRQQNEGRAAEHKDFLDVLLDFEGSGKNEPAKLSRHQITIFLMCTNYYELRVLETFICSCLYSVVRSCRRSKLPPGPRGWPVIGNIFDLGSLPHRSLASMKQEYGPVVWLSLGSVKTMVILSAGAAEELFKNHDLSFVDRFSNEAMRSHDLYKCSIALGAYSPYWRTLKRICTVELFSNKRINEAVLIRQKCVDEMLSWIEKHIEKGPSGEIEVIGFVFPAIFNLIGNLTLSRSLMHPYSVTASDFYTAFSGFSECLGRPNISDLFPWLQSLDLQGLRRRTDRDLGKAINIVSGYVHERLNQRQGMEGKSTDQKDFLDVVLDFEGSGKDEPAKLSHHQITVFLLEMFVTGTETMSTATEWAMCEVLQNPNSMQKIKEELGRVVGVKKKLEDSDIDNLPYLKATIAEALRLHAPVPLTLPRKANQDTTFMGYSIPKNTQVFVNAWAIGRDEEIWEDALSFKPERFLESSIGYKGQNYEFIPFGAGRRICPGLPLVDRVLPLILGSLLHHFDWKLGENSSGEMRTDMRETMGTSARKLIPFKAVPKRAVI >KZM83671 pep chromosome:ASM162521v1:8:329582:329764:-1 gene:DCAR_028907 transcript:KZM83671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFNYYSAQKYKNRSFPCPEFNFKEIAPRAQGRKDSRSSVLNTRTGTYNYTTFVELLRH >KZM83952 pep chromosome:ASM162521v1:8:3929728:3930813:1 gene:DCAR_028626 transcript:KZM83952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFGSEGCLGSVKRFKVVLNLCREAKLANEALWVLRKMEEGGCRPDTLAYNVVIRLFCDKGDMDEADRLMREMKGFGLYPDMITYVAMTKGFVEGDRLEEACGLFKVMESHGCLPNTVMYSTLLDGVCRFGSLDKALQLLGEMEKEGGASKPNVITYTSVIQSYCENGKSLEALSILDRMVANGSVPNRVTASTLINGLCLEGHVNEVYKLICRFVGEGFVSDTECYSALVVSLMRVEKIPEAEKVFRKMLATSVSPNSLASSLLIKKLCSKGQILDGYRLYVEIEKLGCILTIDSDIYSILLAGLCQDGHLLEAANLAGVMVEKGIGLKPPYAEIAIDHLKNLGELELVSHILRLQNRS >KZM85020 pep chromosome:ASM162521v1:8:21753694:21755104:1 gene:DCAR_027558 transcript:KZM85020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAITESRFYTVGLVLAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMIACSLLSYVAVVWMELVPMQMIRSQNQFFKICVLSFVFCASVVSGNISLKYLPVSFNQAIGATTPFFTAVFAYLITMNTEALLVYATLIPVVTGVIIASGGEPSFHLFGFIMCVGATAARALKTVLQGVLLSSEGEKLNSMNLLLYMAPVAVVLLLPVTIYMEGNVVGITLALSQVDFRIVWYLLFNSALAYFVNLANFMVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVIGMLGYALTVFGVILYSEAKKRSK >KZM83667 pep chromosome:ASM162521v1:8:288095:290152:-1 gene:DCAR_028911 transcript:KZM83667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPVSPDAFPSRKRRPSAAAFVSPNLSGRRLLQSLLLLSQETSALRPLKTILNRNSSSILRKIKLIYVLLDELLREYSDSSFPASADLCLEELYIVLHRIKTLLEDCHSGSTLWLLMHHDSVSHTFHQLTNELSTLLDIFPVHDLNVSQDVDELVGLIRKQCNSAEQQAFVEPRDQDLKRQVLGLLNRIKREIVPDHSKLAEIFNKLELCDSASFNQEIQSLEDEVQNQGDENSKADVIALIGLARYAKCVLHGASTPRSSSSRRRRSMGELTFPADFRCPISLDLIRDPVVVSTGQTYDRNSINQWLESGHNTCPKTGQTLSHTDLIPNNALKSLIAMWCLQQRIPFEATEVNDKSKGVKIPNKAALEATRMTVSFLMNKLTVSQSAEMINRMVHELRALAKTDSDNRACIAEAGGLPLLVKFLGSDHPNLQVNAVTTILNLSILEANKVLIMETDGVLNGVIEVLRSGATWEAKGNAAATIFSLTGVHAYRRKLGRKTRVVKGLIDLAKAGPTSSKKDALVAILNLAGDREVVGKLVEEGVVEMVGEIIDGLPEEVAAILELVVKKGGLAAVVAAYRVIGKLGKILREGSDMAQESAAATLVNICRKGGSDVVAELAGITGIERIVWELMGMGTGRCRRKAATLLRILRRWAAGVDHNGIATAAYSSSRSTNIANSTTIMLPS >KZM84655 pep chromosome:ASM162521v1:8:17367811:17368008:1 gene:DCAR_027923 transcript:KZM84655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKLASVLTIVSMVLVACSSVGFTAALEDVGAPAPSPTIESSSITLLVPAAFVAMASLVAFLV >KZM84064 pep chromosome:ASM162521v1:8:6722296:6722574:-1 gene:DCAR_028514 transcript:KZM84064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQILLAIIVMALLMSAGEVKGKNRFGYVDMVTPCCGKGGDANPSAESLTPAPSPSKSPLTVPPIVAGSPSVLTYRGGTVQLVVTAEKASP >KZM84717 pep chromosome:ASM162521v1:8:18188184:18193501:1 gene:DCAR_027861 transcript:KZM84717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDKPEPGLDSGKRKLKYLLLEKDNRVCADCSAPEPKWASLGSHVSKVLSVTLDDWDDDQIDSMIEVGGNAAANSIYEACIPEGVSKPGPDASHEERSKFIRAKYENEDFLEPSLRIMSNSSDRDSPKRSSSQKFVDDLRSSSSKNTQEAMVVFIGILKVKVIEGRNLAVRDVRTSDPYVLLRLGEQKVQTTVVKSNLNPVWNEELMLSVPQDYGLLKVEVYDQDTFSADDIMGEAEVDIQPMVTSAMAFGDAGMFGDMQIGKWLKSNDNCLTEDSTVNIIDGKVKQKMTLKLQNVESGEMDLEIELMPLDS >KZM85487 pep chromosome:ASM162521v1:8:26177590:26185454:-1 gene:DCAR_027091 transcript:KZM85487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQPTEGSSPDPVAEWSSPGGETGLEEPMWQLGLGGGGGGGDDSYPERPDEADCIYYLRTGFCGYGSRCRFNHPRDRNLVVGAMRASGGEYPERVGQPVCQYYMRTGMCKFGASCKYHHPRHGVGSASSVAISVSGYPLRPGEKERSYYLKTGQCKFGVTCKFHHPHPDGTHVPARALFPAAATVPSAIYPAMQSPGPSSQQYGVVAGNWQVARPALLPGSYVQGTYGPVILPPGMVPMAGWNPYQASLSPVASPSAQSNAGAGPIYGMPQLSSTAPVFAGPYLSMTSPAVHSAYNQKEHAFPERPGQPECQHYMKTGECKFGSSCKYHHPAQWSSPKTNFVLSPMGLPLRPGEKECSYYLKTGQCKFGVTCKFHHPHPDGTHVPARALFPAAATVPSAIYPAMQSPGPSSQQYGVVAGNWQVARPALLPGSYVQGTYGPVILPPGMVPMAGWNPYQASLSPVASPSAQSNAGAGPIYGMPQLSSTAPVFAGPYLSMTSPAVHSAYNQKEHAFPERPGQPECQHYMKTGECKFGSSCKYHHPAQWSSPKTNFVLSPMGLPLRPGAPLCSHYALNGICKFGHSCKFDHPMSTLSYSPSASSLTDMPVAPYPVGSSMATLAPSSSSSELKPDKEAFVTKMSTPLSTAAGSVGSILSRNDTLPQSSIQQPGQSSTTSTGSSTPHISEVPTSG >KZM84746 pep chromosome:ASM162521v1:8:18654751:18655635:-1 gene:DCAR_027832 transcript:KZM84746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPLQSQRRHTKTLNQDKEYKYTGPSSSNIINATDIFSSSCNKLRVYKTQHIQTFCTTSDAEFLLQPKDNQKATPLSRLWEEWDAFYRFCRIYTVTGMVAGVSSVSVLPLTSVGELSPAFFVGMLQINKPHLPLASGEYSVDKGITVVSAFGFMVRH >KZM83820 pep chromosome:ASM162521v1:8:1871615:1874466:-1 gene:DCAR_028758 transcript:KZM83820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAASKLSTAARPIFSATFSLSKPVCNSTFLSQLSNTKSQKIRFFSSSDVFKASPVAVEMINYALSLARSQKSDESYAQGLLVLEQCQSTQQDDNSKGLVLLGMSTLFSERGNYEEAIEQLKKVKDLAVSSLAIKVAASEALVGLHLELGQDDSSSVLADICLQLLESVKMETGSGYGDGVLDARAKALKGLVELVRGDLESCNVALSYGGFLHAKQNFPMAKELYEKVIQGATETKDLSDPHNFAAGNMALEEVLLAATCSLGQLEAHLGNFSEAEEMLTQALTKTEEHFGSRHPKVGVVLTCIALMYRHKAATERSSSLLIQEGLYRRALEMLKAPSLEASDVDKVHRRDIVALARGGYAELLCVQQNRKAEGEKMKKWAETAWKNRRLSVADALNISEPTSKVPVVDLRISRVL >KZM83975 pep chromosome:ASM162521v1:8:4633668:4635824:1 gene:DCAR_028603 transcript:KZM83975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAAICQEEPVPCVDDLADQILEVLNHFRLGAVMCMGAMAGAYILTLFAIKYRDRVLGLILVSPLCKAPSWTEWLCNKVMSNLLYFYGMSHMLKECLLYRYFCKELRGNAEVPESDIVQACRRLLDERQSGNVLRYLKAIDGRPDITEGLKRLRCRTLIFVGDSSPFHSEAVHMIAKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGMYRPSQLSGSPRSPLSPSCIAPELLSPESMGLKLKPIKTRVSAHNADR >KZM84999 pep chromosome:ASM162521v1:8:21552366:21555738:-1 gene:DCAR_027579 transcript:KZM84999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADAANQAAKRKRYEDMKNNVVDEIYNLVIASDAFVTEVETATEELSSLFQNMEKRQSELIKQAVLINKRYGSIADLVEEKKRPKIGETSSSFETLPMSYCKSTRRFLDDSESSLERHNEKTAKEVDNLIKKFNEGVNMWNKRSIECSGIVADKFLILLNRKDTSSNTLDPPEGFIDCCGKSLPDRIIFVLRNGKKIVGSYNSQSCRLSGLRKMFDILGTHSMSSLQFFLFTYDGCEMIFISAFDHEKNEILFPGTPLCMDANGSYPLLSNYFQITVENKHLRDDCFAVEISNEFKDLFEDWHNFQYINIYSGTCCWRLLIRKRDDHHCATIEDGWKMLCDGLELSVGNICIFECPALSYDQFRIRVLEGDEDI >KZM85732 pep chromosome:ASM162521v1:8:28181084:28181242:-1 gene:DCAR_026846 transcript:KZM85732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGNAMCKTPSRKFHGLCFSNQNCADICQTEGFLDGECEGFRRRCFCIKPC >KZM83977 pep chromosome:ASM162521v1:8:4672834:4675851:1 gene:DCAR_028601 transcript:KZM83977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNASIKVDPLRLSYKVKLIIIKQLYGFIVDQNWFEGLEDIYSVRKMKTVPVVLNIDSLTSECGKNAGWATQSLCSCQEGYEGHPYLPRGCQVTCYGLCVLLANTRWLCEVIRRRKIHRLKEKFFKRNGGLLLKQQISSTKGNVETTKLFTSTELEKATDEYNVDRIIGQGGLGTVYKGMLTDGRIVAVKKSKIEDESKLEHFINEVVILSQINHRNIVKLHGCCLETEVPLLVYEFIPNGTLMQYIHEQNEYFPLTWDVRIRVATEIAGALYYLHSAASVPIYHRDIKSSNILLDEKYTAKVADFGTSRSISNDQTHLTTRVQGTFGYLDPEYFRSSQFTDKSDVYSFGVVLVELLTGQKPILPARLDDEARSLATLFSLAMEENRILDILDSQIKNEGGMEEMIAFANIAYRCLNPNGRKRPMMKQVVAELESIRNTNESPSAQQHYEEDGYEINEPWDSDVTSTTMSSTVYHSLTVDIEPLMTN >KZM85848 pep chromosome:ASM162521v1:8:29281954:29282469:1 gene:DCAR_026730 transcript:KZM85848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSCKKRVRDEPTESTQPELKRFKEDFLDDLDDSDICTTSHDLDSFMKSFEQEISGSTPANESYSGESRPELGYLLGASDDELGIPATVSDDKLTDTELFRVSTESGELSELWRFDEAVSGYDSFSLEFYDDVFEFCSDDNVGEFVAPDSVFDYADLGFGSSDIIPTLQ >KZM85408 pep chromosome:ASM162521v1:8:25524501:25525148:-1 gene:DCAR_027170 transcript:KZM85408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVMCQGSQTSLDSKIKGTDTLLQLTLTAPRSTERESIFPEKNIPTSLGLSSWSFPHTLSNSSSQPRLCKSFSSPRLNDRSLELCTENLGSETGSDTSESSIFESRTERPAREHQRNIKQISSGKKRNPRCFPPPLTSTRSSSSYQVRPHRENGRLIIKVVESPSTGTCFEAHRDHGRLQLTFSKHGMQKLGSEKQEDGIAESDGEVRMGLEKN >KZM84416 pep chromosome:ASM162521v1:8:14095055:14096074:-1 gene:DCAR_028162 transcript:KZM84416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLLRFLIISLILSLIPTNHSSTDPLSELQSLRSASDSAAIHLTDSLFRRILSHHPRSFSLLIFFDATQLHNKPELQLQSLKSEFKLVAKSFFENNQGNPDELSKVFFGVIEFGESQATFAKFGVNSLPHIRLVGPTDKDMKSEPVKMDAGDFSRLAESMAEFVESRANVVVGNIVRPPLVSKKQMGFIVLGVLIMLPFLIKRVIAGDTLLHDWKIWMSGAVFVYFFSVSGAMFNIIRKMPMVIPDRNDPNKLVFFYQGSGMQLGAEGFAVGFLYTIVGLFLALMTHVLVRVRNVNAQRLVMIVALFVSFLAVNRVVLLDNWKTGYGIHGYWPSSWN >KZM84562 pep chromosome:ASM162521v1:8:16260562:16261331:1 gene:DCAR_028016 transcript:KZM84562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAFRFSNKYKLFIEAHVTPTGGIGNVPNLSSSTFKYKQSEPNVGKSVTSAYIHSNKHPLPKRRIIRNAYANTQRRPSKSQFWRSKYEIHHIDFVYRQKQSLCSALNEKRRGTERREEMTEEVTEVGIGDEREPFL >KZM85016 pep chromosome:ASM162521v1:8:21734003:21734236:-1 gene:DCAR_027562 transcript:KZM85016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTLLEGCLLLANALAILNEDRFLAPRGWSFQEFSGVKRNSFKGQLIGLIYATQYLRVLLIMLNSLCIVVKLVSG >KZM84891 pep chromosome:ASM162521v1:8:20591094:20592230:1 gene:DCAR_027687 transcript:KZM84891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHQLHSSNILKTTMYLLLLAITIGCASSARILEEADPQFPVPVNQPVASDPPEIDDPEEAPVAGPSTTLPSGQIPAIAATSPVANVAPIEDPAIPLPSVPGPVIPPIATAAPVANVAPVATTTLPSGPAATAASGASAAGHPEATLAFFMHDVLGGSHPSGRVVTGIIANSDDNGLPFSKANSQVFPINGGVPLNNINNLVNNNNVPFLAGLNGSPTSTNLHNTGNNNVVSGGNSLPFVSAGQLPAGITLQQLMFGTITVVDNELTEGHELGSSVLGKGQGFYLSSSLDGTSHTLALTTLFHSGDHDHEHVDDSISFFGIHRTGSPISEIAVIGGTGKYQHAKGYATIESLHQVDQHTTDGVETVTHFTVYLTYEV >KZM85843 pep chromosome:ASM162521v1:8:29225970:29227817:-1 gene:DCAR_026735 transcript:KZM85843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFGLDFAFRRPADANPLDLNNFPAGEDHSSRDHGVGGYKKKKNGGSKDESGKVYECRFCSLKFGKSQALGGHMNRHRQERETETLNRARQLVFTNDSLMPPTPPPHHLIGGGQAVPRGGYHPHQQIGDPYRGVYDHTAARLFTGSPSSIAPPLPPPPPHSYMYSSPPSSLGSFPPSQYYNNSQHMNDQYLIGHVLSGTNTQHPSFAQDMANNFTCVGAPVGHGKRLDASSIIKRYQDGF >KZM84050 pep chromosome:ASM162521v1:8:6145440:6160814:1 gene:DCAR_028528 transcript:KZM84050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNTKLYLHTPLAPHLTTAIHLGNRDYKAWRRRRLKQSRRKASVLAVRCQLGNPLQSPFDSLVSSVASLDLVAPALGLASGLALYLSNRNLRLRESWIGQRGVDDVGEWILFTSPTPFNRFVVLRCPSIELEREVGGEKLVEEDRHYVRLRRGRTGEMEMESVEEEERVVYQRKCVLTDDGGVISLDWPANLELSDEHGLDTTIVIVAGTSEGSMEENVKLFVVECVRRGCFPVVVNPRGCSGSPLTTARLFTAADSDDVSTAIQFINKERPWTTLMGVGWGYGANMLTKYLAEVGDNTPLTAATCVDNPFDLEAASRSFSYDRAIDQKFTRGLVDILKSNKELFQGRGKDFDIEGALQATCVRDFEKAVSMISYGFDAIEDFYSTSSTQGLVDKVKIPLLFVQFSQHAKGYRVFLIYQNDDGKLPIFSIPHSSIAENPYTSLLLCSSPPSNTTTSGISTIAWCRHLTIEWLTAVELGLLKGRHPLLKDVDINISKGLLTVESKVIKKSGQVKKIPSLPRIGNVNVNGSSVTNFKEVSGGRDVAVGLHIRTERDSLRSSQDEHVGPKQENNDVINQGTPVIADPPEDGVIPEDSERGQVLQTAEIVMNMLDVTMPNTLTEEKKKKVLNAVGKGETLMQALQEAVPEDVREKLTTSVSGIMQNRGSNLNFGSLLNIGHIPDMASGLDSKIQGKILSPVGEADDSHSSKQKMMGKDLADDGNESQAGVDKPVGDPNSESHAVDSFQKTADTDQLQTGDIGAEVSVSGNSITNEQGSDTKTKESSNENGAQDTKQKENTLKANSGLELSVGSDMSNSTGDQTLDQSKLSQDTENYKSDIKEDKSKQQNGDSNALLPSNENKLTLDEKEGTLATPTPEAESAEKENTENQKREEKVMDPNQNSSSTPTFSVSQAFDAFTGIDDSTQAAVNSVFNVIEGMITHLEEERDDGTEVENGKESSDKETGSVSEKNGTSDNKLGQKQENQPDSTLQFKKLDDVSLCDNMDARNNLAEQPSHMPATFDDNGVHQLQEINSAFHVDKANELNEKLVGTRHSDKVRSVEKVPHDIPRRISKSPIGDPLYSERLRKCLTSQMDNAKLLDLDTTAALFLDYYPEEGQWKLLEQSGHAKDSFDDATLEGMDTSIQNNISLKEGHIIEPSYVILDSEKLHEPVEECEMANSMNEIAEMCDATSKEQMRNVKTVVIDSLMLEVSRRLTSADIKEMEADLVSDLEHVSKAASLALRFGKDRIPYIEDADHTSDEVNTLLGEYIVRAISLAVQDTSYLRKVLPLGVVVGSSLAALRNHFDAPSATKNRQRDVIRDKINFSRAENRVETFERETVGMPSDSFDNNELEKPSRKNEARDKSSDGNNGSAMVGAVTAALGASALLVHQQDCGDDEISETSSNLLKKEDNHHKLGKFVEESSEKNETNIVTSLAEKAMSVAGPVVPTKDGGLDQGRLVAMLAEFGQRGGMLRLVGKAALLWGGIRGALSLIERLISFLRFADRPLFQRILGFICLVLVLWTPVAVPLLPTIVQGWASHSSTEFAELACIIGLYVSIMTLIILWGKRIRGYENPLKQYGLDLTSSQVIKNYLYGLAGGVFFVLAINSVSALIGLVHLSWPSNVSSASDAANQLKFYVQLLLLCGKGLATATGVALVEELLFRSWLPNEIAIDLGYYRGIIISGLAFSLSQRSLLAIPGLWLLSLCLSGARQRCQGSLSLPIGIRTGIMASCFILKTGGFLTYEPNFPIWLTGTLSFEPFSGIVGLAFTLLLTVVLYPRRQPITGNKVARRARE >KZM85566 pep chromosome:ASM162521v1:8:26805307:26807601:-1 gene:DCAR_027012 transcript:KZM85566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNGVAVGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSCVAFTDTERFIGDAAKNQSALNPTSTIFDAKRLIGRRFSDSTVQSDMKLWPFKVVGDFGDKPKIVVNYRGVEKEFSPEELSSMVLNKMKDVAEEYLGKEVKDVVITVPAHFNDSQRQATKDAAKIAGLDVLRVLVEPTAAAVAYGLEHKLTSNPMAQKNVLIFDLGGGTFDVSLLKIRKDNIEVQATAGNTHLGGEDFDNRLLNHFVEEFKRKHKKDICRNAKSLRRLKIACEKAKRVLSYNNKTTIDVDALYEGIDYSAKITRARFENLNMDLFLSCIETVKKCLEDARMDKIEGGAMSVIIPRNTTIPNSVEKQFSTAEDDQTSATIAVFEGERTRITDNSLLGHFELSDLPPGPRGSAQISVMFTIDANGVLSVFAENKATGVRNSIKIIRRGTLKEDEIERMIKEAEQFKIEDEEFTRKNNAMLAFKDCIYKMRDFFKRNNKLEASVKKMIKYSFEDAIEWLDANKNAEVYEYEFKKKQFEAICNHLVAGIADLKIEEV >KZM84633 pep chromosome:ASM162521v1:8:17200117:17203787:-1 gene:DCAR_027945 transcript:KZM84633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKAKNSPAPPSTESQRKMVLRIRPPQKMKQVEDDIVEEPKSRKKPKTAAKKKAAKIDSDKPKKPPTAFFYFLEDFRKGYQEENPNVKSMRDVGKACGEKWKTMTYERTNSTIPLIPIEAICIRVDLEVRNITEDSRGYWLRKYICVDYYLPVDKTSGFWPSLDLNPPPLVNRAREEKVQYYDIATDKRAEFDRAMADYIKRKESGEDTKPKDSDSEYDE >KZM83678 pep chromosome:ASM162521v1:8:383531:384513:-1 gene:DCAR_028900 transcript:KZM83678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQGSYMAPEVVVVGKQFTAPYPIDLTIVRKMMTISEGNFGVTDANGNILFKVKGKFFSFRARRVLLDAAGNPIVSFQRKIMSMHSTWKVYRGDSKDSRDLLFTVRKSSLFQFKTQLDVFLASNTSKDNRDFKIKGSWFEKSCTIYAGKSSNVIAQMHRKHSFSSVVLGKDKFAVTVYPHVDYAFIVSLIVILEEINEEGKNNGGGAGSAS >KZM84979 pep chromosome:ASM162521v1:8:21378123:21378373:1 gene:DCAR_027599 transcript:KZM84979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVPPLGHMTLLGSEIEDIEALGAAGFDDYEEVFNGVKDSEGENGEDREEDNTV >KZM84055 pep chromosome:ASM162521v1:8:6605770:6606123:1 gene:DCAR_028523 transcript:KZM84055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTNLCMITFCLLIIAQASARSGGVIADTYIPNNKGDEAAKATSTDENFENCSGLGEEECLMRRTLAAHIDYIYTQNKHN >KZM85649 pep chromosome:ASM162521v1:8:27564736:27571554:-1 gene:DCAR_026929 transcript:KZM85649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKGPCNFVISPILLKFALQHGGRVLLADEMGLGKTLQAIAVTTCVRDSWPVLVLTPSSLRLHWASMIQQWLNLPSSDILVVIADESHFLKNAQAKRTSAALPILKKAQYAILLTGTPALSRPIELHKQLEALYPDVYKNVHEYGNRYCKGGVFGLYQGASNHEELHNLNKATVMIRRLKKDVLTELPMKRRQQVFLDLSEKDMRQINALFREVIIFMFLYRFLKHLLCSWLMFLYMYNVCNII >KZM84646 pep chromosome:ASM162521v1:8:17320654:17320845:1 gene:DCAR_027932 transcript:KZM84646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKIAKVFTICIMVLVTFSNLGASAALEDVAAPAPSPTSAGTALCIPAALAVLASLVAYIF >KZM83663 pep chromosome:ASM162521v1:8:258487:259434:-1 gene:DCAR_028915 transcript:KZM83663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACGYGNLYSQGYGTNTAALSTALFNHGERCGACYELKCNDDPKWCLHNTITVTATNFCPPNNALSNDNGGWCNPPLRHFDLAQPAFLRIAQYRAGIVPLLYRRVPCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHAVSIKGSKTGWEAMSRNWGQNWQSNAYLNGQSLSFRVTSGDGKTIAANNVVPPGWQFGQTFEGTQF >KZM84505 pep chromosome:ASM162521v1:8:15502685:15503062:1 gene:DCAR_028073 transcript:KZM84505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKNNALFTLLSLSLLCFNLVSATSRTPNTPIYTTLNRHHRGRCDGLRLGLCADVIGMLEVVSGSPPMMPCCSLLLGLVDLEAALCLCTVMKGNILGANFNVHIKHTMLLNNCGRKIPIGFICS >KZM85113 pep chromosome:ASM162521v1:8:22821340:22826213:1 gene:DCAR_027465 transcript:KZM85113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNKALRPEWKLCLDMLDCAMKADNSELAYYALEFMAKWMIQDENMRPPRYLSVEEGLVVSLLATAGRTYSKKLLDAAWTILKRSLRKKRVANAETYLARIHAHASLGTDHLKKAFGALHEFESLYGGADKQAAEDLFSPFTALYPLVVACSHNGFATLDSVYFQLENLSKANPPYKSLAALNCIILGCANIWDFHRASETFTAISTTFGLTPDVNSYNGLICAYGKLNKRKEALELFEQLKSLGIKPNAMTYALLVDAHLVAKDPKSALSIIDEMVISGYTPTKAILKKVRRRCIREMDYESNDQVEDLAKKFGIRMGTETRRNLLFNLQYTADYVQER >KZM84719 pep chromosome:ASM162521v1:8:18201597:18206373:-1 gene:DCAR_027859 transcript:KZM84719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPMHANGDISAAKTPVTGRLATVYSDVQNSRLDHSLPLPKVIKNQFDVVDGPASSAAGNPDYLQEHCKGSTLYGFKGGPAGIMKCKYVTLTSEYIYPYRNQGGFDMICSGRDKIETQEQFKQAQETAQKLDLDGIVVIGGDDSNTNACLLAENFRSNNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNITLIGEEVASKKETLKNVTNYIADVICKRGELGYNYGVILIPEGLIDFIPEVTPSSGLYQLLDAGVLLADAGDTIKKSVQQLIAELNEVLAHETVDEGGLWKQKLTSQSLQLFELLPTAIQEQLMLERDPHGNVQVAKIETEKMLIEMVEAELEQRKKVGGYKAQFQGQSHFFGYEGRCGLPSMFDATYCYALGYGAGALLHSGKTGLISSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEAAPFKSFASMREEWALTNQYISPGPIQFVGPESDKVNHTLLLELGVQA >KZM85209 pep chromosome:ASM162521v1:8:23725308:23725796:1 gene:DCAR_027369 transcript:KZM85209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLYVVLVLSLVLVHAMAARDIPTEKHDTETVVVATTTNGGGKAVKDKKCAVGFVGVGGAVGGVAGVVPLGGIGGVGGAGGVGGAGGLGGLGGLGGAGGLGGASGLGGLGGASGLGGLGGASGLGGLGGAGAGGLGGLGGVGGGVGGGAGGAGGVGGGVLP >KZM84074 pep chromosome:ASM162521v1:8:6921427:6921666:-1 gene:DCAR_028504 transcript:KZM84074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGITDLRDFHDADSMIKVCMVMHLWSIAQNNIESITACIYLFKKSLGCLPKKEGFNGDMILRLFNFDYICRCRMAFHY >KZM85446 pep chromosome:ASM162521v1:8:25866883:25868645:-1 gene:DCAR_027132 transcript:KZM85446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPPSQPGQKPLPSPPLHSDDADEDDQSVKQLQECSSVYLTLQDCLIKNDRNWKSCQREVQALKACNDRRKK >KZM83878 pep chromosome:ASM162521v1:8:2526790:2527393:-1 gene:DCAR_028700 transcript:KZM83878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPPEIKVQNPPSCSVNEDSIQFQQVPVVKYTSNTWKIYHNIDLETISVQELKVCVGDILKEFDSLYYNSQSGITLLTKETKPEVVELSKFCDNTATFYVYHQTPVKWDDEIDDNDIDNILDGDNFSCSDEEFNEICKKIKEDRQSMDKHEREAMQHSQF >KZM85903 pep chromosome:ASM162521v1:8:29783887:29791602:-1 gene:DCAR_026675 transcript:KZM85903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADATTLAIEAEPQQNRVKSPDNTKKLKLIPLVFLVYFDVAGGPYGEEPAVQGAGPLLAILGFLIFPFIWSIPEALITAELSTAFPGNGGFVIWAHHAFGPFFGSMMGSLKFLSTVINIASFPVQCIDYLKRLFHIFSDGQPRTIAISVSTLMFCFLNLSGLTIVGYVAVALGVISLLPFFIMSAIAIPSIHPKKWLSTGQNGVKKNWNLFFNTLFWNLNYWDNVSTMAGEVDNPQKQFPVALLSAVTVTCLGYIIPLMAVTGAVNADQTEWEVGYMANAARTISGQWLKYWIEVXWLGNRMGTIPSINPKKWLSTGQQGAKKDWNLFFNTLFWNLNYWDNVSTMAGEVDNPQKQFPVALLSAVILTCLGYIIPLMAVTGAVNADQTEWEIGYMANAARTISGQWLKYWIEIGAILAGIGAFEAQLSSCSYQLLGMAELGILPKFFGLKLKNVGTPWVEVSGIGETVSGSNEAAGSGDHVFGVLCILGGDNVDCYQNCSFDQWVDDCRCNWLVFSDEILQVEEVA >KZM84107 pep chromosome:ASM162521v1:8:7970214:7971977:1 gene:DCAR_028471 transcript:KZM84107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPTSFLPLLLLLSSFSFSSSATKTDDFLNCLAKSSDSTTISKLVYTPANSTFDAALTYSINNLRFAQASTPKPLVIVTPTTESQVQNVIYCTKKTGLEMRIRSGGHSYEGFSYVSSLQFIVLDLRNINNVTLDLSTKTAWVDSGATTGELYYYISRATSAYGFPSGLWSNVGVGGILSGGGILTRRTMGEDLFWAIRGGGGGSFGVVVSWRVNLVPVPPIVTVFRVFRTLEEDMTNIFYKWQSVAPVFPNELDIRCNGQVILSNSSTRSDKKTMQMNFESLYLGPASEVLAIMRERLPELGLVREDLFEVSYIQAMVFFSQFPIQAPPEILLDKTISPRPAFKGSSDFFKEPMPIEGLLGLWDYMFQLPDNQAFLQYTPYGGRMNEISATALPFLYRAGYLYMFNFYAVTCPSREKFAEETARMDWVRTVDEYLTPYVTSNPRSAYVNYVNVWMGQNNPTGSTSYAQASQWGKRYFGVNFDKLVVLKTLADPFNLFRHEQSIPVFSSYSDM >KZM85010 pep chromosome:ASM162521v1:8:21620875:21623091:-1 gene:DCAR_027568 transcript:KZM85010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEGAAAQNEACNRVTRCGSTEIRFPFHLKERDKQHKEDHCVFPPGFQLSCEYVYQEFFIPKLEFKYQVNTSHSGLYLSLSVNASVVSINYRSQQLLFVLDSPEYGRDIRQHYYSRNSHHYNYNNLPKYPFKPFTLTDTPSTNSELHDLEVGIYSNYTFYNCSITKDIVGENAGGNILIWSVPSLGGRGYEVYAVYSPLETILYPMTSCTKMYNVSNVPYNAGGLSWSGPNCGDCEAKGQYCKFKPNSTILTQCHTKGHSSYQLLMAGKVVGISMVLVTLVVLYYATKSYKQKKKHLLKIEVFLEDYKALKPSRYSYADIRKISNNFNVKLGEGGYGSVYKGQLSNDVFVAIKVLNDKVDDKRSGEDFINEVSTIGLIHHVNVVRLVGYCADSCRRALVYEFQPNNSLEKYVYSREIQNKAFLGWEKMKDIALGIAKGIEYLHRGCAQPILHFDIKPHNILLDRNFNPKIADFGLAKLCTTGQSMVSMTMARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGARDHTSAGTENTSEAYFPEWVFHQLEQGRETRSQIEEEVNNNIAKKLTIVGLWCINWHPGDRPSMKHVIQMLQEEDCPAIPPNPFSSASEKTASMFSNMLDAISESD >KZM84785 pep chromosome:ASM162521v1:8:19132681:19137154:1 gene:DCAR_027793 transcript:KZM84785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACCNDDPRIHDIKSKIRVVPNFPKPGIMFQDITTLLLDPKTFKNTVDLFVERYKNKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPRKLPGEVISEEYTLEYGRDCLEMHIGAVEPDERALVVDDLIATGGTLCAAMNLLERAGAEVVECACLIELPDLKVNLLSQNFT >KZM85464 pep chromosome:ASM162521v1:8:25988186:25996609:-1 gene:DCAR_027114 transcript:KZM85464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTVEAVEMESQGIRKNSTLICAPLMADSVEEIVVQMNRAKSSGADLVEIRLDSLKNFNPVEDVQSLIKQCPLPTLFTYRPTWEGGQYDGDEKSRLDVLHYVMESGADYIDVELKAVEEFNNSMRGKKPAKCKLIVSSHNYQYTPSIEDLGNLVAAIQASGADIVKIATTALDITDVARVFQITVHSQAPIIAMVMGERGLMSRILCPKFGGYLTFGALDSGIISAPGQPTIADLLNLYNFRQIGPDTKIFGIIGKPVGHSKSPILYNEAFREIGFNGIYVHLLVDDIANFFRTYSSTDFSGFSCTIPHKESALKCCDEVDSVAECRDVNLLLVFMSQSIGAVNCIIRRSNGKLFGCNTDYVGAISAIEDGLRGSDHINSAAGSPLSGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTYERAKELADTIGGHALSISELDNFRPESHMILANTTSIGMQPKIDETPISKEALKSYELVFDAIYTPKITRLLREADECGAKIVTGVEMFVGQAYEQYERFTGLPVTSKLKNLARAGHIGHARKLFDEMYHRDLVAWNSMLSSYSHLGLYQEALSLFYCMRVSNIRPDHFTFTSALSACAGADELRYGQKLHGLVVVLGYYHSLPVNNALIDMYGKCLSSFSAGRVFEELGLRNEVSWCSLVFAYVNADEVKAAYGVLNSMPKKVDIAWNTMIAGCARLGDIQLCFSLFKDMLEDLCDPDQWTLSALMSVCGEARQFLSGCVVHAHIVKSGWGLAVEANNSILSFYAKLSHHDGVVKMLESIEFRTHVSWNAIVDAYMKIGDTQKAFYVFEETPDKTIVSWTSMISGYARNGQGVEALRFFCDMIRTGLQPNSYSLGAVLHACSMLATFGHGSMVHCCSFHIGFHTTAYVGNGLVNMYAKCGDIEGSNKAFDDIHVKDIVSWNTILLAYGMNGWPSKALQVKELMVASGVNPDKVTFTGLLMTCSHSGLLDKGRALLKSMTSDYGLSPDVDHVTCVVDMLGRGGYLKEAREVANLYLGKDSMKISSNEPLLGACSSHGNAEMGAELGEALKFIEPQNALSYVVLSNLYCATGRWKEAEIVRKTMSDQGVMKMPGCSWIEIRNEVTTFVAGGVFSHPCMNELYSTVYILEYQIKNPGAVT >KZM85874 pep chromosome:ASM162521v1:8:29507041:29512289:1 gene:DCAR_026704 transcript:KZM85874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRRKKIRFSKIYTFKCKTAAAKDDHLHIGGPGFSRVVFCNEPASFEATSRNYADNYVKTTKYSPATFVPKSLFEQFRRVANFFFLVTAIVSFTPIAPYSAASSILPLSIVIGATMLKEGVEDYRRKKQDDEVNGRKVNMHQGDGSFKLCIWKELRVGDVVKVQKDEFFPADLLLLSSSYDDAICYVETMNLDGETNLKLKQSPEVTSSLHEDSSYKNFKATIKCEDPNASLYTFVGSMEVEEEKVPLSPQQLLLRDSKLRNTEFVYGAVVFTGHETKVIQNSTAPPSKRSNIERKMDKIIYFLFGVLFLIASVGSIVFGIVTKDDLHGDRMKRWYLRPDKAEIFFDPERAPEAAMYHFLTAILLYTYLIPISLYVSIEVVKVLQCVFINQDIDMYHEETDKPAYARTSNLNEELGQIHTILSDKTGTLTCNSMEFIKCSIAGTAYGRGVTEVERAMAKRLGSPLDGIKDQKKDMENKNTKPRIKGFNFEDERIMNGNWVREPHPLIIQGFLRLLAICHTAIPDKDEDTGNVTYEAESPDEAAFVIAALQLGFEFYQRTQTTVSLIELDPDTHEKVQRDYDILNVLEFNSTRKRMSVILKYEGKFLLLCKGADSVMFERLGKNGREFEEITREHVDEYADAGLRTLILAYRELTEEEYKDFNEKFKAAANAVSEDRDTMINEATELVEKDLVLIGATAVEDKLQQGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQITITLETPEIKQLEKVGEKGPIAQASKKSVMQQISEGKDLIASSKNEAFALIIDGKSLAYALEDDLKKMFLDLAVECSSVICCRSSPKQKALVTRLVKEETKKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFKYLERLLLVHGHWCYRRISSMICYFFYKNITFGFTLFCYEAYTTFSGQAAYNDWFLSLYNVFFTSLPVLALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWRRIIGWMFNGVCTAIIIFFLCIRALEPQAFKKDGKTADMDVMGPTLYTCVVWVVNCQMAVSISYFTLIQHIFIWGGICLWYFFLLAYGALSPTISTTAYKVFIEALAPSASYWLITLCVVIAALTPYFSYKSLQMQFFPMYHGMIQWIRHDGQSEDTEYCNLVRQRSIRHTTVGIMARSLARTNPLTGSTHRRR >KZM85434 pep chromosome:ASM162521v1:8:25727751:25731381:1 gene:DCAR_027144 transcript:KZM85434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVINRLAMLLMKHPTTEKLEKDKERTEVGAGASKRPSKKSNAASKGTTDQDQGTAATSQQKMPTLKRKSKVGVIEEAETQGGIFTSYSQPILMSHTPLGVQPTRFSTNENHTTTLKNLEAAAEARKKNLGKRPEWKL >KZM84130 pep chromosome:ASM162521v1:8:8557721:8557971:1 gene:DCAR_028323 transcript:KZM84130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEINFEFEDSSVQICVMIVYCLDVGVVALVDCLLIGEGSPALACSRSGGEEGGNDAASAGRGRLWER >KZM84736 pep chromosome:ASM162521v1:8:18527747:18528064:-1 gene:DCAR_027842 transcript:KZM84736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDHWIYVPPVNGSIVINDGDALQIFSNGKYKSAEHRVAAKGSNNRISVPIFFNPRPHDIIGPLPEVLKNGEKPIYKSVLYSDYVKHFFRKSHDGKQTLEFAKI >KZM85013 pep chromosome:ASM162521v1:8:21645331:21653426:1 gene:DCAR_027565 transcript:KZM85013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTKKVITGSSSSSFVDLFGPKDSSTPSSSAGHFSSVFGPASTGLVRDFSPSGYSLPSQNQSSAGASEYGTIHYENRDHKAPINYGKGGSMHSKDRGTSYQNEVAEPCNLSSSIHYGGQEVYSPSVQSKNSHSAFKKDGRDDDSNGSNPNSASRGNWWQDNKEDLDRTAQLFLRFAVLHARTNGSAWNPVLNTDREQWGGLLGSPQSILSPVLSSGSPNGPSSPTAPVGQKTDQSWNLICAAAGEVNMWKMQNETANKITALPTPPNYFAPLPSSNKNTPVKQNNVSVWGHPFGVSSEYGCGFSGNAMYGRLQGPIQIGWPASELQKQYFNANGSVSHPTFLFSGGRSIAAGGGVGLKRKCAGTGVFLPRTCAGPTDSKVKPGCPASFTPPTRPAQAFNVNHSNNVMTQAQAKAQLSLITGVTSDYDAMLMDRNAVLAQQWRNGAMNCDQFLPREWSY >KZM85358 pep chromosome:ASM162521v1:8:25096343:25101782:-1 gene:DCAR_027220 transcript:KZM85358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKENGEAFGSVSEEMKTGAGLPYAPQDWPNPGDVWTWKLGRRISTDGFYRDRIIFLPKRLHQKGKRKYFDSKKSIITYLQSEFPDTDVDAFFQSFTWRVPASFSTSEEKARASACPKKVHPRSKSEKIGETDFARRSWRLIMSKASSKKKIRFSPKEVNDVIDLSLLSNGTRSCDSTADNSMSGTNSKIRTSPIQNSGPQNSKDGANCHSSKETPSNSIPDDSDMSISSADNPLSQTRPKLPEEGPVDDQSATSQEKMFEARKKLLLLLAEDNPAVLRSSIKLPEIMHTAPNIDANRHGKLKLIDEVPLAWNELQKNTNISEAAGKYSEDLETNIAWATTLVNEYNESKGYEYVLYKELDSISQNIEKIDEQISTLQSRRHELASAADAKKKVVDMLISKQERAAECLSNAVHVAEMGKERRQIWELEKETSKDKENIFSEFVPLRDFFL >KZM84585 pep chromosome:ASM162521v1:8:16558533:16562541:1 gene:DCAR_027993 transcript:KZM84585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRWNTSGIQLICLSIFILVLKIQGHCLLNYEGFALLSFRASVVSDPLGALSDWNPDDCNPCLWSGVHCVNGRVQMLDLAGLSLQGGLAPSLGKLTHLKILILSHNQFSGSVPKEYSGLPMLEIMDLRSNSLNGIIPSEITEMPSLKCLLVQNNNFEGVASKQNRNIDISTKLLSDGKHVSGAAAEYSCVNRKLGHRIFHCHILSLKNKEAFTKAETRLKAELVSTQIQETLNHYLRMLPSPKFEESLFTDDAEVFCNNPRSSSESHNLDNTVRRRLAEQSSNLAAAPATGASAAEPIIVLPSTRSSGSFPAVPKEKKEPLHSPAPAPPKHHHSVAQPQNANNRYSGDEWKYIVGILVAVFFALLVTFICFVCRNLAVKNIRPWKTGLSGQLQKAFVTGVPKLNRDELETACEDFSNIFEAHDSVTVYKGILSSGVEIAVASTSIKSRKEWSKRSEYVYRKQIDSLSRINHKNFANLIGYCEENEPFARMLVFEFVPNGTLFQHLHMKELEHLDWNARVRIIMGIAYCLQYMHGLNPPMPHSNLKSHTIFLTEDYAAKIAETEFWNELQYKSRVSTRKESGHCDLPPPADVETDVYNFGVLLLEIISGRLSFSEEQGHIVTWASKYLTDKQKYKCMIDHTLKAFKNDELEVICDIVQECIHQDPWKRPTLNEIISRLREVIRVSADSAAPRLSPLWWAELEILSAEAA >KZM85171 pep chromosome:ASM162521v1:8:23406953:23412566:1 gene:DCAR_027407 transcript:KZM85171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYKQSSELLPERRILVGPDNKSVDNDYGQKATRRRKLGRFSGRRLLFWVFSSATFVSICLAVFGLKIILRGETADIILSVGEEQKVSTDRIKRTPRRPRFVPCKVGLLDSVDDLVEPKEITSFADFSLQFVDREEIALNDSSYPRFGGHQTLEEREQSFLGKNQTIHCGFVKGPEGFPSTGFDLDTKDKEFMTTCIVVVSSCIFGSSDFLRRPTSKMISGYSKKNVCFVMFVDEQTLSKLKSEGSAPDDSGYIGLWRIVVVRNLPYEDMRKTGKVPKFLSHRLFPSSRYSVWLDSKLRLTTDPMLIIEYFLWRTKSEYAISNHYTRHCVWDEVLQNKRLNKYNHTAIDEQFNFYQSDGLTKFDPQDPNTPLPSYVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTSRDQLSFGYTFLKLKRMNPHKGLMLNMFKQLD >KZM84492 pep chromosome:ASM162521v1:8:15381450:15381713:1 gene:DCAR_028086 transcript:KZM84492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLKSFLNHESSFSEPKYRVSLAKTFEAHKNCSRGQVFGFKNQNAEAQSLERIYGLPKRCYKLYSQVNQVRSTKMRSSRPKPRPRC >KZM84273 pep chromosome:ASM162521v1:8:11435313:11437334:-1 gene:DCAR_028433 transcript:KZM84273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGKYLPKDEVLLQHPILVFVFLVLGFIVMDPFELSPVGGHDFRPVKNDIASYEEVMGNWPKDNHSRLARGDKVFVDEVFGPESLEFDIHGRGPYAGLADGRIVRWMGQDGGGWETSNEICAKGVNSTTYKQWKFEKQCGRPLGLRFDKSSGDLYIADAYFGVLVVGPKGGYATPLATNVAGKPILFANDLDIHHNGSVFFTDSSKRYDRVNHFFIMLEGEATGRLLRYDPPTKTTHVVLMKYWLEGPKNGTSEIMANLPGFPDNVRINEKGEFWVAIDCCRTRAQEVLINNPWMRSVYFRLPVHMRYLAKWVGMKMYSVVSRFNEKGEILDVLEDRKGAVMKLVSEVREEKGKLWIGTVAHNHIATLALESLL >KZM84627 pep chromosome:ASM162521v1:8:17140784:17141578:-1 gene:DCAR_027951 transcript:KZM84627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMALSSPALAGKAVKVAPSSSELFGNGRISMRKSVKAPVSNSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >KZM84414 pep chromosome:ASM162521v1:8:14080724:14081265:-1 gene:DCAR_028164 transcript:KZM84414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTAATLGIMWLLFFPILGCKAHEGAGGGGPSNFAPSWMTGFQRGPETGGTLYGVPSPSPPSHIGPQPSDPQPSTTPHPSDPQPCTTPHPTDPQPSTTPHPSDPQPSTTPHPSDPTGPHPSGPDASQPSTTPAASQPPAKIVKALHKDEM >KZM86002 pep chromosome:ASM162521v1:8:30624685:30625495:1 gene:DCAR_026576 transcript:KZM86002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAENFLKASFRILLVVGFLSFIVLAGVSESPKFEMRALISCSRQADRSLKQRMLIGEEKYVLHPKRDIHFTSKRRVPNRSDPIHNRRAGNSGKPPGQP >KZM85606 pep chromosome:ASM162521v1:8:27097599:27100665:-1 gene:DCAR_026972 transcript:KZM85606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-15 MDSNNGGHAVDRPVKHNQIQEAGFYKPNIWKYDLIESLTVEYAHEKYERMVSKLREEVKYLLSNTLGIWEKLELIDNMEKLGLAYLFESEIKSVLDELVSNHNHSFTGEKKLYNTALLFKLLRKHGYTVSQDVLEGLVEISPHPDVKAVLKLFEASHLAFEGEDVLEKANVITRKYLMSIRSSDLDDDASLANISRTLEDPHNIWYNVKTQIQRYETNAKADSTLLNLAKHNFNMFQAIHQKEVKELLRRGNAESPTLPECMKICMRILNDTIKDIASQIEVEYGWKLVSPHLQKAWSTFSQALLKEARWFNLNYTPSIEEHTDNGRSSSSGPLLSLHIFFALIPQTERVLDILKSTANHEQNVALIFRLCNDLGNFAVEIERSDPPSSIQCIMKERGVSEEVARNQIKCMIASAWKKINYECVTQAPLLQPYLKYSTNIARVAHVVYHNGDAVSNADGMTRNHVMDLLGEPLSIT >KZM84973 pep chromosome:ASM162521v1:8:21273865:21279981:-1 gene:DCAR_027605 transcript:KZM84973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISQIQRQSDYHRFPPSVPIVIDNGASYFRIGWAGESEPRIIFRNIVQRPRHKTTGETVTIVGDHDPALLKYFDCTRSGPRSAFDADVVFQFEIMEYILDFAFDRLGADGSEIDHPVLITECPCNPVQSRSKMAELLFETYGVPSVAFGVAAAFSYKYNQKRGICDRNGLAICSGFTTSHVIPTVHIL >KZM85686 pep chromosome:ASM162521v1:8:27864355:27867826:-1 gene:DCAR_026892 transcript:KZM85686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQVTKFITDLSTNLSSKSFSQSRCLATAPAVFVDKNTRVICQGITGKNGTFHTQQAIDYGTNMVGGINPKKGGTEHLGLPVFKSVAEAKAETKANASVIYVPPPFAAKAIMEALEAELDLIVCITEGIPQQDMVKVKAALLQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHTPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCMKKFIADPQTEGIVLIGEIGGTAEEEAAALIKIEEVVHPDRYRAYYKKSGTEKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLKEAGVTVVESPAKIGTAMLSVFKQRGLL >KZM85431 pep chromosome:ASM162521v1:8:25709337:25711019:1 gene:DCAR_027147 transcript:KZM85431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDGNADSAANSTKQEGIPPRMFKAVIASSHPEFSTMRQQDAFEFFLHFIDQVEVLNAGNPQLDPSRCFKFGIEERLQCPSGKVAYNSRQDCILSLNIPLDRAINRSRVQTNWTGEPHWYFYPLCPLCCSHLQRRKVGEVIYNDAKVGASKDPPKDMGYLYFYERIVS >KZM85341 pep chromosome:ASM162521v1:8:24974427:24977521:-1 gene:DCAR_027237 transcript:KZM85341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESEANKEISLKEQGNAFFKAGNYLKAAALYTQAIKKDPSNATLYSNRAAAFLHLVKLQKALADAETTISLNPNWEKGYFRKGCVLEAMERYDEASAAFNKALDYNPQSSEVSKKIKKLSQLAKEKQRADEVQNLRSNIDMGKHIDVFKSELSAKYKDEESCKETFSFLVETMEAAVKSWHETSKVDGRVYFLLDKEKTDTEKYAPVVNIDKAFESPETHGTCLSFLRQYAVDSFSRAACLIAPKSIISYPQARKDLVDAMI >KZM84538 pep chromosome:ASM162521v1:8:16011695:16014009:1 gene:DCAR_028040 transcript:KZM84538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEWAKAHDINDSKSGSLNSYSLCLLVIFHFQTCVPAILPPLKDIYPGNLSHDLKG >KZM85737 pep chromosome:ASM162521v1:8:28229453:28230262:1 gene:DCAR_026841 transcript:KZM85737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETVQVLSYKKLDGKVAIITGGASGIGEATARLFAQHGARAIVIADIQDALGQSVAESIGNEQCTYMHCDVADEGQVKGLIDSTVEAFGNLDIMFSNAGVGKTGDLRQSILDLDLEASDRLFAINTRGMAACVKHAARGMVEGSVKGGSIVCTGSLAASIGGEQFIDYVMCKHAVLGLVRCASKGLGEYGIRVNCVSPGGVATPLSCNEMNLSVEECEKFFEGIMGLKGFGATKTKDIANAVLFLASQDSQFITGHDLIVDGGTKLTL >KZM85849 pep chromosome:ASM162521v1:8:29288536:29295249:1 gene:DCAR_026729 transcript:KZM85849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYVKTLKGSQFEIQVNPDDSVADVKRSIETAQGAAVYPAAQQMLIYQGKVLKDGTTLLENNVAENSFIVIMLSKSKSPSGEGSTTSTAAAPKAPQTSAPPSVPAPAVSQPPASTLPVPAPSPAPAPAPATAPIPSAAVGSEANVYDSAASLLVAGSNLEGAIQQILDMGGGTWDRDTVIRALRAAFNNPERAVEYLYSGIPEQAEAPPVAPSPPSGQAANPLDQPPAATQPAPASAGPNANPLDLFPQGLPDMGSNAAGAGNLDFLRTNQQFQALRAMVQSNPQILQPMLQELGKQNPHLMRLIQEHQADFLQLINEPMEGGENLLGQPQAISVTPEERDAIERLEAMGFDRELVLEVFFACNKNEELAANYLLDHMHEFE >KZM83765 pep chromosome:ASM162521v1:8:1370611:1370867:1 gene:DCAR_028813 transcript:KZM83765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVPYVFVPSKQALGRACGVTRPVISCSVTSNEGSQLKSQIQQLKDAIEKLLI >KZM84224 pep chromosome:ASM162521v1:8:10569318:10569725:1 gene:DCAR_028229 transcript:KZM84224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSASNWDYINLFFLRPILAILFVFSLLLLGWYLAWKLVLVHVPLVQEIFGLRKKSFTPKPPSRRRFSKFYNSLNSTGIYILLPLYSHDLC >KZM83755 pep chromosome:ASM162521v1:8:1155290:1156668:1 gene:DCAR_028823 transcript:KZM83755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKIYNSTPKPPSGDRIKLNVGGKLFETTLSTLRSGGAGSLLDALSNRPINDSNPVFIDRDPEIFSVLLSLLRSNRLPSTYRRFTSQELFDEAAYYGIESQLKSAMLPSQLSGIDASVVSTIRPASDGVVSSFSVSDDDDGSVWVGHGGQISVYDWNLAHIGTVRTHLDFITSIRRVMPEIAAIGSEIDSGVHFYSFANGRRVGSVEWSDSSXTRMSRQNGNSSKSVVPGKLTYLSELSLIFGSSISSGAFGYSGYVRLWDVRSGKVVWETNEPGSGRSSRYGDSFADVDVDKFELNLFKVCSKSGDLAIADLRKLSDDPWLYIKDKNPSLSNVGGGVSSVIHCYKKQAFVGRDGGLEVWSRVEEQGGSSVCEEESYRRNFVDKVEDSERGIIKKIEGGGNRLFVSRDNVEGIEVWQSSNFSGSVSLL >KZM85565 pep chromosome:ASM162521v1:8:26798275:26800161:-1 gene:DCAR_027013 transcript:KZM85565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNGVAVGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSSVAFTDSERFIGDAAKNQAALNSVNTVFEFSRARGQKQQTTIDADGVLSVSAVETTTGIKKSIKIFKRGTLTKEEIERMVRDAEQYRIKDEEFMKKNNAMISYKDYVYKVRDDTQNNYHLEASVRKAISKCFEEAVEWLDANKNAEVHEYELRRQNFKAICNQILPGIAGT >KZM84816 pep chromosome:ASM162521v1:8:19639031:19642191:-1 gene:DCAR_027762 transcript:KZM84816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLWWPGGLGKVSTHAVVYARLIIEGQDHGVPGSVYEVVLRFTSSLFVCPLDKLKKFQNKIGGAADTVVYTVRQLNHIGARLFASCTVGVLISLLDKDSNAFEATIHGVQSSSMANMVADKLKLSNTPDKNVTVEDDINRGDCDLTPSPGFDR >KZM83850 pep chromosome:ASM162521v1:8:2229106:2229686:-1 gene:DCAR_028728 transcript:KZM83850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRAKRKRYLELQDVLVSKVQSLNLSSSDLDTDVIAANEDFGLMYKSMEDRQSELYSRMLGIAEKSGELHSILKDGLRADGGSSSTDKTFALPEEYKGDVGRFVEDSRASVNGHARLLKDQTTEMCAAFETFVEEWTKKLKDLKDAANEVGAEHENLSAMLTDFLLNV >KZM86117 pep chromosome:ASM162521v1:8:31461191:31462453:1 gene:DCAR_026461 transcript:KZM86117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSTEEEIVLDGESQDVIEADLGEQLLASIPKSPNHSRPTSMKAHTVFPAHLIAEAISTLHNLDLRWSGPITPNEMQYVQQYIFAKYPEYCNGLVDDHGDNRIDLSSLCINDESSDSTSDDKRITPRGSGTKESTSPSFTSNSTSDLDRTQLEPSKLLEILKEKTSFLGNFISIPEIQVRNRALQHCGLIEDDYLVLFATSFREAMMMVGESYPFYRGNYYLTIIGEENDCIREFVTYKDSKVIAAPETWLDLRIKGSQLSQYFRRKSKICPKGLFAYPADVNGTRYSMHWISEAHRNSWHVLLDATGLDVGKERLNLALHRPDFVMCTVDNTHAQPSKITCLLVRRRSFDTTSPSS >KZM85150 pep chromosome:ASM162521v1:8:23213505:23222631:1 gene:DCAR_027428 transcript:KZM85150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDATSAVCNLGILDSLNNSSSVIELLETWNAFCLSSQSLVSTHYSSNSDPSLARDFASQVHILRSHGLQSLIQDHFLSLIQQFFLSNGVSNFWCHFDAYADIVDTDLAYEDEVQQVLHVALEEITLEKQKQERCLTMLTDALQSCKDTTSEGSQKSATDSAYLSSKYQLIVSSTLMTTLPRHFPQILHWYFKGRLEELNTSLDEEYQDLDRLQDDEDMDLDSKEKFFNEKSDMGSDKSYPQGKLLENNNLVKNIGKVVHDLRSLGFTSLAEDAYASAIFMLLKAKVNELAGDDYRTSVLEAIKGWIQAVPLQFLHALLAYLGDTVSNGPHSSILRSPLASHPNSRYPGTEAPSEGLVRWQLRLEYFAYETLQDLRIAKLFEIIVDYPDSSAAIEDLKQCLEYTGQHSKLVDSFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIREYLRGRKDTIKCIVTMLTDGTSGPGSVGDSLLEEINRDEENQDSAGLDDEISTDDKQAWIDAERWEPDPVEADPLKSSRCRRKIDILGMIVSIIGSKDQLVNEYRVMLAEKLLNKTDYDIDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNTNVKTTIKQKVQSGVDHKENEIPMDVFDATIISSNFWPPIQEEALNIPEAVEKLLNVYATRFNEIKTPRKLLWKKNLGTVKLELQFEDREIQFTVTPIQASIIMKFQEQTSWTSKDLAAAIGVPVDVLSRRISFWISKGILAESFENDSSEHVFTLVDEMIDSGKTVISSGNCEDLAADEDGDRSVATVEDQLRKEMTVYEKFITGMLTNFGSMALDRIHNTLKMFCTADPTYDKSLQQLQSFLSGLVAEEKLEIRDGMYLLKK >KZM85438 pep chromosome:ASM162521v1:8:25747226:25751170:1 gene:DCAR_027140 transcript:KZM85438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSCGVVYTLPTVTSSCRQFHTPLFKKHPIQLLPLKTLKPKFTCSASIDDAGPPRNWEKWLPKNLFSAEKVLKSISEATSSPICQFISSPITFLHTVDPRIKLAWLVVLVVLPARSHILMRFGLVAYLSLLSILILPRHVWMDQLGRVSLLSGILFIMLGLGADGAPPVVQLRTPPPSMMGLPSLPASLEGYSYIIMKLGPLQLTRKGLSVASTSASLTFSIFQSASLCLSTTTPEQLAFALQWYMRPLAYIGVPVAEVILTLLLSLRFINLVFDEVRVVALGIVSRRIYWEKFTALETIEVFFTYIRRIFNNIFSHAEQISQAMNVRGFRGDSNTHNIYFSSLSSNSMANILSIMCLVCLVGAAAVSDCFV >KZM83669 pep chromosome:ASM162521v1:8:314278:316758:-1 gene:DCAR_028909 transcript:KZM83669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQSIISESKIRMEMMGPDPHLDDPGETAKTSASFNDDSNKVVMKMVNAEIDTSAPFDSVKEAVTRFGGLGYWKPPPISEHDLDTMDITKLEAQAVQLEKDLILKESETFHLLKDLENAKTVVETLKVKIQNEASEVNAALLPNLQDNDVNLDNKENLAVSCQKPVWQLDACPSSTPDSILVELKQAKVNLTRTTHDLADIRATVEAYNTMIEKERNLLEKTRQRLSLSTSNLSSLGEKINQTNEELQLVRDAKAKGSSDHTMNIARELHRLNSETEQFKKISEAAKSEISRATCEIEQTNSAIKTAEIRLIAAKTMKEAAKATEAFALAEIKALSNHQIPSKVCQDNTARITLSLDEYYFLTSRALRAEELCKSKEMDAMLIVDEANVSQTEILKRVEEVTKEVKISKDVLEEALNRVEAANKGKLAVEEALRKWRSKHGKKRRSVHKSTTKFKNSHQQKASHAVGMNGPNIMNNDSKPILRPTLSIGQILSRKLLLAEDYDNGTRADHSLEKRTLSLGQMLGKTSCDSVHALAPKSKTRSDDKLLLPAKRKKFGFPRISQLVAKQSKKRQTSSSSSRVTLCRSVEIN >KZM84232 pep chromosome:ASM162521v1:8:10639684:10640703:-1 gene:DCAR_028221 transcript:KZM84232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRFSHKDLQIATNNFTTKLGKGGFGSVYEGVLPAGTQLAVKQLEGLGQGKTEFQAEVSSIGSIHHLHLVRLKGFCTEDDNFLAKVSDFGLAKLISREQSHVFTTLRGTRGYLAPEWITNYAISDKCDVYSYGMVLLEIIGGRKNYDTSETEEKCHFPSYAFKMMEQGKLKDILDVKLQIAEDDERVSIAIKVGLRCLQYDMHLRPSMTKVVQMLEQVSPVPPPPSSQQMNSHIYLSSFKSTSEHSTSLEPLNLNSCADFSAVRLSGPR >KZM83921 pep chromosome:ASM162521v1:8:3316865:3322431:-1 gene:DCAR_028657 transcript:KZM83921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLAATTTILCAAAATAAAVLIIRHRMKSSGKYAKTLEILKELEEKMGTPEAKLRQVADAMSVEMHAGLASEGGSKLKMLISFVDNLPTGDEKGLFYALDLGGTNFRVLRVQLGGKEKRVVKQEFDEVSIPPNLMVGTSDALFDFIASALAKFVATEGEDFHLSPGRQRELGFTFSFPVKQLSIASGTLITWTKGFSIEDAVGEDIVAELSKAIERVGLDMRVAALVNDTIGTLAGGRYNNPDAIAAVILGTGTNAAYVERAQAIPKWHGLLPKSGEMVINMEWGNFRASQLPLTEYDHALDVESLNPGEQIFEKIISGMYLGEIVRRVLLRMAEEAALFGDIVPPKLRTPFILRTPVMSAMHHDSSSDLKVVGTKLKDILEITNTSLKARKVIVEVCDIVASRGARLSAAGILGILKKLGRDVVKDGEKPTSVISLDGGLFEHYTKFRNTMESTLKELLGEVGETIVIEHSNDGSGIGAALLAASHSQYLEVEES >KZM85411 pep chromosome:ASM162521v1:8:25539426:25544164:1 gene:DCAR_027167 transcript:KZM85411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIRFLQVFIAILYYEQSWHGRQRQRATRAEKLRIQALKADDQEAYMRMVEESKNERLTMLLGKTNELLVRLGAAVQRQKDAEHDGIEPLNELEANMPDYSPSKTGILAGSKEEDDIIDMEPHQDVKPGDLLEGQRQYNSVIHSIQEKVIEQPSMLQGGELRAYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKNVAGPHLIVAPKAVLPNWIAEFSTWVPSIVAVHYDGRMEERRVLRETYSGEGKFNVLVTHYDMIMRDKAFLKKIHWHYMIVDEGHRLKNHESALARTIDSGYKIRRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVENFEEWFNAPFADRCDVSLTDEEELLVIRRLHHVIRPFILRRKKEEVEKYLPQKSQVILKCDMSAWQKVYYHQVTDVGRVGLGSAGHRVLLFSQMTRLMDILGVYLELHGFKFLRLDGSTKTDERGTLLKQFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEDIMRRGTNSLGRDVPSEREINRLAARSEEEFWMFEKMDEERRQKENYRSRLMEENEVPDWAYAQPDPKDTRGKGFDYESANVSGKRRRKSIVYADTLSESQWIKAVEYGEPVSKRTGKRKMKEHLPPPSYQLAYDDVLEDKRVVELKSEHVPIPNERLPEITSGMVPRRSRSISMSEVSNSQDSEVPGSDDDNSKGNMLTWKIHRKKRSSLA >KZM86027 pep chromosome:ASM162521v1:8:30843721:30846702:-1 gene:DCAR_026551 transcript:KZM86027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATGARPLFPLFNTAQETQSSNFSQFFTKFSPTHLSFHSNKLTHFGFCVVLGKIRNGLGPFAAKSPRKGVNDVLEIEGFDEDDDFEELDDDFDEEEGDFDEDEDMIVPMRNMKEWLENKPLGFGEGKVYDTTIEDKLAEEIEQSRIAQLANLNKLKNNPGSGSSKNQQKPKVPEVVSSGIRVRLVNLPKKKNIIRDLQRAFKGFPGMINISPVVSGSKKTREPICTGIAFIYFKSTDDANRFIQTFSRQSIAFGKIQKQIKLELMDSSSAKTASEKLVGSNSGPRLAYISANNDLDATSDIETPASNSKDISGEYDSEDDEHISAVEEEERETVEVFSMVEHSEDDDSMMPKEESLSNALSSQPLKKNRTKKKKIVVKGKQKKSAKLNIPGSAKRLKIKEKAVLTDVFSKYGQKADAAVVK >KZM85092 pep chromosome:ASM162521v1:8:22625481:22631813:-1 gene:DCAR_027486 transcript:KZM85092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMMQPQIILLKEGTDTSQGKAQLVSNINACGAVADVVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVHPQNLIRSYRTASYLAIEKIKELAVSIEGKSLDEKRSLLAKCAATTLSSKLIGGEKEFFASMVVDSVLAIGNDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFENPEILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLGTQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNVIDEVLGTCEIFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAMKNSTVVAGGGAIDMEISRYLRQHSRTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNNLRQKHALTSGEGALYGVDINTGGIADSYANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAAGAMGRGRGGGRGRGMRRR >KZM84333 pep chromosome:ASM162521v1:8:12641845:12642321:1 gene:DCAR_028373 transcript:KZM84333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREQDMFMPIANVIRIMRKIMPSHAKISDDAKETIQESVSEFISFVTSEANMRCQQEQRRTITAEDVLWAMNNLGFDDYIEPLTVYLNRMREIENGEPFRSDLLLRRSLEHRAMGIATSFTPPYYMGLHNGTSGVMTTEGFLKDARNAGSTSGARGT >KZM84895 pep chromosome:ASM162521v1:8:20630620:20631754:1 gene:DCAR_027683 transcript:KZM84895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVNLSQGCVTSLVLSDDFTTKHLLYLSTACPALKTLELPGYSGFLSSDDCPSFEGKWKNLEFISLHNSFYIEDLIKQIFIYLPSFTCLSIGGGYVDGDTASMIVSLIPKLKHLTINNAILQKKDLLLILKGCRELVFLDVRNCNGFGEDDEEILKLASAIKTFRCDGSKTYDLADDYDWYPCNDFDLE >KZM84881 pep chromosome:ASM162521v1:8:20460472:20466025:1 gene:DCAR_027697 transcript:KZM84881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIPDNFSTTSQQATPSFSASSRATTNSSRYRNSNYAASYQTNQSDPQQHQQSNRSYPQQQQQSNRSYPQQQQQSNRSYQLQQSNRSYSQRGAYAPQQPSSSFRNNSINSHRDQSSNANYAEEEKLRRENRSQRFENQRGNQARNNYTRPKTIGARLSAGSKSCEGGNNTVVKDIDLDSFTVKGTCQEVEKCYLRLTSAPDPATVRPEKVLEKALLMVQKSQKNYLYKCDQLKSIRQDLTVQRIRNELTVKVYETHARLAIEVGDLSEYNQCQSQLQELYAEGHKGCDMEFSAYNLLSVILHSNNNRDLLSAMSRLSVDARIDGAVKHALAVRQAVTFENYVLFFRLYKKAPNLNTFLMDLYVEKMRYTAVKCISRSYRPTLPVAYIAQLLGFSSVVLPTEPSDEKDTDGLEECAEWLKAHGACLIIDNAGKVLLDSKVFDDIQAGTLNCLEMSITRNAVCTLVSM >KZM85278 pep chromosome:ASM162521v1:8:24332301:24332459:-1 gene:DCAR_027300 transcript:KZM85278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLHYQLLSCLTRLLIWIKGNSLCARIHKGLMASSITADQALKIQDELFGS >KZM84208 pep chromosome:ASM162521v1:8:10271213:10272727:1 gene:DCAR_028245 transcript:KZM84208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEGRRRSARLVESPRVYNKMKKRPVYVDLGRGIENVISSVPRPIPLTNVHDIKCEINLMRDKRAPISHDEGDDFMTPLKRLIESEKAIMTNMNERQRVSEEGTHGRGEDDRGGQENDGLKGVIDSKGNKSRKDDERKNLLKTRNKAKGVVRSIVMGKKQRRLNGSRKQVLECF >KZM85182 pep chromosome:ASM162521v1:8:23508046:23508210:-1 gene:DCAR_027396 transcript:KZM85182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFERNRNKDSIMKKKKKRIGDGGWRILIRLEVQYRTNPSLIISWNCFDYKLEL >KZM83990 pep chromosome:ASM162521v1:8:5028809:5030848:-1 gene:DCAR_028588 transcript:KZM83990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTLPPLVSSGFLPVGSLLESLIHISNEVASIEKFPVVQVKNVSMMIRRVKLLSSLFQEIQETNAPLPPSSILCLTELFSVIRRVKLLIDGCKEGSALWNLMQTDLLSNQYHVTVKEMGSALDILPLSLLKITIDTKEQVELLRKQAKRVELFVEPGEIQRREELFLMMGSYRDRNKRNTGLDDFNRVKEILISIGVRSPLDFEEEISKLEAEAEKQAGTGGLIVVSNINNLMSLISLSKSMIFSRENKEKIPEDLKQSSASNWQHEHSSSSQSSFNIPDEYRCPISLDLMRDPVIVASGHTYDRNSIAQWINTGHHTCPKSGQRLIHMALIPNYALKSLIHQWCEENNITIRESTSSPPDLDRSSGKRELQDKAVDHISATKTASDAVKMTAEFLVGKLATGSPDIQRQAAYELRLLAKTGMENRRMIAEAGAIPFLVTLLGSPDSRIQGNAVTALLNLSIFDNNKILIMSAGSLDSIIHVLLSGKTMEARENAAATIFSLSMVDDYKVLIGGHPKAIPALVELLIDGTTAGKRDAATALFNLAVYNINKSCVAVSGAIPLLIELLMDDKAGITDDALAVLALLLGCSEGLEEIKKSRVLVPLLIDLLRYGSPKGKENSITLLLGLCKDSGEEVARRLLMNPRSIPSLQSLAADGSLKARRKADALLRLLNRCCFQS >KZM83967 pep chromosome:ASM162521v1:8:4226976:4228899:-1 gene:DCAR_028611 transcript:KZM83967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAGTVKKVSLELGGNAPCITFDDAELGVAVKGTLGTKFRNTGQRCICANTILVQEGIYDKFAKALSDAINIYILLSGVPPFWAGYIWRGFAWRSQPLI >KZM83974 pep chromosome:ASM162521v1:8:4612008:4625087:-1 gene:DCAR_028604 transcript:KZM83974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRESRRRRKLLIDEKVEVRSTEDGFLGSWHSGNVISSDKGFRLVQYHHILSDHYDNVVENGGDVVDYDSCDKMIDTVKVSGFIDGVGGSDGGLGMDYRGAIRPFREAGEVDVRGLRYGECVDVYYKDAWWEGVVFDRCKGSGERNVFFPDMGDAMRVEGGTEVRVTEDWDEYSGVWRVRGNWVFLELVEEFERECWPLLVSVKQVWYDVRGKKGFEENVKEWTCGRRDVWRAVLFEVVMDNLRITMREFFETVEGSERKGLEESFRFLELNGPALYASLKSKGYDEISGDGVVAVVDTGRLDALPLSSSVEPRFQDEAPCASPQKTAIVPTDMDDKLAMNDSCNSSCKVSKTNKHRVWVSGDIVPEYCADAVEEYSEVSNTRQDRHVVKLKLRRHLVFLGWKAEYIREKGIPRWRYVPPNGSKEYFGSLVKVCEHLKKSDSAKVSLFRQDEQNRLSDAAATTVVTPLYEQYNEQIKDCPDYDCGMIEPEYCPQAVINYYFSNIKENVYPRDDNKLRNMQLKAKKHLSAVGWKLFYTHENNMRSKDLRYSSPCGKLYVSLQSACKAFLDEKRNVAKLAEAQLVGEGAGSAIETKEYQAKHIKKRKSSVLYPGSQSRSAKSLKKKKNIMEVQTRGGSNSFVKGRVSGSRKRARVMVVPSSYPRNPRTVLSWLIENNVICKRQNVEYRKDGEKLAEGTMTRDGIRCSCCKETFSVSNFEVHAIGACCQPSANLWLLASKTQPEKSLHEYQLELRQNNIAGSTAKAVKYKKKNRNKDDDLCSICHTWGDLICCDGCPSAFHECCLGLKDIPGGNWYCPLCCCKICGLGINNGNTEVSEEDSVFSCHQCKLPYHASCQQMKESVPTSHPKGFCFCNEKCKNIQSELERRLEGSISSLMLQPEQALAQKSTNFAGGSGSDTGAIIPCQSEIFLKNSFEGGTDCKKDMNRGKAEIIYYKRRRISKPGGAQFCLFMSLNVSLSTHDPLQIHQTKEPLVEEYSIAASALYHAPLMDYITVSKLHNKLGGEFNQTTLCKLIDKMKKEGFVEAQRNRRLSVQASSHWIGKDIYKRGPDGNDIYRTNVPHIRLEFRDTEWDGLILSNFAPEKQLHTEREELSHAFYKVSIFSSSIGSCISFYIICVRRLIQCGNSMCWQYDAACCVIARLTKEQDEARSLLAQAERQASAPDGPYVWGYCFIAERNNPGTFCTSTDWPCAPRKQY >KZM83973 pep chromosome:ASM162521v1:8:4607981:4609946:1 gene:DCAR_028605 transcript:KZM83973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKHFFHEHKLILNEAEPVIGKEVECVGCRRPINKLIDAFYKCNNSLIDSSPSSDCVGFYMHKTCSELPSTFTRPLFPKQPLSLFALPYRKQNFFPCDACDSESQCFMYCSESSANWTNSEFLVCLKCVISELKSQEERNRYHPGHDHPLTLVQSPALFLVNDMNDEADWGHSNLMHFPAHDEASLHKAMQQCIMKVANAHQHDSAIAEPSPYIKHWAHRHQLALRNKNATTSTPNLSCKLAETEILICDGCIKPISLLDELFYECNSCNFFLHRSCSQFPEEFEHHLAGKLGREFGREDHELDGLHCKAGCGLVGNGIFMTNKTASLDICCASLPRMIKHEAHRHPLSQLKNPDDFFCKACLDQPETNEETIMYGCERCKFYFHIGCVIRPHLMNHRWDPHPLYLILSPKNVADHPHEFECELCSLQINPSSWFYHCNICDLSFHTDCIDPDDWLSNIKFGANDIYSDKHPHPHGLTFIFNKKKRNCNLCGKDARDMLALECSPCKYLVHEECFDED >KZM84957 pep chromosome:ASM162521v1:8:21130651:21132024:1 gene:DCAR_027621 transcript:KZM84957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFVLDALRTSTLSIQTSFHEIKVSFGSIKSLLVPWTRSNSNNSSPVISKTKLREDIKEFDESKVGVKGLVDAGITSIPRIFYQPPENLIDSRSLTRTLDIPVIDLAASDRAIVVRQVQEASSKFGFFQLINHGIPLCRMDDVILSIKAFNELETELKSQYYSREGNEKRVLYYGSSLHLNELEGASWNDTLLVALGPEPAESCYVPEVCRMAVAEWDEEMKKLGGALLGLMSEGLGLKREALEEKLCMDARIMAGNYYPHCPQPDLTLGLKSHTDPSIFTLLLSNHVPGLHVKVEGHEWANLVAHPGALVVNIGDVLQIISNDKYRSVEHRVLANSLQEPRISVAVFFNPANDADTYGPLPEITSLDEPARYRDFDLLELRQQMKKEVGVKSLINNCRIGPNLKWRDWNPLRLLQSYVGSSQN >KZM83731 pep chromosome:ASM162521v1:8:895846:897800:-1 gene:DCAR_028847 transcript:KZM83731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTNHRPKFVVFGSSIVQYAFHNNGWVSILADLYSRKADIVLRGYAGWNSRRALEVLDQVFPKTADVQPDLVIVYFGGNDSTRPHPSGLGPHVPIPEYIENLKKIALHVKSLSQKTRVIFLSSPPMDEALFCKLLCVGLDKLPRTNELARVYAEACVEMCKEDGIHLSPEGSKIVANEILAVLEETDWEPNLHWKSLPSEFSEDSPYDILAFDGKTTVNLCDSDFYRRNEGKPSKPET >KZM85635 pep chromosome:ASM162521v1:8:27428327:27430223:1 gene:DCAR_026943 transcript:KZM85635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKLILFAVAGIAVMAAVIGLVGTDVVHHKLYPEDREKDPLATTTKPVATICSHTDYKETCVSSLTNMANNQSATPKDFIVAAIQSTLQEVKTAMEKSGTIAKSVTDKSQKMAVDQCKDLLRFAVDELQDTLSTIDKSDIHSMSDKKDELMNWLSAVVSYHATCLDGCEINPDLKESMSNDLMNATQLTSNALAIASTMSDILKSFDIPEKNVTSRRLLNTNNGYPEWLSAADRKLLAANTAQIRPDAVVAKDGSGQFRTIAAALAAYPKKRPGRYTIYVKAGIYDEYITVTKDQVNVFMYGDGPRRTLVTGKKCNTQGVQTMQTASFAAVGNGFIAKSMGFQNTAGPEGHQAVALRSQSDMSAFYNVRIDGYQDTLYTQTHRQFYRNCVISGTVDFIFGDASVVIQNSLIIVRQPMPNQQNTITAQGKTDRRETTGIVIQNCRIVPEQKLFPNRFKIPTFLGRPWKQFSTTVIMESLMGDFIQPAGWMPWQGTFALDTLFYREFNNRGPGANTVQRVNWRGYKVMTDRNEAIPFTPGPFLQGDKWIQGTGAPVFLGLTR >KZM83999 pep chromosome:ASM162521v1:8:5150578:5150860:-1 gene:DCAR_028579 transcript:KZM83999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDGWNPYQASLSPAATPKTQSNVRAGPPIYGMSQLSSIIHVYVGLSMTSLTVPKAIDFIEDFM >KZM84036 pep chromosome:ASM162521v1:8:5784116:5787078:1 gene:DCAR_028542 transcript:KZM84036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAAAKRGYSKSSKLGASSSLKKKRKPLSDLTNSANPNPNSSNSLKKPSNFRSKLLSPALNCSNLSDSSIGYQGKRKDAGLSVGALMRVKQEIGERTSIPYSAALNFPPVEKTKDKGKATIAAHVEKTKDRGKTTIPAHAENTKSKGKAIIAPRVEKTMEKGKATIVPYAENTKDKGNAVDVAFKVLAGEKTRDKSKGVAGYVSNHALLNQQSEGEGIALSRGNICLESAKDKGKKNDATPCLPSLAKTKDKGKTIVTAAGFPTLKKRKEKGQGVSFSYSCPPPLPKGRHIRNELNGSGDSEPSEFRTDPHMMHKKKRRCMPRDQDTLECILPSDFIEKQRAYFKEVDEFELLEEEASDNEK >KZM84566 pep chromosome:ASM162521v1:8:16299437:16303271:1 gene:DCAR_028012 transcript:KZM84566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVKAAMGMQSAHRAAKQESSPSSSPSPSSGKAPQKGTSFSRSLSNYFPRASAQVLPKPPDVTELLHIIEELRERESRLKTELLEYKLLKESVAILPFLEEEIARRDADIGEYIKNIESLESENGRLRREMEMLQSKYFEEETENERYKKNIEQELTKAKMEKTITSRRLRVQEAVDVDEHCKANVVKNSRPNVSLLEHYNANRIEIEAQKMKEKATQNSEEISGLISATTRSPVPRVPKPPPRPSILVSVLPFSDFSGSTLSMEMSVVPVPPPPPPRPVFKVPSKSAPPPPPPLPLKGSKTGVQKVRRVPEVVEFYHSLMRRDSRRESGNGAATMANARDMIGEIENRSTHLLAKLESEVSSFQDDPQQLFAPALKKMQALFEKLEHGIHNLSRTRESAAARYKGFRIPVDWMLDTGYVTQIKLASVKLAMKYMRRVSAELENVDGGPEEEELIVQGVKFAFRVHQFAGGFDAETMKAFQELRNKARSCREPKSAKNYL >KZM84732 pep chromosome:ASM162521v1:8:18451531:18454413:-1 gene:DCAR_027846 transcript:KZM84732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCESFRLVVADRIAEAAEKWGFFQIVNHGVPVEVLENVKVAARRFFELPVEEKIKYTQENSPSKAVRLTTSFIPKADKVLEWKDYLSLFYVSDDESSKYWPAACKNEAMEFMNKSEFVIKWLLKVLMQRLNVEDLDSKESLLMGSRRINLNYYPICPNPELAIGVGRHSDVSTFTFLLQDHIGGLYVRKLETDFWIQVPPIPGSIVINVGDALEIFSNGKYKSAEHRVAANGNNNRISVPIFVNPSPGEIIGPLPEVLENGEKPIYKHVLYSDYVKHFYRKSHDGKLTVDFAKV >KZM85029 pep chromosome:ASM162521v1:8:21870424:21871978:1 gene:DCAR_027549 transcript:KZM85029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPCLSITQYKVLVALYKSKANNGRQIELKFDINKEDSLNNRFKEIKDLKADAVFSIDDDIIFPCSSVELAYSVWRSAPDAMVGFVPRIHWIDQSKGNVNQYMYGGWWSVWWMGRYSMVLSKAAFFHKKYLSLYTNEMPSSIREYTTKNRNCEDIAMSFLVANATGAPPIWVKGKIYEIGSTGISSISGHTEKRTQCVNRFVAEYGHMPLVSTSVKAVDSRETWFW >KZM85292 pep chromosome:ASM162521v1:8:24466137:24478764:1 gene:DCAR_027286 transcript:KZM85292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASEDSAVGRSFEVSLGGQQHSQATSEALAEWRSSEQVENGSPSTSPPYWDTDDDDDGDVLQLQGEENDTVALNKQPSLVRRILFPYPKFLLAYDLVKGPWLNCIYYGRYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIRERVDRPFRCLDYQYRRELVRVYLTNVEQICRRFVEERRGKLVRLLEDKARWSSFCAFWLGIDQNARRCMSREKTDSMLKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKSKGGKGKSLELEEPPVPIVCMEKDTFILVDDVLLLLERAAMEPLPPKDDKGPQNRTKDGGPGEDFSKDSIEREERRLTELGRRTIEIFVLAHIFSSKIEVAYQEAVALKRQEELIREEEAAWIAENEQKTKRGAADKEKKSKKKQGKHKRNNNRKGKDKGKDEKHGIVVEDEAELEIKDVSRNQLSSEELVGDPDRVEDVSDISDSIDCVPELLHPDSEDRDSSAVNWDTDTSEANPPTEASSSRVTDILAVQNGEKKSSTIMDDSSSTCSTDSLHSVTTVNGKYKVNSFQKQKIQKSPSRGEYQQGRVTYEATRLAVDSNSSDAISDGRQLHDVSESCKAVDSEGAFLHSPQHHVQWTEMRATKKEKDKSLQRKLMETEDVDAKKPAQVRTTGSLSTPRSPAKNLPTPVRLRSESQATVSLNLPSPVRLRSELLVTSANDTVTVRKASSESPILADQTAPINSTETVTEAYSHKNASPRPLKKSTALHVPISTANSIAQQVPVPTEKAKVQLEVTAERPISKVSVTTEKPTVQQVMSRPLSAPLVPESRPPAAVVSVVQSAPALSRSTSAAGRLGPEPSPATPSYIAQSYRNAMIGNHVTMCSASYSQPQSPNAAVNSTHLHSHSQPPSLLAPLYFPQTSERIEPNSIRPGLSFGMVGHGSRADNSSRSINFDPSMLSNLRNGSQWTNNLRSDSSRNINYDLSLHNDMQNFDIHNSMRSNPRDHFPIEYPAGPSGRQYQNVQAEDFPHLDIINDLLDDEYGIGLAADASSGVQNFVNGPHHLNRQFTFPGEIGMLGDPGPSNSSCRFERSHSYHDNQFRHNYNSAGGQFNSNLNPQANLQPYPNLPIDGLIPPNQWQMAATDLSYSSMRRPQDDGLSYHIPNYSNLACGVNGNILFRPSNGR >KZM85078 pep chromosome:ASM162521v1:8:22483300:22484454:-1 gene:DCAR_027500 transcript:KZM85078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGDVATLIATTDSVTSVVAAKEEVKQAVGDDLNSKSSTPCEWSLSRWLHGRLTYSLPVKFEIIAFALAQDLCSEYSFKTNKRFSTYINLLVQNAFLRWTYNPTSHTADMAFPHGGVSASKWVACGDLIWMDLE >KZM85003 pep chromosome:ASM162521v1:8:21586300:21587744:-1 gene:DCAR_027575 transcript:KZM85003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCFMVLNDKVDAKGNGEDFINEVRTIGLVHHVNVVRLVGYCADRNRRALVYEYLPNNSLEKYVYSRGKQNKRFLGWEKMQDIALGIAKGIEYLHQGCAQPILHFDIKPQNILLDRNFNPKISDFGLAKLCTKGKSMVSMTMARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGARDNTLVGTENSSEAYFPEWVFHQLDNRRETTTQIREEVNSEIAKKLTIVGLWCINWHPADRPSMKHVIQMLQEEYCPAMPPNPFGSSTAGNVSTFSNTLEAISEAD >KZM84053 pep chromosome:ASM162521v1:8:6189807:6192855:1 gene:DCAR_028525 transcript:KZM84053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKLITIVLHYDGIFRRSGFSPGKTLPVAKEGVKRTFVTTHQLQQQQEKKKKANKKAPEPPRRILSPRQCKKQAAGTPEKKEPVVNKNGSVRRKLNLHEGTDVEVPAQTTPTKNPYEERRNKQVLENREKFKELGLDKFLPNPNPPAVKINKEKDKVQEESDEYILENESEEEDSEDSSKSPKKKKIAPGPRTRSRANDANLCEKDPLHATRKKASKKVPAKEGVESSTAPKLLNPTCSKLLKQCGDIQSGSIAAYVALRERQKQNLELDPRIEDAGESSLPNEVEEGEPDPNLQSPSNAKVYFRSRKRNPKVTYKSNTEVIQKRLDSIDEVLKKGNDANELLPSGEHGAEWLVGRKGDMPSSENNPTTPQPSVADLKQITQELEAKFNRKLQGNMDWMLKKLAEANPGMKIDIGDFCAAESSDHDENGTPFGSGTQVTPLASGTQATPFPSGTQGEGSERNTI >KZM84832 pep chromosome:ASM162521v1:8:19874607:19875728:-1 gene:DCAR_027746 transcript:KZM84832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYKPSRRGRKTDYKHRTIERKNSNTQLRILACFHSSRSIPSIINLLEASRGMDKREGLCVYAMHLKELSERSSAILMVHKARRNGLPFWNKGLRTDSNQVVVAFETFCQLSQVSVRPMISISSLSDMHEDICTTAEGKRAAIIILPFHKHQRLDGSLETTRTDFHGVNMRVLKHAMCSVGIFVDRGLGGTTHVPASNVSYSVTVLFFGGRDDREALAYGARMAEHPGIRLTVIRFIVELESLREIIPVERNADLSAKLVSQDDEFLTEFKDSQSNNCSITYEEKFVRNVAETVGIIGEHNRCDLFLVGRLPEGELALALNRNTESPELGPVGCLLTSSEFSTTASVLVVQQYYENLSTDFGDLLDRDTGPI >KZM85531 pep chromosome:ASM162521v1:8:26549040:26549489:-1 gene:DCAR_027047 transcript:KZM85531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLIADSISAAASPGALHLSSVIFPMDAVAPPPVKRNYIPKAPRSLVRKRCRTRRRSLTGGDDEDGGDGGFFGGDGPFGGGGGGGGGGWNFDGSDWEESSASWSDPAFDFVYEVMSWIALSNCLLYAFKKVLRIGGAGDREKAQTIC >KZM85654 pep chromosome:ASM162521v1:8:27597459:27599841:-1 gene:DCAR_026924 transcript:KZM85654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNEGLEDLSNQTMHNPRQGGYKPTIFIFVLMLLDSIGFVANMVSLVLYFLTVIHFDLSGSANTTTNFLGTAFLLTLVGGLISDSYINRLNTCLLFGAIELVGYLLVIFQSHYAELQPEACGEYSCVKGTKALLFYASIYLLALGGGGIRGSVPSLGADQFDENDPKESKHISSFFNWFLFFVSGGACIGVTVVVWVSTNKGWDKSFIISIVCSFVGLVFVALGKPFYRVRVPGESAILNVLQVLVVAAKNRKLQLPQNARELYEPRNSPEHASQGSRISHSKQFRILDKAAVLAEGTKPDKWKVCTVTQVEEVKILTRMMPILLSTTLMNTCLAQLQTFSVQQGTLMDTKVGSFDVPPASIPIIPLLFMCILVPIYEVFFVPLFRKLTGHPNGISHLQRVGVGLVLSAISMGIAGLVEVKRRNEFVNHNKRISLFWLSYQYGVFGIADMFTFVGLMEFFYSEAPKGMKSLSTSFSWLSLSIGYYLSTVFVEIINSVTGKYTSSKRGWLEGLDMNKNHVELFYYFLAILSILNLGNYVYWASWYKYKKDVPIEPIDQHVLTGTPSNIPSPIGWTPVTFPTKQGEGTD >KZM85258 pep chromosome:ASM162521v1:8:24151610:24155923:-1 gene:DCAR_027320 transcript:KZM85258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQSKDELLYQQVNYGNIEGIKSLRSDGAGLEWIDKEGKTPLILACMNPELINVAKTLIELGANVNAYRPGRHAGTPLHHASKRGLEQTVKLLISHGANALMMNDDCVSPLGVAREKGYANVVRAIEGHICLFSGWLREFYGPGFLGLLAPQLLSRKVWVVILPCGSRNFTRPLKLELAIYVSPQDAQPRTTIALWKANLEEPKYNQADSSVVISDISNNKSLLKLGPVDESDKEQLKRFCNACKGIPQVVHAFHPQAPTVLVSAPPVKEDMELAMAINASLQSSMQESQVISSQLGSGGHASTSGTTPTDDISYNCSVDPSLPASHKGSTSKSEVQEVIPSGNSDSQSGIQSFVPTTLESLNPAPSPSAPPMADAEFDAGPIHYPSIDSSLVDLSSPATESLPTAAVGKKQDDEASSSCVICLDAPVEGACIPCGHMAGCMSCLNGIKAKKWGCPVCRAKIDQVIRLYAV >KZM85619 pep chromosome:ASM162521v1:8:27240949:27248502:-1 gene:DCAR_026959 transcript:KZM85619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDCVRGYVIFLMLIIRKFYLDNPKSHSIVGGKPLLHPIINQIVGERSTKEERIIQGTELNSHLTSPLVDQSETMYNNGAPEFAAVQQGVYYPTTTNYGYICTGMESPNNWDDHQRIFGLDGQEIQYAGAQAENFPYLCYTPSYEYTESPYNPYNPYIPGALIGIDGSYIGSQQYYTVPSYENTVTTPGYYPMVVQSGPDIYPNSTLEPFTEGAVSIANSTDGTGLKHHLSPASAAFTINSSRIASNLTNSFTRGSGVSKINAGPSKQPVTHVSVPSNGYSKAAVSNVFQGRGSQNFDNLSHGKVWSNRNQVKVSQPGNGLSSFGSSAQAKTVTDKVQTKFNGGNGSPDASSEQNRGPRTSNSTKILAVKAYTSKTGEGDPQGSIIIHTDQYNKEDFSVDYVNAKFYTIKSYSEDDVHKSIKYNLWSSTLNGNRKLNNAYEDAKRIGGEETKGCPVFLFFSVNASGQYCGVAEMTGPVDFNKDMDFWQQDKWHGSFPVKWHIIKDVPNPNFRHIILENNENKPVTNSRDTQEIRYKKGMEMLKIFKHYTSKTSLLDDFMYYENRQKLLQQEKARLLIKNYANPFILSREEPPRNLIGFLNPPINEVAKIEKAKENLEKFAVLTDLVSADKEVNKGTEIANNKPVATEDKVEKESGLLKIGSLSINPTKAGVKPVDVTANSPPIVPAAETANASKPVDIVTVGSMPIKVNGFAKSVKSLTVGTISLDPGVLKADKVRPSAKSGSKKG >KZM85406 pep chromosome:ASM162521v1:8:25510373:25514278:1 gene:DCAR_027172 transcript:KZM85406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLHLTHLQHLSPITPQNSNSPLVSPIPRHSISFLHSRWIKTTHSLSTRSTTRSSLVSADVVTEQTNKPTLRELCQGHVPEHVLRRGEEVGFVLPTDVQEQALPILFSGRDCILHSQTGSGKTLAYLLLIFSVLSTRRSTVQALIIVPTRELGMQVTKVARTLAAKSGEPGTEEKPCTVMALLDGGTLRRHKSWLKAEPPTIVVATMGSLSQMLNKNILKLESIRVLVDFMFNSSKQISAVRKLLNMYSANIRQTIFASASVPQHNRFVYDCIQQKWTKADVAHVHVNPIEPMPSRLHHRFVICGKNERHATLLSLVQSDAPQSAIIFVGEQSEKSKKAGNAPPITTLIDLLKSSPAGCSEILLLDEDVNFNSRAVSLSEMRQGGGYLLVATDIAARGVDLPETTHIYNFDLPKDAVSYLHRAGRTGRKPFSDNKCVVTSILTSEEQFVLRRYENELMFQCEELFL >KZM84884 pep chromosome:ASM162521v1:8:20527003:20529607:-1 gene:DCAR_027694 transcript:KZM84884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHI description:Chalcone isomerase MSPSVTEIQVENHLFPATVKPPATCHTLFLAGAGVRGLEIQGKFIKFTAIGVYLEDSAIPSLALKWKGKTADELMKSVGFFNDIVTGPFDKFTRVTTILPLTGKQYSEKVAENCVAHWKAIGSYTDEEAKAIDKFLEIGFSKETSIPKDGKAVIHNKQLAEAVLDSIIGEHGVSPAAKHSLAVRISDLLKHHDHEVTGNGKAEVGKMASKDTETETQVQV >KZM86121 pep chromosome:ASM162521v1:8:31492683:31494793:-1 gene:DCAR_026457 transcript:KZM86121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNHEEHIFRSRYAAVPIPDNMTLPEFVLHDVELYAEKVAFVDAFSGKEYTYGEVSRDVKRFAKALRSLGLRRGHVVMVVLPNIVEYPIVALGIMAAGGVVSGANPASHVSEIKKQAESADAKSIVTDATTYEKVKSLGLPNVIVGEKRVEGSIYWNELLDAAERASDSIMNEKVQQSDICALPFSSGTTGLSKGVMLSHRNLVANLCSTLFSVGPELVGNVTTLGLIPFFHIYGLTGICCAALRNKGKVVVMNRYELRTFLNALIKYEVTFAPIVPPIILGLVKNPIVDEFDLSKLKLRSIMTAAAPLAPEILAEFEKKFPDVVVQEAYGMTEHSCITLTHGDPNKGHGIAKKHSVGFILPNLEVKFIDPDTNHSLPQNTPGEVCVRSQCVMEGYYKNEYETAHAIDKDGWLHTGDIGYIDDDGDVFIVDRIKELIKFKGFQVAPAELEGILLTHPSVEDAAVVGLPDEEAGEIPGACVVMNKEAKDSEEEVMSFVASNVAHYKKIRVLQFVDSIPKSSSGKIMRRVIKDKMIQTIKTSCPTTTRKGAF >KZM83776 pep chromosome:ASM162521v1:8:1462147:1472260:1 gene:DCAR_028802 transcript:KZM83776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIENGAAHEYKPQKKKKEETAEDKRKKKIVPGSLMKALIRPGSGDATPTDGNQVIYHCTIRTLDGVIVESTRSEFGGKGIPTRHVLGKSKMILGLLEGLTTMKKGEVAMFKMKPELHYGEDDCPVSVSDTFPKEAELHFETEMVDFSKVKVIGEDLGVVKKVINEGEGWELPREPYEVKAWISAKTGDGKLILSHTDGEPFFFTLGKSEVPKGLEMGIGTMSRGEKAVIYVKSQYLNQCPFMPTVEGIEEVHFEVELVHFVQVRDVLGDGRLIKRRIRDGKGEFPMDCPLHDSLLRVHYKGMLLDEDRTVFYDTKVDNAGQPLEFSSGEGLVPEGFEMCVRLMLPKEIALVTCPPDYAYEKFPRPPNVPEGAHVQWEIELLGFETPKDWTGMNFRSIMDDVDKTKGTLLREFNHVNPQDDEEGKEFLNTRNLLHLNVAACYLKMGMCKNSIEACNKVLDASPLHAKALYRRGLAYMESGDYEEARGDFNKMMSIDKSSEQNARAALLKLKQKEQEVKMKARKQFKGLFDKKPGEIAEAGNVDRADQNAAEIPGKDNQDNTDISKEDDIVEDAPEAAPPAPGMGLLSRLWSTRKNLFISSGIQMLVMLATVYLIKWLL >KZM85991 pep chromosome:ASM162521v1:8:30552496:30552961:-1 gene:DCAR_026587 transcript:KZM85991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGLGPNLAIFEIKPNFGRGEVEQGSPPEEPVQGSSKFVQIEEKALEDLMSQAAKGDLYDETIKSNGQLAPAEISVEKGKDVMWKDVLTDSEEDRLALDIPQFADFLNSVPSTFEVGESSGSFLL >KZM85456 pep chromosome:ASM162521v1:8:25920547:25922164:1 gene:DCAR_027122 transcript:KZM85456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFQMQKRYIEYNASMFREGYFDDQFKQLQQLQYGGSPGFVAEVVSMYLADSDGLFNVLTAAMDQEPKDFKKMDGYAHKIKGSSAGVGAQRVRDACIAFRGFCDQQNAEECMRCLVQAKQEYAIAKDKLHHLLMVIS >KZM84033 pep chromosome:ASM162521v1:8:5761555:5763316:1 gene:DCAR_028545 transcript:KZM84033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRPHSNRRGKNSKERKKASAALHEKLLLLRSLTMSRSQRENSIVLDAAKYIQELKQKVERLNQDLTTGESSRHRHGSWPEVLVEPLETGFLVNIYSAKNCPGLLVLILQVFDELGLDVMEARVSCADSFRLKAFGEEKDENGEIVDAEAVKLAVSDAIRKWSENNDGD >KZM84264 pep chromosome:ASM162521v1:8:11236322:11258123:1 gene:DCAR_028442 transcript:KZM84264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSCYTQALLPAGFTRFMNCCCRRRRLGPPKFIFLPKGYCSVSNILNTKNLHGDAPPISSTSLEATLLNYTQRQQDTSSVGMTSPNARVMLIDGTSVIYRAYYKLLAKLHHGHLTHADGNGDWVLTIFTALSLVVFDHDGIPYGHVPVSSKQSFVAKGLNFRHTLYPPYKSNRSPTPDTVVQGLQYLKASLKAMSVKVIEVPGVEADDVIGTLASRSVSDGYKVRVVSPDKDFFQILSPSLRLLRIAPRGLEMTSFGIEDFAKKYGTLKPAQFVDIISLVGVDGIGNVHALQLITKFGTLENLLQNVDQVEEERIRKALISNAEEAILSKNLALLRSDLPFYMVPFATTDLKFTKPEDNGEKFTSLLTAISAYAEGFSADKVIRRALYLWKKLEKQ >KZM85532 pep chromosome:ASM162521v1:8:26585447:26587003:1 gene:DCAR_027046 transcript:KZM85532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFSLLINGLAKNISTKNGKKKVDGGKEAADALAKEAKKSNLLSSSPGYVSVGGSENFASAYSKRGRKGENQDSVIVWENFGCQEDMIFCGVFDGHGPWGHLISKRVRDSMPCSLLCNWQEALALSLYSGESLHQFSTWRQSYLKTCSAIDQELEQHPGIDSFHSGTTALSIVRQGDVLIIANVGDSRAVLAATSSDGNLEPVQLTIDCKPNLPQETERITRAKGKVFSSSDEPGVYRIWMPNGETPGGPGLALSRAFGDFFIKDFGLISEPEVTQRNITSDDHFVILATDGVWDVISNQEAIEIVSLALDRETSAKRLVEHAVRAWKRKRRGIAIDDISAICLFLHPASSSSQGDSLSCYKKSADTS >KZM86113 pep chromosome:ASM162521v1:8:31435130:31436404:-1 gene:DCAR_026465 transcript:KZM86113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRRGECYMSPEKFVTKRRRDFPGETCRKRHKKIDFLDNLPDDLVLTILSKLSSSAACPADLGRVLVTCKRLNDLGLRSMVLAKASPKVFAVKASRWSESTHRFLKQCAEAGNVEACYTLGMIRFYCLNNRGTGASLLAKAAIKLHAPALYALAVIQFNGSGGSKTDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVRQNITEGRRFLVQANARELAAVLNTAPQALTSSSWLVWNPLPSHRHVHLAGCSNCPLLSDFGCNVPEPAPQPANQFLTDWFGGKSPGPGLRLCSHVGCGRPESRRHEFRRCSVCGKVNYCSRACQALDWRTRHKSECTPTERWEDDHNIEGEGDLEGEAMDES >KZM83985 pep chromosome:ASM162521v1:8:4949442:4951765:-1 gene:DCAR_028593 transcript:KZM83985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMMLPILAPHAKNTTWLKKGRISAKKGNSELPKESLEEFKKRNAVFEERRYSTSSSPYYKELTDFTLDINKEKVQINKEKSPAIEFYEANSDKFEKDVLSTDQSAQPSTIPGGNSRFRKVAKLFSGKNNLELNKESLDELNKRNAVLQEKGYTASSPYYKGLTDFTLDIHKEKIPTTGHESTPSHSKPDIFPPDQSSDSSATSKGSTWSQKATRFFTKKKSSDLTESSLEEFNRRNAILEEKRYFHISPYYVGLTDFTLDIKKEKVPVIEIHEAASVTSSTRSSFIVRMQQLGTFCFFFKNKVKKYVSSSSSSSQSRISKDRDSGKEVKSTLTKSNVAHLFNEGKPLRERDLPTDHAAPSQLQTSQTQLPPVPQVSQPAETSETKEMNPTEQSDTEGKRKPFTWADTYRPYTLTDFIGNRETATELKAATDLNNHCGTLVATVSGLLRMRKETYKALKWSSPQYNPHFCYC >KZM85462 pep chromosome:ASM162521v1:8:25966894:25971602:-1 gene:DCAR_027116 transcript:KZM85462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKGEAFRAMRMAEKKMENKDYLRARKIANQAQKLYPELENISQLIMVCDVHCSAENKVHGTEMDWYGILKVEPTADDLLIRKQSRKFGLFLHPHKNKCPGAADAFNLIGEAQSVLLDQEKRWIHDMKCISGAVNDDPRQANGPYNVQRPPGHERSYVNANKEFQQATQTAQTGFSFSRPTFWTAWPFCSICCQCNRDVLNQSIRCQTCGKSFIGNDICNPGEASHNSWNLLAVTKQTGDHNQAPSKVDQQSNVKNFKRLKVGENIWSSEAPQGYKPNERCGDVSTHCNQKSKVEIPQLCENMNRKKRKQVSESIRCCNSESSTKSKELFAKGGGSNSEPFASRATRSERDLSLKNNTNDDVNAVEPAQGNNYQDSFQSTRVAVKDLPPQQVLPVLDYNKEEALRAKGIAEMKMENKGLQNTGNNIQIEHDKPSEENSSVNANRHFHLRSAMERTKEVAFNAKAWAEKKMEKKEFLGARNFATKAQNLYPELENISQLIMVCDVHCSAENKISGTEMDWYGILKLEPTADDVLIKKQFRKFALYLHPDENQFAGAADAFKLIGEAHRVLLDPLKRKMHDVKRKSALVNGSSKTTNRPFSDQRAPQHENSSVNANRPFQQAPQTARTGSSGEASTKSKEDVAIEGGEDYCKLGSSSSRLRSDVSYSGEAVEDLLKTQEPSGMNKSRGHDAHMEENGEEAIMKKHCFSKEVLPNKSNKTEKNSENGAPSEEAKTEPETFEYPDPDFSDFDKNREEKRFAAGQIWAVYDTLDTLPRFYTQIVKVLRPNFKLRIIWLEPDLDDNDEIKWAEEGLPIACGKFRPGSIENTENHLMFSHVVSWDKGIRRNTFKIYPKKGDTWALFKSWNINWSSDPESHRKYEYEFVEVLSNYANGTAISVAYLGKVKGYVCLFCRAKQEGVDTFEIEPTELFRFSHKIPSFRITGKDRKGIPLEFFELDPAGLPIDLLDEYEMLQSNAIEYKFDNLTEDAGVASDISEEGYEIPDPEFYNFDSNKSLEKFEIGQVWALYSDVDGLPKYYCCIKKIDRLPKYKLHVAWLDVCSTSNDIIQWNDKKIPVTCGRFQLRKFERIQYASTASFSHQVRVRVETRGKKEEYVILPRKGEIWALYKSWDVRMKCSDLENCEYDIVEVVEVTQSGISVLSLEEVKGFVSVFRTQVKEQLPVTFTIPANELLRFSHQTPAFRLNGERGGSLKGYLELDPDALPIHWFRKD >KZM85343 pep chromosome:ASM162521v1:8:25002802:25004770:1 gene:DCAR_027235 transcript:KZM85343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNREEEGEVKKIEYGDVALGFNLIRARAKLSQKDTWGRATRSLSGKEMMIFRADRVDLKNLDVQLEKHLKTLPSINLKPYMPLPKEDWELDLSKLEIRYYKAHGSFGTVYRGTYDETDVAVKLLDWGEDGKATSSKTAALRAAFRQEISVWHKLDHPNVTKFVGAALGTSDIKIPAESSTTKEPPSLPAKACCVVVEFLRGGTLKELLYRNRKKKLPFKTVVQLALDLARGLSYLHSNNIVHRDVKAENMLLDAQRNLKIADFGLARVEALYPEDMTGETGTIVYMAPEVIDGQPYNRKCDVYSFAICLWEIYCCDRPYPNLCSADVSYQVVEEDLRPKIPKRCPSPLASIMRKCWDEDPEKRPSMDEAVRMLEALDTSEDGGMTPKGRTTGCFCFAPARGH >KZM85204 pep chromosome:ASM162521v1:8:23692301:23696732:-1 gene:DCAR_027374 transcript:KZM85204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGRSGLKVSQLSYGAWVSFGNQLDVKDAKALLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIKELGWKRSDIVIATKIFWGGPGPNDKGLSRKHVIEGVQGCLKRLEMSYVDLIYCHRPDTSTPIEETVRAMNYVINKGWAFYWGTSEWSAQQITEAWGVAEKFGLIGPVVEQPEYNLLSRHKVEVEFLPLYTNYGLGLTTWSPLASGVLTGKYNSGKVPENSRFALENYKNLANRTLVDDVLNKVRGLEPIAKDLGVPLAQLAIAWCAANPNVSSVITGATKVHQVEENMKAINVIPLLTPEIMNKIDAIVQSKPKRPDSYR >KZM85887 pep chromosome:ASM162521v1:8:29635372:29638498:1 gene:DCAR_026691 transcript:KZM85887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVDLYGLVDWEQESYPEYQDLAVLPLFAVFFPSVRYFLDRFLFEVDIVYCILGLLIWNFVVPKAGRWLIFGNEHQKLDLETNDRKKKIRKFKESAWKCLYFLSAEIFALSVTYNEPWFTDTSKFWSGPGNQVWPEQKTKLKLKGLYMYTGGFYTYSIFALIFWETRRADFGVSMGHHLATAILIISSYILRFIRVGSVILALHDASDVFLEVGKMSKYGGAEGLASFSFILFVLSWILLRLIYYPFWVLRSTSYELLKVLDKEKYRVAYYYIFNTLLFSLLVLHVYWWVLMYRMLVKQIQARGQLSEDVRSDSEDETEHED >KZM84925 pep chromosome:ASM162521v1:8:20894463:20895467:-1 gene:DCAR_027653 transcript:KZM84925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYKIIKEVGSGSFGSVFKAMNTQSGEVVAIKRLNKEFHSWEECLNLREVKSLKKMHHANIVKLKEIIGENNFLFFVFEYMECNLYQLMSTRTKPFSETDVRNWCFQVFQGLAYMHERGYFHRDLKPENLLVSGDIIKIADLGLAREINCSPPYSEYVATRWYRAPEILLAAPIYGPAVDMWAMGAIMAELLTSCPLFAGVNQQDQMYRICSVLGTPTEVDWAYGIELADDISYQFPQHSGVSLSLLMPSASKDVVGLIQSLCSWDPCKRPTALEALQHPFFRSCYYVPPGFRFKTSGPGTNSSARSEGSVKHKYLSRFPGALSNSGSIKCAP >KZM84910 pep chromosome:ASM162521v1:8:20740273:20742419:-1 gene:DCAR_027668 transcript:KZM84910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISKASCLEMYNAAKPYLGVILLQFGYAGMPIIAESAIKQGMNIYTYTVYRNAIAALIFAPFAIFLERKIRPGMTASIFWKILLLGLLEPVLDQNLYYTGMKYTSATFTSAMCNILPAITFVMAWILRLEKVNIKRLHSQGKVLGTLVTVGGAMIMTLVIGPTIGLPWSQHDQPAAADATDPQQDSIKGALMIAAGCLCWACFVILQAITLKSYPAELSLTALICMMGTIQGFILTIVVEKENTAIWAIHWDTKFQAALYGGIVCAGAGYYISGVVMRQRGPVFVTAFGPLSMVIVAILGPFMLSEQLVLGRVIGAIVIVIGLYLVLWGKSKDQCSSKSDSELLPIDQVKSHTNIDAKIPVHDQITSKVAATSEAV >KZM85827 pep chromosome:ASM162521v1:8:29126062:29126866:1 gene:DCAR_026751 transcript:KZM85827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVLWCRICETNRKASQHNDFVFCDVCGLVFREYDFSPESPPIQHPVRTLAHELGISDHYAIGDAIVCFDEAFPCLRSCGVDAAVCLYIGCRANQVPVMLLDFSVKMCVSVYYLGVRFLDVCELLSITIDGFRPPQIDDPLFMINRFMLSEKNKRDFGVQLTALRILAAMKTDYVGGRNRLGGLCAAAVYMAGGYDSLDVDDVVPAVEAVLSPLELVEFDSLASEFRSVAKEYKDRYGKECL >KZM84656 pep chromosome:ASM162521v1:8:17371672:17373180:-1 gene:DCAR_027922 transcript:KZM84656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISFWEILATLTPYLLSILTFLIFLEQITYLKKKSTIPGPNLVFPFLGNAIPLVRNPTKFWDIQSLLAKSTPQGISANYIIGKFIVFIHSTDLSHKIFANVRPDAFHLVGHPFGKKLFGEHNLIYMMGQDHKDLRRRIAPNFTPRALSTYTHLQQAIILKHLKSWLSEGNKVTSVPIRIMCRDMNLETSQKVFVGPYLSIEAQERFNYDYNFFNVGLMKLPIDLPGFAFRNARLAVSRLIETLAGCAELSKEKMKGGDEPTCLIDFWMQETLREIESSTEKSPPHSSNLEIGGHIFDFLFAAQDASTSSLLWAVTLLDSHQDVLEKVRREVAGIWTPESGKYITAEQLREMKYTEAVAREVIRLKAPATMVPHIAGEDFALTKDYTIPKGTIVFPSVYESSFQGFPEPDRFDPDRFMPDRQEDIVYKRNFLAFGAGAHQCVGQRYALNHLVLFIAMFTSLIDFKRDRTDGCDDINYVPTICPKDDCRVFLSKRCTKFPDLK >KZM85680 pep chromosome:ASM162521v1:8:27823641:27825392:-1 gene:DCAR_026898 transcript:KZM85680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLISRTLSQLSAGLANSTAAGHSNHHRRCYSNLIPMVIEHSSRGERAYDIFSRLLKERIVYINGPISDNTSHVVVAQLLFLESENPSKPIHMYINSPGGSVTAGLAIYDTMQYIRSPINTICIGQAASMGSLLLAAGAKGERRSLPNATVMVHQPSGGYSGQAKDLTIHTKEIVRLWDALNALYAKHTGQPIDVIQKNMDRDYFMTPQEAKEFGIIDEVIDERPMALVTDAVGNDGKDKTST >KZM85322 pep chromosome:ASM162521v1:8:24682641:24684994:1 gene:DCAR_027256 transcript:KZM85322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLVHSPIPVPSVGPTTCVITNLMRTPRAFSTTDISNKMNTRIKQHPDGFRPSSTVKVAEQTSTVVGDEDDEEEAKGSANCKTVAQVKAELYHILQGINRGIFGVPMEEKTEIEDLVKLLESQNPTPDPTQNLNKVAGCWKLLYSTIRILGSKRTKLGLRDFISLADFLQIIDVVERVDISYRNSRITPDQLMNLFRKNYDTLLGIFNPEGWLEITYVDDSLRIGRDDKANIFILERSDEDNL >KZM84230 pep chromosome:ASM162521v1:8:10621624:10624422:1 gene:DCAR_028223 transcript:KZM84230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLKASINSLDRFVLRQARGTSPEMVVKLSTRQPNLESWMKVLKAIASENGISLQVEEEFSMTIEVNISMKKESRGDNVQLSSSAQRIVEGRSAIPVISSDNIDFEDVFGDPPRRFHIMKQQDTVQMEQ >KZM85036 pep chromosome:ASM162521v1:8:22011447:22013916:1 gene:DCAR_027542 transcript:KZM85036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQEFTTMTSLHGIRQIRTGWADGPEFVTQCPIRPGGSYKYRFTVQGQEGTLWWHAHSEWWDANPIDVIREATRTGGAPNVSDAYTINGQPGDLYNCSSKETVIVPVDSGETNLLRVINSALNQQLFFSIANHKMTVVGADASYVKPFTTNTLMLGPGQTTDVLITGDQIPARYYIAARAYASAQNAPFDNTTTTAILEYKSAPCPAKGASIRPVLPSLPAFNDTATATAFSTSFRSPGKVEVPKEIDESLFFTVGLGLNRCPSGAMSSTCQAPNGTRFTASMNNVSFVLPSSFSLLEAHHQGIPGVFTTDFPAAPPVKFDYTGNVSSSLWQPTRGTKVYRLEYGSKVQVVLQGTSIVTAENHPIHLHGYDFYVIAEGFGNFNPETDTSKFNLDDPPLRVWIMHCHLDVHISWGLAMAFLVDNGVGELESLEHAPEDLPLC >KZM85557 pep chromosome:ASM162521v1:8:26762708:26763328:-1 gene:DCAR_027021 transcript:KZM85557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSDKGSDSEEMMINEASNQLKTCVDCGTSKTPLWRGGPAGPKSLCNACGIRSRKRRRALLGLNKDDKKPKKNAADEAINSNNDDTMVDCLKRKLMALGSEVVIQRPRSSISKQRRKMSEEEQAAMLLMALSCGSVFA >KZM83880 pep chromosome:ASM162521v1:8:2554323:2562124:1 gene:DCAR_028698 transcript:KZM83880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPHVTMEVGNDAVAVITIHNPPVNALALPILAGLKEKFAEATRRDDVKAIVLTGGGGRFSGGFDINVFQIVHKTGDRSRLPDVSVDLVVNTIEDAKKPVVAAVQGLALGGGLELALGCHARIAAPRAQLGLPELTLGIIPGFGGAIAVCTQRLPRLVGLPKAIEMMLYSKSILSEEGKKLGLIDAIVSPEDLLKVSRQWALDIADRRKPWISSLQRTDKLGSLSEANEIIKLAREQAKRTAPNMPQHQACLDVMHDGVVNGGYSGVLKETEVFKDLVLTGTAKGLVHAFFAQRATSKVPNVTDIGLKPKPVKKVAVIGGGLMGSGIATALILSNIFVVLKEVNSEFLQKGIKMIEANVQGLVARKKLTKDKAEKALSLLKGVLDYSEFKDVDMVIEAVIESIPLKQKIFSEIEKACPPHCILASNTSTIDLNIIGENTRSQDRIVGAHFFSPAHVMPLLEIVRTEKTSAQVVLDLMAVGKAIKKVPVVVGNCAGFAVNRTFFPYTQSAHILVNLGVDVFRVDRLISSFGLPMGPFQLQDLAGYGVAVAVSKIFADAFPDRTFRSPLVDLMMKDGRNGKNNGKGYYIYQKGSKPKPDSSVLPIIEESRRTTNIMPKGKPITITDKEVVEMILFPVVNEACRVLDEGFVVRASDLDVASVLGMSFPSYRGGIVFWADTVGPKHIYASLKKWEEVYGVYELFGILFVLNDMLKGVDDSLANLAALYLLLLASRLLILFSLCRVHQLHPQHRSPVYKKLIYITSRWGRTAF >KZM86061 pep chromosome:ASM162521v1:8:31139412:31139747:1 gene:DCAR_026517 transcript:KZM86061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSKKSVKKKGVIEAEVKKWVLTSRADNKQESDAKHDQQQEEDEEICTTPTSDESRIPSSRFIQCPGAPRKRKCSSKKHQVASKNVVSIEFFSSPELEKLFVSSVYHQRP >KZM85376 pep chromosome:ASM162521v1:8:25247370:25248584:-1 gene:DCAR_027202 transcript:KZM85376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNRSKGASKPWELVMSNFTDDLWTEIFLRLPLESLLRFKSVSKTWLSIISSHRFALSYLAIAPKDDQILIVHHESGDPDDEEDGQFSLYHLDSSRILENLHFPYSQGEYPFKPAYSQLIGSECGIVCVSVCVYRWRAAKNNYDFYLWNPATKQSKLIPLYTIPDDTIYGVASGFGFDNIDLDFKVVKVISGCAEVYSSNRNDWRKIKHELINISGHVEFHVCFHGFLLATGYYIKGMIAFDLNKEVFICDIKLPVGSDDVKSCRGTHIAQYKDTIAFISYDNIRGSEKINLWTLDNEACLSGGGLEASWTKVLGLDVGVSFDNVEGLFNNIQFLLFGDERLLYNSNKKLTTKVPSYPNYAPSTFLRYTKSLVSLTGFKRIKWAASSLRSHESSEWELEMDE >KZM85404 pep chromosome:ASM162521v1:8:25495950:25504961:-1 gene:DCAR_027174 transcript:KZM85404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSISSPPPIISSAADDIAGDDVCSICLEPFNFTHDPPSVTNCKHEYHLQCILEWSQRSKECPICWQILSLKDPASQELLDAVENERILRSRLEAPQINEHEDSPYVDASEFDEHIIRNFAAAASRLRHANRRRRQRSAGTDPTPPNMSSSVGNVVSHTIDTRDATVEPRQQPPGSPPNSNISESISFPETIKSKISAASARYKDSFSKGTRGFKEKLFARNSSVKELSREVHREMSAGIAGVARMIERLDFASKRTGAPAPSSKNDGGITNLTSKGKGVDQSHHETTRETTDDVSSDPIEVRPAVSLQNSTAVEVVYGAHQMKPFEMNRTRITFSRKVFQILSTPIRALSVHSSNAKNSSYNRSKNSVFSNRVRLNKIRAWLETNKIDVYPEAKGLMMTANVRRFNLAELCSATRGFSPDMLLATGKRGRFYIGWLDEDTYAPSSTGTGMAVVIRRYNLDIFRAMQEMEVDLCGRYSHPNLVKLLGFCLEGQEFLLCFEYSTKGNLERYAYKENGKSLSWVIWLKILLGAARYLAFLHCSEDHVIYGDFKLAHVLLDEGFNPKVYISGGARFAPADGDMLVTGIPRVDEHYCPGSEGYLSPEYREAGRLSSKNDVYAFGVVLLEILTGIRVVNVNERNKKMNLVDKARPYLACEGKFKTVVNPKLLEQKYCPKGVQSILSDVPALALKCLDIEPEKRPSMRQVVEILEELNTIIK >KZM83724 pep chromosome:ASM162521v1:8:813048:819416:-1 gene:DCAR_028854 transcript:KZM83724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEPDSSSNRKIQGAFESGNRKQRSLPDYEGRGWHESRKQVMNEFVGLKRSYYMDPQPMKNLKWHLYEYEISKCNAFALYRLEVKLVVGKKRPKGKFGKDSVAHLQNASHEIFQVPVDIFDVVFMCELVEIAIPKMMHIYVITQAPLNTRPPSPPEVNISQEYFHSQHEYEFVDNDRDEVMDDIDQEPDNLFGDEFDSDESDADYLVTGSESSGDSFFSDASNVDSTDDEVVVQKGEKQQKANAKEVQAAAKGRSKGKGGEKGKGKGGESGKASAAKGKGDAAKGKGSAGKGKEMLQRRSLLAADKDKGCEKPVKTRTKKPVKPVNTTLAVVGEEVQGRPKQQNKATCKERPSKNKATATTNLGAERPYSNPAMGTTNEGGSVPEGSQGGIFAQQGKNKATNKGVTSTQRVLDQARRERRKKFEQNAAWKI >KZM85215 pep chromosome:ASM162521v1:8:23772046:23775259:1 gene:DCAR_027363 transcript:KZM85215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEATIRNKPGMASVKDMPLLQDGPPPGGFAPVRYARRIPNKGPSAVAIFLTTFGVFSWGMYEVGKGNKIRRAIKEEKYAARRAILPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGESVYHSGRWMPPASGELRPEVW >KZM84883 pep chromosome:ASM162521v1:8:20522057:20524651:-1 gene:DCAR_027695 transcript:KZM84883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPSEKDKSSRRHKDPDRDRDHHHKHRSSKHKSRDDDDKHRSRGDRENDRERRERSYESRDERERSRERVLDVNLSKRKERGGSEEERFDEKRARVSDERRERKRFEDVNGEERIERDEREERRERKRIEDGEERGKRKERRRFGDKVKEEDVDVDSESKRGSFDEVLVKREVKNEEVNGNQNGAGGSVANGAGSEPLNMASGTLPGASFTPVDNPKVSSIYTTNENKGVIVNRSYEVPGKSSTDGTTPAAGRSGGLHSLDALTKARITLQKQKEISEKLKKIPLLNKSIGSKEGSKAAVSTSGILPTPAPSAGVTLSSSILPTSASAKPLVSGVPHLEGLTAPKVEAVKRAQELAAKMGFRQDPQYAPLINMFPGQLPPDVTVQPKPAKAPVLRLDALGREIDEHGNVVNVPKLNNLSTLKLS >KZM84508 pep chromosome:ASM162521v1:8:15509416:15509796:1 gene:DCAR_028070 transcript:KZM84508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNTASFALVLSLGLLCFSLVSATNPTPYIPGSRPSTYYSTGTCDGLKLGVCANVLNLVDVVVGSPPTLPCCSLIQGLVDLEAALCLCTAIKANILGINLNVPIALSLVLNNCGKKVPTGFECY >KZM85365 pep chromosome:ASM162521v1:8:25165390:25169558:1 gene:DCAR_027213 transcript:KZM85365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTISTAALNWPVSDRSSKLSFSVSVNNTSACSFRSLFSSSWRRFADRRTGLSVDYSSKKKQRVHICGIWGNLGRQMENKPMIMVTNDDGIEGPGLQSLVGLLASTNRYQVCVCAPDTEMSGVGHSITWQNPLQVKQVEIPGATAFAVPGTPADCASLGISKTLFPRVPDLVISGINKGSNCGYHILYSGTVAGAREAFLTGVPAISISYDWVGGKSTVKDYKLAAGACLPIINAILADIKNKTYPLKCFLNIDLPTDVLNHKGYKLTKQGKSIIKMGWKKITSEAQVGKILSTMTMETDHLANIDMNATEVSQESQNSLLYMREIRGLEVGEKDTDYYSVREGYISVCPLSALSHAETDSETYFKEWLPSVTECFSSSAL >KZM84102 pep chromosome:ASM162521v1:8:7699730:7706464:-1 gene:DCAR_028476 transcript:KZM84102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQQRLKQQQALMQQSLYHPGLLAPPQQLLVVSYFLALGWAVDTFECSTDTSITRSCLGFDFTLSSPFKLQIEPILSGNLPPGFDPSTCRSVYVGNVHSQVTEPLLQEVFSSTGPLESCKLIRKEKQSSYGFVDYFDRRSAALAIVSLNGRHLFGQPIKVNWAYASTQRADTSGHFNIFVGDLSPEITDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFQNQQDAQNAINELNGKWLGSRQIRCNWAAKGAGADDKQNSDAKSVVELTNGTSEDGQEKIDDAPENNPLYTTVYVGNLGPEVTSVDLHRHFHAFNAGVIEDVRVQRDKGFGFVRYSNHAEAARAIQIGNARILFGKPIKCSWGSKPTPPGASSTPLPPPSAAVASGFSAADLAAYERQLALARMGGAQALMHQQVQRMGAAGQAMYDGGYPGIASTQPPMYFQ >KZM84407 pep chromosome:ASM162521v1:8:14033498:14036380:-1 gene:DCAR_028171 transcript:KZM84407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFRSLTKLTHLSKSHKPISSFLNPTLSLSKTNPFSPTLSPLHPSHSATPFFFQSDVVFLPSFQALVFPIFSRVNFGVRRVGSGEENVGGGDPVGKGGGRGGVDGFLNLPNLISMSRLISGPVIGWMILQEMYLPAFGCLAVSGATDWLDGYVARKMGINSVIGSYLDPLADKVLIGSVALAMVDKGLLNSGLVGIIVLRDVALVGGAIYNRASSLNWNWKSWSDFFNLDGIQAQKVEPLFLSKVNTVFQLLLVTAALLQPDLGTPDTESYITYLSWLVASTTVASTAAYGAQHLRRKPMLRKGL >KZM83966 pep chromosome:ASM162521v1:8:4158281:4158765:-1 gene:DCAR_028612 transcript:KZM83966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPNTFSRILEVINSDSMSKSIEYYSNFVRDAHTDKDEAALIKTRELRKLCQSSLSTMFDGRTVNIIGEINTLLSNSSAGA >KZM85203 pep chromosome:ASM162521v1:8:23683261:23687967:-1 gene:DCAR_027375 transcript:KZM85203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLYLASACLAGDSSAYHRTSVFGDDVVIVAAQRTAICKAKRGGFKDTYADDLLAPALKAVIDKTNVDPSEIADIVVGTVLAPGSQRAAECKMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIRAGFYDIGIGAGLESMTANSMGWDGTVNPRVKSVEHAQNCLLPMGITSENVASRYGITRLEQDQAAVDSHKKAAAAAASGKFKDEIVPVHTKIVDPKTGDETPVTITADDGIRANTNVADLGKLRPSFKKDGTTTAGNSSQVSDAAAAVLLMKRSVAMQKGLPILGVFRTFVAVGVDPAVMGVGPAVAIPAAVKSAGLELDNIDLFEINEAFGSQYVYCQKKLELDPAKINVNGGAMALGHPLGVTGARCVATLLHEMKRRGRDCRFGVVSMCIGTGMGAAAVFERGDACDEVCNARKVDTKNFLSKDAK >KZM84747 pep chromosome:ASM162521v1:8:18663502:18670519:-1 gene:DCAR_027831 transcript:KZM84747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMGCILQVLSYVHCHWHDQLVDFGMDKLNKPDSPLATGEYSMRQGKAVVSAFAFTCLGMGIMFQSPPLFIGVLVYFLLGTAYSIQLPLLRWKENPFLDALCIVGGHGFTIQPAVFYHIQHVLGKPLAFTKSVAFATIFFSFFSVGIALFKDIPVFEGDRTSGNRTFCVRHGKKKVFSLCVSILLIAYGFAVVIGASSSFLVCKIVSVIGHSTLAYILFIQAKSLNLNDDVATESFYMFLWKLFSAEYVLIHFMH >KZM85844 pep chromosome:ASM162521v1:8:29235087:29235721:1 gene:DCAR_026734 transcript:KZM85844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITFLTTCQLEHLLYDHTTITVFMDAEFWNLTIIQYIKIPGDGDLSIKLNFKARAFPAAAKMQSEAISLSLTTLPGRKKWAKPSVSNNHDRTEEYFAKKRASPEWFDPSSV >KZM84156 pep chromosome:ASM162521v1:8:9308506:9314610:1 gene:DCAR_028297 transcript:KZM84156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYDTLGIVDDSKVSWRLKVRVICLWRSMSYKADRVLSCNIILVDTDNNPVHAIIRHDRWNGLKETIEEGGTYEISDFKLHRSTDKIRPLPTAKCITLTRATRLYLIMVLNNSIPLNCFKFVNLGDLYEIANYANTSDGSSLYCRGSTVIEEQVDNIMISLHKFEFMDFGDLIEESNKIVNDENPEFAIDIIGVIEEFEKLKKIPTKIEDGEVVRSNKSDGSIAHKVTVWGDLATTVNADYKPDLENPVIVVLASTKIGNFQGMTQLGTIPSTSVYFTYPLNLFLKCASGRLNEEGHNRGRADTHHRTPTTAETLEFISFERLVGSAAALSSPFVLATFTVTKIEEEENWWFLSCSVCHEEVQKVERKFKCEKCQCSFPYSEKRFRILVLADDKTKYGDSNIYEAIDILDLSLMQSGPIDKSLECSSSIFLNAGVVPGIELFQTQGSSASVSKKIKKDKDIVEIV >KZM83918 pep chromosome:ASM162521v1:8:3302055:3303239:-1 gene:DCAR_028660 transcript:KZM83918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLWEIPENDTVKINVACVVVQQPSIYGNTKSIGALMRDEHGGKVWGAMGPFNNFSEEQALMAGIQSACVYAQEHDLKVTHIETSHLDVFELIRLQEHVPIPEEQLEAFRLFNTVHANHYVEGETDHRISWIPEHMNEVAAYMAEYGLHHFSSFVEIPGPQTVGNLQFLLDRDMGMVIANPEVELLPNLGMGEIVDGPPPTPNHLKRRMASPSRGVAKLDTDLTFLNVGLFNGEDDSLSSWTIKSPTWEQDPPVFKVSPFKSAAAMFGDRGKGKAKMYDEYAFYDNGKLSMRAIEMLDSGALLHFSDVFGEKVLDLESHVSNGFFAKDILHYAVLDTLGMFEHMLEDKHPSVADIVSAKKIDLMPVNSVLSLMKFEEDPPHPSSKRSRRASSV >KZM85048 pep chromosome:ASM162521v1:8:22119544:22145557:1 gene:DCAR_027530 transcript:KZM85048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLLSISSTCLTYTTTRTAFPPRRSLITPCAVLSPAKKLRRKKKRQLLVPEDEIVSVAEKGLRLVFMEELMARVRSRDVAGVSDVMYDMIAAGISPGPRSFHGLVVSHVLNSDERGAGQATRGLEILAAMEKLNYDVRQAWLVLTEELVRSNYLEDANKVFLKGAGGGLRATDELYDILIEEDCKAGDHSNALTISYEMEAAGRMATTFHFNCLLSVQATCGIPEISQATFDNMEYGEDYMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMIEDRRRLQPNVKTYALLVECFTKYCVVGEAIRHFRGLKTFEGGTKVLHHEGRHGDPLSLYLRALCGEGRIEELLEALEAMAEDNQMIPPRAMILSKKYRTLVSSWIEPLQEEADLGYEIDYFARYVEEGGLTGERKRWVPRRGKTPLDPDAVGFIYSNPMETSFKQRCLEDWKIHHRKLLRTLRNEGPSILGDVSEADYIRVVECLRKTIKGPDQNALKPKAASKMLVSELKEELEAQDLPTDGTRNVLYQRVQKARRINRSRGRPLWVPTVEEEEEEIDEELDEMISRIKLEEGNTEFWRRRFLGEGLNGDSENSVDVVESEPLDVLDDIDEDVAIEVEDEEADEEEEEVEQPENQVGERAKEKEAEASKPLQMIGVQLLKDSDMITRTSRKSRRRRTSRTSVEDDIDDDWFPENIHEAFKEMRKRKVFDVSDMYTIADAWGWTWERELKNRSPRRWSQEWEVELAIKLMLKVIELGGLPTIGDCAMILRAAIRAPAPSAFLKILQTTHSLGYSFGSPLYDEVITLCLDLGELDASLAIVADMETTGITVPDQTLDRVISARQISNNAANSEL >KZM84326 pep chromosome:ASM162521v1:8:12500909:12504998:1 gene:DCAR_028380 transcript:KZM84326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGAREYHSKDFEWEQLRSEIENDPSLSYHLQPPLHSQSSPSQDSLAWNNFHSTHSSGKFFKERRYLFKEFPELASCSLVLEVGCGNGSTALPILRATETARVYACDCSIEALERSKQNVCASHVEGIESRFHPFYCDFSVTPFPQWLACNYCKQFLLQCRHIPDVCCDTPTDSNVFLKDGQCCIGGVDVVTLIFTLSAVPFDRMPAAMSECFSVLKPGGLLLFRDYGLYDMTMLRFEPDQKVGNREYKRTEGTRAYFFSLDCVKNLFKGVGFVELELDYCCIKSVNRRNGKTMRRVWVHGKFQKPVQDQSMK >KZM83737 pep chromosome:ASM162521v1:8:930554:931031:1 gene:DCAR_028841 transcript:KZM83737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFGEDLLVLVEWAWQLKEEERLLDLVDPELTEYPAAEMLRFIKVALFCTQAASNHRPSMKQVLDMLSKDVNLNEKLLTEAGVYRRYTSQHTGGKETRGNKQSNPSVSSNHLDSFQSVTTILPR >KZM85459 pep chromosome:ASM162521v1:8:25944121:25950770:-1 gene:DCAR_027119 transcript:KZM85459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIEDDDNDDKTQVGDDFSDKDTQFMDTQSFLDGSGSFDNTVPFEDAETQVVCLAGETQVLDFDCETQAVDNLDCTENLCTQLYNDFDMEVVGDTDDEGTKKTELVSDTEELSDVDSLKELGSHPGEPENILQTDVHKQSGGACKDIPVTKECNTGPVLRGFTSLRVASVRSAGLAARDMGLRRTKGASYSSVSDNLHEAGHSGRSVVRDLYDFDKRFNLEEHDLQMKGLTSENRCRAGKSAMRKLFNDDISREKGVNEYLNTDKGEMLPPVCTMENDLAGLSYVDSQEPGEASQTIALDFVDKFLKVNVTDFDEESDVIKSTGGKSKVLSGAKGTQCFAKNANRNYLASSGIYDWDDNQEDEGGGEFYRKKKHSFFDDGCRGHKSFTQPRDSKHHNVKRRQAVKVDSGKVVQQDSSSNVPELFYSDSKLLLHKNKENAKLMKVAKQSIGKNLIKDLDEVQTGAARDNLDMLGIGADTQLAAEAMETLCCGVGMTDCNSDVDNQDAEIHFSSSAERKLPKNSESDKGFLHKKASRALKSRVSTIQSNQSKRSSRRLTKGSSIVSELESMKTRNQWDEAGDLCNLHCGIVEENLNKTELEECGISETERCHTAASACQKRVKKRSIEEHFSTFSPIAFRTRQKMSNQNQRDTYTSTDLREKMDSLAGSNASKKKRRTVSNADARGKSSELKFIQPSNSKETKETHTEQNDTERCGISTAQKEKPCQKLPKEKINGKSGAKSKILQVNSGIKRTTRSSVRNPASPVMDRQCGNILAKDTSVVNNPIEQIGNATSKGSTVLLTPIQCKTPVNEASPICMGDEYHKQSCRKNLLRSPLKKNSSRISADGPESAYACEYLQKKRNITQLQVLFSKHMDEDIIKQQKKVLTRLGVSEASSISDATHFVTDKFVRTRNMLEAIAKGKPVVTHFWLVSCGQAGCLVDEQNYILRDAKKEKELGFSLPVSLARACQHPLLQGCKVFLTRNTKPGKDILAGLVKAVHGVVVERIGRSALRDERILSDLIILSCEEDYADCVPFLEKGASVYSSELLLNGIVIQKLEYQRYRLFAENIRKTRSTVWLRKDGELIPVAKCK >KZM86000 pep chromosome:ASM162521v1:8:30604044:30616205:1 gene:DCAR_026578 transcript:KZM86000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQGNSISTTKYNLLTFLPKGLYEQFRRLANCYFLMISLLSFTPVSPVSPYTNVAPLAIVLIVSLIKEAFEDWKRFQNDKSINNSAIDLLQDQKWESVPWKKLQVGDIVRVKQDGFFPADLLFLATSNPDGVCYTETANLDGETNLKIRKALEKTWDFVSSEKASEFKGEVQCEQPNNSLYTFTGNLILQKQTLPLSPNQILLRGCSLRNTKYIVGCVIFTGPETKVMMNSMNVPSKRSTLEKKLDKVILALFCLLFCMCLIGAIGSAVFVSFDDYYLNLKAKNPSELDQFNPNQRLKVFALAIFTLITLYSPIIPISLYVTVETIKFIQSSKFINNDLHMYHAPTNTPALARTSNLNEELGQVEYIFSDKTGTLTRNMMEFFKCSVGGETYGTGVTEIEMGAAQRNGIILEEGQKSSNPIRDKGFNFDDARLMRGAWRNEPNSDACKEFFRCLAICHTVLPEGEESPEKIRYQAASPDEAALVSAAKNFGFFFYRRTPTKIFVRESHVERMGQIEDVSYEILNVLEFNSTRKRQSVICRYPDGRLGADTVIYERLAGGNNDIKKRTREHMEQYGAAGLRTLCLAYRDLNPDTYESWNEKFIQAKSSLRDRERKLDEVGELIEKDLILIGCSAIEDKLQEGVPSCIETLSRAGIKIWVLTGDKLETAINIAYACNLINNDMRQFVISSETDEIREIEDKGDQVEIARFLRETVTRLVRKGAQRITLSIGDGANDVGMIQAAHVGVGISGMEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRICKVVSYFFYKNLTFTLTQFYFTFHTGFSGQRFYDDWFQTLYNVIFTSLPVIFVGLLDKDVSASLSKKYPALYKEGIKNTFFKFRVLGIVAFSSVYQSVIVYSFVTASSTGGINSSGKVLGQWDASTMAFTCLLITVNLRLLLMCNTVTKWHHISAGGSILLWFLFIFVYSFFFTDKGIFYTIFVLMSTFYFYLTLLLVPIAALLGDFLYEGVQRWFFPFDYQIVQEIHRHEPDNAASALLEVGTQLTPEEARSFAFAQLPGQSSRHTGFAFDSPGYESFFALQQGVIAPQKAWDVVRRASMKPRKSLLDS >KZM84418 pep chromosome:ASM162521v1:8:14104886:14105412:1 gene:DCAR_028160 transcript:KZM84418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQSPIGKTGSSSSIDSVRKEEHKSCFCGRRARVFTSWTLKNPGRRFYTCATPKENNGCHYFEWFAEEFCPRSLDVITHLNHRRIYLEEKLKVVEEDLAESMEKKKLLKVERNLLIEARMKLEAEKNRMKKQMKLCVVVVLIVVLIVSK >KZM84838 pep chromosome:ASM162521v1:8:19921456:19930032:-1 gene:DCAR_027740 transcript:KZM84838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSDVSRQIQQMVQFIRQEAEEKANEISVSAEEEFNIEKLQIVEAEKKKIRQEYERKEKQVDVRKKIFYPTTMDTTMDNVVNTAKILNTPVAQVATLLGHSFVHPNAPGAHRATVVVDPNILCAQRALFVGQLVVNPNALSVEGTLVMDKQAVNHNMSIAQGASVVDQLTVNHNMPDQHALNRNMLCAQVATVLGQQAVNPISCAQAATTVGQHAVNPDVVGAQRAMVMGESSAQGAMIVGQYNVNPNAPGAQRAMFVGKHVVNPHVSGAQGATIMSQHDSNPVVLGAQQAMVVAHYKGNEYSMQLNASRIKVLQAQDDLVNAMKEAASQELLKVSHHDSHHHLHHHHDYQGLMKALVVQCLLRLKEPAVLLRCRKDDITLLNSVLDSAKEEYAKEAKVHQPEIIVDDVHLPPSPSTHGPSCSGGIVMASRDGKIVIENTLDARLDVLFRKKLPEPSVHRISFEIGHVYHTLFFFKSLHLLLMWLYILLSHTLLSGGVSGIPWETNELNEDIYVDSYKYTSPDGEEYWIPKCDKKSKPYVNQMFPDVEAVFEFYTEYGRLCGLVVRKSSAKYKGGVMTHKYVECSSAGRFEGKTIKRRRTNTRKCECEAKIILKNCPTGYYIGTFLHDNYIFIHQDFEVLH >KZM84688 pep chromosome:ASM162521v1:8:17764252:17764608:1 gene:DCAR_027890 transcript:KZM84688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLIPLKFSTQVLRPTILPAKIEISDNSPRTPFSAVSPAHHHLLEIPRAPIHKTLETIFEEESQEENLELSTSSASLSGYSSSCNCDASSRRLLRFIALLMMQKHSQSSTQECKCC >KZM84807 pep chromosome:ASM162521v1:8:19532970:19537303:1 gene:DCAR_027771 transcript:KZM84807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAHAPVDIWKSEFSKFYYGCSERGPRFTPAVRERSSNGYLLIAASGGLNQQRTGITDAVVVSWILNATLVVPELDHNSFWKDDSDFVDIFDVDWFISSLSKDVTVVKRVPDKVMRSMLKPPYTMRVPRKSEPNYYLDEVLPILLRRRVVQLTKFDYRLANDLDEELQRLRCRVNYHALRFTIPVSDIGQLIILRMRKMARRFISVHLRFEPDMLAFSGCYFGGGDKERYELGEIRKRWTNLPETTPDKERKRGKCPLTPHEVGLLLRALGYNNNSLVYVASGEIYGGEETLRPLRELFPNFYTKEMLAGVELQPFVSFSSRLAAIDYIVCEDSDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKRLSAIFMARDQMGWDTFAEKVKSCQRGFMGEPEEMRPGRGEFHEYPASCICKKPLKYSGNGSLGTVPIVDARAEYRKIHNTSTMLRLNNSEMQNVPAPSTGTDDVDELWGD >KZM84002 pep chromosome:ASM162521v1:8:5206729:5215129:-1 gene:DCAR_028576 transcript:KZM84002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIASNPRTVEEIFKDFSCRRTAIVRALTSDVDEFYSLCDPEKENLCLYGHANESWEVNLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHTDSWLLSVAYYFGARLNRNERKRLFSLINDLPTVFEVVAERKAVKEKPSVDAGSKTKINTKRSSDGHAKSNQRLNDESYVEEDDEHGETLCGSCGGHYSADEFWIGCDICERWYHGKCVKITPARAENIKQYKCPSCSLKKGRQ >KZM84266 pep chromosome:ASM162521v1:8:11282173:11290668:1 gene:DCAR_028440 transcript:KZM84266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVNQSQRQYVDFLSSLYREGLLDDQFLQIQKLQDESNPDFVNEVVTLFFEDSEKLLHNLAIALSVDQQHVDYQKVDACVHQFKGSSSSIGAQRVRNICVSFRTCYDAKNLEGCLRCLQQVKDEYYLVKNKLQTLFRLEQQIVAAGGAIPIMG >KZM83810 pep chromosome:ASM162521v1:8:1808416:1811202:-1 gene:DCAR_028768 transcript:KZM83810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAIRYFKLNTGATMPSVGLGTWQSEPGVVGEAVTHAIKIGYRHIDCAHVYNNEKEVGFALKKLFDDGVVKRADLWITSKLWCADHAPEDVPVALDRTLQNLQLDYLDLYLIHWPVSMKKGSVGFAPENLCPPNIPNTWKAMEALYDSGKARAIGVSNFTCKKLEDLLQVARVPPAVNQVEIHPGWQQTKLRSFCESKGIHLTGYSPLGSSGSSFVKTQVLKSPVVNMVAEKLGKSPAQVALCWGLQKGNSVLPKSVNETRIKENFEVFGWEIPEDLLAKFSEIEQASEATQGYWICARDSWPLQNCGGALGWRALSNINTPECCSSTQHWHLCSKSLIKQYSLSSKNHYSFI >KZM85892 pep chromosome:ASM162521v1:8:29671769:29672801:1 gene:DCAR_026686 transcript:KZM85892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGILTIFFSSTLCLFLLWKLTKLIDKVWWSPRRIQRLLSSQGVKGLSYSFPHGNTKVISAMRSQSMGYPMGISHDMFPRIQPHVYLWTKMYGRNFINWHGPEAQLFVTEPDLIKEILNNKEDAYPKMEMQGYAKKLLGDAMITNEGEKWAKIRKLANHTFHANSLKGMIPEMSTSVEIMLERWRDYEGNEIDVHKEFGALTTEVISRTAFGSSFSDGKHIFEMVAKLTAITVRNIYRTRLPGLR >KZM86011 pep chromosome:ASM162521v1:8:30696819:30698930:-1 gene:DCAR_026567 transcript:KZM86011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQQYSCEFIFYIFILCCSISTLPQCSCQSNSQNIETFYPSGIPPVTNNQPPPSPESRSSSDKSVIRAVVATAVSTVVVSALFLIYVLRYARQKRNHVVANASLKKIHSIESRRSDKFVRVNGSMRRVIVDEEGLDVLYWRDLEGEVCQTEEEKNNNFSKPFVREESFSFVRSRTLVKQESSVQLSLSTSRSPPLLPAAGVSSSALPLQALPPLPKKTLDWDRVNSGSLRVDGDLMEALFGSVATNRKSPRTVGKMSSPKGERNGPPSKFFILDARKSENISIIVRSLSVSRKEIVDALIEGEELDVDILEKLNRIAPTAEEETKILAFDDDHTRLADAESFLYHVLKAVPSAFTRFKAMLFRSNYDSKVLYLKQSLRTLESGCKELRTRGLLPKVTEAVLKAGNQMNPGTSQGNAQAFNLTHLRKLSDVKSTDGTTTLLHFVLEEVIRAEGKHCVINRSHSLSHSSRNIRNSGGQILEKSMPIKDMENKYIMLGLPIVGGISHQFPNVKKAAILDYDALNKACSTLSDNVAEIRQLVGECAISGGGEGFIQKMKSFIEASEAESRTLKKELTSIMGLVKRTTEYHQAGASKDIWTQPLQLFVIVKDFLSMVDQVCIDITRDMQKRKSQVPTSPSTAYKNSAKFPLLPENFMSDMSNRSSSDSDHDSKVM >KZM84427 pep chromosome:ASM162521v1:8:14352394:14354793:-1 gene:DCAR_028151 transcript:KZM84427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESTMFVILLILVPILLLVYRRWTTSNPHHKLPPGPRGLPIIGNLHMLGTLPHRAITELAKHYGPIMSLSLGSVPAIVVSSPQAAELFLKTHDTVFASRPKIQVAELYSYGVKGIAFTPYGPYWRNMRKFCTRELLTTKVIDSFAWMRKEELGFFVESIREAASSRVALDVTKIVGELIQDMICRMLFGKSRDVRFDLSGILEEMTETGGAFNIADYFPFLRALDLQGLARRARRTSKDFDEMLELIIDEHEVIGNLEEKNGKEDFVDMLLSLKNRTTGTNDQLGFTFDRANVKAIILDMIFGAVDTSHTAVIWVMSELTRHPRVMSRVQKELEMVVGDNDIVEEAHLSKLDYLYMVVKESLRLHPVAPLSVPHESTEDILVNGYHIPKKSRIIINNWGLGRDSNVWSENALEFIPERFSGSKIDLQGQDFQFIPFGSGRRGCPGLHLGLINIQLLVAQMVHCFDWDLSTGTSPTELEMDEKFGLTMSRLDHLVATPTVRVREKHLT >KZM84105 pep chromosome:ASM162521v1:8:7835568:7837112:-1 gene:DCAR_028473 transcript:KZM84105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSEIVDSGRSSGQKTPEKSSFSQTCSLLSQYLKEKGSLGDLSLGIGFESNGTTPPTPAAAAAATMNLFPVTEKPVPGIPAKQLPEKAQMTIFYGGQVVVFNDLPAEKAKEIMMLASKACSSFTQPEIPASPKVVAANSLVQTQRRQPIVSDLPIARKASLTRFLEKRKDRITARAPYASSETKPAESKSWLGLAAADSPVKLEQQ >KZM85006 pep chromosome:ASM162521v1:8:21597994:21601401:-1 gene:DCAR_027572 transcript:KZM85006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQMLKLAAFVIACSSITTRLEGFTENGKCRAARCGKTDIRFPFHLKHSAEDPCVFPSGFQLSCDKTYKNVLAPTIKFEYEASTSLPGLYLSFSVQASVASIDYRSRQLYFEALSVVVTQNYYHFHNNHSNRNHYTFKPFVLTDGTSIQIQLFGRVHSCNDYTYHNCSSTSDLSRFHRSINPSFPEIIGTVPSLRSHNRQIYAICSLLDTAEVPLTSCTKLYNISHVPYTVGGLTWSGPDCSDCEVKGQYCKFRRNSKIFTECYPKALCYAIKFFKQKKRYQLKVETFLEDYRALKPSRYTYADIKKITNEFNVKLGEGGYGSVFKGQISNDVVVAVKILNDKLGAKGSGEDFINEVGTIGLVHHVNVVRLVGYCADGCRRALVHEFQPNNSLEKFVYRKNRNQGFLGWEKMQNIALGIAKGIEYLHQGCAQRILHFDIKPHNILLDQNFTPKISDFGLAKLCNKEQSMISMTMARGTIGYIAPEVFSRNFGKVSTKSDVYSYGMLLLEMVGAKDHTSVGAEKSSAYFPA >KZM85486 pep chromosome:ASM162521v1:8:26161193:26172254:-1 gene:DCAR_027092 transcript:KZM85486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQPTEGSSPDPVAEWSSPGGETGLEEPMWQLGLGGGGGGGGGGGDDSYPERPDEADCIYYLRTGFCGYGSRCRFNHPRDRNLVVGAMRASGGEYPERVGQPVCQYYMRTGMCKFGASCKYHHPRHGVGSASSVAISVSGYPLRPGEKXCSYYLKTGQCKFGVTCKFHHPHPDGTHVPARALFPAAATVPSAIYPAMQSPGPSSQQYGVVAGNWPVARPALLPGSYVQGTYGPVILPPGMVPMAGWNPYQAPLSPVASPSAQSNAGAGPIYGMSQLSSTAPVFAGPYLSMTSPAVHSAYNQKEHVFPERPGQPECQHYMKTGECKFGSSCKYHHPAQWSSPKTNFVLSPMGLPLRPGAPLCSHYALNGICKFGHSCKFDHPMSTLSYSPSASSLTDMPVAPYPVGSSMATLAPSSSSSELKPDKEASVTRMSTPLSTAAGSVGSIFSRNDTLPQSSIQQPGQSSTTSTGSSTPHISEASTSG >KZM84383 pep chromosome:ASM162521v1:8:13535848:13540503:1 gene:DCAR_028195 transcript:KZM84383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNSWSCSKCTFINPISQPPICQICLTPQNPDSHSSRSQSQSQPKWSCKLCTFLNSPGVDVCEICGARALDSLLAEASAGSKRTFEDLLDKGLGDDGGSNATKLAKLDVVHLEPLTMTMEANVGSRKKFGEILVKGLDDDHGFNGVQLAKKDIDLDETSTNEDLKTLKILSYNVWFREDLEMHKRMKSLGDLIQMHSPELICLQEVTPNIYDIFQRSSWWKLYKCSISSEMAFTRPYFCMQLSKVPVKLFSCKPFQNSIMGRELCVAEVVAEKDKPLIIATSHLESPCPAPPKWDQMYSKERVSQAREAIDSLNKNKNVIFCGDMNWDDKLDGQVPLPDGWIDAWTEHRPGELGYTYDTKTNKMLSGNRTLQKRLDRFLCSLHDYKIDGIEMIGMEAIPGLSYSKEKKVKKEVKNIILPVLPSDHYGLLLTISSK >KZM85227 pep chromosome:ASM162521v1:8:23924128:23924433:-1 gene:DCAR_027351 transcript:KZM85227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAYQNEGELELGLGLSLHTGSCSKNNNDTQWGGEHVKKIKTAKDSVASQGDFSASPPAVSWFLCVNWII >KZM84113 pep chromosome:ASM162521v1:8:8103155:8104582:1 gene:DCAR_028465 transcript:KZM84113 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MVELRVFFFPMLAHGHMIPTLDMAKLFAFHGVHSTIITTPLNAPAFEKAVQECNHSGFRMSIAVVKFPRVSGLPEDCENADQLTSPAMSPLFLRATQMLEEQVEQVLEQHRPDCLVADMFFPWATYSAAKFGIPRLVFHGTSFFSLCASEQVRLHVAADKLENDSDEIIVPNLPDEVTLLVSQLPIYQRQKNESEFSKLMIRIKESEMKSYGVIVNSFYELEPDYADHYRNVLMRRAWHVGPVSLCNTSVEDKARRGKEAAIGGDECLKWLDTKKADSVLYVCFGSVSKFPSNQLHEIAVGLEACGQQFIWVVRKGKNDEEWMPEGFEERIKGKGLIIRGWAPQVLILDHKAIGGFVTHCGWNSTLEGITAGVPMVTWPSFAEQFYNEKLVVEILRIGVAVGAKEWVAGTGSGNIKREAFEKAARSVMVGKDAEERRDRAKALKEMARKAIGEGGSSCLDLDALIKELRMHHSSC >KZM84718 pep chromosome:ASM162521v1:8:18198474:18201008:1 gene:DCAR_027860 transcript:KZM84718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINGSRLCLNLLIVVSLYVRIGEGQGNSILLNCGTNSTINVGGRRWVGDSATGGNVTLSSSGIEVSTSTFDGDQTYTPLYKTARVFVDSLNYTFRGAQGSYFLRLHFYPFSSENRNVNDSSFVVQANGIKLLSGFNVPDEILQKNLYIAKLGGNSSFSYLVKEFFFTVSGDVLMVEFIPAKGSFGFVNAIEIVNVENKLFVDSVSKVGSNGETSVLSLSKRGIETMYRLNVGGSPIKADEDLSLGRVWEADSSYMLTANAGSDIHTRANITYASANDTSIAPLVVYRSARTMSNTQVMEKRFNMSWKFEVDPDFDYMIRLHFCELSFDKANERIFRIYINNKTAADNFDIFKQAGGKYKAYHQDFLDMVPSVVNTLWIQLGPDPTAGAVGTDALLNGLEIFKLSRNGNLAYVGKLENPGARKTSKSVILWVSIGAGIASIVIIAASIALITCFCQKRRNKETDTKKHPPGWRPLFLLNSTANAKASLHQSLHGSMAPNRTEKCLADEGKSRPAMGEVLWHLEYVLQVQEAWLRSINGENSFSSSQVLADHESREEVDVPEATTSDRGTDLDPKRFEDA >KZM85347 pep chromosome:ASM162521v1:8:25041939:25043533:1 gene:DCAR_027231 transcript:KZM85347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSPLVHGAVKLAGLTPKLVEIEPGTVLNIWVPTETLKNTTKIPHKPVVVFLHSFAADGILTWFFQAISLSGMYSVYVPDFIFFGDSTTDKLDRTTAFQAECIAKGLSKLGVEKCTIVGLSYGGMVGFKMARNYPDLVESMVVSGTVVEMTESIIAALLKKYKVNCWSDMMMPTSVDGVKEMMSVGTHKLPWLPDIVYKHFLEAFFDNRKERIELLKALVVNDKDAVSPNYTQRIHLLWGDDDKIHDSDFARNMKENLGEKASIGFIDKAGHSSPVERPFVFTQHLKKILSSFRTEVKNE >KZM84748 pep chromosome:ASM162521v1:8:18681806:18682510:-1 gene:DCAR_027830 transcript:KZM84748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPTFLALLVVIVGALWWFIYQCLRHVGPRTPPGPRGLPVIGHLHMLGKLPHRSLHKLSEKYGPIMSIRLGLVPTIVVSSPAAAEVFLKTHDTVFASRPDSQAAEYLSYGTKGMAFTEYGAYWRSVRKFCTMELLSVTKIDSMSTLRREELGLLVDYLKNAARTGETVDVSDKVAHLIEDMTRRMLFGKSKDDRFDLNEIVHELTELVGAFNIADYIPLLGAFDLQVSTLYLLI >KZM83829 pep chromosome:ASM162521v1:8:1941707:1945801:-1 gene:DCAR_028749 transcript:KZM83829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALNGVQWGSWGNQSEIVFSDRKVVRGARFEHGVPDQELRRIENGFLGQGQVDGRRIENGFLSQELSGIELVPNPPTLTHVAPSSSVSAEDGSQEDCDFSDAILGYISQVLMQEDMGDQTCMLQDSLDLQAAEKPFYDVLGKKYPPSPQQGLPDLGDQYRFGLNEQDAVADYGQFIDPYWSNYPVDYNGSQVQTPLASTVTHTMVNPANSSIKFIDGFLDSPISPLQVRDLYNESQSIWQFKKGVEEANKFLPSSNNTLVNYNFNELIPQDLQINTSELATEVERSNELQYLPTGSRGRKISHRDDIGIEQERTSKLAAVYQESTLRDDEFDTVLLCSEGKGKAVLESFRETLRNDKSKFAEQIAQSKGFSRGKGRGKKQTKKKEVIDLRTLLISCAQAVAADDRRNANDLLKQIRQHSSPFGDGNQRLAHCFADGLEARLAGNGTQIHKALQCYKIPMNSPIHTSELSSDDWYQMTVQAELSIEKGLAVAKFCEIDKKIQESGRDKKFGNRDGTTAPVVSGSF >KZM85853 pep chromosome:ASM162521v1:8:29316520:29319891:1 gene:DCAR_026725 transcript:KZM85853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATNTLTCTPFPKTPKPSSHKPHLSPLIKHTTHFAPLSYSSSSVAFSSAPKLMHLEKDKHNCCKATRRKSISGDEGGLDDEDGSVRRVLQFVLWAAEGVYIAWLFLLPYAPMSEGLFNFVIGWTLMFAPLLFTDRRRDRYKGSLDILWGFQMFLTNTFLIPYMAIRLNEADGQYTPRKTSQLGTVMTNGAAVVGLVGGAVCLISVFWALFGREDGNFGTLTERWDFLLSYLGSERLAYAFIWDICLYTVFQPWLIHDNLQNIEKSKITVVSFLKFVPVIGLVAYLLSLNLDEES >KZM84862 pep chromosome:ASM162521v1:8:20248509:20252815:1 gene:DCAR_027716 transcript:KZM84862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSEIGSVPKDTSREDDAGAAFVLESKGEWWHAGFHLTTAIVGPTILSLPFAFRGMGWGLGFFSLTLMGAVTFYSYYLMSLVLERCEKSGRRHIRFRELAADVLGSGWMFYFVISIQTTINTGISIGAILLAGDCLQIMYQQLDPDGSWKLYQFIAIVTGVMIVLSQMPTFHSLRHINLVSLFLSLGYTLLVTAACIYAATSKNAPSRDYSLEDSGASRVFSAFTSISIIAAIYGNGILPEIQATLAPPVTGKMVKGLTMCYSVIFITFYSAAISGYWVFGNKASSNILNSLLPDEGPALAPTWVLGLAVIFVLLQLFAIGLVYSQVAYEIMEKKSADVKQGLFSKRNLIPRLILRSLYMVICGFFAAMLPFFGDISAVVGAIGFIPLDFILPMLLYNMTFKPPRSSYTFWLNNTIMIVFTCVGLLGTFSSVRKLIHDANKFKLFSSDVVD >KZM85520 pep chromosome:ASM162521v1:8:26476372:26483258:1 gene:DCAR_027058 transcript:KZM85520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVELQDLPSLVYQLLVLASKGFCKREVIEGILVFFGCKLEGKGSSTVRQVEGTVLLHVNFAVKQDPSLGHELIGILRCDVRVFNYFVVAVLLSVARIRRFNDSAMGILKATLANAYKDCKFAGVCKWLPDDLKEEYLQTALVLEKAILRAVNESNYGREHIVPSIVRFGFVLLESLDEGSQKGLCKSHGLMGSQKLGMQMLKCLFEVHDMARNEIIEQCKFRILSAKPEQSLAIMSPYPMLEYVSHLKELLDYFTYMNGKVAIHLVDALLPLTRLSRDLRDYTILVVRKAMFRREDLVLEAATSAIMKLILAEKKARKNVLNSFEESSSQASCSQQAEVPCGIGVGLFQEFNGLLQRCLYRQAKVKEIMYDGFVELILVDPSFSGSVFDFLLPHFLQFYKEDAEIQLKINQCLKLENGKICIHEPLDCLLSCISWILLMQPLGKSDASDARHSFGFSLTQENEAGKNFFGESFSRALSKTRNFLRTANFEVDLLGETQDAHSAQVKEEKKKYSAWILSGILEVVVNIITTEFEKATEVKKLDLEKELLKYVDVYASLEKYFSSGTGKRGIVRSTATQISSKPETGRTTLFKERTLLASTSIGQLFQIALGLYERGSNSTATSQNHSQSSMRESSIQCFKLLLFALNASLRLIKSFSAAGKDDKLKSLVYGELKLLSSPMLKLIRFLKLSPNTMADHKKNETKGKKDAEDRQELFHLALVCLKELIATALQCPEQEGIMKELVSEAIFENGPKDVPHVDSVDECEVENRTDDQTEISVYKFIQLIIKPIFSELLAASSFQEMEVLCDITMSIGTKLSGERRRSLGDWALHICKSCDVKNSKIAKSVFNFVYSLSPSPNDLAVAEDVAKELLKVMGSDTGSPLTKSEIYPLLQVSTENIVASSVLHLIEPIVVDMDWFTTKLKSYLAANQKSIMLDENDRQAPVLALEQIFYTTAEAVVKVLSPFLSMNLKDPQAEHLLRVATRFYKNLARISKLQIAPKGCKPVLPSHSYLKLVEITCKDLTAPLYRFVDVMQQNQQESARKGILNKIKRENRCIPELIFQIEDYEKYLILLSKAIKINLLRHAKRSTSRDFRIRESDDSNGQEGTENHQANENNDDSAAEEAEDDDATEEPGDNGHDLEEPGSPVADDSVSDDENGLNFPSAKRTKTTNKVVQDSDDES >KZM84817 pep chromosome:ASM162521v1:8:19656362:19659192:-1 gene:DCAR_027761 transcript:KZM84817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLFFTSTRVNFSLLAVSQPSKLQLQLHNLETYIHRSGLDCQGNISDFDMSANLVGDSSMTEDEVTVKKQDTMKSSVCIKTADGHAIQVDFEVATLCTRICNEMQSGRGYSMDNPISLPPLIRKNFLSFVINYCRYHQVSGSVYEGTTFDEILHDMDANFLYELICVAHYLQLKSLIDLTHEAMVKKIENLSSIKELYHMLHRPENVSEVVLFVIGAYFIQYFF >KZM83793 pep chromosome:ASM162521v1:8:1647008:1651171:-1 gene:DCAR_028785 transcript:KZM83793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGGAAGNSSPRAGATAHRRREKPTSEPSPGNLASTYEDDVSGNFIARHFGHPRFAGGSVPVPEKVMLLVEDVMLGFGKLGSTLKSRKNMGRRILGFLIIMVVLSMFMKFSYLVGNHYNLEMINGKHRRLNGLFILNTWSKPQHQSLLMLAAERDEEELNSMPKRVLEKYPVPEIWKKPSSEDYYQCIGRPEPRIRSSTNGYLLIHANGGLNQMRTGICDMVAIAKLMNTTLVLPSLDHESFWSDPSEFKDIFDWNHFVEVLKDDIDLVESLPPQYAALTPFQKAPVSWSKATYYRGEMASLLKEHKVIQFTHTDGRLANNGLPGSLQRLRCRANYEATRYTEEIENLGKKFVDRLRNEGEPYIALHLRYEKDMLAFTGCSHNHTAAEAEELRIMRYNVVHWKEKEIDSTIKRLEGGCPMSPREAALFLKAMGYPASTTVYIVAGEIYGNGSMDAFKSEFPNVFTHNTLATKEELEPFRQYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRLNFVKLIDELDAAMLSWEEFSSEVKSLHADRLGSPYLRKVGETPKEEENFYANPLPGCVCSRSQAKLSRKRLEQRRRKRAGSRR >KZM83946 pep chromosome:ASM162521v1:8:3827948:3836152:-1 gene:DCAR_028632 transcript:KZM83946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTRATVTKGKNSNRPVGNSKSSSNSGKNNDEESKIGNQEAGTRAKGSSPQVSTTKTQNAEENKKLLLNKIEILKHWFLLDYDLVAALNKGNHSLIPMAEARVNYLIGLVPDKLLAEGISGEEEALWSIHELLYNNGCWEKASNLVVEGYDGSENGNYTDPVQGFLNSYAHLIHPNTKDGSCINPATPRYEGIILPEFKVTESPEKELPLVARHRDEQIAPTEPQQQEGDILQETVLHTSNWMPREIDSSSSFPISSTEVYMSEVENEIEQTVSEDGTHCSEVLAELKNLKVQVKRGRPRKYQKPQLNKHFKLSRKKKTRGEGLKQTSHFFLNAGFDEAEAIYETGTMMGLLTSHSKERSIEIIKANLQ >KZM84166 pep chromosome:ASM162521v1:8:9470113:9472431:1 gene:DCAR_028287 transcript:KZM84166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDDKKNAPRKVKFAPKAPPRRDRKLVLPKVEKFEETDAAKADELMQRFNEASVRIRPKAEQKPKQVQVAFGRDEGSSIKSYGGLKGRYESKHNQATQGAGGGREIKEYKEPWDYYTNYPVTLPLRRPYSGNPELLNEEEFRETPETITCTESSINSAIELGLMEEDLEANMLFLQFPENMPVPKQAVKKEGLETSNTSKPVKGPGASGKACNLNELPAGFMGKMFVYRSGAVKLKLGDILYDVSAGLNNVFAQDAVAINTEEKHCCVVGELNKRAIVSPDLDSILDNISDL >KZM84158 pep chromosome:ASM162521v1:8:9331458:9331946:-1 gene:DCAR_028295 transcript:KZM84158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFGLLLTAIFELYHIPLPRILAERVEYLNMAGLVRNQVPFSECNPVPAVRIKLAPAVLLKIPPPQNNLENMLEIKTLNFEVKVLKENQEKMLARLNELENRNKEDSTDGKNEGVSERISRLFDESLVDDMVDAGVQTEPLPDLTDMPEDLGFVNVEKPVGT >KZM84582 pep chromosome:ASM162521v1:8:16474701:16477012:1 gene:DCAR_027996 transcript:KZM84582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEADIQSDSSKFDGREEQLDNKTSSESSNNNSSFDLNEVANSEGDECENDVPDPRGEYSDGHVGGSENHECRNESGKKGSVRRYVRSKLPRLRWTPELHRSFVHAIERLGGQEILSAQTSKTMGGRYYVPNAYGQRLNPLHHFIIKNSDIDTRKSDIHRRLQSLMKNHSQSASNTITQSLRNHAGLTSKYPTNVSLESDVKRLSRSFMCQSFQEKQYTLSRYIENNSNKWTSGNFTDDHKRVEAPVIATAHSPHRNCGLQYPSFNTQRVFETRLENHETLKPTESLPDLQLKLSQNVGIEDQKIHLNKIPEINTALSLSLSPYSSRQTKTA >KZM85120 pep chromosome:ASM162521v1:8:22891934:22892140:-1 gene:DCAR_027458 transcript:KZM85120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRDMGLLFDAHPPANFGLGEVIEGEPTQEEVPVPNGDPSCAHNKADVSFFWRFLSLLARMWKAMTK >KZM85448 pep chromosome:ASM162521v1:8:25877784:25881373:1 gene:DCAR_027130 transcript:KZM85448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVAKACNSNSSQLSSISVQEKGSRNKRKFRADPPVSDASKTVSVPLSDCSSYEFSAEKLDIMQNHGHSGGCDMCHVSEGHADALKLDLGLACAVGPFEAGTSKVKEENVVHDEVHDADWSDLTESQLEELVLNNLDTIFKSAIKKLVDCGYTEEFATRAVLRSGLCYGCKDTVSNIVENTLAFLRNGQELDPAREQDFDDLQQMEKYILAELVCVIREVKPFFSTGDAMWCLLVCDMNVSLACAMESDSFGSFLGDGVPNGAVTAPVQPQARTEARGSENLQISGRQNPSFACACHFPSESSIMATVPCLHNSPEAPTMTVRSNFKPRNSSAQNGLVAEKETAGSIEKPFTAVGPTHSSASEEKFIGSRKISGITKREYILRHKSIQLEKSYRTYGSKGGSRAGKLSSFGGLILDKKIKSVADSTGLSVRNATLKISKEMGTCLPQDTLHNDLLNTNGLPPTFSLDTVNNTISSIPKTNFVSAIPTISDQNSLPAPDTELSLSLSSKNNSVPMPASCSSGAPKFGYSGFPNEKPAGQWVPQDKKDESIMKLAPRVRELQNQMQEWTEWANQKVMQAARRLSKDKAELKTLKQEKEEVERLKKDKQNLEENTMKKLCEMENALCKASGQVERANAAVRRLEVENSTLRQEMEAAKLQAAQSAASCQEVSKREKNTLMKIQSWEKQKLMFQEELVAEKHRKTQLQHKLEQATELLDHQEARCKREEMAIEELLTQAMSLKKEREQSEVSAKSKENIIRVKAEKKLQKFKDDIEKHEKEISQLRSKMDSSKIAALRKGIDGSYASRLIDSQTPTKESTKSYSSRMVNNFEDHSGAGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMKDCPSCRSTIIKRIPVHFSRS >KZM83871 pep chromosome:ASM162521v1:8:2462564:2466051:-1 gene:DCAR_028707 transcript:KZM83871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNNKQDQRARSAKPNTLHGCAQAGDLNGFLKLLKDNPSLVNDRNPVMAHTPLHVCAGHNSVEIVKVLLDWQGSEKVELEAKNMYGETPLHMAAKNGCNQAAQMLLSHGASVEAKANNGMTPLHLAVWHSTRSEEDCSTVQTLLEYNADCSAKDNEGMTPLNHLSQGPGNEKLRKLLNSYLEEQRKRRAIEACSQTKAKMDELENELSKIVGLDDLKLQLRKWAKGMLLDERRRALGLKVGARRPPHMAFLGNPGTGKTMVARILGKLLYMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRKMIKEAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVITSNEGFCRRVTKFFNFEDFSSEDLARILHLKMNSVAEGSLLYGFKLHPLCTIEAIVSLIERETTEKQRREMNGGLVDPMLVNAREYLDLRLDFDCIDTDELLTIRIEDLEGGLRVLSH >KZM84031 pep chromosome:ASM162521v1:8:5676971:5683229:-1 gene:DCAR_028547 transcript:KZM84031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQIAKGVEFEKKAEKKLTGWGLFGSKHEDAAELFEKAANCFKLAKSWDQAGAVYVKLAQCHIKLDSKHEAAGSYADAAHSYKKTNTKEAINCLEQAVNLFMEIGRLSMSARYYKEIAELCEQEENLEQAMHFYDKAADLFQSEDVSSSANQCKQKIAQFAAQLEHYQRAIDIYEDIARQSLNNNLLKYGVRGHLLNAGICQLCKKDVVAITNALDRYQELDPTFSGTREYKLLADLAGAVDEVDVAKFTDAVKEFDSMTKLLPKLDAIHQKI >KZM84354 pep chromosome:ASM162521v1:8:12926136:12936522:-1 gene:DCAR_028352 transcript:KZM84354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRLRSLQLSSSDWKIKIRVTRTWDSFDDNHDFIGMNMILIDVEEVHIHAFVIPQACTNLNSSIFEGNMYVIENFVTRRAIGDLRPVTTDICIILNENSIVTLVTFELGRMPRHKFEITDLVDVYSFARNLAPNHLPVYAIDVVGIILDFGTMEVDASGGGTRNYVRFNLYDGRKVIESYFVDLFQASCIDGKLSEREVVKQVSETENEELMNEVSVEEVKTAVFSMHPDKASGPDGFNPAFYQIYWDIVHVDLVQFCRNFMQTGELPAGVNNARVCLIPKVKEPKTMGDLRPISLCNLLVQILSKIMANRIKKILGSIVSDRQSAFIEGRLLTDNALIAFEINHYMQRKRQGRIGVAGVKLDISKAYDRLEWSFVRNMMEKFGFSGVWIDRVMQFISSVSYSFLHNGEEFGCVVPARGLRQGDPISPYIYIMCAEGLSAIIRRNEEVGLLHGCRIARGAPAISHLLFADDCYLFFKATKAEASNLKGIVQRYAQISGQVINYNKSSITFSSNTCTGDRREVQEQLQVHENESPGKYLGLPMRVGQNKRAVFGFLVERVSSKLQAWGMGNISKAGKVTLLKSAAQSIPTFWMNLLLIPSDVYDRIEKKMNSYWWGGRGEHGGIRWMSWDRLCEVKEVGGLGFRKLKEFNVAMLAKQAWRLINNSNPLVAAIMKARYYANTDFLNAKLGSNPSYMWRSIMESQEVIKRGCRRKIGDGRDTNMWTSPWLPCIENGFLTSNAHVGFQDAAVDGLMIEGQKKWDIEVLNDICNERDKNLIQQIHVPCRKIRDSWYWLLDDKGEFSVKSCYRQLRGERESQDRGFWKKLWSLNLPG >KZM85733 pep chromosome:ASM162521v1:8:28184186:28184729:-1 gene:DCAR_026845 transcript:KZM85733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLRLFSALFMAVMLLMANGMGIAEGRTCESQSHKFKGTCVSRSNCANVCKNEGFPGGHCRGFRRRCFCIKHC >KZM83892 pep chromosome:ASM162521v1:8:2803128:2803836:-1 gene:DCAR_028686 transcript:KZM83892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTTDRTNKPKKDRPHKQAKKESTCSILNRPCKHTVEIWLTVLEKRLGVPHALTNVQAIPGHFHPQLDNAELEGLARNLLEVSDPETRGSFNSIFFKEVVNVVTTIMTDICNKYEAGTQA >KZM84054 pep chromosome:ASM162521v1:8:6586859:6597727:1 gene:DCAR_028524 transcript:KZM84054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETERADASTDWREITSPNGLARDKIAKESMNGTQEYDPASVSVLEASSPITDSTREAVTSTTPVAPVVAVTSLSACVESATTNAIGVQTPLHVGPTLDTTLGSSEVPLAVSNIETTSITESKTSITALEERTVDQEPVVYENKLEGKKAFIALLETSNIESDSTWDQAMKVIINDSRYAALKTLVERKQAFNEFLEQKKQQEAEERRAKQKKVVEGFKKMLEESQELTSSTRWSKAITIFEEDERFKAVERTKDRENLYEDYLVELGNKERAKALEEQKRNRMEYIEYLRSCEFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQIKLRMEEARKAERRNRDDFRKLMEDHIAAGVLSVNTHWRDYCMKVKELPAYLAVSSNTSGATPKDLFEDVAEELEKQYLEDKARIKDAVKLGKVNLTSTWTIENLKDAVAETTGSHVVSDANWKREESFLRETFENYVLELKEKAREKERKRKEEKDRKDKDIKDREKRKAKERRDKERGKVKDRDKNDGSDSEKADREGSHGLEENRRSGRDRKHRKRHSNSADDLSFDEKDRDRSKSSYRHSSKKSKQGGVEISSAAFTKGGNFVVTTGVLSKAREMAARSVAAGDSGQGASQGEGSNTCPGESQAGGNEEVATDKVTKP >KZM86065 pep chromosome:ASM162521v1:8:31158937:31161524:-1 gene:DCAR_026513 transcript:KZM86065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSYCLSSTRNTVKPRAFLIHSSHFDQSKYRPPFFSLSLKKDHKKLLSSRLYFPTLSAENYDLTRPFASLSSFAEADGEENSEKIHALSEHQENEKQQEELQGMAQAFNIPSSTATAISICIALAALCFPYFMNSLGQGMSLKTKLLSYGTLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVVTAAVLEFSGALLMGKHVTGTIQKGILVADVFQGKDTLLLAGLLSSLAAAGTWLQVASYYGLPVSTTHCIIGSMVGFGLIYGGRDAVFWGSLARVTSSWLISPVTGAAVSFLVYKCIRRFVYSAPNPGQAAAAAAPIGVFIGVTGISFIAFPLSKILSVAIVQALALGTVGAFLFDRIIRKQLGHLLVKSVSAQSDPKEDTIHQKNIGLLAEVAGPKGTQLEIVYKVFGYMQVLSACFMSFAHGGNDVANAIGPLAGALSILQGGTSGGELIIPIDVIAWGGFGIVAGLMMWGYRVIATIGNKITELTPTRGFAAEFAAASVVMFASNLGLPISATHTLVGAVMGVGFARGLNSVRAETVKEIVTSWAVTIPVGAVLAIVYTWILNRLLSYVL >KZM83712 pep chromosome:ASM162521v1:8:708808:709275:1 gene:DCAR_028866 transcript:KZM83712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHESEGTAAKECIQQLEYSGSRTDEGRFEEQKMGEVQICTTRMRVKENENADLKIFKIAGTKDSNKNSFDQSAVVENCSVIGQKVTGAQECNVNISHSVHNNSDDIHDARRSGNQVIPKVDSSKERLSATPGHVMKYEDEDSRFAATKLLKCLM >KZM85403 pep chromosome:ASM162521v1:8:25483041:25493647:-1 gene:DCAR_027175 transcript:KZM85403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSSGVHSNAKNSRVDSRVSRKIAGTFTEEFNRMRMNNISIYPDAQGLFANANLRMFSLAELVSATRGFIPDMILGDNHYGRAFIGWLDEDTLAPSRIGIGMAVGIKRTNSYARLRTLQAEVDLCGRFNHPYVLRPLGFCLEQQEFLVVYEYTLKGNVTRYAYKDEGKSLSWVIWLKILIGAARYLDFLHSSDDHIIYGDFTLSSILLDWNFNPRIGYSASARFGPKDGGTLITGLPNLNAQHCAASEGYFSPEYKKADATPIKGAIYTGYSGRCSTAVEVVYVHSNAKNSRSDGRVSQKKAGIFTEENSRMRMNKMGILPDAQGLFANANLRMFSLAELLSATRGFNPDMILGENYHGRTFVSWLDEDTLAPSRIGIGMLVAIKRTNSYARLRILQAEVDLCGRFYHPYVLKPLGFCLEKQEFLVVYEYTLKGNVARYAYKDGGKSLSWVIWLRILIGAAKYLDFLHSSEEHIIFGDFTLSSILLDWNFNPKIGYSASARFGPKDGGTLITGLPNLNAQHCAASEGYFSPEYKKAGHLSSKNDVYAFGVVLLEILTGRRVIDVNSKSKKRNLVNKARPVLACERKVKGVVNPKLLERETCPKVVNSILSDVPALALKCLDLDPKKRPSMRQVLEILERVNAIIQ >KZM85765 pep chromosome:ASM162521v1:8:28455530:28456900:1 gene:DCAR_026813 transcript:KZM85765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGLMRSFFILRRQLHVISRSSTTIKPASPTPSNLKRYNIPLHDLMVPEVYIPFVYFYPSHNSDHSQKLIPDSSSLSYQLKKSLSETLSKYYPFAGRLCSGTYVDCNDEGVQFVEARIGCKLGEVVQKAPVREEEEGLGHLFPPCTIWNRVTEMHSGILMHVQLSHFTCGGIAIAVTLHHHLGDAVTILSFLRYWANLSLHSRDHQKLLHLVPQLVYELMPPCDHGDSIIDFPLADKNWITKEVTFPNTNLAKLKAVVENEDKLDGVVEDQKYTRNEILTALLYRCFVAAAAETNAVAENKSVLCRAVNVRRMLDPPLPETSVGNFIVANFVPTSTESETKYRTLVAQMRKQTRQLKGLKKLDAHEVAPIVLEFTKKNYKFYSMSSICGFPVYEAMDFGWGKPIKATIVDAFTFNAVNMMDTADDGVRCLVALGEQDMKNFLVQKELLDYASLK >KZM85475 pep chromosome:ASM162521v1:8:26082891:26084740:1 gene:DCAR_027103 transcript:KZM85475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIEIDVFRFGSDDEVTELPESQLNIEFIKRVEDFLEIHHVPSRGLDLTSEGLIFDAIHFLMKIENELYVMFRRHFSGPESVVKKTVTDWINEEHHDESFDHVLELVSLRKRLVDLVDGWVVYSAPNLSALVGTLVAGKIMVTAGGLGFLAKMPSCNIQLLGFQNIFLDGLPAKFTLGYLQESEILQTFPSAVTRGAALVLAANCRTAARFDFMETDPSGSTGKHLREVVLNKLRRYEKRLLPGLGELDQSTPPESGEKQGRFSGVGLVAKPWEIAKW >KZM85781 pep chromosome:ASM162521v1:8:28608926:28614250:-1 gene:DCAR_026797 transcript:KZM85781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIANELTNQANSYDRLAEVKRFDESKLGVKGLVDSGLKTIPRFFHHPPDTLPAPKSDPRTRPDYKIPVIDLSGDRAAVVEEIRRASATLGFFQIVNHGVPLSKIDGLISAIKAFNELPMEIKSQHYHRELGRGASFQTNFDLFQSRAASWRDTLQVRLAPTPPDWNDVPEICRNEVAEWEKEVVKVGDELLGLLSEGLGLEKNKLKELSCLDGRMMVNHYYPYCPQPDLTVGITSHTDPGVVTVLVQNEVGGLQVKVGEDDWVDVEPVPGAIVINIGFESYQQTPRFFHHPPDTLPAPKSDPRTRPDYKIPVIDLSGDRAAVVEEIRRASATLGFFQIVNHGVPLSKIDGLISAIKAFNELPMEIKSQHYHRELGRGASFQTNFDLFQSRAASWRDTLQVRLAPTPPDWNDVPEICRNEVAEWEKEVVKVGDELLGLLSEGLGLEKNKLKELSCLDGRMMVNHYYPYCPQPDLTVGITSHTDPGVVTVLVQNEVGGLQVKVGEDDWVDVEPVPGAIVINIGDILQIMSNDVYKSVEHRVLANPLQEARISIAVFFNPGIRENIYGPIPEIVSSEKPPVYQSFPLTDFMTRFFTKELGGKSLIDYYRL >KZM85616 pep chromosome:ASM162521v1:8:27220437:27222696:1 gene:DCAR_026962 transcript:KZM85616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGGNLFFFIAVILFINRGNVEGRYHFHKNQAKSPGYAPSDSPLFAPSYPPPVSSEPINSPPPNDSENSTSNCVFDVMSFGAVGDGTADDTAAFVSAWKTACAVESAVIFVPSGYTFMITSTVFYGPCKPGLVFQVDGVLMPPDGPDCWPKKDSIRQWIVFYRLDDLTFTGIGTIEGNGEKWWDLPCKPHKGPNGSTLPGPCDSPALIRFFMSSNLVVSGLRIRNSPMFHMKFDGCEGVLIEKLSISSPKFSPNTDGIHIENTKSVAIYDSVIANGSIGSLGVHNSQACVSNITVTNALIKNSDNGVRIKTWQGGAGSVTGISFENIQMNNVRNVVIIDQYYCASKACLNQTSAVYVRDISFKNIKGTYDVRSPPIHFACSDSVACTNITMSEVELFPEDGVLLDDPFCWNAYGVQETLTIPPIDCLLEGEPEAIVERINFECS >KZM86001 pep chromosome:ASM162521v1:8:30618019:30618906:-1 gene:DCAR_026577 transcript:KZM86001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEVVLLDCWASMFGMRVRIALAKKGVHYECIEQNLAHKSPLLLEMNPIHKKVPVLIHNNKPICESLVIVQYIDEVWNDKAPLMPSDAYQRAQARFWADFIDKKVYDAAWKIWRTKGEEQEGAKKDLIENLKVLENELGDKTYFGGETFGFLDVALVTYYSWFYTLEKLGNLSIEAECPKLIAWAKRCLQIESVSKSLPDSKKVLDFVLGLKQRMGI >KZM84852 pep chromosome:ASM162521v1:8:20183385:20186877:-1 gene:DCAR_027726 transcript:KZM84852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVTKPSNGPLGNNNSKGTSGEKISKGSEIQSKGATNLGNGSFSSGFKEAQLGNNSEQEIKKQQQLETINKFWFLLDHELVAAVNKGNHALLPMAEAKVDFLLEVVSRELLEEGVKGDEEALWSIHEFLYNNGWWEKASNLEIERNDKEGDSATMDPLLLFLSAYEHLIHPNTRIEALQGQREAVRMALNQIHYGSIELARQEQKGISMTKGEREDNKGANVSELQAQAGVMAKRGKQGSRVRPVNLQQSQPHSQDSALYINGFRQLSQGQYSEANNDTTLLNFIRANADLVHPNTIAKASNGDQEGVRMALHQIHFGSLSARPIQKKPKFISPNKKDYMVEEQKIITYDFLKVHASMVEPGVLKDALGGNDKALSLALGQIHHHSLTGDHASTKPLPQSPSQTFREALLKNSARPSPTVKRSPPPKSQSSRKKGDMAPKSSIFFTELDDSLHMKDLWSLFKKEGKIRDIILPRKRDKFGNRFGFLLASDEKQADNIISALNGKLIGSRKLYLAKAKGAQKPPSQPPKSASSTRAPKVHITPPESPKSVSHSLPRDLPSPPASVELLPDEDFIHIMENSLFLRTVKHETTDTVGMIAEGLGAVNALIRGLSGDRFIAYFPHYMDLQDTDREFLKIGFAEVRDLHLDDLLPSRKTWVEVRGLPIVGWNEDNFKNILSSFGTILQFGKTRDEEDFYQTPKFLLETQSIGFAEVRDLHLDDLLPSRKTWVEVRGLPIVGWNEDNFKNILSSFGTILQFGKTRDEEDFYQTPKFLLETQSVSEIDEIKYINLMGKIWKVRFLETTGALSQLNDAIPNDFFSYSRDSPANDFIQSRRSCESTKKSEGECMSISSNPAGSTALPEHNHFNDHELVDDEEEVQEILAEDEEEILDEEVILEENRTGNVVLIDSEIETHTLTDEGCGDITIPEEENLAGEVSNSDINPLTPFSEESPITLVTTNWLPRDRDTSPSDPLNASDSNGSVVNEESLDEFISNQDRSMDILQDLDKLKVKGRRGRPRKLNSNKINKSFKLPKRRRKKGEGLQQISHFFLNNSTDEAESILETGLLMGLLPNNSRQESLELIRQNLAA >KZM84306 pep chromosome:ASM162521v1:8:11902522:11904425:-1 gene:DCAR_028400 transcript:KZM84306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALLFSMMIITITITITILLTFLKPTHSGKTTTIFSSTSFSSNNHYNVPALFVIGDSSVDSGTNNFLGTLARADRLPYGRDFDTHRPTGRFSNGRIPVDYLAMRLGLPYVPTYLGQTGSVDDMVHGVNYASAGAGLIFTSGSELGQHISFTQQVQQVTDTFQQFTLNMGEVVAADLISKSLFYISIGTNDYIHYYLRNVSSVQSLYLPWKFNQFLVQTMKKEIENLYIANVRKVVVAGLAPIGCAPYYLWQYRSRNGKCVQMINDMITEFNFAVRYMVDGLNQKLQDADIIFCDIFEGSMDIIKNHDRFGFNVTTEACCGLGRYKGVITCMTPEMSCKNASNHIWWDQFHPTDTVNAILADNVWSSMHTKMCYPESLQEMLARKTK >KZM84887 pep chromosome:ASM162521v1:8:20545264:20547138:-1 gene:DCAR_027691 transcript:KZM84887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRTKPKADLLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRTSIAQVLTVMQQKQKAALREVYKNKKLMPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEKYFPMRKYAIKV >KZM84700 pep chromosome:ASM162521v1:8:17868064:17871240:-1 gene:DCAR_027878 transcript:KZM84700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTISMHQTFRDGDTITSAGGEFQLGFFSPGSSTNRYLGIWYKKISRVTVVWVANRDSPLVNTSGFLQISREGIILQAVNSSTGIIWSWSTSKLFKNPVLQLLDTGNLILRDEDRDFKSEEDFIWQSFDHPGDNMLPGMKFGIDLVTGMNRISSSWTSVNDPSRGSFTIGLDTSGFPQILLSKGSVIQARTGRWDGYKFKGIPKRSLNGIFKDEFVFNEKEIYYRFYLVNPTSATMRIILTPTGNVKVLVWNDQQQIWTVYSSLMDNDCDRYGLCGGYGICKIDKTPRCECLRGFVPRSQEKWKAADWSSGCIRKTNLVCGTDEGFVKYSGVKLPDMRSSWYTLKMSLQECEKLCLKNCSCTAYANADARRGGHGCLLWFGELIDISDYAEDGEDIYVRMPSSELVKSRKGKLEFILITAVLLVVLVGLILLSVYKKRKLMKEESLKLDSESVSLTKIENEDLELPLYDFESIAHATSNFSPEKKLGEGGFGPVYKGTLTGGLEIAVKRLSKDSSQGLDEFKNEVSCIAKLQHRNLVTLLGCCTEKGERILIYEYMANKSLDSFIFDSNARNTLDWPKLYNIITGIARGLLYLHQDSKLRIIHRDLKASNILLDHDMNPKISDFGMARSFGGNETESNTSRIVGTYGYMSPEYAIDGQFSVKSDVYSFGVLLIEIITGVKNRLFSHPDHSLNLLGHAWLSYEQDNLLQLIDGVILESNNYSEVFRVIQIGLLCVQHDPEYRLVMSQVVLMLSSNMKLPHPKEPGFFMERYLLRQDLFVSKPNLSSSTQLTITTLLPRQ >KZM83916 pep chromosome:ASM162521v1:8:3268276:3270558:1 gene:DCAR_028662 transcript:KZM83916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITTHNALQIFTIFFLTSLTSDGNCQQINYPEKNILLQLKQFWFHPPLIKQWSSAKSSDHCTWPEITCIENSVTELDLSSKDVTGKIPPFLCDLQNLIALNFSYNYIPGSFPIGLYNCSKLLYLDLSQNYFVGPIPGDVDKLSRLTVLNLGYNNFTGEIPAAIGQLSELLVLKLSANLFSGSFQPEIGNLSNLQVLEMSYHSYGKILEGLCGGLALERFLVGLNNFSGSLPKCLKKCSRLVDVQVDRNQFSGNVSEVFGVHPNLVTISMSGNNFTDSLPDLRILVLRSNRLQGELSVSKKEYSFPKLRIMDLSHNQFSGDLPISFLDNFRVAVNSDELADMDLENTDTGSYYEASVTLIVKGLEVEVKRILSIYTSIDLSSNKFTGELLEVIGVLQSLRLLNVSHNRLLGHIPSSLGNMSIIPWQLTSLTFLSNLNLSENHLTGAIPKGRQFDTFSNESFMGNAALCGVPLMKKMWK >KZM84755 pep chromosome:ASM162521v1:8:18841942:18843105:-1 gene:DCAR_027823 transcript:KZM84755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELNTFVMVWTSVLACLCYSHTITKVFPKGTLRFLTIFPVLCLFIYLPLCLNSINLGCNSTFFISWLTNFKLLLFAFSTGPLYSDPPLSLTRFILLACLPIKIKHPNQESKNQPNKKSENYPFLEIAKNGQKYDLNGESKEYHVQELPKKGHKSLSNYAIKFLLFSALIKIYDYKDSLHPYVLWLLYCFHIYFVLELLLATFAAIARSLMSLELEPQFDDPYLATSLQDFWGKRWNLMVSNILRPTVFVPVHNISTILIGKKLAALPAVIATFFVSGLMHELIFYSYQRQKTNWQAMGFFMLHGVALAVEIGIKKMVNGKFRVPRMLSRPLTLTFVIVTSFWLFFPPFLRGNIAEVKSCKETLAFVEFVKHGRLVGPDEFSCPFL >KZM84120 pep chromosome:ASM162521v1:8:8380172:8380641:-1 gene:DCAR_028333 transcript:KZM84120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICSPWLNKETKRPPVYVDLDTEQRRSVVDNKARHLKDSSVEELEISFTRNINWGSGLGSLRKPESKIPTMVNERGTSNSRRKTRGNSNKQAIVALVSGLPEGNTTAQQRNFLSEESVGPI >KZM84267 pep chromosome:ASM162521v1:8:11293652:11295146:-1 gene:DCAR_028439 transcript:KZM84267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSVLTKSASLWFPVMQSSGLVAAKFCWKLNSSDTKSNDLTLPTAFHSKYGDRLLDTVDMRLRNGYVVPLKLDKSRGVLSGFLVFFLNFELKGGRGGDLLVFEYFGQYHINAHILSANGSEMRYPKRVRQIELCYPPLMTLGEDGWRFVKFQSDFDCNVDEIVSVKSDVCIFKYRKPPAQFLARCEFALPEEIMYVISNGKTFHGSYCTAEKKLTGLSQLCEIVGVSDLSGFEMMLFEYQVPSTLNISIFDEILNEIIFPGTSLSIGQNLQTFERIESSERDLNLKHISEL >KZM84254 pep chromosome:ASM162521v1:8:11042849:11056828:-1 gene:DCAR_028452 transcript:KZM84254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFWSKKTLIGLVLGQILSLLITSTGFSSSELARRGINAPTSQSLFNYVLLALVYGCILIYRRKPLKSKWYFYVLLGLVDVEANYLVVKAYQYTSLTSVMLLDCWSIPCVILLTWLFLKTKYKFRKYVGVAICVAGLVLVIFSDVHASDRTSGSSPLKGDVLVIAGSMLYAISNVSEEFFVKNADRVELMAMLGLFGAIVSAIQICVLERTELKNIHWSSGVVDWMYFIAFAAVVGGLVVYSGGDKEVEQNSAEVTDENAEQSKHLDEVSGLMNSSKMGMPRSSKSVDASTSRGYV >KZM84238 pep chromosome:ASM162521v1:8:10664380:10664815:1 gene:DCAR_028215 transcript:KZM84238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDYLKKYYRNRPPPTYGIIPFEVSCAGQLEWRGMSAKDKAPFRKLAREMRAEGRSFFSF >KZM84954 pep chromosome:ASM162521v1:8:21115822:21116727:-1 gene:DCAR_027624 transcript:KZM84954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSFGLHPVRSISLPSRLHPTSLKVDTEFDKLKIWEASLPSLEESISGQTILKGLVGLAELYNSVEELLQTSRTQESILHHQDSKVFEEALEGSVTLLDAGSCAKDLLSKMKEHVQGLQSSLRRRGGGSIQQDVNAYTTFRKKAKKDALKCIRLLKRAEGKFVFLPLLELDPHLLMVIRVLRELSSITNVIFRHLLLFLSTPSLLKTKLGGCSLISKLMPVGLVVIEKGKKINNEVGRVDVALCSLSAHARGTGVTDEVRTVQNKLEALAVSIDGLESGIDAAIRRLIQYRVSLLNVLTC >KZM85417 pep chromosome:ASM162521v1:8:25579804:25581069:-1 gene:DCAR_027161 transcript:KZM85417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLKNFIHFFLICTIHFISSSTAKTSTRPKGLILPVYKDLSTHQYITEIKQRTPLVPVKLAVDLGAEFLWVNCEKDFTTSSYKPALCNSAPCKLSKSIACTTECYSAAKPGCTNNTCALFPENTIAPIQTSGSLGSDAIEVQSGAGSVTVPNFLFVCGSTILLDKLASGVTGMTGLGRAKISMPSQFFSAFGLRRKFGVCLSSTPSKGSIFFGEFDSSTAPLTHTPLLTNPVSLSSTKEPSAEYFIGVTAIHINGKAVHVNPALLSIKNDTGHGGTKISTVDKYTVLEASIYKAVEHAFVKELNVPRVRSVAPFGACFDSKKIGTAYSGPAVPTIDLVLQSKDVYWRIYGANSMVQVSKDVSCLGFVDGGVDSRTSIVIGGHQVEENLLEIDLKASQLGFSPLVYRKQICANFKFAAKA >KZM84729 pep chromosome:ASM162521v1:8:18425623:18431185:1 gene:DCAR_027849 transcript:KZM84729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKITCFPQSPTWVSCKSHNLSFVPEFGTSLKKDLSLRSSCVVKAKVGSDGGEELGEGVSRKNLAVFVSGGGSNFRSIHEAILDGRINGDIVVLVAKCGGANYARNKGIPVIVFPKTKSGSEGLSPHDLVSALRKFKVDNVLLAGFLKLIPAELIRAYPKSILNIHPSLLPSFGGKGYYGMKVHRAVIASGVRYSGPTIHFVDEHYDTGRILAQRVVPVLVTDTAEELAARVLQEEHQVYVEVAAALCEERIIWREDGVPLIRSKDDPDKFS >KZM83688 pep chromosome:ASM162521v1:8:466294:467204:-1 gene:DCAR_028890 transcript:KZM83688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVEDSTNSPENSETLPPSAPPLPPPAPPVVANDVEQGETPSASVTKTPEIRRSWRREDLYKNASLALRVVGLLFSFLALIIMATNKHDGANFVDFDEYSYLLAVAVISTVYTGGQVYLQVHELATGVQTFSHKKLSLCSFIGDQIAAYLLISAGSAAVPITNSLRELEDDYSSGGSLFTDSSSAAIAMAFIAFLFLALSALVSGYKLSRQSYI >KZM85299 pep chromosome:ASM162521v1:8:24511041:24511723:1 gene:DCAR_027279 transcript:KZM85299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGECRLVRGQPEAQYHRFIGMISDQASFPPASVNDHSIPRKLFITCFFFTLIIFHRSQGTSTLESGLCFH >KZM84987 pep chromosome:ASM162521v1:8:21490913:21493118:-1 gene:DCAR_027591 transcript:KZM84987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCGFKGESGFSAGATGEKVTEGVDGSALTCIITGSTNGIGKETARVLALRGVHVVMGVRNVNAGGKIKEEFLQKMPNARIDVMEIDLNSLDSVRKFASAYVSRGLPLNILINNAGILPPPKFTLSKDGYEQVFAVNHLGQFLLTNLLVDTMKKTARETGIEGRIVNLSSDLHRRGVGKEGIIFDKINDEQSYSNSTFSVSPYGMSKLCNILHTNELTRRFQEEGVNITANSVHPGLIATNLFSSNGGAVNWIMTKVMVYILKNIEQGASTSLYVALNPKVTGVSGKYFRDNNILTPSKMARDPEVAKKLWDYSVNLTEAKN >KZM85330 pep chromosome:ASM162521v1:8:24775399:24783543:1 gene:DCAR_027248 transcript:KZM85330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRQLKEGSEQHLVIKPHLQNSVNQVRKQLKAARNGKGPPGQENQNAKPDNQSSPPSKSRGRRRGRGGRKSDQGDALMRPSTRPCTAEYAPVVQVSAQSSAANEVNNSNSNEGTVCEVMRDFPSSSKSLSFAQRPGYGQLGTKCIVKANHFFAELPEKDLNHYDVTITPEVSSRNMNRAIMAELVKLYKESDLGMRLPAYDGRKSLYTAGELPFAWKEFNIKLTDEDDGVNGPKRVREYKVVIKFAARANLHHLGQFLAGKRADAPQEALQILDIVLRELSNKRYCPVGRSFFSPNIRKPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEALPVIEFVAQLLGKDVLTKTLSDSDRVKVKKALRGLKVEVTHRGHIRRKYRVSGITSQPTRELVFPVDDNSNMKSVVEYFQEMYGFTIQHTHLPCLQVGNQRKANYLPLEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDREHDILQTVQHNAYDQDPYAKEFGINISEKLASVEARVLPAPWLKYHENGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQENVARGFCSELARMCQVSGMEFSPEPVIPIYTAWPDDVEKALKHVYHACTNKLKGKELELLLVILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKVTCLISYPLPDLICLEMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRELLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGNVLPGIIMLFMSKLAVLLIHGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPELQENGCGVGQGTKNTRGSGESGVRPLPALKENVKRVMFYC >KZM85489 pep chromosome:ASM162521v1:8:26221544:26221699:1 gene:DCAR_027089 transcript:KZM85489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSRYPNGQVEQISQIKYKYLKLHSSFQLESIPGIRPRLLSLSNLLLQF >KZM86112 pep chromosome:ASM162521v1:8:31426583:31429207:1 gene:DCAR_026466 transcript:KZM86112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAQKLKEAEITELDSLLLTRNLLRIAIFNISYIRGLFPENYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGKLIIRLEAQSVYDALQKKYLKTLLFCVCETIDGPMIEEYAFSFSYSNSESQEVSMNVNRIGNKKQGGTFKCNSSTEITPNQMRSSACKMVRTLIQLMRTLDKMPEERTILMKLLYYDDVTVCHFI >KZM84181 pep chromosome:ASM162521v1:8:9834343:9845714:1 gene:DCAR_028272 transcript:KZM84181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSIHNYSPESMETMTAITESDLGETVRRRISAPESDRSRIGDAPEFRNGANDRKEIEDKDDKEKEEIQNFALRPSAPAHRRIKESPLSSSSIFKQSHTGIFNLCIVVLVAVNSRLIIENLMKYGLLISSGFWFSSRSLRDWPLLMCCLSLGIFPLAAFLVEKLVRKKYINEKIAVAFHITITTTALLYPVYVILRCDSAVQSGLILMLFSCIVWLKLVSYAHTNYDLRALANSGKGKFLSSMLNEDYSDQVSFKSLAYFMVAPTLCYQLSYPRTTSVRKGWVLRQFLKLVVVTGLMGFIIEQYINPIVKNSEHPLKGDILYAVERVLKLSVPNLYVWLCLFYCFFHLWLNILAEILRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMVRHIYFPCLRNGIPKSIAIVIAFLVSAVFHELCIAVPCHIFKFWAFIGIMFQVPLVVITKYVHDKFRNSMVGNIIFWCFFSIYGQPMCVLLYYHDVMNRKLNASGTYL >KZM84866 pep chromosome:ASM162521v1:8:20270591:20274341:-1 gene:DCAR_027712 transcript:KZM84866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGASKRKRANVEEDKEQPVEVKTRKGSRDKAPVVVFAHGAGAPSTSDWMIRWKELLAKALSAVEVVTFDYPYISGGKKRAPPKAEKLIDFHSDIIKKAVAKYPDHPLILVGKSMGSRVSCMVAGGEHIFASAVVCLGYPLKGMKGAVRDDTLLQLKVPVMFVQGSKDALCPLEKLEAVRKKMTSLNELYVIEGGDHSFKIGKKHLQLEGSSQEEAEECAANAISKFISGLMEGR >KZM85111 pep chromosome:ASM162521v1:8:22816709:22818238:1 gene:DCAR_027467 transcript:KZM85111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGAVAAKFCLKITRRDVSSNSLTLPNAFYSKYSNRLVGHVELNLRSGYVLPLRLDYNTGVLNGFLVFFMELELKGGEFMLFEYFGRYNFNVYLLGTNGTEIDYPHTVHYMQRRLPRAGWRFVKLQTEFDSNLDEITPTPAFLERCAVLLPDRITYIISNGKKFHGSYCHNAERFTGLRSLCDIVGVDDLSAFHMMLYEYHWQSVIKISVFDKDLNEIVFSGTPLSKDANSHCPSIGSYFAITISPKHMNEDCYTVDIPNEFSDLVNIHWKLQTHKRDDYHCRTIEDGWQAFRDGMKVDEGDLCIFECPMDTFDQFSVRVMKHPDIDF >KZM85724 pep chromosome:ASM162521v1:8:28114150:28115754:-1 gene:DCAR_026854 transcript:KZM85724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWMWNYVLWSILITLMPLSWHLWRKNSYRRSKLPPGPRGWPVIGNIFDLGASPHRSLAALKQKYGPVVWLNLGPVKTMVILSAGAAEELFKNHDLSFIDRFNNDVMRANDYYKSSMVLGKCSPYWRTLRRICTVELFSNKKINETVLVRQRCVNKMLSWIEKELVESATGEIEVKSFLFPAIFNMIGNLTLSQDLMHPNSEMASEFYSALSGFSECLSSPNISDLFPWLRWLDLQGLRRRTDRDLKKAMQIISGFVRERVKQRQHGEGRATEHKDFMDVVLDYEGNGKDEPAKLSDHQITIFLMEMFIAGTETTSSTIEWAMCELLTNPESMKKIKAELGRVVGANKELEESDINNLPYLQATVEESLRLHASVPLMLPRKAVQDTTFMGYNIPKNTQVFVNAWGIERDEENWEDALSFKRERFLESSIGQSFKFIPFGAGRRICPGLPLAHRVLPLILGSLLHHFDWKLCESVGGEIRMDMREAMGVSARKLVPLRAVAKQMTA >KZM84178 pep chromosome:ASM162521v1:8:9753135:9753891:-1 gene:DCAR_028275 transcript:KZM84178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTPEAADVLRKCNVLIAPSVVAGKYELKETNVNWSPEDFESKLQCKGGNSGEKALSLLTEHDG >KZM85383 pep chromosome:ASM162521v1:8:25276138:25277340:-1 gene:DCAR_027195 transcript:KZM85383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENNKSQRASEAWEMVMSNITDDLWTEIFLRLPFESLLRFKSVSKTWLSIISSHRFALSHLAIAPKDDQILIVHHETGYPEDGEDGHFSLYHLDPCRILENLNFPYSQGEYPFELDNSQLIGSECGIVCVSVCVSDWKAAKNKYDLYLWNPATKQSKLIPPYARPDNTMSRAALGFGFDHIDLDFKVVRFISSTLSAEVYSSNMNNWRKIEQNLSDIYGYISFYICFHGFLFALQDYRGTKGMVAFDLNKEVFICDNNLPVGSFEYVEYSKIAQYKDTIAFIVSDSITDNNKINLWILDNIACLRGGVEASWTKVLSFDVGAPYDVVEGPFNDIQFLLEDVDCINRLLYNSNNKLTTEFPGFPKIAPCELFKYTKSLFSLTGFKRIKWAAPSLRLQENL >KZM84757 pep chromosome:ASM162521v1:8:18854076:18858163:-1 gene:DCAR_027821 transcript:KZM84757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLVLDTAIRNWVLIPLSVVMVLIGVLRYFVSKLMRSSQNPDLKIVKEGQVIVRARNLRAAANFIPAKAFRARKAYFTNEENGLLHVPKGQAQNNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYIFNFISIKLIHFSLHQTMFVILIASKQLNTVFCFFIRYFLNLFGLRGLFSLILGEENATDDTQRMMQMSGFGFDPSKSLGAEKDGLDIIQHDWAMPKFEQRAEAVLRKLVS >KZM85433 pep chromosome:ASM162521v1:8:25721297:25725462:-1 gene:DCAR_027145 transcript:KZM85433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSKNETKGVQIEEEEEPILMEQPQKFCMFPIKYPQLWEMYKRAQASFWAVEEVDLSLDVQHWETLSTSEKHFISHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSMEKHRLFNAIESIPCVAQKAQWALNWIRSSTSFAVRLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACSLYSLLWKKLQPQKVHQIVHEAVDIECQFVCEALPCALIGMNATLMSQYIKFVADRLLEALGCERKYNVENPFDWMEFISLQGKANFFERRVGDYQKASIMSNLQHGDGNFVFKLDEDF >KZM84658 pep chromosome:ASM162521v1:8:17384868:17392565:-1 gene:DCAR_027920 transcript:KZM84658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEGEEVVVVAGPDSPPVGSPADTNFDEVPKPGVDKKPHSASKSVALTDDLRHKIIKQVEYYFSDENLKTDNYLMNYVTKDKDGYVPISVIASFRKLKKLAPDRSLIVAALKDSSQLVLSSSKKNVRRLNPLPFNEVKDPQLCTVLVENLPEDHSPENIRKIFCEAGNIKNISIRDPNVSRETKSCSVAEKLLCGKVNTLNDERDWRYGMRVKLLKKQVKQGQKKPGWREAGSEKHSSAHTTDSAGEKENHVSSEQHNDTPDDEEDGEHQTKEKNGNRARNRGRTRNQKYRSTNGLGHGSVHLNHVGETSKPPPGPRMPDGTKGFTMGRGRPLSVNQN >KZM85841 pep chromosome:ASM162521v1:8:29206647:29207198:-1 gene:DCAR_026737 transcript:KZM85841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSNDLDRPERVINGPRPSPLKINKHSNAIQKPPHHHRKLPVIIYVHSPKIIHTKPSDFMALVQKLTGSIQRNENALNDQNSNSNKNLIVGAIYNEKEESSSGVADEMNDANGDVKEASSTVPPANRNRDFLNDFPLYTPNYYPGDHVLRYSDMVFQSPNMGNMLTLSPSFLEVMKDLPQY >KZM85550 pep chromosome:ASM162521v1:8:26730304:26730813:1 gene:DCAR_027028 transcript:KZM85550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILPSRTSLNIFILLQLLISSRIVSANTWAGSKYEVECTMCAACDNPCNPPVYASPPPPATPSPPPPAPTQPPPSSSNCPPPPSPPSSGGNNNYYSPPPPSQPNYGYSPPTTTGNYFPPAGNFYPTPPPPNPIVPYFPFYYHTPPPASSSTKLPIPTFLILIFLFIL >KZM84568 pep chromosome:ASM162521v1:8:16331617:16332834:-1 gene:DCAR_028010 transcript:KZM84568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKKRKTDENGGVFTESPPQNTHQLSPEDIKKILEPFTKEQLLEIVQSSITRDPLTLSAVRTIADNDPAQRKLFIRGLGWETSTEKLQAVFSGFGEVAEAIVITDKNSGKSKGYGFVTFKHIDGAVLALREPNKKIDGRVTVTQLAASGVGGGNVGGVDVSMRKIYVGNIPFEISSERLLGVFEGFGEIEEGPLGFDKQSGKAKGFAFFVYKTEEGARNSLAEPMKVIDGFQVNCKYATDGKKPRPGENVGQPGGGNPGYGYQGGVGQFGGPGQYGGFGGGMQVQQGGHQGPMVNSGPGFGNQGPGQGVYSSHVGGGYGGNGQQFGTGLLQPGEYGGGSNNMGTGSGYRMPPSSGGVPPIGGYQDGGSYGLPSTGYGQPQLPQQSGPRGPPGGMYQGVPPHYY >KZM85125 pep chromosome:ASM162521v1:8:22934383:22934817:-1 gene:DCAR_027453 transcript:KZM85125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALFGGHDKKSDDKKPDAASHAAGATAGDHHKPSNSELLASAKVVADAAMGKEKVDKGKVAGAAEDLLQAAEEYGKLGDTSYGKYVDKAEEYLHKYRDTTGHDPPKAGDAKPAAGSGKEGKADEKDGGGMGDQVMKMAGGFFK >KZM85102 pep chromosome:ASM162521v1:8:22755522:22760609:1 gene:DCAR_027476 transcript:KZM85102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQPHDYSAMAFAQQQQQAANIQQQQQQFGFHPQHQQFPPSVHGPPFIQPHPSMQPYPFHHMQQGQLHPHPPHPHLLHLQQQQQLNPSFPPHMPPHIVPSPFRGSYDSPPPAPPPSDPELQKCIDKLVEYAAKHGPEFEAMVRENQKDNPAYRFLFGGDGHNYYRYTLWLATRPPGGPFNPPFPSTSIPVMHPPNPMVTPSPPNDPKLNASGVGPGATSSLLNVPQMHQPPFPPFYDQQHSQPFPGTMGGPGRQHYDQSSGAFKGLSGPLPSDVAMELNNVLNGLTGTKESIKGAKLWFMQRSPFAPALAEALRDRVYAVDDSERQLHILFLSNDILFDREYTYQVSITIILQDMFEIVTRDMMVIETCLQRRTNTHDLSNEALAFRPVLGSILARIYHNPQNKEENQERLQKVLQFWASKEVYDRDTIRLLESEMIGGVPTNSFTGHPQRDFSSVSADASTFSGLPHQTSNHNVLHWQSDKQNFSDQERLENQVPSLSAMPQQFLQNSSPAGSFPGAIPMLSSAQPTNLQSAPHLLPAQTSNIGEKLPPYPLFPPGLIPGMVRKMQIGSGVPYSPMSPLDIPTMIPPSTTSPSELLERVSKFFREIGEVNPSEGPLNSADDDDEYERDSPVRKGGTCIPPPPNLQVDPETGTLPDGSVERKPGSVSSGRLGLGATANPNEASQYDDVYSSYRKQRSTNYHTSMSARSATTTTR >KZM84812 pep chromosome:ASM162521v1:8:19596654:19597346:-1 gene:DCAR_027766 transcript:KZM84812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPHCVMKEKISIPSSQHSEKDLVTRRSGKRSFQNASDEMIKKSEKCSSASDGKHPVYRGVRIRSWGKWVSEIREPRKKSRIWLGTFATPEMAARAHDVAAIAIKGHSAFLNFPELAHQLPQPASKSPKDIQAAAAQAAAMNNVPSHEAEAEAELSGAEATTTTITSDSSGESSSTSPISHIEDPFLDLPDLYLNLGHKIGHSIHEFPWSLARAETVDSELWLDEPFLW >KZM84598 pep chromosome:ASM162521v1:8:16686955:16687257:1 gene:DCAR_027980 transcript:KZM84598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSLVFLLFLLTLASFAATIHGRKLKQSMQVEQQAYPPPPPPPSIGSLYLASLPKGTVPNSVPSKQHHSFVVDEKLIARHLAALDRILRSVPSPGVGH >KZM85334 pep chromosome:ASM162521v1:8:24833946:24837554:-1 gene:DCAR_027244 transcript:KZM85334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPPKIMNTRYLDELNFDQLTLDDLVQLELDMKTAIAQTRATKASVDERLLREENEILVQQISAMAKQNDNNREADIEMHDREKNETNHSPLQRTLKLLF >KZM85179 pep chromosome:ASM162521v1:8:23488564:23491606:1 gene:DCAR_027399 transcript:KZM85179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNTNMKKPLSDIEVPKQTRHIVSWSPEEDDILREQIRNHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKAEALAKENNPSYINLNNKRVIFQDVSSADGLSENGAPLRKIRRDHILNLTENCNPNIRLEVECAKVNPQVRPPLAELAQNFETVRALPTQNCSKITKDIKDGAVNNDLTQGMYLKKDDPKLIALLQQAQLLSSLAVKVNTENTDQSLENAWKILQDFLNQSKDGDMIRLRISDMDYELANSKVLTEDLKSGKEDSPLSWRLSDLYVDSPDSSEYSTGSTLLNHVAGEKISCSEAVHSLVAEPQPTYIGDHKILADVAKEVICVAPSNQSEKILKPDTLPSCSNPETDDEVICAISNSQFSSPIQITPLFNSLASGIPSPQFSESSRIGSVHSYFSYQFVHRLGNESDVYEKSY >KZM85791 pep chromosome:ASM162521v1:8:28681843:28682109:-1 gene:DCAR_026787 transcript:KZM85791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFSSISCISVPITFPVAKSPVLCSLPDNNSSNSSSEDSSSPAGSIRRTRKARIPTSSSQPLQKKPSVAEIERAIGAGVFRDRDTDG >KZM85033 pep chromosome:ASM162521v1:8:21932859:21939370:1 gene:DCAR_027545 transcript:KZM85033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVYKFWNCILSLSLICLTQIIPTSTATVQGNETDQLALLAFKEKIIHDPQGAFSSWNMTLNFCSWAGITCSEQHKRVTSINLASKGFVGSLPRDIGNMSFLTEIVLTNNSLQGTIPQEVDRLFRLQVLSLGQNALEGKIPDTLGRVDRLVILELFSNNLSGMIPNSVFNLSSLNVFNLANNELQGSIPTDFGLTHHNLEKIQLSDNRLSGTIPISLSNASKLQVIDLQFNSFIGPIPADFGWLLFLQKLVLTNNNLGFGGKGDLSFLESLINCSSLEILNVGANNLHGSLPPRTANLSTELTMISLADNWISGSIPPGISKFINLIFISLQGNNFTGIIPPEITKLGKLQRVILSNNRFSGNIPTSIGNLSMLDEIRLENNDLNGTIPPSFGNCPMLVLLDLSQNNLSGTIPNEFFHVSPFSVKLNLSRNHLVGSLSPGIGTLKTLIELDISENEFSGLISAQLGACIALNSLYIQGNFFQGYIPQSMRNLRSLQNIDLSRNNLSGKIPDFFATLSLIYLNLSWNNLEGEVPTKGVFANAIEFSIAGNTGLCGGIPGLQLPRCSSDRSNKHKVSSDQLFFLIGYILGLLTFCWIIKSSDQFLFSRVHIWRLLAFSWIKRKIKMSRPTRQVLSPFIRVPYQQLHQATNGFSPTNLVSKDCFGSVYKGEIGSEYQEKSLAIKVFNEESSKAFNTECKVLLYMIQRNIVTIRSTCLSTVKNREFRAIVYNLKEHGSTTHSSLVAVAGTCGYMPPEYYRGSRVSTKGDVYSYGIILLEMLTGKKATDLMFDEGFKLQNFVSNALPYSVRNIIDPVNLHELDTGNAAETEICLSMLFDIGVKCAMEVPQFRPCIDDTLSMLEKVRSKYMDPKLVTLSYMDLRQATNGFSSTNLVGAGAFGSVYKGRFISGKSGIENGTETAVAIKVFNLERRGAVRSFNTEYRILRDIQHPNLVKMITACTSVDQEGHDFRAILYEFMDCGSLDIFVSLALPNDVINISDPLMKLTTSASIGDDKRVEYCLTKLYIIGLACSKTSPKDRPDMRKLLRELESIKNSFGILLRRYEL >KZM85224 pep chromosome:ASM162521v1:8:23909304:23910579:-1 gene:DCAR_027354 transcript:KZM85224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGTGGGGGGPTAAAALAAAQKQKTLQQKVDNDIGNIVDNFSFIVNVARVNDPPVRNSQEAFMMEMRASRMVQAADSLLKLVSELKQTAIFSGFATLNDHVEQRTEELNQLADKTDGMLARIGEDAAASLTELESHYYSSVRTSQIDTM >KZM84466 pep chromosome:ASM162521v1:8:15001468:15008161:1 gene:DCAR_028112 transcript:KZM84466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEHTFIEANGLKHHVAQVGSSSGSPTTLLFLHGFPELWYTWRFQMIAAANAGYRAIAPDFRGYGSSDPPAQPEKATFDDFVSDMAAILDALHISKVWVVVPTLTISNLFVSMLLQVFVIGQDFGAIVAYEFALRYEERIHGIITLGVPFMAPGSLDFKNTLPEGFYITRWQEPGRAEADFGRLDAKTVLRNIYILFSSSEIPIAAENQEIMDLVEPSNPLPSWCTEEDLAVYGGAYDKSGFQTALQVPYRDFLSKKYTQKPSTDPRVDAPALFVVGEKDYVFKFPNMENYIRSGAFKMYVPNLEIEYIPEGSHFISEQFPDKWDNTSIFLLYGDCEALRMEKIEHKSIQVNGLKLHVAEIGSASGAPTILFLHGFPQLWYSWRFQMIAVADAGYRAIAPDFRGYGSSAPPVEPEEATFDDFVADVAAILDALRISKVFVIGQDFGAVVAHRFALGYQERIHGIATLGVPFTPPGPVDFKNTLPEGFYEPGRAEADFGRLDAKTVLRNIYILFSSSKLPIAPENLEIMDLVKPSTPLPSWFSEQDLAVYGAAYDISGFRTALQVPYRDFLSKKSTQQPNTPEPRVDVPALLIAGEKDYAFKVGDMENYIRSGAVKIYVPNLETEYIPEGCHFISEQFPNEVNRLILSFISKNIQE >KZM85591 pep chromosome:ASM162521v1:8:26971102:26972751:-1 gene:DCAR_026987 transcript:KZM85591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDHLRTPQQPHKLAKRNLKWSFEQYRSPRKFVEQCNDAVIAPGPDDVSINSVKDSDDFQVDESSVENSMSSPSTAVPPSVASADLYLSPLSSALTSDGSPILSDLSPLCSALTVNASPVSSKCSQMSSTITSDKVHTEDVRVNCQTADLSEYVKRDSGKIELFGKKLRQVRTQRMNSDNMILLSKSVLDELLKIAIEDLHNLPEKRGWFSEIVEKKVMNVLRKLFVVTLTFMLLIIFVGVFIFSSDKRSSYNELVPT >KZM85834 pep chromosome:ASM162521v1:8:29163048:29165637:-1 gene:DCAR_026744 transcript:KZM85834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQTQNNLFSIPKLLNMPSHIVNLLSYILFFAFGLTLGIILTFHLRNFSLNFQLTQFSLSTSNPDSPPTQSPPSPPQAETKTETEPETERKMLHGGLEEFIRPPNVMHGMKDQEILWRASITPKIKKFPGPRVPKIAFMFLTRESVIFAPLWELFFKGNQGLYSIYVHSDPAFNESSVPKNSVFSGRRIPSKKVEWGKVNMIEAERRLLANALLDFSNQRFILLSESCIPLFNFSTIYSYLMNSSQSYVEAYDLASSVGRGRYNHRMYPPISIKQWRKGSQWFEMDRDLAIEVVSDTTYFPVFQKYCKGSCYADEHYLPTFVSIEFSARNSNRSITWVDWTRGGPHPLRYIRTDVTEQFLGKLRSEECRYNENTSNTTNVCYLFARKFLPNALDRLLRFAPKIMHFNS >KZM85528 pep chromosome:ASM162521v1:8:26542495:26543537:1 gene:DCAR_027050 transcript:KZM85528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAVISGFEPPIKPSRKVTSRDLYDKLHGATGSNSFGWNSYPPSDNSFGTSEEPSQPGGGTKRARKNVYRGIRQRPWGKWAAEIRDPQKGARVWLGTFSTPEEAARAYDEAAKRIRGDKAKLNFPPPPQIQPQARKVCPPKFTESTRSPTWSTRSLTPTEFTLSLTPAEFTESFYPTESTQSFTPTWSTQSFTPTESTQSFSPTWSTQPFTPTESTQSSFSFPTELGTNSVMGFGISQPPSFGAARMISQTDEDEFKDEMSGLESFLGLEPEVSQMNSVDYWALDDLDVLPTA >KZM85665 pep chromosome:ASM162521v1:8:27666803:27667677:1 gene:DCAR_026913 transcript:KZM85665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQVDSSTEDVERKLNGTGPWSLADDLELIHVPGHTKGSVCLLHKSLKVLFAGDHVAMDESGLCISETYNWFSVPIQIKSVKKLMQFDFEWILPGHGRRAEFRDIEEKNSAFESFLAASPRMT >KZM84813 pep chromosome:ASM162521v1:8:19604346:19605602:1 gene:DCAR_027765 transcript:KZM84813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLDRVTVDSRPDFAWVEGGATLGQTYYAIAESSDVRGFPAGSCPTVGSGGHIAGGGFGLLSRKYGLAADNVVDVLLVDAEGRLLDREALGEDVFWAIRGGGGGIWGIVYAWKIKLSRVPKTVTAFTVSRPGTDLQVTNLVDKWQHVAPKLDDEFYLSCFVGAGLPQMKGKIGISATFKGFYLGPKTRALSIISKQFPELGITEADCKEMSWIESVVYFSGLDDGSSVSDLKNRYLESKGYFKAKSDYVRTPIPTSGIKEALRILEKQPKGYVILDPYGGIMNRINSQSIAFPHRKGNLFTIQYLVEWKEADNSKSTEYIEWIQGFYDSMEPYVSSGPRAAYVNYIDLDLGAFELISTNTATLSDAVERARVWGEKYFLKNYNRLVQAKTTIDPNNVFRNQQGIPPMSTVVKFKDDV >KZM84933 pep chromosome:ASM162521v1:8:20948101:20948527:-1 gene:DCAR_027645 transcript:KZM84933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNCRCGTAAALKTSWTDSNPGRQFWGCMRFKDNRSNACNFHQWFDPPMCARSKAIIPGLLRRIRNLEDDAKKNEAAAGVNRPVKRKKSILVLLFVLLIVWVFVRANKNASPSVLELP >KZM84242 pep chromosome:ASM162521v1:8:10833038:10833517:-1 gene:DCAR_028464 transcript:KZM84242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRDTQMQKPPRKRKLRILDVDSDRDESTPPSVNISNTETIDPANSGKKAETNVYKRKRLVKCSNYVPTLQFNELVEEKVNPLPELDEMMNQDMNKTTEIADTEERIMTQEVSTQLQQAAGNLDMVIYQPLISVNPIHEVPVEKNLQSKNLNIKHDFH >KZM85372 pep chromosome:ASM162521v1:8:25234563:25235614:-1 gene:DCAR_027206 transcript:KZM85372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKDDKLHIVQRSFGHSEDDDDAFSLFHLDLGCPRINLKFPYSQGEYLDPPEYSELIGSDCGIVCVRFIVFESNAYRTDIYLWNPATRHSKRLPLPNMINDDYTKTVSLGFGFDHIDLDFKVVRVVSGSRSRSVEVYSSSKNNWREIHLKSTYVPPRISSEFCFKGFLIALKDRSRFKRIKWAASSSRSQDSWDKDSSDWDE >KZM85184 pep chromosome:ASM162521v1:8:23530161:23534148:1 gene:DCAR_027394 transcript:KZM85184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNCKSGCRGALVVLEGLDRCGKTSQSARLVKNLDELGYPAELFRFPDRNTVIGQMISSYLNSDSQLDDRTIHLLFSANRWEKRSLMESKLRNGITLIVDRYAYSGVAFSSAKGLDIEWCKAPDMGLLAPDLVLYLDISPEKASERGGYGGERYEQLEFQKKVAKSYEILRDASWKIIDANRTVEDVQEQIRDIVLDYVKTCRNGKSLSQLWLK >KZM85471 pep chromosome:ASM162521v1:8:26045957:26048572:-1 gene:DCAR_027107 transcript:KZM85471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKEVAVGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSCVAFTDLERFIGEAAKNQAAFNPANTIFDAKRLIGRKFSDSTVKDNMKFWPFTVVGDSDDRPKIVVNYRGVEKRFSPEELSSMVLYKMKETAEKYLGKEVKNAVVTVPAHFSDSQRQATKDAARIAGLNVLRILVEPTAAAVAYGLDQKLTSSSSGENIVLVFDLGGGTFDVSLLNIAKNSCKVVATAGDTHLGGEDFDNRLLKYLVEEFTRKHGKDISKNAKSLRRLRNACEKAKRVLSHSAMTTVDIDSLYEGIDYHAKISQARFEALNLNLFRSCLDTVEKCLRDAEMDKNNVQEVVLVGGSTRIPKVQQLLQQFFNGKELCKNINPEEAVAYGAAVQAAILSGKGNDDLMDVIIPRNTTYPISVEQTYYRIKDDQKAVRIGVYEGESTRVADNNLLGDFVLSELPPAPSSKDDILVTFTIDANGVLIVFAEHKSSGLKNSIKFIKGGTLSEEEIEVMVKDAERLKAEDEEFRKKVKAILAFEDYIHNMKAISQKNCMLEASVKEMLSYYFKEVIEWLNSNKNAELYEFKYKKLQLEAICNKFIPGSEGMEIEDYE >KZM85878 pep chromosome:ASM162521v1:8:29524707:29525207:1 gene:DCAR_026700 transcript:KZM85878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSFPDDNIFKILLLCLALVLCISHKCKSRFIRIKNLLLYLSRQIIKKNYPDGLTRSRYEENGAEGSGDQECSICLSDFKRGDEIMQLSCQHFFHALCLDKWLLSYGHVTCPYCRACLSPSLSLSSSSSSSTTTVLYSESGGEEVISFNFFGSSPSRGRNVWGIM >KZM85701 pep chromosome:ASM162521v1:8:27990020:27994142:1 gene:DCAR_026877 transcript:KZM85701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQASVIIYITIAILILFLISHSPTTTKPAHHRHRRLKLRSNFSFSDHSKPTHIPFDPIVADIERKREDKLWEKSQFKLDEGAAKGDESQPEWEDFMDAEDYLNDEEKFNVTNRIVLLFPKIDVNPRDGFVSEAELTDWNLKQSERETLHRTQRDMEIHDKNHDGFVSYAEYDPPSWTRHSDNDSFGYNMGWWKEEHFNASDGDGDGLLNLTEFNNFLHPADSGSPKLLQWLCNEEVRERDTDKDGKLNFKEFFHGLFDLVRNYEDESHNSSHELDDSLDAPAKKVFAELDKDNDGYLSGTELLPIIEKLHPTEHYYAKQQADYVIQQADADKDGRLTLSEMIESPYVFYSAIFNEDEEDEYEDHDEFR >KZM85840 pep chromosome:ASM162521v1:8:29203665:29204510:1 gene:DCAR_026738 transcript:KZM85840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITRLNIISDFVSPISKMPQIYSSFWKWGALLLALYATFTSLFARIKLYVVRLHSIKHSSSPYLNNAPNFSDNDDDNDDDCYNSFSARDSVDKKINSIFACNRENNDQTPCTTDQDYRVAGLYSEYRGNVDEFKISDLFTFGKRVVKQWDHLGLGLGLGFQENFTSEFSTYDTLCGNENLCSIFDVTRQIPAASALFPATIYLTEISKSGDGVKIGAYDRRIRAQNPVMYAEWGSSFGKVVGVSCGGVEKVYVKHGGAWTVGDMRSFRSPLKVFNTALEY >KZM85516 pep chromosome:ASM162521v1:8:26454719:26456465:1 gene:DCAR_027062 transcript:KZM85516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKYAVAAALVLLFVGLMAPPAAAARNQDGLLSGILGSGGRLLNIQGILYCTLNGTTGLLGSATPVFSGAVVQLKCAAGNIVSSTTTDANGAFSILLNPLQFVLSNVLQQCKIVVPTPLSNCDSTLPAVGGLVSQLQIVGSILLGLLNVLNVIPSGFSFYQIRLHRSTGTRLSSWIPVLHPTGSRIQESCCKLAGPMPLITFNRSLPGTGGLVSEWQSIGNTLVDLVFIITIVRHFDMMKIMSQQELQTSRLHLVELATQNRNRNSKFL >KZM83908 pep chromosome:ASM162521v1:8:3178659:3179252:-1 gene:DCAR_028670 transcript:KZM83908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEPSSPVVAKKLWNTVRIVFYMLRKGVPKSKLMVDIHLMLKRGKLASKNISDLMLQHHYPTTLSCGSTDVAMSLVYPREYEFSCSNSPVYPSYNSKRKHRHHHHHHRQSDQDSNVSVEASPMIHLPGFGRSPMVRQTRVTDSPFLRKDGEENINNNAYQVDKEAEDFIMKFYMDQWKQQKMIESSPSPYHAWGRS >KZM84040 pep chromosome:ASM162521v1:8:5808281:5808454:-1 gene:DCAR_028538 transcript:KZM84040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSIKSTHTTGKQGHTLIHAVGVNILLLYVFCSVSVSTISSTNDAPDHCMFSNTLK >KZM85153 pep chromosome:ASM162521v1:8:23230903:23234289:1 gene:DCAR_027425 transcript:KZM85153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQITIRAEPKCKTNATIATIFASLSCSYNTLPSRRYNSAICIYTSRSHRKYNRHSMEDSNTVDAKELDLVDNASKVQVEDNPSVEDSKHSDLVKENPMPSQQQEEAVIKKKYGGLLPKKNPLISKDHERAFFDSADWALGKGAQKSKGPLEALRPKLQPTHHQQARSRRSGRSAYAPAGEGEDIDNTAGVTSEDGGSNDDTQSEDINHHN >KZM85302 pep chromosome:ASM162521v1:8:24530392:24531778:-1 gene:DCAR_027276 transcript:KZM85302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLLDESDFTWLREVMFWAQPSAFVSYTQHKLDNTPAFESNNTNIKKRMREFLISTTVSTSSAQRNEVLEETRSRQHVLKERMRREEQRHNYMRLHSMLPSRTKTDKLSITVTAAREVEGLKKRREELKRHNSEMNKMLRAREGSSDQGSEMEEAKIRINVEHPSSGIDSMLEVLKCLKQTDSSTRLVQSQFLPQQFSAVLGVETKVGAAEIESAIHRKLVQTEQRFRINNKEASYKDGTF >KZM84434 pep chromosome:ASM162521v1:8:14449845:14451155:-1 gene:DCAR_028144 transcript:KZM84434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGLSLTNASNFVAFSGDGTVKKINENSCKMSRKFSVDAGFAARKSAARKVVERSSCLARLWKEVRGVHNGKDVVEPLDPLLQHEILRYGEFVAACYQVFDLDPGSSRYLNCKYGKNSMLSEVGLLDSGYEVTKYIYATPDITIPTQNDRCCGRWIGYIAVSSDEQVKRHGRRDVMVVFRGTVTHHEWLANFMSSLTPARLDPHTSRPNVKVGAGVLSLYTSNESGNKFGLGSCREQLLCEVTRLLNMYKGEETVITLAGHSMGSSLALLLAYDIAELQLNVNDNTKNKIPITVVSFGGPRVGNQGFKERCDELGVNVLRVVNVNDPITKMPGVVFNENFRVLGMNMGFPLLCCSCYVHVGVEIALDFLKMRNPSRVHDIETYLSLLKLPNKAEIKQKGLDIVDKVNWFLSRAQNIKSLETAMDMVSMTQSQRT >KZM85946 pep chromosome:ASM162521v1:8:30192859:30199316:-1 gene:DCAR_026632 transcript:KZM85946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSKLQTFNQGNMLLGSLKFLNLSHCHDIVKTPNFAKLYALEHLLLEDCPSLVEIDESIGMAGGLVLINLKDCKRLRKLPENFCMLKLLEILIISGCSSLCMFPAEMRKIESLKVFHADGLDFSNSSYTSHQNESWRKFIWNLVAKPRNSPQLTSLPCNSITSLSLANCNLNDNSFPRNFRFEHSLEYLNLSMNPIRFLPDCFRGLKAVKRLLLRNCNQLQILEDLPETEELWAFECPSLEKITLKPGLLIKGYVFPRKCKKLLEMENLFKVVPIDEIDPELINNCGIYDVEFMKTIQIRLYNGYTSAERRCPIQGVHENRHGHLFSIFYPGSSVPIWFSSRSYMPSLSFIISHSKLRYLNTCIVYKLNGEQYCYFYLISHNKTKDQMIVHRPGCYGIPEGDECMTWLCHWKFSSHEAGPGDEINVSMYSYYSDNTFKVKEIGIHLVYEEQEQAGVPLAKRQKMEHTSDKSSQCVVPMGMRPLAHHGTTRLYFVGCGVTTTNSWVERYFGKYVETGEPSSSDIELD >KZM85295 pep chromosome:ASM162521v1:8:24483055:24484617:-1 gene:DCAR_027283 transcript:KZM85295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNLTSFLSEAETVKEEMNTIREILNQLQQSSEESKSLHKPEALKSIRNKINSNIVLVLKKAKSIRGQLEDMDRSNAVNRRLSGCKEGTPVDRTRSAVTNGLRKKLKELMMDFQGLRQRMMTEYKDSVGRQYYTVTGEYPNEDVIETIISNENGGEKFLAKAIQEHGRGKVLETVVEIQDRHDAAKEIEKSLLELHQVFLDMAVMVEAQGEQMDDIEHHVMNAAQYVSDGSKNLKTAKGYQKSSRRCMCIGIILLLILILVIVIPIATSFSKS >KZM85037 pep chromosome:ASM162521v1:8:22017565:22018524:1 gene:DCAR_027541 transcript:KZM85037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSGEGSSSHIHYHSIVQENKSGFKRTDVAETIAKWRASNDELNALYGAGIRGPRVPGIGSKKGCMKGKGGPHNGCVGYRGVRQRTWGKWVAEIREPNRNKRIWLGTYETAEEAAEAYDRAALAMYGTSARINNVEGYNLLNQSSIGLPSIASMYAASQDGRSDFGGSYDGYGGWDDLVIVDSSVPFLTAEGMAIEAPKEFAVSNKDGNGEEEPEQELSLSDIEDILNILYSSTDTDGSGPKPFVENQGSEVCHMEPNVENVVYPAAISEQLINNNADATGLLHGNSEFDFLKPGRQEDLNYSLDEMGMLEFYYNMEG >KZM85586 pep chromosome:ASM162521v1:8:26908778:26911744:-1 gene:DCAR_026992 transcript:KZM85586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNKDVSKGMENLSIAESSSTTFKKKPVIIIVVGMAGSGKTTFLHRLVCDLQGSKKRGYVVNLDPAVMTLPFGANIDIRDTVKYKEVMKQYNLGPNGGILTSLNLFATKFDEVISVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITESFASTFPTVVTYVVDTPRSVNPNTFMSNMLYACSILYKTRLPLVLAFNKTDVAQHQFALEWMEDFEVFQAAVESDQSYSSNLTRSLSLALEEFYKNLRTVGFSAVKGDGVDAFFKAVEASAEEYMETYKADLDKRREEKQKLEEDRRKETMDKLRKDMEKSGGETVVLSTGLKDMDISSTRDTAMMEEEEGEEEEEDEDGYKRFGEDEDVTDDGEDDDEDDEVASFAL >KZM85760 pep chromosome:ASM162521v1:8:28417544:28421962:1 gene:DCAR_026818 transcript:KZM85760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQSPPAPATTEAPPKQVALAMDRLNHAGRLIADIRLGADRILEAMFMAGQNRQSNKPLKLIVQEESSMRQFLQDLRAVGKQLEDSGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKKRFFPHLDDDNETGSPLKKRCDKETSTGSEEEEPSELTTVSDILLSLEKEVQDVKTFTYQRLKWLKRAALLPMSSSEPFMDPSKEHSFHNSNKLGHSTMKDDAAGKISVIELLFPSIFRAVLSLHPAGSVYPDAVAFFSPDEGGSYLHARGFSVHHVFRHITEHAALALQYFTGIKAETALHSLLLWICSYRTLFTKVCSKCRRLLSMDKQSALMLPPVQRPYRDFPVRKFTSSEQSSATNHYQIIDSIEAYHIGCFPEEI >KZM85167 pep chromosome:ASM162521v1:8:23363345:23365254:-1 gene:DCAR_027411 transcript:KZM85167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHERSHDDGDKLDEVMLPGFRFHPTDEELVGFYLKRKIQQRPLSIELIKQLDIYKFDPWDLPKMASTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGTSKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLGDSVPPKRYIDKNSIPANDAWAICRIFKKANSNAQRALSNSWVSPDLRPAHTTTLTSQNLLPHYQTSTTTTPTCNNNLHHSSSITNPTPNFDLSLYKAYNQMASGGGYAFFGQETCNIDTSSLLFNMSSTMFGDFEKVPECVDYKGIEEQYASTCFPPSLQQVEQNVGHGGENLMALLKESCPSVIPLDDELGVNIRASMGLPYIFPLSMSETWRSSFGV >KZM84299 pep chromosome:ASM162521v1:8:11793679:11801308:-1 gene:DCAR_028407 transcript:KZM84299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQRTTYDSQSSKEPTEHELVLSGNSRKAMFQYLGAGIAMMIFRLHSALSQQDKLDSCVTATLKIVISMSLAKGGYPLVKDSKKEGWVVCECEYEYEHDVKESPSPVPNAPPPRKAPKAVDEDLYNIFPDLLYANSKRVCFSSALSFVSKIKSLKSLFCLFRKGLSFFKAAYALYDSEFYVKADADIFLRPETVTDKVLLQSEEQERKVVLMAYTLLSSSPSTLPCREASSSFSHPNSVHFTSFACVSKTLNPSKISLQITQVSLQDPHTDEKTAPSPKRNIWVNPNNPKASLLRQKSFDARYSSLVTIAQSLNLCEPNQQAVFDVLDTLGDKIVEHDGVGIINNMSNPETAPIVLDYFVKRVKAFREVVLYNVTLKVFRKCKDFDRAEKLFDLMLDRGVKPDNVTFSTIISCARLCSLPNKAVEWFEKMASFGCQPDEVTYSVMIDAYGRAGNVDMALNLYDRARTENWRIDAVTFATVIRIYGTSKNYDGCLNVYEEMKALGVKPNLFLYNNLIDAMGRGKRPWQAKTIYKEMIGNGLTPSWATYAALIRAYGRARYGEDAVAVYKEIRAKGMELNLVLYNTLLAMCADIGFTDVAADIFEDMKRSENCKPDSWTFSSLVTIYSCCGKVSEAEAALSEMVEAGLQPNIIILTSLIQCYGKANMTDEVVKTFNRLLQLDIAPDERFCGCLLNVMTQTPKEELGKLTVCVEKANPKLGYVVTLLVEGDDSEGSNFKSKAGDLLGSIGNDVRKAYCNCLIDLCVNLDLLEKACELLDLGLSLEIYTDIQLKTPTQWSLHLKSLSLGAALTALHVWINDLSRSVESGEELPTLLGINTGHGKHKYSGKGLAGVFEGHLKELNAPFHEDQDKAGWFLTTKVAAKSWLRSRQSGEVVAS >KZM84384 pep chromosome:ASM162521v1:8:13546503:13549897:1 gene:DCAR_028194 transcript:KZM84384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVKSVVSCLVLLFFVVLQQCAVNAEDPYRFYSWRIHYGFVYPLGVKQQVIMINGQFPGPQINAVTNDNLIISVYNALGEPFLLSWNGLQHRRNSWQDGVPGTNCPIPPRRNFTYMLQAKDQIGSFFYFPSLAMHKASGGFGAIKIFSRYRIPVPFAQPAGDHTVLAGDWYKRSHRQLKMILDAGHALPAPDGILINGQGWNGYTFAVDQGKTYRFRISNVGLTTSINFRIQGHTMKLVETEGSHTLQNSYGSLDVHLGQSYSVLVTANQAPKDYYIVVSSRLASPVRTTTAILHYSNSRTQVWGPPPRGPTSQVYSSLAQARSIRWNLTASGPRPNPQGSYHYGLIKTTRTIMLVNSAPWINGKQRYAINSVSFVPGDTPLKLADYFNIGGVYNLGSMPDRPSYGGAYLTTSVMAADFRSFVEIVFQNWEDTVQSYHIDGHAFFVVGMDGGQWTPGSRSRYNLRDTVARSTTQVYPKSWTAIYMALDNVGMWNIRSENWARQYLGQQFYLRVYSPAHSWRDEYPIPKNALLCGRARGRHTRPF >KZM85319 pep chromosome:ASM162521v1:8:24665553:24673586:-1 gene:DCAR_027259 transcript:KZM85319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVARINMCHGTRDWHKRVIERVRKLNEDKGYAVAIMMDTEGSEIHMGDLGGAPSAKAEDGELWTFTVRAFDAPRPERTITVNYDGFAEDVKVGDELLVDGGMVRFEVVEKIGPDVKCQCTDPGLLLPRANLTFWRDGSLVRERNAMLPTVSSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIKARARDSDISVIAKIESIDSLKNLEEIILASDGAMVARGDLGAQIPLEQVPSAQQEIVQVCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPDKALTVLRSVSLRIERWWREEKRHEAMELPDIASSYSDSISEEICNSAAKMANNLEVDALFVYTKNGHMASLLSRCRPDCPIFAFTNTTSVRRRLNLQWGLIPFRLSFTDDMETNLNKTFALLKARGMIKSGDLVIAVSDMLQSIQVMNVP >KZM85424 pep chromosome:ASM162521v1:8:25647764:25649382:-1 gene:DCAR_027154 transcript:KZM85424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTCVLKVNIHCDGCKHKVKKILQKIDGVYKTSIDSEQGKVTVSGSVDAATLIKKLTKNGKHAEMWGAPKGGNNNNQPQKNVQIDHGKGGNKGQQPNKGGNNQQQPQKGGPQMPNQQQLQQLQQLQQMKGFQDMKLPPQFKDMKMPPSFGKDPNQKAVKFNIPEEDEFSDDDLDEDYSDEDDYDDDDLDDELDDIPPPNKMKPMMGQGGGGGGQMPPNMMMMNGMKPGQLPPHLMKGPPPGGNNGGNGGANAKKGGGGGGGGGNIPVQMNGNGGKKGGAGGGGNGGNQNQGGGGQPKNGGGKPNGGGGGQNNGGGGGGGGGAPGGNGGKQGGMNVMPNMMGMSGGGGGVGQMSGGMPMGQMGQMGNMQAAVQGLPAAAVNGGAPAGYYQGAGGPQAMAGNAYYQQQMAAAMMNQQRANGEGFHPMMYARPPPAVNYMPPHPYYPYQQPPSDPYTNYFSDENTSSCNVM >KZM85276 pep chromosome:ASM162521v1:8:24327607:24329036:-1 gene:DCAR_027302 transcript:KZM85276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVPLITSYSNRRREVQAFDDTKAGVKGLVDAGLGKVPEIFIHPPHTLYKTSYDKCSEFSIPVIDLERLDKDLVTRQGIVETVRYASETFGFFQVANHGIPVNVLEEMLQSVSRFFDQDTEMEYAKQMMKLALTLFELLSEALGLDANHLNDMECAKGLTSICNYYPPCPQPELTQGATPHTDNGFLTILLQDQIGGLQIRHRGHWIDVPPLTGTLIVNVGGLLQASITNSNLITNDQFKSVMHRVVANNIGPRVSVACFFSTAMMPSTKLYGPIKELVSEDNPPKYRETTVKELASHSFSEGFDKEAPLLAFRL >KZM84614 pep chromosome:ASM162521v1:8:16840583:16845861:-1 gene:DCAR_027964 transcript:KZM84614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHINTIRSVGFLGLTTITIFLVSISMDIQDPESKKYVYSVWALPDQDVIPRLKKLMGGLRSEFGGPEFEPHVTAVGAISLTESEASHKFGNACQGLKAYNASVDKVESGTFFYQCVFLLLRATPEVVEPSAHCSSHFGYKSSTPYMPHLSLLYADLTEEEKKRAQERANALDEGIGSLTFQITRLALYKTDTEDKSLKSWEKKRVVALVGFGDGGTVVCRRGLALSLLVLVTSFDVIMAIEGPCFTECHQRCAEQDDLPVDYCKYDCGCPLPKGWPAPPPPR >KZM84617 pep chromosome:ASM162521v1:8:16886067:16886744:1 gene:DCAR_027961 transcript:KZM84617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALSSLPVKELRNLETKLEKGISKVRSKKNELLFADEVDYMQKRCNNMFGLQETDLHNNNQYLRAKIADNERAQQQMNLMPGSSTYEVAQPQSFDGRNFIQLNGLEPTNHYSCQDQTPLQLV >KZM84046 pep chromosome:ASM162521v1:8:5849634:5851648:1 gene:DCAR_028532 transcript:KZM84046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQLFLKNLETIFLALASEIKNLTMISCPSASMSGWESSGLSYVQGFDAAPINKRNEGCKNCMFQVFSEDCPQTGLFLSTGLLALL >KZM84059 pep chromosome:ASM162521v1:8:6635047:6635202:-1 gene:DCAR_028519 transcript:KZM84059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPLEEFLDRDMGLGVDHPDYMDVVLPDEDQDPVNFDVALGLADQVQGLG >KZM85901 pep chromosome:ASM162521v1:8:29757288:29766878:-1 gene:DCAR_026677 transcript:KZM85901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKHGSPIKNETNSVNEDDVFSPLISIEDSDHESEIEVGVDISMDENSPCSSKNTRDSAKNSRSSHHTSPSSSESSSNLFQMDTKMFNETSTIPDDSPKSEGDCQSPNKKEISDRISDISSKSQEFDNASPIDNNSLVSHPPDKLTSQTMSEVQLPPRQVMERHADYDPNRIPASVFGNRPSSAMEWSVTSNDSLFSLNFGSNSFSRDQFLMSGEMFDEDNRKSGELYDSGELNKPGEANRSGLPPLSPIGKLRKIRTMDADKKIIDVDKKPSMDEDINDHFEKRKCELDGNGNSSMTNRPSDASATSSQSFAFPIQPHLTKFLETPSNQVDIDVEGIKAALRRRGPDSLGIKNVFLWLDDQRVVSESDELVKRGSGLGSCGKLEFIGATLQLRGSRPVSQPLVDSFGNVLVYNGEIFGGVQVSMDSNDAEVLMESLGKCCSCESHNHAKECSSSGEGLNSVPELLSEIKGPWALIYWQESSKTIWFGKDAFGRRSLLVHWPNSVDSRLLLSSVSSVSSICKSSDSNHSEITELSFWEELPCGVYSLSIQALDTDKHLVSQVRNHDWTTVMLQELIKWERSSVAPVTEQINFSALNIQSSQDMPSACLVNMPVEIGNMQALESSSSAVAVLDALRKSVMRRTVLNHICQAVPCDFEVKGNAPVAVLFSGGLDSMILAAILNECLDTKYEIDLLNVSFDGQSAPDRISSRDGLKELCKVAPLRRWKLVEIDAKLSSLTSEIKHVMSLIKPADTYMDLNIGIALWLAAGGNGRVDEFIGLDNDVVHHHVKYKSEARILLVGSGADEQCAGYGRHKTKYRLGSWPRLHEEMKLDMQRIWKRNLGRDDRCISDNGKEARFPFLDENVIRTLLDIPLWEIADLDQPSGVGDKRILREVAKLLGLYEAAVLPKRAIQFGSRIARESNRQNFGSNRAANQASAGSAVIIT >KZM85886 pep chromosome:ASM162521v1:8:29631637:29633097:1 gene:DCAR_026692 transcript:KZM85886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKKEGDLPLYGFHDPEAFVNSIQKPRVVIMLVKAGAPVDQTIKTLSVYLEKGDCIIDGGNEWYENTERREKAMAEKGLLYLGMGVSGGEEGARNGPSMMPGGSFEAYKNIEDILLKVAAQVPDSGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLSNEELHEVFSEWNKGELQSFLIEITADIFGIKDDKADGYLVDKVLDKTGMKGTGKWTVQQAAELSIAAPTIASSLDSRFLSGLKDERVAAAEVFKSGGVDDILTDQTVDKKKLIDDVRQALYASKICSYAQGMNLIRAKSIEMGWGLTLGELARIWKGGCIIRAIFLDRIKKAYDRNADLASLLLDPEFAKEMIERQAAWRRVVCVAINSGVSTPGMSSSLAYFDTYRRERLPANLVQAQRDYFGAHTYERTDMPGDFHTEWFKIARNSKK >KZM85316 pep chromosome:ASM162521v1:8:24608408:24612810:1 gene:DCAR_027262 transcript:KZM85316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADITSSTPIHSLPKKSATGDVSVAAAVPEESSDDNAAGGGYRKSVWKSVNGVVEPAPVMGGDAWPTITESTRGGSSKLVSSSSKNLSDGSVSVVQEQVTLHASPKQATANGHHNSNHNRKQPHRQRSMKKGGSAGSGSGQSDTIRPPPPPPPPPFPIPFGNVLHPRTEMPIRDPASFKGNNRDARPLAGGGSHSPRHPSRRGNFGSRPAGDGGYNHSYGARHDHDRERNASRNSSGREVHMHHMVPPPPPPPPPPRGLARPVLPGPIPYIPPPLVRPFGGPMPFDMVPPYIYGPPMSPDSFRTVPLILPPHPHIYLPLIDPHLRTLLLNQIDYYFSEDNLVKDDFLRSNMDDQGWVSISLIASFPRGDKIRRRDNWNKWIPSFRHSLPDLGLQSQSESTDIMLATSIQEVHLDKETTNGINSTDAKVEHSETEPSRVPSSDYTITQLEPGKGENLAEASIQGCA >KZM85880 pep chromosome:ASM162521v1:8:29533123:29536388:1 gene:DCAR_026698 transcript:KZM85880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLSRITIHGLHHHHTLPSLSPPHSLSFPQRPNLSLSKPKFKPNNFRVSSLGEASSHYTNNLKKIYSDNEVKVDGETYDKTLRLVECSMFAALGGLAYVLSSSLAIENYFGCFFALPIVFSSMRWGLAAGRKTMVATAILLLVLAGPIKALTYLLMYGLLGLTMGSLWRSKASWGLSVGLSAMARALGSSAYVVMLSFLIRENLFGLITINLHAILSYILTSFGIFTIPSMTTIYIIFGTLVCLLYY >KZM84343 pep chromosome:ASM162521v1:8:12775479:12775697:-1 gene:DCAR_028363 transcript:KZM84343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEESNAAQQQLLETPDGELTDSQACLACCGGCALCVVCLPCEIITAVLSCLLCPFQCCFALCLGAAAAAA >KZM84240 pep chromosome:ASM162521v1:8:10730825:10736910:-1 gene:DCAR_028213 transcript:KZM84240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKKIIWEELNREYVEEQLAKEAAAAAAKEACEAHMRNCPEDMEDARKLAAEVAAAMEQSKKERRQKRAAEAKNAAPPRTAAEATRQMLAKKKLDSKINYDVLDELFNDSVSPDNKKSRLNDEVYASGTEYVKQNMKENDSELLPENENEGGPEEFSEGLQYGNEEDYIDDYGYDEEY >KZM83827 pep chromosome:ASM162521v1:8:1921420:1921770:1 gene:DCAR_028751 transcript:KZM83827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKCGCGALAEQHTAWTTANAGRRFVACPYRRCRFFSWVDPPLCDRARVIIPGLVRRINALEAETQYFETLKGTLYEERYADVEKRKNGGCSSVLLLLIVTWVFIVLNYVLGGKE >KZM84698 pep chromosome:ASM162521v1:8:17847209:17848514:-1 gene:DCAR_027880 transcript:KZM84698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSGLVQVNRKGITLQLVDNSTGVIWSSNTSVSMKNPVAQLLDTGNLVLREGHPDFNSVEDFKWQSFDHPGDNLLPGMKHGIDLVTGLNRIFSSWRSDVDPSVGSFSHGLDPNGFPQYFVSKDSLIWTRTGLWNGYKFGGLPNKNQNGIFKDVFVFNEKEIYYRFHLINTTSAIMRFILTPSGDSKLLVWNDQQQIWMVYLTMQDSDCDHYGLCGVYGICKINNTPRCECLRGFIPKFQEEWKAADWSGGCIRKTDLVCGNDEGFMKYSGVKLPDTRHSWYNMKMNLQECEISCRKNCSCTAYAIADVRRGGHGCILWFSDLIDIRDYTVEGEDIYVRMPSSELVKSRSSRVKWKLEFILIASVLVVVLLGRIFLVACKKRKLQKEGKLVSVSLLQ >KZM84276 pep chromosome:ASM162521v1:8:11460278:11462753:-1 gene:DCAR_028430 transcript:KZM84276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPFRNCPLLDAVRQQLLDAKEEELRIVRASALFVPEYSDLQLESDKYFFTYSIRMSLKPEGCIVDGMRFGSCQLYWRHWIIRENDEIVSDVNGEAVIGKGQISITFNFQSCRIVSKESSDIKKPPI >KZM84381 pep chromosome:ASM162521v1:8:13527449:13527738:-1 gene:DCAR_028197 transcript:KZM84381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTYSLCSIVEHKDPIFDKLMSSVYEEYKDEDGCFYITISGEKKDLLPEPIPSNIMTWTFYAQDI >KZM85197 pep chromosome:ASM162521v1:8:23602118:23606648:1 gene:DCAR_027381 transcript:KZM85197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQLDLIEQSFDFRYSLWVREALDGLPDCFTITDPCISGHPIVFASTEFLKMSGYSRHEVIGRNGSMFQGIKTNRRSVMEIREAIREERSVQVNILNYRKDGTPFWLLFHLCPVFSKEDGKVIHFVGVQVPILRKLKRSGTELAGNNGVRICENGSGLNENMFGCCRREVYSDSVAELDRVSALDLVLSDDKVDEPCKAGDIEKQKAVTAMNNIFSVLTHYSELTGRLVCGKRCCVPVTSPLGASLNISLGRIKQSFVLTDPHLNDMPIVYASDAFVKLTGYSRNEVLGRNCRFLSGQNTDPSTQFQIKESIRIEQPCTTILSLSHAPNSSMYYFLSNFDGAMCWKIYNFKRLKDMSSFWNYLHISPVRNASGKIAYFVGVQIDVTDKNQDEKCSGPHMRHLGAVGAVKVAVRVSSMGASTSM >KZM85140 pep chromosome:ASM162521v1:8:23020765:23022829:-1 gene:DCAR_027438 transcript:KZM85140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCRSCMLVFVILGAYVAVSESLRFDLESGHSKCISEEIRVNSMTVGKYSIVNPNDNQPLPDSQKIIVRVSSEHGRSYHSADNVESGQFSFQAVESGDYVACFLAVEHKPATAMTVDFEWKSGIAAKDWTNVAKKGSVDAMELEVKKLLETVTSVHEEMFYLREREQEMQKLNTATNSSMSWLSLLSLVICLSVSGLQVWHLKSFFEKKKII >KZM84928 pep chromosome:ASM162521v1:8:20904526:20908760:-1 gene:DCAR_027650 transcript:KZM84928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVANGSTKPPPTPSPLRTAKFFQANMRILVTGGAGFIGSHLVDRLMQNEKNEVIVVDNYFTGSKDNLRQWIGHPRFELIRHDVTETLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQLPGTQTRSFCYVSDMVDGLIKLMEGNNTGPINIGNPGEFTMMELAENVKELINPEVQIITVENTPDDPRQRKPDITKAKEVLGWEPKVKLRDGLPLMESDFRERLGIQRKV >KZM84357 pep chromosome:ASM162521v1:8:12949807:12951505:-1 gene:DCAR_028349 transcript:KZM84357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDGNEPQSPGLGRKRRGRLTALDEFLLNRVSKMQQRGKHETLGSHTAWDDSLSNTRTALGTIDTNRSLNESNESSSTNTTPRLDVTKNPSFLTSESFTKALHRDKENVQIPDTHRGNPEPARKYRGPSIQTILDGKSKGSPSTASTASGSKKRGRGPGVNKMFMDMHKENDSVKEIPQGTKRRGRGLGAKTFAKQRLAAETQFTQNQGSLKTLGLGTPSSTITFQCTTGCGKPPCSHQYTSDTTNDSVGCARSNAISSVILEKLTSDECQRHFRPSPGASTSRVKNLFNEFEDAFDPSDFGEDIFMDGNVS >KZM84361 pep chromosome:ASM162521v1:8:13000910:13006138:-1 gene:DCAR_028345 transcript:KZM84361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGSRVNIPFSCECINGDFLGHTFAYVAQPDDTYGKIAAVAFANLTTAYWMERVNVFDPTRIPDMGLINVTLNCSCGDRHVSKEYGLFVTYPLRPGEDLSSVARESGVPDKLLERFNPDTDFSDGAAIVFLPAKDVWGNYPPLKDRKKDVAEGSFVRAGFVDGGIEHMHGAGIDLQKMSDFGQHTSGSSFNSITVNRSVEFSYEELAHATNDFSLANKIGQGGYGLVYYGELRGEKAAIKKMDIQASKEFLAELKVLSHVHHYNLMLRLTSLNQMQVCLIGYSIEDSLFLVYQYIENGNLSQHLHGSSGRRPLSWSSRVQIALDSARGLEYIHEHTVPVYIHRDIKPANILIDNNFRAKVADFGLTRLTEVTGSLQTRLVGTFGYMPPEYARYGDVSPKIDVYAFGVVLYELISAKDAIVKINEVESRALVALFAEVLNQPNPSSDLTTLVDPRLGADYSIDSVRKIAQIAKACTQENPQLRPSMRSIVVALMTLSSANEDWDVGTFYEDQGLVNLMSGR >KZM84741 pep chromosome:ASM162521v1:8:18572526:18573917:-1 gene:DCAR_027837 transcript:KZM84741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSDYDYRHFAVTKGHGVKGISDLGLNTLPQQYVQPPKERIDMRKVLNKESIPVIDMSNLDDPNVARYVVDAAEKWGFFQIVNHGVPTEVLENLMEATRTFFELPVEEKAQYLQENCLTKNVRFGTSFIPKADKVLEWKDYLSLFYVSDDESSRYWPSACRNEVMEYMKKSESVVKWLLKVLLQPLDVDLDSKESLLMGSKRINLNYYPICPNPELAVGVGRHSDVSTLTFLLQDSIGGLYVQKMETDHWIHVPPITGSIVINVGDALQILSNGKYKSVEHRVAANGDKNRISVPIFFNPRPDDIICPLPEVLQNGEIPIYRRVLYSDYVKHFFRKSHDGKQTVDFAKI >KZM83746 pep chromosome:ASM162521v1:8:1086978:1092746:-1 gene:DCAR_028832 transcript:KZM83746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSNYKNNLFFARIGGDGGGSPAMKKVERYSVKKVTGDGRCLFRALVKGMAIHKGLSLNSREETENADELRTAVKEVICGKDNERTEYAEALMAITVEESLKRYCQRIGKSDFWGGESELLVLSKLCHQPIIVYIPAHEHAKGGYGSGFIPIAEYGVDYSKASWTGKAKKAVRLLYSGKNHYDLLV >KZM84489 pep chromosome:ASM162521v1:8:15313013:15320907:1 gene:DCAR_028089 transcript:KZM84489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQWRKFDFFEDKYGGKTSVPDELTGALNCCSSGRGKIVAGCDDGTVALLDRGFKYNYGFQAHTSSVLFLQHLKQRNFLVTIGEDEEISSRLPVVCLKVFDLDKPLNAEGPSTSSLDCIQILRIFTKQFREAKVTSFLVLEEAPPILLIAIGLDNGCIYCIQGDIAREHIKRFTLQVDSTSNINSQLPITGLGFRVDGQSLQLYAVTPSSVSLFNLATQPRSRQNLDHIGCGINSVAMSDRMELIIGRPEAVYFYEVDGRGPCWAFEGEKKFVGWFRGYLLCVIEDHRSGTNTFNVYDLKNRLIAHSIAVKEVSHMLFEWGNVILIMKDKSVLCIGEKDMESKLDMLFKKNLYTVAINLVQSQQANAAATAEVLRKYADHLYSKQEFDEAMSQYTHTIGHLEPSYVIQKFLDAQRIYNLTKYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNAFIKSEDGELKFDVETAIKVCRAANYHEHAMYVAKKAGRHEWYLKILLEDLGSYEEALLYIASLEPSQAGVTVKEYGKTLIEHKPEETIEILMKLCTEEDEAAKREASNGTYVSMVPSPVDFLNIFVHHPHPLMDFLEKYTDKVKDSPAQLEIHNTLLELYLSSDLNFPLLSQVDVEQNSDFGVKGSLVGVVPDVKDLKGGKDCERFQKGLRLLKDAWPTDQENPIYDVDLAIILCEMNAFREGLLYLYEKLKLYKEVIACYMQAHDHEGLIACCKRLGDSGMGGDPSLWADLLKYFGELGEECSKDVKEVLTYIDKDNILPPIIVLQTLSKNPCLTLSVVKDYIARKLDQESKLIDEDRRATEKYQEETLAMRKEIHDLKTNARIFQLILGRTSQEVKRRITRELLRKNNTKNLIVSLNRTLSFHFVPSVNRCNVGDHLCYPVTNGMLTKHIGPWVPSKLEVTELIRAICVS >KZM84521 pep chromosome:ASM162521v1:8:15730786:15737256:-1 gene:DCAR_028057 transcript:KZM84521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDPQQPKNRVPVGDLSEGFKFSDEKRRESVERFKNKKRLRTIAECSECIEDDDSDLSEDENASVTSLQEMLIAEEDEEEDEDDDDGTGYPCPYCYEEFDFIPLCHHLEHEHYTQTAETICTICAENVSGDMLPIQQNRLRKIPYPTSQELSLLGRDMREARLKTLLAGKGKQQSSSGASGSLLSSVLYPAPAVDEISKLVISCAEESSKAVEPPQPIWQSSLDPSLSSEERERRKRQAAGKAAFVRSLVFSTMFED >KZM85177 pep chromosome:ASM162521v1:8:23467187:23467576:1 gene:DCAR_027401 transcript:KZM85177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRQSTGTSRITDDQIADLVSKLQQLIPEIQSRRSDKVSASKVLQETCNYIRNLHREVDDLSDRLSELLESTDTDSAQAAIIRSLLM >KZM85231 pep chromosome:ASM162521v1:8:23961166:23963387:1 gene:DCAR_027347 transcript:KZM85231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLTDESLFLYAELYGFLQLALPNIVKGCEWGSTVKHGISLRTLIRKSGDLPGPCLLITGDMQGAIFGGLFESPLAPTAKQKYQGTNQTFVFTTIDGGPRLFRPKGANRYFYLCLNDLLAFGGGGNFALRLDGDLLNFNFVARRLSGTSGPCDTFENQCLAHNQEFELKNVELWGFTHSSQYTN >KZM85259 pep chromosome:ASM162521v1:8:24159005:24159556:1 gene:DCAR_027319 transcript:KZM85259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFKLNKKLLSATKAWNKFTNKIRAKTTNLNISKSIRLATSHLLSLYHKTTLRFLNRQRRRNLTNLYVRNSPSAAIYVDKLFSESTSKSLHKEKEKAGSSRSRNSGAAKFENTNMKINKFEKQSVCNEFDVDEAWKKVVSSSASPLRVDERAEEFISKFRQEMEIQREQSILDYQEMLARGL >KZM84804 pep chromosome:ASM162521v1:8:19505894:19515286:1 gene:DCAR_027774 transcript:KZM84804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVSLVVVVLVVVVKVTAEGSGGVAENGSGERKRKNAYATMMYMGTPRDYEFYVAMRVMLRSLYDLKVDADLVVIASLDVPLPWIRALEQEDGAKVVRVENIKNPYRSYRKTRRFKLSFNKLHAWSLVQYERVVMLDSDNIFIRKPDELFQCGQFCAVFINPCIFHTGLFVLEPSTEVFKDMVNKLESGSNSQDGADQGFLVSYFPDLLDQPMFHPPQDGSKLDGTYRLPLGYQMDASFYYLKLHWSIPCGANSVITFPGAPWLKPWYWWSWPVLPLGLQWHQQRRQTIGYGADMPYAIAIQSIFYLGIITLTRMIRPGSISKHFYLRTEKRIILFQTVLKLIATLSILLAYIIPYFLIPHTIHPIMGWSLYLLGVFALSCVTVNALSLPLLPVLTPWMAIIGALSVMACTLYPDGVIRASAVFGYAFCSSPVVWLALIKVMNSVQVSFEREALLPKLSELTPPTGFNKISGESGRTQDLKQQTKLSNCAQFIYFFIINLKIKIQTACRSTETFNITRPKHKWVGPSGNLTIHVDLHGSGDFKSVQAAVDSIPNYNQKNVLIKIAAGCYIEKVVVPASKPYITFQGAGRDRTAIEWHDRASDRGPDGQQLRTYQTASVTVFASYFSAKNISFKNTAPAPMPGLEGWQAAAFRISGDKAFFSGCGFYGAQDTLCDDAGRHYFKDCYIEGSIDFIFGNGRSMYKDCQLHSIATRFGSIAAQDRNCPDEKTGFAFVHCRVTGTGPLYVGRAMGQYSRIVFSYTYFASIVARGGWDDWDHASNKNKTAFFGVYKCYGPGAAAVSGASWARELDYETAHPFLAKSFVNGRHWIAPTDA >KZM84578 pep chromosome:ASM162521v1:8:16412884:16413045:-1 gene:DCAR_028000 transcript:KZM84578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRVAVRNEYGLGMAELYKEQVDKEDPKALLDGVAVAGLVGILRQLGDLAE >KZM84990 pep chromosome:ASM162521v1:8:21514618:21518403:-1 gene:DCAR_027588 transcript:KZM84990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLDAVISEEKVTSAAHIPEANLQIFPPNNNGGRQGYQTMASPSEGDGQQYPNSWKGVFSISSYTQYFNVDTDVVLNRLTSSLFPSGDFFSKIDANPDLYGLVWISTTLVFLIASLGNCGTYLMQKRSISNNPWSFDVSYINMAAGAVYGYALLVPMVFYFLLQYLGSNVSLVRFWCMWGYSLFIFILSSFLLVIPVEFIRWTIILIAGAASASFVTLNLRSYSQMNDLTVVLVAACVLQYGLAFFIKMWFFA >KZM84147 pep chromosome:ASM162521v1:8:9174583:9174909:1 gene:DCAR_028306 transcript:KZM84147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTVKINEITKENHLIEEAIKTLEEENAKLEHKLKLMEIHQKHDEAVIDVLKKHIEERRAFNHFNMDDSNFEPHKVVERERIREAFEAEAERRKAAKASGPKQDKN >KZM84857 pep chromosome:ASM162521v1:8:20212101:20216486:-1 gene:DCAR_027721 transcript:KZM84857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKDSDPSLGYLTRKETEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELSDYRLRKRKEFEDLIRRVRWNKSVWVKYAKWEESQKDFNRARSVWERALDVDYRDHTMWLKYADVEMKNKFINHARNVWDRAITLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWEPDTQAWLSYIKFELRYNEIGRARGIFERFVECRPKVNAWIRFAKFEMKNGEIGKARSVYERAVDKLADDEEAESLFVAFAEFEERCKETERARFIYKYALDHIPKGRAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDAQDVERTRDVYRECIKLIPHNQFSFAKVWLLAAQFEIRQLNLKGARSILGQAIGMAPKDKIFKKYIEIELQLGKIDRCRKLYEKYLQWSPENCYAWSKFAELERSLSETDRARAIFELAIAQPALDMPELLWKAYIDFEISEGEFEKTRRLYERLLERTKHLKVWISFAKFEASAMLEDQDPELPEEETKQSLGAQQLQCLQNARKVFESAINYFRTSAPDLKEERAMLLEEWLNLESSIGELGDVSLVRAKMPKKLKKRRLIDTDDGPAG >KZM85710 pep chromosome:ASM162521v1:8:28050463:28050720:1 gene:DCAR_026868 transcript:KZM85710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLYSSLVFGFVDSHIAVYNYLCLQQLLFFLILYKQPSLIVAAEERHHRVRNRARELSPERGRVMVLRERESTLCLDDPNPLNL >KZM83863 pep chromosome:ASM162521v1:8:2387360:2388139:-1 gene:DCAR_028715 transcript:KZM83863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALVLVASLAIVLGLVLVLVVELYCPHLFSRSKRKELRTTTPSGTADSTADNSSQQSHNQSFIASLSSFHAQGVLNAPRNLLFPALSSNDTVDVENQLNKAPKQVSESKNQKPFSASHQFKVLYTESLSPSASLHHNAGASTSKNGGCDDSEENLVYISNPVYNTVNQTGILDTPFGTPDSSPSRLQISGSSSEEEEDGGTTFPITPPLTPMKKLPLEACSVPLRDARSLGTTASYSNSNDGDLSSSLSGSPSASPW >KZM84322 pep chromosome:ASM162521v1:8:12396065:12401704:-1 gene:DCAR_028384 transcript:KZM84322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIITNVYEIVEDLKPAELLDNKYGRKYVIRFCIVDGRFRHNLRIWGPLNPDATALYDDQFENPKIVILTSTRIS >KZM83771 pep chromosome:ASM162521v1:8:1402716:1405256:-1 gene:DCAR_028807 transcript:KZM83771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIMMLGHTFVIVSVIMKGITSDSQVEELLGKCTRYGIHNFYKEITLESPVLVCSYNHNIAEPGAFSPIVQGLKFKCKKTDSWDYWWFNVSAPYNCFDFLVSISQEGEAGGKRGYTAPEYAIHGHLTEKVDIYSFGIVVLEIISGLHCTNLKIESVNDSLLEYAWQLHEDDRHLDLVDEKLDPSDYDTEYVKRVINLALMCTQLPASRRPAMSEVVVLLTS >KZM84395 pep chromosome:ASM162521v1:8:13863841:13864275:-1 gene:DCAR_028183 transcript:KZM84395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSNKHKYSCRFRVGVSVEDTTGKKSLTLFNKEAEQFVGVPVAKILQELGQVTPYNTSHGCEEYTVTRVMEEVATEASKVGAHTDVEASKLGAH >KZM85734 pep chromosome:ASM162521v1:8:28206637:28209781:1 gene:DCAR_026844 transcript:KZM85734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSSNPLFFGTRSQEDQNQARQQEQQQQQHFSSMPASSTTPTAAAATQKKKRNLPGTPSKRDSFITHRAFCDALAQETARHPPGLSSIGSHLFGNNHMTMNLSQVSTQISSNSMLRLGTAGATATAKLEQLIPSSNNPNSPLFAAQQPPQSISSSPFYMPQDNSNQGYQELQNKPFHHGLMQLPDLHTNANLSFYSSSNTTNSMNDSDQNASNNMSSSSYLMPEHPFNNASGGQGSNIFSGGGNNPGLSSLYSNHHEGQASPHMSATALLQKAAQMGSTTSNTSATILKGLGSGNSSMNGANKFARSFSVNSEHIESSQLQGLMNSLSHSNPSSSMFGGVRLEQQQQQQQQHQLGVTNYGNMDEGSNMNRNFSMGGSDRLTLDFLGVGGGMVRNVGGGFSHRDQQQQGAGLASFEHQKMNSSQGGHNVFGGSKLQ >KZM85976 pep chromosome:ASM162521v1:8:30400450:30401036:1 gene:DCAR_026602 transcript:KZM85976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALILLVIVVAAAQMTCKSSADDGCCIQCVIKCIPPRNFDDCYKRCCDCCHSPSSCGRPPPTSKCLDSQRVEVAVDETTKRLMQNFQKKN >KZM85267 pep chromosome:ASM162521v1:8:24224529:24228556:1 gene:DCAR_027311 transcript:KZM85267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKGRSAGRRSLRVPPTFFLCFFFFICGLFASKLLSRQVLSWKPRALYFPGFATPEQCESIIKIAKASLEPSTLALRKGEKAENLKGIRTSSGTFIKASEDKSGTLDIIEDKISSATMIPRTHGEKPGSLLHHVNQWYLIKLELPAAVLNVAKYLLLLVYPDSSVVQFIMLVTFQAFNILRYEIGQKYRPHYDAFNPNIYGQVKSQRVASFLLYLSDVEEGGETMFPYENGLNYDNYDPDACAGLKVKPRKGDGLLFYSLYPNGTIDKASLHGSCPVIRGQKWVATKWLRNQLWVPKGG >KZM84870 pep chromosome:ASM162521v1:8:20303424:20308169:1 gene:DCAR_027708 transcript:KZM84870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEYIRKHHKHQVRENQCTSSLVKHVKAPVHLVWSLVRSFDQPQKYKPFVSECVAQGNFEIGSVREVNVRSGLPATTSTERLELLNDEEHIFGMKIVGGDHRLKNYSSVITVHPEIVDGRPGTVVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPIDRI >KZM83920 pep chromosome:ASM162521v1:8:3313127:3316219:1 gene:DCAR_028658 transcript:KZM83920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTTLNSLEILAVFFLITLTFHANSQQINTYEKNILLQLKEFWSHPPSLNQWSASKSSDHCSWPEITCNQNIVTGITLFSKNVIGKIPPFVCDLKNLTVLDFSNNSIIGSFPTGLYNCSNLQYLDLSNNYFVGILPTDIDKLSNLNFLSVLGNNFTGDIPAAVGRLSELVTLSLSGNLFNGSFPPEIGNLSNLESLEFSYVRKFPPWTLPSNLFTKLTKLRNLFMTESHLIGEIPDSIGNLAALEVLDFSANKLSGKIPDSLFLLKNLTSVFLYANKLSGSIPRSIKALNMEVLDLSANNLTGTIPDDIGKLTKLSGLSLFINKLSGEIPVTIARLPFLTDVRLYNNSLSGELPPDFGRFSMLDRFEVPINKLVGKLPDNLCYNRVLRGVVVFDNNLTGEIPQSLGNCSSLEVFFVSGNQFSGKIPDGLWTSLNLTQMTVSGNSFMGQLPDRISSNLSLLEIDNNDFSGEIPVGISSWKNLKEFVASNNLFNGSIPEELTSLPLLETLKLDRNLFTGALPKSISWTYLTILNLSRNHISGPIPAQLGSLPKLADLDLSENEFSGPIPSEINRLRLPSLNLSSNLLTGRIPGEYDNPAFGTSFLNNTDLCSNDPTLGLKSCNSRPQTRESNGTSQKFKTSIIFVSVILFILAILFSVYVVFLYRKRKQGLDSRWKLTSFQKLNFTESTILSSLTEENVIGSGGSGKVYRVPVNRSGEYVAVKKIGNNKKLDKRLENEFNSEVKVLSTIRHVNIVKLLCCFSSENSKLIVYQYMENGSLDEWLHGSKRAANQPNAMPRLILDWPNRMQIAVGAARGLCYLHHETFPPIIHRDVKSTNVLLDSKFNAKIADFGLAKILEKDGGFNSVSVVAGSFGYLAPEYAHSARVNEKIDVYSFGVILLELVTGREANEGDEDMTLVDWAWNHINRGKPMINALAADIKEPSYLNEMISVFKLGIICTGTLPSTRPTMKEVLRILIQTCYSNGNGERNNVTEVDSSPLLKNS >KZM84579 pep chromosome:ASM162521v1:8:16447023:16448336:1 gene:DCAR_027999 transcript:KZM84579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSYSLPVFLTIFLATILTGQAVVPLDKRFSYVNSGPLGEFSVEFGDYRPSNIATFPFMLCFQNATPDAFTLSLRMGNRHDESKMLWVWTANRGKPVHENATLAFGADGNLVLTDADGSIAWQTGSANKGVVGWELLDIGNLVLYDAKGAYIWQSFDHPSDTLLIGQGLHLNGATKLVSRLSYTEDADGPYTLVMEQRHLAMYYKSQNAANPLLYYKYDEFGDGKGVLADAVFDVNGDAFYTNELFLLYDMKNSPASGTRLLARPKYNSTYSMLRLDIDGNFRIYTYYPIVDWGAWEVTYKVLNSEDDVESTSECRLPKRCGALGVCEDNQCVACPTAAGLLGWSKSCAPPVLPPCKGSRANVDYYKVVGVEHFLNGYTEGGRMKIGDCREKCNKDCKCSGFFYREESSKCLLVPELGTLIKVSNPSHVGYIKMSK >KZM84672 pep chromosome:ASM162521v1:8:17556511:17560258:1 gene:DCAR_027906 transcript:KZM84672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFFVFCYLLCILLKSSILSLVLLVRYTLSQFCGLFSSRVDDTVSLYTGTLIHQRRRPVGHSFQSSVRYALIDLDRSSSIPPNHLSAKEARSIAETNGPVLLLTVPPSVGYQRSPVTLYYCYDRNESSANILKNCIAEATNSPWGEQLRFVFNPHSDHISKSLHVSPFMDMLGDWHLKTRAPGNSLSLTISVKHPVLGNYFTTSLTAKKLLTTSNVDYEFFFWLMPHKGAIQTYLQLLSTVQFFEHPKYKNPEFTEENLKAARGRGCCMAFSGNSHNNPQIDRHERWCSFKQAKWPWN >KZM84923 pep chromosome:ASM162521v1:8:20875214:20876365:-1 gene:DCAR_027655 transcript:KZM84923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSAPSNAKKTEAEALRRAPHEKPPFTIGDLKKAIPAHCFEKSLVTSFRYLIQDLLMAYALYYVATNYIDQYLPHPLNYVGWAAYIAVQGCVLTGAWVVGHECDHDAFSDYGWVNDLVGLVVHSSLLVPYFSWKISHRRHHANTQSLENDEVYVPRFKANIRNYYKIMNNPPGRVLVWLITLLIGFPLYLMFNVSGHKYERWTSHYDPHSPLYTERERKQIIVSDLAILAVIYGLYNLVLAKGFVWVFCVYGGPLLVVNGWFTLITILNHTHPSLPYYDSSEWDWLRGALCTVDRDYGILNKVFHNVCNAHVCHHIFSMIPHYHGLEATEAMKPVLGDYYQYDGTPILKAMYREMKECIYVEKDEGETKGVYWYRKEF >KZM85395 pep chromosome:ASM162521v1:8:25442244:25445652:-1 gene:DCAR_027183 transcript:KZM85395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLSLLLCLSSFLPPSLSQPPKGLLINCGTSVRSIIEGREWQPDTDYISTGTSKTVTTPGLREILTTVRSFPLSGRKFCYTVPVYRPGKYLIRTTYFYGEVNGDDVLPPVFDQIVDGTFWSVVNTTDDYRKGLSSYYEGVFTPTGKTMSVCLGVNSYTESDPFISGLEFVILGDSLYNSTNFGAYGLSLVARHSFGYDGTIIKYPDDQYDRFWEPFGEYNPILTRAGNVSVSGFWNLPPLKSFETKLTVAEPKDLTVQWPPSSLPNSTYYIALYFAEDSDSSSGVSRVFNISINDVLFMRELSVIPDGVVVYAKEWPVAGPMTIKLTPASGSTLGPLINAGEIFDLVRLGGKTHTKDVIALERLRKSFRNPPLDWSGDPCLPRQYSWTGVTCSGGNKTRVTALNLTSMALSGSLSPSIANLTALTDILLGNNSLSGYIPDLSPLKGLAIVHLEKNLFSGAIPPSLGSMDTLRELFLQENNLTGQVPNSLTGRPDLDLRLSPGNSFSSPPPS >KZM85490 pep chromosome:ASM162521v1:8:26222208:26222459:1 gene:DCAR_027088 transcript:KZM85490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISLLSMTGAYACAILATTPKSTNLSNINNTRKGIVVAIIVYVAIVVVLLMSLVVRFIRYMVGEMRNKNVRGEAAAEDTEIA >KZM83836 pep chromosome:ASM162521v1:8:1994837:1998569:-1 gene:DCAR_028742 transcript:KZM83836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILAKLPRKSSKSSSVDSATGSSSSSNATDVVNVISSRLNAVKRMSSSIFPASNTAGAEMIEPHILFKDVPNSDKQNLFVSKLNFCCLVYDFSDPDKHSTEKDLKHQILVELIEFLASDSAKFNESAVSAMCKMCASNLFRDFPPKYASHGARGEAEDEEPWFDLAWSHLQLVYDLLLRFLSYNSLDAKVAKKYVDNGFILRLLELFDSEDPRERDCLKSILHRVYGKFMVHRPFIRMAVGNIIYRFVFETERHNGIAELLEIFGSVISGFALPLKKEHKMFLTRALIPLHKPKSVGIYHHQLTYCIVQFVEKEPKLAGTVIKGLLKCWPVTNSQKELMFLSELEELLEMITMDEFEKVMVLLFRRIACCLNSSHFQVAERAHFFWNNEHILYLIMQNRQVIMPLVFPALERNIQNHWNRAVLNLTQNVRNMFSEMDPELVLVCQQKFAEETSQSTLAAERRRMTWERLENAASYQQPVANDVSSIIESAPCVVAC >KZM83665 pep chromosome:ASM162521v1:8:271336:273555:1 gene:DCAR_028913 transcript:KZM83665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSVSKDKKKRSEPNSFASVNLQDHPPTAVPEPPAQTRTLQSAPPSFINRVKHVQPNNNITRTIARALSAPSSLNAAKHDTLSTDYEKQKVMKSRFGSMKERQNLHPQPLPLPLPPNAAVLKNVRNLKVLNSSGPPNTACPLPLPPALPLTLPSKSPQSLPSIGVIRNFSFEELAAACNNFSPERFVSGDSSSMIYRASFGGDDSSGTRRFEATGLKEFLKEVNTLGCLQSPYLCQLLGFHAREGSEGRMLVYERLYHGSLDRILYGRLDGPQLDWPARMKIATCAAKGLTFLHEEGPFQAMFHDFSTENIQIDKDYSAKLSGYGCVSHISEIDLFSNSVAPTSLLVEVQNKGLLTPKSNVWSFGIVLLELLTGRRNHDYHPKEERNLVKWSRPYLSDEGRLSLIMDPHLQGRFPAKAAHLVADIVQRCLQTDPSERPTMRLITEHLTAIEQIKYTSRFPLQNPGSIRGKSMSRSPSLNGIITPGPQLTLSSSQKARLRVSPTRPLGLPLTLPPQSCSSTLSSEEFNRKGRKLSSSSNQKSGVEGF >KZM84011 pep chromosome:ASM162521v1:8:5348279:5354062:1 gene:DCAR_028567 transcript:KZM84011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLILKHVLYLNLLDRGWRRSGCYLYRPEMDKTCCPQYTIRLKASDFVPSKEQQRVSKQMQRFLDGNFEVKESQKSLDNPYTSNNLSSLVQSSTIASAGQESLKPNTEEKNQDVELLSFLSDQINCAARACIERGEFPLDILLPKPSVKKVSQGKKKLLAEGSEDLLYSSNISFLIKAALVRRDRRHDMKGSGGSKQENEELINTELTSQAIAEKLVTYLNNLADTSGLSARACNGHINFYATTKQARSDEVVDVAMVRKESRGESVDEGSSMKKVSGGTLGKRKRLEIILKRSCFDPKEYELYRKYQINVHNDAPDHVSESSYMKFLVDSPLVYVPSNGDDTVPQCGFGSFHQQYVIDGRLVAVGVIDILPKCLSSKYLFWDPEYASLSLGKYSALQEISCVRENQAHCRSLQYYYMGYYIHSCNKMRYKAAYRPSELLCPLRYLWFPFEIAKPWLDKKRYVILSDLHNLQAVESSTPKLSSSQLEPPHDNSFQEENNDVPFDEDEEMSEVDSEDSEDESDPETNDLALPEFEDPNVGDVLIGLKGSRLRYQDIHHALAPNQRSHLVTKLRMYKEAVGEKLSKQMVLSLG >KZM85987 pep chromosome:ASM162521v1:8:30492778:30498936:-1 gene:DCAR_026591 transcript:KZM85987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGYTECKRYHAASDHKLKWGKWGITKGDTISLTDLLFTHNRNYLVKNNHQHVKAEQLEGKVVGIYFLPLSAKNPKHSLWHTALLKDVYDDLRPVNNFEIILVACNDLDDDNFGAQIPVHPSLETDSHKVFHDLFSCMPWTAIPFSDVTSRKHVQRSFGMVLQCCSWSWDILEYYGSLGYPFSDKRLKFLRTEDYLATQQPCLKTLLGFPQRDYVISNNGDKIPIHTFEDKVVALYFYHPDFPDSRTEKLKLVYEEFKIKNVFEVVLVYIYEPRYRKQWRSEKLFWKSEESFWEKFKNMPWLALPFKDMCYKKLMRVFEFQCDYSPRLVIFGPHADYIEPFGFRMLHKYGIGGYPFTRKKAAELETEKIKELRWEMLWDPNTVFRRNDGSQVPFSLLSGKKVMLVLESFNNLLNVDDGSKEVMSIIEFLTKLKERYFRKKGTDDEFEVIRILVNNTESSVSKHLVGDMPWLVSPGSKLMHELDSSYFWYGRSTYDVLLFHIPIFAFSADGKLVRKTMYPTFEASEFPFYAGSLEDETLCQLITCWGLDYSNFRMQLRLHRISSFLPCEPSSLPKYYPSKELDARLQDEEARRQTAGGNKGPRYDVERKASMMRRRGQTNSWSEKFPQEEVDSCFRISH >KZM85597 pep chromosome:ASM162521v1:8:27009165:27014560:-1 gene:DCAR_026981 transcript:KZM85597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQIFSKTTQALFYNFKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSKSALRQPTIRVVAIIAEGVPESDTKELIAFARANNKVVLGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGRISKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETSIKETFEKLMEGGNITPIKEVIPPQIPEDLNIAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGIGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSCLVSGLLTIGPRFGGAVDDAARYFKDAYDRNLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARAIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KZM86100 pep chromosome:ASM162521v1:8:31352064:31355166:1 gene:DCAR_026478 transcript:KZM86100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMFGELTTTAGMSPPPPPPESPSSASAGVFGNYALISALLAFALAQFFKLFTSWYKEKRWDLKQLVGSGGMPSSHSATVAALAAAVGYHDGAEGSLFAVALVLACVVMYDATGIRLQAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVIAGGLLGIITATFVHLLTSL >KZM84301 pep chromosome:ASM162521v1:8:11818080:11818658:1 gene:DCAR_028405 transcript:KZM84301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAPSKEAPTMNEAPVDSPMKDGIRVRSRPKVLVRGRPKLQVRRAALPGVVIREPSATPTSEPTKGTPTEKGKEKVVYKAHTERQERPYWMVNKRAKTVGLPRHGKDAENHGNLSSAEKEALRLETFKHVLIGIGLGKLFMPTPGFDGAAHQPNGTPSEDSLPVDKGGVCTQASQTPAAKKGTPDAEDVQP >KZM84978 pep chromosome:ASM162521v1:8:21372100:21372630:1 gene:DCAR_027600 transcript:KZM84978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYGVSSCDFSRCSMPCSYHRVSSVKCSLDYNSSKERVVVNSVRTSNRAMTIGLVATAAAASMLMSVMGSNAVNIQSQLDDETLLNIPQSLSGACVESKDCKKARIQKPKSRKAESCTRKCVATCIRGGDGSPGEGPLNVRKPLVVFRPGFRTRQYCLVECSDICNLIKDGEDGP >KZM85736 pep chromosome:ASM162521v1:8:28226172:28226981:1 gene:DCAR_026842 transcript:KZM85736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETVDVLSYKKLEGKVAIITGGASGMGEATARLFAQHGARAIVIADIQDALGQSVAKSIGNGQCTYMHCDVADEGQVKALVDSTVETFGSLDIMFSNAGFWKTGDFRQSILELNLEASDRLFAVNTRGMAACVKHAARAMVEGSVKGGSIVCTGSLAASIGGEQFIDYVMCKHAVLGLVRCASKGLGEYGIRVNCVSPGCVVTPLACKEFALSEEECEKYFEGVMDLKGFGAIKAKDIANAVLFLACQDSQFITGQNLIVDGENTLKL >KZM85522 pep chromosome:ASM162521v1:8:26490517:26502013:1 gene:DCAR_027056 transcript:KZM85522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRIFRTRPWSSAGWRGLVHDRTAAKSSPPVTGTARQSWLFSARDFKSMPSCSVHKFYEAKNPYGIQGCSFSSQPMVEVRVDGIVEIPLAQTGEGIAECELLKWFVQPGDKIDEFQPLCEVQSDKATIEITSRYKGEVSEILHVPGDIVKVGETLLKLFVSELSVPAEVGDTMEPDNDTCTSDIQETAKGGVLSTPAVRNIAKMYNINLQDVSGTGKDGRVLKEDVLKYAASKGLIGDIPSPITGDPVKQKSEREDIHQDDYEDKTYPLRGFHRAMVKTMTAAAKIPHFYFVEEINCDALVDLKAFFQKHSDPDVKHTSLPILIKSLSMALKKYPLLNSRFSEESNEVTLRGSHNIGIAMATPSGLVVPNIKKVQSLSILEITKELSRLRDLAMANKLSQDDISGGTITLSNIGSIGGKFGSPLINAPEVAIIAIGQLQKLPRYDDKGNVNIAADHRVLDGATVAYFCKEWNPTSGPSVAGDSPLTDSTNFSHLLVQRERQGEREREMATSASAYQKLLSVGTKIIGVGRNYAAHAKELGNAVPKEPVLFLKPTSSYLLNGGTIEVPYPCLSLDHEVELAVVVGRKARDVSESNAMDYVGGYALGLDMTAREIQASAKSAGLPWTVAKGQDTFTPISSVLPESMVPDPHNLELWLKVDGEIRQKGSTAEMIFKIPFLISHISSLMTLLEGDVILTGTPQGVGPVKVGQKIDAGITGLLDIHFNVEKRQRPQM >KZM85592 pep chromosome:ASM162521v1:8:26973774:26974586:-1 gene:DCAR_026986 transcript:KZM85592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSRSIGDVYMKYARFNREPISEKFRLPEPMNMPIMSATPSILSHPLQPNDSFLIFASDGLWEHLSNEKAVEIVHNNPHAGSAKMLVKAALHEAARKREMRYSDLRKIEKKVRRHFHDDISVIVLFFNHDRISRGMGLDSRLSVRSHLEH >KZM85307 pep chromosome:ASM162521v1:8:24555026:24557528:-1 gene:DCAR_027271 transcript:KZM85307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISNNNNDREKVLIRKAFMAKLLTCSICNNIFKDPVNISECLHIFCSTVCNRCIREKIEEENLNRCPVCSLYLGSMPLDKLRPDHSWSAITASIGPSLGQAVKVDDEISSGEAEQSSPSPARRKKRRLSSLLNKGSASSPYTFERRKKENGRRIFSSQGSDSPLDNPQKSTDGRSQGSRSDKNLRKSDSFNKQFSAVESSKQQIRRKSRDRTQKNDKRMELVSNLLKPPTELENIRNYAKSVKKGEISCKTTSISKCEATSSGKDLRSCDVPTRPIRKTRYQTKQADEQQGSKFPTPAVEDTATTQHTKRVAPVWLSLVSAVNQEGVRALPQIPCRFLMIKDATVPISSIKKYLSQKLGLDSKEEVEITMRGSALPSSLELHAVVDLWSQTMSSSEKFSAKVGESAENFVMVLCYGRKYLCRRNRRISMPGRYDDNI >KZM83726 pep chromosome:ASM162521v1:8:842295:849066:-1 gene:DCAR_028852 transcript:KZM83726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISSTPRTVEEIFKDYSGRRAGIVRALSFDVDEFYGLCDPDCVSAGGNGNNGLEKENLCLYGHPNETWEVSLPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWMLSVAFYLGARLNRNERKRLFSLINELPTVFEVVTERKPVKEKPSGDSGSKYRASTKRSSDGQVKSIPKMADESFEEDEDEHSETLCGSCGGNYNPDEFWIGCDICERWYHGKRPEGFSSSNMGSSRSDSAAKERLKWTQELHDLFEKAVNQLGGPYRATPKGILKAMRKSEITIYHVKSHLQKYRMSKFIPETPSKNKFERRSISEILPNFSATSGAQLNEALQMQMAAQKRMNDQHEVQRNLKVKIEAQGRFLERIAEDYKNCPNIAKRAKFLSPTSLPSLCEESESSAKEFESDFENKTEITSDEKFPAPKRLQMECDNFQQIYSKYASVASDTHLQQCVLTPKRSKISYQSPEISFPWNAAAYCQSPLMPASYGSF >KZM83867 pep chromosome:ASM162521v1:8:2429259:2432893:1 gene:DCAR_028711 transcript:KZM83867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVGRQMQRSGSTSYHQRQLSDNFLEGGGFSKNSKWLQPQVRKRPLNKREVAKTEEDIITIDPNTNSLSVHEKKFKVDLTEYVEKHDFFYDAVLNENVSNEEVYLETVEPIIPMIFERTKATCFAYGQTGMILFSSSYLLCTSMILLRALLQFSYSLLDCWSGKTFTMQPLPLKASEDIFRLMHYNYRNQGYQLFVGFFEIYGGKLFDLLNDRKKLCIREDGKQQVCIVGLQEFGVVDVNTVKELIERGNSTRSTGTTGANEESSRSHAILQLAIKKIVDGKESKPARLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDSDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPNSGSCEHTLNTLRYADRVKSLCKGTSSFRKDTLSSSLNIRTSTALPLSSVSTTATASSDNNPTDVGSNRFGWPKQSELEPSESLTLERAPNGRVESTSASKAFPDKYKGQTERPDDVIEDYFEYNEETHEQNNQFQTRNTTQTNARESTASQAKNSHSDEEIDAILKEENDLVNAHRKLIEQTMDIVRQEMDLLVQVDNPGNQLDEYIHKLNVILSHKAAGIVQLQNRLGQFRSHLHEYNILASSGQ >KZM84172 pep chromosome:ASM162521v1:8:9591192:9591431:1 gene:DCAR_028281 transcript:KZM84172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEGKNKVEKDNEQGVENEINGDARVQAEINGDARAQADFDLNEIPQDEGIPLPRESMFQVIYMMWSIYFPIVLKLFE >KZM83919 pep chromosome:ASM162521v1:8:3307767:3310511:-1 gene:DCAR_028659 transcript:KZM83919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVIGASKVSGTNKARGSNGADTTTGTLIKEGDPKTNQTINYGNQEQNQPPKIRVSKTPKSQEQEKEAMEACKYWFLLEHGMADSFQKGNYNLLPIAVARIEYLKRAIDPELLEEGIKGEEEALWEIHRTLFNEGWWTRAENLKIKGGFAQFVEPSVLKDALLGNDKALSLALGQIHFHSLEVGTDKTPDNKNSEQGTFKEALLKQHNHEESLEHINANKSGFSYSIKEKAQSNYGLKTRKAEHTVFFTGFQDSSHPKDLWRYFKGAAKIKDIILPQKRDKYGNRYGFLIMENDEAVQTIVKKLNAAATQFGKLYLYRAKDRSVTTSVSKLKPSAQSHSKKGLKSPAKGSSFTKQDENKQNSPKFCHQEINSNAIPVTKVHIHSEQVYSEELPEVNSEVFINPSDDMLKVTNSSIFIRTAKNETLDTVQMIVEGLGAHNAQIRGITGTTFIAFFANKLDFDSLDREFLQIGFTEVREVRMEDLMPSRKTWVEVRGLPIMGWTESNFKDLIRDCGNVLQYSKIYDEEGFYQHPKFLIETGYLEEINIQKTVKLMQRKWKIRILEVTGVGIVLNDASYQSDDDLSPAKAPTPPSFLSPINQGYKNQSENQEHFVNLEQQGTPPLSHVHDAEDNSLIDNHHESPSSEDSTQSTINPLTPKTMNQSSTPTELPQPYEAIEKEADTVLEKSPSQEEIIDTKTSNWRPREQHSMSSLLENSPSKEDSLTSEETSDQATQDNELYSQDLLQDLEKLRVKSKRDAIYETGLLMGLLPESNKQESLALIRSNLAS >KZM85833 pep chromosome:ASM162521v1:8:29159933:29162599:1 gene:DCAR_026745 transcript:KZM85833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFHLILPLFLHLFHLQALLISDTQSLLAFKSSSDTSDRLITWTNATDPCSWYGITCLHNRVSRLVLENLELTGTFRPLVSLTRLRVLSLKHNNLSGSLPDMSVFVTLRLVFLSHNNFSGEFPVFLSRLYRLDLSYNNFSGHVRANVSYLAHILTLRLENNQFSGKIPEINLINLRDFNVSGNKLSGEIPGSLSGFPASAFYGNMFLCGAPLQECRNDPTRPGGVASQSSKPASIASSPEKELPISSGDIKRRGSSKISTLEIVAIVIGDFLGLIMFSVLLYCYFRRKSGENDDSGPGPGTEAVRISKDKNVVTGQPGFEGGRMVFFEGAKKFELEELLRASAEMLGKGGFGVAYKAVLDDGDVVAVKRLKEHGSNASGKKDFEQQMEVLGSLRHQNLVGLKAYYVARDEKLLVYDYMPNGNLFWLLHGNRGPGRIPLDWTTRLKIAAGAARGLVFLHNSSRSHKLIHGNIKSTNILLDKSGNACVSDFGLSSFTPSASVATRSNGYRAPESQTPNSRKLSHKSDVYSFGVLLLELLTGKCASMAGDNGGYCGVVDLPRWVQSVVREEWTAEVFDLELLRYKGVEEEMVRLLQIAMACTSELPDQRPTMNSVLKMIEEIRGLEVSPSHDSVSDSPAVAP >KZM85031 pep chromosome:ASM162521v1:8:21895148:21909857:1 gene:DCAR_027547 transcript:KZM85031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVDKMVTFGFEEKDIKETVKELLTVYGGGPEAWPVIEDGCYSMLLEILLSKNLEKDEGGPSQTRNEEGTNGLEETMPRETRMDAALDAMKEYGFKEKDIKQAVKELLEVYGGTQAWPHIEDHGYSMLLEVILSNQEGENGEAGPSQTGANQPEETMASEPLNGDTEQNLDTAPIGNMIFLSDREVDRLFRLKVLSFGQNALEGNIPDTLGRYILYLFLICPTQIIPTSAATVKGNETDQLALLAFKEKIIHDPQGAFSSWNMSLNFCSWAGITCSKQHKRVTSINLASKAFVGSLPRDIGNIIFLTEIVLTNNSLQGTIPQEVDRLFSLKVLSLGRNALEGNIPDTLGRVNRLVILELFSNNLSGTIPNSVFNLSSLNVFNLANNQLQGSIPTDFGLTHHNLQKIQLFDNRLSGNIPISLSNASKLQVIHLQFNNFSGPISVDFGRLLYLQNLSLEYNNFGLGEQGDLKFIDSLVNCRSLKILKLGANNLQGSLPRSIANLSIELTMISLADNCICGSIPPDISKFINLIFLSIEHNNFTGIIPPEITQLGKLQSILLSNNRLTGNILASIGNLSMLDEVHLEYNELNGIKQGIGYICGLSSIILLNA >KZM84914 pep chromosome:ASM162521v1:8:20816402:20817589:-1 gene:DCAR_027664 transcript:KZM84914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIAPENTSNPLKLEWEPTNKSDQFLIYLHFAEVQKLQTNQSREFNIYLNGNLWYDETITPYDVITLTVESVAPEKPESKYEIVLQKTNTSTLPPIINALELYTVRKFRQPQTDDQDVQEFFSDVAKHTTIYNFRDLSNNNLSGEIPDFLSQLTFLRKLNLKDNKFTGSVPVDLLSKSESGLLLLWCALLPSHL >KZM85494 pep chromosome:ASM162521v1:8:26271056:26277256:-1 gene:DCAR_027084 transcript:KZM85494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIVVIDVIVLGVFCLSRCEGLGFGFWGLRNEVQSCESVTMNDRKTVDLDHGWEFMQRGITKLKRILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFQEYISSTVLPSLREKHDEFMLRELVNRWVNHKVMVRWLSRFFHYLDRYFIARRSLPGLNEVGLTCFRDLVYQELKGKVRDAVISLIDQEREGEQIDRALLKNVLDIYVEIGMGQMDQYESDFEEAMLKDTAEYYSRKASNWILEDSCPDYMLKAEECLRREKDRVSHYLHFSSEPKLLEKVQNELLSVYATQLLEKEHSGCHALLRDDKVDDLSRMYRLFSKIPKGLDPVSNIFKQHVTNEGTTLVKQAEDAASNKKAEKRDIVGQQEQVFVRKIIELHDKYLTYVNDCFANHTLFHKALKEAFEIFCNKGVAGSSNAELLATFCDNILKKGGTEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQSSFEEYLANNSNVNPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNVLGKFEPKTIELIVTTYQASALLLFNSSDRLSYQEIMSQLNLSDDDVVRLLHSLSCAKYKILTKEPNTKTISPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLERDKDNANLFRYLA >KZM84278 pep chromosome:ASM162521v1:8:11470959:11481429:-1 gene:DCAR_028428 transcript:KZM84278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKTSDSHKKRSSSARNTKHGSGRSMNHPTKSVESMKNQTELLPSQVQESTNGILHGPTKIKSSSVKDELSVTKIGSDSDEIYDGIPRYPRAHSQKSRSTRTKEGLGRAGTLGLEKAVKVLDTLGSSMTNLNRHGGFAAGVTVKGNEVSILAFEVANTIVKGYSLMESVSDSKVQQLKDIVLSSEGVQYLVSKDMDELLKMVTADKREELKIFAGEVIRFGNRCKDPQWHNLDRYFEKHNREPPRQLREETDSAMQHFMTLVHHTAELYQELNALDKMEQDHQHKHQNENNSNATQKGEALATVRVELKDQKKKVKALKKKSLWSKSMEEVMEKLVDIVLFLNREINNAFGGTTANTESNGPMSIQRRLGPSGLSLHYANIIQQIDSIVARSTPVPSSTREFLYQNLPPCIKSSLRSKLQTFHVKEELTVPEIKTEMEKTLRWLAPIATNTSKAHHGFGWVGEWASMRTEVNQKQNGPIEITRIETLHYADKKKTDDCIIQLLLWLNYMTKQLKVSPMISPKQVKPQEKAQQSTTTPTDQPSPNTDRPSPKEVIEDRDMLRDISNRTKTLGLSKSQNYECTQFRLRKNDRLTRSISQWPASKHDSFLPLKTLCSSLPVTDLKLEKERSMDAIDGIHTTVPCN >KZM85883 pep chromosome:ASM162521v1:8:29604123:29607336:1 gene:DCAR_026695 transcript:KZM85883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDGEDFYVDIGDSESGKNAAREDMSSDSKDMLDIGSAMEALTRVDLDLAYSSEKLVNLDTLMMHVWAWEKEFEALATDDISVDCIEKALAYDLLSGILESEVRALENFMSTLQLQMADARLKISSCGHLRELFTAVEVKLYDSEESLKQSQEHALEMKMQLTKLQMTSLAFNPTDWKYNSNTGLPDDSQGSIARPQMQTAEQRHVLRMLEKSLARELDFEKKLTALKQNEEDLKLKLQLTEQVSFFMEEAAEVVWGRFIEAENTAEVLMGISKEMVGRLQVVQFNRNGSIQREEEMKVKLQDCIEQLRAKEVLIQKLSISEPHLMTNDTEVIMLREKVNSLEEQLRESVSQLQKANVSNKAYQEQLKDMDYTIESLKENIYDAENRADDAETKTTQLTETNLELTEELGLLKSSNDSNTKKASLLEKQSRDLELQLQHAKASSEASQEQQNMLYSAIWDMETLIDELKQKVSQFENKAENAEEQCILSTETNVDLNRELEFLRGKIERLETSLEESRVEKIASAKDVNIKTSLIMDMVMQLATERKRIQEQMYSLSSQNRSLMAKLQNTTDGSAGLQNGVVDNNNLSGHNESNAHIMTQPSPDSIKTEEILEDPPACTTAVEPSTSTECANDSDSSYDEEEAVQTGKPRHKYFFMTILVLLVSVLAAYLFDNKAAFFSALKLPTDPIQGFL >KZM85187 pep chromosome:ASM162521v1:8:23545996:23546298:-1 gene:DCAR_027391 transcript:KZM85187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAEHLDMAETEDLDMAGPEGPKDILMDPSYSSYFDMVQSRKKLPMSLQETLTDAFARIPVSSFPQVPRGKGHNLFTFLFFSNFVSPLVYVHCEGLARI >KZM84014 pep chromosome:ASM162521v1:8:5367680:5368566:-1 gene:DCAR_028564 transcript:KZM84014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMKGQGGIQMLLTAEQEAQQIVSAAKNLKTTRLRQAREEAEKEAALYRTKMESEYQKKISETSGNSDSTVKQLEVETELKIKNMKEATSKTSPELIKKIIKISGLEVSDTLNNQQ >KZM84561 pep chromosome:ASM162521v1:8:16242332:16246760:-1 gene:DCAR_028017 transcript:KZM84561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCVAEACDCDSITAMKAGMLRFSKPNKYWVESSLKRYVPSAGDAVLGIVVDSKADNFLIDIKGPALAFLPVLAFEGGTRRNIPKFEVGTLIYVRVVKANIGMNPELSCMDASGKAAEFGPLKDGYMFESSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRAWVNAASPSTVILVSNAIMNSETLTGVQQKLMVDKLLQRTQ >KZM84440 pep chromosome:ASM162521v1:8:14626722:14629317:-1 gene:DCAR_028138 transcript:KZM84440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-16 MALRALFSTFLVTAPPRMSLPFRSTPSDTFSIKPVQCVMNKTNPKTTNLDQGSKICKAGGLKYQFQEDLKQAVDVIYNDSADAWLSDDLYSTALKFRIFRDYGYTVEQGEDIIDQAKAFSTTHLNSALKGKVSPEMAGKVNHALDMPVHWKLPRVEARWYIDTYEQEHNMNQDLLKLAKLDYNIIQSVHQKEVSKLASWWMDMGLDKMAFARDRLVEHYFWCNGMAPDPQYSAYRDMGTKILCLITTIDDVYDIYGSLEELELFTDYVTRWDITEIDKLPKNIKTVLLAMFNTTNEIGYWTLKERNFNIIPYMRKQVSHLSRLAFNGCISVKLFLKKQKWYNSAYKPKTDEYIQNAPVSIGAPVALFCAYFLTAEKITVEALDYIDKLPSIIWCPSLVVRLTNDLGTSSDEMERGDNMKAIQCYMNDTGSSEEVARNYVEHLVHETWKILNKDLLGSYPFGEPFITANANLARTTQTFYQYGDGHGKPQHWTKDHLKSLLVEPFTLSQ >KZM85087 pep chromosome:ASM162521v1:8:22582331:22584831:-1 gene:DCAR_027491 transcript:KZM85087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRLRASKVVEELKADDGKDKGESSAIDGEDDTFVSDEEERKVWRRKIREVIDMNPDVEEEVDPVERSKKMQKLLADYPLVVEEDDPDWPDDADGRGFGLDQFFNKITIKNVKKDDDDDDEKYDSENEIVWQDDDYIRPIRDITGAEWEEAVFKDISPLIVLVHNRYKRPKENEKIRNELEKAIHIIWNCRLPSPRCVALDAVVECDLVSALQVSQYPELIFTKAGKILHREKAIRTADELSKIMAFFYYGAAKPPSLTTSGSIEEAIPPIPVGNN >KZM85549 pep chromosome:ASM162521v1:8:26711148:26717157:1 gene:DCAR_027029 transcript:KZM85549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGGVQVAKSGSMPSSSSHGSTQQFPAGLRVLVVDDDPTCLMILEKMLRTCHYEATKCNRAEIALNLLRENRNGYDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDSKNVVMKGVTHGACDYLIKPVRLEALKNIWQHVVRRKKHVWKDIEQSGSVEDGKLQQQTPDDADYLSSANEGSWRNSKRRKDEEEDADDRDDDTSSLKKPRVVWSVELHQQFVAAANQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGQHQNALNGSFMGNPEATYGSISSFNDLELQAYAASGQLPAQNLAALQAAAFGRSSSKSVVSPLDQRNLFSFETPKLRFGEGQQQHLSNSKQVNFLHGIPTNMEPKQLANFHQAANSFGINMQVGSHGTQGSSLMMQMAQPQSRSQIQNDISGSYVSRLPPSIGQPVVSNVVSNGTSRVLTRPVYNHQVSQTSPVAGISTHHSNDLMRNSFPLGGNSGISSLASKVIPREEAPIEIKGSQGGSNYDVYSGQQQRKSQDWTIQNSGLAFDTLQHANMRGNLDVSQAALVQQSFSSRDAIEQSRNSSVLPSVLLQQGYPSSGHSSSSSFGKGIFSGVEENGHRNMPNTGQQLNAYFTDTSPRVKAELLEGNFYNNLLPDQYDQEDLMSALLKHQQEGIVTVENDFDFDGYPLDNLPV >KZM86123 pep chromosome:ASM162521v1:8:31528913:31530380:1 gene:DCAR_026455 transcript:KZM86123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVEHYYSTFDNNRSNLGNLYQEASMLTFEGQKIQGSVNIVAKLTSLPFQQCKHSITTVDCQPSGPAGGMLVFVSGNLQLVGEQHALKFSQPVLTEILAICTFPDVPSDAYTTGKLLCIE >KZM84348 pep chromosome:ASM162521v1:8:12829576:12830181:1 gene:DCAR_028358 transcript:KZM84348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHESCATLAFQLNYFTCLQWIISLYVLMFIEGVYTYNFKLKSSHHDMFSSSRIWLLPQMDDDYYSLRYTDPKEYFAGVRREWAFRLEESNQLRNDLIGLGAKLPVRDSLGVYPARNFNGSWGDYRRLVIEAVGLIREENNRMLLRRCRFYMLKLVKDSAAASGREMTFEEECQLLQNPNYLSDEPMSDEEATDDDDSE >KZM84549 pep chromosome:ASM162521v1:8:16133097:16133960:-1 gene:DCAR_028029 transcript:KZM84549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRKDATSSSPEAILENVWANFFGRDHEYYSNELHKEFDVFQFWNEMPTLDHNTVQQTGPFTTDMLERLPSLGRGMSMGSETSWETILSECTAPSLHVENSLSTLNHDFESLERNERLRKNVEIKVEKINTRHYRGVRRRPWGKYAAEIRDSTRKGARVWLGTFGTAEEAALAYDKAAIRIRGPKAHLNFPLEKKTQGSSNIAIPSESHVITSLFKSEQLLDFDCTSFGCNHMLPELPNEVYLATEEEHAIMKMAGLNEVCGDDQFDILEFQDLGSDYLESLLSTL >KZM83895 pep chromosome:ASM162521v1:8:2936741:2939774:-1 gene:DCAR_028683 transcript:KZM83895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQPATELIKEISVLELEVGHVEQYLVSLYQQAFDQQVTSTSPSTKERGPKSPKTSAKEKSELWSGVGIMSNVEKPSLRSTCINPQKDLNDLGDIYCKLADPPLLDHGLSSPTSSSSSMSAFSPKDHTGMWSPGLKKYSSLDERLDNPFHVQGLKEFSGPYSTMVEVQYIYRNDKKLDEVEHMLQNFRFLISRLEEIDPKKMTHSEKLAFWINWLRLLLSSKSKFKSGDERQAYSIEHPEPLLHFVLCSGSHSDPAVRFYTPKSIAKELEAAKDEYIRATFGVSKDHKIVLPKIVESFAKDSDLCTTGSVEMIQKSLPQSVPKSIKKCQL >KZM85657 pep chromosome:ASM162521v1:8:27612966:27613505:-1 gene:DCAR_026921 transcript:KZM85657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLGLSYQGKFYVLSDQVGLPEQKTSDVFDPSERTWYTVDDVWPFSRAMHFAVQVMTDDLVYTVVDWGESCIKSRDTEKGEWRHVGSVPPVNLPDHPRPLETFGYGFAALRKQLYVLGGRVLRWEESGVGRFDIVKLSSVRFCDPLVRPLNWRETRPMCVPAFGSILGCATMEEKTAS >KZM85642 pep chromosome:ASM162521v1:8:27490062:27491797:-1 gene:DCAR_026936 transcript:KZM85642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDAIHQFFLKKKVGCIRIDGGTPAASRQALVTEFQEKDSISAAVLSIRAAGVGLTLTAASTVIFAELSWTPGDIIQAEDRAHRIGQVSAVNIYYLLANDTVDDIIWDVLQSKLENLGQMLDGNENSLEVSASDLKSSPSKQGPNFPSSSPGKQKTLDSFVKRCNNIQKHKDEPNAKQARHC >KZM83760 pep chromosome:ASM162521v1:8:1228821:1232359:1 gene:DCAR_028818 transcript:KZM83760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNKQAEEAIVANETENEGNREESKEIEDTSGLNLKSFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGFLSGILFQIFYGLVGSWTAYLISVLYLEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAALAHGQVDHVKHSAPQKLELYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLIATLYVFTLTLPSASAVYWAFGDQLLDHSNAFSLLPKTRWRDTAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFLRALARLPVVIPIWFLAIVFPFFGPINSAVGALLVSFTVYIIPSVAHMLTYRKASARQNAAEKPPKFLPSWTGMYVINIFIVGWVLVLGFGFGGWASMTNFVKQVDSFGLFAKCYQCKPPAPHHH >KZM84235 pep chromosome:ASM162521v1:8:10648892:10649368:-1 gene:DCAR_028218 transcript:KZM84235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEIIGGRKNYDASETEEKCHFPSYAFKMMEQGKLKDILDVKLQIAEDDERVSIAIKVALWCIQYDMHLRPSMTKVVQMLEQVSPVPPPPSSSQQMNSHFYLSSFKSTSEHSTSLDPLEFNSCADFSAVKLSGPRWQITSHISCVSGIGNICNTST >KZM84444 pep chromosome:ASM162521v1:8:14674811:14677833:-1 gene:DCAR_028134 transcript:KZM84444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSTKLTKEIEVLKQVLPLITSHKDRNSVSQVCKDFYHVERCSRTKVFIGNCYAVSPEMVARRFPNIRSVTLKGKPRFADFNLVPENWGADVHSWLVVFAEFYPFLEELRLKRMTVSDASLEFLATSFTEFRALSLSSCDGFSTDGLEAIATHCKALTELDIQENDIDDVSGDWLSCFPEDFASLEVLNFSSLNTDVSFDVLEDLVCRCKSLRVLKVNKNISLEQLQRLLVWTPQLIELGTGSFNQELVPRQFQMLESAFDNCKNLSTISGLWEATSQNLAALYPVSARLSFLNLSYANLQSSALAELLAHCSNLRRLWLKICEVEQSRGTDSSYSQV >KZM85455 pep chromosome:ASM162521v1:8:25917073:25918432:1 gene:DCAR_027123 transcript:KZM85455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFQMQKRYIEYNASMFREGYFDDQFKQLQQLQYGGSPGFVAEVVSMDEEPKDFKKMDGYAHKIKGSSAGVGAQRVRDACIAFRGFCDEQNAEECMRCLVQAKQEYAIGKDKLHHLLMVIS >KZM86024 pep chromosome:ASM162521v1:8:30834549:30837329:1 gene:DCAR_026554 transcript:KZM86024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILASVSFHFPSSMTSVSHSFSSSATAALPCKNGQNSRDSSFCLHNSFLRKERNRAKKLVCNAAEYKFPDPIPEFAQQETEKFKSHLVKKLSKKDMFGDSIDEVIGVCTEIFSTFMHTEYGGPGTLLVEPFIDMADTLNERGLPGGPQAARAAVKWAQDCVDKDWKEWNGN >KZM84737 pep chromosome:ASM162521v1:8:18528259:18528594:-1 gene:DCAR_027841 transcript:KZM84737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVIKVFMRTLLCKANLDTSTDGVNQTLTAAHTLHNFEYHSIRFASFDTIGTQSAQSSYHRNEVMEFMKSLRLSLRSS >KZM85387 pep chromosome:ASM162521v1:8:25317037:25323313:-1 gene:DCAR_027191 transcript:KZM85387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIRDGRRAAASNILNGLSRRRHRTSSFRDSPEDETEMHEPARLRERGVKKDRERDRDRERERSRSSKRRRADRLMNAADESSEEDESVNDEEDEDDDVSANFSRMLPPLPTPILSQPSISSNYNNHNNSHSYSHSHHQNLNRKSLLSDGGKMYKAAVPAWKAAADEMIGVSVPRKARTASTKRSHDWVSSGGGGEQMNHRQASSSPVRGGIAMSTQSGAVLREAAPLSPPSSSNVSIKKKIKPSGGVKPRPQPRSTTTSKSSSSNNNPEELEIEIAEVLYGLMTQSQAPSKTDLSSKNEFNNISSSEAKSRASSPVSNSSSAAPVTTAAPKRKKPRQLVDNNLVGLSGTRSCPAMKVEIDQMPRSELCPPNLERNPGSVAENGESANSGKSGAEASKSMKLEASTLSESKVVLMEDAGESRDAVSTTKEEVNSIKKDSSVVKLKDVPKEMMVTSMATSTNVKTTAPTIEKKREDKLNIDLMAPPPQLRSSPERDRTVTFMGEPNRMVFDMEKKGEISKSKETDKVRISKEETVIQSDEKGAKKTVIEETEFPKSFVSKERNIDLSFDLEKPGIDTVNNTQNDLAAKSQVQYSKATFKEEQEQQNTDKSVQSISLPLPMPVSSWPGGIPPPMGYMAPLQGVVSVEGGNMPSATVQPLFCQPRPKRCATHCHIARNIHYYQQLMKMNPFWPAPSGTASMFGGKPSNVNVMPPTELHGNSSGRNLNSVQDKGHSLAIFPGNAGKENFVQPSGVADAGHGKQQILLHQAMPPPPNNMMHGPAFIFPFNQQQAAVVATSGRPASAKSPATTGSLPSTGAPNSATVTASAPAPASALGFNYPNMSGNETQYLVLQNSPYPFPIPAVGAPPNFRGHTQAMPMFNGSFYPSQMIHPLQLQQQQAPTSQQQQIQQTHQNTSGGSTSSQKHLQSQQHRPQGIPINGGGTGNGVLQNFAAPKSRSSQHPQQHHNQHIPPSQARQLESEVAGEDSPSTADSRGSRAPVNVYNQNFAMPIHPHNFALMSSSAASAGNPGGSGGNQNDKKQTHQQHPGFKSGVESLPSQAFAMSFGPMIGSTSASGIDLSSMSHNHAILQSLPESTRQSYHQMMQAAATAQSVQQKKNSRISDDGKIGGSASSNVDEERKVITRKGPASSGGQSISFSRSDFNEASESATPKDSASNSIARMLNLAPGATRMPNVMNNSQLHAQLQHQQQLFQMHTQQQQQQLAANVTARSKTPTSNGISSSEHLTSSSASAKFPNSISGFPPNFVQSSNNNCPTQSSQWKNSNRTPTSVQSSLVSSTSSPKSQQQQSRTLQNHTQISFGTNQKSSASQMQQHPNCSQSPSPPVAVGSPTHSSLSKGASSSPRTNTTSTNKTGQASTLSSQQAKNSPSAPGQKSSPAGGRNVPSVLGNPHSAPLSGQPPNSASSNTSAATGYNLQRRHSEQPSQQPQGSSPSSPGMFMCPPATQSNTSTTDPAKAAAAAAAVAAAASNIKGGGFPSQGILHSAQFGVQSLPVGFSHGHSVPAAVQVKAEQKQPAEQKQPAGE >KZM83941 pep chromosome:ASM162521v1:8:3767934:3770573:1 gene:DCAR_028637 transcript:KZM83941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASTSNLSNGFLSLCSPATIGESSPKIVAGDDGYVFEDVPHFLDYIPKATVEVWCIGVINLGYRGFYSRNTIPLTPKVVNNIHKRGGTIIGTSRGGHDTKKIVDCIQDRKINQVYIIGGDGTQKGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDSAVEEAQRAINAAHVEAESTENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLFEYIEKRLKENGHMVIVIAEGAGQELLSGSLKTTDQRDASGNNLLQDVGIWISQKIKDHFSKQKKIGQQKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGHTGFTVASVNGRHAYIPFHCITEKQNKVVITDRMWARLLSSTHQPSFLSPKILAKQQG >KZM85270 pep chromosome:ASM162521v1:8:24290079:24291089:1 gene:DCAR_027308 transcript:KZM85270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAISPPSPSHLHSSHNGFPIIVIAIIGILATAFLLLSYYIFVIKCCLHWQRIDILGRFSLSRSRRRDDPLMGFMSTYDNRGLDESVIRSIPIFRFKRGEKEDPQKGNIFSECAVCLNEFHEQEKLRMIPNCGHLFHIDCIDVWLQNNANCPLCRTSISFALQFPLLDPSSTSQNQAQYHDNFMGREEDYVVIELGAEEKTPDGSMPRIQETRPPSPSPSQKKLGPKTVKKRSKKDGLRQTSMGDECIDTRVKDERLLVQPIRRSFSMDSAADRQLYLAVQEIVNQKSNGHVVSESVSCEGCCSSRVRRSIFSFGQGRGSRREVLPVQLEKKCSL >KZM86118 pep chromosome:ASM162521v1:8:31465001:31465846:-1 gene:DCAR_026460 transcript:KZM86118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENAHTRLIFNDKSKYLIIGVAVLIAGGLFISTLLLNINTPFLCTFAGAKYEPTTTQLETILHYATSRVTPQQSQAEIRLSFDVLRARAPCNFLVFGLGHDSQMWHAFNPGGTTLFLEEDPKWVDTVLHDAPFLRAKYVKYRTQLQEADQLLQSYRSEPDCDPTKKIPLKQNEKCKLALNMLPDEVYDKEWDLIMIDAPRGYFAEAPGRMAAIYSAAVMARNRKGSGVTHVYLHDVDRRVEKIYAEEFLCRKNLVKGEGRLWHFEIPSVVNSSVKSNLFC >KZM84157 pep chromosome:ASM162521v1:8:9316433:9322267:-1 gene:DCAR_028296 transcript:KZM84157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTNGINRETKEFWGLNMLFIDDSNMRIHAFASTKYCKDLLDHMKEGKIYVVSNFRVKDYVGDETYRVVRNNKHIYFTAHTKLEQDLELSLVIDRHAFDFFHLGDMEKMAKDNRFLVDVIGKLQNARAIKSSKTESEKILTKFDLFDGRHTVSVTLFDEVGVEFEETIKCASGRDLFVVMCAAKIGMYEGMPNLTNYPSTRIYINPGHYSVQLIANRFRVCTLCMDSSGSFAVIFPDEEVSRILNKTVFDVEAEAIQAKNEGTFPNVLKQFENKFFDITINITSNNLKNGYRIYEASEICDKIESGASFDPSVQKDSEMPDAPTVNLEDDNNNTPHTGTSSNKTRPRTDIEPLPFDTNKGVPPKLMRNEKKNKSCSANKLNSKSKMGSRYARFRVCTLCTDSSGSFAIIFPDEEVSRILNKTVFDVEAEAIQAKNEGAFPNVLKQFENKLFDITINITSNNLKNGSRVYEASEICDKIESGASFDHSVQKDSEMPDAPTVNLEDDDNNTSHTGTSSNKTRPRTDIEPLPFDTNKGVPPKLMHNEKKNKNTAIHAFVPTACALDFERQIMLATVNVITGFTVVPYKETDKYRCVRGDSYIIFTADTKIQQVEDKGTNINHEMFDLYDHSEVKNVANQTKHLIGIIMDHEINLHPITNKHGQQQGQAKFVITDGRLSQPAFAKKFQSSHVSRKAELLTVEAIKSLGPDYIERPVLTHVSIMHVDEKQIWHLTVCTTCDVEVEKRITFTFALAVRGLFLIQR >KZM84207 pep chromosome:ASM162521v1:8:10253423:10255045:1 gene:DCAR_028246 transcript:KZM84207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGEILVLPFYGQGHLFPSMELCTHLASRNYNTTLIIPSYLASSVPHSLRTHPSVVVVDIPADPSDLQPPPPKIAATSSDDGSGRGRGRGRGGMNRFETQHQQLGNGIETYLKTRSKEPGYEVPKCVVVDVMMSWSKELFREFGVQIATFFTSGACAEAMDYACWKAGVAEMKPGDTRVLPGLPEDMALAYSDLDKKPRMGAGGGGGGGRGRGRGLHGPPDGDRMRHGPPDGDRMRHGPPDGDRMRHGPPGPGQRPMWLNEIEGTTAMLINTCDELEHDFIEYIGTQTGKPVWGVGPLLPEEYWKSAGSVIRDGKIRSSGRKSNYTEEEVIQWLDGKSGKSVIYISFGSEVGPSGEEYEQLTTALQESDWSFIWVIQSNSGKPGRLLGIQNDQEGGYYPDGLKEKVGDRGLIIQGWAPQLLILSHPSTGGFLSHCGWNSTVEAIGCGVPFLAWPMRGDQYHNAKLIVSHLKVGCKLSNGGSSKAVKKEDIADGINTLMSDEEIHKQAAMIRGGFKSGFPASSVSALDAFVDFIKKNTS >KZM85384 pep chromosome:ASM162521v1:8:25278713:25283985:-1 gene:DCAR_027194 transcript:KZM85384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMVDMLCGGNEDKSVHKEDEKKKKIRGKVVLMKKNVLDSSDLCSAVLDRVHELFGRGVSLQLVSSVRGDSANVLRGKLGKAAFLEDWVTKITAVAAGDAEFNVTLDWDESIANVLRGKLGKAAFLEDWVTKITAVAAGDAEFNVTLDWDESIGDPGAFIITNQHHSQFYLKTITLEDFPGHGRVHFVCNSWVYPAKRYKNKRVFFANKCYLPHETPEFLRHYREEELRNLRGNGSGMLKEWDRVYDYAYYNDLGSPEEGAEYSRPVLGGSKEYPYPRRGRTGRDQHKNDPNSESRLPLLSLDIYVPRDERFNHIKFSDFIAYAVKSLGQIIAPEVRAIFDKTPNEFDSFKDVYDLYEGGIDLPDGQKLSKIRECIPWELLKEIVRSDGEQLLKFPMPDVIKADKSAWSTDEEFAREMLAGVNPVSIRCLHEFPPASRLDPEIYGNQTSSIKFEHIEQNMNGLTIEEAIENNKLFILDHHDALMPYLARINTTNTKTYASRTLLLLQDDGKLKPLAIELSLPHAQGDKYGATSKVFTPAENSIEGSVWQLAKAYAAVNDSGYHQLISHWLNTHAVIEPFVIAANRQLSVLHPIYKLLHPHFRDTMHINAFARQILINAGGVVERTVFPARFSMEMSSFVYKNWVFTEQSLPADLLKRGMAVPDTSQPHGLRLLIEDYPYAVDGLEIWSAIEIWVKDYCSFYYSTDESVEADTELQSWWSELRNVGHGDKKDDPWWPDMKTREDLVLSCSIIIWVASALHAAVNFGQYPYAGFLPNRPTVSRHFMPEPGTPEYKELKEHPESAFLKTITSQLPTLLGVSLIEILSRHSTDEIYLGQRENPEWTSDVGPLEAFEKFGSKLAEIENRIVCRNNDEKLANRRGPVNVPYTLLYPNTSDYSKTSGLTGKGIPNSVSI >KZM85445 pep chromosome:ASM162521v1:8:25865372:25865766:-1 gene:DCAR_027133 transcript:KZM85445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQGFGKSSGPGAPPTSSNPFASSFPRNTAPAQPLFAPPPFQPSASLIDRVLSDVAICECALRLSSV >KZM85409 pep chromosome:ASM162521v1:8:25526846:25529166:-1 gene:DCAR_027169 transcript:KZM85409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENASSQEQGKERELKLELKVDQVIEEYVGSLGFSQILHVFLVSIAWIFDAQNTLVTIFTDAKPPAWSNGGHVSSVCGLPDGSWWWVGGNESSIIAEWNLVCGRKFLAAAPASLFFFGSVLGAAFYGWIGDEVLGRKKTVLLSCILTSITTFLTSISPNIWVYALLRFASGFVRCGIGICCLVLATEAVGRKWRGQVGQYGFFLFTVGFLTLPLVAYPYRTSWRKIYRVLSILPLVYSLLIIPLVSESPRWLAVKGRNKEALDVLGRYARLNGKKLPPNLYLSEPSFGKTGGQAKTSIWSAKWATARMILVMIAGFGIGCVYYGIQLNVENLNFNLYISVMINALMEIPAMAIGTILLSYTNRRPQLSGSAFIAGVSSIICISLSRELPRDKSKGSWTQLSIEVVGLMAASRAFDMLYIVCLELFPTYVRNFAVSLLRQSLMLGASVSPLLVALGRVSPSLSFLSFGILSVCSGLLCLYLPETKDAPLYETLEQQEEEEKLKSFATDSLDLEKFEVESFVVASDMAE >KZM84404 pep chromosome:ASM162521v1:8:13982616:13988032:-1 gene:DCAR_028174 transcript:KZM84404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTSGVLSVDKTGQLVLSYSEAPQVMIWSSNISSSGDSKYSARLLDTGNFVVFKDQYSEKNILWQGFDHPTDNFLATMKIGWNKRTRENKFITSWKSHDNPETGPYSFRFNVTGSIAQLYIFNGLTPVLRAGPWNGITFSGLPGYTVSPVNDVSSLFYIDNDEEVAMYYSVNVPAFYTRFVINNEGFAQRLNWNTGLQKWDVFWTGPNGQCDRYANCRAFSICDPNKVADQGCECLPGYKDKSDSPTNTFQGCVAKSEALLCRNGEGFIEVPGVKVPDAANSKAQFNLELGINDCKDFCLNNCSCTAYTSANMSSGKGCLTWYGDLIDVRHFSERGQILYLRVDSSELGGNLDFSQGSGNSEMSFMEGHPDVLAMNERGTEVICFSLSTMVAATDNFSFSNKLGEGGFGTVYKVWDRWLEGKPLEIVDASLAESFDVSEVLRCIHVGLLCVQESAAVRPTMSEAASMLCNERATPSPMEQPAFINRAQVYFGTLKSSSSSSRIGATTTTEMTTAIAEGR >KZM85501 pep chromosome:ASM162521v1:8:26332361:26333065:1 gene:DCAR_027077 transcript:KZM85501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFGNRNWLNSVKVEGNVMKGNMGNVSSGGVKVERSFCAVCGRRFYNSERLVNHFKQVHEAEQRKRVNQIESARGSRRVKLVGKFSMKMRKYKNAVRDVLNPHVGNGLSDDLKRAGFWVKAMSDKRDVAGDELGKCILNVIDMRQVECMVLVSDDPEFVSVLKEAKVRGLKTVVVGDRQDGELKRICDAAFSWKEIILGKAKKQAVSVVGHWKDRDVLKSLEWSYNPETEKTQ >KZM85879 pep chromosome:ASM162521v1:8:29527499:29528560:-1 gene:DCAR_026699 transcript:KZM85879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERGKSVEESSGNNYPDFYAYSFPCKKHPSSTSVGICPYCLKERLIKLVCSDCGEQRLSSCSCSDVSSYRNSCSTMEIGSVGRISFLIENEKTDQLKAEKSDDEVIMLKRSNSTCTEVKRSHKFWKFGKLFRKKREKQSGVCEKSDMCVSDYMGVSRSRSLCSFRGNHFLHDPDHESSDFAFSSAKISDFNESEPRRSGFSKGLMDVESAKISDFSEPRKSGFSRGLLEPEDFNLKKCVFPESEFSGMDDSRFIDLKLDLSSSSEPKTEAKTEYSVSKMSEFPISSSDNGRKFGNLKENKMAGHGGSCRITVNEKRINKGSKGQKVWRWIFSHHHHGWRSASKKDGNQILKI >KZM84146 pep chromosome:ASM162521v1:8:9171762:9172073:1 gene:DCAR_028307 transcript:KZM84146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEAHNVLAQLIEHNSSMREVLKVLEEENKKLKDKIKLMDIYRSNNERMINMLKEHKDQQRALSLHIIDPKTFQPPNIGKKRKLEEGEGSNVSTNEGEKKKK >KZM84463 pep chromosome:ASM162521v1:8:14972502:14973838:1 gene:DCAR_028115 transcript:KZM84463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLLLFLRFRAATITDDGTDSYCSYESDIATGLGVGSLLFLLASQLLIMIASRCLCCGGALKPGKSRAWSIVLFILCWLTFIIAEACLLAGSVRNAYHTKYRTSIVVDPPSCETLRKGVFAAGAAFIVFTGILSEFYYVSLSKANDGFLPTNGDGGIRMGAYN >KZM83689 pep chromosome:ASM162521v1:8:482200:483708:1 gene:DCAR_028889 transcript:KZM83689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHHKPSHLRNILGKLLLFSLVFIVLRFAYVVTIHGETCDSTDFCFFSSPDSNNPNDLITTTKVGPTSTSSSIIINPTSIKSSPSKPSHQNPTVQFHSSIFQDLIVNGHLSPSSKSLCIHSPSLSGADVIVALKQIGVSDVSGIPKKPNKPLVISFKDNTFDFIFVGADVLDFPANLAVEVARTLKPEGHFVVHTFSKDEYSFNSFIGLFNCCKFIQSREIEVLDSRKIVREIVMKKEIEYIRQRVEYDDNKECLVPGYKQELIKKAEGLILEEPLKPWITLKRNLENIKYLSSMVDINFKYRYVYVDVGARSYGSSVVSWFKKQYPKQNKTFDIYAIEADKTFHDQYTNKKGVTLLPYAAWVRNESLFFEINEDPGGQKDVVKGRGMGRIKPIQSSGNDVSDVDKIQGFDFADWLKSTVTEKDFVVMKMDVEGTEFDLIPRLFETGAICLIDEIFLECHYNRWQKCCPGERSPKYEKTYEQCLDLFTSLRQRGVLVHQWW >KZM84640 pep chromosome:ASM162521v1:8:17275442:17276388:-1 gene:DCAR_027938 transcript:KZM84640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMDNSDGNQTNGSEVAANDGSATIEDSSAAMIPPTGDKNLQTADNNSRNWIAQTPGNNASQNILGNNLDATQTTGSEVAANEGYGTTVDSSAALIPQAGDGNLQTGDDGLWNWDDDQTSQENFYLKDLDIPTPHKQPDQLGNNASENILSNNLDATQTTGYVTAVDSSAAMIPPAGDENLETVGDEFWNWVDQTPLESFDLEDLDIPTDPHKQPDQLGNNASQLPQAEIGHGTSSKLPVAYSVAF >KZM83970 pep chromosome:ASM162521v1:8:4389525:4389809:-1 gene:DCAR_028608 transcript:KZM83970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRKSCIFLLVATLQIISCFIAAAQPFSPLDHRALPLQKQAVICYFLWKFTSLLSLVISYYYKVTLVNFFDQAQARAYSLLKLPSTQDHKNQS >KZM84019 pep chromosome:ASM162521v1:8:5508916:5509254:1 gene:DCAR_028559 transcript:KZM84019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVISHNTSSFSVLPESNEDIVDAGDFKVVKDEKEDIQKELIALGALKWRKTPSLAETSRNGTKAAKIVNVKVSPRPIQQPR >KZM86036 pep chromosome:ASM162521v1:8:30945096:30947436:-1 gene:DCAR_026542 transcript:KZM86036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELKLITHLFILFSSIFFFLNLGVVGARRNLLESATGESPFSPKGSLLRYWKRQVSNDLPQPDFLLKKASPLTAVQSASFSKLADKNTLSAQLPAFCSSAKLLCFPDLSPSLEKHDQNVAFSSYQDKNFTNYGTLKGGDKDSFQNYANEENIPVNTFRRYGRDSVGHDTDFNTYATDANVADQSFNSYAAGSTGGASGFSAYNKDTNVPNLNFNSYSAESNGQSQTFKAYSEEANSGSQSFASYGKDGNGQPNNFENYGRDSNVIGSTFSGYGQNANGVADNFTSYAFDSNVPQNKFKNYGDTGNGAVETFTSYRDQSNVGDDSFESYAKNSNAAKATFTNYGNSFNEGTDKFTGYGKKGSFSDIGFKKYGVNNTFKDYANKKDVKFSTYATKGSAELASLKEGNAKIVNKWVEPGKFFREKMLKSGTVMPMPDIKDKMPERAFLPRVLSSKLPFSTAKLDDLKKLFHAGDNSSMAAMIANTLTECERAPSRGETKRCVGSIEDMLDFATSVLGRNVVVRTTENTEGSKADIMIGEVKGINGGKVTKSVSCHQSLYPYLMYYCHSVPKVRVYEADILDSKSKAKINHGVAICHVDTSDWSASHGAFAALGSAPGKIEVCHWIFQNDMNWAIAD >KZM83720 pep chromosome:ASM162521v1:8:790835:792192:-1 gene:DCAR_028858 transcript:KZM83720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGHHHHLLHHQLQQQQVSVNIDTGGDRFPQWSMQETRDFLMIRAELDPTFMETKRNKLLWELIATKMKEKGYNRSAEQCKCKWKNLVTRYKGCETIEPEGMKQQFPYYNELQAIFAARMQRMLWNEAEGSGAGGSKKKATQLSSDDEDENEESDGEQRGTTSGKKKRKVKQNPGSSNAIGGNVNANVGGLKEMLEDFMRQQGEMEMRWLKTYEAREEERRMREMEWRQRMEELEKERIMMERRWREREEQRSAREEARAEKRDALINALLIKLRREDKS >KZM85784 pep chromosome:ASM162521v1:8:28637592:28638833:-1 gene:DCAR_026794 transcript:KZM85784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKRRTQKSIKQHKAEESGKEISKTSLIDTVSESLVANILAGLPIGCICAWRCVSKLFLKLISENWFTKLYAEMSPYITVVINTNFGVHLVELAKGYYRLYKSVISINKAKIIGSYNGLLLYLVRCEYLYNNKNHCSGQCLCLCNPILGQYVSLPIPDNNNYSFHGPRKEVYGLGFNTKTGKYMILRISTPVDPPHPIYKKRSEADVLIVDTNTWKRVGYLPYPSNEESLGGIVKGAFHWLFYNEMKTSTSLYAFNIVDERNYMISLPPDIGNDNVNMSVGVLNNCLCLFDNSNPAHFGIWSMKEYGVRESWALKCILTASIPACICKSTLHPVAALKDDGIIIKSGSGDFYFYDHKDMNFTRFEIDNVELLAEFNYLAIHSSNFCPVDLMSTGCVLDTKVGARCLLHISK >KZM86105 pep chromosome:ASM162521v1:8:31376668:31379092:-1 gene:DCAR_026473 transcript:KZM86105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSEVEEHLSSIGEPKLHGGMCSILSAIHCRVIEIFPELEAARPRSTSGIQALCSLHIALEKTKIILQHCAECSKLYLAITGDSVVTKIERARSALIDSLRRLEEIVPQEIAYQISEIVGEFEAIEFSVDPLEKQVGDDLIALLQQGRQFNRNGDDMNELQSFHQVAFRLGITSSGAALKERTALKKLIERARVEEDKRKESIVAYLSHLMRKYSKSFKADVLDNNSQCSTPCSPNVQGSFEGYGGLDGNGHTFDQKLSKHSSFNFKPNVRRLGQITVPPEELKCPISLQLMYEYDPVIIASGQTYERICIEKWFHDGHNTCPKTQQELSHLCLIPNYCVKGLVTSWCEQNGFAVPDGPPESLDLNYWRLAMSEGEHEDAKLMDTTGSCKLNGLNIDSIEKSAISEEVEGNEVESVYTPDDEPNGEVDTFKRYDEFLTVLNKEQDLSKKCKVVEEVRHLLRDGEEARIYMGRNGFIEALISFLRSAVRDKDEMAQDMGAMALFNLAVNNNRQVLFTIP >KZM84200 pep chromosome:ASM162521v1:8:10111067:10112103:-1 gene:DCAR_028253 transcript:KZM84200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYHPYGCGMRCPSSFSLKLGVGSYTTRARTLTVKASGDPSPDSSRLAPLELESPVGQLLAQILHTHPHLLPAAIDQQLENLTNERETQREEATSSQDLALYRKKRVESIESLFCKQDV >KZM84689 pep chromosome:ASM162521v1:8:17771323:17774616:1 gene:DCAR_027889 transcript:KZM84689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGRCEGEDENRTPSGRKGLPRKLRSHSSGAHGRPPQKPHTITIKSGSIKHKEAKKTLLAIIRLHWPVGAYSYTEIERITNKKFTEDVLDEFHLYYTYEPTMPRKIAISCVRKHIMGVVRRTSNEEKTRAYARAKTSGRTPFDHRPSYFNQDVWRYFCDRWVSEAHIAKSETSKKNREKLEVNHTAGAKPFDDVREELERSTGTPPSRLKLWETTHKKKDTGDWVTKAAKEIYERYRALSSEQSSTTSADEPADEPLDLWLQATGGIKKNRIIGMPRVQASKILSPVQCTSESLQGEGPSVGSSKPGRDLSNETFVHIVESTLARIRSSQLYQGGRKLDRQTLQTVAQEALAAGDMGPNSVLSELIRGEALRVAVSLIENMLLGIECEKEDCNEMETVPISDGEDDNHSDDEERTMSLSNNEANGDSD >KZM85338 pep chromosome:ASM162521v1:8:24895929:24897342:-1 gene:DCAR_027240 transcript:KZM85338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVQFRPPETPMELMEFLSRSWSTSSVQVSKTLKANAVTDDAVKPSGTILENISGADDEEATVSGNPFSFASSETSQLMERIMSEVSPRTSGRLSHSSGPLNSSVTDTPPISPSHDLKCYNFKSEMKF >KZM84634 pep chromosome:ASM162521v1:8:17209510:17219513:1 gene:DCAR_027944 transcript:KZM84634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKTMIHHKRHRLIINFAHIFHHRVQKGPDGYDLSRRLLQNPSNYIQPLCDAITELARHVDHKYLKEGEQVLVGFEGPFVSRRVTPRDLLSSFIGSMVCVEGIVTKCSLVRPKVVKSVHFCPSTGSFTSREYRDITSNMGLPTGSVYPTRDENGNLLVTEYGLCKYKDHQTLSMQEVPEKSAPGQLPRTVDIIVEDDLVDSCKPGDRVAIVGIYKALPGKSKGGVNGVFRTVLIANNVFLLNKEANAPIYTPEDISMIKKIADRDDTFELLGNSLAPSIYGHSWIKKAVILLMLGGTEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVICIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSITPTKNIGLPDSLLSRFDLLFIVLDQMDPGIDRQISDHVLRMHRFRSATDGGTATLDGSSRYGADEETDTGSTVFVKYNRMLHGKKSNRAQKRDTLTIKFLKKYIHYAKHRIQPDLTDEASDHIATAYAELRNASAGAKVGGGTLPITARTLETIIRLSTAHAKLKLSRQVLVSDVEAALKVLNFAIYHKEMTEMEGREQERERESENKRKADHDAGRNVRADRGGASAGDGSTEATEAMEVDDPSEGQHATDMSAEREEAFKSALGRHMHAQHLEHISISDVEGVVNNQAAAPYSRAEVMLLLEMGESEIKEVGDTEKKKIFVAGATGGTGKRIVEQLLAKGFAVKAGVRDVEKAKASFAANNQYLEFVKADVTEGSTKLAEAIGDDSDAVVCATGFRRSWDLLAPWKVDNFGTVNLVDACNKCSVKRFVLVSSILVNGAAMGQILNPAYIFLNVLGLTLIAKLQAEQYIRKSGINYTIVRPGGLSNDPPKGNIVMEPEDTLSDGSISRDQVAEVTVEALLQPESSYKVVEIVARTEAPKRSFEELFGSVKQR >KZM84888 pep chromosome:ASM162521v1:8:20580116:20582085:1 gene:DCAR_027690 transcript:KZM84888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGAESVRYEEEYITNSRNMKLFTCRWLPSSEPKGLIFLCHGYGMECSIFMKDYEGHGKSSGLQGYIKDFDALVTDCSEHFTGICERKENSKKLRFIMGESMGGAVVLLLHRKKPAFWDGAILLAPMCKIADDVKPNQFVISALTKLTRIIPTWKIIPTPDIVDLAFREPAVRKEVRDSPYCYKGRPRLQTAYQLLTISLDIEKRLQEVSLPFLLLHGGDDKVTDPSVSKLLHEKAISSDKTFKLYPGMWHSLSRGETAENTEIVFSDITKWLDEKTATGNAKLERELKSGNDALHTDSSGKTILVE >KZM86031 pep chromosome:ASM162521v1:8:30897090:30899108:1 gene:DCAR_026547 transcript:KZM86031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRLASMWNKHRRNKKENRIDPWKYKPLEYWQLEDQSKPAKKQQDSSSVFTVKEMEEATRSFSDENFLGKGGFGRVYKGTLRSGKVVAIKKMELPPPKIIDGERKLSVDGEREREFRVEVDILSRLEHPNLVTLIGYCADGKNRFLVYEYMHKGNLQDHLNGIEDVKMDWPLRLKVALGAARGLAFLHSSTAIGTPIVHRDFKSTNILLNDNYEAKISDFGLAKFMPDGHENHVTATVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLNQGPNDKNLVLQVRHIVTNKKRLRKVIDPEMRRSSYNMESIAMFADLASRCLRIDSRERPSMEDCIKELQQISFANSKCSSKEPKIASEYGPEISNFSVRSLNLL >KZM84619 pep chromosome:ASM162521v1:8:17071560:17080028:1 gene:DCAR_027959 transcript:KZM84619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTQDIAETSQNESGFIEVGYISSVHGLQGEVRVNPSTGFPELRFSTPGKRWLRQQISGRETIQEVILVEGRGGHKGQKSWILKLSEIDTVEQAQQLVGSTLLVTEEERPDLEEGEFYTRDLIGMEIILKETGENVGTVVNVFDSGAGDLLQVRLNRSPKLSDGAGKPESEILASAPLVWVPFVEAIVPNVDMNKREMLITPPKGLLELNIRADERSKKERRQLEWKERKKFQKRVVAAKKKLSDLEQQHVFHGFRRGGKSEGALLANQIVGVNSKLLRLALQNIETTSKRRDLREYIEANLADQMLITSEESIKTSSKLLENGKVAMIVVMDDTGNLASEFDHEIVETSSTEDSPCMLLQSLLSNDHRLIKDLKSVPLICVSPANVLGTLESTFSENDHFGFDEKKVWFLEEEKLPVVSKPAEELNKHKILMQTPWEILQVPVGSGGVISSLSSGNILENLSVMGVEYIEVCAANQRYCESQLAGVVHARGAEVGIRYFKNRDNNEENFHMVFSMDFMKKLTKNLDNLYLQAIEKPNAHTCMVDKEWVDITPSSMNSYEFNSSIYSTLKACSSSKVCIIEIEE >KZM85540 pep chromosome:ASM162521v1:8:26633454:26633642:-1 gene:DCAR_027038 transcript:KZM85540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQSHVLEITSSVSAEKIFKGVVLEVDTILPKAAPGAYKNVEIIGDGGAGTTRNITLPDGN >KZM84557 pep chromosome:ASM162521v1:8:16198244:16199893:-1 gene:DCAR_028021 transcript:KZM84557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQENLVKFSSCRGVAFEIKPHPDPFALKHSPILQQTKPPSSRSWLPWGSNSKIVPTYSISLRSASHASSHFCDLDDEDDDDDGYEINILIDEGHGHVLPLPPPFVQPEQPPPKVVKPKQSRLSVILLDQGLFTVYKRLFVVCLAINITGLVLAATGKFSYARNHAAIFAIGNILALTLCRSEACLRIIFWMAVNFFGWSWVPLRLKLAITSLLQSLGGIHSGCGVSSIAWLVYALVLTLKDRDNTSPEIIGVASAILGLLCISCLAAFPLVRHLHHNVFERTHRFAGWASLALLWAFIILTITYDPETKSYKDHHLKLIKQQEFWLNIAITILTILPWITVRRVPVRVSAPSGHASLIKFEGGVKAGILGRISPSPLSEWHAFGIISDNKQEHMMLAGAVGDFTKTLVSKPPTHLWVRQVHFAGLPYLVNMYNRVLVVATGSGICVFLSFLLQPCSADVCVLWVAKGIEQNFGKEIQDMVSGFPKEKVIVHDTAVLGRPNVSEMSVDAAKKWGAEVVIVTSNPEGSRDVVGACEASGIPAFGPIWDS >KZM84199 pep chromosome:ASM162521v1:8:10108280:10109053:-1 gene:DCAR_028254 transcript:KZM84199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEIIYCLVVQKFLDSGISLIQKVSATSDPVGRVDFWPNQEDKLKSVHSPEAFEMIESHLSLVLGDRVVGPVNDIVQISKLKLGKLYAASIMYGYFLKRVDERFQLEQSMNTLPEGFKEEQPTFQQPEPQSPFWDPDSLIRLMPDDGDGGSLDSLEDKSYRLRSYVMYLDAETLQRYATIRSKEAISLIEKQTQALFGRPDIRIAEDGSLDTSSDEVVSVTFSGLTMLVLEAVAFGSFLWDAESYAESKYHFTKG >KZM85100 pep chromosome:ASM162521v1:8:22731754:22747755:1 gene:DCAR_027478 transcript:KZM85100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLIILLAHQVKFGEHIAILGSAKEFGAWKEKKNMNWTKDGWVSEFELEGGESVEFKFVIGKGGDHMVWEGGDNRVLKLPKQGSFEMVCRWNRTNEAMNLVPLGSELVEEEQGNVHHRVSPDVDKVTSSFVDQWQGEAASFMRSNDHGNREKERKWDTSGLKGIALNLVKGDQNARNWWQKLEVVRELLVGSLEGANRLEALIYSAIYLKWINTGQIPCFEGGGHHRPNKHAEISRSIFRELERISSKKDTSSQEVLVIRKIQPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLVATQAMLERVTKNPGEYNEAFVEQFKIFHYELKDFFNAGSLAEQLESIKESFDERSLSTLSLFLECKKGLDNFGGSTTVASDEMELLMKTLQSLQSLRGAIVRGLESGIRNDAPDSAIAMRQKWRLCEIGLEDYSFVLLSRCLNTLEAAGGAHQLAEHVDLKNIRSWNDPIKALVVGIHQLGLSGWKREECNAIGNELIAWQEKGLLETEGSEDGKKIWALRLKATLDRSRRLTEEYSEALLQIFPEKVQILGKALGIPENSVRTYAEAEIRAGVIFQVSKLCTILLKAVRTALGSQGWDVLVPGAASGTLIQVDKIVPGTLPASATGPVILVVSKADGDEEVTAAGSNIVGVVLLQELPHLSHLGVRARQASFNLQEKVVFVTCEDDDKVNDIRKLDQTYVRLEASSSGVNLTPSTRELDISLDNLSSNGSSTAAKTADSDPSWLAAETSTIQVDSTEDVIPLADANVQSSGAKAASCGRLASLAAISSKVYNEQGVPALFKVPAGAVIPFGSMELALKQNNLMEVFSSLIGQIESAKMEDGELDRLCNELQDLISSVHPSKDIVEILKTIFPGNTRLIVRSSANVEDLAGMSAAGLYDSIPNVNLSSPIIFGDAVSRVWASLYTRRAVLSRRAAGVPQKEAMMSILVQEMLSPELSFVLHTLSPTDQDHHSVEAEIASGLGETLASGTRGTPWRLSSGKFDGSVRTLAFANFSEEMVLGDGPANGEVVRVTVDYSKKPLTVDPIFRQQLGQRLGSVGFFLERKFGCPQDVEGCMVGKDIYIVQTRPQPH >KZM85223 pep chromosome:ASM162521v1:8:23880547:23904216:-1 gene:DCAR_027355 transcript:KZM85223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMCRKSSTVQLISRLTYSNLLGLDVNLRNGSLKEGSINWEMLQFKSRFPREILLCRVGDFYEAIGIDACVLVEYAGLNPFGGLRSDSIPKAGCPVMNLRQTLDDLTRNGYSVCIVEEVQGPTQARARKRRFISGHAHPGNPYVFGLVEDDRDLDFPEPMPVVGVSRSAKGYCLISVLETMKTYSAEDGLTEEAVVTKLRTCQYHHLFLHRSLKHNSSGTSRWGEFGEGGLLWGECNARSFEWFEGDPVNELIIKVKELYGLDIEVTFRNVTVASVNRPRSLHLGTATQIGAISTEGIPSLIKVLLPSDCIGLPVSYIKDLLLNPPSYEIASTIQAICKHMSSIPCSIPEFTCVSPAKLVKLLESKEANHIEFCKIKNVLDEVIQMHGNSELHEILKLLIDPTWAATGLNIDFEKLVKESEMVSGRIGEIISLDGDNDQEICSYSAIPDDFFEMLESSWKGRVKRIHLNEAFTEVEKAAEALSLAVTEDFVPILSRIKASTAPLGGPRGEILYAREHGAVWFKGKRFAPSVWAGTPGEEQIKQLRPAVDSKGKKVGEEWFTTTKVEVALARYHEAGSKAKEKVLELLRGLSAELQAKINVLVFASVLLVIAKALFAHVSEGRRRKWVFPVLSQFDKGGSMKLVGLSPYWFDAAEGNAVLNTVDMQSLFILTGPNGGGKSSLLRSICAASLLGICGFMVPAESASIPHFDSIMLHLKSYDSPADGKSSFQIEMSEVRSIISGATSRSLVLVDEICRGTETAKGTCIAGSIIETLDFIGCLGIVSTHLHEQINYIKESEEIRRAMEPVELIKRVREIEREVYVETEPIQQKDTKQAVAVNLISRLNDFHVNSDAASMKALEEWRMRKMERAKQRGLGKNATITVQ >KZM83865 pep chromosome:ASM162521v1:8:2409633:2411897:1 gene:DCAR_028713 transcript:KZM83865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCHSSKLIDDGSANQGELGEEYSSQDFPVQIYSRKNKFQEGEVFVGFQKEESDLLNQLASITKKKLQVISIVGMAGLGKTTLATRLYNHSYVESYFYARAWITCSQLYLKRESLLEILRSVSENTDEICAMNDNMLAHTLYRALKGRRYLIVVDDIWSIDDWNDIRRCFPDDKNGSRIMVTTRLKEVALHAQSDGNPLCLRFLTEEEGFDLFKRKLFEDGNLFGILGFLGKRITRNCCGLPLAIVLIAGVLKNNFDFLLWLQIQEHLSSYIISDYNQYMDTLALSYNHLPQHLKPCFLSFGAFPVGYDIPVRQLMWLWIAEGFIGQDGRERNLEDIAEDCLMDLINRSLVVVGKKRSNGAIKTCRIHDLLRDLCLRKAEEENFSPDIYKHNKVSFSCPHSLVHPKFQLLLSTNVLAIPSNCSCYSSEGSQSFCKQILSVWDASEHTRALDLSSIEFLVFPSEVLQLVHLRYLGLRFKSGNPPESISCLQELQTLIMSSRMNMVIPNDMWKMVNLKHLFIKSGENLVDISNVEGEQRTLDNLQTMSLVSPTRPCHNILARTPNLQKLGLCGPLTTTRGDFKCPDLGLLMQLEKLKLSNTISFHQGVRLSISTIFPGSLKNLTLSNTCLNWEEAWIFEMIPNLEVLKLKFHAFVGKDWETRPQAFPCLKFLKLKELDLVTWTVCRYDFPVLQHLQVYHCPYLTEIPEDLGNICTLESLEISGCNDAATNSASDLQEEQERYGNDSLQLIVNPSLV >KZM85894 pep chromosome:ASM162521v1:8:29731380:29732342:1 gene:DCAR_026684 transcript:KZM85894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQQAPERSHKKSYQRWNDLEAARYFSGANEASSRQKMARSGGRISLDIPMMSRTKDSTYQAQTHQHNHAVMEKAPTKEKKHKQPNSPGGRLASFLNSIFSQNSSKKKKSKSCSGQSIKDEDHDSHDTRRRRRSSISHFRSTNISSSAENSSSEFNSINTPAKNSFKDFKNFLDHHKPTLLLNVSKNNYPIKSSGPRKDLHQNYNPEKINTDHNNTRFDEENFRFSTTTTNTNNNEYPFEKCKIFGNGYLDHDINQSSERNFRNFVNEMDEGAESDSSSDLFELQNFDLGGCYSSGLPVYETTHIDSIKRGAPITSGAL >KZM83749 pep chromosome:ASM162521v1:8:1114687:1115079:1 gene:DCAR_028829 transcript:KZM83749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVELVFVSALFSHKARGERERDGRERKREMGEGGRERLLGRECVLYGHSFVSHSSFAANHWSTINFSDGKEKVKQCNEYVCFSPYPFTIANTYFSVMISMHFHYLKIILSPFILPQLEACQYTIDFLN >KZM84385 pep chromosome:ASM162521v1:8:13551400:13560677:1 gene:DCAR_028193 transcript:KZM84385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVVSSSLYQQPLLLASRVSAAAGHDSKHWNSLIKQHTRLKNDSAILATYTQMESIGILPDHTTLPLVLKACARLGAVEKGKLLHAQIVNTDLIDDVRVGTALVDFYCKCGFPDDASLVFAEMNEIDVVAWNAMISGCVRCCEYEEAMLLFTRMQNQGFRCNSVTVVALLLACGELLDLGTGKEVHGYCLRNGLSDFNHHVATALIGFYLKFDVTSATRVFALMPSKNTVSWNAMITAYFEVEDYLKALQLFVKMVMAGFESDAVTMLIVLQACTEYGSLHLGRQIHQLIIKSGISKDMYIINALINMYGNNGMLRSSCDLFESASTKDVALWNSMLSVYLKEGSVVETLALFNKMQLTGFTGDVRTIVSGLRLCVELPTGLRDGKCLQASAIKNGIQRNMHIGNALLSMYADFNCIDDALKVFQELTDAGDVVSWHTLISALTRNGLKGQAWEIFGKMLESEVSPNTHTIISILASCKDEMSLSLGKSIHGYAIKHRAEVDPLLNTALTEMYMNCNDEATATNLFERFQDNDIVSWNSMIGCYIKTDQAQKALFLFDSMMSKVDPNSVTIINLLSGCTKLANLPLGQRLHAYALRRQFSFEYDLSLANAFITMYARCGDMHSAEKIFESLPRKNVVSWNAMIAGYGMHGRGPNALLAFSKMLQDGCKPNSITFVSTLSACSHSGLIEQGLQLYDSMVQEYYITPEVVHYACVVDLLCRGGSLTEAKKFIDTMPISPDASVWRALLSGCRVYTDTKLAKTISTKLLELEPTNAGNYILLSNIYAAAGLWSEVNKLRSLLEEKGLTKPPGKSWIAVRSKLHYFTAGDKSHPQSDKIYLKLNSLLASVKEIGYVPDLRWVLHDEDEERKVRRISSHSEKLAIAFGLISIGNGSPIQINKNLRVCGDCHEFGKYVSKLVGREIVLRDGSRFHHFTNGICSCKDYCISVPATMVTQSYNDQRPDNTNKSLPLGAAAADDAVSINVRCSNGSKFSVRASVGSTVSQFKQVVAENCDVPAVQQRLIYKGRILKDDQTLDSYGLIGSGLNSKPNKPKPQSLKPKTSLLLHIPHTLNPNCVKSPKMADVVQYKLERMVRELDDLERRNLFTKSEISEIVKQRRKFEYRLKRPSPLKQDYLNYIEYEKNLDKLRFLRRKAVSRELKKNGNQRMKKSVSDYSGVSRIIEIYKLVTNRFKGDLEIWFQFLEFCRIKKNGHLKKALAQVVRFHPKVPGVWIYAAAWEFDTNLNVAAARALMHAGLRACPTSVDLWVEYIRMELTYLNKLKARKVALGEDEGTLSREHLDPADEQWRDENKELFMALNDHKEKDEASNITNGEVEKKSDLFGEQGLSILQTVYSNAVKALPSDFSLRTRMLEILEATELAHSEEMKKKILNDMKRDFLKESEYWDWLARSEAGQLLKTHGMSEDISLHKLEKAIQCFHDYTSWAPVVPPNDFMFNDIQIYEEAVEIVPSHMMFIHYTKFLVDAIGQQNGKMRSFTLANGVDSISHILMVYEKAERMGCLTEDLACQYVSFLMELGKSDEARKLAVRLCSGKFLDAVHLWNLRLSMEIRCITEKSPSLSKTDLSVVFELFKSILMRISVSKAQSLWLMALKFFANQRRYFDKLVEISLGLLAKYGGSDDGFCLSSSIVNICLQKDGIQSAREIYKRYLALPHPGLALYRSCIELEQNLASASSKPSLVEVQRLFETALATYDQDVSLWQDYHNMETKMGTSEAAAAVHWRARKTLKDNAALLTSQSL >KZM85368 pep chromosome:ASM162521v1:8:25205455:25206479:1 gene:DCAR_027210 transcript:KZM85368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVISSSIDSFRVDVKPSLFYKRKGSKCLQVNSAKIDIYWDLSSAKYGAGSQPVEGFYVGVVCKGEMVLLVGDMVKEAIKKANAVPSLFGSTFVSKREHIFGKRVYKSRVQFSDNGQIHHLVIECETTGISDPRLVVRLDSKMVMQVKHLRWTFRGNQTILVDGLPVEVFWDVYNWLFGTSLGSAIFMFQTCSRTEKLWASQTLDPSEPPWPCLQSNRESKSSDPGFALTLYAWKND >KZM85543 pep chromosome:ASM162521v1:8:26674432:26675244:1 gene:DCAR_027035 transcript:KZM85543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDSTSKAVAKEAWSELVHSLLSWTPSTDMSMVYYYTIPEDHSNRLDLYKYKLGTENIEARIKSGLHSQLVQPNYQGIEHIRDCIRSSNGNYVVKAGEREDEQGIEYVRVCVRSTNDYHDVEVSEGENQLGISDIQPCVRSTNRYSAVQVDEQGNQLLMNRITYELVKIMATLFDDLFNFIESYNMHGVEKPTLRFQVLELIKLLHHYGSDTIRSAVEEELEYVNEKNSATQYKKALEVMLRVCDTGISQSIFYEIIEKAETDQVLYEW >KZM85688 pep chromosome:ASM162521v1:8:27880702:27881826:1 gene:DCAR_026890 transcript:KZM85688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDLPPEFHFGLMGWSRSGNQVWPDVRNRTQIPQYPGGLNLQHSMEYWLTLDLLSSNAPDVVRPCTVVRVPKPDQADIMFVPFFSSLSYNRFSKLRGEETVSVNNVLQDRLVEFLEGRDEWKRKKGKDHLIVSHHPNSMLTARTKLGSAMFILADFGRYPVEIANLNKDVIAPYKHIIKTTPASKSYTYEDRPILVYFQGAIYRKDGGAVRQELYYLLKDEKDVHFTFGSVGANGVSQAGRGMATSKFCLNIAGDTPSSNRLFDAIATHCVPVIISDDIELPFEDVLDYSTFCIFVRASDALKKDFLLNLLRGIKQDRWTKMWEKLKEVEKHFEYQFPSRPGDAVDMIWGAVSRKLSSYKNEIHRKNRYHKSQL >KZM84900 pep chromosome:ASM162521v1:8:20683665:20694030:1 gene:DCAR_027678 transcript:KZM84900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISRGLFGWSPPHVQPLTPVSEVSEPPESPSPYLETGTDAVAAEVEETDDEEEIEQPPEAVAFSRLFACADKLDWMLMVVGSVAAAAHGTALVVYLHYFAKIVHLLAHEGDTPDELFDSTSDLPTNIPLLLVQLSLTIVYIAGGVFVAGWIEVSCWILTGERQTAVIRSKYVHVLLNQDMTFFDTYGNNGDIVSQVLNDVLLIQSALSEKVGNYIHNMATFVSGLVIGFINCWQIALITFAAGPFIVAAGGVSNIFLHRLAENIQDAYAEAASIAEQKAHGGEIVAALFAVILSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSSSTVNHDGNTIASVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQVGLVTQEPALLSLSIRDNIAYGRDATLDQIEEAAKTAHAHTFISSLPMGYETQVGRAGLALTEEQKIKLSVARAVLSNPSILLLDEVTGGLDFEAEKSVQEALDLLMLGRSTIIIARRLSLIKNADYIAVMDEGQLLEMGTHDELIALDRLYAELLKSEEAAKLPRRMPKQKYKEASAFQIEKDSSASRSFQEPSSPKMAKSPSLQRGSAIHAIRPPDGTFSPHESPRTQSPPPEQMIENGVVQDATDKEPSIKRQDSFESKLPDLPKIDVRSAHQQVSSASNPESPVSPLLTSDPHERSHSQTFSRPPSESDEMSMELNEAKKSEHGKPPSFWRLVELSLAEWLYAVLGSTGAAIFGSFNPLLAYVIALIVTAYYRNDNHNIRHEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLHNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSAAIIVAVIIGMILQWRLALVALGTLPILTISAIAQNCVTPARQQLTDPSLDTIKHHLAEVFYFLVTTIILFSTLCKLLISDCDGKTKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGDKVMELYRMQLRKIFKQSFFHGMAIGFAFGLSQFLLFACNAALLWFTALSVKRNYTDLPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDNASMKPPNVYGSLELKNVDFSYPTRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTILSLIERFYDPVAGQVFLDGRDLKLYNLRWLRNHLGVVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLVMGNKTTILIAHRAAMMRHVDTIVVLNGGKIIEEGSHDTLMAKNGLYVRLIQPHYGKGIRQHRII >KZM83723 pep chromosome:ASM162521v1:8:812184:812576:1 gene:DCAR_028855 transcript:KZM83723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDSTNQNNPTTNRGDIPDLDICFCDKLMVERTCWFDENTGRRMLACPDRWNGCPHMKWIKPPLESRAVAVVQAILKEMNDLKHRHQMEKCKIYDGFARKREKLVMMAKEHAAAIEAAATESDIEEDP >KZM85748 pep chromosome:ASM162521v1:8:28299919:28301205:-1 gene:DCAR_026830 transcript:KZM85748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRNVSWDNLPSELLEIIGQKMVGSCEDFIRFSAVSKSWQSVTLKMRQDGSTFLLSPESPLLLLAEEVPEGSLRCCDINVEEDGLEEEEANGDEDVEEEENEDEEGQEDDEENEDQEGEEEDEGQEEGGDNVFDQLILSVGNARGIYSLSTGNTYTINLPEAAGKWILGTSKGWVVTLGADSEANLLHPLLRHQIPLPSMTKCSKVALSSRVHEDPTIMVIHGERGFLGFARFGDQEWKKVESPSVGPFVDITYHKGRFCAINHGGEIFVCNIDDGYTSGATGAPIGMCPFFPANSGSMYLVDSENELWFLARIRAVKYFKPPHNMRVKYRTTHFLVWRLDPKLGIWIQKHDLGGKAFFVGLNTSVSLSSSGCIRPNCIYFTDDISDLYFPDGGGHDMGIFDVERGTIEQHFQGRSLHPISPPLWYI >KZM85911 pep chromosome:ASM162521v1:8:29877234:29879149:1 gene:DCAR_026667 transcript:KZM85911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGRKKKPSAAAAKKEGEDAEAAAEEAKKSNHVQRKIEKRQEDRKIDSHIEEQFGGGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAAA >KZM84252 pep chromosome:ASM162521v1:8:11015306:11025716:1 gene:DCAR_028454 transcript:KZM84252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASVEQEGEEIVLVMEKIGRILEEAKASNATHIRKLKDLSAIKSNSTHIFFSAFSKTLTPLFSHSQRRLASAERIVRFVSAFATTPPNLCDPLFLQQFVRFLLGATTAANNTARFRACQLISEIIVRLPDDTEVSNDLWDEVIDCFKLRIADKVPMIRACVIRALSRFANDAENSDILELFIQSLPREQNADVRKTILLCLPPSNATSQAIIDRTLDISESVRKAAYFVLASKFPLQSLSIKLRTIILRRGLADRSTAVVEQCLALIKDEWLMKSCSGDPIVLLRYLDVETYELVGESVMEALLHAGLGNIQKNGSLTQFNLLTRNDNEERCNSHIPLIEPEIALYWRVVCKHLHTKAEAKGSDAAMKTGTEAAVYAAEASDTNDLLERVLPETVDEYVEFVKAHIAAGPNCRFTSRQLLLLGEMIDFSDTSNRKAAGAFIQELLEMPPEHELDDDGNEVVIGDGINLGGDKQWADAVSRLAKKVYAASGEFEEVFLGVIEKLARPCRERTANFVQWMHCLSVTSLILENTNSFRWMLGMSIEPAELLLSLLLPGAKHIHVDIQRAAIRCLGLFGLLEKKPSEELTKQLLVSFVKEFSPTSAMAGKALIDLSMWHGPHEISKAITPDFASELQEAMPSDPIKFVNPNEEMGNDLLDLLLAGLERWKRTKVSEVDEDESVQAVLGEGFAKILLLSDKYPTIPASSHPLLLEKLMGLYFCSEITELRRLKQCLFVFFEHYSSLSVNHKASIDGNPAGSPVMVSNMRKRAVQASRFMLQMLQTPLYTKETEQIDETCSSSPSDGVDDIENGSDEFENGDEGLAIRIVVEAISFPVKKTAAEKAYLVAICKLIPMLRFRLSEQGPIKLMRRLLNRVMEFVSSERELVKELKRVAERLQTADKHQEQDLHSDQANLILGRLQLELNLNEYECTDIPTPVAPRSTRPTCRRRKVRDEESSSEDETSPESVVPNNPITMSTRSQRASKTAAMNKIANRPVMKIVESGEEEEEEEEEDVSELTSEDHSDESSD >KZM84789 pep chromosome:ASM162521v1:8:19291298:19291981:-1 gene:DCAR_027789 transcript:KZM84789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLSHVASRIMASSGVVSRSSNSSLRFRSGMGLPVGKHIVPDKPLSMNDELTWDNGTAFPEPCIDRIADTVGKYEALAWLCGGLSFFASLGLMAVLNDKASKIPYGYAIFLECDFVLFFCVFK >KZM84205 pep chromosome:ASM162521v1:8:10225758:10228113:-1 gene:DCAR_028248 transcript:KZM84205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKLAENSTDYKSSISSSSGFDYETQTYHSLRQPPPLQLPDQTTPLSVTHYVFTLYNANLRTNNNSSSNNNDNFLVDAAQRHRLSLFQLQSYVTRLSSSLRDNIGLKHGDTAFILSPNSIHVPLLYLSLFAIGVIVSPSNPTSSPGEISRQMQLTSPVIAFATSETAHKLPSLKHPPVLLDSLQFHSLISTPHSELSKQDRVIIRQSDVAAVLYSSGTTGRVKGVALTHRNLISSLNGALVPRGGDQFSVTNLALAPPLVVAMISNSIGDYDLRSLQVVLSGGAPLSIPLMKKFNTLFPNVTLVQAYGLTETTGGVCRTVGPIESKRLGANGRLTYNCQAKIVDPLTGVGLPPFKHGELWIRGPSIMKGYVHDEMASGAILTADGWLKTGDLCYFDNEGFLFYVDSIKELIKCNGYQVPPAELEDLLQSHPDIVEAAVVPYPDEKAGQVPIAFVVRTTGSIIDESIIKEFVAKEVAPYKKLRRVSFMDSIPKNATGKVLRKELVKLSLSNGTSSRL >KZM84768 pep chromosome:ASM162521v1:8:18979811:18980029:-1 gene:DCAR_027810 transcript:KZM84768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLILGVVLLLVIVLAAIAEEQDSCASDLVVCAGYKNGTTKHPPGCCDVFEELVAHALECQRISILAHPPL >KZM84878 pep chromosome:ASM162521v1:8:20413313:20419736:1 gene:DCAR_027700 transcript:KZM84878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSFDEEAEPTYTIDQFLEGLEEQEMVVELWTKRNFRCDCGNSKFGVGFCKISPNKEVENAENLYNHNFRGRYCTCEGLYPDPDLEEQIPRDEEGEPIYEDFICQACSGACSFLSVYPQIILATCKDSAASKNEEGCIVENALPACGSSGELKTGGCVGDSVKAGCTETKPDAEIAVKTLEGYSETNLLLKECTKATNAAVSCIAGVDLFASPPSVTNKPMFLSKNWRALLCRCGNCTAFYTQKGVGFLLDHEDSIVEYEKMAKQKREEKLHQEETSDASFLNGLGHVEKIEILSGISDMKNELLSFMGSRDSSRAVTSDDIHQVFENLRKKRRTD >KZM85201 pep chromosome:ASM162521v1:8:23666892:23668239:1 gene:DCAR_027377 transcript:KZM85201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKSLIYAFVARGTVVLAEYTEFSGNFTTVAQQCLQKLPSTNNRYTYTCDGHTFNYILHDGYSYCVVAVESVGTQTPIAFLERVKDQFEKRYRAGEAEAVAPKGLNKEFGHKLKEQMQYCMDHPDEVSKISKVQAQVSEVKGVMLESIEKVLDRGEKIELLVDKSENLRSEAQDFRVQGTKLKRNMWLQNMKMKLIALAVLGAIILIIVLSICHGKC >KZM84458 pep chromosome:ASM162521v1:8:14920558:14926336:1 gene:DCAR_028120 transcript:KZM84458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATSKKLITREEWEKKLSDVKIKKEDMNKLVMNFLVTEGFVDVAEKFRMESGTEHIDLATISDRMAVKKAVQSGNVEDAIDKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENIAFLEELERTVALLAFEDVTNCPVGDLLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLVWAQNQLDEKAAYPRITNLSTANLEDPAV >KZM85672 pep chromosome:ASM162521v1:8:27737064:27740231:-1 gene:DCAR_026906 transcript:KZM85672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAVACSCALVLVILVGLQGKSSVFASGFDYADAFDKSLLFFEAQRSGKLPSTQRVRWRADSGLSDGFKQGVDLVGGYYDAGDHVKFGLPMAFSVTMLSWGVTEFRKEITGQNQMGNTLAAIKWGTDYFIKAHPQPNVLWAQVGDGDSDHYCWERAEDMTTSRTAYKLDTKNPGSDLAGETAAAFAAASLAFKPYDSSYSNLLLVHAKQLFSFADRFRGLSINSIPNSKKFYASSGYEDELLWAAAWLYQATKEEYYLKYVVDNAVSMGGTGWAVKQFSWDNKYAGVQILLSKVLLEGNGGAYTSTLKQYQAKADYFTCACQQKNDGYNAPMTPGGLLYINDWNNLQYAASAAFLHAVYAQYLSAANAMGDYLLGKNPKSMSYIVGYGQNYPNHVHHRGASIASTSVLHSAVGCVQGFETWYRRTERNPNIIYGALVGGPDKNDEFIDSRSNYEQTEPTMTGTAPLIGLFSKLQSLSGKSGADSYHQPPISHPKGAPVPHPKSAPVATPRSATPVEFLHSITNSWTVGKTNYYRHRVIIKNISQKKITNLRLLVENLNGSSWGLSPTHEKNIYVLPEWLKVLKPDSDCTFVYIQSGPQAKISVQSYN >KZM84394 pep chromosome:ASM162521v1:8:13858548:13859903:-1 gene:DCAR_028184 transcript:KZM84394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDILSKELLKPYNSTKPSFQHYRISLVDELCPTMNTPVILYYPRNTRSCGTGDSTSHSSSNILKKALSKALTPFYPLAGRYMKDYYMVECSDDGAYFVEATVDVELHDLLGRREYLKVDGLNCLLPYPIGASDEITDPLLAVQLSTFACGGYAIAIMTSHRVADMSTTCTFIKQWATDSKRLLEGAEEDDHMSTSPSWNSALFFPGKKLSPIPFGIPRAKESVEDLDIITKFLYFSKSDIIRIRDKAKLDSLSEKLPSKVQSVLGIIGKAIIDLSVANPANPKRFMIAQALNMRERMHPPLPKDHCGNMFLFTSAESVADEKGVELPILVKQLSASVSRALEDCKTLLSLGDEGQMMIAHAFNNLTNRTISKPGHSIVCIFSDWTKFPFYETDFGWGLPIWVSASYIPLQNSAILISDKSGEGIEAWVNLNVGDLSKFQNDCNIMEFTS >KZM85097 pep chromosome:ASM162521v1:8:22715481:22716578:1 gene:DCAR_027481 transcript:KZM85097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIFISNFGIGPIAPNFENILEDKGWYATDQFLLEVIFHNKMKRYKCLTNDSSSATAIFVPFYAGLDVSRYVLDGSNAQRDENSLRLAKWIVKTREWKKMQGRDHFSVLGRISIDFHRESEDRWGSKLLMLPDFNNMTFLNIESDSRSSNEFAIPYPTYFHPSSDIEVFQWQEKVQKLNRSYLYAFVGCRRPNIATSIRDQIIQQCISSYPRCKLLDCNTSDCNNPANVIKTFGKSVFCLQPAGDSYTRRSTFDSILAGCIPVFFHPRSAYTQYVWHLPDNSTKYSVLIDEEALRNENVKIEKILKEIPEHEVEAMRKEVIKLIPKIIYAHPRSSLRTFEDAFDIAVQNVVQRINSITKKERLEY >KZM85942 pep chromosome:ASM162521v1:8:30164172:30164324:1 gene:DCAR_026636 transcript:KZM85942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKPMPQSIKDLYSQSNFKDFDTRPSPYMNCDSLRHKKMEAVDAKIKNP >KZM85594 pep chromosome:ASM162521v1:8:26985745:26986743:1 gene:DCAR_026984 transcript:KZM85594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLKNPSGMSTKFPQFDTVTDASDHYYNYYKPTNANKTTTNTPFYKQIMKDWRILEKNLPPTIYVRIYEDKIDLLRAVIVGASGTPYHDGLFFFDFFFPAEYPNKPPLVHYNSYGLCINPNLYSTGYICLSILNTWAGPSWQPNASTVLQVLVSIQGLVLNEKPFYNEPTFQNGGFDKMSRRYNNHVFALSCKTMICVLRKPPRNFEGLVVEHFRDRGRSVLTACKAYMNGYVRVGEYQDGGVSLPDQKIKVSAMFRFFMNSHYFNLFKALVKNDPSLKDFCVQLDQLAEKIEKEYKEKAKISEKKSVSWFSRIVKKFIGLNKCVKGDGD >KZM83685 pep chromosome:ASM162521v1:8:455246:457455:1 gene:DCAR_028893 transcript:KZM83685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSFGGNMNTSNSETTQPDFTYSAQFMNSSFTDLLAQPDNNDFGSNWGFDHSKPKPNSHHSALPFSPTNFSPSSFLSFFDSPIQPSTSNIVSSSTNGNFDGQVLMEGNGNYANVSFPTQARNSMEELMKRQEGDSNMKFFGEGNDFSSMTRTVKPELGVVDRYSPELSAVRSNVQISTALPSSIQTHYTQSSQSSRDQRKLDDGYNWRKYGQKQVKGTENPRSYYKCTVLNCPTKKKVETTLDGHITEIVYKGNHSHPKPQSTKLSRSYQKPLGSSSDISIQQLDNGYGEHFTTPENSSASFGDDDAEQGSVSKSGEDNANEPEAKRWKGEYENEASSALGSRTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTFVGCPVRKHVERASHDLRAVITTYEGKHNHDVPAPRGSGSYPVIRPSSDGTMASAPMAVRPTTNYTNPLQNTRAPMPNGQAPFTLEMLQGQGNYGLSRFVNSTNTYINRTQEANVQFAAAKEEPDNESFFNSFLG >KZM85702 pep chromosome:ASM162521v1:8:28005824:28006090:1 gene:DCAR_026876 transcript:KZM85702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLKVQKEGTQDFYYTMRRDQPLQELMVAFCQRRQLGDYKTLRFHVDGDRVRGCQTPNELELENGYVIDAWSELMGGRGVQVSGVKI >KZM84572 pep chromosome:ASM162521v1:8:16376527:16376721:1 gene:DCAR_028006 transcript:KZM84572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLQQAILQITKPHTTAVESQAPVTTPAYRPRRASPLVGARGLLGVLEAGLDLRRYHSDPQL >KZM84844 pep chromosome:ASM162521v1:8:20087959:20090117:1 gene:DCAR_027734 transcript:KZM84844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSAAAWDRGTAVAVSKDKNGTDQVLLQTNRGASAQVSLHGGQVLSWKNERGEELLFTSSKAIFKPPTAVRGGIPICFPQFGNRGALEQNGFARNKIWVFDESPPPLQPSDSNGKAYVDLLFKPSEEDLKAWPHSFEFRLRVSLAYDGGLSLISRIRNINSKPFSFSFSYRTYFSVSDISEVRVEGVETLDYLDNLCNKERFTEQGDALTFESEVDRVYLSSGSVIAVFDHEKKRTFVIRKEGLPDVVVWNPWEKKAKAIVDLGDEEYKQMLCVDGAAVEKPIALRPGEEWTGRLELSVMPSI >KZM86057 pep chromosome:ASM162521v1:8:31109368:31114407:-1 gene:DCAR_026521 transcript:KZM86057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKPIARCGLASKGKKIQLLTNHYKVNVKNVDGHFFQYTVAFFYEDGRPVEGKGIKTQVLDCVHDTYKAEVEGKDFAYDGEKSLFTVGALPKNKLEFTVVLKDNSSNRNNQSKSYKVEISFAAKIPMQAIAQALRGQDSKNSQEAIRVLNIIVRQHAAKQGCRFERQLCFNVNEFSDLGGGVLGYHGFNSSFRTTQGGLSLNIDSIMVIKPGPVVDFLIANQNAKDSFSLDWATANQVLKGLRVKTSSTNTAYKITGLSDEICKKLMFSMKRRGEKDENGEPLMTELSVYDYFVNIRNIPLCYSGDLPCIIVGNPKRPTYIPLELCSLVSLQHYPKALTTLQPGSLVEKSKQKPQDRMTTLTNGPQINNYADEPLLRACGVSIINKFTEVDGRMLAAPRIRVGHLETIAPHNGRWNFSEKKLLQPTTISEWAVVNFSAKCNMQSLINDLIKCGELKGMNFLSSPVVIEESPQHRRDSPLVRVEKMFKFLFSKLPYAPQFLLCVLPERKSPLYGHWKRNCQVVHGIYTQCIGPKIYTRKGLSYVVDQRLMSVLLKINAKLGGLNSKFAIGYARSISQKLKAGSLIIGMTLCRGSSDVPSIAAVVSSGEGPLSAKYRASVHTQSPKVKMIASLFTRVADNKDDGIMSEILDDYYMRSGSRRPEQIIIFRNGVSESQFNQVLNFELNQIIEACKFKDGKWCPKFVVIIAQKSRHTKFFQPTSADNVPAGTVVDNKICHPRNNDFYLCAQAGMVGTTRPTHYHVLLDEIGFSPDEMQKFVHFLCYQYQGSTTATSVVAPIHYARLAATQTRKFTMYDDTSETCSNHSSCSNSLCTLGSHSDKEVYIQFIMHAWQPLRQGSLRCTMTLQKRVQTMVVGPFMKNCEIPCSLSKLEERLLSEIRNEEYLCNI >KZM85653 pep chromosome:ASM162521v1:8:27594180:27596568:-1 gene:DCAR_026925 transcript:KZM85653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNIEGVEEPSYRTLHNPRQGGYKPTFFVFVLVLLENIGFVANMVSLVLYFLRVMHFDLSGSANTTTNFLGTAFLLTLVGGLVSDSYMNRLNTCLLFGGIEMLGYLLIIIQSHYAELQPGACEEYRCVKGTKAFLFYASIYLLALGGGGIRGSLPSLGADQFDENDPKESKHIASFFNWFLFSVSGGALIGVTVVVWVSTNKGWDKSFIISTVCTFIGLVFVALGKPFYRVRVPGESAFLNISQVLVVAMKNWKLKLPQNSQELYELHSSPEHELGERIPHCKQFRLLDKAAILAEGTKPDKWKVCTVTQVEEVKILTRMMPILLSTTLMNTCLAQLQTFSIQQGTLMDTKVGSFDIPAASIPVIPLLFMCFLVPIYEVFLVPVFRKLTGHPNGISHLQRVGVGLVFSAISMGVAGLVEVKRRNEFVNHNKRISLFWLSYQYGVFGIADIFTFVGLMEFFYSEAPKGMKSLSTSFSWLSLSIGYYLSTVFVEIINLVTGKCTSSKRGWLEGLDMNKNHVELLYWFLAILSIVNLGNYVYWANWYTYKRDIPVEPINQPVLTETPSDSPSPLGWGQLIFATKQGERTD >KZM86050 pep chromosome:ASM162521v1:8:31049531:31059328:-1 gene:DCAR_026528 transcript:KZM86050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNLLALLFFLPLPQILCIRFAVSADQLPAINAFNCSLDQSKTCNSLLYQSNGLQPEEIAGYYQVNASDIKNVTNGNKLSYLVQVPCSCKNVNGTIGYFYDTVYKVKAGDSFVNVSAWYYSGQAWPVGGEERTYIPDTEVPVHLLCGCVQSEDQVVVTYTVQQQDTLSKIGDDLSAEVSKIQDLNTNLAQNSTYIQADWVLFVPMEINGLPVPRDVDRMFQGRSHKWVIIVGVLAAASLLSICTLAIILVRRQRSRKYNEEDLKAHVSKTMSAHKSFSLQNKFLQREDITGFESERPVVYSIEEIAEATMDFDETRKIGEGGYGSVYFGVLGEKEVAVKKMRSNKTKEFLAELKVLCKIHHINVVELLGYASAEDYLYLVYEYVSNGSLGDHLHDPLLKGHQPLSWTARTQIALNTAKGIEYIHDHTKSRYVHRDIKTTNILLDERLRAKVADFGLAKLVGRTNEDDFVATRLVGTPGYLPPECVKELQVTTKTDVFAFGVVLAELITGQRALIRDNREPNKMKSLITVINKIFQDEDPVKVLQSVIDGNLKGSYPTEDVYRMAEIADWCLSEDPISRPEMREIVVALSQTMISSVEWEASLGGNSQLIPDPTRLTTTSMAGAASALFILDIKGRILVWHDYRGDVSSAQAEKAFSNLIAKQGDSDSQDPVVYDNGVTYMFIQHSNVYLMIASRQNCNAASLLLFLHRVVDVFVHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEANILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIHYKKNEVFLDVVESVNILVNNNGQVIRSDVVGALKMRTYLRILGTLLWYLVGKTDNKDDVVTVGMPECKLGLNDRGLLEAQGRSTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDVMTYRLSTQVKPLIWVEAQVEKHSRSRIEITVKAKSQFKDRSTATNVEIELPVPSDASNPNVRTSMGSASYAPESDSLIWKIKSFPGGKEYMLRAEFSLSSITDEEAAPEKKAPIRLKFEIPYFTVSGIQVRYLKIVEKSGYQALPWVRYITTAGEYELRLI >KZM84008 pep chromosome:ASM162521v1:8:5305076:5306698:-1 gene:DCAR_028570 transcript:KZM84008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSPCISKFVKYVDSDECQSDELTIPWRFVHFNGNRLNETVKLRFRNGYIIQVDFDRRNRRFVRMHDFFKDFSMKGGEILFFQYASRGVLDVFIIGADFGEIDYPGVVHSRQDSTPQNLINIRDGWHYVHQLSHAGTVVDEIVPPHRYMEKICKRMPLKVKYVTNDGDEFLGYYDRIQGRFTGLKLICDHLGIENLNAFTTLVFCYDWTKRFHVSFIDGYNMEINLNMNLRGDALPESIRVPSFFVIEVKPFHMLPYCYGVDIPVEFKRVTEMWGRNVEIRVYKGDISWTLSVHKRADWKCTCILNGWTTFRDDVQLGIGDKLYFQWKDESYKFTFEVVKAGN >KZM84048 pep chromosome:ASM162521v1:8:5874942:5879561:-1 gene:DCAR_028530 transcript:KZM84048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREMTPKQQSPMSYTVEQLVAVNPYNPDILPDLENYVSSQTYSLDANLCLLRLYQFEPDRMNTQIVARILIKALMAMPAPDFSLCLFLIPERVQMEDQFKTLIVLSHYLETARFRQFWDEAAKSRQIVEVVPGFEQAVQEYAIHVLSLTYQKIPRTILAEAINFEGPALDKFIEHQVATSGWLLEKGHDKSQLIVLPCNEFNHPELKKNTADSIPLQHITRIFPILS >KZM84504 pep chromosome:ASM162521v1:8:15499760:15501919:1 gene:DCAR_028074 transcript:KZM84504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNRPVNGRGSMLSLGRNGVIVLTDVDGTTVWRSNTTFSTDATRIELLNTGNLVLLDLSDAIIWQSFDIPTDTLLPFQQLTKDKRLVSSRNGGHASGYYSLYYDSDNVLKMVFDGPDTSSLYWPSPDPTSNVFQYGRTNQNNSRIAGFDDYGSFASTDDLQFNASDFGLGVLRRLTIGYDGNLRLYSLNNATGLWSVSWEAIRKKCDVTGLCGRFAVCVYTPEPRCSCPPGYEMTDPSDWTAGCKPISNIICNTSHSEHVKFVELPQTDFYGYDLDVILTPTSLNACRELCLKSCNCLGFNYRLTGEGYCFTKRDLYNGVQIRTFPATSYVKVPVRLQIPDYPKLVFNTDFTCKSHEANVLLGTTSMYDTTEKKVKWAYLYSFAGAIGTIELLVFILCWWFLFRKQGLPDIVEDGYNLISSQFRAFTYKELKKATTNFKMELGRGGSGEVYSGVLADERAVAVKRLGGIFQGDEEFLAELSTIGRISHMNLVRMWGYCSEGKHRLLVYEYVSNLSLDSHLFTSNFIGWRERYKIALGTAKGLAYLHNECLEWVIHCDVKPENILLDSEFEPKIADFGLAKLSKRGAPDSEFSRIRGTKGYMAPEWALNLPITAKVDVYSYGVVILEMVKGIRLSNWVVDDDQVHEVELTKFVRIMKSKILDREESWLEDTVDKRLEGIFNRNQAAALIEIGLACVDGDRNRRPTMDSVVQTLIQSED >KZM85356 pep chromosome:ASM162521v1:8:25089723:25093180:-1 gene:DCAR_027222 transcript:KZM85356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGTGIKIPISLIRKRFGDMEMHKKERKGGLVDSTVNGFRLFPVSPHESGEGLPYAPADWPCPGDIWSWKVGKRIIASGGYFLDRHLFLPERLQTKPRGRGFVSKVSVEQYVREIFPGQDVKAFFELFSWRIPCKSPSDIKEDTCPESKHATISCKAGNKLCSSLTEPEKPPANNFCDICCTVPEFCRDCCCILCSKTVNPAYENHGFIRCESTVENGINCGHVAHLECGLKANMAGTVGGLDVEYYCRRCDTRTDLLPHVKKFIPICESISSLDDIKKILNLGVRVLHGSQRSAAKRLLHIFEIAMGKQYEPRLEIVPVLDNDWNVDVRSTSQQGVMICHNGNHVVQSATDEEHVDIRQGSPKKVSTDFDPEVESLKLEHKVEQTLQALKESQELEFKIAKERLQNQKNCIQNLYQQLGKEKSQIERHMAYVADPDVLLQLTESKVDQINKELHKLEDMKAVSEGFAKTSKEILKQYFGLEN >KZM84353 pep chromosome:ASM162521v1:8:12920250:12920462:-1 gene:DCAR_028353 transcript:KZM84353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTPDLKNGIVVAPQNPPAAAKKSRESDRHRRRRKQKKNKPTDDSAGEESEAGAAANGGDSTRRTPMLRR >KZM85155 pep chromosome:ASM162521v1:8:23256258:23257290:1 gene:DCAR_027423 transcript:KZM85155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVQGYGGWSGAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIKCVNDNQWCLPGSIMVTATNFCPPNSDGGWCNPPNQHFDLSQPIFQHIAQYKAGIVPVSYRRVPCQRRGGIRFQINGHSYFNLVLVTNVGGAGDVHAVSIKGSRTGWQPMSRNWGQNWQSNNYLNGQSLSFKVTTSDGRTVISNNVAPASWSFGQTFSGAQFH >KZM85354 pep chromosome:ASM162521v1:8:25082711:25083878:-1 gene:DCAR_027224 transcript:KZM85354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTVGAEDAEYYYRCCDRRTDLLPHVKKFLQICESISSHDDIKKILNLGVHVLQGSQRSAAKRLLHVFDIAMGKQYESRLEIVPVLDNGWNVDDRSTGVMICHNGNHVVQSAIDEEEHVDIRQGSPKKVSTDFDPEVESLKLEHKVEQTLQALKESQELQFKIASERLHDQKNCIQNLYQQLGKEKSEIERHLAYVADPGVLLQLTESKVDLIKKELHKLEDMKAVSEGFAKTSKEILKQYFGLEN >KZM84062 pep chromosome:ASM162521v1:8:6695154:6695378:1 gene:DCAR_028516 transcript:KZM84062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVKDTGAESPTISKVVRELEKIMQLAIPSIDTEFHSSSSGLERSVDQDLYHPSDSDGYDRSRSSVSYETELR >KZM85754 pep chromosome:ASM162521v1:8:28362740:28365656:-1 gene:DCAR_026824 transcript:KZM85754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEATIGCKKRKRSGERVYKFKTFGEQGCPAEFNHGCFRENVRALLEFGNSETVSWGGMASWSFQLQVQRNPPSHILLFVIEEPVELSLNTHCKHCLYVGWGEHIICNKKYHFLVPSKDTLAACLSYEGNNMNSFGAGTDRVKGKLSLIMELQGHILHGVFHSNGFGHLLCINGLEMGSDLPGYQVMDFWDRLCTALQARKVSIKDTSQKTTMDLRLLYGIAYSEPWFARWGYEFGRGSFGVTKQMHQKAIEAIQTIPLCVLAHHATSNNSNHEISIMVSKYQTVAGHSMKSLSDLFRFILELKSRLSKESSIDTCNPGILVDTNCRWSIKRVEMAIRVIIDSLKRAEFRWISRQEVRDSARAYIGDTGLLDFVLKSLGNHIVGNYLVRRCLNPVTKVLEYCLEDISNVNFSHQDQEFSSDDSISVKARYKISRIQLSKDLFYLYKHIFKEQNSSTNSGITATISLASRIILDSKYLIKEYFKKLPYKFDTGLGDRSKLYCTIALTSLSGVEPKKVAAPYECITLGNNATFQDLTLEVEKCFKDIYWGLRDFAVQTITNMNALNVLKRSDDLVFRTVKAGSELVFEGSILSHRGRNMIQDNIFEGSYQNKNCVDCACGAKDDDGERMVSCDICEVWQHTRCVQIPNNEAIPSIFLCSRCEQDILALPSIS >KZM84834 pep chromosome:ASM162521v1:8:19900787:19904180:1 gene:DCAR_027744 transcript:KZM84834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVEHGGGEPAEKQENLGEKLRKEALVVGKRGGHTTPVLPSWRLLQFSANTADVAGGDAGYNYSLARNFPPVSARKLAATLWELEHYNFPLSKMHQGVGGGNSRLRRHRHQQQHDPSPSSPDQPESATSLRRHVAASLMQHHRSVQRNSRAIQPVLPASYESSMEVTPYNPAVTPTSSVDLNGGMGETSYSLKTSTELLKVLNRIWSLEEQHATNIALVKALKKELDHARGKIKELVRVQQADRHEMDVLMKQIAEDKLDRKSKEHDRVNAAIQSVRDELEDERKLRRRSESLHRKLAKDLYEVKSSLSNALKDLDRERKSRMLLEDLCDEFALGIRDYEQEVHVLKQKSEKNWVERADRDRSILHISESWLDERIQMKQESQSGIGEKKSIADKFTAEIEAYLQSKHNSNHKINQTVAPNDRNLRRSSLESMPLNVAVSAPQDDGEDDDSAGSESHCFELDKPSTVKFEEQMARAISRNGIRSQANDKESGEIEDEFPVEVNTSKKYEIHAATERVNEQNNHAEGHHESSSNYVDNVVRSHYLLSENGNVQPDDRLPPGNSNGWRTRASPIRQWTSNLPPRELEVSESSSKLPSDSKENTLKEKLLEARAKGQRSRSRLKGSNFLFRL >KZM84678 pep chromosome:ASM162521v1:8:17693246:17697983:-1 gene:DCAR_027900 transcript:KZM84678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVHPGTDVSAFRECFSLSWKNPYVLRLAFSAGIGGFLFGYDTGVISGALLYIRDDFEAVDKKTVLQETIVSMAVAGAIIGAAVGGWMSDRYGRKAAILVADFLFFVGAVVMAAAPNPAVLIAGRIFVGLGVGVASMTAPLYISEASPFRVRGALVSTNNFLITGGQFLAYLINLAFTKAPGTWRWMLGIAGLPALLQFILMLSLPESPRWLYRKGRVEESEALLRKIYPSQEVEAEIQALKESVENELKETGDLENISFLAKMKTLLQTKTVRRGLTAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNQTALLLSLVTSGLNALGSIVSIYFIDRTGRKKLLVISLIGIIVSLGLLSAVFQQTTTHSPQVSKLETSHFSQYTCPDYSLSSSSGSWDCMKCLKASSPDCGFCASSSNKLFPGACLISNDTVKDVCHGESRLWYTRGCPRMGTAPWIVNSEIYPLRYRGLCGGIAATANWISNLIVAQSFLTLTQAIGTSWTFLIFGVMSVLALFFVLIYVPETKGLPIEEVEKMLESRVLQYKFWEKGQGTLKKKNMAV >KZM85891 pep chromosome:ASM162521v1:8:29668056:29670551:1 gene:DCAR_026687 transcript:KZM85891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVAEALLRHTDVDVQVAVATCINEFLRITAPVAPYDDDKMKECALYISYEVFRLIVSSFRNLSDMSSRSYEKCVTMLENVAKVRSGILMLDLECNWLLSEMFQNFLSSIREFHPKNIFKHMETVMCLVLEESDDDSLSLELLAVILAILKRDNEEILVSAQKLAESILVNCGVKLKPQLKQAVNSLGLPLDGYSDIVATICNESNSEVEPNDGDFSSQKEKPEAVEVVISKLFGHDDKIVAIDKTDGAAEDFCTQNSEQISEKPQDAELVGKTYRHDDEIFTIHKNDGAADDEKPDAAEMAVKSLFAGVETGMPASKSRIDWMTTPMDYIGLSPMDYIGWSYSSPVTFASILSQSLNTTSKWGNVLENDNAGVVNENAMRLHLTTEDIPSEICAAVDETTMPSSKRRRCSTTEDMQTQIDNSAFGDNAPGSKPQSQSQAKSKNGDEESSKLAEFIGILAEALNDMVDSKKLPIPVEELWGFIHEMKLDGDLAGDAFVFLLDRPVQLKGLVSTPIGIRKSILLKMMMRDAK >KZM86132 pep chromosome:ASM162521v1:8:31631114:31635692:-1 gene:DCAR_026446 transcript:KZM86132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHFSALSNVGSLYSAVHPRSILPTNVVKPFKLTSQKVVSFSSSSFFAGSSSLHIAPLTSSFRNAAPLVASVRAMAQEAELQSKVTQKVFFDISIGNPEGKPVGRVVIGLFGDDVPKTTENFRALCTGEKGFGYKGSAFHRVIKKFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLTHTGPGIVSMANAGPNTNGSQFFICTEPTPWLDKNHVVFGQVIEGMDVVKLIESQETDRGDRPRLKVVISDCGELPLV >KZM84057 pep chromosome:ASM162521v1:8:6618767:6618946:1 gene:DCAR_028521 transcript:KZM84057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLFDNDSSSSSDHDNFDYASVANPFMRRRKANVVNEVIYVEEDDYVDKRKKKGYFCR >KZM84622 pep chromosome:ASM162521v1:8:17087081:17088451:-1 gene:DCAR_027956 transcript:KZM84622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIMVGEDVKHFDEKESDGGEVSSPASRDESGNSFGKGEGVELGKTEDGSSGQVVGPGSDSLEQEDAQKVGTKEESGVQVEWELKLEDDHKSNNISIESAEEVHGEGSSSGSSSSSSSSDDESQEVEKNTAVVETGLVVDADEPVETGQVHDSVEFVETLAEVVSQVIENVEVEENVDKVVETGSSLKLDDSLSSIVNQVSDSIPARETDNKVAEAVHIVELAEPADCSSEVVYKVNDTVQNGETRNGDVEVAPLVESVKSPLMEETVEVIESASTENPNTSCSVELSSPEVGEKRILTLVENNAVSPELVDSGSEDKELTTSEETVVYSEAKASIAETQEDKLELSYNSFVGNTSNGAVPVPDYQNPESADNKPPVASTSQAVQKTSMKSCCGLFELFTSSDR >KZM86060 pep chromosome:ASM162521v1:8:31136526:31138427:1 gene:DCAR_026518 transcript:KZM86060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGYLSLFRPYDQRILCDNICLVILVPVPQYPLYSAAISLFGGSLVPYYLEETANWGLDIQNLRQSVAEARTRGITVRAMVIINPGNPTGQCLSERNLKEIIQFCNQEKLVLLGDEVYQQNVYQDDRPFISARKVLMDMGLPRGGYLEMTNIPPETVDEIYKVASISLSPNVPGQIFLGVMVNPPKPADISYDQFIRESKAILGSLRKRAHIMTDGFNSCRNVVCNFTEGAMYSVPQIRLPPKAIEVAKSSGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPGIMANFKKFNDEFMEQYEEYRGYSRM >KZM84211 pep chromosome:ASM162521v1:8:10285718:10286260:1 gene:DCAR_028242 transcript:KZM84211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAASSKPHALCIPYPAQGHITPMLKLAKLLHHKGFHISFVNTDFNHRRLVKSNGLQALDGLPDFRFYSIPDGLPPSNPDATQDVPLMCKYIPINCLAPFSKLISELNNTSVSHVPPVTCIVSDGVMSFTLKAAQQFGIPEVLFWTASACGLIAYMQYSQLVDRGYIPLQGKYVSLIND >KZM85641 pep chromosome:ASM162521v1:8:27473334:27475188:1 gene:DCAR_026937 transcript:KZM85641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGVLVTRNLLHLSTMSQQQKMVKLDENYDIISQLPIDLLSQLVSLLPLKEAIKTSTLSKTWKTIWTTHTDIVCDISSVLGVLRDESGNVSLNVREEHRNQFIERVGHLMHQRLMGPNMRSIVIRFPLSRKDGPHVARWVGDAVMKGVETIILNLNGGSGIVSFPFSILRAPGQASKVRQLGLNSCSLKSLSVRNRTLDSLVNIHLQRVNLTDKQMDVILSKCFFLESLVLRKCCKLARFKLTSRNPRLKFLEIKSCLKLKNIQLYAESLEILEFEGLLDHFSFDHVPKLVQLFACVSEEEFVQFSTYALSRIAVDAPLLQTLNLQVRRVLPLPKGVFTFTNIKYLVLKLYPCDGEDELDWIRYILKAFPFLNRLQLDFSLVVPRNAGSTNQSHRGLAEVSHENLRELEVTGYYGGPGQVEAVKHLVDRAFKLDLLVISSPAKLYVGSREFILVDLRNDNKSSSEKIEELRLVLPNSLRVDYSNL >KZM83833 pep chromosome:ASM162521v1:8:1983772:1984251:1 gene:DCAR_028745 transcript:KZM83833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHDTIPMLSPVDTITEFTPVSTKRRPWKEMARSFDLPGFRNTTKRVKANVKYFRVNYAAIMYLIQFFFLLSHPISLMVFVAFMMVWVYVYLIRDEPPVAFRGDLMYFWMIVVNVVFWTDDVFWNIMLALWTGGVVVWIHAAVAKTEDLFVDEQVSES >KZM83982 pep chromosome:ASM162521v1:8:4871812:4876524:1 gene:DCAR_028596 transcript:KZM83982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLQNTMHASGSSASVDPGDFHVDLDLRSICLAKIGVIDEGCRGTLKEEDKNVFESLSVEVFHSDDCKGEADATVISMSLGYDAEVAQKIRHPGNDVVGLELLHAIEKEVLTIVSIGNEGPIKESVVCCRPWTMIVGACTNGNILETIVEIAIKDENEGFMLLYTRLKGASLNVIDTQFLKLRESLYVRFLKSKYQNDNRNVFMSNFSSRGPSRLYEDNMSPDIVVVGSAVLVSHTTFVPLNMVEGWYRNCIIESGTSYACPLVAAYALIVYSCHPEWSPLEVKSALITTASSFAATCIPGSEFAYGAGSTNLELALSPGLVYNESYDSFEEYVEHRRTIFDLNLPTFVASFSYSHLSFEQIFKRELTNVGESAEEYTFSVQIFKNTLDPEVEIKAIPSCLKFEPGEKQKFELHVRVLPYSCPGSELSGMIIWESSTGGQTVRSLIHLYHLSKFDKLVWYKMDDDENEMDEGWVDDEE >KZM84206 pep chromosome:ASM162521v1:8:10235190:10251098:1 gene:DCAR_028247 transcript:KZM84206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDLSLHVLDNSVAEDPVPVDSVLGSNCDVIEASKDGDEGNEGLVDPGCSPAGIAMQVPGSPRLSPLLHSCDSDSDLSRKRKADEGEMCVGIEFELDQLEGIAVERESEGIVSGGVSGCVSSGRVLRSRKVEMSPALTQSRERRTQGRKGIEDGLVSSSGKVLRSGKVAVSTVGLKRDDEDESGLIEFGDDQEGRQTPVKVNNRPKAGNGKGSDSSSSKAHKQKSVAFFVGEPVPDVEAREKWNWRYQLKVMKDMASFHDKKRLFYSTLENDNPLDCLISKVNVIEITREPGFQLNANQSTCFYYDMEYCIEYSTFRNLLTDNSVMRHAISSLNNTDTFRTNASASTPSLEEDLSNCRSQNPDFALLDLYAGCGGMSTGLCLGAELSGIKLVTKWAVDYQKSACDSLILNHPETQVRNMPAEDFLGLLKEWEKLCNLYALAFDDSDTIESKISTDGLEDVEVPRGEYEVSSFVDITYGTISKSGKQGLKFKDFVRSGSKSKILPVPGDVDVICGGPPCQGISGYNRFRNVDAPLTDERNHQIVVFMDIVKFLKPKYVLMENVTDILKFDKGSLGRYALSRMVDMKYQARLGIMPAGCYGLPQFRLRVFLWGALPSEKLPQFPLPTHDVVVKFWPPPEFEQNTVAYNEGQPRDLEEAAILRDAISDLPAVTSQETREEMSYEMHPETEFQKYIRLSKYEITGYRSNIPESETPVLYDHRSYQLSEDDYLRICLIPRRKGANFRDLPGVVVDQDNKVCRDPTKELEMLPSGKPLVPDYVFTFEKGKPFARLWWDETVGTVVTFPSCHNMASLHPEQDRVLTLREFARLQGFPDYYRFCGTVKERYCQVGNAVAVTVSRALGYALGMAFQKSGDDGPLLKLPPKFPYLVPERTEKPFARLWWDETVGTVVTFPSCHNMASLHPEQDRVLTLREFARLQGFPDYYRFCGTVKERYCQVGNAVAVTVSRALGYALGMAFQKSGDDGPLLKLPPKFPYLVPERTEKLESEH >KZM84608 pep chromosome:ASM162521v1:8:16764089:16765385:-1 gene:DCAR_027970 transcript:KZM84608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMDEGQGSSSPLSDSERRGYKEEIERLRYEKESMLIELQRQQQEKKQMELQMLILTERVQRLEQRQTSVISSLAQTLKKPALALDLLPKSEEIHERKRRLPRKSSWPDDGSSEDDQITVPQSFIRGKSGFNEELLEKLETSLTYWENVAKDVAIVSVPDSPSMDFDQSTSIANSPAISYTDLAVETSKIDMNSEPNRAIVPEVSILKTEAAGSLSNSPTGANDGFWEQFLTDNVDSTDGPEVHSKRKHIESRKDGSQAVDHENFWLNMRSINNLTDKLGQLAPAQRT >KZM83801 pep chromosome:ASM162521v1:8:1741974:1742474:-1 gene:DCAR_028777 transcript:KZM83801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGTIFGQRRGHVWFCVQHDRLTTKPSLLLELSIPTHQLVKEMHCGLVRVALECCDVSGFGSCHLHAVPVWTMFCNGKKIGYAVRRKASQEIRVILKTMQSMTVGAGVIPSGFGSKSGSGGCEELMYMRANYEFVVGGPDSESFHLINPDDCPGQELSIFLMRSR >KZM85009 pep chromosome:ASM162521v1:8:21608384:21609285:1 gene:DCAR_027569 transcript:KZM85009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRKILNPFMAQTGQFDFPSWIDDQISKKNENKIKDAAENEQELVRKMIIVAMWCIQMKPSDRPSMDKVIEMLEGDTEVLVMPPNSMIHSREISTCTMLLAAPHYHSASEIASSVLLNAETFPAMEESNGLGGMAGQLDANLCTKVLQLLAFLLYRYLLLPQSEFRFPTVVKLMENPFWKKCFTAVLCSY >KZM85457 pep chromosome:ASM162521v1:8:25923958:25930331:-1 gene:DCAR_027121 transcript:KZM85457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTRNFLVECGSATPSEPYNSHDELLGLDHDIAQLTRLKSEPHKYMSRRLPGKSMLPISPVEMLARREANFSGRGRFSSADGCHVLNRYLPVNGPSLIDTMDSSGYVSQFSGDGSLFVAGFQESHIRIYNVDSGWKVQKDIRARSLRWTITDASLSPDQRFLVYSSMSPIVHIVNIGSAATESVANITEIHEGLDFSAGYDFEDDDYYYDAFGIFSVKFSNDGRELVAASSDASIYIYDLGANKLSMRFPAHMCDVNTVCFADESGHIIYSGSDDGLCKVLDRRCLINNEQASGVLVGHLEGVTFIDSRGDGRYLISNGKDQTIKLWDIRKMSSNLSHNPYKRNVRWDYRWMEYPVRLRNSRHPNDISLATYKGHSVLRTLIRCYFSPAYSTGQKYIYTGSADDSVYIYDLLTGAQVAKLDYHEGPVRDCSWHPNYPTLVSSSWDGTVAKWDFSDKSLNQSSETETV >KZM84035 pep chromosome:ASM162521v1:8:5779536:5780325:-1 gene:DCAR_028543 transcript:KZM84035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKSWVSDHKLTSIGSLWAAGIGASLLHNSHSKAPLKPSLRLIHARMHAQALTLAVLSGAAAYHYYEKCSKEEMSVNNV >KZM85260 pep chromosome:ASM162521v1:8:24163646:24166193:1 gene:DCAR_027318 transcript:KZM85260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPARVLVTGAAGQIGYALVPMIARGVMLGLDQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNYAVMVGGFPRKEGMERKDVMTKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLNVQVSDVKNAIIWGNHSSTQYPDVNHATVGGKPVRELVADDEWLNGEFIKTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCANGEWKIVQGLSIDEFSRKKLDLTAEELSEEKALAYSCLS >KZM84167 pep chromosome:ASM162521v1:8:9472785:9477862:-1 gene:DCAR_028286 transcript:KZM84167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCMPRAKHSSSDDDRDQSPPPDMQLRFSDKVPPLHPNAKHSSTKTITSQIKDMALKASGALCTGPVAQPQRSFSPSESEKIRCSYHRKTSSNSSSGRGWGKEMEARLKGISSGEASTSFSASGRRVEAVVVEEEKEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSRETFNKWQAQKWWAENCDKVIELYNVQKLNKQVFPLSTPPRSEDDQSLKIESVQDSPVTPPFDKERLPHTLFRPTGKGYSSSDSYEHQSMHSNNDNGSCGLNATPKLSSISGTKTETSSMDASRRTSSSRDLDRSGELSISNASNLETEWVEQDEPGVYITIRALPGGARELRRVRFSREKFGEMHARLWWEENRARIVNKESPVEMVHDAVSKQGESCQNSIYDTILKIITRTII >KZM85673 pep chromosome:ASM162521v1:8:27741907:27746886:-1 gene:DCAR_026905 transcript:KZM85673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIPAACAMEWSIELEKSLRSTTDKSLKTIKQLESKLNWWNSEPRLTAAEYNFFNLLPGEDNLYANAILFRLIDAFSRRDKHFKLCIAKVFMSEFKHRKKRGSADGVLSRNRFVNYLELFRRVKLVFEIGDVEVRSLCLSLFGCWANFAHDNAEIRYIILSSLVSGDVLEVKAALFAAGCFCELSEDFACVVLEMVANMVSSCHVAEDVKIAGVRCLAKVGYSYTLAIKAYETGLKLLSDSSEGDLSVAMLISLSRIAVKSRLLTSTQVKVLFSFLSQDRTFALQATSLKCLRYIVARGSHNFQASIDVVRMLLCRLSESTIPSALQCDALQILHKIILLNVFSFSYHDMLELLSELLVVVENDIQSSTFLNSVLYVLVDIYCKFVERADSKVNGEKLHHLERADPKVNGEELHRLERAGSKVNGEEPHHLASRMISSIVYRIFLVKEVSEVKSLLNLLIHLVQTPMVLAGLVLEKIIDSVKLMSKGKRTLSSHGTEYGEKLMLYICEVLIYCLDKVDKTGVLTTEVHAPMKLLVEDAHRYNSFDCNTRIVSSVLYMSYIAHSCLLNNIKETDNFNKTGASDQSDFVQLAISTLKCSSELLTRKDNWLAYKAGKSAACHGTWFVAAFIFRKLIKLAQSHSCVSWLNLLADFTHSEMQLQFLLFPKQCSDLWTYLESHGISTSTLGSANHHDIIVNACKILHSSEEVLNLTSRSAICFSFQHWFISLRLCILENVVDMFRLLDSISDAKDHNNQHTKEIHLVENSQCSQTLNSLVHPLTQISLNFKKLAQELDLIAISFFGMDNKSVLIISALALSCSLLAFSVGFVLCIPSMQAMNCFTHFGSAKCKDSLYPMLILDLLNRLWHVDREISSKLVLLFDGCGPPRSSHTPQPKNHIWDTGYKTRNLVTLCSFAVGQAVGLQNDVNRLHNNTILPQQAIDGFHLLLNITKEWMQIPFLTPSYFFHIRSPVSSQLFTSNIDARHGDGLSICKGSHLSLNLCLQLRNMPQDLSSRLPKLYCVLSTNASLQVPCPIKGEAKPKLGFQDWRTDDLIDMNKKLYQYVIGHKSKTTLHGSDDSDDCQNREVLMCFETNMKGQGFSTCLLDVSDFPVGSYRIKWHSCGIDSQGSYWSLLPSNSDDEACLRGSGVEVSWTLMLSTDVGVEVVAVEGLFNNVEFLVMAGSGSKRVEWTLSYSRLQDESGSGVEIDE >KZM85115 pep chromosome:ASM162521v1:8:22833756:22839492:-1 gene:DCAR_027463 transcript:KZM85115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPWFKRLLRVSGPRPFLILCLVSAFILIALLGPSASGDFGRVNSTARPDIYSGYRRLKEQATSDYLELRSLSLGANRLKDIPLCNKERENFVPCYNVSRNLLLGFKDGEEFDRHCGVSDDRQNCLIRPPNNYKAPLSWPAGRDIIWSANVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGVVFDGVKDYSRQIAEMIGLASDAEFRQAGVHTILDIGCGFGSFGAHLLSLKLMAVCMAAYESTGSQVQLALERGLPAVIGNFISKQLPFPSLSYDMVHCAQCGILWEDKDGMFLIEVDRLLKPGGYFVLTSPANRVQGGSIRRIEVFTQKLCWILLAQQDETFVWQKTADAQCYSSKQDALTSCQEKREIQSYYPPLAYCISGTTSQRWIPIQNRSSSFPLSSTEVEVHGVLHEEYLEDLDYWKSVLKNYWSLLTPLIFSDHPKRPGEEDPLPPYNMIRNVLDMNAHYGGLNAAFLEARKSAWVMNVVPIRERNTLPLITDQGFAGVYHDWCEPFPTYPRTYDLLHANGLLSHLESESCSLTDLLFEMDRILRPEGWIVLSDKLGPIEKARMLATEIRWEARVIDLQNGSDQRLLVCQKPFVRK >KZM85124 pep chromosome:ASM162521v1:8:22928666:22928998:1 gene:DCAR_027454 transcript:KZM85124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANSLFRRRPELIFTLLLLLTTIASSHFSDHPPQPHLGMHRRYHILHRSCTSLPKIPTTNSNTKTPPPVFCFHHLHKHSPARLHAADEIDPRYGVEKRLVPSGPNPLHN >KZM85394 pep chromosome:ASM162521v1:8:25433944:25434384:-1 gene:DCAR_027184 transcript:KZM85394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFASISISLLHLFHLLPPLPPANRHRSDGLYQNSPSLSTVQAHHRLAPLRSRRSPALAAGRCSALPPATPKLKTSIPIFNRSQLQFYSKFQTLSIFIHITLIISRKRIRAFLNKHGANVQIHPIISSMLGRSCSSYRRSRTLRD >KZM85423 pep chromosome:ASM162521v1:8:25625617:25627918:1 gene:DCAR_027155 transcript:KZM85423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCPPSQMGQMRRPTQNSSFAANNELAEIHANDPSEYEDLNTESFLADRLQLPMYPTTFPAASYVTHSGCAGAAYAPGLFLPKLCGSQGNKIRCQLTKLMSSSGTGKNTTTTNVSTTTPITNQGVTGSSFDFGDHFSLQAGAKETRAYFLCGIGLGKPLQKDYMSSLSNLIFCISKKKTRSEALNCARTYLYLAPFASVHMYEAQKLMGLGRSAR >KZM85466 pep chromosome:ASM162521v1:8:26008742:26010366:-1 gene:DCAR_027112 transcript:KZM85466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTASSVSFAFLSAPTKQSQGLARGLVSLPVKAKSFGPLKLRNAPLRFRVSCAAKPETVEKVCEIVKKQLALPPTTEVSGESKFAALGADSLDTVEIVMGLEEEFGISVEEESAQTIATVQDAADLIEKLCEKKE >KZM85212 pep chromosome:ASM162521v1:8:23753214:23757690:-1 gene:DCAR_027366 transcript:KZM85212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQTQPPNTTIYYLSTTIIFSLLFILYLSTFSPTPSLSSDPFLFPHHPTNSSTPSIAYLISGSKGDSGRVIRVLLSAYHPKNQYLLHLDLTASKAERENLALEVEQVPIFKAAKNVNVIGKADFRDPYGCSSVSATLHGAAMLLRLSENWDWFINLDAHDYPLVTQDDLLHILSYLPKDLNFVNHTSYIGWRDSRRLKPIVVDPGLYLSQKHAISYASQKRELPGAYRLFTGSGSVILSRKLIEFCILGTENLARTLLMYISNTPSPQSVYFQTLICNSQQFSRTVINHNLQYSALGVRNEPRHLNSTDLHHLIDSGAAFASPFLPDDPVLDLIDEKILGRVPGKPAPGGWCVGGNGNDTCLEWGNAEVLRPGPGARRLERLFLDLLSNGTLGSDQCVLM >KZM84300 pep chromosome:ASM162521v1:8:11812392:11814570:1 gene:DCAR_028406 transcript:KZM84300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIHTCAGTEDEETLWRRDCKGEATVNLGRMRSIWVAYNGGESISKEEDEGHVDAGEGDIDGGQGDLDVGEDDLVGGEGYLDVAEDAFDSEDSEDPDYQAEEVTESETDEELVDARKARKEKGDESDSEYAEGDYNSEELRTDASSSDDENLKQDYVGIALVKGVVKHLAKKGMSILCFQSVLLSA >KZM85533 pep chromosome:ASM162521v1:8:26587308:26587559:-1 gene:DCAR_027045 transcript:KZM85533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETFVIKVVMFVVVQALVYFILSNSSNVFSDNKLIKSLSFKPARSLSISRMIASISDFPQSGEGSPSLTSSSSAEFSTLSS >KZM84899 pep chromosome:ASM162521v1:8:20671374:20672341:-1 gene:DCAR_027679 transcript:KZM84899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEECMEALKKHANIEPVITSTVWKELEKENKDFFEAYSQSQNKGDRMSESETDEMIQKMISDHQSKRSNDDQSKDSKD >KZM84809 pep chromosome:ASM162521v1:8:19562674:19565532:-1 gene:DCAR_027769 transcript:KZM84809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDKEDNLKVGVKSTALQSGDSKKERVSGFETACIGSLVLSDLMLILEAMLHIFNGYLWPIHGKFGMLTYHLKLIVTESLYLINGLLL >KZM85122 pep chromosome:ASM162521v1:8:22902141:22909264:-1 gene:DCAR_027456 transcript:KZM85122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPDAHTVAQTFTLSELSAATNDFSQESFIGEGGFGRVYKGVLQNTGQVVAVKQMDRGGLQGHREFLVEILILNVLHHPNLVSLIGYCAQHAQRLVVYEYMMLGSLDNHLHDLPQNREPLDWNTRMKIAAGAAKGLEYLHVKATPPVIYRDFKSSNILLGEGFNPKLSDLGLAKLGPTGNKVHVATRLTVQSDVYSFGIVLLELITGRKAIDSTRPQGQQSLVEWARPFIDHRKFAEIADPRLQDQYPMRGLYQAVAVACMCIQEQASARPLIQNVVAALSRLTND >KZM84025 pep chromosome:ASM162521v1:8:5610822:5611727:1 gene:DCAR_028553 transcript:KZM84025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQAILLGIQAACIYCQKKKWELTHIETSNIEVYETIRLQEHIVLNADQLEAYGLFNTVQANHYKPGITHRKLSCIPQHMNATAKYMAEYGLRHMTCFAEAPGIFGDLKYYLERDMGLVLPSPIHELVPNFGEGEVEDASPPPLKRKRESIVLPFPDVLVNSPLLKARCFGFEGKGKEKMYKEFAFYDDGRLSARAMEIINSGCLDKIAPVFAEEVIDLESHVGNGFFAKDVLHYAVLGTLEIVLPLIQNTFPAVTPTVVVKEPEFMPVNEVLSSMGFLNEQEDRAIGSLGMSSINIFFF >KZM84848 pep chromosome:ASM162521v1:8:20123163:20124091:1 gene:DCAR_027730 transcript:KZM84848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINNQDNNNNRQINNVASSKQPLQSAKSIDTQSVLKRLQAELMSLMMGGDPGIYAFPEEDNIFCWKGTITESKDTVFEGTEYKLSLSFPTDYPFKPPKVKFETGCFHPNVDIYGTICLDILQDKWSSAYDLSIILLSIQSLLGGSSTVGKSRRIQEDGGEIVQAFSLASNFFTFGQRERGG >KZM85823 pep chromosome:ASM162521v1:8:28977778:28981481:-1 gene:DCAR_026755 transcript:KZM85823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVCEYCKVLRPIVYCKADSANLCLQCDAKIHSANALSNKHSRTLLCESCRSHWAYIQCSNHQMFMCRRCDRSKHNVSSQHQRRVVSSYLGCPSAMDLAALWGFDLGAYAFNYSGNQDQFPSTSRPSVDTGTVSCHALLQSPSQPVGSPGLSKVEYLTSRSSTEQKAGLKSKHSKAFLKGKQEESTCLILQQILDLKRLQCSDGSNCSSFILCQEKPDVSSPEDSENWKLHNKLNQQFQDSPGLDSELQQIGSPEDDLDVDSFPLSFSQLDHLTSSSIGETTLQGDAIWQCKSPNQSGQLWSINMQDLGVCEELGYFDEQSMPDIDLTFRNFEELFGGGEQEPTKALGNDLSQRCTTLEKDISSDNQEHEYASGMEESRQLKASEMVRTGYKEKKKIRRRHLQELEMMYEGIQKIDD >KZM83727 pep chromosome:ASM162521v1:8:852277:855744:-1 gene:DCAR_028851 transcript:KZM83727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKDAESKFKTISEAYDVLSDPQKRAVYDQYGEEGLKNQVPPPGASPDGPNMYKFNPRSADDIFSEIFGSFSGMGDIGGSRAGGPGFQRGMFGEDVFASLRNNGTEGSMPQRKGAAIERTLPCSLEDLYKGTTKKMKISRDVIDATGRAATKEDILTIEIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHSIFKRDGNDLIATQKISLAEALTGCTTQLTTLDGRSLTTPITSVISPTYEEVIKGEGMPIPKEPTKKGNLRLKFNIKFPARLTAEQKTGIKRLLT >KZM85488 pep chromosome:ASM162521v1:8:26214997:26215253:-1 gene:DCAR_027090 transcript:KZM85488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMRKNRREENLEKKRPEGVQDPQVENLSATAVLLEQRSEFFLPLVWNL >KZM84921 pep chromosome:ASM162521v1:8:20860318:20860719:-1 gene:DCAR_027657 transcript:KZM84921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGGCVCSQEAGQDSLWLRRLISRVSRLYCVCSK >KZM86018 pep chromosome:ASM162521v1:8:30796676:30797896:1 gene:DCAR_026560 transcript:KZM86018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSSPLPSQTHEEPDFSNHLHSDSSSSSISSESSLPSVPSLNSVSKDIPNINHQCIATLKGHPSYVFSLVLSGKYLYSGSSNGELCMWSRRPSNQEGSAENVVFSCKSAVKSLVILGDKLFSAHQDHKIRVWKIEDSTPYKKHKCIATLPTINDRVTRLFLAKNYVEIRRHKKCTWVHHVDTISALALSKDGSFIHSASWDRTFKVWRTSDFKCLESVWNAHDDAINAIVLSNDGFVYTGSADKKIKVWKKKEGEKKHILVDVLEKHKSAVNALALSPDGSVLYSGACDRSIIVWEKTSSDGNMAVTGALRGHTKAILCLAVVSDLVCSGSADKTVRIWRKEFGKTYSCLAVLEGHKRPVKCLTAAEDSCSADTSLAIGMPYLVYSGSLDCDVIKVWKIWVPFL >KZM85473 pep chromosome:ASM162521v1:8:26077301:26077986:-1 gene:DCAR_027105 transcript:KZM85473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDEETRGEAYKRSNERLRRKNFADSCSVLQSIVPNLLPKATKERIVSGTIEYIRELEHDISVLEKLKRSISEKLEANSVLFSFGDRGSAVEVRASGGVAFFGIEAEFRRRLVVDIVKVFDKYGVEILAANVAVDEHRRKLVVTVTANVGGGERGKVVIEMIRRDILFV >KZM84139 pep chromosome:ASM162521v1:8:8974006:8974955:-1 gene:DCAR_028314 transcript:KZM84139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCCSKVGMKTGAWTAAEDKLLSDYILLHGEGGWRNLPKTAGLKRCGKSCRLRWLNYLRPDIKRGNISDDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTLRKKTHGNHTTLASSSKAHTKQCNKKKMNKKVDKVDLFPQNVESSNVCDHVKALNCSDQFPGSADENSNHVPIDLVPADTDLGDISLTELLDYDLSGSLGTESHIEYEMEHMPSWVFSHDKTDLEEFWSVDEQNLYSNLNLYSADCVGDWLFV >KZM84331 pep chromosome:ASM162521v1:8:12623433:12624429:-1 gene:DCAR_028375 transcript:KZM84331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISATLTRIVSSIVKVVSTKEDSTTSVPHQPRRGILLSTIFVAADSQTDLLQKYLKKSEENKSKYDKERLDSYYKRNYKDYFGFSEGALKEKKDLTESEKGILEWLEANK >KZM84777 pep chromosome:ASM162521v1:8:19069882:19071293:1 gene:DCAR_027801 transcript:KZM84777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFSSGSSLLSPDHLPPPPSEKLCYVHCNICDTVLAVSVPCSSLFKTVTVRCGHCTNLLPVNMRALLLPSANQLHLGHHSFFPPGHHNLLEEMPNSSPNYLMNQANLNIGDFSLSARASGFDEIHRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAANPDISHREAFSAAAKNWAHFPHIHFGLMTGDSNNAKKNTTVCQQDNAEDILMKDGYFPSANIGVSPY >KZM83838 pep chromosome:ASM162521v1:8:2032842:2034257:1 gene:DCAR_028740 transcript:KZM83838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQILSVPDSYLNLTLPAPDQETGSRNDFSYDVSDDVTRISPHLMDYDLGDILALAKIQAQRLAELPSNNIISRRTDSALQDGALSWAAKFYAAELEAAGEMENVRCAILWDTGYYLKAADREKLFVQVLAEMEMNSSWQQERLREFCQANKIMMAAYSPLGRSFWGTKGVLESELVIVSGAS >KZM85245 pep chromosome:ASM162521v1:8:24059614:24061008:-1 gene:DCAR_027333 transcript:KZM85245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVGFMRVSVLTLLCLHVLVVSHVVFARDVRADGVEEEKNLSVGKGGGFGGGFGGGSGGGFGGGFGGGGGISGGFGGGGGGGGGSGGGFGGGSGGGFGGGSGGGFGGGTGKGGGIGGGEGGGVGGGIGKGGGVGGGIGKGGGVGGGIGKGGGVGGGVGKGGGVGGGVGKGGGLGGGVGGGIGKGGGGGVGGGTGKGGGVGGGIGGGGGKGGGIGGGGGKGGGIGGGVGGGHGKGGGLGGGIGKGGGSGGGFGKGGGGGGGSGKGGGVGGGYGKGGGVGGGTGKGGAIGGGIGKGGGVGGGVGGGSGKGGGVGGGIGKGGGVGGGIGKGGGVGGGIGKGGGVGGGIGKGGGVGGGIGKGGGLGGGAGGGGGIGGGIGKGGGIGGGTGNGGGAGGGFGKGGGFGGGVGKGGGIGGGGGFGKGGGIGGGIGGGAGGGFGGGFGGGAGGGGGGGGAGGGGGGIGGHN >KZM85068 pep chromosome:ASM162521v1:8:22386219:22386953:-1 gene:DCAR_027510 transcript:KZM85068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLISFLFLLFSLVTSSFSYYTVKSDNDFNDKFKIFYNTILRRQTEVNILNKKISDALSEKKIVDRARGLLPQINSENGVNFEDLAKIYANIQAAESSYGGKDSEMKKLYVNIQDAKSSYGGKDADMKKFHANIQGAKSSYGNVLSRNDVVASVNLTVYHHYNDVDKTGKKMQLFFGNQGPSDTVLLKRQESINDSKQSETLCGSAITVSGETRACVNSLQQIMKFVSGLMGKEVTPLFTSPSK >KZM84090 pep chromosome:ASM162521v1:8:7070471:7074082:-1 gene:DCAR_028488 transcript:KZM84090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKRRVSPIESNESNTEKKAGLELKSNESKRKRVWSWRVESVGDNFTTPPMSRKRKSRYIGPTRHEWTAEQEELVIVSFISSLNSDMGRPDYLKKMTWSEIKQRAIELYRVKFGQEPYVTANKIRNKVDWLRDSYDQKNTSAFLDRLVRDHGSVDLEWLRDIPPDKAKQLAFCWELVLLDHEAWLGKYLREHCRDSEGEDRNFVSVSKASIEEGAPDYHRIVKRPMDISTIKEKVRNLEYKSRKDFRHDM >KZM85371 pep chromosome:ASM162521v1:8:25232093:25233079:-1 gene:DCAR_027207 transcript:KZM85371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPWVKRKFLGNGSYGKVFLAQSAALLSYNNSPALVPFVALKSCLASRSSSLQLERDVFRELGGCDGIVKCFGSGVSVENGAEYFDLVLEYAPGGSLEQFLRFRGGRISELEASCYTRMLVKGFCHVHRKGFVHCDMKPGNILVFPSKNAGGLVKYSLKLADFGSAKKGGEISCAFVDPCSGHKNRGTLLYSSPESVAFGEHEAAMDIWSLGCIVFEMLTGNGLWSNYRGLSARVLGDLIAGYQDGGLVLSDDLSANAKDFLRKCLTRNIEERWTADQLLKHPFITESCKMLPLSASGQTPRIMGRYQRIPFGSSFVASNNPSVFVI >KZM84047 pep chromosome:ASM162521v1:8:5856081:5866910:-1 gene:DCAR_028531 transcript:KZM84047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKSLLTPLFKTPRKVLNQLRSFSSDRECMNYDVVIVGAGPAGLSAAIRFKQLCRLNDVDYSVCVVEKGAQLGAHILSGNVFEPRALDELLPQWKKDGAPIEVPVSSDKFWLLSKNRAFSIPSPFNNKGNYVISLSQLVCWLGAKAEELGVEIYPGFAASEVLYDANNNVTGIATNDMGIGKDGSRKDTFQRGVELKGRINLLAEGCRGSLSEVWEIDERKHKPGSVLHTLGWPLDHNTYGGSFLYHMKDRQVSIGLVVALDYHNPYMSPYEEFQKLKNHPAIRTLLEGGTVLQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGSHTAMKSGMDLPEDGCMLAADSAYKALHEGLNMKSYWDNLRKSWIWKELYLARNYRPAFDYGLFPGLALSAVEHYIFRGRSPLTMKHGKPDHEATKEAQLCSPIEYPKPNGVVSFDIPTSLYRSNTNHDHDQPAHLRLTDPNIPSLINLPKYGGPESRYCPARVYEYVPDEKGQLKLQINAQNCLHCKACDIKDPKQNIKWTVPEGGGGPGYSMM >KZM85792 pep chromosome:ASM162521v1:8:28682630:28694793:-1 gene:DCAR_026786 transcript:KZM85792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNYTEESSVAAAESSNSNHGWQKVTYAKKQRKAPARKSESGENGSAVHSNDGVFNSLEKKSEERRRRIEAERAAAMEEDRPVKSGRERSEGDESDDEIGGGAVENGGVVEEKKEKKKKKEKKVKVTIAEAASRIDADDLAAFLADVSVSYEAKEDIQLMRFADYFGRAFAAVSANQFPWTKMFRESAIAKIADVAIFSVLSMVLRRKPDVMINLLPNLEENSKFKGQDKLPLLAWIVAQACQGDLAVALYAWAHLILPTIGGKSGSNPQNRDLVLQLVERILSAPKARTILVNGAVRKGERLMPPSALDLLLRATFPPSSARVKATERFESVYPVLKEVALAGVPGSKAMKQVTQQTLTFAVKAAGEGIPELSREATDIFIWCLTRHPDSYKQWDNIYLDNLEASLLILKKLTEEWRQLSENQSSLEGLGETLKSLRHKNEKALADGAQGAHHSLLKDADKYCKWLIGKISRGNGCMKSLAIVIIALGVGTVVMSPTIESLDWKQLSVFFNQQSFLTCVEESKYLEYLALGVSCIGESLVNASDIKASTISPSTSGDEYVALFVRMLGLDNDPLDRDQAVVALWKYSLGGKQFIDAIMRFRGSINLVVNLLKSESTSTCEAAAGLLRTISAVNAYRDLVGESGAIEEIFGLLRRSSLVSDVKEQSLFTLCNLSVDEKFRSKIVHSDLLPILIKLLEDEDIKVVEAAGGVLANLALSQSSHKIMVEAGVIPKLAKLLTTDAEGSKVIRKEAKNVLLELAKDDFYKILVVEEGLVIVPLIGTAAYKSFRPALHSWPSLPDGTEIKQSSKGPSRFGASELLLGLNVQDKNSDLEEAKMNAIVGRTQQHFLARIGAIELEDQQIFNGESSSDRRITILPWMDGVARLTLILTLEDESAVARAAEAIADASISEHMRVSFKEAGAVKHLIQLVGHHNVIVRLAAISALDRLSLSNNVCQRIEAEGVLYPLINSLKNSEIPGKGADMILNILNRILDPSREMKFKFYDGPVNGSKRVDAKENIESAQNVDEIGVSRSTKSSQNIHVEYTSDSAFLVCLVEILKTSAPVSQRKAASIFEFMAVDQSCMEKITSVDIASGLDAVFKQSILKGIESNFDHQQPELHALEVEEAGHAISAASRLLTRLLDSDRFCQSINSLHFTKQLREILRSSIPLHNKDWVAACLVKLSSLSGPFPGFEDPINREVTLYETVPRLIQQIKNSFSPEIQEASVIELNRIISEGVVNSSRAVAIEGGIFPLVKLIEEGTDRAAEAGLAILYNLSMDSENHSAILAAGAVPVLRRIVLSQRPQWTRALHLLRTLPSS >KZM84540 pep chromosome:ASM162521v1:8:16026274:16029533:1 gene:DCAR_028038 transcript:KZM84540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKATKKNGVAGHQVFKDRAKNRVDDLQGMFSDLQSARKESRSNDVMLLENQVHQMLKEWKSELNQPSPASSFQGSDSLGLVSSEIERLVLQLREEEDDATSGLGATKHDPDGPTGQQFGSNIVHQQVFDVSNVPHQQGFELIDHFKGSPSGANNVGTNSMGVNNMAVPTQLDYYAFDMHQEIGQTFITGFNNTAFCNEDVLPQISAFLPSICPPPSAFLGPKCALWDCPRPAQGWNEDYQDYCSTFHGALAPIEGSPGMTPVLRPGGIGLKDSVLFSALKAKAEGKDVGVPVCEGAATARSPWNVPELFDLTVLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYKGRGWHESRKQVMNEFGGLKRSYYMDPQPMENLEWHLFEYEISKCDACALYRLELKLVDGKKSPKGKEGKVGNDSLADLQKQMGRLTAAPRDKRSVKGRAKSNLKDAAPNANSAPNQTTPTIDEFDYGTVAPCDYLVENLTGYGDYLT >KZM84953 pep chromosome:ASM162521v1:8:21112340:21113185:1 gene:DCAR_027625 transcript:KZM84953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSYHVRSISLPCRSHPAIIRVKEELSKLKTLETPCCQTSEAICNSLSGLAELYDCTNDLLGLKLTKQSALMDCLLDGSVRLLDICGGTRDIVSQIKEHTRDLESSIRRRKGDISIESSVVKFNSFRRRMKKEAKRLIASLKQIKKQYEALAMLDSDQKHSSVIGLLTEVTLSSISVYQSLLMFVTVPVSKTKGRRWSAITKLIHTERVSCEEENMNELERIDAALISLCRSRKGEKIESAQRGLVALETHFEGIESNLECLFRRLIKTRTLFLNMASD >KZM85340 pep chromosome:ASM162521v1:8:24917852:24919493:1 gene:DCAR_027238 transcript:KZM85340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKWIKNLLTGKKSSSHQAVLVDSQQYPKTPVSESMASAKDKRRWSFRKPSSTTETYLDAISNVKITVEAEDEQKRRALAVAVANAAAADAAAKAANEAVMRLTCSSSPWTATTLVKNIAVAAEGSAQPADEAVRRLTCTSHRVATSVEDTAATKIQSVFRSYLARKALFALKGLVKLQALVRGHLVRKQATATFRCLQALLTVQARARARRIKMINEPNPYNPEDDHIKIVEMDIGVPRGRAKNIIGYFNNKPTDNRYSTYQHQYSKQNHQQISPAPSAITDMSSKSKSGHFNDFFHKTSYRSPQYNPSMDNYKPHQSKVHFSYKQENDRCYDYQFSPNYMADTKSSKARLRSQSAPKQRPADTFQRQPSRRRVSLEGKNLQRAVRMERSSSLVCSTPQNYHPWSELDQSAISMKDSECGSNSTLLTNCRHYRF >KZM85876 pep chromosome:ASM162521v1:8:29521620:29522096:1 gene:DCAR_026702 transcript:KZM85876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNHKLSSEANIFLILLLFTAFLICISHKLILIKNLLLYFSKISKKRYPNGVRQGRYVNVGENACEECSVCLCEISQGGEIMQLPCDHIFHAVCLDKWLVSYGHCTCPFCRASLFPSAAPSPSSGASTVLYSGSDVEVLSFNFFGSSPRRRNSWDLM >KZM85698 pep chromosome:ASM162521v1:8:27962043:27973358:1 gene:DCAR_026880 transcript:KZM85698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKPQVLPYFQSIKSLPVEFKLVDSPGAYSLGEIEGANTSRTEMIPDHVLENGELAGSEIGEKIARNDDESPYSSVDMTLVEEEAFASDGTSNSAAAPLRSLIPFRNESKWNDTTYYVAKKKLQAWFQLPNEKWELATILSTSGRESVISLSGEKVLKVNSDSLTPANPDILDGVDDLLQLSYLNEPAVLYNLQYRYGRDMIYTKAGPVLVAINPFKKVPLYGSDYIEAYRSKSNDSPHVYAITDTAIREMIRDEVNQSIVISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILESFGNAKTLRNDNSSRFGKLIEIHFSGTGKISGAKIQTFLLEKSRVVQCTEGERSYHSFYQLCAGAPPALREKLNLKSAYEYKYLSQSNCYSISGVDDAEEFRTVVEALDVVHVSKEDQERVFAMLAAVLWLGNVSFTPIDNENHVEPVADEGLVNVAKLMECDIADLKLALSTRHMKVRNDNIVQKLTLSQAIDTRDALAKSMYSCLFDWLVEQINKSLAVGKQRTGRSISILDIYGFESFEKNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLSFANKLKQHLNPNSCFKGERENAFTVGHYAGEVMYDTTGFLEKNRDLLHLDSIQLLSSCACHLPKSFASNLCTQSEKPVVGALHKSGGADFQKLSVVSKFKGQLFQLMQRLENTTPHFIRCIKPNNFQSPGLYEQGLVLQQLRCCGVLEVVRISRSGFPTRITHQKFARRYGFLLLDSMASQDPLGVSVSILHQFSIPPEMYQVGYTKLFFRTGQIGVLEDTRNRTLRGILHVQSWFKGYQARREFREMRRVVTNLQSFVMGERTRKNFSSLLRQHRAAVIIQKQFKGRTGKKYYKDIYDASILLQSGIRGWLVRRCSGNIGLLQFDAKKVLCYLPLLMTLPIIKCENCDFSEQSHEPEEVLVKSSFLAELQRRVLKAESALRVKDEENDILHQRLQQYENRWSEYEGKMKSMEEVWQKQMRSLQSSLSIAKKSLALDESARNSDASVNDDRDSSWDTGSNFRSRDSNGVRSMNTGLSVISKLAEEFDQRCQVFGDDAKFLVEVKSGQVDATLDPDRELRRLKQIFEGWKKDYGERLRETKLILNKLGNDEGSGDKMKKKWWGRLNSSKIN >KZM85786 pep chromosome:ASM162521v1:8:28647678:28653486:-1 gene:DCAR_026792 transcript:KZM85786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSRLFLAVFVTFSLFFSPAFSAHDYANALKKSILFFEGQRSGKLPPDQRLRWRRDSALHDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIDMKRNLGSEYGNALKAVKWGTDYLLKATAKPNTVYVQVGDAFSDHNCWERPEDMDTLRSVYKIDRDHPGSDVAGETAAALAAASIVFRARDPGYSHLLLDRAVKVFEFADRHRGAYSSSLHSAVCPFYCDNNGYQDELLWAAAWLHKASRRREYREYIIRNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGKSNELKSFQINADSFICSILPGISHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLVYSNYLSHANHAVPCGQKSAAPALLKRLAKHQVDYILGDNPMRMSYMVGYGARYPQRIHHRGSSLPSVRAHPARIGCKAGSRYFLSPNPNPNLLVGAVVGGPNSSDAFPDSRPFFQESEPTTYINAPLVGLLAYFSAHHN >KZM85667 pep chromosome:ASM162521v1:8:27698215:27715189:1 gene:DCAR_026911 transcript:KZM85667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVLASQASQKPKVVIGDKYMLGDEIGRGAHGRVYKALNLENGQFVAVKQVSLENIKDEELKNIMNEIDLLKNLDHKNIVQYLGSSKTESHLHIILEYVENGSLANIIKSNNFGPLSESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGRVKLADFGVATKLTEANINEPSYTGTAYWMAPEVVNTPGVCVASDIWSVGCTVIELLTGSPPYSGYDPWPALWQIAQVKQPPIPDSLSPAVTDFVHQCFKEDHRQRVDAKTLLSHPWILRSRPGVDSSLCHSRPSRNINEDCPMDAETSNGHHQGSVDILPAMNANGVDDGLPTDDLFHQQAVEYSKLVATLRPDEPEDVIVYACQKLAAFFHERPEQKFVFLTQHSFLSLVELFEISENRVVCSVLQVLNVIIRDNTDIQENACLAGLIPSIMSFAVPDRPYEVRREAAYFLNQLCHSSSLTLHMFIACGGISVLVGFLEADYSKHREVIHLAIDGLWQVFKLQSRTPRDDCCHIAAKKGLLPRLINALCSLNEATQLASISNGGGLPSDAVVLQPMSADPRNSWSPTSPGLFSHMDSPGHADLSRKCIEMGAGLFLLFSESDTTVKSYMCSQSILSRVFQMFNKLEPVVLLKLLKCINHLSTDPHCLDNLQRAEAIRHLIPNLDLKEDEGPLVSHIHYEVLNALINLCKINKRRQEQAAENGIIPHLVHYIMSGSPLKEYALPLLFDMAHASPTSREQLRAHGGLDVYLSLLEDDIWSVTALDSIALCLAHDNDKKKVEQAMLRKDAVQKLVNFFQSCPAQHFVQILEPLLKIVTKSSRITKLVVPGLMPLLISRLDHCDAMERLNLLKLIKAVYEHHPHPKQLILDNNLPEKLQNLIEERQDAQTSGGHVLVKQMATSLLKALHVNTIL >KZM85277 pep chromosome:ASM162521v1:8:24330302:24331607:-1 gene:DCAR_027301 transcript:KZM85277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAEQSHRSYSNRKNELQAFDDTKAGVKGLVDAGVKKIPDIFIHPPDVFEKNSGDKCKDFCIPVIDLEGLDKDQESHQEIVDKVRCASETFGVFQVVNHGIPVNVLEEMLHGVRRFFEQDTEVKKEFYTRDYTKKVAYHSNLYLFSARAADWRDTLYCVMAPPPEPEELPAPCSVEHRVVSNVIGPRVSAACFFSTSVVPSTKLYAPIKELVSEDNPPKYRETTVQEFSSYAFSKGLGGESRLLHFRL >KZM83674 pep chromosome:ASM162521v1:8:339587:340926:-1 gene:DCAR_028904 transcript:KZM83674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHGNYMPPAGGNPGVAVVGPQFMVPYPIDLTIQRKMLTLSEGNFNVTDSNGTLMFSIKGRLLSLRDRRVLLDVYGNPVVSLQQKVLSLHRRWEVYRGDSTDSKDILFTVKKSHILQLKTELEVFLPSNTSERHYDFKLKGSWFEKACTIYAGNHSNVIAQMRKVHSITNVVFGKDKFLVTVYPHVDYAFIVTLIVILEEINEDRKDED >KZM84239 pep chromosome:ASM162521v1:8:10696775:10697401:1 gene:DCAR_028214 transcript:KZM84239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSKGRQKIVMAKMSKESNLRVTFSKRRCGLFKKASELSTLCGVEIAIIVFSPGKKVFSVGYPNVEQILDKFLNAQDSSPMNSTTLQLGQALRSQRVRLLNKELSELLIYSEEQKKQGEELIKLRKQREEMFWWEALVDDLRFEQLDMLKTGMEDLKRSIATHAQNLVMMEHALALNGCSTSTGLSMTSNGFNLGHECGHPIVYQN >KZM84449 pep chromosome:ASM162521v1:8:14764309:14768317:1 gene:DCAR_028129 transcript:KZM84449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYRNDNPFAEEEEEVNPFSNTGANSKLTPLPHEPAGFYDRGATVDIPLNSAADLKKKERELQTREAELRKREQIVRRKEEAAQRAGIVLEDKNWPPFFPIIHHDIANEIPIHLQKLQYVAFTTYLGLVLCLFWNIIATTSAWIKGEDPKIWFLAIIYFISGVPGGYVLWYRPLYRAFRTDSALKYSRFFLFYLVHIGFVIFAAVAPPIFLKGKSLAGILPAVDLVGDNAIVGIFYFVGAGLFCLESFLSIWVIQQVYMYFRGTGQAAEMQRQAGRGA >KZM85114 pep chromosome:ASM162521v1:8:22827576:22829144:1 gene:DCAR_027464 transcript:KZM85114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTSSPKPLLTLFFSVRTIATESTQLTTTPSLGRVNPEHLLRVCTILYQQQNSPDPKLHSSLKASNFHLTHEFFLQVCNNFPFSWKPIYKFFHFTLANPDFQHTTLTFNKMLGVFGKAKNVDALWDLIREMGRRRLVNDQTYRIALKALASSRELKRCVEFFHLMNGYGYGYSLVTLNRVVESLCGAKLVEEAKYIVAKLRDWIEPDGVTYKWLIFGFCDKGDLVEASVVWNMMVDEGGVVNVDALDKMVDTLFKTNRFDDAMKLFQSMRSKDIDVLGGSSYGIVIKWMCKIGKLGGARLMFQELQQRGIEVDSETLGSLVYGFVCKARVREAYLLADGIEKPNISVYHGFIKGFLKLKRAKDATNVFREMINRGCEPTMHTYIMLLQGHLGKRGRKGSDPLVNFDTIFVGGMVKAGKSLEATKYVERMLNRGQEVPRFDYNKFLHCYSNEEGVVMFEEVTKKLREVGSFDLADIFARYGEKMATRDRRRNRELRYEKGSYGAAEEHSRTEELEVESNVNL >KZM83976 pep chromosome:ASM162521v1:8:4658585:4662705:1 gene:DCAR_028602 transcript:KZM83976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGTSGGGGGKGGGSKGCRGWGTSSGGRGAGGRGNDSAEGRDHGAGNEGSDNDEKNSGDNGSEGQESRIVVRNTYGRAKRSCSVGDYGKDYYVYQKGLKPGEGDRAAKEFMSKKLKSIPYEEKSRADLSAENARKRGLKSATRRDFKPHYFNKRTLDKLCNYWESEEFQIKSKNGKEARKKMQHIHHSGAKSFDERREELEEKNNRKISELEFISHVYCLDDPKTQKLKEDIEKALASLPTEPEDEEMADPPPSPNTLKMNQRRKALSLIIQVRPPKKVKAILFPRHSVTEVLGAYEAAKFASTQPKQNSTPSQLSNDSVDLVVRVSAEVHRMVRSLEMSEVPRSFLNEQMHRLADEAFPDHDDPMQQELWSQYMRLATDFVVDALKMNDKVILEGTSIEKAPMDRDISGNHDDEDIDEDADQFPL >KZM85002 pep chromosome:ASM162521v1:8:21577485:21577766:-1 gene:DCAR_027576 transcript:KZM85002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIRPFILEHVAQQLNGLDPNFTGVVGLGIPSNAGPGTQLSANRVGIPASAGIFRLGNHVNSLSRVGNSLSASSTLSVISPGLPLRRPPGSC >KZM84474 pep chromosome:ASM162521v1:8:15094703:15096205:1 gene:DCAR_028104 transcript:KZM84474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDVDIISTLPDDLLGRIISLLPTKDAIGTAVLSSRWKNLMDFVPVLDLACHVPTAGFIDTVKKLLPSTSSSANTQVIQNLRLQICECHWCIVPVHVNRWVRSAIERKVVHLDVCLPDFVSGTVANDMILALRIIASDSLQTLNIRGGLGLCMPCSTGSFKNLKTFNLRINNPDKEILANLFCSLPQLEELSVEATFSMIRPGDMNICINIIAPALKQLCLCINQKDYHDVDFKILIDTPMLKYIFLGDDFLAAYLVKSLPCIVIATLAIGMNLYHEDRVFRAIELLRRLSNVKYLSVTSDASAALDWADQDFPILHGVTDLELDDVSLSGFSLIPKFLKSAPNLKNIVVTIQPEVDLTGWSWISPETLPTSLSHLENFEIKEAETISDEPSFVTMIKYIIENAKALKMFTIYGYSIMKF >KZM83778 pep chromosome:ASM162521v1:8:1487870:1491355:1 gene:DCAR_028800 transcript:KZM83778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSVEPKVSSRREQASNVKGRARTPGKSRSERFQDRQRVDVTSGQTCIERNNVSRRNADDVPVVRRDKGKHHKQGSGSDIKKDDELVKHMSNLPGYLQKKERGEDVQGNVLSFGVLDWKRLENWKSNEKFIPSRRYTIASSSGSDSSCMAGGSSTFSSAARQRRNYVSQRTQAPSNVPRPNSSHEERSGVRSQGKVTKLQGFEAAQRSNVDVKRNLYAKDKFSGIYIDREKRSESQNRNKSAREHSSLDRRKEALSTSSHVTERGQEFKTNSVVSKEIDVPRQSCEHESIVLLLPKSSPSKCGSGTESFQLPKFRNSVDKNIEVHSNPCDFPPEEELHYLELDGKMPHSSPLPRRVATNVKPCSPLDDVSGMHQMPKEMPTIPLCTKDVEIMRSRLDPLNKTRQTLNHIMCVEPVTPSNHLSCSNTSKMAINPFLKEGPSVPQSDSSSAAVESGPVRSESYAGLEVSSWGDISASSRAISRNSTTTDSPGRLDQDTSEQPATVGRHTSPGRRFTFSRMTKSLNFKESTSLPPLSSPAKVKSGPLISEAFRGLDEIERHNAIAGNSAQLSPLTTTETPRRLDQHLAEQPDTVGQPPSPGRFSFSRMTRSFSSKEGPSVPCLTTSCAAKSRAVGSDASDTLDKLDRDKLSLKNSARFSLSSSTAETPRIFDHYVAEQPNTGGRHLSPSRRFSFSSRPKISRSLDFKEAFSGPQLSSYATVKSKPAGLRSSAGLDKFNQHNVSASNRARSSPLRRLLDPLLRPRVVNSAETVKPSEEKSTSASLKPVTASELLQNQKQESSNVQALLQLTRKNGLPLFKLVAENGTDILAAAVKQLPAPGDDASSLIYALYSVHEIKNKNGGWMSQGSRGKRSSFGYNVIGQMKVSNSSPLDYSGCNVKYQFMSRECVLYSVEIEQGNKDMPELKPSKELAAILIKNPYQKDKNGKQGNDNLCSEERSTEPFLENACNGRETGDSSSTTVILGGVHGLPDKVMPSPSLVWRYGGSCDCGGWDVGCKLQILTTQDQKRNSRLSSSCCTGLDLFLKGGHQVKRPCFSLAPFKTGVYSVEFDSSISLLQSFFISVAFLSCQKQNEIFEGMLDSNTSTEPKIDAMKFPTALQKDVSAKYVTKPPASPVGRV >KZM84586 pep chromosome:ASM162521v1:8:16566072:16566572:1 gene:DCAR_027992 transcript:KZM84586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSNTPCSVLEFPSIIDLESHENNEEASTSSSMTPTPLNAFELISLSPGFDLSGLFEDDKIEKSPSRFTSQESASSIVSKLEKLAVTENFKVRKQDGKVKLQGNREGRKGQLAIDAEIFEVTPSFHVVEVTKKSGDTMEYIKFYNQDLKPSLKDVVWNWEGSDRQ >KZM83822 pep chromosome:ASM162521v1:8:1878873:1881298:1 gene:DCAR_028756 transcript:KZM83822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFGILLFALYFLPHLASASNAGSVTRHYEFNIKLQNVTRLCHSKSIVTVNGKFPGPRIIAREGDRLLIKVINHVPNNISIHWHGIRQLRSGWADGPAYITQCPIQSGQSYVYNYTIVGQRGTLWWHAHISWLRSTLYGPLIILPKNNVPYPFPKPHKEVPIIFGEWFNADTEAIITQATQTGGGPNVSDAYTFNGLPGPLYNCSSKDTFKLKVKPGKTYLLRFINAALNDELFFGIANHSLTVVEADAIYVKPFETEVILLTPGQTTNVLLKTKPHHPKASFLMTARPYVTGAGTFDNSTVAGLLQYEGSTRHTMKKLPVFKPTLPSLNDTSFATNFSKKLRSLASAQFPANVPKNVDKQIFFTVGLGTSPCGLKNQTCQGPNGTRFAASINNISFIQPTTALLQSHFLGQSNGVYNPNFPVSPAYWFNYTGTPPNNTFVSNGTKLMVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPSVDPQNFNLVDPVERNTVGVPSGGWVAIRFQADNPGVWFMHCHLEVHTSWGLKMAWLVLDGKLPNQKLLPPPADLPKC >KZM84153 pep chromosome:ASM162521v1:8:9263500:9263841:1 gene:DCAR_028300 transcript:KZM84153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLGNSAQIDAKIMHTFQKSFVQVQNIFDRNRVLINEINQNHESKVPDNLSRNVGLIRELNNNIRRVVDLYSDLSSNFRKSMDSSEGDSSGALRLDSTGTHKRNRPG >KZM85218 pep chromosome:ASM162521v1:8:23831473:23849949:-1 gene:DCAR_027360 transcript:KZM85218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKTVVVGSQVWVEDPEIAWKAGEVEAVKGGEITVKCTSGDTVVCKSSAVHPQDPEFPESGVDDMTKLTYLHEPGVLQNISCRYNLNEIYCLKSKWNFECRQMVNEGVSQSILVSGESGAGKTESTKMLMQYLAYMGGRAESEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDSRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDAERYKVGKPRKYHYLNQSNCIELDAMDDAKGYIDTRRAMNVVGIESDDQDAIFRVAAAVLHLGNIEFKKGADADSSEPKNDESKFHLKTAAELLMCDEQALEDSLCKRVMVTRDDTITKFLDPNAAAVSRDALAKIVYSRLFDWIVNKINNIIGQDPDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFSNHKRFGKPKLSQTDFTIYHYAGDVTYQTDYFLDKNKDYVIGEHQALLSASRCPFVSGLFPPLPEESSNKSKFSSIATRFKQQLQSLLEILNATEPHYVRCVKPNNQLKPGIFENHNVLNQLRCGGVMEAIRISCAGFPTRKPFDEFVERFKILAPDILRGSYDEVAASKKLLEQMKLKGYQIGKTKIFLRAGHMAELDALRTEFLGKSATKIQSKYRSHYTRKIYVNTHFSAIQIQSYIRVRLARQYYERRKREVAAVRIQKETRAKIARRSYKNMQLLAVHIQAGMRARSARLEFQVKKEAHEAAVKKAAEEARKLQAEEEERKLQAEEEERKRQAEEEERKRQAEEEERKRQAEEEERKRQAEEEERKRQAEEEERKRQAEEEERKRQAEEEERKRQAEEEERKRQAEEEERKRQAEEEARKLQAEEEARKLQAAKDNKENEVKEAKSRSQKEEGTGEEDVAGDDNEVSDSELSDGELIKKLKTQNKELKSRVNSLEKQRLDAESMIIQLKTEKQSLEEKLADIEAEEQILRQRSLRKMPSQNQGTPPLKKFGTDADEQLRKSVMEKQRENIDALIKCVANNDLGFSEEKPVAAVTIFRCLLQWKSFESERTSVFDRLIQMIGSSIENEADINHMVYWLSNTSTLLILLQRSLKSSKPPQPTSFFGRMTQTFRSSSANLEVVQQIDAKYPALLFKQQLTAYVEKIYGIVRDNLKKELSPFIDSCIQVLKTQSKSEHDQWLEMVSCLNRFLETMQRNWVHPVLIQKIFTQTFSHINVQLFNCLLVNEQSCTFRNGEFVMGGLAKLEVWCNQTTEAYAGSSWDELKQLNQAAEFLAIEEKSQLTYDQMTTSICAALSIQQLHRLCTFVYGDDKDSTSCVSPDVLACMKEVMTTKDSEETDTTNMNSFLLDDTSSIPVSIDEVSSLMKVRNVNNVKPPPQLIEKEAFHFLQDT >KZM85251 pep chromosome:ASM162521v1:8:24100988:24102822:1 gene:DCAR_027327 transcript:KZM85251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDSPEMVRSQINFKRLTLTDITIDIKRTPKKKELIKAMEAADVKNKWENSSWGRKLIVKKRREALTDFDRFKLMLAKIKKASLVREELAKLKKAAKA >KZM83656 pep chromosome:ASM162521v1:8:169845:173100:1 gene:DCAR_028922 transcript:KZM83656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLVLVVFLIPLHVHSVSSSAAFSDDLLGLIVFKADLSDPLSKLTSWNEDDASPCNWFGIKCHPYTNRVTELHLDNLSLSGHIGRGLLGLEFLHKLSLSNNNFTGPITPILSQFDNLQVIDLSHNAFSGFIPQQLFVQCRSLRVIALANNHLTGPIPDTFSSCSTLKGVNFSSNRLSGQLPSGIWSLNALRSLDLSDNLLEGVIPRGIQSLYDLRALSLRKNNFIGQLPDDIGGCLLLKSLDFSNNHLSGELPWSMQKLSLCNSLYLGGNSLSGEFPDWISNMRSLETLDLSVNSFYGQLAYSIGELQFLKQLNLSRNQFTGSLPESLKNCISLKTVDVSWNLLAGNLPPWIFELGLETISLSGNRLNGSVEYPLSMLMSASYQSIQVIDLSSNALFGEIPSGVGNLSRLQFLNMSRNFLFGTIPGTFGELKAARVLDLSHNWLNGSIPSGIGGAAALLELWLENNFLTGEIPLEIGNCSSLTTLILSQNNLTGPVPVVIANLTELQTVDFSFNQLSGSLPKELKNLSYLHIFNISHNNLQGELPVGGFFDTIPLSSLAGNPSLCGYVMNNSCNATHPKPIVLNPDSSSGSSNHGSLSPSFHHKKIVLSISALVAIGAAILIALGVLTVAILNLHARSAMPGANTSLNSYGGDEFSPAHTSESNYGKLVMFAGDSEFVDGAHGLLNKDCEIGHGGFGIVYETALRDGRSVAIKKLNASGLMKSQDDFEREVKKLGKIRHQNLVALEGYYWTQSLQLLINEYVCNGSLYTHLHGGQSENCLSWKQRFNIIHGTAKGLEHLHRSNVIHYNLKPSNILIDSCFEAKVGDFGLASLLPLLDRYNLSSKIQSALGYMAPEFACQTVKITEKCDIYGFGILILEVVTGNRPVEYMEDDVVVLCDMVREALDEGRSEDCVDRKLMGNFPVEEAIPVIKLGLICASQVPSNRPDMEEVIRILDLIRCPSESQGETE >KZM85079 pep chromosome:ASM162521v1:8:22488892:22502106:-1 gene:DCAR_027499 transcript:KZM85079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGEKGSATTKTPADFLKSIRGRPVVVKLNSGVDYRGRVKPRPIIRIGLFIISHSTLVSIVCCVTGVLALLLLPVLAKSTYFSENALMPGRVKPRPIIRIGLFIISHSTLVSIVCCVTGVLALLLLPVLAKSTYFSENALMPGSAKSMLSGKNVVEANRFVKELSSLGLKPPSTGIEIPKLIARRMADLGGEVSYHNFHPQSKYFHPLHFFSSPDPEMVRANYSCSSYGVNIVGIARAPRSDGKEAIVLVTPYNSTNISLGEAMSLGIAYSAFSLFTQVSWLAKDIIWVAADSRHGEYDSIVSWLRDYHSPSFGGLEKLNAKVCRESLVTGSEVSDSFLRGGTMAAALVIKVADESELFDKDALSIYAEASNGQMPNLDLINVVNYLAVHGQSFYVKVDKLPSLLRSKWLKVLGKVIESLGKIARNLNPQWKFGIPVSEYVEGTATLASSLYNQALGVPTGLHGAFRDYQVDAVTLDILPKRSSYHKARRSEFLLHGARLVEGITRSVNNLLEKFHQSFFLYLLTSPSKFVSVGVYMIAFLLLIAPLPVVAASLYSDAHKCCPELKNKEVTSMDFVVERTLNSKSWRWLYAAKTVFVIHLWGFVVTVLPFFMSQIPGCSSTTSLLIWIASSGFSLILMHVLLGSPFLTNSVPQSEGKEWLLLKSVTITAAFIGLCLMSVINFATAEIGALLTVPICLMARPLKRDLKVRSTKAFARAALNLFLLHIGFPVAAYFLVKGAFEGFDNISIGDFWYWVESLWLTSLLKSLTSDECSKGPLLNKVAESLKKELSGVYRSCFSADVEHVEFVTKYKQEFGNDLIHSVLPYFLQRHMDGQWPGHERTCMSTRVLGTSGYVAPEYAATGLCVSRSGVQYNKSISLLNRHTCHGPGTMSSKTGTTLDSDVTVRIPELDRLGICRPAWYKMAAAPSVRNLMYSFDAVGEERSSGANKEADDEEDVEGVTEGCKLFVLLIDFINLCECNIHKEVDRWLLRKLVSPRDEMVSS >KZM84750 pep chromosome:ASM162521v1:8:18704928:18705443:1 gene:DCAR_027828 transcript:KZM84750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNVCCMKQPDLPNEPKRIIRFDSNCSTPASSTASRINSRQEQKSLCSPPTRKLPGATEAWTLERCLMDSPEVNISPCTNNIGGDNRLKFVKRYKKIDMTSPDLNTDFFTPSLSFSSNKLGLLQNIDEGEDVGSCGGSRGRKVNKKVSFKLPEEADIIIFYSPREKFEEY >KZM84042 pep chromosome:ASM162521v1:8:5822530:5826954:1 gene:DCAR_028536 transcript:KZM84042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWLSGKDQRILDDCYDSTELLLTVPADASGAYQDNVDIPSYKTNIVLLGDGSDLTFVTGNRSVGDGWTSFRSATLAVPGEGYLARDVTIENIAGPKKKQAVAVRVNADLAAMYRDTIDGYQDTLYTHSFRKFYRECEINGTIDYIFGNAAVMLQDCAVITVVVVTGKSRGNDGNDHDTNAKHSKKSADDEPKPDDKPKPEPKPEPENKPKPEDKAPDDKPAKQADENKDKTEVNVSSKMVTTMCASTDFKATCEGSLGKFVQDNPSETTQPKDLFKAAISVVSSELDRSMKDPSKFKLDTPEKKEAFDICTKVVHDAVNELNISSNTVGEKDIGALDSQRGELNNWLSAVMSYQQTCIDAIPEGESKEEIKKALKTSTELTSNSLAIVSQMSSILSSFQMPKRLLRSDSRKRSLVEEKFPSWLSVSERKLLKIEPPKQKPDVTVAKDGSGDYNTINDALAKLPQNRQGRYVIFIKEGVYEENVVLTKEMVNITMFGDGSLKTIISGSKNNVDGVPTFKTATFAAEGDGFMAQSIGFENTAGPEKHQAVALRVQSDRSIFLNCHMDGYQDTLYVQAHRQFYRGCYIAGTVDFIFGDAAATLQNCLIYVKKPMDGQKNIVTAQGRSDKRETTGIVIHNCQIMADDELKPVKADFKSYLGRPWKEFSRTIIMETEIDDVIDPEGWLAWDGDFALSTLFYAEYNNKGPGSDTNSRVKWPGFQVINKDEAVKYTVGPFLQGDSWLKGDVGTPVHFDLFNQN >KZM85767 pep chromosome:ASM162521v1:8:28477614:28501858:1 gene:DCAR_026811 transcript:KZM85767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPVECVYVTEECLKEWKNGNPSFKLNQSVPIVRFLYELCWSMVRGDLPFQRCKLALDSVEFCDREADEGVASDFTDIVTQMAQDLTMPGEYRSRLIKLAKWLVECSLVPLRLFQERCEEEFLWDCEMIKIKAADLKSKEVRVNTRLLYQQTKFNLLREESEGYAKLITLLCGRPEASSQNGSAATVGIIKSLIGHFDLDPNRVFDIVLECFELQPDNVVFLDLIPIFPKSHASQILGCKFQYYQRMEVSSAVPFGLYQLTALLVKKDFIDLDGIYSHLLPRNDDAFEHYNSFVAKRLEEANKIGKINLAATGKDLMDDEKQGDVNVDLFAALDMETEAVEERSSELENSQTLGLLAGFLSVDDWYHSHVLFDRLSPLNPVEHIQICNRLFRLINNSISSAYKLVHQMQLQNAGSHSRSSADIGDTTPYVHRKFIDLPKELFEMLSCAGPYLYRDTLLLQKVCRVLRGYYLSALEFSKSGESAFKSDNASFLNKAPRVHLREARLRIEESLGACLLPSLQMIPANPAVGQEIWDVLNLLPYEARYRLYGEWEKDDEGTPMVLSAKQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLALVGREKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGNGIELVLLQELIQQMANVQYTENMTEEQLDAMAGSETLRYQATSFGALVKSTNRLRDSLFPKEEPKLAVPLLLLIAQHRSVVVISADAPHIKMVSEQFDRCHGTLLQYVEFLASAVTPATAYAQLIPTLDDLIHLYHLDPEVAFLIYRPVMRLFKCQTSSEVFWPLHCDESANTEKDVATNPSSQPVLDLGASRKPITWSALLDTARSMLPPKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEISKLHSALKALEELSDNSNSAITKRKKDKERIQESLDRLTSELQKHESNVASVRRRLSQEKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAAYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEVGRLGRFLFETLKTAYHWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKDEDHALSHVSISYLSSRDKPYKEPLIKQGQGQKSVYPILVICQLVPWVHWKWSQRITRLLIQCLESPEYMEIRNALILLTKISAVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKSSWVTEEEFGMGYLELKPVLPIAPKSLAGNVAPPHNGSAVNVAANEPAGGRIKPADGKSERTESLSATAKSVENQKQGEESGNKTLEENTLRVFGKTSFESEGRAASKRSLAAGSLSKQTRQDLTKDDGKAGKTIGRAAGTFSTGDRDLSTHDPSEGRQTSSLNITSAHSSNGLASAKVSAATTRSSDFHGNETKSEGGAVKAIDSRLPSVREEGNEVSESQRQSSRLVQSPRNEFANPKPVDKPHKRVNQAEELDRLSKRRKGDTDSRELEADIRFPDRERSIDQRVVDKPSPADIDRRGLDEQISSRAVDRLKEKVGERYDRDHRDRIERPEKSRGDDNISEKSRDRSMERYGRERSVDKLQERGIDRGFDRLTEKSKDERNKDDRIKSRYNDTSLEKLHADDRFHGQSLPPPPPLPAHMVPQSVSSGRRDDDGDRRLGTARHSQRLSPRHEERERRRSEENTSLLQDEAKRRREDEFRDRKREERDVFPLKGKRKGESKSFKXREREKANLSKEDLDANASKKRKIKREPLQAEAGEYLPSGPPPPLTINLSQPYDGRERVDRKAAMPQRAGYIEEPGLRGHGKETINKMTRRDAEQMYNDRDWDDEKRQRVEQKRRHRK >KZM85281 pep chromosome:ASM162521v1:8:24348434:24349836:-1 gene:DCAR_027297 transcript:KZM85281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSTMETAVPLITSYSNRRRELQAFDDTKAGVKGLVDAGIQKVPNIFIHPPDAFGKNSSDKCKEFSIPTIDFECLDKDPTSRQDIVEKVRCASETFGFFQVVNHGIPVSVLEEALHGVHRFFDQDSEFTSSKLEGHIFQFYGPFPSSTRGIASSMQLITNDRFKSVEHRVVANHIGPRVSVACFFSTSVMPSTKLYGPIKELVSEDNPPKYRETTVQEYVSHSFSKGLDGESRLQHFRLI >KZM86095 pep chromosome:ASM162521v1:8:31326005:31327627:1 gene:DCAR_026483 transcript:KZM86095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDIARWVLDFLVRQPLDDSTLTSLLTTLPLSNTDTHLTKLLLLKRIDSEISKNAVSESVLGLLEQMEELDYREHVEISDSMKRAYCIVAVDCTVRFLEEGEKGFYFEAVKRIWRTRIGCMMNFERVGLVSEELERWKDEIESAVWDDGACKVLLEKWGGLDVVESVRVYIEEARGRIGPSFLELVTESLSGEAVKEVFGIDKKDIHKEKVQRKSKPSAVKQHKKFSSKTTRGVKIVDNDDLTLEQQESHNKDSSLSPTDVAKFQEGLRTSTSSLGKKAEDPFPDTVNISKNVASNMDSVDGCTETGNLGRLHLPSPKERVVSPLKKYESPKPLRRRRRLWSNVEEDTLRTGVRKYGVGNWKLILNMYRDIFDDRTEVDLKDKWRNLMA >KZM84133 pep chromosome:ASM162521v1:8:8708043:8708291:1 gene:DCAR_028320 transcript:KZM84133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEFKKEAYDLFEELNIHLEEETERSRKEKLEKEKAEILAYKEQLEALIKGAKLPRKEAWEKLLGIVWEPYGDRIVSNEE >KZM84734 pep chromosome:ASM162521v1:8:18506967:18508764:-1 gene:DCAR_027844 transcript:KZM84734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIHLFLVGVVPLLLMLVLLKLLQNHRSKLPHPPGPWGLPFIGNLHQLDVSVLPHQLRTFSQEYGPIFYLRLGCLPALVVSSHETAKQFLKNHDLIFCSRPDFLGSKTLSYNGSDVVFAPYSEYWKEMRKILTVHVFSSSRIRSFRSIREEEVFQMIEVISKKASENEVLNLSDMMMPLTSTITCRLAFGKKFDKAQVKRFEGLVKGIGVAMATFYFSDHFPLFGWLDRLTGSAARLERSFGDMDLFYQELIDEHFSPNRPSSMEGDVIDILLQLKRDGMKEEDIDTQDGYVDVHGITFNKKYPLCLLPKNYC >KZM83855 pep chromosome:ASM162521v1:8:2308079:2311422:1 gene:DCAR_028723 transcript:KZM83855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSKTVESSPAISDLTSPVTILPSDHALLHPTIEIHRVGLPLKISSFQKFKYKLSEIFFSDDPFHRFKNQSVLRKWILGFQYMFPILQWLPSYTLQHLRSDLIAGLTIASLSIPQGISYAKLAYLPPVIGLYSSFVPPLIYTALGSSRHLGVGPVSIASLVMGSMLSETVSPTDEPLLYLRLALTATFFSGLFQTCLGLFRLGFIIDYLSKATLIGFMAGAAVIVSMQQLKGLLGIVHFAGKTQIIPVLSSVFHERREWSWQTIVMGFSFLLFLLTARLTGLKKPKLFWISAAAPLTSVVLSTLSVFIFRTSVSEISQIGTLPRGLNPSTINKLYFHGPHLGLAIKTGLITGILSLTEGIAVGRTFATLQNYQVDGNKEMIAIGLMNMAGSCSSCYITTGSFSRTAVNYNAGAKTAMSNIVMSSAVLVALLYLMPLFCYTPNVVLAAIIITAVIGLIDYNAAMRLYKIDKLDFLACLCSFFGVLFLSVQMGLTIAVGVSVFKILLQVTRPNTNIMGNIPGTQLYQCLSHYEKAQRVPSFLILGIGSPIYFANSTYLQERILRWVSEEEERIESKTATTLRCIILDMTAVTAIDTSGIDALRELKYTLNKRSLQLVLVNPAGDVIEKLGKSEVLEEFSTSGLYLTVGEAIADISIAWKP >KZM85584 pep chromosome:ASM162521v1:8:26894340:26895331:-1 gene:DCAR_026994 transcript:KZM85584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLPAEEREFGIDLEAGRNTSDEVQHTDNDSAANSDKNLFDKLFRGIVSVDVLVRGENGVSLNSSNDGVAYPERVKLLVDEKVQGEEVADLKESKTGREKRKTLSAKKPPKPPRPPRGLSLDAADQKLIKEFAQLAMMKRARTERMKALKKMKAAKVSSSSSSGGGNLFAMLFTVIFCLVILFQGVSSRSSTANFAGSPESARVIEDGSILNANHWNPSTSGTDLPSSVSPNYAERFATLNRESKGSREAR >KZM85402 pep chromosome:ASM162521v1:8:25478299:25481465:-1 gene:DCAR_027176 transcript:KZM85402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAQQWVHTILILFLHHPIFIRAVSYAVDNNVNLFEDSKKVSSTKDTRAFGCDMSTLPDCRPTMAEIVVVLEFALALQENPEFTRFEGKSGSNSPPHLAAVEVAYGEDQVKSFEMNRSRITFSGKFCRTLLASIRALSVHTNAKRLSYNRKENRVDNKLSLNKLGVFPEANNRARLNKVDIFPQAKGLAMTADSRRFSLAELSSTTRGFSPDLMLSEGNYGSVFIGWLDEDTFAPSRIGIGKAVVIVRCSPDQRLRTTQMEVDLYGRYNHPNLANRLGFCLEGQELLLIYGYIPNGSVERYAYKDNGKSLSWVVWLKILIGTARYLDFLHSSEDHIIFGELTPSSILLDEEFNPKICIRGSSRLGPSDGDTLVTGIPCVNAHYSAESEGYLSPEYKEAGQLSSKNDVYAFGVVLLEILTGMRVVDANTRNKKKNLVNKARPILACERKFKRVVNPKLLEQKYCPEGLNSILSDVPALALQCLDLDPEKRPSMKQVVEILERINGIVQ >KZM84194 pep chromosome:ASM162521v1:8:10043930:10046820:-1 gene:DCAR_028259 transcript:KZM84194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPVFSLLSVAFVLLVLPSSPAANSDNSPNDFVQCLIRSSSVNPISQVIYTSKNSTYTSVLQFSINNLRFDTPTTPKPMVIVKPVDESQIQTVIYCCKKHDIQMRIRGGGHDYEGLSYVAQVPFVLLDMINLRSVNVDPVAATAWVQAGATLGELYYQIAQKSSTLAFPGGIWSTVGVSGLTSGGGYGVLRRKYGLAADNVLDARLIDANGRILDRKSMGEDLFWAIRGGGASSFGVILSWKLKLVSVPKIVTVFQVERTLEQNATEILHRWQAVAPKLPKDVEMRVAANTIWKHLPNEASKTVQEDDSANVQDAKTVSVKFIGSFLGQPKGLLSIMNKNFPELGLVAQDCTEVSYIQSVLMFSLFSAEDSPSGMLNRTAYKIPFKAKSDFVDKPISRQGLDGLFRILLQAEPGRTNFLFTSFGGRMDEISASATPFPHRAGTLYMMYMRVRTDGDTSNAMKWIRGLYRYLTPHVTKSPRAAYVNYNDLDLGVNNLQGGELFDRIVQNGVYSEKKAAELMNTEGCYALGVMHRDLKPENFLFVEDAKLKAIDFGLSVFYRPENFFKDPKQGLRRLGSNLMESEIHHLMNCYILIQTITIYSSLKYSNLFIHKSGTIDYGEFLAATLHINKMEREDNLDGRIDYNEFATMMRKGNVGVGNRSIRGNLNSNLAQALGSGNY >KZM84985 pep chromosome:ASM162521v1:8:21442506:21477677:1 gene:DCAR_027593 transcript:KZM84985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYARSATEVLEYFGVDPSKGLTDRQSSMEDAYARSATEVLEYFGVDPSKGLTDRQVAEHARIYGRNGTPFWKLVLKQFDDLLVKILIAAAIVSFFLALINGERGLTAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATDLVPGDIVEVSGESCSVEKELDSTLTNNAVYQDKTNTLFSGTVVVAGRARAVVVGIGSSTAMGSIRDSMLKTEDEATPLKRKLDEFGTFLAKVIAGICILVWIVNIGHFRDPAHGGILQGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICTDKTGTLTTNMMSVSKICVLQSINHGPVAAEYNVSGTTYAPEGFIFDSSTMQLPCLLHIAMCSALCNESTIQYNPDKRMYEKIGESTEVALRILAEKVGLPGFDSMPSALNMLSKHERASYCNRYWENEFKKISVLEFSRDRKMMSVLCNRKQMEIMFSKGAPESIISRCSSILCNDNGSTIPLTASIRAELESRFHSFAGKEALRCLALALKRIPIGQQAISFNDEQDLTFIGLVGMLDPPREEVNKAILSCMTAGIRLIVVTGDNKVTAEALCRKIGAFSHLADFSGQSYTASEFEELPALQKSIALQRMTLFTRVEPAHKRMLVEALQHQNEVHHQFAKCDTKTIMHNALYACASPSPFREPERNTQRLCVVLATPNFSKLVTVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLVPVQLLWVNLVTDGLPATAIGFNKQDSDVMKVKPRKVNEAVVTGWLFFRYLVIGAYVGLATVMGFIWWFLYSDSGPKLPYSELINFDSCSTRETLYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLCVIPPWTNMWLVGSIVLTMLLHMLILYVEPLSILFSVTPLTWAEWTVVLYLSFPVIIIDEILKFFSRNSGKFFLFFF >KZM85918 pep chromosome:ASM162521v1:8:29911966:29913063:-1 gene:DCAR_026660 transcript:KZM85918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDMNKDERNYQNPSSRGKAMSATRPPAAINCPRCDSPNTKFCYYNNYSLTQPRHFCKTCKRYWTKGGALRNVPVGGGCRKNKKTSRASSSRPSSGDFKDSSGASSYSDSGGFRFYNSLQFQLGEGLTNFPRFNNISAPPPVGNQLVSSFGDIPTVPLSSNVMSNFTLEPNPGNNLSQFMALNSFPLSSSSSSSSVLKQDHADKYGGFKEGLLHDTVPSNSTVPSNSNLAYSIESLSSINQDLHWKLQQDRLNTMFNNGGDNSNYPRQNGLISSTANYLEHSQSQKPHPLLFQNLEISSKPADESRMENTGNVSSANLGTDWCFGNSSYAPVVNQNPAISSGLNIADIKENQAWANFDQFTTLP >KZM83886 pep chromosome:ASM162521v1:8:2612467:2615663:-1 gene:DCAR_028692 transcript:KZM83886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYCMWLIWMMGIVCVADSAIPQSVMQDIERINNEGSYFGIVVPNSYEMNPLLQSSSFLVDENLPFLDFAGRRFRVGTLKEKKVIIVMTGLSMVIKGLDHGILVGEGVPLMFVGRLVKIIFIGSKGFLYVVLRLSVRNKFNYTGGKRELSDTSRVVLWTRTCWMFFCCHTNLF >KZM83732 pep chromosome:ASM162521v1:8:899155:902036:-1 gene:DCAR_028846 transcript:KZM83732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGERPKFVLFGSSIVQYAFNNNGWASILADLYSRKADIVLRGYAGWNSRRALQVLDKVFPKTASVQPDLAIVYFGGNDSSKPHPSGLGPHVPLPEYVENMKKIALHVKSLSDKTRVIFLTSPPVNETQYCNFFGVRFGWQNRTNELSGVYAKACVDMCKELDIQVIDLWSVLQKQSDFLSTCFTDGIHLSPVGSKIVADEILRVLEETDWEPNLHWQFLPTEFDEDSPYDHLAPDGKSTLNVSDLDFYRENNWDEAKQKSDDLMEHGVCKI >KZM83790 pep chromosome:ASM162521v1:8:1623533:1624594:1 gene:DCAR_028788 transcript:KZM83790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFVTFRYIISLLIFVLCFDTLAADSRSFYFKNFSKDSNFESQLALLGDSKVDNDSLCVELTGSVVHSAGRVVYKKPFRLLEGKPSSLVSFSTYFSFSMSQDSGDDLLFFMVPVDFAVDEFDGGSFGLLGQRKLRFLSVEFDTSKDRKYSDLDDNHVGVNVSSFVSVKVSNVSSMKLVLNNGEKLQAWIDYEASSKKLEVRLNALGRVRPVDPLLSYSIDVSKIWKGDEVFVGLSSSNENSSQKCNVCSWSFKARQAPQWMHSEPLDPESTVVEKTKVPTVHKRSDCFLKVLAALIVGTACGALGTFFVLFVWTISGTNKRTVVPVLPEQYAMHPEEFENKKFKIVIDESKK >KZM83799 pep chromosome:ASM162521v1:8:1727034:1728125:1 gene:DCAR_028779 transcript:KZM83799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPQEIIIEEILKRLPVESLVKLQVVCKLWNSLLHDPCFTRSHFQQSISQKPENDYLIINDHKYGGGRCPNVLSILSRNNFSETCIDKVPYSASESSNNDINLIGSINGLVCLNCFSNYRRRFMIWNPMIHRSKKILLPKYYGRALQNCVCGFGWDSITNDYKVMIKPGDSVEVALYSCKTDCWSYKPVDCDVSWSKYDIFQFPQFPSVVVKGIPYWKYYDGTKIVNFDVTTNRFRSLVNNNTDPMGPYYNLVNIYDALARIMYSNFTNMLLYVDLYDENRGVWSKMYEIKNVVTRSIKTLMCFKYGGETVYADRENVNCYDPKSDEIKVLVDCKKEYTQGFSYTPSLLSLDGMSSTSLWI >KZM84924 pep chromosome:ASM162521v1:8:20889237:20890241:-1 gene:DCAR_027654 transcript:KZM84924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYKIIKEVGSGSFGSVFKAMNTQSGEVVALKRLNKEFHSWEECLNLREVKSLKKMRHANIVKLKEIIGENNFLFFVFEYMDCNLYQFMSTRTKPFSETEIRNWCFQVFQGLAYMHERGYFHRDLKPENLLVNGDIMKIADLGLAREINSSPPYSEYVATRWYRAPEILLAAPIYGPAVDMWAMGAIMAELLTSCPLFAGVNQQHQMYRICSVLGTPTEVDWAYGIELADDISYQFPQHSGVSLSQLMPSASKDVVGLIQSLCSWDPCKRPTALEALQHPFLRGCYYVPPGFRFKTSGLGMNSSARSESSVNHKYLSRIPGTFSNSGLIKCSP >KZM84324 pep chromosome:ASM162521v1:8:12429291:12444429:-1 gene:DCAR_028382 transcript:KZM84324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRKKKLNENDSTRRVLQSDLHSPDFILKKIFRKDGPPLGSQFDSLPENAFSRPTPRSAKANSKKRRHASQQNEKPVKRRKVYGATALDSQFFDQNRAPAKKHGKGKGLMTVLQATNVGTSYSVSEKQPVNNKTRREQTKQKGRISETTIPYHQLCNQHGNSVKRHGKGKGLMAVLQLTNYAARDFPMNGNYTIGATPKPISESEKPVKEKKKKMQRKPVTRNLKKAQERRKPLVRRKLESQKPCTLKQQRKEKCELVMEAGRCREHQNRFSMLPDDEELELRELQAGPNPPTCSAHFSSNPVHGCSFCKDLLAKFPPDSVVMKQPLQMQPWDSSPELVKKLFKVFHFLCTYAARIHMHSFTFDEFAHAFHDKGSLLLGRTNMTLLRVLLFDVEMELSNGFIPHLIKNSKFLRLLHWVEGQNLVLNFWKRSLNPMTWMEILRQVLIAAGFGLSHGALNEALSKEVNLMSKYGLRPGTLKGELFNNLLVQGNNGSKVSELAKSTAIVELNLAATTDELEVLICSVLSSDITLFEKIASSAYRVRINSVLHESESDQSDVDDFASIDDDSEDGSRYSSSDDSEFDSKISSPSKLKPRNSHKSISDVLAIDTEIDESHPGEVWLLGLTEGEYFDLSIEEKLNALVALIDLLSAGSTIRKEDAISSVAEGATDLTRISCGGKIKRSTGRQENFPGTVGGYTEQAVYISQANKGSSTEPVDSLAVNVREESSFGIKYPSDVEFEDVHPMQSIYLGSDRRYNRYWLFMGPCNDYDPGHKRIYFESSEDGHWEIISTAESLCTLLSALESRGSREGYLLSSLEKLKATLHETMSSISDNLGSRQPTQSDCSDLSTSRAESSSAVSDVDNNFWLSEIRNDHPVSTAAEVLETEKKRELEKQKWHRLQAFDSWVWNSFYLGLYAVKHGKKSFLNSLARCEHCHDLYWRDEKHCKICHTTFELDFDIEERYAIHTATCRKNGEGNVFPKHKVLSSQLQSLKAAIYAIESVMPEDALVGTWAKSAHNLWIKRLRRSSNLTEFLQVLADFVTSINEDWLHRCSSAYGSDSLVEEIIACFSSMPQTSSAVALWLVRLDDLIGLHLQRIPTENDTQLCTSSRGNYALVQ >KZM83658 pep chromosome:ASM162521v1:8:187320:191372:1 gene:DCAR_028920 transcript:KZM83658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSNLNGCSMFEIFVLTSMLSVAILIRRCIGFHGTTNHGDTDASLKKNDDAIVETKKWSAYMVTTAVDFIFVVIPLIIFPTVLADWTYINAILSLTVLLLCFTYRRCVSSVSLEGVQPVRTYISSYRVTMMLVTCFCILAVDFNIFPRRYAKTETYGTSVMDLGVGAYVLANSLVSRQARGNMKTSLRNALQSASPLLFLGFARIAFTSGVDYQVHVGEYGVHWNFFFTLAAVSILTSVVNIPPNYCGIVGSSILIGYQVFLVRGLNLYLLSEERGSDIISQNKEGIYSIFGWKISLPFLLEEQNFPVSIKIETNRILGLVSCWCPVGQLYFFRKSFFCNNHK >KZM84222 pep chromosome:ASM162521v1:8:10560037:10561605:1 gene:DCAR_028231 transcript:KZM84222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPPKNPSPVSSGSASPDFGLTEAKSENVGNQEVSSEPQAGPSNVYSPQPLSSYDPHQPHLAPQPISSSQPNLDGLNMSLEMDPDTKQLIQSQGITIAEYTQQRRAFAKYEHPAIPNIYSGLDEETANVIHSEEMSPSDLRRQQQALDYFNRMKESLHQDPAGISRIESQIQEPYALLSNNSVLGVDTMGSYLDPNEELDPNDPFFEELADLVDPVNERIKACFGPEIGSTLASTSRVSAKGKTLIIKGPKPQSVKDTKSKISEGQPVPEIDNWPWKRKMTDTLPEAIEDKQSKRRKLLESPLEHGENQEEERCLLLFKDDENDDDYETLYRLYWYTLNQRTSIFQEGDFVQDFENGLTKYINEFLDSKITTEQCKKKVMELRKKYLYFMELNGYEDFNEADFSVPREYKMFQMFKIVWGADPGHGGSCSVAGQGVNVGNPLQGAVDNMNVDQGHVAEPIPPALTNVSGAGKAPEPANVKSGAADKELEADMARAVSNSLKEVRDPSADQKDDNMDIDR >KZM84591 pep chromosome:ASM162521v1:8:16610724:16611941:-1 gene:DCAR_027987 transcript:KZM84591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPQQCLLILTLILLSTTTSSTTLDPKQLTALQSLNIPTTTDPCTTSTCDNSSPFRHLLTLNLSNCPPHLQISNTALKSLSSLTSLSILDCPSLPLPHFPPQLSTNLQSFSAINSLTKLSGLFLSHLTNLTSLTISNTTINSTHPSIILYPMKSLSFLAITQAKLNGLFPKHWHINLTHIDLSHNSLFGKIPTSLTRLENLQILNLSSNGITGTIPDSIGDLLALQTLSLSLNSLSGSVPETILAMPELVHLDLGSNQLNGTVPAFISEMRNLKYLNLEYNKFHGVMPFNESTLKRFEVFKIGGNNNLCYNQTTISSKFKLGISPCDKYGLPIPPPPAKDSLSDGSTSRRSSADDDDDDDDGDVKSDPDMNHKGPSKVVLGVAIGLSSIVFLIVFLVLLSKWCG >KZM83931 pep chromosome:ASM162521v1:8:3613995:3622689:1 gene:DCAR_028647 transcript:KZM83931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLIVESLSLKLPLATKHAGSGIHPSTTPCFGKLKINSFPSQTSLIPLSDTSSLHSPASFPGFHLDQPTFHRFSNKPITLKVSVYTGRMGSSCGLASGKLLGSVTVSVTLNDAVLRPVVFQNGWMKLGSDLGNSSAKLHLIVRTEPDPRFVFQFGGEPECSPVVFQIQGNIRQPVFSCKFSADRNSRSRNHEFCGTRSLPSNFTTNTRVWMRTFSGDREKPGRERKGWMITIHDLSGSSVAAASMITPFVPSPGSDRVSRSNPGAWLILKPHGVSMKPWGRLEAWRERGPIDGLGYKFELVTSTGIASGIPIAQGTISLKNGGQFCIDTNSKDNNAASASSLFPDIRGFVMGSSVEGEGKVSKPVVQIGVKHVTCMTDAALFIALSAAIDLSMDACRLFSRKLRKEFWLNDHDTFSYN >KZM86088 pep chromosome:ASM162521v1:8:31287452:31289779:-1 gene:DCAR_026490 transcript:KZM86088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVHYPYGRSSYEDSLKLLEADIQHANALAAAIPKGKGGARLQMTLAYNNLAPFLLFLLQWIDSSCTCLLPRYLNLFEILVHKVYTDERRNVSALGRKATISEFYAVILPSLQGLHNDLVDMDITSNEKPGTEINSKERLRNDTSLSNIDLEREAECGICLELCPKIVLPNCCHSMCINCYRDWNSRSEACPFCRGTIKRVMSRDLWVLTSGDDIIETDTVLKEDLQRFYLYISKLPKDTPDALFFMYYEYLI >KZM84779 pep chromosome:ASM162521v1:8:19090614:19090997:1 gene:DCAR_027799 transcript:KZM84779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYNHSFVVAFFLALSVSSLEMSFAARHLLQSSQPIIPTLPTIPNLPKVALPPLPAMPTLPKTTLPPMPLPTLPTMPTTIPKVTLPPLPASLPTLPTTMPSFPTINIPTTMPSIPFLTPPPATTSP >KZM84877 pep chromosome:ASM162521v1:8:20403164:20405694:-1 gene:DCAR_027701 transcript:KZM84877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILTSLSSTLLIILFITHTHTLVLCVETCEPATCSPAGPDIRFPFRLTGRQPRHCGYPGFDLSCNNKSQLLLHLPFAGDFVVTDINYAAHVIYFKPEFCPPNAIQDLSTLLPPFVGGFLREHTFFNCSSNRFFPVAEPFETLECFRGVNSTVVVVESRLFEGASDRRLPESCRNDSATVAIPVGFRWDLPACGKCEFENRTCGFKNEETLELGCAGDSKRGHSTAVKYGIVFGIGLPGFLILAFLTYYARKKIYHRTHIERHYAPSLPTTTIAPQPPAFVTGLDKLTIDSYPMTVLGASKRLPKPSDSTCAICLSEYQPKDTIRTVPACNHYFHSTCIDEWLKLNATCPVCRNSPEGSFGRAATSTSSSSSSSSHFSS >KZM84176 pep chromosome:ASM162521v1:8:9723915:9725537:1 gene:DCAR_028277 transcript:KZM84176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVTNYKVNHVTLSTIATYTPLKRNLQKSIGNGRNQGHCLRTKVKTSIDADVLAKDSTTSESVDDNSDFGVVNMHHVGLLCENLERSLDFYQNLLGLKINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPYTGRPEHGGRDRHTCIAIRDVSKLKAILDKAGIPYTLSRSGRPAIFTRDPDTNALEFTQVDC >KZM84792 pep chromosome:ASM162521v1:8:19311325:19311639:1 gene:DCAR_027786 transcript:KZM84792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKSALMLLLALFVISAMIAAPVAEAREHTSTHADDKNLSNILLAYGRDQLFKNAFQLEGLSTSCIGYYCLYTSCCAGCQCVTSYDDVPIPAYCETSCKDST >KZM83811 pep chromosome:ASM162521v1:8:1835755:1835973:1 gene:DCAR_028767 transcript:KZM83811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPAYPEHAAMMSISGQHGLWKSLSSGDMMEASQNGTPEDVPAEHKSQKPTIVEPPSTEEGCVLNVYMEIS >KZM84893 pep chromosome:ASM162521v1:8:20596674:20609283:1 gene:DCAR_027685 transcript:KZM84893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPENESRSGGEQSQGKPDDEEAGARLEEFKKSVEFKMTLRQSNLNPESPEPSFLRTLDSSIKRNTAVIKKLKQINEEQRESLMDELRSVNLSKFVSEAVTAICEAKLRTSDIQAAVQICSLLHQRYKDFSPSLVQGLLKIFFPGKTLEDPDADKNSRAMKKRSSLKLLLELYFVGVVDDCSVFVNIIKDLTSMEHLKDRDAAQTNLSLLSSFARQARFFLGLPLSGQEILEEFYKGLNITPEQKKFFKKAFHVYYDAAAELLQSEHTSLRQMEHENSKILNAKGELSEETATAYEKLRKSYDHLYRGVSALAEALDMQPPVMPEDAHTTRVTTGEDASSPGPGKDSSTLEAIWDDEDTRAFYECLPDLRAFVPAVLLGESESKVNDQSAKTHEQSSDLTTESDQQIVTQDIAEVSIGSEVSQDCKNEKGKEKDDSNDKEKAKELEKGKEKEADRKGDAEKEKLKNPEGTNLDGLLQRLPGCVSRDLIDQLTVEFCYVNSKSSRKKLVRALFSVPRTSLELLPYYSRMVATLSTCMKDVSSMLIQLLEEEFNFLLNKKDQMNIETKIRNIRFIGELCKFKIAPAGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETAVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEPYLLKCFMKVHRGKYGQIHLIASLTAGLSRYHDEFAVSVVDEVLEEIRVGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFDTLYLILVFGHGTAEEDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYILSKGAVPLDIEFDLQDLFADLRPNMTRYSSVEELDAALAVLEEHERKNLSEKNNTEKHLDTEKVSSGQLGRSSVNGQMLSDGVKANGEAHEEATEDTDTDSGSDTIGPAGHYDEEELDEENHDETHDSEEDYSDGGDNASDEDDEVRVRQKITKVDPLEEADFDREFRALMQESLESRKLELRARPTLNMMIPMNIFEGPTKDHHGRGVEGESGDDTLDEEAGGSKEVRVKVLVKRGSKQQTKEMFIPRDSTLVQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNGLGNQPNSWIQSGGGRASSRGHAWDGHGGRGAGSRHRYHHHSGGGIFYSRRR >KZM85985 pep chromosome:ASM162521v1:8:30476492:30477991:-1 gene:DCAR_026593 transcript:KZM85985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDYYSILKVEKTATLDDLKKAYKKRAMKWHPDKNSSNKTEAESKFKQISEAYDVLSDPQKRQIYDLYGDDGAAPPQDKGFRRRDAAEIFEELFGGSEEKEGRKASVVENKLVCGLEELYKGSKRKMKISRSVLDENSKLTTVEEILAIHIKPGWKKGTRITFPEKGNQEPGVTPGDLIFIVDEKPHAVFKRDGNDLIMHKRISLLDALTGKTIKLTTLDGRDLRIPIKDIVKPGHEEVIPDEGMPISKEPGKRGSLKIKFDIKFPSRLSSDQKSDLRRVLGGSY >KZM83856 pep chromosome:ASM162521v1:8:2312628:2313773:1 gene:DCAR_028722 transcript:KZM83856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSDDDYPQKHYPSSSSYPSSIRSRRPIHNPNFQTYRDDDDDEDLEDDSNMYRDEEISRKDRNFMKQEEYDEDEDDEDEDGEDGDEDDDDEEYRENGYGGRGYEQNDESKRRQKKRKLESLVSRYEFAPRTSGSVQKMREASAEWSEDESFVLLEVWGERFMQLGRRSLRSEDWVEVAEKVSELCKVERSHVQCRNRLDTLKKKYKKERAKMEEMGGYFGQWVFFKKMDMLLSVSSRQQLSGLACGFDSGEYVFMNPKVYLSQSNAFDEMRDSPAESDSDENESDEVEPLRRGDEDGTSFKLLADSIQKFGAIYEKIEDKKRQQMMELERMRMDFQQDLELKKKQIVERAQAEIEKIREGNDDDTDSNTDTDVSAGNVSG >KZM84307 pep chromosome:ASM162521v1:8:11908911:11910130:1 gene:DCAR_028399 transcript:KZM84307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSCGFSPSIYRISANAKSESPEDCCTSTPKESNEERKLPTNPEEVEYLRRSSGTNPLIVFTYDELKTVTSNFRQDNMLGGGGFGSVYKGFITDDKNEELEPIPVAVKVHDGDNSYQGHREWLVDNLLS >KZM84498 pep chromosome:ASM162521v1:8:15440846:15442445:-1 gene:DCAR_028080 transcript:KZM84498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLFYQSVEEIFAAIHFLHQGTVFLPQSRTSLCFSLLLFLSEENHDQQGYKALKRRKTTKKPNEENVSAKPLESGSLQSTFQSTDQLIRTPVTDRSTSYASYCYASQTQQTSKPVQTSPCPSTFESVNESPRTPFRDRTNVSRNGKNAQHQQKPKCKAKQNKWEDVPLNAWSRNLFDQEYSQNHTNNSSVVYDENCEETRFKNATVTDDDIFDVEDSYDSIDDSCDDEIVEQTTPGSYHVFIKF >KZM85808 pep chromosome:ASM162521v1:8:28853432:28856742:-1 gene:DCAR_026770 transcript:KZM85808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVTAFSSGDSTSASLHALMGLVQDVGRASCGGFKGPFKKDCSDLARRIALLSHLLEEVRDFKGDLRVLDEFSVNSSSCSCLVDLKVVVQASKGLLVAADSFDAKISQEGAAKKIAFQFQCLTWKLERALKNFPYDQLDISEEVQEQVDLVRGQLRRATEKYGGSLTPSRLSRALSQPLDKEIDPLQSRNRIIGSLHVESTGSIDHEVTEKVEVSPRTNSSKGYGSDQMIHRLERRGSSSASSEICLLDNADADDGENSSPKNSEENRKPSSPVIPDDFLCPISLEVMRDPVIVATGQTYERSYIQRWIDCGNTNCPKTQQKLQHLTLTPNYVLRNLITQWCVTHNVEQPTALTSGKVKRSDGTFRDVSGEIAAIESLVRKLSSRSIEERRAAVREIRSLSKRSTDNRILIGEAGAIPILVSLLTSDDGITQDNSITSILNLSIYEHNKGLIMLAGAIPSIVQVLRAGSMETKENAAATLFSLSLADENKIIIGASGAIPALVELLHNGSSRGKKDAATALFNLCIYQGNKGRAVRAGIITALLKMLTDTSSCMIDEALTILSVLVSHQEAKAAIIKASTIPALIDLLRTGLPRNKENAAAILLSLCKRDTENLASLSRLGAVIPLTELAKSGTERAKRKATSLLGHLRKSKQL >KZM84409 pep chromosome:ASM162521v1:8:14044688:14046281:1 gene:DCAR_028169 transcript:KZM84409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSHGRSRSTVQGPWKYNQGVDALSSVVRTVSMKTGFNKQRTMNDVSLKSGEVGVPASIYTFRELAAATQNFNQEMLIGEGCFGRVYKGQLKHNNQIVAIKQLDKNVAEGNALEGNIEFLDEIVALSHVRHPNLVSLIGYCADGGQKILVNEYISNGSLQDHLFNVSAERPPLDWHSRMKIAKGAAQGLEYLHDTASPPIIFGDLKSSNILLDDEFNAKLSDYGLFKLALDNYNSNNFNKDHHRSMMVMETYGHCAPEYAETGEATPKSDVYNFGVLLLEILSGRRAIDTTMPTEEQHLVTWAHPIFNDRKKFHLMADPLLENKYPRKGLYQAIAMAAMCLQEEANTRPLIADIATALEYLLYENFETNEMMYMTDAELAQEFGYQQEDDAEKQCNTSTD >KZM85545 pep chromosome:ASM162521v1:8:26683070:26683662:-1 gene:DCAR_027033 transcript:KZM85545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQSHSLEITSSVSAEKIFSGIVLDVDTVIPKAAPGAYKSVEVKGDGGAGTVRIITLPEGSPITTMTVRTDAVNKEALTYDSTVIDGDILLGFIESIETHLVVVPTADGGSITKTTAIFHTKGDAVVPEENIKFADAQNTALFKAIEAYLIAN >KZM83890 pep chromosome:ASM162521v1:8:2675585:2677954:1 gene:DCAR_028688 transcript:KZM83890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLQEHDYIGLSEVSPKGNSDINERSISKGLNLKATELRLGLPGSESPEREDVNLYGLNSLVSSGAKRVFSDTLNGGSEVDLVKNGGLFSPRSAEKVVEKKPQSSAAKAQVVGWPPIRSFRKNTMAISPPKNDNNADAKSGSSCIYVKVSMDGAPYLRKIDLTMYDSYSELSLGLKKMFSCFTIGQCGSHVIPARDKQSEGLLMDFLSGSEFVLTYEDKDGDWMLVGDVPWDMFIETCKRIRIMKSSDAVGLAPKGIEKCRDGN >KZM84138 pep chromosome:ASM162521v1:8:8778266:8779336:-1 gene:DCAR_028315 transcript:KZM84138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSKVGLNKGAWTTAEDKILTDFIHLHGEGGWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISDDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTLRKKAHDNHTTSAAASPKTPTKQCNNKKTKKHKKKREKSEPIKPEIKANASDVRAKAALDEADHQLITSTSTMEPLVQPALQNKTTDQSSDLVPGVDSSDMCLTDFLNYDFSGLLNTDINHQDYDMESASPCSSSEKPIMQILEEFWNAEEPCLVSNSNLYFTSLSECLVGDWLA >KZM85661 pep chromosome:ASM162521v1:8:27637620:27639718:1 gene:DCAR_026917 transcript:KZM85661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELCDLRININGQQTFFLNEKVILKFSGKLRKFVRNEKRRAQIKNSVIQIDGFPGGPSGFELVSRFCYNSDTSMITVSNVCLLYCCAVFLEMNERVSALNLLHQTETFLEEMFSWSQKEILTSLVSCESIFAFADSSGLIEKLLNMLLAKIAQNSDIFVCSSSSSSSPEATASPFRLSSSMKSATPEVLGIKRSSSRSLWWFDELTILPPIIIERFVKLFGAHGTDDSSLLLTRFILNYLKTSAQSKHCLMIKTYSKLEYSRLADTAVYGVISTGQSLFSCRGLFWILRLVSTFGISRDCRTGLEGLIGSVLDQATLDDLLVSANDGHGVYDVNLVVRLVRVFVYTYSKEEYLQKIKKVGWLVDKYIREIAPDQNLKISRFLRIAESLTDCARDCFDGVYRAVDIYLERSRLCRCLNYNKLSLETCKELAKNPRIPPRVAVEALAAQSCRSISNNITNSPPFDPSHVNRSHSSKYYHPAMINVAIKKHSQMALYRGGGHNIMINDCKLDTGFKDDQEEMISAAEENEMMRMNLERMQWRVMELEKVCKGMKGQMSRMGKRGKSPLISQAPSKGLPRFC >KZM85435 pep chromosome:ASM162521v1:8:25735929:25738492:1 gene:DCAR_027143 transcript:KZM85435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGTSDGSCVRLQIAELKSKLSETGSSAGTIYEPGISVEKGESSNFSPDDSLRRNSHRAPEQKLTLFALRLAVLEKAATGLGTLGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRIFSRSHELEWQHQATWSIADAGINSFRAIRSNSRSVITTVKSFFRPVVKASQHGREITRNRKMEIQKKAPSRVWTTTDVPLLPYAPWVFLSRNVSKLLYWLQLASASACVGLSLVKLVRHNYGEVAKGDTDKRNRQAALNIFYSLALAEALLFLLEKAYWEWKVICCRLLEQVNKECELGPSGMVSIRRFFYDAYSRCVSGSIFDGLKMDMVSFAMDLLASSSPDEQLIGAQILRSFAANDRFSDDTLQKIGISISVMERLVEMLNWKDPQEEEIRHSAAEILSKLAGKKQNSLRVAGIPGAMESISSLLHVSRTSTAAADEIFEKKIIFDSENYGVWTFNHLGLLILKKLARDHDNCGKIGNTRGLLPKIIDFTHADERLLKNGKASPSQILTVKRSLQVVRMLASTAGATGKQLRKEISEVVLTISNIRDILRCGEKHPVLQKLGIEILTSLALEEDATERIGGTGGLLKELFNIFFKQEIPDNQNHVKIAAGEALSMLVLESKNNCHRILKLNVTEQLVSALEIPVLHVNAARILRNLCSYSGSHCFDKLRDITTATPTILKAIMTAENKLQEVMIGLAAQVFRYMPPKESSSMFERAGIHEAELAGALVQILRKYPYPQIKIPRIRRFAIELAIWMMRDKDTNITMFRDLGMVKELENVIETTSELESFNIFSGTVGLSRHSTTIHSLVETAMQLLETE >KZM84190 pep chromosome:ASM162521v1:8:9998603:10004940:-1 gene:DCAR_028263 transcript:KZM84190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLDKGSEVEVTSDDDGFRGVWYVGKIVQPPRKSDDGFVEVEYNELVSEEDESKKLCERVHVSLVRPLPPVKIGEDDVFEVDDVVDVFHNDGWWVGVVEEVVGEDEFKVLFDDPPDRLSVKRQDVRLHLDWVEGKWEKPQKKNSKNKKENSSRLAMLSNHPGNAKRQNGSGKKQQPGKSGASTEKDTCVTDETINKECNAEEVDMSVKALKGVPTKNCETPPKKEKKKFMDQVMSLTPAQVKFENLNLESCRIPVDLLCSQITLDMLEDKKQLRNRQEKVVLLPSTNGSTQGDVSSKRKRGKQIGKGSMGGIRSLRKRIMEESCVNDEAEMNAVGDCQTQTELKDKSNGNHFQPSCNDSMKATADKKNTSGIHRHSDSLSETTIVPFPEDQANKTIPSKRVKRPKNKLPTENEGMCKLVEKCQNASQGNISLPEINHVKDVDMLMDRNHGDVSGDQVSNTTLQVDKPSPTGSDASKTLPSQSQCVNANSKLDDFGTTPVATHDKADNTDGPIERITKLQFSDPITVIESIVGALDELESNGFDVEFIRACLTQLLSKKQTGEELHKECRDIENEISDTLNEKEKVDEEITQLNQRMNELAAKLGDAVTRKEMKERVISTLKSKLASKDVQGLQLEFQNIVSSFL >KZM84280 pep chromosome:ASM162521v1:8:11495809:11496503:1 gene:DCAR_028426 transcript:KZM84280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLSAFSEVSEPFAELQFNLDRDMGMTIAFPLLEMRTDLGNGEVVDAAHPLTVALWADVAVRKWPASAISLEMAPSGSLPNMRGKSKLYEKYAFFENVPFSRKALDIIQSGALDEFASTLAEEVVNLESPVGNDLFAKDILHHAVLGTLNIVSPFLHQNSDIQSLSQFTKENEFMFVDQVLSCMGFFNEDGFVVTKKARRAASI >KZM86004 pep chromosome:ASM162521v1:8:30633209:30637668:-1 gene:DCAR_026574 transcript:KZM86004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSIILILCFLLPASSHLETERGSELGRRLLMSFKETPEGLNITYDCSPSGPCIPCLYAEKNDGKYRCSETGYRIPLRCEESKAVSKEKVSNKESGAVKQRSLLDNKSASKGGADSYITYRSCIPATNEEKISVLGFENTTFYVILDNIHNKMAGAFCPVSDDFLE >KZM84159 pep chromosome:ASM162521v1:8:9357487:9359836:1 gene:DCAR_028294 transcript:KZM84159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSRNMITEKEEIYNPYAVEINYSIPPKIASSSSEGGNVLDVSDIEIDKLCGNFCYNAYNNWHGLFDLVLRQKHLRKRDHYKIFKRKACQKLCIFESMDWVKLCFKNLTWIVKLKWMDGKVYLDRKWYSFAKAGNLKKRDTIVFQMTGNSQKFEVSVFESDILKNCNTSGIGHRTGVMSWFKMINENVLSSGQMEITRVVMQSSGAIFHEKVNLIMGGAETVIVEFCAVRNFLTGMSKLIQQYHVEEDDVLVFRYVSPSTFSVGFFKSSGMAFNYNMETTIVSTTMNNVQEPEVILISDSSVEMVEEAVLNLDNEEEDNNMDFGAGDIEDNVFFQVTLKRSHVDEKYHGVYLPKTLYSTFNSWSRGTTIRLICGDNVYYVAALRNQKICRLGRGWTEFTIGNEFEEGQILQFIYVADKTFQVDVV >KZM83989 pep chromosome:ASM162521v1:8:5013144:5020447:-1 gene:DCAR_028589 transcript:KZM83989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTPTAQQPKKRGRPKGSKSKEERETPSSVKSSSVVKMRGTAEKKVVGAGVDDKYTQWKSLVPVLYDWFANHNLIWPSLSCRWGPLLEEANQKNRQRIYLSEQTDGSVPNTLVIANCDVVKKRVAAGDHIANFNEESRSPFVKKYKTIIHPGEVNRIREFPQYNSIVATHTDCPEVLIWDIEAQPNRHAVFGAAESRPDLVLTGHEDNAEYALAMSPTEPYVLSGGKDKNVILWSLQDHIAAASGGSIIKAAENPSIAPRGIFKGHEDTVEDVQFCPSSAQEFCSVGDDSCLILWDARTGSNPVIKVEKAHNADVHCVDWNPLDINLILTGSADHSVCMFDRRNLSSPVHKFEDHKAAVLCVQVWCPDKSSVFGSSAEDGSLNIWDYERIGKKELGTRSPNCPPGLFFKHAGHRDKVVDFHWNASDPWTVVSVSDDVDTSNGGGTLQIWRMSDLIYRPEEEVLAELEQYKAHVVECTSKSPKI >KZM85746 pep chromosome:ASM162521v1:8:28290245:28291552:-1 gene:DCAR_026832 transcript:KZM85746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNVSWDNLPSELLEVIGQKMVGSCEDFIRFSAVSKSWQSVAFKMRQDGSTFLLSPESPLLVLAEDVPEGYILRCCDINVDEDGLEEASDDEDVEEDEEENEDEEGLQEEEDEEEDFADEEGDDDDDEEMEDLEEKEFIFSVGTARGIYSLSTEKIYTLELPEAAGKSIRGTNKGWLLTLGINSETKLLHPLLGHQIWLPYLSICSKVTLSSRVLQDPTIMVIHGGSLGFARFGDQEWKKVESPSVVPFVDITYHKGKFYAINHVGAIFACNIDDGYTSGATGAPITSCPFNPVDFGSKYLVDSENDLWFLARIRGVKFFKPPHNMRVKYRTTHFSVWRLEPTVSEDGHETISTWVQKHDLGGKAFFVGLNASVSLSSSGWVRPNCIYFTDDISNLYFLDGGHDMGVFDVERGTIEQHFQGKSIHPFSPPLWYI >KZM85930 pep chromosome:ASM162521v1:8:30020144:30020338:1 gene:DCAR_026648 transcript:KZM85930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLNKRLQAATGLAFRKSKVLCNATQKQILMLLPLETMKRVVEQNQKFQVCALSWVVEAEMSLH >KZM85230 pep chromosome:ASM162521v1:8:23956238:23957393:-1 gene:DCAR_027348 transcript:KZM85230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRKPFCILPLFLTIFANLELIAHPKSAPDYNTLVYKGCAKQSLSDPSGVYSQAISTLYGTLVQQSSKTRFFKTTTGTSQTTITGLFQCRGDLSNVDCYNCVSRLPTLTDKLCGKPVAARIQLLGCYMLYEVAGFAQISGLELLYKTCGGSSAGGTGFQERRDTAFSTLESGVTSGNGFYTTSYESMFVLGQCQGDLGTSDCGSCVKTAVQRAQVECGSSISGQIYLHKCFISYSYYPNGIPKRSSPTSSSSPYTPTSSSSPDTQQNTGKTVAIILGGAAGVGFLVICMLFARSLLKKNDGKSIAHFTLTSKLCVCVCV >KZM85882 pep chromosome:ASM162521v1:8:29579567:29589667:1 gene:DCAR_026696 transcript:KZM85882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLGHKSLKKIKFLSSDSCSISHKSLVGFHDSLIFGKIRSFGDSPCGVSRFWLDQASYQTGGVHRFCSGHSIINAGSFGNCRGFDSAAEAVVSTEDESDEVQELINQMAREIKVVKQPKLVGEIAQGKYYILRRRQIKMETEAWQEAAKEYQELVEDMCEQKLAPNLPYMKSLFLGWFEPLKNAIAADQESCVEGRHKTAYGPYFDQLPATMMAVITMHKLMGLLMTGVGSNSGCKVVHAACQIGEAVEHEVRIQNFLDKTKKKSALTKDLDKESDSPTEQQEKLRKKVTNLMKKQRLHQVRSIVKEHDHGKPWGQEAQVKVGSRLIQLLMETAYIQSPADHSEDGPPDIRPAFVHNLKTVDTRKGSRRYGVIECDPLVRKGLEKTARHMVIPYMPMLVPPTNWTGYDKGAYLFLPSYIMRTHGAKQQREAVKRVPKKQIEPIFEALNTLGNTKWRVNKKILDIVDRIWASGGRVADLVDRDDVDLPEEPDTEDEAETRKWRWKVKGVKKVNSERHSQRCDIELKLAVSRKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGESGLRWLKIHLANLYAGGVDKFSYEGRVAFTEKHLDDIFDSADRPLDGKRWWLKAEDPFQCLATCINLSEALRSSSPESTLSYIPIHQDGSCNGLQHYAALGRDKLGAAAVNLVAGEKPADVYSGIANRVLDIMRRDAAKDPASNPNVLRARLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGLIEDDNELFAAACYAAKTTLTALGEMFEAARSIMSWLGDCAKVISMENQPVRWITPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVLARRQRTAFPPNFVHSLDGTHMMMTAIACKEAGLNFAGVHDSYWTHACDVDKMNIILREKFVELYEAPILENLRDSFQKTFPELNFPPLPERGDFDLKEVLKSPYFFN >KZM84879 pep chromosome:ASM162521v1:8:20431249:20435193:1 gene:DCAR_027699 transcript:KZM84879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLKLTFLISSSLPHRHNSLLRRSAAPLSSPPPSLRRILKSLPISPTLSRPQSQSFNLHSITCNREREGARFVFLARFSRRGLGGGRGGSGGSGLFAEMGSETNNVNHGDDNDNHHFLNSYDNNKNSSVSSSLLKQNVHNYNCDNDDRCNELAESPSKQPRHQSTKLLTLPTILTIGRVAAVPLIVCTFYMDTWWGTTATTSIFIAAAITDWLDGYLARKMKLGSAFGAFLDPVADKLMVAATLVLLCAKPPEVALFEQFPWLLTIPSIAIIGREITMSAVREWAASQSGNLSEAVAVNNLGKWKTATQMIALTILLAIRDSSLAGPGVLVTSGVTLLYISAWLAVWSLVVYMKKIWKVLLK >KZM85110 pep chromosome:ASM162521v1:8:22803100:22808436:-1 gene:DCAR_027468 transcript:KZM85110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITSLSELDSMSQGDRKIQVKLSRKWLQTDPTTGNVSGANMILVDSYDNRMHCWIPLSLFSKMEAVFFQGSIYELQKFEVCTYTGKFRCFDSATHIVILPETIVIPLDDTYSNIAEHVFHFTTLSNVASADLKDSHLVDVAGIIEAVGVFVPVTRNNVVKHCYLDIVITDLITAVNARLWDHFAISFYNALKAATEHPVITILSCCQLKRNEYNGVCHVRNVAATEFHLNANVSYVQNLRKRSFFVTKTISTVSTIHRPNCYYVLQIFRCEWVMKCNLRFNIEHSFPVYYPPIEMTFNRGGVFMHWRLGAMNTSNEEAIPIDSVPARHVSRNILFDKTNIIDDLSGTKALEPKGRMCGNQLRCAVENCVPSSQQIPTSKLRAVDSNMKKKPASKKNAPLLMKENVEHDQRLPSKPKFKFLDSGIKKNNGRKKKTTASTLSNSKHRNDDCDVTPCRRDAIGSEEETIIVPALRTKTGKFNLA >KZM85815 pep chromosome:ASM162521v1:8:28923254:28928130:1 gene:DCAR_026763 transcript:KZM85815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSDIGLFFIAHLGVLVIVGLSFCSSVLAVEEQPDRESLDFILGDTNLGRWRSGISRAIAEAPGPGSSPFVLAEKRTRRPDILSGFKKYQGGWDIVNKHYWASVGYTGISGFILAVLWFVSFGIALGVHQCCGWRINIRGKETPRSQRICLILLVVFTCAAAIGCILLSVGQDEFRGEALHTLNYVVNQSDFTVQTLRNVTGYLSLAKTVNVAQLFLPSDIKDDIDKLNVDLNTAAETLWEKTDENSIKIRRVFDAVRSALITVAAVMLLVSVLGLVLSVLGHRHAIYIFVVSGWILVAVTFILCGVFLILENAIADTCVAMDEWVDHPHAETALSNILPCVDQRTTNQTLIESKEVTNAIVDIVNEFLDNFANSNPPPQAYPSYYNQSGPLMPHLCQPYDSHLLDRQCPPQEVSMANASQVWQNYICTSSGFGVCSSVGRLTPDMYDQLVDAVNVSNALQHYAPILLSLQDCNFVRDTFHSIVLDYCPPLEHRLQVVNAGLGLISVGVMLSLVLWIIYAYRPAEEEVFAKSPLTQKGQLWIIKNQSSDNC >KZM84589 pep chromosome:ASM162521v1:8:16594829:16597768:1 gene:DCAR_027989 transcript:KZM84589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLSYIILGESTCNITTDQDLLNKAFSSVSGFDSSWFTPHDPNCKTPNILTSINLSSRNLNGSVSWKYLKNMSHLQSIDFSNNFLKGHVPSWFWSLPTLVEINLSKNMLVGTIGFRMGSYFGQFSSMRFLNLSQNRFTNLVNLSVFPKLTTLDLSHNKLGILPPGLNMLKNLQHLDISKCNISESGASWVADVVEPSSAPVVMFEKPLMNYLTFKDLLAATSHFGRESLLAEGRRGPVYRAVLPGDLHVAIKVLESARDVDCDEMKAVFDDISTLKHPNLLPISGYCIAGNEKLVLYEFISNGNLHEWLHELPAGETNIEDWSTDTWEHMNEIESTPRISSPDKTEWLTRHRIAVGIARGLAYLHHGCSKPLPKIADFGILSQGGVSGSLEDDVYDFGKILIELLTGEVSSEESVEEVRRLVKEGLGVNVLDSRLRLGSESLSEMVECLRVGYLCTAEVCWKRPTMQQVLGQLKNVHHLRENHL >KZM85035 pep chromosome:ASM162521v1:8:22001413:22003588:-1 gene:DCAR_027543 transcript:KZM85035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSFPDIWTWIQNLPPITEWTSNSVSISIFSSSSFRPSLKLSISKKLQSSSFVIFSLFADYSEIISLWTSKSIRINPKSVNLLDHEDNVSKIFLTLVDVILQYCPNKNISLFRLPKLSSVTNFKEIFNFSFLTLSFLICIYEAPTDLRSECLHRLKEHYACEQSREASKLLMRLLGSNVEEQWMRAVNLAITNWIVEVQAKNTALKTPSSLFSYGNSTFGMWKVQLFCPVIAMDLEKSSNPSPDEKVVFSLNYHQVEGVIQLNHRVIIRDSWIEVVARVDNIRCDIVKLVNDTLMKERGAGKAEKHFPSRISLQLTPIQQMNVLSISVSKSSENPIRDISSEKTVEGSLNPPNTLGLNISAGETVTVSLKPWKFEQSVYGNSGNLNWYLHDSVNGREVFSSKPSMFSLLNPRAWFKNRYKNVYRPFTRQGGIIFADDEYGENVVWKVDKSARGKVMEWEIRGWIWLTYWPNKHRTFYTETRRLEFREIVQLTLA >KZM86119 pep chromosome:ASM162521v1:8:31477149:31480395:1 gene:DCAR_026459 transcript:KZM86119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFVGIGGRREDDWTCPTCGNVNFSFRTTCNMRNCTQPRPADHNSKFAPRPMQTLQGYSSSAPYGSAGAPSSMYIRVPPYGSSLFNQTGMPPYDAPFPGSSNYHYNYENRMSGGSPFRQLHLSGPTPYSSGAMVGNGGMYSVPPMMNRYGMGLPAGHTAMGPRPGFFSEDRLPDKNQEGTRGNDWTCPKCGNVNFSFRTVCNMRKCNTEKPGSQAVKSGKNSKPEMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPSDSKKSXFMFPLLLVNIYYLTEPEMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPSDSKKSPSEPVDDNDQACLRVEKVQSCRSALLSMGSERQLCHLPVAAVVSYHAF >KZM85444 pep chromosome:ASM162521v1:8:25851123:25862191:-1 gene:DCAR_027134 transcript:KZM85444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKAVGTWRTETSAKWANEYPTLNEVYNSQTNQRAAVTPFNAVHSAGSRTLSKNPQVQDPKRDRSLPSLFSDEGTLRNSTTFTGMPRLPSPAWANQSKFPTRFTNSMNQQDQSSVLSNSGNYDNGGNLINKQAHVQAPKRTRSPVQYDDLDGFTEDTVSVQTESKRLSTRLSDHSGTPDSQSHEPFALSGPMYPEAATSKLSVPKRSRSPSSLISDRKPQGDINVAQDDTEREMQAKAKRLARFKDELSQPEPSNLGIGNQKVPSRGYDQAMSDNRKSKESLDLPADNANANISTDYEGQESSTIISGLCPEMCPESERAERERKGDLDRFERLDGDRNQTSKYLAVKKYTRTAEREANLIRPLPILQKTMDYLLELLDQPYDDGFLGLYNFLWDRMRAIRMDLRMQHIFNLEAITMLEQMIRLHILAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQLYDDHRKNGIDVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEVRQAPDILFARDVARSCRIGNFIAFFRLVRKASYLQACLMHAHFAKLRTQALASLHSGLQNNQGIPVAHVAEWLGMEDEEIEDLLDYHGFSIKEFGEPYMVKEGPFLNNNDSGTSKCSKLVHQKKSKTMFEDVLSPSLMEPVSLEAVKKISLEKIYEKSNAPDQSNLAQDPPVIEEEMDSEPVSMPTKDVPIKPIFKAAEINQQNVHDHQPAALNPVPGNISTPHVFRSMDDRVNDAVTDSFKFEFRSSFGKNKNSETKTMPQDIVPIVVDQEKLLVPEIDLTIDNIVPSPLHVEDSNDVESISTFEDLENNEGGRSYQDEEIAQAKLILMFRKWRRYSSKKRELRNKKEIAADTALSSLSLGPSIRYYQEQRSTPGEFNVRLVMKERHDKHERSWSKLNVSDVVAHKLSERNSSSKFLCWKVVLCSQIYGLDGSCLSGLAAGSWLYSKIIPAGDYNDDNLLTSSTALSIWKKWIEGFSSDELICCLSIIKDTRCDNVEENVSGANAVMFIVYECIPLELQKQRLQNLVMSLPSGSSIPLLILSSSCKNHSSSSSIANKLGLDEVDKSRISCFSVVFLLENQSTVFHDSFFSDALLREGLEWLASNSPSQPVLQSVTTQELVFTHLNPLLDTLDGRSAYEVSPTHCISAFNDALNQSIDEVVAAADANPSCWPCPEMALLEQSSDVHRAVNCYLPRIGWSSAAGIEPLVSALKRCNLPSFSDDMTWLFVGSGMVDDIENQKSRLEDCLTRYLTQTSQMMGFSLAKQEAYVMFQKFSRLELHESTYYIVPKWAAIFRRVFNWRLMSLSNVEISRAYVLEQHDRAVANFTELDMDIIQDEGVVPYHSLTGPSLDEVLQVSCCLQRYPESEASQPLRVMRSDHIKNVNGTREIEAMEEDRSSQQTNSLANIDNNCSMNAPNNTSSERVADDVANEADRLIKLLEKCNVVQNMIDKKLSIYF >KZM85032 pep chromosome:ASM162521v1:8:21916224:21928255:1 gene:DCAR_027546 transcript:KZM85032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAALDAMKEYGFKEKDIKQAVKELLEVYGGTQAWPHIEDHGYSMLLEVILSNQEGENGEAGPSQTGANQPEETMASEPLNGDTEQNLDTAPIGNMIFLSDREVDRLFRLKVLSFGQNALEGNIPDTLGRVIRYMPSSAKKFPDGYHFPGTGDLRMCIHINPEHPLTLVISKSDTGYILYLFLICPTQIIPTSAAMVKGNETDQLALLAFKEKIIHDPQGAFSSWNMSLNFCSWAGITCSKQHKRVTSINLASKAFVGSLPRDIGNIIFLTETVLTNNSLQGTIPQEVDRLFSLKVLSLGRNALEGNIPDTLGRVNRLVILELFSNNLSGAIPTSVVNLSSLNVFNLANNTLQGSIPSDLGLTHHNLQKIQLSDNRLSGTIPISLCNASKLQVIHLQFNSFTGPIPVDFGRLLFLQKLVLTNNNLGFGEKDDLSFIKSLINCSSLKILDGCIPQSMKNLRGVQNVDLSRNNLSGTIPVFFVTLSLIYLNLSWNNLEGEIPTKGVFADAVAVSMAGNKGLCGGIPGLQLPRCSSDRSKKHKVSSDQLFFLIGYILGLLIFCLFWMKRMIKESRPKRPISVPSIRLPYQELHQATIGFSPANLVNKDCLGSVYRGELGPQYREKAFAIKVFNEESSSAFNTECEVLQKICHRNIVKIISTCSMIVRKHEFKAIVYKFMEHGSLERWLHPRNMTFSDTQDMPQILNLVTKINIAIDIASALAYLHNQLDKSLAHRNLKPSNIWLDKDMRAYVSNFGLAKFITEINSTRQGSLTALAGTSGYMPPEYYRGSIVSTKGDVYSYGIILLEMLTGKRTTDSMFDERFKLQDFVSNALPDGIRKIIDPVHLHELDSGNVAHTEASLSVLFNIGVTCAMEVSQLRPYMDDTRSMLENVRSIYKDDRSFLTAEWKATRTMKMYGTKKMYTSSITLSYMDLHKATNGFSSTNLVGAGGFGSVYKGESTDKIFRLLSRNSGIEDGIGTPVAIKVFNLKRRGAVRSFNTEYQILRNIHHPNFVKIITTCESVDQEGHDFRAILYEFMDREYGLGVQMTTKGDTYSFGILLLEMLTGKKPGHRMFRGGLTLHNFVSISLPDDVINIADPLMQVMTSADIGDGQ >KZM84773 pep chromosome:ASM162521v1:8:19033453:19037403:1 gene:DCAR_027805 transcript:KZM84773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILRYCEADRVRGPLSGLASLAPSPSQAGDPANGTTWAVLVAGSNKYYNYRHQADVCHAYQILKKGGLKEENIVVFMYDDIAYNDYNPRKGVIINSPHGDDVYAGVPKDYTGTNLTQNNLFAAILGNKSMVKAGSGKVVDSGPNDRIFIYYTDHGGPGILGMIDEFLYAKDLIDMLKLKHSTGTYKEMVIYVEACESGSIFKGLLPDDMNIYVQTASNAVEDSWATYCPGDQDHPPPKEYSTCLGDLFSVAWMEDSESRGPKDETLEQQYLKVRNRTSNYSHVTEYGAKEMHKEAVSLYQGNPVSKTSQAESNTPMAATIQREADILSMWALYNNSAKDSDKTKILKKIEETTAQRRHLDDSISLIANALFNSVTKRAREPGSPVVDDWDCLKSMVRIYETHCGPLTQYGMKHMRVFADMCNNGVTGLDMENTCITVCRKESN >KZM85398 pep chromosome:ASM162521v1:8:25460752:25463177:1 gene:DCAR_027180 transcript:KZM85398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTSLYLLSLLFLPLSLLLILFFLVRPRHVSIPIKSRHVFITGGSSGIGLALAHRAAADGARVSILARNLEKLEAAQQSIRLATGIDVAIYSADVRDYDAVKGALEQAGDVDVLVCNQGVFVPQELETHDLEEFKFMIDVNVIGTFHLIKAALPGMKGRKDRGPGSIAIISSQAGQSLLISIYNAENKRRPELTSIIAASSGSMKAEEVARKALNGIKSGKFIVPCNFEGVMLSIATAGLSPQPSFLMAFVEVVAAGILRFAALCFQWNWYSSIEGWHKKKR >KZM84342 pep chromosome:ASM162521v1:8:12763700:12767749:-1 gene:DCAR_028364 transcript:KZM84342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMRKLWEETRELSLGTTTQIPRLETPPTPLQFLRDYVSPNKPLIISSATLHWPATTLWSSPDYLLNTLSASSVSLHLTPSGNADSLTPLPHSPHSPCFASPHVEKVPFKDALDAIKASRVGDDQCVVAYAQQQNDCLRAEYSAVAGDCEEHIGWASEALGCRPEAVNLWIGNELSETWFHKDHYENLYAVVTGEKHFLLLPPTDVHRMYIRDYPAAQYHYSKDTGKFELELEDPIRYVPWCSVNPYPSPDTEKGDMARFPLYFEGPKPFECTVKAGEILYLPSMWFHHVRQTPDSRGLTVAVNYWYDMQFDMKYAYFNFLQSITCSGFVDVTNQENQCSKSCAGTDIEDGSDVAHIASGYDSDVSDDIVKT >KZM84766 pep chromosome:ASM162521v1:8:18970260:18972701:-1 gene:DCAR_027812 transcript:KZM84766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVFCALHASKHRSETKIISKFYAKMVCAMLQKSDNWRIYIAHLKAPAISLYYRNTIYKEFTNGRCLRRMNCRMVAAAVLLVVVMGCMRVSEGQELPACATNVVPCMDFLNLTTKPPASCCDPLSRAVVKQLSCLCNLYTTPSFFESYSINFTLAIRLPSLCGISTDLTTCLAPPGNNEDDNSTKNNVANSSENIAHRNELSVLLLSLLLLWVSSSVNLV >KZM85186 pep chromosome:ASM162521v1:8:23542770:23544967:-1 gene:DCAR_027392 transcript:KZM85186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDFYKVLLEEEPFTSTTVRSVVKSYRWAPFIPVSADSSMLCVLLLLSKYRLRNVPVVERGEPCITNYITQSAVIKGLERCKGRDWFDSISAHPITELGLPFMSSDEVISIENTELVLEAFKKMKDNQIGGLPVVGGPEKKIVGSLSIRDIRYLLLNHDLFSNFRQVTVGDFINTVAGGLHTTQKVGVAVTCSLDSTLEEVIHILASKSVHRIYVVAGDGKEIVGVITLRDVISCFIYEPANFFDNYFAADSAEVVVSN >KZM85229 pep chromosome:ASM162521v1:8:23936198:23941193:-1 gene:DCAR_027349 transcript:KZM85229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNYTGIEIKTANSLESWNGGVLIMASGSVLLKDFNGRRKFVQTFFLAPQESGFFVLSDIFHFIEEDQIHQHPVAYISPSIHDPKLHASNSIQESVPTYMLGGNFQAREFVGSSNVKENPTTNNYSFSEQQLQQVPQTDNIIKGNFAVQSVQSNGSLQSTLNPVQEHPSTPIEEPAEEPHKHTYASIVSKGQSVPAVHAHSSFKKMPSPASEWENIPEPSSDQQSYTPSVALDSFGREAVEQISVVEDEVKVKSVYVRNVPSTASASEIEEEFKKFGRLKPDGVAIRTRQPKELGACYAFVEFEDIAGVQNAIKASTVEIAGQQAYIEERKADRVNAFRGGRGRGRSSRGSYHMDSSKGRFSVRNFSRGGQNGDHSRPRGNGNGYYRPSQRQERVSSQQVSSNGQNSSE >KZM85783 pep chromosome:ASM162521v1:8:28632333:28633963:1 gene:DCAR_026795 transcript:KZM85783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEACSVYFFLVIVSFLVSCSSSNSVDSVIYGGCSQTKYAPASPYGSNVNSLLSSLVNSAAISKFNNFKVSLPGSAPYDVVYGLFQCRGDLNPSGCRDCVSHSVSRLGNLCPGVTGGVLQLDGCLVKYDNVSFVGVEDKAVVFKKCGPSNGYDPELSNHRDAVLGYLTGVGQYFRAGGSGKVQGVAQCVQDLSVTECQDCLGDATESLRTECESAAWGDMFLAKCYVRYSDHAHHLRNNDPNELTDKTLAILIGLITGVILIIILLSGFSRLCDDQKEHSALALLLNFKLCKIEIGVWCRQIYTLEQMKKVGASRNNMVHNSSSRNNKSTTQAKQISHYGILFHCFWML >KZM83679 pep chromosome:ASM162521v1:8:385981:387177:1 gene:DCAR_028899 transcript:KZM83679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNCNPTPLAYHFVIKTLVKSSQWQELSLVLDHLEKVEIFETPEVIFIDLIEAYGNFNKIQEAVDIFFKIPNFRCNPSVESLNCLLLVLCDKGWLEMVPEIVLKSHTMSIRIEESSYCILIKALCRINKVNHAFGLLIYMIDDGFELDERMCSLILSTLCRQGDLSVDAFTGYLEEMKAYGFCPGRLDLCNVIRFLLKNGKGMDALNVLDQMKVDGIKPDVFCYTMVLDGVISEGEYAKADELFDEMLVLGVVPDLCTYNVYIGGLFKQRNIDAGLDMLACIEDVGCKPDVVTYNGILRALCESGELKALKEVVNLMRSKGVQHDLKTYEIMIAGMIRQGEIREACNLLQEMMEKGLEPQSSTFFRLLPTFDLTGPGSKELEVFREITVGSVALGSSG >KZM85348 pep chromosome:ASM162521v1:8:25045454:25048331:1 gene:DCAR_027230 transcript:KZM85348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVFGLVGKDFALVVADSSAVHSILVHKSNEDKVMVLDSHKLMGASGEAAQFTEFIQKNVSLYQFRNGIPLTTAATANFTRGELATALRKSPYMVNIVLAGYDKETGPSLYFIDYIATLHKLDKAAFGYGAFFSLAMMDRHYRSDMSVEEAVDLVDKCILEIRSRLVVAPPNFVIKIVDKDGARTHAWRETVKETPMSTA >KZM84659 pep chromosome:ASM162521v1:8:17402884:17417180:1 gene:DCAR_027919 transcript:KZM84659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALKEQQRDLENKANDLSKLQKGKSFFIHCSSLNIAKNHQVRKKYTIQLGENELVLKELDLLQEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDAALQDLEEKQTSKKDAIWHDRDYTVETFVLRGQREGQSLAMSFTSTKNFLQRFCVDDFQTRTGAQSSFFSNGLLPSLGANINQGTKLRKNIISPFNPRYRAWEMFLVILVIYSAWVCPFQFAFLTYKQDALFIFDNIVNGFFAIDIVLTFFVAYVDSQSYLLVDSRKKIAVRYISTWFIFDVCSTAPLQPISLLLTEHSSGVGFKVLNMLRLWRLRRVSSLFARLEKDIRFNYFWIRCTKLITVTLFAVHCAGCFYYLIADRHPNPERTWIGAVYPKFKEESLWNRYVTSMYWSIVTLTTTGYGDLHAENTGEMLFDIFYMLFNLGLTSYLIGNMTNLVVHWTSRTRDFRDTVGAASEFAKRNRLPPSIQNQLLSHICLKFRTDGLKQQDTLSSLPKAIRSSISNHLFFPIIRNARLFQGLSHDNLFQLVTELEAEYFPPKEDVILQNEAPMDMYILVSGAVDLIVNIDGHDQVIGKVTEGELFGEVGLLCHRPQPYTARTTEISQILRLNRNALMNIIHENSDDGRIIMNNFYKDLENSGLQSHKRPGSIYSEQLDVRAEGENYYHANQIYDLSGEPLIQGNSVAAENDRTKSGYGMEVKSIAEDDQTALHVAVRTGHPENVRILLEGGANVNKLDAKGRTPISLAENQGNKCIYDLLLSYQNTRSTNEQKIELLEESSYETRNKQFKETHTGVTTCSSSYQKDSLCSSSEALNHSAEAEVRKINTIRVTIHMNNASQKQLAKLINLPGSIDELFRIAGQKYGGYNFTKMVNSENAEIDDLSVIRDGDHLFLIPEQSETMSDMRP >KZM84863 pep chromosome:ASM162521v1:8:20254346:20254849:1 gene:DCAR_027715 transcript:KZM84863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKGSQKATVWDCGSSLYDSFELKSFERQLDSAISSARSLSMPHLTDTRRFPQPDSGQHQTQYDPPQISSKKSSKISRSFNKLMRTLFRTKQSPSTLFRVQSQRAYHDRVYDRYGALTTIPEVSENANAGDYEGGFSPEMRSASNLVERSASERFAATSVGISCA >KZM84590 pep chromosome:ASM162521v1:8:16598210:16599660:-1 gene:DCAR_027988 transcript:KZM84590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSCWLPFQLKQLYQLYLLNLAENANGSSTLASQRAHCHISNLRFGRFNENKSGCYSLRTAASGRFRRRDDEKITQSSDSKSANQDEIISLFRRIQSSISKEETMTRKQSSGSDEEKPSPESLLDVLQQSSKQMKANTLNERGEKVLSIREGHWKNDGKTDFPSVPDLKLTRPPSNFIKRSPIPSTLSSRPETEDNRESLDAGSEKEFVSERVEVMKLPALKELAKSRGLKGYSKLKKSELIELLRP >KZM84421 pep chromosome:ASM162521v1:8:14116307:14117923:-1 gene:DCAR_028157 transcript:KZM84421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFVSTSLPSLAYFLVIIVFSSVPTSSAADNFNDCLIEPHFVGITTAEVVYYPTNTSYTSIFQSSIQNLRFLSPSTPGPLAIVTPVDEAQIQIVLGCARDFAVQVRIRGNGHDMEGLSYRSPVPFLLIDLTNFREITIDVVNRTAWVQAGVTVSEFYYRIAEKSSALGFPGSTWPSVGIGGFLSGGGYGTLMRKFGLGADNVIDIRFMDKNGNIFTDKQSIGADVFWAVRGGIASNYGIVLAWKVQLVPVPATVTVFNVRRTLEQNATSLVHKYQSFAPSTDRNLFVRARITPENLNADGSQKTVAVYFQALYLGRASGVLKIMQNNFAELGLVKQDCLEMSWAKSALWFAGDVGFPKGDSLEQLLNRELAPKLYIKAKSDYVVEPISKNGLKQIWQRLMEMEQGATNLVMTPYGGKMSTFSEGATPFPHRIGNLYMLFQGVYWNGSTPVETQNSRLAWLRSLSNDLTPYVSSNPRRAYVNYNDLELGVVNSSYAEASTWGTRYFNNNFKRLVQIKNRMDAENFYLHEQSIPPFPLT >KZM84709 pep chromosome:ASM162521v1:8:18064885:18065559:1 gene:DCAR_027869 transcript:KZM84709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASDVGYKVSQLFGHRNFSTWIKWTSDAVYKWVPHEKNPSGKVMATFMSTPPVPTFVAFYPRDYNIVAVGM >KZM84436 pep chromosome:ASM162521v1:8:14483483:14486826:-1 gene:DCAR_028142 transcript:KZM84436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSETTQTIHSSFAQQNIVTDKEALISFKSKLDSVESQTYLSSWNNQNSSPCNWTGVSCNGFGQRVISINLSGFGIEGTISPHIANLSFLRTIDLGNNKLRGEIPSQIGNLFHLRVLNLSVNSLEGVIPFNISKLSKLQTLDLMSNKMTGQIPQEIGRLSKLEVLKLRQNAFWGEIPRSLSNLSSLTDLDLGTNSLTGNIPGELGKLRNLEVLDVTINGLTGTVPPEIYNLSSLVKLAVASNLLYGEIPYNVGVKLPNLLTFNYCFNNFTGRIPGSLHNLTNIQVIRMAHNLLVGTVPPGLGNLPFLQMYNIGFNKIHNPPDDGLSFITSLANSTRLNFLAVDGNAFKGVIPESIGNLSKVLSNLYIGKNRIHGEIPSTIGLLSSLTLLDLNDNSVSGKIPEAISHLQELQSLGLARNRLSGIIPNSLGNLKKLNKIDLSGNEMVGQIPISFQNYQSLLSLDLSNNKLNGSIPKENFLDGPLPEVGVLEKVITIDLSSNRLSGNIPQWFESCNSLEKLFMANNYFSGHIPVALAEVKGLEVLDLASNQLSGSIPPGIQNLEALHTLNLSFNDLEGKIPSMGVFRNMSNVHLEGNPNLCLHSRCEKSPNNRRLTEIKVILPILAAAAVCFLIALYMVLKTKKTKVNVKCPDLQNGKPEMISYHKLREATRHFDEENLIGSGSFGTVYKGYLEGNIQVAVKVLNIETSGYWKSFVSECKALRNVRHRNLVKLITSCSSLDMKNMDFLALVYEFLNVAGALNYLHHECEVPIVHCDLKPDNILLDKEMTAKVGDFGLARVMQERMSNPVSISSTFIVKGSIGYIPPEYGLCEKASTPGDVYSYGVMLLELFTRMSPLQECFSGESNLVKWAESSFLEAEVQILNIQDEAKNEETSINPEAHYECLMKVIKVGLACAKDSPDGRINVKEALRSLINVRDLFLKSELYTEDEEKS >KZM84676 pep chromosome:ASM162521v1:8:17606720:17607877:1 gene:DCAR_027902 transcript:KZM84676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSDLAHKIFPEPCNILNDDIDHFDSLPDSILLLIFNQIADVKALGRCCLVSKRFHFLVPQVDNILVRVDCVISDDDSSGGSSSSSSVSKSHTPFSSLFRFVFGGIVKPLQSLTQFLQFKRSVNGTGFAASSSSLSVNGEDYDELDQGGVTHHSPTQVLKNFNEIKILRIELPSGELGVDDGVLLKWRADFGSTLDNCVILGASSVMPSKGLDGNGVNLINEDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIISEHKTLDSLVLTDGDGQGVLCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPQLELPDGTVLKGATLVAIRPREQSVVKKEGADGAWVSSAFEEPYGTAAKMFIKRRTYCLEMNSF >KZM85439 pep chromosome:ASM162521v1:8:25783835:25783999:1 gene:DCAR_027139 transcript:KZM85439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYTVSVLKIEEAEARRLAAIRRREWIIRFAGMMSSILHKQEEEEKKAEEESSS >KZM85088 pep chromosome:ASM162521v1:8:22587531:22596601:1 gene:DCAR_027490 transcript:KZM85088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFAGCIQKSPLSVAHKLPHKPLSFAPVSLISYKSSSHLVCSSVSLSSPANSGAGVPLFGGVRDEKNLKDRSAFAQTEAVRHLTGSLTSAAGFRFAVVVARFNEIVTRPLLNGALETFSRYSVKDEDIDVVWVPGSFEIGVVAERLGKSKKYDAILCIGAVVRGDTTHYDAVSNSAASGILSASLNSGVPCIFGVLTTENMDQAMNRAGGKSGNKGAEAALTAIERSVSYLSAGVRSCKPLDPEEQRLELQGWKLDLGFRKNSSAVNVGTKDISYVRNVREDDENEEDDDDSDMDWEAEFIGDLDPLGFLPPKKRKEQKESKLLQDTENMDWCVRARKVAVKSIEARGLTRKLENIVNRRMNKKKKKKPVLNKKKGDKVLKVDIDDSDVESELEEDDIGLVNLNTLQDANELKNAWEELHGEFEQLDYLRKILEDHIGGGSDSDTQ >KZM83716 pep chromosome:ASM162521v1:8:741413:741865:-1 gene:DCAR_028862 transcript:KZM83716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPPNDSPKPIPIPPYMKAISGSIGGIVEASCLQPIDVIKTRLQLDRSGSYKGIVHCGSTVVKSEGVRALWKGLTPFATHLTLKYALRMGSNAVLQSAFKDEKTGYISNQGRFLSGFGAGVLEALVIVTPFEVSYIFAPSCLIQFDLDY >KZM84277 pep chromosome:ASM162521v1:8:11464657:11465953:-1 gene:DCAR_028429 transcript:KZM84277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVGGLAMHEILSKLGARETAIVSCVSRNFEEWASDDSLWAEFCARDLNLSSPLDPLGNLAPSFKTAYQAWRKSFLMYSWPLVVRVKTCWGRIITWLDANFPEVVPTLCKGATEDELDSLEKSLKLKLPLPTRLLYRFCNGQNLAQGEFAPSFPGSPLGLIGGYSFYGHLVNVCLLPLDLVISVTGDICDHLFPSAGPKYVVVAASTTESEKYFFLNCANGQLYVGTRNLFQDREMLPCVPPELIHSIHDAGDCQQQDAMLLWLEEHIRRLESGMIKVRVENNIRSINLFPEEPPLCSTAVTNGVQVN >KZM83693 pep chromosome:ASM162521v1:8:503042:503713:1 gene:DCAR_028885 transcript:KZM83693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSQPANLPPIITQSSAAPGGSVDPQPPVANPAVRAFFTNISETVRQRLADRRPWSELFDRSAFSKPESVSDATARIRKNYAYFRVNYLSLIALVMAFSLVTHPYSLLLLLGLLTAWLFLYLFRPSDPPLVIFGRTYSERETLGVLVLSTIVIIFLTNVGYVLISALMVGMAIVSAHGAFRVPEDLFLDDPDSAATGFLSFLKGATAAPPAAPVVTATVRV >KZM84969 pep chromosome:ASM162521v1:8:21217115:21232721:-1 gene:DCAR_027609 transcript:KZM84969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHQFGATADSLSKASTLVFRIGTDAHLYDDPDDVSIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNYFPQVVKNVASQSLEVKKLVYLYLLHYAEKRPNEALLSINYFQKDLGDPNPLVRAWALRTMAGIRLHVVAPIVVVAVAKCARDPSVYVRKCAANALPKLHDLRLEENTGGIEEIVGILLNDNSPSVVGAAAAAFASVCPNNLNLIGRHYKKLCETLPDVEEWGQIVLIEILLRYVIARQGLVRESIMASPYSEYLDSEIDGPDRDFAVKEETNVVSIGAYQSQLLQMASKSYLEGPEKYLSQMNFEDKGAFQSGLPKFTSAKNDDVKILLQCTSPLLWSHNSAVILAAAGLHWIMAPKEDLRRIVKPLLFILRSSSASAYVALCNIQVFAKAMPSLFASYSEDFFICSSDTYQIKALKLEILSNIATESSIPVIFQEFQDYVRDSDRRFAADTVAAIGLCAQRLPNVANTCLEGLLALTIPQSLNNDTESSGGDSIVLIQAIISIRSIIKLDPPRHEKDYVRDSDRRFAADTVAAIGLCAQRLPNVANTCLEGLLALTIPQSLNNDTESSGGDSIVLIQAIISIRSIIKLDPPRHEKVIVHLVRTLDSIKVPTARAMVIRMMGEYNSSGHILPKMLTSVLTYLACCFTKEALETKLQILYAAVKVVLCARGDDLCASKKLLSYVLELAKCDINYDIRDRARVIRKLLTQYLFSFELVEEARYISESKNMSCLLAERLFLEKPKSMSTEPNNYRFYLPGSLSQIVLHAAPGYNPLPEPCSLTIGDSSFGSNIVKGGTHSDLYGVDDSERASGSSDDDSNSSDGSEISEAGSSGNDVGDESGSASEGDENTGLLINFSDVGNAAYANLNGNSEVNDPQSRPNDFGELMSNRALESWLDESPDSSRNAPESSSFQNSSARISVGSLSERVKPKSYTLLDTVNGNGLRVDYVFSSEMSSKSKFVCLEVSFKNCSNEPKEKILLFDEDIEGGQDNSEQALRTNESSSAPHNNDVKVIVPVEEIPCLEPGQSSKKIMEVHFHHHLLPIKLVLRCSGLKLPVKLWPDIGYFVKPLPMDDEEFFRKESQLRGMFEYNRRCTFIDHVRELKDEKGEISLVKDKFLVVCETLGLKMLSNANLSLISVDMPVTTNHDDVSGLCLRFACEILSNSMPCLITVTLRGNCSEPLNTTVKVNCEETVFGLNLLNKIVSFLAEPPL >KZM85810 pep chromosome:ASM162521v1:8:28882915:28884106:1 gene:DCAR_026768 transcript:KZM85810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEDNLFYELLKEIREYPRRHRVVDRATDMVESMLEVEFSGEEGEQINDWKEIALMEAKMEKLCDLLHKTIVRTKENVERKQALTYQEMEVEREENEVQAATESDDDDEQPIYNPMKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNQSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEVTLVEEAKELWERIQERQGVNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >KZM86028 pep chromosome:ASM162521v1:8:30856278:30858579:1 gene:DCAR_026550 transcript:KZM86028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAILSYADDLFSDLLCGEDSGTLSEDLPECSSDIEFLADFEESIAGLIADERKFVPGIDYVERIRSQSVETCAREDSIAWILKVQRYYGLHPLTAYLSVNYMDRFLYSNHHLPAQTNGWPLQLLSVACLSLAAKMEESLVPSLLDIQVEDAKYVFEPKTIRRMEFLVLGVLDWRLKSVTPFSFLSFFAYKLDPQGTYTLFLISKATEIILSNIQEASFLEFRPSCIAAATILCAANDIPNLSPINADHAESWCDGLRKDKIISCYRLIMQGVGVDKKTKKRSKPLPQARVAARVAARACVGSSSSSSSSSSSSSSLSSKRRRLNNSLWADDDRGSCD >KZM84429 pep chromosome:ASM162521v1:8:14363284:14365793:1 gene:DCAR_028149 transcript:KZM84429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGLPADETQVRLQGKGSAMAVSWVLGVGALASRNSIFTIGDYYYKLFPDYHPDRVLTLVYQTLALSAMILFTYKESKINTRRRNMSGYILFFLTTLALVLLDLITSGKGGTRNYIVICVFVAAFGVADAHVQGGMVGDLSLMCPEFVQSFFGGMAASGALTSALRLITKAAFEKSDSGLRKGAIMFLAISTLLELVCIYLYAYVFPNLPVVKYYRTKAALEGSKTVSSDLVAAGIQTNASEQDVLKPRESLCKKELLFQNIDYAVEIFLIFLLSLSIFPGFLYENTGKHHWGSWYPLVLIAVFNLWDLIGRYIPLKNSIKMESRKGLLLATLSRFLLVPAFHFTGKYGNPGLMLFLVLFLGLSNGYLTVCVMTVAPRGYKGPEQNALGNLLVLCLLGGIFAGVSLDWLWLLD >KZM84151 pep chromosome:ASM162521v1:8:9192626:9195067:1 gene:DCAR_028302 transcript:KZM84151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFKRSKNDIWICDDRKKKKQRVDIDIYTSKGLEWNFKNEEKQVDVMPPSTGIRSPIPEQKGNSKNKFEKGTTSKSGIDKTIHENTPGKQNLMESLLNSYQSIQQTLLLHIMHATKTDAPDDKLESLKNSFKKMNDQANLLIN >KZM85166 pep chromosome:ASM162521v1:8:23356806:23359592:1 gene:DCAR_027412 transcript:KZM85166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPLLGAFIAYLALSTIIVAPFLYVLASVISASEQVTEVKLLLEKEVFIRKRAEEELNNVKYQLMQRERSEASGSSEIIKLRKTLESEKRQKEKLEEEIAILQNQLLQLSFEADKS >KZM85947 pep chromosome:ASM162521v1:8:30206922:30210928:-1 gene:DCAR_026631 transcript:KZM85947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLRLLKLNNVRLSGGYKDFPKNLKWLCWHKYPLTSLPADFPLSSLVAIDMQSSKLQTFNQGNVLLGSLKFLNLSHCNGLVKTPDFATLCALEQLLLEDCARLIEIDESIGMAQGLVLINLKDCKLLKKLPENLCMLKLLETLIISGCSSLGMFPTDMRKMESLKTFHADGLNFGNSSDGIESCTNQNISWREFIWGLVSGPSTSPKLSLTSLPFNSITRLSLVHCNLQDSSFPKDFRVASSLEHLNLSQNPIRFLPDCFKGLEEIKRLELRNCNQLQTLEGLPKIESLAVTHCRLLEKIKAQCKTCSFPLHCEKLLEMDYGFKIAPIDEIDPELISNCGICDVESMKVIHIRLYNELTAAETRCSIQGVHEFPFGCKSLNIFYPGSSVPTWFTNQSYGPSLSFMISHSKLRYLNTCIVYKLSSVRRKRTFYLVFHNMTKHKMILYHPICYGIPEGDEYMTWICHWKLGTHEVGPGDEVKISVADHLDDDHFELKEIGVYLVYEEQEQEGFHLAKRQKIQETCEQISQYVIPSERKPSAYHGTTQVYIGGTRTPAPALVLPSWDRISQYRPLVGEIFWKLYGIGECSLFMASAKAANPENGTVLAENSCRKDAGGAFVLKSRDISVTDNLPRNRELHPNCVTGSVFHPCLLSHVTCA >KZM84874 pep chromosome:ASM162521v1:8:20364292:20365573:1 gene:DCAR_027704 transcript:KZM84874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRAGQHASVFGKYQEKAEFFMCSCLGKGSRNVQKTPGGLIFRQRWNNLQFVTSASFLMTVYSDYLTSARKTLRCPSGNVQPSEMLAFAKSQVDYILGDNPRATSYMVGYGNNYPRQVHHRGSSIVSIKVNPSFVTCRGGYATWFSRKASDPNLLVGAIVGGPDAYDNFADERDNYEQTEPATYNNAPLLGLLARLHAGHSGYNQLLPVNLPSPRPISVKPKPAPRHVKPKPAPKYRPNPAPVSSSKQIFVEQKMSASWVSGGKTYYRYSTVVTNKSGKTLRNLRISVSKLYGPLWGLAKYGNSYVFPAWIKSLAPGKSIEFVYIHSAPAASVSVSSYTLD >KZM85813 pep chromosome:ASM162521v1:8:28901455:28901778:1 gene:DCAR_026765 transcript:KZM85813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKRDNQEDKKYKGNMVDENMIVLRMRIHDIMSSEKSEELPTDWMEWEKQYYQESYKLDVSEALGFLQNLLMRTRPSLALGVLALLMICVSHSAFVVALNFMNMTL >KZM84890 pep chromosome:ASM162521v1:8:20588429:20589547:1 gene:DCAR_027688 transcript:KZM84890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLQLHSSNILKTTLYLLLLAITIGCASSARILEEADPQFPVPVNQPVASDPPEIDDPEEAPVVGSSTTLPSGQIPVPAATSPVANVAPIADPAIPLPSVPGPVIPPIATAAPVATTTSPSGPAGTAASGASDTGHPEATLAFFMHDVLGGSHPSGRVVTGIIANSDDNGLPFSKANSQVFPINGGVPLNNINNLVNNNNVPFLAGLNGSPTSTNLHNTGNNNVVSGGNSLPFVSAGQLPAGITLQQLMFGTITVVDNELTEGHELGSSVLGKGQGFYLSSSLDGTSHTLALTTLFHSGDHDHEHVDDSISFFGIHRTGSPISEIAVIGGTGKYQHAKGYATIESLHQVDQHTTDGVETVTHFTVYLTYEV >KZM83951 pep chromosome:ASM162521v1:8:3922222:3923504:-1 gene:DCAR_028627 transcript:KZM83951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLVQLQSKASQASQLVAKHGTSYYKQLMEQNKQYIQEPATIEKCNELSKQLFYTRLASVPGRYEAMQKEVEYVKQLWKNRKDLKVENLGIAALFGLECYAWYCAGEIVGRGFTFTGYYP >KZM84459 pep chromosome:ASM162521v1:8:14941311:14942267:-1 gene:DCAR_028119 transcript:KZM84459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKEASKPHLHYWGDTPEEDYYKLKNIKSTKSYYTSPRGLSLFTRSWVPSTTPPRGIICMVHGYGNDISWTFQGTPIYLAQHGFSCFALDLQGHGQSEGLKAFVPNVDFLVNDCVSYFSSVITQTPELKHLPKFLFGESMGGAICLLIHFQAPELFNGAILVAPMCRISDKVKPKWPIPEVLTFISRFAPTLPIVPTADLVEKSVKVPEKRVISGMNPRRYNGKPRLGTVVELLRVTDYVSSKLIDVNLGFIVLHGSADVVTDPEVSRELFEKAKSKDKTIKIYEGMLHSLLFGETDENVEIVRNDILTWLNDRC >KZM83735 pep chromosome:ASM162521v1:8:912890:913891:-1 gene:DCAR_028843 transcript:KZM83735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPNSKTINPNAHARNAQQPNHTKVCPKSSRDDGVIFDLDSYSEPELQELKKKFISNVERIRSFVKQIEARESNSVAKKRAMPMIPDKENNEKYNLDSTESRRVEAAMMKKCGVILDNLMKHKHGWVFNKPVDVVALRLSDYYEVVKRPMDLGTIKSKLGRRGYRSPHDFAKDVRLTFENAMLYNRKGEDVYVMASVLSELFGKLFDPAYERYENERRSVIVEHERFERQEAAEKYVVKKQRRVAEELENRVKRWEMSVEERDRLGHALQDLIGEYLDEILQIVAKRNPEMIKPDAEGEIELDVFALDNETLWDLDRFVRLNPKAGQKKKAI >KZM85709 pep chromosome:ASM162521v1:8:28037224:28047532:-1 gene:DCAR_026869 transcript:KZM85709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKRAYKLQEFVAHSSSVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVSFDSTEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISLDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHEFKSHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSMTFNPDGRTLLCGLHESLKVFSWEPIRSHDSVDVGWSRLSDLNIHEGKLLGCSYNQSCVGVWVVDISRVEPYAIVTPRSNSIQGTKANVSGSISVLTENTAKTGTARLSVSQNSDSVMKETKAFARLSVSQNSEPVQKESKSFTSTGTTPSTPQRVIPNAGPKPSTVGSMGIPAATAPNVGSKTSSVSSLSIPAVTAPRKNLPKIHATPNTSFFNKSDGVSVVVPRNSARLDQAAELRREGISGKPSLTMQSRPSAFRKYATTKDDPERASVSGQSETEMFTTNGFSGHDKSTYPSVQTSSMGTSASTLKDNRSSIHSKFQMNPVTEPPSSYPHESYETRTHRIDRDASSMENQRGVRTRSLVANWEKKDKAPGPESNPSGAVSSVNTLPINVEMEPGNEEDTISALMEPHNKFVGSLQSRLAKLEMVHKYWERNDIKGAIGMTQKMSDNAVLADVVSILTEKPDIVTLDICTCVLPLLASLLESEMDWHQGVSLELLIKLVRVFGSVIYSSLSASSSVGVDIEAEQRLERCNLCYVELERVKHCLPVLTRKGGTIAKSAHELSLALQEVS >KZM84552 pep chromosome:ASM162521v1:8:16166116:16167767:1 gene:DCAR_028026 transcript:KZM84552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARIRQRKLENQLKLETKLHDLEVEWSNGPQTMEEVLARIHLREEAAVKRERAMAYAFSHQWRATSNPALGSYELGKAIWGWSWMERWVAARPWESRALVQSSPKKPSPKKALNKQASKTAKILKSPTMKPINSVKSISPNGTVTTKPRKLSYGAADQQANTAKVSTTS >KZM85604 pep chromosome:ASM162521v1:8:27073010:27075869:1 gene:DCAR_026974 transcript:KZM85604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLATPAIGKSEKIQEFQIPVIDFSAERSEVCKQITKACEEYGFFKVINHGIPENCIKKLEDEGTEFFGKSALEKQAAGPAKYPWGYGSKNIGLNGDTGEIEYLLLNVNPDSISQVSQFVSSATDPMQFSSAVEDYVEAVKKLACNIAEMMAEGLGMTDTSVLSRLIRAVDSDLMFRINYYPPHDHQLNPNSIGFGEHSDPQFFTILRSNGVSGLQISLEDGVWIPVTSDPAACFVNVGDILQVMTNGRFKSLKHRAVNDPSESRMSFGYFSGPPLDAKITASPEFITPDKPSLYKPFTWAEFKKTAYSLRLKENRLKFYELSSKHE >KZM85997 pep chromosome:ASM162521v1:8:30589193:30592426:-1 gene:DCAR_026581 transcript:KZM85997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWVLKKIETLVSLLRWPGRPLWWGRRNGKILWQGKXIVVGKKKWEDIVAGKVKIKKKGNGTVECPKSLLLSELEFRKKGGMVLMQCGLGVGSYISVVGKPRKAHLEKNPKIGAKRGEESAMVSQFVIELQGLKVVDGEEPPKILHYNPRLRGDWSGDQVIEQNTCYRKQWGASLRCVGTKSKASEETVDGQVKCENWIQRHGNLSQKANTSWWRNRLKKLIDKIYRKRPFPFVEDKIFVLTLYAGMEGFHVSVDGRHVSSFPYRPGFTLEDATGLSIKEDVSVHSVFATSLPTSHPSFAPLGLLEMVPKWKAPPLFDSPVEFFIGIISAGNHFAERMAVRKSWMQHKSIKSSNVVARFFVAMHKKKDVNAVLMKEAHYFGDIVVVPYMDNYDLVVLKTLAICEYGVRTVAAKYIMKCDDDTFVRLDAVVKEVKKAREDKSLYLGNINYNFKPFRRGKWAVTYEEWPELSYPPYANGPGYIISSDIASYVLSRFEKHKLRLFKMEDVSMGMWVNKFNKSRPVEYVHNLKFCQFGCIEDYFTAHYQTPRQMACLWAKLKHRGKPQCCNTRLDL >KZM84547 pep chromosome:ASM162521v1:8:16096189:16097306:-1 gene:DCAR_028031 transcript:KZM84547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTVRITADTRMTVVCTIHQPSNDIFEAFDEIIAHGPNWLLGRSRKIKENNEQAVAGCS >KZM84918 pep chromosome:ASM162521v1:8:20844327:20846888:1 gene:DCAR_027660 transcript:KZM84918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLDMTLDQLIQKNKASNSSSSSVAARSAVRGRGGAAGKASRGRGRAAGANFSAPGPTRRAPNRVQPRATPYFTPQGLNQMQVQELLMPGGMDMESGTKLYISNLDYGVTNEDIKVLFSEVGELRRYSIHYDKSGRSKGTAEVAFAHQSDAFAAVKRYNGVQLDGKPMKIEMVGVKLVAPANIAMPPTTNGFPGNTGGAFRRQQRVAGRGRDRGSGGRGSAPGRGRGRGRGQGEKVSPEDLDADLEKYHQEAMQL >KZM85502 pep chromosome:ASM162521v1:8:26335444:26335726:1 gene:DCAR_027076 transcript:KZM85502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEARNLMNQGRPKDISKLTGVIYVAAQFKLEALLVQESQFKNGA >KZM83651 pep chromosome:ASM162521v1:8:113525:117461:1 gene:DCAR_028927 transcript:KZM83651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTSSMAAKFAFFPPKPPSYELVEDGDGKMKMSSGGMTERSNVDVLKIKTKRGTEIVGIYFKNPAANMTVLYSHGNAADLGQMYDLFAQLIGTLVGDIALWEVDSGKMALRPPLPWDTESLSPELLFEPDTWCIVSRHLTIAATHLSCCSVFIFVPCFVQHLGFYRL >KZM84217 pep chromosome:ASM162521v1:8:10507496:10511614:-1 gene:DCAR_028236 transcript:KZM84217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKIIKEVGNGTFGSVWRALHKQTGEVVAIKIMKRKYYSWEECINLREVKSLRKMKHSNIVKLKEVIRENDILYFVFEYMECNLYQLMKDRSKLFSESEVRNWCFQVFQGLEYMQQRGYFHRDLKPENLLVSKQTIKIADFGLAREINSSPPYTEYVSTRWYRAPEVLLQSPAYSSAVDMWAMGAIMAELLTLRPLFPGSSEADEIYKICSVIGSPTEIKWAEGLKLANAINYQFPKHGGVPLSSLIPCGSKDAINLITLLCSWDPCKRPTASEVLRHPFFQSCYYVPPSLRPKPTALRTPPAANRGSLEQKSVKRYSGDNLTTLKSSYALSSVKAHSNLNTGVQRKLEISQQDATKNIKTLKNPAVKQPRQRPSVGDSTPTIHTGKAHGPSATAEKLANMRIGPGKQPVKRTIPPPMRAGGLNGQPDLFLGRSQEVQAGRTYPKKVAG >KZM84271 pep chromosome:ASM162521v1:8:11387983:11391300:1 gene:DCAR_028435 transcript:KZM84271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPIKPIDQLLEKVLCMNILSSDYFKELYRFKTIYEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTSKQMHVLLDHPDSPYIRAVGFLYLRYAADPKTLWGWFEPYIKDNEEFSPGSNGRMTTMGVYIRDLLLGQYYFDTLFPRIPVPVMRLIQANLEKLKLPTKHAGVTGETTRGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRNMLPPSYDRNHDDDARRSPNRPRSKSRELADQEYGDRDRDRGRXGEEIGKEVETGNMTVMDTGIGTETEIEVVTGNEDKTMIEDPGKAAEVIIMTRAGAMTVVGIILKVLVEAGAEAGAGAGAGAGQDTAYGSVIV >KZM85321 pep chromosome:ASM162521v1:8:24681542:24681909:-1 gene:DCAR_027257 transcript:KZM85321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADPRHPAESTGARNESALKVPLDHGKSASYKIARQGCDLPKGRRCICGQDY >KZM84515 pep chromosome:ASM162521v1:8:15570780:15571004:-1 gene:DCAR_028063 transcript:KZM84515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLLVSQRFAHRNVHSESSPSSSCGALTLSGSPCFTHKSNATKQMEMPPAKSKEDFEDLLPALISADLVWP >KZM84638 pep chromosome:ASM162521v1:8:17249754:17249951:1 gene:DCAR_027940 transcript:KZM84638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKIAKVFTICIMLVAFSNLEASAALEDVAAPAPSPTSAGTALYVPAALAAVASLVAAVASLF >KZM84831 pep chromosome:ASM162521v1:8:19872538:19873026:-1 gene:DCAR_027747 transcript:KZM84831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASAEATIREGKPCKRIVLHYHDIIFNGTNKENATSAISANATALGKFKFGQLVIFDDPLTEDQNLLSPPVARAQGLYFYDMKSTYNAWFSCTLIFNSTQHTGTINMMGADMMDSETRDLSVVGGTGDFFMTRGIATFTTDTFQGAAYFRLKMDIKLYECY >KZM84281 pep chromosome:ASM162521v1:8:11520715:11527158:-1 gene:DCAR_028425 transcript:KZM84281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKAEEVIEQVVKLTLTDATLGAVDLALSPSFCSHLLEPDHLIDHAHDTASDFTQGVPAYPLYKSLASALCKSISCGAFCGPEKTTLIDTEESLYHKQQEEEEWSKLIKEKGFDLVQVLKMLNFDLHVQEPFFSQLKDGLKTVEGRCAVGDYKRISPGALILFNKCLVLQVQDVQKYASFSEMLEAESIEKVLPGVKTIKEGVQIYRNFYPEEMERLNGVLSIRVTKPTFQLSDAMASIIRGLSYKGVQQLLGIVHTEGTSLMALPPPRSSILSSFLSPHNANVKSSTLTVGARSLAKHVHRSSLRFWDSAKNLLALDVIRKLLANCCWLNLHIVPPHGVVFEIRVADGYGARWSEDGTKFIGFLEPYMENGHSKGWKH >KZM85289 pep chromosome:ASM162521v1:8:24438457:24438921:1 gene:DCAR_027289 transcript:KZM85289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSGQEKVPEASVIDNAAGTGRSYECNFCKRGFTNAQALGGHMNIHRKEKAKASKPSEVFRGTSFSNPFFSDHESNSNSKCGEADYSRQFNLSVPNPSQVPYVSLKNLGPNLSLDMGSWRSFKEDEVGRRDEKMENEVDLELRLGHDPNRPNN >KZM85370 pep chromosome:ASM162521v1:8:25229141:25231597:1 gene:DCAR_027208 transcript:KZM85370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDISYSNNSGISNGNGCTNGNGHANGMLVQRKSCWYEEEIEENLRWCFALNSILHTGASQYQDIQLLDTKPFGKALVIDGKLQSAEIDEFIYHESLVHPALLHHQNPTNVFIMGGGEGSTARELLRHNTIEKVVMCDIDQEVVDFCKSYLVVNRVAFCDPRLELIINDARAELERREESYDVIIGDLADPIEGGPCYQLYTKTFYELTVKPRLNKGGIFVTQAGPAGVFSHAEVFSCIYNTLRQVFKYVVPYSAHIPSYADIWGWVMASDTPFVLGADDLDLRMKLRIKGENRYLDGKTFTSASTLSKAVRKSLDNETHVYTEGTARFIYGHGHNSATKHN >KZM84309 pep chromosome:ASM162521v1:8:11922235:11925959:1 gene:DCAR_028397 transcript:KZM84309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGPEEKLQGFVVGDVPTLYYIPDFLTHPQHDQLLDHIYQAPAFKWKTLKNRRLQNWGGVVHEKGLLAQELPPWLTNITQKIFDESRMFPSAINHVLINEYQPNQGIMPHQDGPAYFPVVAIVSLGSPVVMDFSPHLGLTNSTNQSGNGMEDIVSGTGAPDRNLQKTYKPFSVLLMPRSLLIFKDKAYSEYMHGIEDIPVQRGDRVVNVGEFLKYHGANQITGSEDLCEGTDVDLNSIRRTTNRISLTCRVVPKVNKKLFKF >KZM84657 pep chromosome:ASM162521v1:8:17373802:17374113:1 gene:DCAR_027921 transcript:KZM84657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFDTVWPITCSTKFLTQVNSLDEPRVVEPMRLPTIEEVRGQDIWNNCDVRGVTTGVMGLY >KZM85596 pep chromosome:ASM162521v1:8:27000365:27005413:-1 gene:DCAR_026982 transcript:KZM85596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSKASTHSPMKQNESQTSGQDQGQFSGTRNVRETVSKAVAQYTTDARLHAVYEQSGESGKSFDYSQSIRTDNDSVPEQQIVAYLSKIQRGGLIQPFGCMVAVNESTFQVIGYSENARDMLCHSPQSVPNIEKPEFIRIGVDVRTLFTPTGAASLERSFRAREIALLNPIWIYCKNSRKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISHLQSLPSGDIKLLCDAVVHNVKQLTGYDRVMVYKFHEDEHGEVLAESRRPDLDPYLGLHYPATDIPQASRFLFQQNRVRMIVDCHATSVPVIQDDSLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIVNATGEEGTRGRNSTRLWGLVVCHHTSARSIPFPLRYACEFLMQAFALQLNMELRLASQMLEKHVLRTQTLLCDMLLRDSPTGIVTTSPSIMDLVKCDGAALYYQGKYCPLGVTPTEVQIKDIVEWLLAFHGDSTGLSTDSLVDAGYPGAASLGNEVCGMAVAYITSRDFLFWFRSHTEKEIKWGGAKHHPEDKDDGQMMHPRSSFKAFLEVVKGRSLPWENAEMDAIHSLRLILRDSFNNAEESNPKTTALAKVETTELQTINELSSVTREMVRLIETATAPIFAVDIEGRINGWNAKIAELTGLSVAEAMGRSLVHDLAYKESAETVHNLLHNALRGEEEKNIEIKLKTVNGETDSAIFLIVNACSSKDYTENIVGVCFVGQDVTVQKVVMDKFINIQGDYKAIVHSPNPLIPPIFASDENTCCSEWNRAMEKLTGLGREDVIGKVLVGDVFGSSCRLKGPDALTKFMIILHSAIGGQETDKYPFSFFDQSGKFVQALLTAHKRVNMQGQVIGAFCFLQIASPELQQALEVQRQHENKSYGRMKELAYICQEIKKPLSGIRFTNSLLEATNLTEDQKQFLETSAACEKQMLKIIKDVNLEQVDDSSLELEKADFLLGGVIDAVVSQGMLMLREKGLQLICDIPEEIKTLCVYGDQIRIQQVLANFLLHMVRHSPSPQGWVEIKLQISLKQISNGVTSMRSEFRIVCPGEGLPSELIQDMFNSSAWVTQEGLGLSMSRKILKLMDGDVQYIREGERCYFHIILELPPPTMQSSSNVMCKSFKQVFTCLQKSKVSTI >KZM85163 pep chromosome:ASM162521v1:8:23338352:23341618:1 gene:DCAR_027415 transcript:KZM85163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSVVDVEELVQFGWNERANWGECRICQDDDSISNMEAPCSCRGTLMYAHRKCVQQWCNEKGDISCEICHQPYQPGYTAPPSQFEATTIDIGGGWQISGTPLDMHDFRLMAIPEGELDGDDDDDEEEDDSDLVNDTGAAFFRAVALVLMALLLFRHAFVLSDYEEEDNGATFFTLIMLRTIGFILPCYVIAWGISLLHHRRQKQEAAAVAATQFAIALQTGQVRILHCTLPSAHSTTPPQEHV >KZM85104 pep chromosome:ASM162521v1:8:22771230:22772291:-1 gene:DCAR_027474 transcript:KZM85104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNISTHPEIPGEKTKTGTALVETATAAIQGFGPINKIHQHLCAFHFYGHDMSRQVEAHHFCAHQNEEMRQCLIYDSPNKDARLIGLEYIVSENLFMTLPDDEKRMWHSHEYEVKSGVLFMPGIPGAIQRQDLDKVAKTYGKVIHFWQVDKGDDLPLGIPQVMMALTRDGQLYQSLQQDVETRFGVSFENERGNRKYMTGPEHGIHPMANAGGKGIKTVLRETDCKPVDSVPRVFV >KZM85693 pep chromosome:ASM162521v1:8:27914733:27919339:1 gene:DCAR_026885 transcript:KZM85693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLISDFTNFPVKFDVDIRSTFVAEVDTSKNHQKRLEQHLFSLFLQATKLWLELEEGDEATGKVWKKVELKFARKSNNQPKETAKRPKTISNDKESDDFTVSIVHEEDFIVPQINTGGNEDDEIMLDTTGANEDDEIMVDTTGGNEDGQNIGNEDGEYIPDTTDGNEDGKNIADTTINNEGAENVAEDAQENLNNRKTKTTKFKRKKENDVENEANRRKRVNILYPLVKYTKDNIQKIEGAKHFNRPKDEVKLRVSPRILSEMIFKLTEEQRKWVQRSGFGLLFNFELEMLPAKLAYNVLQIFDHNLVSLKLKNNDIQITENDVFDVLGLPYGGIKIQLADETKFKQREETWNAQFANEKEREQITAQMLVQKMRKQGVSDTFKLNFLIVMSNTLIGTTSSAYVDKQLLRIDDDLEHLQKYNWSEYLLHYFVIATECWNRTASTFFRGSLIFLTFLYVDRVRHMGIKLVERTLPSYIGWTHDELKERQRMEVTDGVFGVGSLVPPIREILKEIDCSKKENDCSKGQAKKNEDEDEWDDPELWKQMDEVVKIHKKKKNSKTTQQTDDMAVDDTDQEPAAEDVIE >KZM85575 pep chromosome:ASM162521v1:8:26867233:26868639:-1 gene:DCAR_027003 transcript:KZM85575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTSEASSLASLELLNTFGCRLKRLRVEQGNNICYNAVSVPSRKELSTVDIIKVAMDKFLGLSSQSCGDPCMLVHSGYSGLSSEIDDEVEPVLFLLASADKVANEQFDRARKLLSMCRHLASCTGSPVQRLVYYFAQALEEKINRKLGIVSSEGRDDCKRWLLSLEEATITLQPPLVAFGLAVPSCLVYKSAGIQSILEAMASATRIHLIDLGLRNGMHWPFLMQALAVRHECPIESLTITALVTSSEEMIKDTGKRLSQFAYTLGLNFYFKIARVPDINDIKEDSFEIGAGERIGVYSSMLMRNVVGQLGQFETLMRVLKNLHPAVMVMIELEAETTSADFMDRFIGGLIHYSALFDAVDLCLGDSNPQRMPMEGYYFCPGIRQIVTFDVEERAAWDLKIKDWRALFNSFNIVEKELSSSSLYQASLVIKNSSFSSLCTLSMDGKSLLSEWRGTPLFTLSAWEFLP >KZM84615 pep chromosome:ASM162521v1:8:16847638:16862347:1 gene:DCAR_027963 transcript:KZM84615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFTLLKQSSLAPDDDRANEEEEDQVDIDPRVNLMYLANEGDLDGIKELLNSGIDVNFRDIDNRTALHISACQGKSEVVELLLSRGASVDSRDRWGSTPLADAIHYQNHDVIKLLEKHGAKLPVAPMHVKNVREVPEYEIDPKELDFTDSVELTKGTYRVVSWRGTQVAAKKLAEEVTNNDDKVRAFIDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLCAYMKEKGPLRPLKAVRFALDIARGLNYLHEIKPEAIIHRDLEPANILRDDSGHLKVADFGVSKLLKVTSRVKEDRPVTCQDTSCRYIAPEVFGNEEYDTAADVFSFALILQEMIEGCPPFSAKQESEVPKAYAAKDRPPFEAPAKYYAHGLGELIEECWSEKPANRPTFKKIIPRLESICSTLIQRNRWKAKPIRCFEKLEAMWKKDHSSRGSHSRSSRSTNR >KZM84830 pep chromosome:ASM162521v1:8:19868555:19869121:-1 gene:DCAR_027748 transcript:KZM84830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQALLLPLFFLLLVGFSAAHSRKIIQSHKPCKRLIFYFHDILYNGHNSKNATAAIVGAPAGSNMTILAGQNHFGNVVVFDDPVTLDNNLHSPPVGRAQGFYMYDKKEIFTAWLGFSMVFNSTQHRGSINFAGADPLMNKTRDISVIGGTGDFFMTRGIATLMTDAYEGEVYFRLKADIKLYECWSV >KZM84915 pep chromosome:ASM162521v1:8:20821731:20823699:-1 gene:DCAR_027663 transcript:KZM84915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTSLENIKRRFTYSEIQRITKNFEKIVGKGGFGTVYHGNIGDTQVAVKMLSATSVQGYQEFQTEATLVGYCNEDNHLGIIYEYMVNGDLEGHLAGKKLDFLSWEQRIRIAIDAAEGFEYLHHGCKPPIIHRDVKSTNILLTENFQGKLADFGLSRVIPFEAGSHITTVVAGTPGYLDPEYYRSNRLTEKSDVYSFGIVLLEIITGRPAIGIDDEREHIVQWVRSRIEEGNIKVVVDSRIRENVDVNFVWKAVEIAMLCVSIASDNRPPMNFVVNHLKESLSTELAWDETRKKELIGVMSLNLDSDVSGPQPR >KZM86106 pep chromosome:ASM162521v1:8:31380643:31386868:1 gene:DCAR_026472 transcript:KZM86106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRTSFSLLSKLTRHRRQLAYKNPIFLHSTTILTSPSSPFLRRHSFSSNHPPDFKPEQVSTRPEFSDHGFDYGLFNTVPDAVDEQSDFKPDPDSVTIRPDFSDQGFDSEIGSTMAGVVTEQDSIFPIRALISVLDGYHDFSGFSWWVIISSSVFAFRIAMFPVIIYQLSMLKQISMLLPKLPPPFPRPFSGKSYVEQFKYFTKERKAVGCPSLKCHQQKALPLPRLASASLNEGWKTDATRKARYPDGLPKLAGALVKKVKSFRDLDDAEDDENGGFKPYMRSRGRRAKRKADKQNKP >KZM83782 pep chromosome:ASM162521v1:8:1560423:1560854:-1 gene:DCAR_028796 transcript:KZM83782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNPFDGLEPVLPHTRLTSSQEPAFLPSNPDAGVGKYLKGAYGERLVPHQCGYGCCGPTEKMSKSSLLGPDFSDYSEPPSFSNLELAALAADISKIAWHKSGFESSNLKAPSDYVSGRLMISGGSHVQMEFSDESRKTVHSHT >KZM84164 pep chromosome:ASM162521v1:8:9413426:9413788:-1 gene:DCAR_028289 transcript:KZM84164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSAVSMAMPITPVSHKKVPGSDAFFKPLPVRPSKAMVASKASESLKVSASFKEKAITGLTAAALTASMVVPDVAEAASGVSPSLKNFLLSISAGGVVLVGIIGVVIGVSNFDPVKRG >KZM84775 pep chromosome:ASM162521v1:8:19048497:19050804:1 gene:DCAR_027803 transcript:KZM84775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIILRYCEAARARGPLSGLASPAPSPRHSDDPTNGTNWAVLVAGSSGYDNYRHQADVCHAYQILKKGGLKEENIVVFMYDDIAYNEYNPRKGVIINSPHGEDVYAGVPKVLTHLRSLSISDTPSVPGGSGKVVDSGPNDRIFIFYTDHGGPGILGMPNFGVLYAKDLIDVLKLKHKTGTYKEMVIYVEACESGSIFEGLLPDDMNIYVQTASNATENSWATYCPGNLDHPPPKEYTTCLGDLFSVAWMENSETRGSKDETLEQQYLKVSKRASNYSHVSEYGAKEMHKEAVSLYQGHNPASKTSQLTESNSPMAATYQREADILSMWALYKNSPNDSDKTKILKRIEETTAQRRHLDDSISMIANVLFNSGNANSIRNRVREPGSPVVDDWDCLKSMVGIFEKHCGPLTQYGMKHMRVFADMCNNGVTGLDMEDTCMAVCRKGSN >KZM84724 pep chromosome:ASM162521v1:8:18295644:18297193:1 gene:DCAR_027854 transcript:KZM84724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFVPAKCADEFYMMFTVGNDGNEEAFPQELRTIINQDYSVILRITEINTANKINIYSATNICKGFQKPETEVEEIMETSEQIGTEVQWRNQRGATAEATTSTYHLDGISTQTTRT >KZM84745 pep chromosome:ASM162521v1:8:18633470:18636740:-1 gene:DCAR_027833 transcript:KZM84745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCHVVLDHGDYVSVSTPFHWNCCIYFTWNGIFYQGDRAFGNLTFSVRHGKKKVFSICVGILLIAYGSGVVVGASSSFLICKIVSVIGHTTLALLFLLRAKSLNLDDDVATQSFYMFLWKVCDNNVVHHV >KZM84183 pep chromosome:ASM162521v1:8:9856258:9861566:-1 gene:DCAR_028270 transcript:KZM84183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENIKTKHPQLLYESKLYRILQGGTSLTGTTSMFSAGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHTKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKFRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFIRGSLPWQGLKAGNKKQKYEKISEKKVSTSIESLCRGYPTEFASYFHYCRSLRFEDKPDYAYLKRIFRELFIREGFQFDYVFDWTILKYQQSQMAAPPSRVLGPGAGPSSGMPPAIPNGDLRPDLVRSALNAAEEDIRSPGLSAVDCSHTRASAQGLNRESLSKQKSPVANDSVVSKDATAASSNILGRSSGSFRRSAVSSGRETYNVGRESDPTQSRTTDATPGTMQKNSSGQRSSPLGRSSDPKYTSSGKNNSSTKNYETSLKGIESLRFDDE >KZM85057 pep chromosome:ASM162521v1:8:22282462:22282983:1 gene:DCAR_027521 transcript:KZM85057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASLWKTFSRSSDIPSTNATLWKTISTTAVTASASAPNKQKLRKCTSLRVASSFTRVCLCAPISSYTEVFQSDLPPRRSFSYPRSKAFPSAPEPSFITARPSMEARRIFRGKSLKDDVLMKRFVLEEEAMMQIKRRNEMEVIRKRNAKRRKRLGPSPLSRMVLAEEEEEEE >KZM83960 pep chromosome:ASM162521v1:8:4014699:4015414:1 gene:DCAR_028618 transcript:KZM83960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAQFPSASRVYVQSEEQSHILAVIYSVPVMFCIILVMFLYLFVQQRASASSPPQPTSTQSSSLSISVRGAFLKENIKDKLPTIVFDEELRARASLCCVCLGEFELKEELIQVPSCKHIFHGDCIGNWLSSCATCPLCRCSVDVVDSITIVLPPPQRSAAARIPAATSIRLASPANLAY >KZM85192 pep chromosome:ASM162521v1:8:23567753:23572449:1 gene:DCAR_027386 transcript:KZM85192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSAAYSNNGNGTHDSDYKGGVSFLRSVLGSMEAVYLNRNPTAKAILELVHSTDNDHICYDHIAFRTFGVNGHGIDALAKFFLDFGYSQRDELCFPAKKLKAFWFSPPSLPIPEGGSGINGPLPRVFISELLVDQMSSQAQDIIRKYTNISDRACNHAALASALGSLTWEKPLHSEFQRLARESEYAAWTLVNGYALNHVTISVHRLTSQLKNIESFNRFIEENSFKLNSEGGVLKVSPDGLLLQSSTVADSFSFEFSDGVTDSVPCSYIEFAERTVLPQYKDMPEKEIKEYHRREGFEVGNADKIFESTSMDQLTRRTG >KZM85420 pep chromosome:ASM162521v1:8:25604572:25606057:1 gene:DCAR_027158 transcript:KZM85420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSITATVTLWEKLAELFDTSIYKQDDGPYIIIFTSTQVKKFKDDIGFSTTRNSRIYVNLDTPYVASLRERFSEISTKLEISVDSPPSMLPEEKMFINRMTIKELLVGDWSEDIKVLTVRAEIFGIDNANGWHYIGCKVCFTKATPQDGVYTCKNCHEVIDYPLIMFRIAIKVKDKSGDTTFILFNNIAEPLLDTSANKIVNKSNNNKDIPKEFESLLGKDIIFKLRLNDYNLVEGKHNFTVGEIFEPRQVLEEEYESKKSKEIHHDEKRRRISTKEDEENNKGCDEENGADKV >KZM85181 pep chromosome:ASM162521v1:8:23500952:23507346:-1 gene:DCAR_027397 transcript:KZM85181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDRGVYWHGCIYYLSEFDFTGSTVFDGLYFNVDEGRLGTFPRPPIDANLPLTGSYFGQSEDHLHFVGFFPSTTSLGVYELKSDCSEWFIKYLVDLAPISKAFPQMTKHKACYFGGFTFAFDVLALIRRENFQEDSFLVLEIPGKVIRYNLVDASLKEIWDFAVDFGISPYANLGHYDLSQTLQMRFNGWLRHKVRNVSDQINSKHFCVFTPSEHAIQLDVIGEGHELQRAPLSSETTLRDYSQHTCCHSPSVCKFEFLISFRSNQCPLFLSRGQKISTKNNSSLHANNYDPQTTASYSGENSDPITIQFSGGRTGHNITKEAPIKKALLNIMQDKLEDGHTLANYNIQKESMLHLVSRLRGGIIEHSLMAFARKYNQEKMICHK >KZM85718 pep chromosome:ASM162521v1:8:28077955:28083198:-1 gene:DCAR_026860 transcript:KZM85718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMELLNGEDDAAVTTPHAVPIAGKYKIVPLDDDVSELSELKLDDKDGGEKIGDFFGEVKSGGVEVDESEGERSPAAGGGDGGFEKLAVGEKIGAEETESVISPNSRLPKPEAPAGVVFSRSQSAPESGGGVSMPAIGRFFREKSSSLSSSITKGLSTLKDESEKFRLNKVTEFSLSGVKVIVQTKNEDDEVDIVEFKGQISFFSRSNCRDCSAVRHFLRERKLRYVEINIDVFPGREKELKERTGSVSVPQIFFNEKLVGGLVVLNSLRNSGMLEQKLKDLLSKKCPASAPAPPVYGFDDQSEEDEKMDEMVGIVRVLRQKLPIQDRMMKMKIVKNCFSGTEMVDAIMKHYEVSDRIKAVEIGKKLVEKHFIHNVFGEIEFEDGNHYYRFLEHETFIPRCFNFRGSTNDIEPKAAATVSQRLSKLMSAILESYASDDRQHLDYVAISNSEEFRRYVNLVQDLQRLDITSLSPDEKLAFFLNLFNAMVIHAAIRIGHPGGVVDRRSFNSDFLYIIGGHRYSLNDIRNGVLRGNRRAPYSLVKPFGGGDRRSEMALPKMNPLIHFGLCNGTRSSPTVRFYTPKAIESELRHAAREFFQKDGIEVNLAKRTVYLTRIMKWFDMDFGQEKEMLKWMMKYLDATQAGLLTHLLSDGGSVNVVYQKYDWSANF >KZM85199 pep chromosome:ASM162521v1:8:23641634:23646164:1 gene:DCAR_027379 transcript:KZM85199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQLDLIEQSFDFRYSLWVREALDGLPDCFTITDPCISGHPIVFASTEFLKMSGYSRHEVIGRNGSMFQGIKTNRRSVMEIREAIREERSVQVNILNYRKDGTPFWLLFHLCPVFSKEDGKVIHFVGVQVPILRKLKRSGTELAGNNGVRICENGSGLNENMFGCCRREVYSDSVAELDRVSALDLVLSDDKVDEPCKAGDIEKQKAVTAMNNIFSVLTHYSELTGRLVCGKRCCVPVTSPLGASLNISLGRIKQSFVLTDPHLNDMPIVYASDAFVKLTGYSRNEVLGRNCRFLSGQNTDPSTQFQIKESIRIEQPCTTILSLSHAPNSSMYYFLSNFDGAMCWKIYNFKRLKDMSSFWNYLHISPVRNASGKIAYFVGVQIDVTDKNQDEKCSGPHMRHLGAVGAVKVAVRVSSMGASTSM >KZM84039 pep chromosome:ASM162521v1:8:5803148:5803369:-1 gene:DCAR_028539 transcript:KZM84039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGPTLHPRYHQQDLPADSLEAIRQRVFPSSNTRQADAGVGPPKQRVPGMKDLDMPVNILCRQGSHGLVMTR >KZM85863 pep chromosome:ASM162521v1:8:29416464:29416670:-1 gene:DCAR_026715 transcript:KZM85863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKKHDYTSSNNIKPSSLLPQYSPKPLACNPSLGCSLTIPIAHHLHLLHHSPQSFKYYNTKKRELSL >KZM86074 pep chromosome:ASM162521v1:8:31211360:31212532:-1 gene:DCAR_026504 transcript:KZM86074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWGKIGAWAADAEQAELEEKEAAAAQAAATSSPSFPPLKEAVTSKPKKKHNKMTLQEFTTGGGGGAAARLTADEMMRLPRGPQERSGDEMYGKIGGGFSGYGGVRGGGGGAHRDGGGGAHRERGGYGGGFGEGASGRGRDVEVHSRADEVDNWAMSKKSGVGFDRGGGSKYGSFGGKADEVDNWAAGKKQYVAAAPPPARGSGGFGSGFRDFSGPGGGNDRWTRGGGGGGVGGGEEPQRPRLVLDKPRTDGVVNEGSGGAKVVTNKPNPFGAARPREEVLTEKGLDWRKVDMEIEAKKSGSRPSSGQSSRPGSAQSERGGEGGVALQQVVMKAKVNPFGDAKPREVLLEEKGLDWKKIDLDLERRRIDRFTTNFSSIVIYIALRNGS >KZM83949 pep chromosome:ASM162521v1:8:3906851:3909804:1 gene:DCAR_028629 transcript:KZM83949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSERMKGSDLLSKIEAVVDDPNVEEELDEFSPEGRFRLGAEEMDYDRNPEFAEIIGSCLDDPQKARSKMEERLRRKKNKILHTKTGSPIPMKVVMNKFEFSNSYIWFEFYNTLLEKDVSLICDTLRSWHIVGRLGGCNSLNMQLSQSPMDKRPVYDDIQGANVTPTTFYNIGDLEIQDNIARIWVDIGTADGLLLDILVNALTQLSSDFVGIKQLVFGGTEFENWRQNLTSEDAGYSGAEHDAERRHSRGVDGTTELFWHRIASSVTSKLKGLEIEMRSTGGTSDCGLVPPKATQQYQGHAIMQLLKDPAAFSLSNKAFLQGF >KZM85300 pep chromosome:ASM162521v1:8:24519283:24525103:1 gene:DCAR_027278 transcript:KZM85300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFFFDFLDFVKKPSIIETFVDILLCSVPIWLAVVIGLLIGWSWRPRWTGLVFLGLRPKLRFVWTFPPGFGARRLWLACPALSAFSVASRLWSNFRLSRRKSSAESKNRVDSGLDLGDLSESGGGEIRLINGNSTKEHDLVTKNDLEHLLHLLDGKGWDRPWQYLMDRSTSNMAYQAWHHEPETGVIYKSRTVFEDATPELVRDFFWDDEFRPKWDPMLGYFKMLNECPNTGTSIVHWIKKFPFFCSDREYIIGRRIWEAGNTYYCVTKAVPYSALPKRDKPRRVDRYFSSWVIKPVQSRKGDGQLTACEVTLVHHEDMGIPKDVAKLGVRHGMWGTVKKLHSGFRAYQVARKSEAPLSRSAQLAKITTKISIDGSSDSSESVSGAEEINGMMDVQRQGDRNGIDWKWLVVGGAVALAFGLRTGVIGKAVLFGAGQRMARGRRGAPRR >KZM83682 pep chromosome:ASM162521v1:8:420442:421603:-1 gene:DCAR_028896 transcript:KZM83682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSMPDNIGQGTQTLELETSLAPPSSHKRMEGKIAIVTGGAKGIGEATVRLFVQHGAKVVIADVDDAAGQALATALAPSVTFMHCDVTSEQDIKNLIDSTVSSHGRLDVFFNNAGLLGSQTQHKSILNFDVDEFDRLVSVNVRGMALGMKHAARVMIPQGYGCIISTASVAGIMGGLGPNAYTATNHAVVGLTKNVSCELGRYGIKVNCISPSGIATSMLVNAWKGGRGDEVGIGAMSSETGSSTEEVAKMEACMKKIANLKGPTLRAADVAEAALYLASDESKYVSGHNLVLDGGITSSKDFVGF >KZM83954 pep chromosome:ASM162521v1:8:3938315:3939667:-1 gene:DCAR_028624 transcript:KZM83954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLVLVYNFFIEANQNRWISRKMLSFGGNVDECGQYNWCKLLIDKLHKTHAFWAEHKWRNFAGPLAFLIYCYATCIRSNNSMERNITYPAYLSWTDDILREREKNETDEDKFDVGSLVLIEDEVQDVDKHVGSGGPSNTATESPRGILSAGWMLHMVTMMHDKRRSIPIVKAVVDVEKHVGPRGTSNTETESISRELSMKEMVVPDSVSGDSGEEFEGGCEGMDVDGGCYNTANTDTEPVQQVG >KZM84334 pep chromosome:ASM162521v1:8:12643109:12650504:-1 gene:DCAR_028372 transcript:KZM84334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVSQEAINQFQSLMDKVEEPLQKTFQNVHQGHPTEAMERFLKAREGNVSKGHKMLVDCLNWRVENKIDDILAKPIVPTDFYRAVRDSQLIGLSGYTREGLPVFAIGAGLSTFDKASVHYYVQSHIQMNEYRDRVVFPTATKKFGKHISKCVKVLDMTGLKMSHLSLIKVVKPLLQERTRNKVQVLSGRGRDELLKIMEYSSLPHFCLEGSGSARHAEKCFSLDHPFHQQLYNYIKQQSFLLDPVTPIRQGSVHVDFPEMGLEGTKVAKTLESELQKFRSQDGFPKSLDKQKSGIDIN >KZM84847 pep chromosome:ASM162521v1:8:20119672:20120595:1 gene:DCAR_027731 transcript:KZM84847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTKSKEARTVRDWESVEEESHLAISSGADSSPQIYALKAEASLNLGKHQEAYTIIQKGPNYDTNLCIQFLGATGCSDLLTTKAQVYMAASRFEEAVAAAQCAAKLDPTEEAKATAERALALASPRLEGNQLFKSLRFSDALKVYTEGLQHQALNSVLLCNRHQRTCQQIV >KZM84980 pep chromosome:ASM162521v1:8:21380416:21381153:1 gene:DCAR_027598 transcript:KZM84980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPGSSCGACKFLRRKCTSECVFAPYFSYDQSANHFAKVHRVFGASKVSKLLLHLPVHRRSDAAATIAYEALARMHDPVYGCVSHVFALQQQVACLQHEIDILNNQMANQAFQARNNGAFGATNNPSVGFQFDSQNNENMTNCATQSQMLLNGGVTADNQEFHCQINTTLPTLYGCEEHMYNVPSDIDLPESVSGGMNQEIYVPFLWTGSSCSS >KZM84514 pep chromosome:ASM162521v1:8:15569284:15569556:-1 gene:DCAR_028064 transcript:KZM84514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRIALIAAALLVALCSTTLTESFSTETMLDAGATRRFLGGNSHISYSALTANKVPCNQRGNSYYNCGATGKANPYHRGCTAATQCARH >KZM84371 pep chromosome:ASM162521v1:8:13254413:13261207:1 gene:DCAR_028207 transcript:KZM84371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELRETYSTGKTKSYEWRICQLNNLLKIAEFHEPEILQALHSDISKPEFESFVHEIWLIKTSCKLAIKELKRWMKPEKVGTTLVTFPSSAEIVAEPFGVVLIISAWNYPFLLSLDPVIGAIAAGNTVVLKPSEVSPATSSLLAKYIGEYMDSSAVKVVEGAIPETSVLLEQKWDKILYTGNSKVGRIVLAAAAKHLTPVVLELGGKSPIIVDSDIDLKIAARRIISGKWGCNNGQACISPDYIITTKDFAPKLVDSLKLQLLKFYGEEPLKSDDLSRVVNSNHFNRLIKLLDDDKVSGKIVHGGQRDIVNLKIAPAILLDVPEDSLIMNEEIFGPLLAIVTVDRLEESFNIINSRPKPLAAYLFTNNKKLKEKFVGDVSAGGLLINDTTLHLTVPTLPFGGVGESGMGGYHGKFSFDTFSHKKAVMYRSYLGDASERYPPYTTRKLRFLKAVMNGSFLDIFRAILGWP >KZM84522 pep chromosome:ASM162521v1:8:15759663:15760115:-1 gene:DCAR_028056 transcript:KZM84522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTKWEERLQALTHILTHPTTTPSLHSQFFIYTQLPSNYNLDYYPPILASKSTQLKWAFSLFLHKLLRFGVPQTSWRSKCPYQIPPPLILAKGVEEAKWDDDEGKKEYVIKRMRKRRLGNTINPLIPIMIPNMLVLSLLFWIPSPDNRF >KZM83655 pep chromosome:ASM162521v1:8:157450:168544:1 gene:DCAR_028923 transcript:KZM83655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFVVEKNSLKVTSPDKLKDTYECAIGNFGIPQYGGTLVGAVIYPKSNQKACKTFDDDASFKSKPGSLPIFLLADRGDCYFTLKAWNAQNAGAAAILVADDRVEPLITMDTPEEEDARADYLQNITVPSALISKSLGDSIKKALSNGDMVNINLDWREALPHPDERVEYEFWTNSNDECGPKCESQIDFVKSFKGVAQILERKGYTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPDQDFNKGYDGKDVVVQNLRQACLYKVANESGKPWFWWDYVTDFAIRCPMKDKKYTKECADQVIQSLGVDLKQIDKCIGDPTADVDNTILKTEQEAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICSGFEETTEPDVCLSEEIETNECLENNGGCWQDKAANITACKDTFRGRVCQCPIVQGVKFSGDGYTHCEASGSLRCEINNGGCWRKTQNGRTYSACIDDHTKGCKCPPGFKGDGVNNCEDIDECKAKTACQCPECKCKNTWGSYDCSCSGNLLYMREHDTCISKDVSAEVSWGFVWVIILGLAAAGVGGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQPEDPVHHSHGNV >KZM86019 pep chromosome:ASM162521v1:8:30806483:30807190:1 gene:DCAR_026559 transcript:KZM86019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQDLSTMSTTWDGPNLDDLSGKSCMKKKLRLFGIEVDPCRNVQITGDDRDESVNSSSTTISSDREKLCMERSGAKSTHEPESKKFECQFCFKEFANSQALGGHQNAHKKERMKKKRLQLQARKASMSYYLQPYQNHPFGYQASVPLFYDPSYNAPDFTICDQEPQISFSPYDQDSGSQWYSLPAHLPYQNDSRKFTLTHADQSGGNRAVAVKPASRFSGSQQNYQSLDLHLGLS >KZM83899 pep chromosome:ASM162521v1:8:2954170:2959717:-1 gene:DCAR_028679 transcript:KZM83899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDVVKQTSVPNNNKNPHKSNSKKRKPKEIGQELEEDPRVKVFRKEWFEGKDCLDIGCNSGLITINIAKKFSCRSILGVDIDTDRIEEAYWNLRRIVRESTSKPPAKASRLKDAKAVEVSDHCVTESPTEQKGKAGEGLSPCNLSERVSFRRENFVQSRISDDKRYHTIMCLSVTKWIHLNWGDEGLINLFTKIWKLLQPTAKNNYNTIEIFPESFQDILLDKIGFRLVEDISCNLSGSKSGFQRPILAFWK >KZM84976 pep chromosome:ASM162521v1:8:21343694:21344972:-1 gene:DCAR_027602 transcript:KZM84976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSHWCYRCSRYVRVLNQQSLNNLSCPDCNAGFVESIDNPNRNRLFMIGNRANLDQNSTPAIRRSRRTGDDRSPFNPVIVLRGNSDGSEQMGDSGRVLGNLFSCFGGSRSLDASSSSDSRVNVRSNSVTSVFSNSSSPRHMPFDRR >KZM84082 pep chromosome:ASM162521v1:8:7030192:7030551:1 gene:DCAR_028496 transcript:KZM84082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPQKRNSLNVDADDVFQLIDDYMPDRKEAMEEKLTELNIGISRISEQMEELTRCYKEFVANFVLQQKVLREEIQVLRRDAQSFCSELQDQC >KZM83795 pep chromosome:ASM162521v1:8:1693641:1693955:-1 gene:DCAR_028783 transcript:KZM83795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISDHKMIIAALVLYLALYGAVDVVNSTAQTCHDCVDLCLKNGKNDQKWEGLYANLPAFYKCEESCAANPENVEHQVASPIQSHSLQGLNNSIFFQQLFVSMD >KZM84314 pep chromosome:ASM162521v1:8:11976111:11976503:-1 gene:DCAR_028392 transcript:KZM84314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFDRAAKRIVGKIATKLIAGRIDDQASLKEYSDEFKAINEKKLTLMIELNEDNILLKSTMYIATDAFDSKITGSSKFEATTSELEVTGSKNVSLRSFG >KZM86026 pep chromosome:ASM162521v1:8:30841138:30842688:-1 gene:DCAR_026552 transcript:KZM86026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKGILVLSLLLALATTLECAHKSPGIKTTKAKTATVLSIDGGGIRGIIPGTILAFLESKLQELDGPTARIADYFDVISGTSTGGLVTAMLTVPDKDNRPLFAATDINKFYFENGPRIFPQDNVSAKGPKYDGKYLRSIIRESLGNIAMNQTLTEVIIPTFDIKLLQPTMFSTSDGEVSTSKNALLADVCIATFSNPTFLPAHYFETKFEDGKTRSFNLIDGGVVAVNPTQVAITHLFNEIVKGNFEYADIKPMDTTKILVLSLGTGTAKFEEKYNASMASKWSSIDWINPIIDSYSASSADMPDIEVTSLFQSLGAEKNYLRIQENNLVADTTSGDLATTENMKTLENIGNRLLEKSVARVNSDTGAYEPVEKGGTNSDALIRFAKLLSDERKIRKAD >KZM83813 pep chromosome:ASM162521v1:8:1845203:1846096:-1 gene:DCAR_028765 transcript:KZM83813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFLDLWLDITVSLHTSQCQLAQPLLEARGVGNIVFISAVDGVLALPEVSIYSSPKGVDTSVCNRLVTNGGSAMEIVADEGPCPAAPAQQAFRVPSTLAAL >KZM84462 pep chromosome:ASM162521v1:8:14964478:14968443:1 gene:DCAR_028116 transcript:KZM84462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATCRPASPLNSSKKNLAIKLPEKDHLKRQQSSDMSKMLGSSPRGWSPGGSPTMSELRNKILTFRDKLDLPPCNVSASVLQLVIVSVNDLHKLYPDVVAKNSMSETKGTSMNQALNAFCDAMRAVGDMWTNSNKWIESTKYSLVNADLEQLALSMLDDMIKLVKEKMSTMMDEDEDEDMKRCIPLGNGTENSSSESSTDRKTPQSESPLTPTSVLPPLRPAYSVPLLPVRLQSIGKLNPIDLKRLAFHFPQEASQDFHPLNDMYKTVEEAKAESEAKRHIEEATDTKELDSGLIIQETSVKRNSSLNQRSSPKRTAKSNLSIQPTASPKAAKMSSTVHPLESPKGSPIREKNSASTRSSVEPMSSVERSCLRSNSCSGCSTKTLSIEEQLPAHKHSNKPSNSATKAKKSQSLLSILVPPAPPSVASKEVINSPTVSTPVLPPPPPPTPTIESIVLKLPSSKTPKNVAISQPPPQSIAVPPPPSMPMTKGNMTAPPPPPPPLSSNGSAPPPPPMSLANRGAPPPPPGGGKFPRPMKGNSKLRRSSQIGNLYRQLKGKVEGSSLDGKSSQGRKGNTGSGASGGNQGLSMADALAEMTKRSSYFQQIEEDVKNHAEAIKEVKRNLSSFQATDMKELIKFHRDIESHLEKLTDESQVLARFEDFPTKKLEAVRMAAALYSKLEGIVNTLQNWKIVSPLNQLLDKVENYFSKIKGELDTLERTKDEESKKFQSHKIVFDFGILVRIKELMVDVSANCMELALKERREAKAKQLEVSSPRGDGKKMVSAKMLWRAFQFAFRVYTFAGGHDERADKLTRELAHEIETDPQ >KZM85795 pep chromosome:ASM162521v1:8:28704596:28705837:1 gene:DCAR_026783 transcript:KZM85795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLLGLLKVKVRRGINLAIRDAVTSDPYVVVSMGRQKLKTHVVPDNCNPVWNDEITLSVKDLTLPVKLTVYDKDTFSIDDKMGEAVVDISDYIECLRMKLENIPDGTVVHKVQPDRKNCLSEESSIVWNKGKMTQDMYLRLRNVECGELEIGIEWVEVPGCQGVM >KZM85638 pep chromosome:ASM162521v1:8:27452088:27452925:-1 gene:DCAR_026940 transcript:KZM85638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGCLGDLNSWDGNLGGVFGLYQGASNHEELHNLNKATVMIRRLKKDVLTELPMKQHSISKNFNLGFQPEI >KZM84136 pep chromosome:ASM162521v1:8:8754749:8755852:1 gene:DCAR_028317 transcript:KZM84136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNESETETTMNPLIIETTVIEPEVTVSEATVSRTKDAVIKPTVNDTIDERTPMETEVVVTEETVNTSVNEATVIAGDTTVNEADVTAGEANVNEVVPMETEATVNLESPMETETTVNPTIVTEVVDDDTYLATAHQAIQKEIEDAVMTTVRTTEVEEASDSASKNVPEEYIQGISSEKGSWVDKKIESSDAMRRHMSIRITEVGIDYENGKAVTYKLSHPRIRGHVNHIDFEKEFKNQRSEDTRSIYFFKLKRMIEFLEIDPTVTPKILKPVYDYLSTVEEEQVDAAPDDVIDLNADDKDEQPRQPSQRNPK >KZM84739 pep chromosome:ASM162521v1:8:18557309:18558705:1 gene:DCAR_027839 transcript:KZM84739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSCDKYRDFAVTKGYGVKGISDLGLKALPEQYIQPSEERIDMRKVLDKESIPVIDISNLDDPEVAHSIANAAEKWGFFQIVNHGVPMEVLENAMEATRRFFELPVGEKIKYLQENSPTKNVRFGTSFLPKADKVLEWKDYLSLLYVSEEESSNYWPSACRNEVMEFKKKSEIVVEKLLKILLQRLNVDLNSKESLLMGSQRINLNYYPICPNPELTIGVGRHSDVSTLTFLLQDSVGGLYVQKMETDHWIYVPPVDGSIVINVGDALQIFSNGKYKSAEHRVAANGSNNRISVPIFFNPRPHDIIGPLPEVLKNGEKAIYKSVLYSDYVKHFFRKSHDGKQTLEFAKI >KZM83686 pep chromosome:ASM162521v1:8:457942:458852:-1 gene:DCAR_028892 transcript:KZM83686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVEDSTNSPENSETLPPSAPPLPPPAPPVVANDVEQGETPSASVTKTPEIRRSWRREDLYKNASLALRVVGLLFSFLALIIMATNKHDGANFVDFDEYSYLLAVAVISTVYTGGQVYLQVHELATGVQTFSHKKLSLCSFIGDQIAAYLLISAGSAAVPITNSLRELEDDYSSGGSLFTDSSSAAIAMAFIAFLFLALSALVSGYKLSRQSYI >KZM85452 pep chromosome:ASM162521v1:8:25898124:25900468:1 gene:DCAR_027126 transcript:KZM85452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKEVNMSHSEPESSSKYEFPWRGFGFLRKFRKPPTGTHSYYPSLPSQKKLIKSKSRNSTKSMPPGLPSQLDSDFYCFESSWLNFTLSDLQNATNNFSRENIIGEGGYSEVYKGVLKDGKIVAIKRLTRGTPEEMTSDFLSELGILVHVNHPNIANVFGYGVEGGMHLVLPLSPHGSLASLLTDEKHKLKWGIRYNIAIGVAAGLSYLHEGCQRRIIHRDIKAANILLTEDFEPQICDFGLAKWLPDQWAHVTVSQYEGTFGYLPPEFFLQGVVDEKTDVYAFGVLVLELITGRPAISKSQKSLVMWVIRILKGNEGILGSGRRKFQKRPAFKRTCSADFSMMEDGNSPAPLNDLSPQNKLRLEL >KZM83809 pep chromosome:ASM162521v1:8:1802078:1806592:-1 gene:DCAR_028769 transcript:KZM83809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREFALNTGASIPSVGLGTWQSEPGLVGEAVATAIKVGYRHIDCAQVYGNEKEIGVVFKKLFEDGVVKREDLFITSKLWCSNHAPEDIHWPVRTKKGSAGFKPENFLPTDIPATWKAMENLVDIGKTRAIGVSNFSTKKLEDLLKVARIAPAVNQVECHPSWQQTKQMEFCKANGVHLSGYSPLGSPGTKWLKSEVLKNPVLVMIAEKLGKTPAQVALRWGIQTGHSVLPKSTNEARIRQNLEVYDWSIPDDMLIKITEIEQARLLRGDFLVHETLSQYKSVEELWDGEI >KZM84696 pep chromosome:ASM162521v1:8:17826799:17828308:-1 gene:DCAR_027882 transcript:KZM84696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDQLFQNASGVENRHQDLHPFDMVILREAFKMRETTDADLSYVEKGTGRQMPEMCGNSTDKILENHKIRDKEKSKDHTCQHHRKDSANRKDDEKTRKSHRDINTNNLQKLQDKKRKFEGVDISSIQRGRKTELDNLKAKIVDTRIAR >KZM83709 pep chromosome:ASM162521v1:8:679836:680774:1 gene:DCAR_028869 transcript:KZM83709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAADGPSIPFHHTTPPADLLVFLGVQVRDSLGFLDFLIIEFFQVAVKQKSLLSVWAADYRAEKSRKDAIVSKVDSSAMTAPLIKPKKEAPLKDTLVASRDAEEVIFIKAAQGKKAKKVFKLWKDSSARRTIISCIFGVDVDTVADYFYKKSNISVYKAHHNQPKPINVYKAHSKQSKPINKAAEDNFRQNGGVLVVTVDLLLRMDFGIPFELINYDLPISITEFKSLAKKASIVTTLIDKKSSALCLMKLEGEGYKVPAFMQAMIDQLIRETK >KZM84764 pep chromosome:ASM162521v1:8:18945829:18955636:-1 gene:DCAR_027814 transcript:KZM84764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPKTPVDIVRQTRDLLIFADRSASEVRTSKREEKMAELSKLLREMKVILYGNSEAEPVPEACAQLTQEFFRENTLRLLIICLPKLNLEARKDATQVVANLQRQQVHSKLIACDYLEANIDLMDILIAGYENPDMALHYGAMLRECIRHQVVARYVLESEHMKKFFEIIQLPNFDIAADAAATFKELCTRHKSTVAEFLSKNYDWFFAEYNSKLLESSNYITRRQAIKLLGDILLDRSNSATMTKYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPPDIITILVANRSKLLRLFADFKLDKEDEQFEADKAQVVREIASLEPKG >KZM84815 pep chromosome:ASM162521v1:8:19628522:19631273:1 gene:DCAR_027763 transcript:KZM84815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEHFPATIDEMSSKEKHSEVETSHSREKALLESVVGPIEPDNPFIVEQASAAMEELLSMAQAGQPLWIPNTDNGIERLSKNEYLSIFPKGIELEPMEMKSEASRQSAIIFINHTKLVEILMDVKQWSTVFSGIVSRASTIDVVSNGMDGNYNGALQVVTWVEHVEVDDRNVHQLYKSLVNSGLAFGAKRWIITLYRQCARLLSFQSNNIHAEYVRVMLTPEGRKSMLKLAERMVLGYCNGVGVSTEHMWTMFCGEGATDAKIMTRKNTDDPRTPAGTVISAASSFWIPVPPKRVFDFLIDVRSRSKWDLLTKVGQVQPIVHIANGRDPSSRISLLCLHNPGKSNKYILQESCADPTASYVIYAPVDRISMNVVLSGGDPNYVDVLPWGFAILPDGPGKNLGGILEVGSGGSLLTVAFQIKIRSVPPENLTSGQVATINKLIQCTTKRIKNALVSDSNV >KZM84132 pep chromosome:ASM162521v1:8:8602925:8603819:-1 gene:DCAR_028321 transcript:KZM84132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDRWNKLAGTQRICEIDVWTEFQDLTRDIISRAAFGSSYEEGRKILKLQKELQVLVMEAMQTVYIPGLRGRLVRFEETEWNPETETRRKERNDPELFYLFGFVAETE >KZM84234 pep chromosome:ASM162521v1:8:10647299:10648087:1 gene:DCAR_028219 transcript:KZM84234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPPLKNPRLPENEPTYTEPPELPEDIIFTKILPRLPAKSVGRFRSVCKAWRSLLSKTSFTKDHLNCTTQNPNDDNLIINKFITESNRKYHEIDVLSLSDLSETKLFDLYEYARQYPLFRLIGSIHGIVCLYLKVGIRNQFVLWNPVIKQAKAIASPEHSIGLWGFCWDEVKADFKVIECKYKSERFNLAKRTQSYSFGRVYVYSCNTNSWNLQADARSGQPVIRFSEHYDEEPHPGVPAAIVNGVPYWQYSQRLRRRSL >KZM83904 pep chromosome:ASM162521v1:8:3028462:3029094:1 gene:DCAR_028674 transcript:KZM83904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPSRNKRQLPISPDPNAKRAKRSPAASPTNGTKHPVYRGVRMRTWGKWVSEIREPKKKTRIWLGTFSTPEMAARAHDVAALSIKGTTAFLNFPELADQLPRPITCSPSDVQAAAAKAAAMDHLSPNSPATSSDEVAASPEELGEIVELPRLGGSFEEAADEFLFAEVDDGWFFYSPPWLHGVEDFAGGSMTGEIESGSYSFETLLWQH >KZM84468 pep chromosome:ASM162521v1:8:15014532:15016132:1 gene:DCAR_028110 transcript:KZM84468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIEHKYVEANGLKHHVAEIGSGSTVVLFLHGFPEIWYTWRFQMIAVAKAGYRAIAPDFRGYGLSDKPPVPEDTTFSVLVADLPPILEALKVDKVFVIGQDFGSMVAYRFALEHPERILGVATLGVPFMPPGPFTSHQTLPEGFYISRWNEPGRAEADFGRLSAKTVIKNIYTMFSRNEIPIAKEGQEIMDLVEPSTPLPSWFTDEDLAAYGDLYEKSGFQTSLAVPYRSLFAPEKESGKNAENPRIEVPALYMTGEKDYLFKFPGVAEFVKSGEVKKYVPNLEMIFLPEGTHFISEQFPDEVNHHILTFLQCNTQSA >KZM84872 pep chromosome:ASM162521v1:8:20315971:20316873:-1 gene:DCAR_027706 transcript:KZM84872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMQETSIPCAHFQGNNIGVSLSNLILASNTNTLDSIFSSCSSSTSPAFEQLGSSVYLTQRDLLQKFCQENPSKNSVSEPDLSRPLDISLYKNNYLAPPKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETPEAAAYAYDRAAYKLRGEYARLNFPNLRDPSKLGFGDSSRLNALKNAVDAKITAICQKVRREKAKKSGKKSCDSSSAGTGKISESEKSMKFDSNSSSSMINNSTSSNEMVSSSVSEEGLWMDVNSPYSVLGPCSGVPQELEFEGCSLAHMPSFDPELIWEVLAK >KZM85972 pep chromosome:ASM162521v1:8:30376190:30382173:-1 gene:DCAR_026606 transcript:KZM85972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTVSSAGGLLAMLNENHPTLKLHALTNLNAFVDYFWPEISTSVPIIESLYEDEEFDQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTLLAKAIDEYASLKTKAAESNKAADIDPRLEAIVERMLDKCILDGRYQQAIGMAIECRRLDKLEEAITKSDNVHATLSYCSNVSHLFVNRREYRHEVLGLLVDVFKKLPSPDYLSICQCLMFLDEPVGVAGILEKLIRSEKEDDALLAFQIAFDLIENEHQAFLLNVRDRLTQPKYLPAEPVQPVSTETESAQDANAATTEDVQMADGTQAVSEAVPASVPVVDPKEATYAERLTKLKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQSGSGGGSPYSEGGALYALGLIHANHGEGIKQFLRQSLSSTNVEVIQHGACLGLGLAALGTADEDVFDDIKNVLYTDSAVAGEAAGISMGLLMVGTASEKATEMLAYAHETQHEKIIRGLALGIALTVYGREEGADTLIEQMTRDQDPIIRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGMSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSKTRHDKITAVVGLAVFSQFWYWYPLIYFISLAFSPTALIGLNYELKVPRFEFLSQAKPSLFEYPRPTTVATTTSAVKLPTAVLSTSVKAKARASKKEADQKEKAEKSSATESTSGKGKSSGDKDEDSMQVDSPVEKKAEPEPSFEILINPARVVPAQEKFIKFLEDSRYIPVKSAASGFVLLKDLRPSEPEFLSLIDAPSSTASTGGASATAQQGSAASMAVDEEPQPPQAFEYTS >KZM85515 pep chromosome:ASM162521v1:8:26444146:26445068:1 gene:DCAR_027063 transcript:KZM85515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLMCFSLYFRVQICFDSSKGTLSIRQTSSVKDIETSRAKNKKKMREIASASQMSGRSRTYDILRVELAKDAKRQNLDDGSFSTVLEFYIKFAPEDSEEPLPTDPATTASWM >KZM84374 pep chromosome:ASM162521v1:8:13331160:13334395:-1 gene:DCAR_028204 transcript:KZM84374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSCLDFTDPIPEVDSDHDSVYVEHDRMLFIQSLKAVGNSFALVRESINGYPTYLMYEGLSDDDHSPTHITRSLTRNNKGEKDPNINAAPSRTHGVLRRTNKRPRRGLGKRGRKYLDEGANNGKDVYDLRPRGSRKRSVAKKRKFDQGKIGEKERICVIKTRGGQGNRVVKERRICVMGTRGDQGNGQDYGDSKRAYDIWLNNIGKGCGLENGNAETSSDSELEVFDKDPQCSEWKYTPISVSDGLDTLMKDDDLQYIGERSKPENPQFRKDVEDILRRPYDEKEYETLWLQMNSRKPMQGYRELRGNLKAYSKDALGKSYLDEYLDLRIKLDTLETDLPRRLNVLRGFFYYLKNIANDGIFKPWLDESCLALDPTTQQTLQMEIQDGSMRC >KZM84437 pep chromosome:ASM162521v1:8:14514274:14522396:-1 gene:DCAR_028141 transcript:KZM84437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHSSFAQQNIVTDKEALISFKSKLDSAESRTSLSSWNNQNSSPCNWTGVSCNGFGQRVISLNLSGFGIEGTISPHIANLSFLRTIDLGNNKLRGEIPSQIGNLFHLRVLNLSVNSLEGMIPLNISKLSKLQTLDLMTNKITGQIPQQLGHLSKLVVLKLGQNVFWGEIPRSLSNLSSLTDLNLGTNSLSGNIPGELGKLRNLKVLDLTVNGLTGTVPSEIYNLSSLVKLAVASNLLWGEIPYDVGVKLPNLLIFNFCSNYNFTGRIPGSLHNLTNIQVIRMAHNLLVGTVPPGLGNLPFLHMYNIGFNKIHNPPDDDLSFITSLANSTRLNHLAIDGNAFKGVIPESIGNLSKVLSNLYIGKNHIHGEIPSTIGLLSSLTLLDLNDNFISGKIPEAISHLQELQILGLARNRLSGIIPNSLGNLRKLNKIDLSGNEVVGQIPISFQNYKSLLSLDLSNNKLNGIIPKEVLSLPSLSIILNLSKNFLDGPLPEVGVLEKIVTIDLSDNRLSGNIPQWFESCNSLEKLFMAKNNFSGHIPVALAEVKGLEVLDLSSNQLSGSIPPGIQKLEALQTLNLSFNDLEGKIPSLGVFGNMSNIHLEGNPNLCMHSRCEKSPNNRRQTEIKVILPILATTTVFFLIALYMVLKRKETKVNVKCPDLQNGKPEMISYHKLREATRHFDQENLIGSGSFGTVYKGYLEGNIQVAVKVLNIETSGYWKSFVSECKALRNVRHRNLVKLITSCSSLDMKNMDFLALVYEFLSNGSLADWISGKRKHPNGQDNILLDKEMTAKVGDFGLARLMQERMSNPVSISSTFIVKGSIGYIPPEYGLCEKASTSGDVYSYGVMLLDLFTGMSPLQECFSGESNLVKWAESNFLEAEVQVLNVQDEVQYEETSMNPEYECLMKVIKVGLACAKDSPDGRINVKEALHSLINAGDIFLKSDLKFN >KZM84451 pep chromosome:ASM162521v1:8:14771975:14776920:-1 gene:DCAR_028127 transcript:KZM84451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVFQLYSGIFFMLCVPPVLCWGAQGHFAVCKIAQGFLTENAVNAVQALLPDHADGELAAVCSWPNEFRKLMPWNTALHFVNKPDSSCNYEYCRDCPDKAGNIDWCVAGAIYNYTDQLQLSFHKLSTEMNYNLTEALMYVSHFFGNVHQVWDDKIIESSLQKFYNTDLSSLIQAIQIKIAGVWFTDSLSWRNCTPDHVACPNQYASESESSKLACKFAYKDVTQGATLGDDYFLSWLPVVEKRLAQAGVRLAARLNQIFSPQLHQSHKIKCAGTSTYSV >KZM85830 pep chromosome:ASM162521v1:8:29146455:29148716:-1 gene:DCAR_026748 transcript:KZM85830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSITVLAAVISLHLIAFVLAIGAESRRSTAKVVPDKYDERSYCLYDSDASTAYGLSAFGLLLISQTVVNGVTKCFCCGKGLVAGSSVTAWTVFFYVFSWVSFLGAEACLLAGSAKNAYHTKYRGVFHVDRVSCSTLRKGVFAAGAALTLLSMFGSILYYWTHCKADTGGWEKHQNEGLGMTSPISTENQQLPSQYGKV >KZM85678 pep chromosome:ASM162521v1:8:27803309:27806185:1 gene:DCAR_026900 transcript:KZM85678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEWGSSVGNGFDDYRSSESKSNKLYSVSSLPVSFNPSPGGVCGDNYIEHTVSRFDTLAGVAIKYGVEVSDVKKLNGLVSDVQMFALRTLYIPLPGRHPPSPAITDGSDDAPRSRSSVHTPPLRRHSDLFDTLQSLKLQAPDRKVSPAMHNLRGYYNLTSFEEKTDSEGCQMASYQNGGSLYLEDRPSGKPSTCINSPLSVHRKCKSIASDFKLENGVPPDDASVPTFDKWYGNPARRRLKSVADFSSYLAPETLLKEDASCNGFSVVAGKSLSLRAAANRAVSGANGETLGQSSVSAAAGDSLVQKSGGVKKSYSTPNFQEDSGNSSSIWPTVNWGLKPDFQALSAIPRCDGFPKSLTSKKHKTAVD >KZM84621 pep chromosome:ASM162521v1:8:17084088:17084867:1 gene:DCAR_027957 transcript:KZM84621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEQMKPAATLLLVLNFCMFVIILGIGGWAMNRAIDHGFIIGPGFDLPAHFSPIYFPMGNAATGFFVTFSLLAGVVGVASVISGFNHVRFWNHDSLPSAASAATIAWALTVLAMGFASKEIELDVRNARLRTMEAFIIILSATQVLYILVIHSAATRR >KZM85286 pep chromosome:ASM162521v1:8:24399581:24399916:1 gene:DCAR_027292 transcript:KZM85286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTSVKSSGNRRLSSRLQKRAPASIKISPATDWNVAIPLLSPLDGSPPSLPLRASEIKDCRDNARKNNVTEKAPAPVVYKKWQHPAAPFCYNETAPMRPFMFCTAVSDRS >KZM85310 pep chromosome:ASM162521v1:8:24575298:24576059:1 gene:DCAR_027268 transcript:KZM85310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVITHEGLNLKETELRLGLPGSDKPEKETIYSLRNNKRAVPDSVEDRESVSDAKHETAPPPKAQVVGWPPIRSYRKNCFQPKKADSETGNYVKVSMDGAPYLRKVDLKVYKCYAELLEALENMFKLTIGEYSEREGYKGSEFAPTYEDKDGDWMLVGDVPWDMFMSSCKRLRIMKGSEAKGLGHGV >KZM85828 pep chromosome:ASM162521v1:8:29137892:29139034:1 gene:DCAR_026750 transcript:KZM85828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVLWCRTCETNRKASQHDNFVFCDVCGLVLRSYDFFPEPPSNQHRFHTFALKLGVSDHYAIQDAILCFHKTFLYFRHCRVVAAVCLYIGCRANRVPVMLMDLSVKLRVSVYYLGVRFLDVCEFLSVEVDGFRPPRIDDPLFMINRFMLMFSEENDRDFSVLLTALRILAAVRTDYVGGRRRLGGLCAAAVYMAGGFDSLNVDDVFPVEAVLSPLEFYWLDREFRSVAKEYKYRNGRGCMMGNVEDLCPHNDVDKFCHGFCRVCYNRFVELSEYFNITVPRQDDQVLCSEKRLSSLINFDALRQVFGDDDVAESTKTPSQESSGTINTMEQGQAREENCGDAQGREGSANKNDQREEVLANKVRRRFAQPKRRRLGLQT >KZM84575 pep chromosome:ASM162521v1:8:16394465:16395426:1 gene:DCAR_028003 transcript:KZM84575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPYRTIIVFTTTLYLLSIIQTAQSEAPKGSPSGGRDFKVQGQVYCDPCRVRFITQLSTFLKGATVRLECRNREQDTNLTFSGEATTDDTGTYHITVDDDHEDDICEVKLVKSPDPQCSEINLEKNTRYGARVSLAANSGMASNVRMASPICFLTNKAHEDCDDLIREIGLAPVNKT >KZM84864 pep chromosome:ASM162521v1:8:20258888:20265194:1 gene:DCAR_027714 transcript:KZM84864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHDTSIVKVVWVPPEYGDAVACIFSDGGFSIWEEVAEDPEHVQWVLCKSFEGNSNQAQVLDIEFGVFLNSLKLITAYSDGLVKVFELPDPLDLKNWQLQAEFQNVIDSVAKFGKVVSLSASISWNPQRGEQQSSFAIGFNSDIPLLNSTKVWEFDLDHQRWLPVAELALANDKGDPVYTVSWAPNIGRPYEIVATATNKGIAIWHIGVDANQVGRLSVEKVALLSGHEGGAWQMEWDMSGMTLATTGDDGRVRLWQSNLNGVWHEQAAIQPTT >KZM83905 pep chromosome:ASM162521v1:8:3077602:3079924:1 gene:DCAR_028673 transcript:KZM83905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGIYSDIGKKARDLLYKDYQSGLKLSIATHSINGSMLETITGDEAAPCLMMISYRIPDIRSTVKLGVQCLHDYAGFNTSVGLTADPIANFSGVIGNSVAALGTDVSIDTKTGNFLKYNAGLSLTDADLIASLAVNEKANQLKTSYYHLLSPLTNSAVGAELTHNFSTKENTITVGTQHSLGPLTTIKAGADNAGKIHALIQHEWHPKCGFTISGEVDTKAVDKTVKIGLAVALKL >KZM84526 pep chromosome:ASM162521v1:8:15851841:15852062:1 gene:DCAR_028052 transcript:KZM84526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCISREWQKTIGEYRSDLMRSSIKLCEEILKWRQHSFFKDGYQKLRYVLGFVSIRWRKAISDGLESETSYVS >KZM84029 pep chromosome:ASM162521v1:8:5641355:5642161:-1 gene:DCAR_028549 transcript:KZM84029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVAPPANNYNRKQPPPVPHFPCDSAPIYSTTGNAAAPSHKQIPSQTWHLDTLQTAPNSHGCNTASRRRWPTHAQPPVALPRTDPLATYVHAACHRLSSTAQHPTTNQHPQLDCHHPEFQQRGVAALSAADTNAGQPTNNYLPSPSLFLRQTISPILHDRTPTHIQPISFISQHQPGHHSGRR >KZM85325 pep chromosome:ASM162521v1:8:24709390:24710626:1 gene:DCAR_027253 transcript:KZM85325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLLNQEQIVEFQEAFSLFDKDGDGCITIEELATVIRSLDQNPSEEELQDMISEVDADGNGTIEFVEFLNLMAKKMKETDVEEELKEAFKVFDKDQNGFISATELRHVMINLGEKLSDEEVEQMIREADLDGDGQVNYDEFVKMMMTIG >KZM85164 pep chromosome:ASM162521v1:8:23350052:23350838:1 gene:DCAR_027414 transcript:KZM85164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLINAQKIFILLICTGLLAVQPVKVSGIRSLGLVPGWSNEDQGLVASNQRTLKEVSKVKLNSGLKGATPNVFASTYQCSIADITLLITDERFEKRASVEGEEMLLSEAGLEITSKLVELKYCSNIFVKVKNNTIQ >KZM85917 pep chromosome:ASM162521v1:8:29904952:29908808:-1 gene:DCAR_026661 transcript:KZM85917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRCIPIPGPSDPVLDPRTRKIKFLNRFTVLSRGAALAIDPLFLFAITVSPSPKPCIYIDGTMLLFATLLRTFVDLLHGMHLWLKFRMAYVAKESLVSGSGVLVWDSRAVVKQYVGSPKGFWFDLFVILPVPQAVYWLILPELLKQEKVKAVMTISHIIFLLQFFPKLYHSFYLMHGVKKVTGYIFGTALWGLILNLIAYFLASHVSGGFWYSLSVQRIAECLKKQCHVSKQCETLAWTCPREICYSSFTNSCLANSTMKGNFSTCMDQNGEFPFGNYAFALPLIVKNSNVVKILYSGLWGIMSLSTMGSNFDLTSQTTEVSFAIVMVLAGLSLFTFLIGNIQVFFHSVTPRRRQMQLRYCDIKWWMGRRQIPSELRRRVRHYEQERWKVMGGQDEMKLIKNMPDGLRRDIKRYLCLDLVRKVPLFDNLDDLILDHICDRVIPMVYSANEKILKEGEPVQRMVFIVKGSVMRSQNITQDMVTTTPIEPGGFIGDELIAWCLRIPFVDRFPPSSATFTCVEPVEAYGLNSDQLKHITNHFRYTFSRGELKYKTRYYSSNWRSWAAVNIQFAWRRYWQRTRGDFINRGTGNAGSSDDASTSSDQKLRHFAAMFMSLRPKDHLD >KZM83703 pep chromosome:ASM162521v1:8:638405:640030:1 gene:DCAR_028875 transcript:KZM83703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEPAMLQVQPSLLYSDSLTSFTDDEVRLYEFEFDAQNLGSQDFSSLDTTPQSSPDFCFSIQDLDNMDFQVDQPGVMLSTDHFSTVYDEQNVIFTGEIDDMLLYSMDGEESFVDVQQSTLDAEDEWSLNPSMDATDFLMHLPNGGIEVNNHVALIQPSLDLPTEGVEIDLRLVLFHLVMAYGQAIENGHNALAKAVVKHMNQTVSLVGNIMERLLHYVFSVDLKSDYLKLECKKNFYAAYMAFYQIFPYGKFAHFAANSAILKAVHENVKIIHIYDFDLGDGLQWCSMIEALRGQQRELRLTAIKWTEGESTCIPQEWKFEETKRRLIDHSSSCNVDLKVDEMMMHDFIELKKIKSRGDNGEWCAFNCMTSLPHMGRARSRKNVTEFLNGAKEIIRHTHSGIIIFGDGDAWEKQKMSSNFTSFLDGHLMHYEALLESIEFNFPVHLKEARTLMECLFVAPFASAHAQIQKWEETRECCDLESAGLSGLKGRKVSEESLMEAKEMIKEGESLYGIKVQGEFNNQLVLDWRGTELVKVSCWQS >KZM84636 pep chromosome:ASM162521v1:8:17228623:17229061:-1 gene:DCAR_027942 transcript:KZM84636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTVTNKKKNLALIGNRVASQLLVRSLDNGLNSGSDGYVPCTGKRTLLSWLIDSSQSLRSMHADGDMICCGGCPSNFHQSCLDITILAADEVPVPAVG >KZM84889 pep chromosome:ASM162521v1:8:20583102:20584647:1 gene:DCAR_027689 transcript:KZM84889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFTCRWLPSSEPKGLIFLCHGYGMECSIFMKDYEGHGKSSGLQGYIKDFDALVTDCSEHFTGICERKENSKKLRFIMGESMGGAVVLLLHRKKPAFWDGAILLAPMCKIADDVKPNQFVISALTKLTRIIPTWKIIPTPDIVDLAFREPAVRKEVRDSPYCYKGRPRLQTAYQLLTISLDIEKRLQEVSLPFLLLHGGDDKVTDPSVSKLLHEKAISSDKTFKLYPGMWHSLSRGETAENTEIVFSDITKWLDEKTATGNAKLERELKSGNDALHTDSSGKTILVE >KZM84934 pep chromosome:ASM162521v1:8:20951967:20952158:1 gene:DCAR_027644 transcript:KZM84934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCVNGECKCEPFYFDPAPVPSQVPNDDKKVSSEIRCKTVHDCEKTVICMIGKQYERMVCANV >KZM85162 pep chromosome:ASM162521v1:8:23329782:23333999:-1 gene:DCAR_027416 transcript:KZM85162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWYVGILFASSLMLLVLGYCVMKNPVAEIYHASPVFFNTTNPLEWISSTGPPAVQHPDNGSQVVSAQTIVFDLFAKRNISKEEIQSLNTWNLMKHLISHEQALPNAVEAIKEAGIAWNSLMISVEEERRNRNENSSRRGNEKQCPHFLKKMNDTELNDTGFRLRAPCGLTQGSSITIIGIPDGLLGNFRIDLTGEPLPGEPDPPVILHYNVRLHGDKITEDPVIVQNTWTVAHDWGDEERCPSPDPDQNKKAVDGLDQCNNMVGKADTRVLRSHRNETKKVAMIQDGSIATKYFPFKQGYLSVATLRVGLEGIQMTVDGKHVTSFALRETLEPWLVSEVRISGDLRLASVVASGLPTSEDLDHIVDLEALKSAPLSPHKELNLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGEVAVRFFVGLHKNQRVNEELWNEARTYGDIQLMPFVDYYSLISWKTIAICIYGTQVVSAKYIMKTDDDAFVRVDEIITSLDGLHVSHGLLYGLINSDSRPHRDPDSKWHITPEEWSEDTYPPWAHGPGYVVTNDIATTIYRRHKKGRLKMFKLEDVAMGIWIADMKKKGLEVTYAKEERIFNEGCNDGYVIAHYQAPRELLCLWQKLQEGNRALCCSD >KZM86110 pep chromosome:ASM162521v1:8:31404756:31413859:1 gene:DCAR_026468 transcript:KZM86110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTTARSRVHSSGSRSSEARAIRNINKINSNDNNLISRLNNNRRAFLVLVIISIILIYRILESSADDPMERVVTPFPSPRLMDLPMFQGEHKESLYWGTYRPQVYLGIRARVPQSLVAGMMWVIYKEGRYFIRHVCQDSDELKKYGWTYHNGRDYGHQDLVDQDMTLGVSFLKSKGDYSGYGGDWVVRADEGGNALSLGRGVMGNQYISVLATGSRSDVGSWELHLKSKDDLEVHYAGFKTPDIYKLSDLVQENLAAHAKEDDNLQLTDTSEASPNILVFQMSSKSSFIADIAFVSGTGSDNSRVKERVSSLTGTSLTSQLNAKQDELDGKFEKFFSTSKKLKDESMEVGKAAIGNLIGGIGYFYGQSKISLPTNTNHKLDDDVLLYWPAELYTAVPSRPFFPRGFLWDEGFHQLVIRQWDIPICLDIVGHWLDLMNIDGWIPREQILGAEALSHLMNCNNLLQSNYSKVPAEAVVQHPDNGNPPALFMVLQDLVCSMKKKKFSESEEKQVSSFLERAFVRLDAWFQWFNTTQSGKAESSYYWHGRDNITIRQLNPQTLTSGFDDYPRASHPNDKERHVDLRCWMFLAAESMYSISEFLEMESLRGKEYKLMSKVFSDIELLNQMHFDNAYGAYFDFGNHTEKVRLAWKLVEGPNNILSRQLIREVLEEPVLRFVPHIGYVSLFPFIWKIIPPQSWILEKQLNLISDKTILWSDFGIRSLAKTSNIVKNYQQTGYFWEQYDQDTGKGKGARVFTGWTSLVLLIMAESYGNC >KZM83761 pep chromosome:ASM162521v1:8:1235635:1236279:1 gene:DCAR_028817 transcript:KZM83761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLGAPPMASHLKPTCSSHISSAHSQTLGQSFTCTTSLSVSSVTPPSFPSIYCGRGDKKTAKGKRFNHSFGNARPRNKNKGRGPQRVPVPPAPPRKDKFDDGEVVKIEIDESLFSN >KZM85173 pep chromosome:ASM162521v1:8:23430793:23432518:1 gene:DCAR_027405 transcript:KZM85173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVNFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARNLLTLEEKDPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNVASFMVRVDSQKHIDFSLTSPFGGGRPGRVKRRNQKAASKKASGGDGDEEDED >KZM85935 pep chromosome:ASM162521v1:8:30059525:30061419:1 gene:DCAR_026643 transcript:KZM85935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVFVYCNSRNYLFSLKLDVSNILCVLQEKTKEKKHKEKKDKEKKEGKDKRDKEKSNGKHREKKDKKEKHKDRKKDKEKNKEKDQEKGSTSSEKKLSGQSEEYNRAKVLQSEKVRDEEKKEQSSTSEEKRQIGQFAYCNGEKLQQKVEKSREKKVTADETFSVQLQAHTGGNKAVLHSFADKDSQDSKFMSDFSKRINDDGKGTGSQMVEGFMDKGLKKVEKIDKFAVRDSSTVEGKEKFKDKQVNSWKINDGQRIHHEENFNGNAMSQNLSGSIQNKFGVPPKSKDNSEGTMGGKEKSKEGERDDKRGEKRKSKHKEKKSKGKDKNSEKEKRKEDKAKKDRQKSEKDDSRSSNKNDAGTPNNKTSHLPKDDDKNAAEGILKKRKDIEKNGFLPEYVIKPEKMPRLSSHPLSENGQKPGPLETTTLFTSQKQGTLNSSEVVNKDNKINGLTGPHQVPTSEAAKPPPVIAEHIAEASRKPPHPDMKYLSKVLTVPQMDEWSDVDDQAWLFNNKDSLLNKSEVDPVGVNQGQQVWAETLRIESADVFALPYVIPY >KZM85915 pep chromosome:ASM162521v1:8:29903230:29903499:1 gene:DCAR_026663 transcript:KZM85915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFAANSSLDESTRDLNESLASLYAAEEISLRRILETKRYISPGALKRDQPVCDGGRGEAYSKSDGCLPPQSHPYNRGCSKYYRCRSDS >KZM86140 pep chromosome:ASM162521v1:8:31724996:31726310:1 gene:DCAR_026438 transcript:KZM86140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKWVLHWQQNAGTTVNSQILTEVSQCAESINSIKGGRWKATLNVYRPMLKEQVNLVEFLRDFLGISLQEQPSKYYFVIRGQRIVLEADSNIRGIMEKLQSYKSRVALNFEGFQYQIGDFQLRVGKVVPVHSESLRGIVIEYTLSVPFNLFLAIFFIGILE >KZM84109 pep chromosome:ASM162521v1:8:8013378:8013599:1 gene:DCAR_028469 transcript:KZM84109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILGALWFILSETSSAVLDLTTPPEHIGHSWKEAINFKCLYQQANKILKAKQKKLTTGLLKIKNYSTPSRDN >KZM83847 pep chromosome:ASM162521v1:8:2161249:2175959:-1 gene:DCAR_028731 transcript:KZM83847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDESKLDVELKLFAIRIPREMCKAVGRLLHGYLIDRPRISPITKDPANEKCRYIILSEKVQNPDLSDIPSEKIDELKKLFEVEVVPYSMTLGYSYWGADHVLKQILPPGLTAQSSFETIVKYHYYKCHIAHLNICQELLPYKDIIAKVIYDKNYPSIQTVVNKVGSITNEFRVPKFEVLAGKTDMTTEVKQCGATFKLDYGLVYWNSRLDHEHGRLISLFQAGETICDMFAGIGPFAIPAAKKGCLVYANDLNPDSVNYLKINAKINKVEDCVHTYNMDARKFIAQLMSVPTCETQYVAPFPGPLNELGEVPKEEDSGQTNGKVKEVSCSKSITLDSDRVVGMNEDATVTTLKRPSDVSEEEVGVCAASKASKGKNKRIKASVSVSTKPWEHVDHLIMNLPASALQFIDSFRGLIRRKYWKGSLPWIHCYCFMRSSETRESVISEAESALKASIKDPVFHRVRDVAPYKAMYCLSFKLPEEACIGVNWGTMSSHKLPPNNVVEMMLRNGINKVKLFEADHKVLEAFIGTNIEVVLGIPNGMLMEMSQDPGNAVSWVEYVAVGNDPLLRSYNGTYLPYILPALKNVQGALNNVGIGSQIKATIPSSADIYYAPASNPVPSAGDFRPDIRELMIDIIRHLHSSNAPFTVNIYPFLSLYDDDHFPIDKAFFDGSPKLVIRDGANVYTNVFDANFDTLVWSLTKAGYPDMKIIVGEIGWPTDGNKNANKKNARRFNQGLIQHALSGKGTPARKGVLIDVYLFSLIDENIKSIDPGYFERHWGIFQFDGKPKYKLDLSGWNDNNSFTAVKGVRYMDKRWCVLSPHLKKPKNLGTSMDYACSHSDCSALSYGSSCNHLSVKENASYAFNMYYQFQNQYITACNFSGLATLTDEDPSDDKCRFPVMIVEDSSILRTVFYILFAVLQGDRTVEFESIAERLKKTVASSVATTSSGRSITDRVGSAVANQSEFNKRASKIGFGIHQTSQKLSKLAKLAKRTSVFDDPTMEIQELTAVIKQDITALNSAVVDLQLVCNSQNNSGNISSDTTTHSTTVVDNLKNRLMSTTKEFKEVLTMRTENLKVHENRRQLFTSANSKEATNPFVRQRPLAAKSAAGTSNAPPPWANGSASSSQLFPRKQADGESQPLLHQQQDQQQQQLVPLQDTYMNSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMEDTLANVEGAQGQLVRYLNSISSNRWLMIKIFFVLIVFLMIFLFFVA >KZM84476 pep chromosome:ASM162521v1:8:15103542:15107914:1 gene:DCAR_028102 transcript:KZM84476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKYGLKRPPLSPLSPSSIQRGQRRRIPANESSDGYGSRVCKENQHPNLTAQKSTPGDSVLTVENEYNFVREGCLSHGKVMHVRVNYDLFYLHSLDKVVLFEYLCMMDKYGLKRPPLSPLSPSSIQRGQRRRIPANESSDGYGSRVCKENQHPNLTAQKSTPGAVTHRTPLARLIEMQSSKQTRTGRFQLPASNILPRSVHDPKASAQHLRNHVHQSIRNDASRTISLIHNHSIDSTSKGKAHNGVDSRSQSGKDDDVTVIVPEPGQLGVKTPEWFQSDSQRGVRNLMESFNSACTTEAGTSATPGAPPMTGNNSSQTEEGGTSARLDENFDGAEGDEAVQDEGGTSARLDENFDGAEGDEAVQDEDCRGHYVHDDLSDTKEAKPGPDVFTP >KZM85463 pep chromosome:ASM162521v1:8:25974461:25976003:-1 gene:DCAR_027115 transcript:KZM85463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFEIYCGFNQEISIEVAAEKILELMGDLAYDISSSVNLQANLSYSYLGMILCFTPEIQEVFSAKEVYYTVTADDQSVGQKRLYGSYIVIIPRSVFTLAKDNHTIKVTSNAGRVWFYLLYKNEDDNTLVSQRFQVEENPAELL >KZM84998 pep chromosome:ASM162521v1:8:21550488:21551231:1 gene:DCAR_027580 transcript:KZM84998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELQNSPPTKKRKICTSMSPLILLDVDIILKICSLLQEDGFIDLFFFIQVWFPFQTSETLATLLNNLDWSRVHEVVEPFKNLECRVFNNFVKHSAEIGVKGAMCYVSCKNLIKAKNPQHHLQILKSISAHDSMSFLAYHIFHTLYHPSTLRENAVILHAKCATDSEFRAKVEKNCTTLKGRYRKYNCNRYYRPDIFPQNGVCSSFVSGEDHNMDVFGLGCSYKEIVNSSCSECMILMMNFKIFRGY >KZM85132 pep chromosome:ASM162521v1:8:22977610:22985310:-1 gene:DCAR_027446 transcript:KZM85132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEHLSYIVPPSSRSSNYIALRFCNSSKNSTGEKASSSQFMVVYQYGSAVLFNMEEHEVESYIGIIKKHASGLLNEMRKDDYAVQEKPHLSEDMQGGADYIVLKSLDIDSIRIIGSVLGQSIALDYFVSQVDGMVEEFAGINRGMEKTGTFTMDRKKLFQLVGKANSNLADVILRVGLFDRSEIAWRDAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVLQNRKSDLLEWCIIVLLTIENVIGIYEIVHESTAIATVFPL >KZM84058 pep chromosome:ASM162521v1:8:6622029:6626444:-1 gene:DCAR_028520 transcript:KZM84058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKDSACGPIDRSLLFMQEDHISTSIWEGDDRLRFDVPEQTRPPEETPIVISSPSTTQVPDQTRPPEETPIVISSPPTIQVPDQTRPPEETPIVISSPPTTQVPDQTRPPEETPIVISSPPTTQVPDQTRPPEETPIVISSPSTTQVPDQTRPLEETPISFAESLRDNSEMDTLEDRMFDSRRRGNKDRSGDMTRPLKNRKIRWLFREWWNEECNFIWREHGLPVTYSLNWKQIQTLKPGYWVQDDVVNAYLELVKIRDFETFGKCPAAKKYFFAPSFFFCRAIYHCQDLKDHLNSKATEELAEQVAFFEQFKRQVVGPNLVDCDYAFFPACWENHWILFVVDVQKSKVSIIDSLYSESVGRSYKDMYPSQFYIMEKLLPCMLHHLDSSRFPQPKFMKVRSVTERPKQVGGFDCGVYVVKYVDAICGGIQLKNAVWDPTLDILTFRYRMAWELNRGRARHISEWGIKERLLGC >KZM84180 pep chromosome:ASM162521v1:8:9825209:9827116:1 gene:DCAR_028273 transcript:KZM84180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGSQFDTNQYDSKMSDLLSSDGQDFFTSYDEVYDSFDGMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYNVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRSDYIKMFVLDEADEMLSRGFKDQMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTTDDQRMLFDIQKFYNVIVEELPSNVADLL >KZM84625 pep chromosome:ASM162521v1:8:17123768:17136142:1 gene:DCAR_027953 transcript:KZM84625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAARLAVFFLILLADAVVPSLGSSYVVGDVSGWALNVDYSLWTYGKTFNVGDNLGWIRPKHLSVRLYPVPSRRLIMMRQSNSGSEQKRPYTKKVFRRKSPKNVSFGPSVAEESDSENSNTDDIPTTNLETMSGKSVETNGAVNAAENKSSTNLETMASNSVETNGAAENTGNSNLGINFNSVEKNGEAENDNSRKLVLSKQNQSMSLNADGGLVNSNVHIQDLIAMIRHAEKNVHLLNQARICALEDLEKILSEKKTLQGEINSLEMRLAETDARIRVASQERLHVELLEDQLEKLQAELSRRGGTLENVHDIIPNDDIIQSLSEEVNLLRTENISLKEDLKVLKAELTDMKGTGEIVLLMEKERTYLESSVKELERKITVSQEDVSKLSTLKSECKSLWERVEHLQSLLDKAAKQADQATLVLQENQVLRNKIEGMEESLEEANVYKISSEKLEEYNVLMQQKIKHLDERLQRSDEEIHSYIQLYQESVNEFQDTLTILREESKKSKLAEPANDMPRKFWSHMLLMIDSWYFEKKIESENASLLREMIWKRDGRIRDAYMECKEKNERETIRTFLGLISSVDRPGLHVVHIAAEMAPVAKVNCKDESDLISRLLLKVGGLGDVLTGLSKALQQKGHLVEVILPKYDCMQYEHVEDLRAKMMFESYFEGRMFKNKVWVGTVEGLPVYFIEPHHPGNFFWRGQLYGEHDDFRRFSYFSRAALELLLQLGKRPDIIHCHDWQTSFVAPLYWDIYAPKGLNSARICFTCHNFEYQGTAPASELALCGLDVSHLNRPDRMQDHSAHDRINPLKGAIVYSNIVTTVSPTYAQEVRTAEGGKGLHSTLNSHSKKFVGILNGIDTDTWNPATDIYLKVQYSANDLQGKSDNKEALRRQLGLSSADVWRPLVGCVTRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVPHIQKEFEEIANHFQSHEHVRLLLKYDESLSHLIYAASDMLIIPSIFEPCGLTQMIAMKYGSVPVVRKTGGLNDSVFDIDDDSIPVHFRNGYTFFTADEQGFNSALDRAIYHYKNDSDSWQQLVQKNMNIDFSWDSSALQYEELYLKSVARAKAANRS >KZM85552 pep chromosome:ASM162521v1:8:26738455:26739717:1 gene:DCAR_027026 transcript:KZM85552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTSEEIEILQGDSREERLRNFIAWFPEEDKIKWDAHYYVDEDGDHSMTFEEYQSHRLDMIETDGFDVQDYSKVGDIGVLLRVHNPPEKPACEKIVAELQECSGRAIAHYNKEHKTHYRDVHVLKANSEALCPYRYYITFQALDPEDKQTVFQARVNICFPDFERVVELVRIKPTKLNPVFLPKLALRGGQSSGLVSTSASSSAT >KZM85563 pep chromosome:ASM162521v1:8:26783774:26792578:1 gene:DCAR_027015 transcript:KZM85563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVPVRLVGSEIHGFHTMADLDIGNVMEEAKSRWLRPNEIHAILCNHKHFTIYVRPVNLPPAGMMVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNDERIHVYYAHGEDNPTFVRRCYWLLDKKLEHIVLVHYRDTHESQGSPAAPIPSNSCSILSEPPASRILSEESNSVVNEIYYTSEKAYLEPTETIQDHETRLHEINTLDWEELVVPEDPNKLNAPETGILSHFEQQNPYEMNFHRNNSNLLSTNIYSAEHNSLEKFSDLVVGSNLDHSNTLSNVYSDIVDGQINSDLQKNGHKALNAVCGDSLDTISRNGFQTQDSFGRWMNCVITDSPDSAFTGDRNLESSISNGQETSALGDQQQSSFSEQIFSITDVSPASALTTEETKILVVGYFHQAQSPFEKCNLFCVCGDECVTAELVQPGVYRSFVSPHEPGVFDLYLSFDGQNPISQVVTFEYKSPPTEKLVNQSDDESKWKEFRYQMRLAHLLFSTSRRSNTLSTKLSPNALKEAKFFAQKASQIGSNWTSFLKCIKENKLSFPQAKDNLFELTLQNRLQEWLLERVVERCKATDYDDQGQGVIHLCAILGYKWAVPQFVLSGLSLDYRDKFGWTALHWAAYCGRLVVISFLSQLLFFF >KZM84229 pep chromosome:ASM162521v1:8:10619261:10620280:-1 gene:DCAR_028224 transcript:KZM84229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRFSHKDLQIATNNFTTKLGKGGFGSVYEGVLPAGTQLAVKQLEGLGQGKTEFQAEVSSIGSIHHLHLVRLKGFCTEDDNFLAKVSDFGLAKLISREQSHVFTTLRGTRGYLAPEWITNYAISDKCDVYSYGMVLLEIIGGRKNYDTSETEEKCHFPSYAFKMMEQGKLKDILDVKLQIAEDDERVSIAIKVGLRCLQYDMHLRPSMTKVVQMLEQVSPVPPPPSSQQMNSHIYLSSFKSTSEHSTSLEPLNLNSCADFSAVRLSGPR >KZM83697 pep chromosome:ASM162521v1:8:557049:557612:-1 gene:DCAR_028881 transcript:KZM83697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTANAAVSRHTPTPRDGAQIPDSVALYHTHPLGPNQCCSAVIKHIDAPLATVWSVVRRFDNPQAYKHFLRSCHLLLGDGDVGTLREVHVVSGLPAENSTERLEILDEEQHVMSFSVIGGEHRLENYRSVTTLHPAASGNGTVVVESYVVDVPPGNTKEETRVFVDTILICNLKSLARIAQNLMQRN >KZM84853 pep chromosome:ASM162521v1:8:20190632:20193947:1 gene:DCAR_027725 transcript:KZM84853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRSKYETTARSWTQNGSLIFLFKMDERGNHGNMLSLNSTYAFLLYGNLALKAYISIIQGRSLVGLWFSS >KZM85753 pep chromosome:ASM162521v1:8:28359904:28360767:1 gene:DCAR_026825 transcript:KZM85753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGGLNSRNWNFYEQHYNRPGNLNLHLFPSFERRISGPFLGRENAIVMNQNGGQLCPFVPESPLHVDPTKDAVARDKFVQQMISANSSFAGYHEHPAAHSMHMLQQQLQQPPQQHEFPKDVRVAVDTSVKKEDGAPVKKRQSLAAPKPPKAKKPKRCQTIQKENGTSSGHRAKAARKNMDVVINGINMDFSSIPIPVCSCTGTPQQCYRWGCGGWQSACCTTTISMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLASENFNFADAIDLRYHWARHGTNKFVTIR >KZM85884 pep chromosome:ASM162521v1:8:29609565:29611748:1 gene:DCAR_026694 transcript:KZM85884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLEPRSSRTSVVPIIFSCFWLIFLVQLSVARVIQLKWEVEYKHWSPDCIESVVMATNGTFPGPTIEATAGDTINITLTNLLFTEGLVIHWHGITQKGTPWADGTASISQCAINSGETFVYSFTVEKAGTFFYHGHYGMQRSAGLYGSLIVHEAEPHRQPFRYDGEFNLLLSDWWHQNVHRQEVDLSGVPLVFIGEPQAILINGKGQYNCSIAAHFDESANVCNFTKKEQCKPEILRVKPNKIYRIRIASTTALASLNFMIQGHKLEVVEADGNYVKPFLVDDLDIYSGESYSVLLHTNQGPPSGNYWISVGVRGRKPGNPPTPPALAILNYYPMTPVSKHPNSAPPVHPVWNNYTHSKLFSNRIKALTGLKSQNLEYKPPPLKQDRRIVLLNTQNYIKENIKWAINNMSLTLPSTPYLGAIRYGLDNAFTQESPPDHYNSPNYNIENPPPNGTNAIYSNAVYKLLSNKVIDVILQNANALTEGQSEIHPWHLHGHDFWVLGYGEGKFSDANETLFNTVDPPLRNTVVVYPYGWTALRFETDNPGVWAFHCHIEPHLHMGMGVIFAEGVEEIKKMTVNKEALVCGLTGKMFMNSHKD >KZM85063 pep chromosome:ASM162521v1:8:22350865:22360463:1 gene:DCAR_027515 transcript:KZM85063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSIQPSDTVMSDAAPPPQPAGIENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNLETNEHVAIKKIANAFDNKVDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRSAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELEFLNENAKRYIRQLPLYRRQSLTEKFPNVHPSAIDLVEKMLTFDPRRRLTVEDALAHPYLTSLHDISDEPVCVAPFSFDFEQHALTEEQMRELIYREALAFNPEHQQM >KZM85993 pep chromosome:ASM162521v1:8:30557244:30575997:-1 gene:DCAR_026585 transcript:KZM85993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSGVTVVGAFFSPFVNRVQIALNLKSVEFDYIEETLSSKSDVLLRCNPICKTVPVLIHDDKSICESLVIVQYIDQVWTENGYCILPSDPYDRAVARFWAAFVDDKPERDGYHVKLRGFGGGYLRANGGTPPWRNGVTHDSPFAGGSTQNWILWSVEEVKITGESFDRMSSGEVLGLEGGGSPVSIISANNSPDFYSKKASLSLPLHNSSSTAMDIFQNAQAVRLRSHHEKYLTAEDDEESVSQDRDGSSKAAKWTVEFVPDSSHFIIRLKSCYNKYLTASNQPFLLGMTGRKVLQTLPRRLDSSVEWEPVRDGNNQVKLRTRYGQFLRANGGLPPWRNSVTHDVPHRSATQDWIYWQVDVVEIVVRSPTVQAAPERLIGRGDSSASAESSSHSGDRYDFSRQESIDSVVDVVMREEGRTIYYNVANDLGEVDEELEGFSILFKGNSVEELTRSLEEVTGLNDAIVCSRSPLNGRLYPLRLQLPPNNVTMNVVVLPASSKGGYGKVYGARLPNEKTFALKKLHRLEAEDPNFDRSFRNEVRVLSNIRHKNIVKLYDFCLHNRCMFLVYEYMENGSLFCALKDDAHAVELDWSKRVSIVKGFAHALSYMHHDCTPPIVHRDISSSNILLNSKMEAFVADFGASRFLDPDSSNQTMVAGTYGYIAPVTSYIEVSILDCAHSASGRSTRSSLVHP >KZM85756 pep chromosome:ASM162521v1:8:28382186:28383237:1 gene:DCAR_026822 transcript:KZM85756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINRRQDTVLKGKTEGKKEREQSFRVSIPHTMHADTGTQRRKEKWTLWQNLMAFLYMGFSCQDDLEKIEGTDPFRNCMIVRSGNETEIRDFEFGPIEHPIEPLDEDHPVTCPMLNSSVMNLLNHRSLIQPLTYAQNGSMQDEQFSNNLKKISEQQIWKEQRVTAPTTETPTLKTLRKRHHTQTSVEGHTCSPFLRMPPRDLTIFNMLQHFDKV >KZM84624 pep chromosome:ASM162521v1:8:17117458:17120603:-1 gene:DCAR_027954 transcript:KZM84624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDRGYGVDDGEIEMSLAEFRTKFGESLSQNLLDRLRFSASRVSSPSQKVLVVFCGTDEIRKQAMSTLLLQFSHDGSRPRIILVLQNKMNSHARKLAAESSFQIETFLITDLLVNITKHLLLPKHEILTPQEQQDLLKKLDVKPSQMPRILETDAIVKYYGLEKGQILKVTYEGPSSTGPFVTYRCVM >KZM85174 pep chromosome:ASM162521v1:8:23445676:23446128:-1 gene:DCAR_027404 transcript:KZM85174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQTKRHREEQVQATTIQDSPIQKRQNSCTQEQEQVLDEDIFDPFQVPDPELPPMQSTQTVEPATEDCSPSCMLTKEEEDDEKERMIRHLLEASDDELGLPDKSSGLGEDFIGPVDLFDDPFSCCDGIWELEDEVANYSTLLQAELFM >KZM85275 pep chromosome:ASM162521v1:8:24315648:24321753:-1 gene:DCAR_027303 transcript:KZM85275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQEYDREKELKAFDDSKAGVKGIVDAGATKIPRIFVHEQARYHQKSGSKDCKFTLPNIDFKGIDNDATLRREVIEKVKDACLNWGFFQVINHNIPVTVMDEMIEGVRKFHEQDTELKKPFYSRDFTRKFLYYSNFDLYKGPAANWGDTIFCIMSPECPETEEMPAVCSFLIASMGLQILWSFGLAILDIYALAKKKVIHNAGLVSLFVVGDWVTATLSLAAAASSAGITVLYFSDLGGCSFGEECQKYQMAVGLAFLTWVTIGISSVIMFWILAAG >KZM85139 pep chromosome:ASM162521v1:8:23015939:23018976:1 gene:DCAR_027439 transcript:KZM85139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSGDGNNAAAAAKKKGKAKVSRTSMILWHAHQNDASAVRKLLQEDRMLVLARDYDHRTPLHVASLHGWIEVAKCLLEFGADVNCQDRWKNTPLADAEGAKKHQMIELLKSYGGLSYGQNGSHFEPKPVAPPLPNKCDWEVEPTELDFSSAIIIGKGSFGEILKAFWRGTPVAVKRILPNLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTERKPLMLITEYLRGGDLHQYLKEKGALSPAVAVSFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSAEHLKVGDFGLSKLIRVQHSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEPPLAGYEPYEAAKYVAEGHRPTFKAKDNCWKADMNKRPSFIEILKRLERIRDALPTDHHWSLFS >KZM85913 pep chromosome:ASM162521v1:8:29883791:29894497:1 gene:DCAR_026665 transcript:KZM85913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSGALITPPFSSVSKSCDKNAFQFLFSRGVAIKSPFFLQENCKFGGGGAGSSPRFRVSCKLQAEETQLRRCSPFLDSTLLSEDNVLPSEWKAIPDIWRSSAEKYGDRVALVDPYHDPPTEMTYKQLEEEILYFSEGLRVIGLNPYEKLALFAENSCRWLVADQGIMATGAINVVRGSRSSVDELLQIYNHSESVALTVDNPELFNRIAETFSSAASLRFVIFLWGDKSCLESNFFDEVPVYNYKEIIQLGRESRMTLLYSHDAREKYTYEAISSDDTATFMYTSGTTGNPKGVMLTHANILHQIKNLGDIVPAVPGDIFLSMLPPWHAYERSCEYFTFTNGIKQVYTSVRYLKEDLRRHQPHYMISVPLVYDTLYSGIQRQISTSSAVRKLLALLFIRTSLAFMAFKRIYEGKCLTKNQKQPSYLVGVLDCFLARIIAAILWPIHMLAKKIVYSKIQSAIGLSKAGISGGGSLPSHVDSFFEAIGIKIQNGYGLTETSPVVACRHLSCNVLGSIGRPLRHTEIKVVDSLTDEVLPHGVKGIVKARGPQVMKGYFKNPSGTKQVLDEDGWINTGDIGYIVPYHSVGRSRNSGGIIVLEGRAKDTIVLSTGENVEPAELEEASLRSTLIQQIVVIGQDQRRLGAIVVPNKEEITATAGTSSESDSSELSKEQMTSLIYAELKKWTAECSFQIGPILVVDEPFTIDSGLMTPTMKIRRDRVVAQYKQQIENMYK >KZM85237 pep chromosome:ASM162521v1:8:23991794:23993478:-1 gene:DCAR_027341 transcript:KZM85237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVEGMEKNATMTEAPLAPVTIQRKVRTDLDDTPLPKPYMARALAAPDTEHPNGTPGHHHHNMSVLQQHCAFFDQDDNGIVYPWETYSGFRQLGFNMIVSLLAAVFINVGLSYPTLPGWFPNPLFPIHIYNIHKSKHGSDSGTYDTEGRYMPVNLENIFSKYARTVPNKLSLGELWDMTEGNRIAFDPFGWVSSKLEWGILYVLARDEEGFLSKEAIRRCFDGSLFEYCAKINMGLQGKTY >KZM83736 pep chromosome:ASM162521v1:8:920081:923282:1 gene:DCAR_028842 transcript:KZM83736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKENEDDLSMFFEMRNRELGINDLSLIQNSDDFDDSFGSKPKITSIDAAVHGPKSIADEFLDSENGKTDYDWLLTLPCAPLEKGVVKTTGNAKFQTTAPRSKCAEPPLKSASSEVTSYRQRRSASAGTRRSSSSGVGGSVARPATPTGRPTLPALVKPLRSSTPSSRTALVPSSKIVAPHKRSSTPTRSTARSSTPTGRPSILASSKSASRCATPSQSSDQSSLCSASAPAGRSSSVTRSSATTNKKTIPSRAISPSAKSTSQRSEVPGFLTDAPPNLRTSSSRRHASASKERPCAPNSQPSTAEAVSSGKPRQKPYTSLKSQVSSGNSQNSGRPVIVKSRKYFNANDDVNPVLMGTQMVERVVNMRKLAPPKQDDLVSDHSNSKKSSSQESSGFGRSLSKKSLDMAIRHMDIGRSISGNMRSLMKDVPASSVYSLRSKSTKVGISKASQSLITSSNASSERSFNTSSHDLNGSEVEDIDLGSEQGHSSSNSQRG >KZM83785 pep chromosome:ASM162521v1:8:1594492:1596947:1 gene:DCAR_028793 transcript:KZM83785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGGASQQSGSKGNSRVSKLNRSRKREGFPLFDNEERLSQEQIEVKEVCKYWFLLDWSMIEAFKGGNLRLIPLARARIEFLSKAIKPELMGKGLEGDEDSLWEINEILQNEGWWCKADHLQVKEVLPSPKQAAIQNAALMGFLKAKEHLIHPNSRTQAFEGNPEGVRMALNQIHYGSIEESRKKAEKKMPKDELFSITMNFIRSWAHLVEASVLRDAFRGNCRAISLALGQIHHHSLEPKNGKMHSVTSPYMEALLKESRMDMTPRKRIRTVSSPQTKKTHSVFFSGIQEASQPIALWQHFKKAGRVKDIILPKKRDKNGNRYGFLIMENGREVDQIISKLSGKLFDSKPLYLAKAKDKSNRVQKSELHSESGETLTNKAEKVDNLSQSSTPNISGLRGSSPPKCFKQPEVFRSQERKIEGVMGVKEHVHGGPEADESKVEDQEQEIQPSADMLSITQQSLFLKTAKIESVYSATMIAESLGARNVQIRGISGTTFLAFFARKEDLACIDRDLLSIGFDEVRDIKTEDLVPVRKTWVEIRGLPIMGISEGNLIEILKGLGTILFFGNSVDNEAFYLQPKLWIETQELGEISLYKRVKLMGKSWRIRVVETNGDNEICHDGKSLSPNELDISDEPSIHRAKTVGLQEGLSDTVQDTPKGSTEGVGANPEKLESLGIEQTLSSHESTQSLINPLTPRGDPYIFPEDSPVAKVSLPTSPKESLCTKHASSLKDQFSNLPVNAVSSLEQADFQHETVHTSNWIPRINKQSLSTSESITTQELNTQGKTS >KZM85758 pep chromosome:ASM162521v1:8:28394132:28397856:-1 gene:DCAR_026820 transcript:KZM85758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETEHPRLLLHQFISPDLCKELEFIHKSCSTTGYRSNVFSTTLSHLIATNSSQLIIPFVSIRERLKEKVEEFFGCEFELFIEFTGLISWTRGASIGWHSDDNRPYLKQRHFAAVCYLNSYGIDFEGGVFHFQHGKPASVAPMTGDVLIYTADSRNIHSVDEIIDGERLTLTLWFTRDASHDEDAKLISFLSSTLLDSSDTNINHLLPSPASNNMYWFPPDQASNYQSGFDLRCARIYIAGYDIYCSRDKGYSLADDLSDSFVELLNEPLQLAWRNQLLKKEFVNILHALQVLQFYYWKHTEWQPSDYKANNDDVVQMSESLLERIQNLKLQFVRNYRSVEAIFPDRTGGRSLLEQSIDWASFSAANVAWEAYTKS >KZM84448 pep chromosome:ASM162521v1:8:14750889:14751803:1 gene:DCAR_028130 transcript:KZM84448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWNWNYYLTWPLVLICLPLVWHLWRKISHKTSKLPPGPRGWPLFGNLFDLGSLPHRTSRALKAEYGPVVWLNLGSFKTLVLLSAAPVEELFKNHDLSFKNRMTTDAVTSHDYYKSSIAFGLDGTYWRTLRRICTSELFSNKRIKETIMVRQKSVDELILWIEKEAQKGSTGIVVRQFVYPALLNMIGNLTLSRNLMDPQSKISSEFCSAVDGFNQCLGSPNISDFLPWLKRFDLQGIRRKMDQDLGKALEIISVFVKERQEKREQQAVSSEQQDFWMCCWITGAVEMMKRLSYQIPKSQYAFW >KZM84162 pep chromosome:ASM162521v1:8:9403651:9405435:1 gene:DCAR_028291 transcript:KZM84162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVRKDFKDSNKRLSSYLETAQSLEVAQKSCYNKLKEFKEALANLAPVSSQGDGAVNEHIKAELDAYSEKASLSDALCVNGLRSVFGEVYPDSVQIVSIGREVEDLLANPEDKMWSSTFVEPFGATHISNTREAKAFVLLSEEGIAEGIRRVTSVTRGNGYCIARVSVGSDNAAIREAVVQVIEQKGIAVMVFITALKPLHGKGGGGKGGLAQGQRSHISNVEEAMKDAETYANQKLKEADEI >KZM84089 pep chromosome:ASM162521v1:8:7068892:7069230:-1 gene:DCAR_028489 transcript:KZM84089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSESDITDSEIDEYKEKPYSLLKDGILKVKRSDGSLKCPFCPGKKKQVYGRKDLLQHAQGIGSSSSYKRTAKAKAKHLALAAYLQQEQQVPRSSRCRSDGECRKLVRGG >KZM84347 pep chromosome:ASM162521v1:8:12815927:12822353:-1 gene:DCAR_028359 transcript:KZM84347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKPPSSRSPRRALRFGWKLLILLTLALCIFGLHRILNLTSQLTPPRSPTRISARFYTGNPKLAFLFLVRRDLPLDFLWQAFFEVGWGESSMIQAERLLLGAALEDPANRRFILLSDSCVPLYNFSHIYEYLMASPKSFVDSFLDKNEGRYNPKMSPVIPKGKWRKGSQWIALVREHAEVVADDETILPVFRRFCKRRPPVDVSKGKLNSKIQKQHNCIPDEHYVQTLLAMSDLEGELERRTVTYTSWNQSATKMETKGWHPFTFSYANAGPHEMQKIKDINHVYYETEYRTEWCSNNSTLVTCFLFARKFSRGAAMRLLSDGVVGRFDVSVLLSNSEP >KZM84261 pep chromosome:ASM162521v1:8:11183420:11186773:-1 gene:DCAR_028445 transcript:KZM84261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVIETVRGSLCLMKWRLRPPCMRRLQTDLLALCSGMRPVIMVDYGGKMPELTHQLCAFIRLCHKESSLFKHLRVMTIDDMIYLIHVHGLAELVYSTFNSQLQLHFVDIEQDPPKMITKAENSALLNELTAVQELFAATFPHHATEPPDHLQCHTTDTVTTCESSTSASEFIDLTSCMKDTLITVPTLNGWLLGYPVVYLFSRERISDAIYNLSTQSLHIYRILVTRNGTFSKGSQPEELMRWCTAHPVRRGAAVRGGAAAYARSAPAGVSPYFFNGIRGGAE >KZM85055 pep chromosome:ASM162521v1:8:22255219:22268070:-1 gene:DCAR_027523 transcript:KZM85055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDFAKKLLVPSWWEIQVSLAAAAFIICVYCFFSYQNCVADDRKVTGDSADKQKIGQPKGEHQYNFAYDIKVELLAAKNLIAANLNGTSDPYAIITCGTEKRFSSMVPGSRNPMWGEEFNFIIDQLPVEINVTIYDWDIVWRSTVLGSVVVPVESEGQTGAVWHTLDSTSGQVCLDIRTISVPVDSTRGRSGYAGANARRRLSLDEQGPVVVHQKPGPLQTIFDLLPDEIVEHSYSCALERSFLYHGRLYVSAWHICFHSNVFSKQMKVIIPIGDIDEIRRSQHALINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNNSLRGLQRAVRNYHEMLEAESKDKVQSEVRAHSTSIKSAKAPEQIEPTVGKLQPFVKEEVLSSIYDGVYPTTAELFFKLLLNDDSNFTNEYRAARKDAHLSIGQWHAAAEYDGQVREIKFRSLCNNPMCPPDTAMTEWQHAVFSPDNKNLVHVL >KZM85755 pep chromosome:ASM162521v1:8:28367979:28378811:-1 gene:DCAR_026823 transcript:KZM85755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHSLAVILQAALSPNPHERKAAEDSLNQFQYTPQHLVRMLQIIVDGNCDLAVRQVASIHFKNFVSKNWSPHDPDEQSKILPGDKDLIRQNILVFVVQVPPLLRVQLGECLKTIIYSDYPEQWPSLLHWVKHNLQDQQVYGALFVLRLLSRKYEFKSDEERTPVYHIVEETFPHLLSIFNRLVQVDNPSIEVADLIKLICKIFWSSIYLEIPKQLFDPSVFNAWMVLLLNILERPVPSEGEPSDPDLRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENKAFAQMFQKNFAAKIMECHLNLLNVIRLGGYLPDRVTNLTLQYLSNSVSKNNTYALLQPRLDVVLFEIIFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELIRKRGKENLQKFVLYIVEIFKRYDETPAEYKPYRQKDGALLAIGVLCDKLKQTEPYKSELERMLVQHVFPEFSSPFGHLRAKAAWVAGQYAHISFSDMNNFRKALQIVVAGMRDPELPVRVDSVFALRSFVEACKDLDEIRPMLPQLLDEFFKLMNEIENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWKCMNTAEADDEADDPGALAAVGCLRAISTILESVSSLPHLFVHIEPTLLPIMRRMLTTDGQEVLEEVLEIVSYMTFFSPSISLDMWTLWPLMTEALDILVPLDNFISRSTTHFLSCKEPDYQQSLWNVISSIMGDKNLEDNDIEPAPKLIEVMLLNCRGQVDHWVEPYIRITVERLRVTERPYLKCLLIQVIADALYYNSALTLNILHKLGIATEVFNHWFQMLQQTKKSGTKANFKREHDKKICCLGLTSLLPLPVDQLPGEALERVFKATLDLLVAYKDQVAEASKEEEVEDIDDMGSFQSDDEDDDADASDKEMGVDAEDGDEASSARHKKFAAQASGFHSDDEDDDYDSDDDFSDDEILQSPIDEVDPFVFFVDTIKALQASDPLRFQNLTQTLDFHFQALANGVAQHAEQRRVEIEKEKLEKASAVRVAS >KZM85872 pep chromosome:ASM162521v1:8:29487023:29490399:1 gene:DCAR_026706 transcript:KZM85872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLFFVLAFWSLFVIPIIINGYSPKEIIQMCSKTPNPKPCEYLFTNNPNYGPIDNDQDFLKASLKLALDKAMQADESAKSLGPKCRDRLETAAWDDCVKLYDQTIKRINETVDPFNKCSQIETQTWLSSALTNLETCRIGFIELGVGENVLPLMNNNVSHLISNSLAMNKRNFTYKPTYKGKFPNWVSPGDRKLLQASKPGPGADIVVAQDGSGDFKTVAAAVAAAKSGSGRVVIHVKAGTYSENVVISASNIMLVGDGIGKTVITGSRSVNGGYTTYNSATVAVEGDRFIGRGITFRNTAGPQNYQAVALRSSSDLSVFYQCSFEGYSDTLYVHSQRQFYRNCDIYGTVDFIFGNGAAMLQNCNIRPRKPPNGSVRTYLGRPWNRFSRTIIMKTVIDGFISPEGWMPWSGDFALDTLFYAEYANSGAGASTANRVTWKGFRVLASASQASAFTVGRFIDGNSWLPGTDVPFISGL >KZM84000 pep chromosome:ASM162521v1:8:5168716:5169207:1 gene:DCAR_028578 transcript:KZM84000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVEYSCNRIEK >KZM85715 pep chromosome:ASM162521v1:8:28068648:28070018:1 gene:DCAR_026863 transcript:KZM85715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILSAGAAEELFKNHDLSFVDRFTNDSMRSHDHYTVSIAFGSYNAYWRTLRRICASELFANKRINNTVLIRQKCVDEMLLWIENEVKKSTSSSIVVRDFVFPALFNMIGNLTLSHNLVDPQSEMSSEFCTALAGFHESVGRPNISDLLPWLRRLDLQGIRKASDYSLAKAKEIISAFVKERVIEREIKHEPSSEQKDFLDVLLDYRGTGKDEPAELSESQVTVFLMEMFFAGTDTSSATIEWAMSELLTNPDRLKKIRAELASVVGANRKLQETDIENLPYLRAIVEETLRLHPPAPLLIPRKAVQDTNFMGYSILRNTQVMINCWAIGRDEESWEDALCFKPERFLDSDTNYKGQSFEFIPFGAGRRMCPAVPLAHRMVHLILGSLLHHFEWELCEDGGVIDMRETMGVTAKKLEALQAIPKRKTA >KZM83934 pep chromosome:ASM162521v1:8:3649418:3651894:1 gene:DCAR_028644 transcript:KZM83934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEDYVDDEIDSCDDNSGKQIVAVDAKRVLVGAGARILFYPTLLYNVFRNKIQSEFRWWDEIDQFLLLGAVPFPKDVPRLRQLGVGGVITLNEPYETLVPTSLYHAHGIDHLVIPTRDYLFAPSFVDIKRAVNFIHRNASCGKTTYVHCKAGRGRSTTIVLCYLVEHKHMTPAAALEYVRSRRPRVLLAPVQWRAVQEYKQHRLASTGQSPSADAVLITKADLEGYHSSCDTVAGKELAIINRVTRSRPMIAKLSCLFASLKVSGSYTPVTRQLTEARAC >KZM83998 pep chromosome:ASM162521v1:8:5146225:5148230:1 gene:DCAR_028580 transcript:KZM83998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEHHQEQKHKLLCDGRRASSPFHSILGPSPTSETSVNGLALAATLQEVYHTRLDISSNHFDDPLPSVGKRFSMITYLDLSANTFEGGIPPEIGNLKEFRYLSFYDNNLNGTIPYQVGKFQKLWYLDLGSNYLATPDWSKFAAMPQLTHLSFFYNVIDSEFPYFLLSCFSFIFNCVPSIRYCRGY >KZM83948 pep chromosome:ASM162521v1:8:3879597:3904797:-1 gene:DCAR_028630 transcript:KZM83948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHRFRSLKLVNINMDDPLAEKPYGVDEYGELDNGLTYYVRSNSKPRMRAALALAVKAGSVLEEEEERVAHIVEHLAFSATNKYTNHDIIKFLESVGAEFGACQNAVTSSDETIYELFVPVDKPEVLPHAISILAEFSSEIRVSSDDLEKERGAVLEEYRGTRNANGRMQDAHWTIMMEGSKYAERLPIGLEKVIRTVSPETVKQFYRKWYHLKNMAVVAVGDFADTQTVVELIKTYFGNKISAPDPPSIPHFPVPSHEEPRFSYLLESEAAGSAVIVSCKMPAEELRTVKDYRELLVESMFFHALNQRFFKISRKKDLPYFSCSASADVLVRPSKAYIITSSCKEKGTIEALESMLTEVARVRLHGFSEREIAIARALLMSEIESAYLERDQMQSTSLRDEYLQHFLHDEPVISVEYEAQLQKTILPHVSASEVSNYAEKFRTSRSCVIKIVEPRATSTVDDMRAAVLKINSFEEDKSIAPWDYEHIPEEVVSVKPNPGNIMQQLEISNIGATELILSNGMRVCYKCTDFFDDQVIFTGFSYGGLSELPESECYSCSMGSTIAGEIGVFGHRPSVIMDMLAGKRAEVGTKLGPYLRTFSGDCSPSDLETAFQLVYQLFTTKVEPGEEDVKVVMQMAEEVVHAQERDPYTAFANRVRELNYGDACYFRPIRMKDLKKVNPFRACEYYNSCFKDPSTFTLVIVGNIDPALAQPLILQYLGGIPRPLEPILHFNRDDLKGLPFTFPTSIIREIVRSPMVEAHCLVQLCFPVELKNKDMVVEETHFIGFLSKLLETKLLQILRFKHGQIYSTGVSVFLGGNKPSSDGNVRGDVSVNFSCKPDISSNLVDLALDEILRLQEEGPSDEDILAILEIEQRTHENGQQLLDKCRSTVRDKLTPSTAQLALQRMLPFPCKKQYTVVILMPQDSRFKLLKSFIPSIEFEYAKNVQVLAGIAGLTVLAFSLWRYSRNTLKT >KZM84244 pep chromosome:ASM162521v1:8:10839108:10840138:-1 gene:DCAR_028462 transcript:KZM84244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPEFETPDESNQNDVNSEQYKGGNSNFTTPKETLKGVGPSRLFSPQDNVDASILSIARDVEQNHNSTEVLTEDEITSRLQHHLSQMEKLKKEFGETLDKGKQLFPESDKMKEYEQRFEEMTTGRNEPSYAAYGLNNHLKRVLKPTDHQKSPFKIRAIDLNTQRFSKDEEEELD >KZM84195 pep chromosome:ASM162521v1:8:10051645:10053279:1 gene:DCAR_028258 transcript:KZM84195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPVFSLLSVAFVLLVLPSSPAANPDNSPNDFVQCLIRSSSANPISQVLYTSKNSTYKSVLQFSINNLRFDTPTTPKPMVIVKPVDESQIQTVIYCCKKHDIQMRIRGGGHDYEGLSYVAQVPFVLLDMINLRSVDVDPVAATAWVQAGATLGELYYQIAQKSSTLAFPGGIWSTVGVSGLTSGGGYGVLRRKYGLAADNVLDARLIDANGRILDRKSMGEDLFWAIRGGGASSFGVILSWKLKLVSVPKIVTVFQVERTLEQNATEILHRWQAVAPKLPKDVEMRVAANTIWKHLPNEASKTVQEDDSRNVQDTKTISVKFIGSFLGQPKGLLSIMNKNFPELGLVGQDCTEVSYIQSVLMFSLFSAEDSPSGLLNRTAYKIPFKAKSDFVDKPISRQGLDGLFRILLQAAPGRTNFLFTSFGGRMDEISESATPFPHRAGTLYLMYMRVRTDGDTSNAMKWIRGLYRYLTPHVTKSPRAAYVNYNDLDLGVNNLQGTTSYKQASEWGRNYFKNNFDRLVKVKSSVDPDNFFRHEQSIPPFS >KZM85979 pep chromosome:ASM162521v1:8:30418309:30422109:1 gene:DCAR_026599 transcript:KZM85979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEIAKAQEERKKLEQQLASEQLASLNSVTFDTDLYGGNNPFEGYEKSIPVNEDEENLDTVDSQVPRRLASYTAPKSIMNDMPRGGDDDEALGFKKPQKIIDREDDYRRRRLNRVISPERHDAFANGDKTPDVSVRTYADVMREEALKRKKEETLKLIADKKKEEEAEKEKKPADSQATQKRRNRWDQSQEDSNAKKAKASSDWDLPDSTPGIGRWDATPTPGRVGDATPSLSRKNRWDETPTPGRVADSDATPIGGGLTPGATPAGMTWDATPKLGGMATPTPKRQRSRWDETPATMGSATPGATPAAAYTPGVTPVGGVELATPTPGAINMRGAITPEQYNLLRWEKDIEDRNRPLTDEELDIMFPQEGYTILDPPASYVPIRTPARKLLATPTPMGTPLYAIPEENRGQQFDVPKELPGGLPFMKPEDYQYFGALLNEEDEEELSPDEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEASAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMVILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRSDILPEFFRNFWVRRMALDRRNYKQLVETTVEVANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVADLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLMNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPTLDDEESNIYSRPELTMFV >KZM84859 pep chromosome:ASM162521v1:8:20226036:20226248:-1 gene:DCAR_027719 transcript:KZM84859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKSSETAVSRIVNLAEEAKIASEGVKAPAGIAWLTITKSLLAGGVAGGVLVFDLVVFDSVSCFVL >KZM83846 pep chromosome:ASM162521v1:8:2152211:2152681:-1 gene:DCAR_028732 transcript:KZM83846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGYGWKYASSLGVLCCTQEKYKKMARSPGGSEEKKGNYREAWTFGAVDPTAAQQLYFRSCRVYAGSFIKVVDRLRKLQKKG >KZM84140 pep chromosome:ASM162521v1:8:8995090:8996341:1 gene:DCAR_028313 transcript:KZM84140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGAGSTMSLWIMLARATIITSPVQRTLSLVFYYSSGTTSCHGEKVVKL >KZM84692 pep chromosome:ASM162521v1:8:17797703:17805328:-1 gene:DCAR_027886 transcript:KZM84692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSTASFSQQSPEGEKSCSNSELWHACAGPLVSLPAIGSRVVYFPQGHSEQVVASTNKEVDAHIPNYPSLPPQLICQLHNITMHADAETDEVYAQMTLQPLSPDEQKEAFLPVDLGAPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIARDLHENEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVTGDSVLFIWNEKNQLFLGIRRAIRPQTVMPSSVLSCDSMHLGLLAAAAHAATTKSRFTIFYNPRACPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWQNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPFRLKRPWPAGLPSFNGNHVSGLHNYNCHLYSVPCAGMKESVLGMNSPHMWLQGDNGDRGFQSLNFQGIGVTPWMQPRVDASVLGMQTADIYQTMAAAALQEMRAVDTSKNPIPSVLQFQQSQSVPHRSSSGLLHTQMLQQSQPEASFLYCGGETQSQAQSFGPLLSHSASQAQTPSLQTMNTMQHQSFSDSNGKPVTSSVVSPLNNLAGSYSNDENTQLFNCSRSSGLLTSEGWPPKRVAVDPVSSFGASHSVLPQIDQLGSLHTNITQHAFSLPPYPGRDCSINQDGDNDPQNCLRFGVNIDSTPLFMQNGISGLRGVGSDGDSTTIPFTSSNYMSTAGADFSLNPSVTASSCIDEPNFLQSPENLGQESTPATFVKVYKSGSFGRSLDIAKFSSYIELRSELAHMFGLEGDLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSLQEMQQMGKLGLELLNSVPVHRLSSNNCENYPSQQGSKNLSNGIASMGAHDY >KZM84610 pep chromosome:ASM162521v1:8:16777792:16778646:-1 gene:DCAR_027968 transcript:KZM84610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRTVKRTPTKTIRDCRTRRRTRRRSQSSPLKNVASNVVASINKSISTCHRRLIKIFSKLARIGTPKRSPRKKGYRALKQVAAGEICRSLFVGKPLPPVDPPERKTVFLDLDETLIHSSPEIPPGKFDFVVRPAIDGRKVEFFVSKRPFVDEFLENLGKKYEVVVFTAGIEEYASLVIDKIDPNKVISHRLYRDSCKENEGRFVKDLSELGRDMKRVVLVDDNPNSYEFQPDNAIKIKPFIDDSEDGELKKVMEFLQGCDCFDDMRDAVRQFALANEDDHLGN >KZM85105 pep chromosome:ASM162521v1:8:22773448:22776038:-1 gene:DCAR_027473 transcript:KZM85105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVESKGGAIFCMLLALFFLGTWPALLTFLERRGRLPQHTYLDYTITNLLAAVIIAFTFGEIGESTAEKPNFLIQLSQVNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDRINKAEILFPGVGCFLIAVCLASGLHASNAKDNKAKLSALEFCKIDESRDSKVPLSKETKSIAVGKDLENAVEKTEKAKVGTADFLLEIENRRSIKVFGKSTFVGLGITFFAGLCFSLFSPAFNLATNDQWDTLKEGVPHLSVYTAFFWFSVSCFVIAVILNVSFLYHPVLNLPRSSFKDYLSDWNGRQWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRRSSRRTYILLNSMLFMFIVAVGVLMASSGHRK >KZM85720 pep chromosome:ASM162521v1:8:28098408:28100305:-1 gene:DCAR_026858 transcript:KZM85720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFTGKSIRNPQYPPVAGTVFGQLFYFNRLHDYLAELGKRHGTFRLLAPDQSEIYTTDIQNIEHVLKTSFNKYSKGQYNVDIVTDLFGQGIFVVDGAKWRQQRKLASHEFSTRVLRDFSCTVFRNNAIKLVKTVTELSLAGTIFDIQDLLMRCTLDSIFKVGFGVDLNCLEGSDEKEKAFIRAFDDSNALTYWRYVDPTWQLKRFLNIGSEASLSKNIRIINDYVQNLIIKKREQSEMLHHINEKEDILSRFLVESKKDPENMNDQYLMDIILNFIIAGKDTTANTLSWFFYMVCKNPLVQEKISQEIRDVFGSKRDESSIEDFVGAINDEKLEKMQYLHAALSETLRLYPAVPVDGRCAEEDDILPDGYKLRKGDGVYYLSYAMGRMPRIWGDDAEDFRPERWLNSDGVFVPESPFKFVAFHAGPRICLGKDFAYRQMKIVSIALLRFIRLKLADEENKVTYRTMFTLHINGGLHLRAVQRPA >KZM83849 pep chromosome:ASM162521v1:8:2222223:2223725:1 gene:DCAR_028729 transcript:KZM83849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDSGRKRPLSPSQTEPGVVLRRRGEETGLEAFLRARSKNTQPQEGSWRNSQCVTNSHTSGNEVYKRTLFTEVAYQGRTEKHIADKENFHNHNGTNKSGNSDSSLTFEQTMHDVHASVHHQKNSGSEDYYDYACAARQSSNLGHQTPLNCMLRKGFENETRNIMDHNMSRSEVLTSEGVPEKPKRRTSKHSRIPSLVHANVFSSSHRLPLSEVDLNRTSTHQMQGCSSNASHSTIEDPVCIQRRRGPSVATTIKNRQSHNVHEQTDGNPVKNLNKEFEDAADSTFSDGEDFEQGMTRNFQFKLIANNDWICC >KZM83862 pep chromosome:ASM162521v1:8:2367348:2367620:-1 gene:DCAR_028716 transcript:KZM83862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQEKINVTTTTMTTTTTNSSSPRGGGSASGSPRGPGQCLCSPTTHQGSFRCKYHRSNSGVGWFKRSNSMPPTPRNDATLSPKPVESST >KZM85637 pep chromosome:ASM162521v1:8:27434611:27438776:-1 gene:DCAR_026941 transcript:KZM85637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFARKEKKDEQKKGMQVGKYELGRTLGEGNFGKVKFARSLDSGHSFAVKILEKTRIVDQRIIDQIKREIGTLKLLRHPNVVRLHEVIASKTKIYMVLEYVDSGDLFERIIFKGDVHIPKGLSAGARKLIRRILDPDPRTRITLAEIKQDEWFKQKYTPTNPDEDGEDVSVDDEVLTIHESPLAEKDVDSPTLINAFQLIGMSSSLDLSGFFEKEDVSERKIRFTSHHSPKELVERIEDIVTQMGFGVQKKSGKLKVVQLHKDHKSAVSLSVAAEVFEISPSLYVVEVSKTCGDVKAYRQLCEKLSYDIGVRSSQELPGTEF >KZM85336 pep chromosome:ASM162521v1:8:24881811:24882301:1 gene:DCAR_027242 transcript:KZM85336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLHRRTVFFSYIIALFFVVFAASAVATRTSTHRKLGGGVVEKGEEWKEEFEREMMSRRKLSGPGSSPPSCRSKCGRCTPCSPVHIPVHPGFTLPLEYYPEAWRCKCRNKLYMP >KZM85429 pep chromosome:ASM162521v1:8:25697902:25703383:1 gene:DCAR_027149 transcript:KZM85429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRPRLTGEESSSIEFGTFLWYVYAGVSCALVLFAGIMSGLTLGLMSLGLVELEILQRSGSPKEKQQAAVILPVVQKQHQLLVTLLLCNAAAMEALPLYLDKIFNQVVAILLSVTFVLFFGEVIPQAICTRYGLAVGSNLVWLVRFLMIICYPISYPIGKILDWVLGHNEALFRRAQLKALVSIHSKDEGKGGELTHDETTIISGALDLTEKTAQEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPANMPLYDILNEFQKGSSHMAAVVKSKKNMKPPSVDEDKIMDVTITEESSQSSSLLSKREKLDSVIVDIEKAPTGANGNLQIAVNSDTNNTGNTNLIEEVEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRKMNALKGAGGQSRKGMTPGKVNEDNSNSTGIQGSLAEPLLGKKQ >KZM85147 pep chromosome:ASM162521v1:8:23171427:23171831:1 gene:DCAR_027431 transcript:KZM85147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVLGGVFSAGDAGVVAANGGGAAVDSVLRPNNHGEDVEEMKKKMESLEEKLKETEEKLKEKDEDFESLQDSYQALLVKERNNNDQLEDARKKLINVSCLVVLHFNMDFTVNESEFSLHVLLE >KZM86021 pep chromosome:ASM162521v1:8:30816605:30816847:-1 gene:DCAR_026557 transcript:KZM86021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRHSSAIFVACLAILVVTAVAVTKDEMMGWMYMSPGGSPMPWMDMGPAPTPDVSGSVIASFPSVAAVILASMASAFMI >KZM84754 pep chromosome:ASM162521v1:8:18838406:18839470:-1 gene:DCAR_027824 transcript:KZM84754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELNNFAVVWITVLASLCYCHTIPKFIPKGTIRFLCLLPVSILFIFLPLNLTTIHLGATSSFFISWLANFKILLFAFDKGPLFSNPPIPLSLFIPLACLPIKIHHSDKKFRETHNPLKQNTSFLNYVVKILLLGFLIKLYDYTQHFHPNFLLLLYCFHIYFSLELILALFASLARVLMQAELEPQFDEPYLATSLQDFWGKRWNLMVTNILKPTIFEPTRHVFSGLVGRKWAIFPSVLATFFVSGLMHELVFYTYGRQKTRWEVTYFFLIHGLSLGVEIGLKKLLNGKFRLPRMVSGPMSIMYVVVTSFWLFFPPFLRGNADVKGCREFLAFVEFVWNGRLVGPNEMSCPYL >KZM85484 pep chromosome:ASM162521v1:8:26150534:26150779:1 gene:DCAR_027094 transcript:KZM85484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEYHPNPNQRLESRGFPPIRLSIVIVKEEANFDYSKFELHGVNFDDELELNEEVEDELFGVQIEEAKFEELIIDDKCGFN >KZM84543 pep chromosome:ASM162521v1:8:16036081:16042251:1 gene:DCAR_028035 transcript:KZM84543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGRRQFSTPEEMIAGTSSRPKTFRQEEAEDIEDEKLEEESEEESDDSEGEEKKKGTEGIIDIVNPNLVKPKNLKARDIDIEKTTELSRREREEIEKQKAHERYMRLQEQGKTEQARKDLDRLALIRQQRAEAAKKRDEEKAGN >KZM83796 pep chromosome:ASM162521v1:8:1705058:1705225:-1 gene:DCAR_028782 transcript:KZM83796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPIFSSPPNSQKQANLCQQKGEAELNRNKSNDQNTSKNRDRAISNSLRRAHHA >KZM85655 pep chromosome:ASM162521v1:8:27602368:27604751:-1 gene:DCAR_026923 transcript:KZM85655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSLSFLPGASLSYSQHPPFLRPKLPSLPSAPKPHYQSLVVEAKARTRQDNRVARHVRIRKKVEGTTERPRLSVFRSNKHLYVQVIDDTKMHTLASASTMQKPISEEFDFSAGPTIDVAKKVGEVIAKSCIDKGITKVAFDRGGYPYHGRIEALANAAREHGLQF >KZM85984 pep chromosome:ASM162521v1:8:30467610:30475063:1 gene:DCAR_026594 transcript:KZM85984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAYYLIFTFSVLFLFSVKQATSTRVVIGAVMDKMSRAGMETNVALQMAIDDISRQTNQSFVLKMINSRGEPARAALAANRLINTEKVQVILGPHTWQEASRVIEISNQGQTPTFSLADSNPTWALERWPFLVQASTSNQDAQMKAVAAIVQSWDWRRVTVIYEEDTDSTFGRFMPNLLKSLQDVGAEIRHLVPLPPYATALSEQLMRLKRDQCRVFLVHTSLKLATQVFHEAEQMRMMEKDYVWITTNTITDLLYSVNLTTIFSMQGVLGVKRHFPEGSLKFVEFKKRFRLKFSLAYPEAENNEPGISAVEAYDTMWGVATTFAEMNTQIKNRSQLFLEKVSRIDFIGITDRVHAIGRKTESSHIFGVVNVIGKSYRELGIWKEKSGFSKQTSHRAIYNSSMKDLGQVFWPGESMHTPKGWSIPSITDSMKIGVPAASLFKQFVNIEYDPQTDNLSCKGYAIDIFNEVTARLPYYVSYEFIPFNGTYDSLVEQIYLKKFDAVVGDVAALADRCKHADFTHTYTASGVAMIVPVQSKMPHKAWLFLKPFTKAMWLLILAITVYNGFVIWLIERKHSPRLRGTATDQAGIMIWSSFTTLFSLNGGKLHSNLSRIAIVVWLFVALVITQSYTASLTSMLTVKKLEPTVSDIETLKTNNAKIGYGKGAFVARYLKEVLGFKSDNLKNFSSPQEFAHALKTGDIEAGFLNGSYKLFLAKYCKSFVLAGPTYKVGGFGFAFPKGSPMLNDVNKALLEVFESGKLRELEDKMIGAERCVEVDSISDDEITLSLNSFWILFALTGGITTCALTIYALDGLRGRAAKFTQQIILLWLQQTTSAQVAVGAIIDGTSRAGKEANVSLQIALEDISRKADQRFVLHILNSRGEPALASLLAKRLIAAKEVQVIIGPHTWQEASRVAEVSNKGNVPMFLLADVTPIWAMERWPFLVQASVSNQDAQMKAVAAIVQSWDWHRVNIIYEDDTDSAFGSVIPYLMESLQEVGAEISNLVPLKSFIASSLSAELTRLRLQPCRVFVVHTSIKLAIRLFQKAKELKMVEEDYIWIVTNPITDFFHSLNLTTIHSMQGVIGVEKYFSTSSLRFAEFKTRFQKKFSLDYPEEGNDQPGISAVETYDALWAVAHTYGGRKMQVAKDTATFMDKMSSVHFYGLTGRVQYNERKLTPSHKFRIVNVIEKSYKELGTWSKGLGFSESIGDNAVYNSSMQSLGQVIWPGQSLYAPKGWALSSSSGFWRIGVPTIGMLKQVINISYDPQTNNLTFTGFVIDTFREVMARLPYHPPYEFIPYNGTSDSLVEQVYLKKFDGVVGVDVIANRYKYAEFTRTYTRSSLTMLVPVQSERPSRSWLFMKPFTKAMWLLILAITIYNGFVIWLIERKHNRRLQGSATDQAGILIWLSFTTLFSLQGGRLHNNLSRLVVVVWLFVALVITQSYSASLSSMLTVQKLEARVSDVDTLKTSNATVGHTRGFSGKYLEEVLGFKAINLKSFSSQEEFANALKTGKIAAGFMTDTYLKLFLAKHCRSFVAVEPSYKAFPKGSPIIADLDKALLEVTESGKLRELEDRTIGEERCVGVDSSSDDEASLSIDSFWIIFLFTGGTTTCSLAIYVLDRLKESWKHHK >KZM86107 pep chromosome:ASM162521v1:8:31387806:31390962:1 gene:DCAR_026471 transcript:KZM86107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAHNSSTNSPVQVVYRVESAKYIVAQDIVLQIHQIHSYIYTYIKLNQIDSSESALIRVANNAQNLRFVCSELEFDGFQKEAIQMVKPAKGTTTLAFIFKEGVIVAADSRASMGGYISSQSVKKIIEINPHMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDEKGPGLYYVDSEGGRLKGNRFSVGSGSPYAYGVLDSGYRYDMSIEEAAELARRSIYHATFRDGASGGTASVYYVGPDGWKKLSGDDVGELHYKYYPVGPAVVEQEMAEISIA >KZM84485 pep chromosome:ASM162521v1:8:15263687:15268277:-1 gene:DCAR_028093 transcript:KZM84485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYDPRFLIDTVGKLEDKNIETVHSKEDEKKVHIRFRISDGRSSINVTFFGDLAVQFEKSVKEIKDADIAVIISSAKVNEHEGILGLLNLICEEHLNKMSDPAYCVNTDEPLLDHTPPLISVEDIKKLTKDFIEKKVRCQITVKKVDEKSNWYDNVCSTCGVEVTTVDGRYRCVLCSRNVPFPDKRFRIATLCNDTTGLIAIVFPDDEIQRIIGKNAFELEDEVGDEKKFPTLLKNFEKKDYVITLTISERNVNKISNIYTATDISDPIEVLGNHSPTNEALVTPAKDPVVNPAKAPIVQNCDNITASEIPCSLSTKSSPPTATSTNKQRRNDKKNDVQYELEDDVPIGKFKIQKTDKHPSLSLYSYETMATRKYDSFSGLNTTNSDSIVTTRVLNLWRGYRKTGEPFKGFNLLLLDHKRARIHAWVPYTLADEFEPLIQVGNLYLLKNFTVKIYTNEDKFRCLRSNYQIVFNEETELNPLEENVVKVENCCFDFYEIAELETLSKQNTYLTDVVGILEDHDPIRKIQNRNGVIQSQIIFELSDGRASIRVTFWDDFARYFSESIKEATEFPIILIIGCARVTTWSEQVILTHVGATNFYINCNHRSVNELRKLLAEKKISTKNVSTENRGMMRFYKCENIPKLGVHHAEQVKTWFQPTCTSCYAKTVKIENQDTCTGCQRIVPYVDNMFELYAIASDETGSILIILEEREVKKLLGKTVYEITAEVNNDENFPTILNNLIGKDYTLKLKVQMDNIIKKSEFYLVTDIMEGFLMEPYQQQQTTIPHPIESLEAQASSSKLLYQFMNEI >KZM84996 pep chromosome:ASM162521v1:8:21541430:21541954:-1 gene:DCAR_027582 transcript:KZM84996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATRSLSQIPLASPLSNGIGGRRGWEVNRSYSTLLGLGKEEDVKAPVRKKSFTKICMVIKTFDNKNAEEQTYPAHQNKIRLPTKRSLFTVLRSPHVDKKSREQFFLTTKKQHLVIQAERHELAKKYFWLKRHRIMGAQFEVKFFCKTRFQRARGRDGLSDSRESEPAPALASI >KZM84637 pep chromosome:ASM162521v1:8:17232978:17233169:1 gene:DCAR_027941 transcript:KZM84637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKIAKVFTICMMVLVAFSNLGASAALEDVAAPAPSPTSAGTALYVPTALAVVASLAAYIF >KZM83666 pep chromosome:ASM162521v1:8:279709:286503:1 gene:DCAR_028912 transcript:KZM83666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLQEVSVFFAEQEASNPSISDISDKSWGVADTITSSIIFSLRPTVLADRKRNEVIKFIHSLVKRICHVEVLPYGSVPLKTYLPDGDIDMTAFSGANVEEAVASEIVSELQRQEKDSKAEFVVKEIRLINAEVKLVKCLVENIVVDISFNQIGGLCTLCFLEQVDRVIGKDHLFKRSILLIKAWCYYESRILGAHHGLISTYALETLVLYVFQLFHSTLDGPLAVLYKFLDYYSKFDWKTYCITLRGPVRLSSLPKFETVLPENLVGGLLLTENFYRHCVDAFSVPLKNVGMQTGTFHKKHLNIIDPLNDFNNLGRSVSEGNFYRIKSAFKFGARKLGHILLQSEDSIAAEICMFLSNTLDRHGSRQRPDVQDEATHTSAPSLTHPATSDQDGVRIFTALDAGMNFRTLNEKQTGSTHWSTDVDGSAIECNMLNPKYHLSGDAEDDAISGVQGLQIQNESQNNSSTCMEKTDLQEGKPPYAPHLYFGKPSLGCGELKTQSKDHDNIASYAVLQESEERKGTDKGHDLGSEVQGHVISVDVPSADSHTASLELLDSSLDLLGDFDSHFHFLRYGQWFLDVRSNMHSWPVPLPPLPPPPPSPLHLYSMNPWEAMQHPSLQNGFPNGNVNGLVHGPGFYPPMNPMIMPHSSYGFEEMSKPRGTGTYFPNLNRSPRGYRPSTFKGRIKAPARSPRSNGQGSRFIEFPVEQNVGLLGYLDGQHSDQWRNVNGPIVQPNGVIDYPPFFHALPGAHFQESIRQPRPDLLLESVNPVLPTRGIRNPGADVGLGDVRYDITYP >KZM85535 pep chromosome:ASM162521v1:8:26590363:26593709:-1 gene:DCAR_027043 transcript:KZM85535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMATAAGMVVILYYVSRKLLSTKVDDDDDNRDENSKSSRSVKRRIARRPAHAPATWLETINTLTETLRFTYAETLGKWPIGDLAFGINYYMRRQGNLQVASVYAGDDCVQLKGPDIIADMKHYLSLLTCCILFSKKPFPVFLESAGYTHEDVILREPKAGILRPAFTILCDKNLKCFLLVIRGTHSIKDTLTAATGAVVPFHHSVLHDGGISDLVLGYAHCGMVAAARWIAKLSCPFLLKALNSFPDYQVKIVGHSLGGGTASLLTYILREQKEFSSTTCITFAPAACMTWELAESGKHFITTIINGSDLVPTFSTASIDDLRSEVTASSWYNDLLDQVEHTRVLNVFYRSATALGSRLPTMASARERVASASALLRPVSNSTQASSLVQLYGVMRRAQNVAEAVVRSRSTLASWSCMGARRRTVASLPSPKEEDSPETSLLGERSSSRSLHPFKSETANRVPDANRVKGSTSRGVLGHDETDEEEEVLTDTRVSSSASNMGDMSEGEFLYELEMELQRGDNGAEQKVQQKAGITKEEIELAVETDTKPITSTSDVVENLHLYPPGRIMHIITVVTSEASDSGQDHITEEHEHKHVSIYETNRELYSKLRLSKTMVNDHYMPMYKKMIELLIKELEK >KZM85024 pep chromosome:ASM162521v1:8:21778784:21779313:1 gene:DCAR_027554 transcript:KZM85024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGCLPPENGKTEPLHGEKFSEANISVGFSALLHLDINPVARLLSVLFLVAEESYIIYC >KZM84346 pep chromosome:ASM162521v1:8:12814098:12814862:1 gene:DCAR_028360 transcript:KZM84346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYQVKHGRSFRARIVKVIIWILAFCLTAYILGPPLYWHTSEALVSRFSCPPCLCDDCSSHSLPSISQGLNITSFIACMKNDPDMTEEMEKTIINQLSEELKLMESEATETQHIADKTLLETKKLVSQYQKEADKCTSGMETCEEAREKAEIALRKQQENTARWELRARQKGWKDDGPLKSTLRILDHGKLKVGDIDHM >KZM84369 pep chromosome:ASM162521v1:8:13165485:13167281:-1 gene:DCAR_028209 transcript:KZM84369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSWKLDKKCSFLAANIECDIFGAVRVPAAFHERCGDCLPSQQGRRYLDEDSEHSSESSGGNAGVDDEMAENDLQFTITVSTLLVDKKTHGAFIPVAIYPPNREWNKGDELELR >KZM85744 pep chromosome:ASM162521v1:8:28260014:28266464:-1 gene:DCAR_026834 transcript:KZM85744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLTRCTGSSQMASHCSIIQMDISGNSAANFSKTPIVPRCTFGWSGTQSSTFKPPLTKSSLPLRAVLTSETPNVSTSPLNDDGKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVARAPKSMEGYASIGGGSPLRKITDEQAEALRKALCDKNIPANVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLANMQHTVIPSWYQRDGYIKAMGDLIEKELEKFDQPKEVRIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELEKRKLTNPYTLAYQSRVGPVEWLKPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALNSGIEKWGRVPALGCQPTFITDLADAVIESLPYVGAMAVSNIEARQSLVPLGSIEELLAAYDSQRRELPTPVTFWEWGWTKSAETWNGRAAMLAVVVLLFLEVTTGEGFLHQWGVLPISR >KZM83722 pep chromosome:ASM162521v1:8:805695:811345:1 gene:DCAR_028856 transcript:KZM83722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKPISSPVPVVWYPTLAVFMLAIGLIVTASFFIYEATSSKRNRSLAKELTTGAVASVFLGFGSLFLLLASGVYV >KZM86108 pep chromosome:ASM162521v1:8:31392223:31394471:1 gene:DCAR_026470 transcript:KZM86108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIISTMIRHLVFLLIIFSSDLQNFFYYGNSLSPDGLTLLSLKSAIDNGSTIFSDWNEKDSDACNWTGIACSNVSGESHVVGISVSDMNISGYIPSELGSLAYLRRINFGGNNFHGPVPDQLFNATSLHSIVLHGNNLSGNLPPGICSLPMLQRLDLSNNRLLGSLPKELRKCGKLQQLNLCGNEFSGEIPAGIFAEMVSLVQLDLSRNSFTGKIPEDIGELKSLSGTLNMSFNNLSGNIPRSLGDLPLTVDFDLRSNKLSGEIPKIGSFSSQGQKAFLNNPLLCGFPLRKSCDDVSVSAESSSGDQSFSPWSDDENAEKGVSFGLIVLISVADVVGVAFIGSVIVLVYWRKQSCHGCSCTRNGKLGGNERGSLCSFPCIFGGFSSGSDSEGESEKGGGGGGEGDLVAIDKGFRIELDELLRASAYVLGKSRLGIVYKVVLGSGVPVAVRRLGEGGEERHKEFVAEIQAIGRVKHPNVVKLRAYYWATDEKLVISDFICNGNLVAALHGRYGQPSLSLSWATRLRIAKGVARGLAYLHECSPRKFVHGNIKPSNILLDNEFQPYISDFGLNRLIEITGNNPSTGGLIGGAFAYMKSLNSEQANNYRAPEARMSNKPTQKWDVYSFGVVLLELLTGKSSELSSAAASTSAEALNLVRWAKKGSEDENPLTDMVDPLLLKEVHAKKEVLSVFHLALACTDEDPEIRPRMKTVSETLEKVRP >KZM84882 pep chromosome:ASM162521v1:8:20477600:20479834:-1 gene:DCAR_027696 transcript:KZM84882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVVDENSSPDDQQDIERFDSASPTLGQLLKCVGDVRKEVTGDETPVHQAVDMSSAMGTEPRSVPFVLSFSNLTYSVKVRRKLTFSNLCGGGKRSVAGSAAPAEPFATENMFSRSKTLLDNISGEARDGEVLAVLGASGSGKSTLIDALANRIAKGSLKGTVSLNGEQFESRLLKVISAYVMQDDLLFPMLTVEETLMYAAEFRLPRALSKSKKQLRVQTLIDQLGLRNAANTVIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAYMVVKVLQRIAKSGSIVIMSVHQPSYRILGLLDRLLFLSRGQTVYSGSPANLPLYFSDFGVPMPENENRTEFALDRIRELEATPGGTKSLVEFNKTWQNMKSSRRNTASYLNSPSPLHNLSLKEAISASISRGKLVSGAVSSDVTRASMVPKFANPIWNEIAVLSRRSITNSRRMPELFGIRVGTVMTTGFILASIFWNLDNTPKGIQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVISHAMVVFPTLIFLAALFATLTFWAVGLDGGLSGFLYYFLIMLASFWAGSSFVTFLSGVVPSVMLGYTIVVAILAYFLLFSGFFITRNRIPDYWIWFHYISLIKYPFEAVLQNEFEDPNKCFVRGIQIFDNSPLGAVPDALKVKLLEGMSKTLGVPLSATTCLTTGADILKQQGVTDLSKWNCLFVTVAWGFLFRILFYFSLLLGSKNKRN >KZM84185 pep chromosome:ASM162521v1:8:9919103:9921502:-1 gene:DCAR_028268 transcript:KZM84185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRSSSFKNIDSDIMTNSMRSQSPRTNGRKNSINLSNCEPVRVMLETTSSFKNLAQDIKRSESEYLGVQSNEYVSKFRHNSVPFITLPEPAVLFSPRPISELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSYFNVEKPETAVSRWSRARTRAAKVGKGLSKCEKARKLALQHWLEAIDPRHRYGHNLHMYYDIWFDSKSSQPFFYWLDVGDGKEVNIERCPRSSLQRQCIKYLGPVERETFEVIVEDGKLLFRQSGLFVDTIEGSKWIFVLSTSRKLYVGQKKKGVFQHSSFLSGGATIAAGRLVAHGGVLEAIWPYSGHYHPTEENFMEFISFLKENHVDLANVKRGAMDDDCLSSSIDDMESMSQSSVTTTQPEDHNASDVNVPTDNTDAVDVEEPIFRMARHLSRKWTSGAGARIGCVRDYPTELQFQALEQVNLSPTRVASGPTYGNYGPIPSPRPSPKVRLSPGLSYMGLPSPRTPIASN >KZM85625 pep chromosome:ASM162521v1:8:27298716:27304772:-1 gene:DCAR_026953 transcript:KZM85625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLRDKGPQIFGSDAKAVGKKKMEWDLNDWRWDGDMFRAAPLNSNPSDCRGKQLFPSGSGMAPVVNLSNNSSSCSEETTNEREKRELEKRRRVVLVEDEEMNDEVGSPSLTLGGQVYPITTEGDRENWVEKNGKKTKTADGATPRRPVCQVEDCRADLSSAKDYHRRHKVCDVHSKANSALVKNVMQRFCQQCSRFHTLQEFDEGKRSCRRRLAGHNRRRRKTHPENATNAVNSNEEQSSSYLLISLLRILSNVHSNSSDQTKDNDLLSQLLSSLASRAGTINQSNFHRQPNESQDLQDAAASIRTQGQSSSRPTGQSSAVPAYGLTQKQENIDVAHDENLMAQKSSALIPMEGGVPAKANLHTSVGRMKLCNIDLNNAYDDTEDCMENMEHFDAPVNVASHQDSHKASPPQTSGNSGSTSTQSPSSSSEELLAWLANTPTDIESYIRPGCIILTVYLRMENSSWEELCCDLKSSLRKLLDSSSDSFWKTGWIYTRVRHRIAFAYDGQVLLDTHIPSESDKNCKIISVKPLAVSVSEEVKFLVKGYNISSSNTRFLCALEGKYLVQQDSSVLMDRDASLSNHQEEMQSISFSCSIPEVIGRGFVEVEDQSLSSSFFPFIVVEPDVCSEIRTLESIIEASEAAIGVKGKTEKSEASTVALDFVHELGWLLHRNQLKFRLGLMDPYQDLFPFKRFRCIMEFSLDHGWCAVVKKLLGILFGGTVDAGEHSSVERAVLEIGLLHRAVRQNCRLMVEALLNYRPENVVDKAGSDRKQTVAGHYLFRPDAVGPMGLTPLHIAAGKDGSESVLDALTDDPQSVGIEAWKNSRDSTGLTPYDYACQRGHHSYIHIVQTKIKKKSENRQVVIDIPSSLLDFNKNSKAADDLKSTKVGSLETEKYAMKLAQKQCGLCDQKLVYGNFRTSLAFCRPALLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWELVKYGSS >KZM85721 pep chromosome:ASM162521v1:8:28105885:28107802:-1 gene:DCAR_026857 transcript:KZM85721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIYTIITFVIILVLLLVVIFLALMLKLYAGKDIRNPQYSPVAGTVFHQLFYYNRLHDYMTDLGRRHRTFRLLAPDQSELYTTDVQNIEHVLKTSFSKYSKGETNVNIITDLFGQGIFAADGVKWRKQRKFASHEFSTGVLRDFSCAIFRKNAAKLVKTVSEFSLAGMAFDIQDLLMRCALQSIFKVGFGVDLNCLEGSGEKEKTFIKAFDDSNALTYWRYVDPTWQLKRFFNVGSEASLKKNIKIMQDYVQNLISEKREQSELQHNANEKEDMLSRFLLESKKDPENMNDQYLMDLILNFIIAGKDSTANTLSWFFYEVCKNPIVQERILQEIREVLGSKYDESSIEDFVETISDEILEKMHYLHAALSETLRLYPAVPLNGRCAEEDDILPDGYKLKKGDGVYYLAYAMGRMPYIWGDGAEVFRPERWLNSDGIFMPESPFKFIAFHAGPRICLGKDFAYRQMKILTIALLRFLQFKLADEKENVTYRTMFTLHINGGLHLRAVQRPA >KZM83881 pep chromosome:ASM162521v1:8:2565349:2572250:-1 gene:DCAR_028697 transcript:KZM83881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMEQGEGVSKKAPQSEPSSKRQLDFGGVSGMAESSQVLAGSQLRPVVQQLPVRPVVVQTASITQSLLMQMQAQGQAAHPPMRPSKPDSPKARPKQNSEVKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVHCHNNVENEPARREAVEATLERNPNAFRPKIASSPHATRDNREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANNIAFMHQAANAAITGAIGSSGYGPPVFKKRKGQELFFGSTAKDLSTHRQPHIQQTNFFRGPAPSSLSTNPGSGVSNCAAVGPSKSYRSLLEGIIQPEDLKQLCSVLVVYTGETGKIIEDRNAMEKQAEDRKENSLTSSNQERCQNQREFDAERSVIDDCSSANQIEKVIPDESSSDGADASNGRPMSPGTLALMCDEQDTLFAASQSGVLGNGGNASSQLPQGEGVTETYVEQERIILTKFRDCLNKLITLGQIKERKCSTMAQTESDDKIDAFSNATANASTGSIHHPGLFNGAPAHSMLPPVLIPQTVNPASVSAASNNDLQSRIPFTSENGTSKQKSEKSL >KZM85757 pep chromosome:ASM162521v1:8:28388665:28393574:1 gene:DCAR_026821 transcript:KZM85757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNHHLQHALKMVISISVMCLVLNNDMNLARAELETLVHHPDSSALLLSFLVVGDWGRKGTHNQSQVAFQMGRIGETLDLDFIISTGDNFYDKGLTSEYDPAFEDSFTNIYTASSLQKPWYTVLGNHDYRGDALAQSSSFLKQKDSRWVCLKSFILNTDVAEIFFIDTTPFQDKYFTDPEDQVYDWRGVMPRKKYLANLLKDLDMALKESTAKWKIVVGHHPIKSDGHHGNTQELVVQLLPILQANNVDFYINGHDHCLEHISSRDSPLQFFTSGGGSKAWRGDMSWMNLDETKFYYDGQGFMSMQITENEVQVIFYDVSGSALHKWSTSKWLYSTI >KZM85852 pep chromosome:ASM162521v1:8:29313914:29315981:1 gene:DCAR_026726 transcript:KZM85852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKIDALLGRTFNRTLKTYKFKPVVSLAISRLSVLKNQRQARMSVARSDVVELLNLGHHDRALNRVEQVIKEQNMVDVFVIVEGYCHLFVERLDLVEKEKGCPEELEEAVSSLIYASTRCGEFPELQEMRAMFTSRFGKEFVARGAELRNNCKVNTKMVVKLSTRQPNLESRMKVLKAIASENGITVQIEEESSITIENFEAGSNLKENSSNSLEEKVESFSDSMKGRKKYKDVADAAQAAFESAAYAAAAARAAVELSRSGSYDPDDQNSPSTRSKKASNKPEPRFPSGRGLDREESEISNTYQSVCQDEEIQISNNDEGSKKSSSGSSPYDSDHIPEGTEFSTNTEVQTKAFHREIVFDGSDDEDGTEKHTISLTRIHDSEPNTVNDINTSDGSDSEPTILHEQYPAPSQASPEWNPVPANPKFNYAEKPEAKRSLQLNLDNRPISMRTRRVYRQ >KZM84913 pep chromosome:ASM162521v1:8:20799806:20812681:-1 gene:DCAR_027665 transcript:KZM84913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDVQLRASGDAFSVKNKEEGEVTSSSDDDERPCHRSSQLDDRSSLQRVRSGSAPVKRNIQHDTTVAKSVPTNSRAGFVGQASRSNCQPHNRKKFEKNRTPFVISFSDDDSGSESEEHRQKSTYESRNETHGVVRSRRPPAASSSKVHPGNTRKEAMLPRKVSPSRTSVSSMMETGRLPSRNGGHVKSIIMNNKSKAEQEHEGNQNIHLNSSKLQDLRQLIAIRENELKRKVNKKKESPPSSCKADSATNLSSGASKMHREAHDDFVQYELKEPDRKRLKFEESHACPVKTEHRQGVMYTEPTLVSENVVLEKSGQQLIDNRCSYEKTVLGTSQCQRAEKNVCAGDDSNVAREGTSGITDVCCCNHAVQLAGPIIAVNRPVDTSNNTPHIANLGHPVGMNHQSPSLSGNKTINEFRPANKAVEPVRKDAQASRSNLNNNFTLENLNVSGTTNMDLQGLMEIEELHDKELEDAQEYRHKCELEERTALKAYRRAQRATMEANSRCAHLYRNRELFSAQLRSLMMDNPNMIWSSRLDDQRGEGPNSFNNLHIVPSRRRVESELYAHNHGENVSAVRSANVTQPNVSGLEENKKDFAINPSSEPDTSMFWSASLHNHTGEGLVSLKDPEVNMRAEPSGQQTTSRLCAHHQCKDEGNVRSANAFQQKVYKRYDRQALAVDPSSEPNTSTSEPEDNNADVNAAGCQSSDSNMSAEEEDEAFLIEHEIKDSNLENQREEVISGEHRELLYDESRNLNSSQDSLLLEASLRSQLFARLGVNSSLNKRGLGQKINDETESRSHPGNEDSLGLAQKLKDQAESSTHDGNEDSPEPSTGNLLSSDAKKDLSLDLGGNAIDRTLSELPLQIKANCYVEKSSNFGSTSTALPLDNKYLVEVLYPVLKSAFVHMKAVDVVSSVHLHTESNSTDPYTKVKNSSDDSHYEIESISSNSTPREETSVDSFKDVGFYSCNHDIDPLWPLCMYELRGKCNDDECPWQHVRDHSRIKLNIDNAIEDEGLAAAPGMMTAGAVRFSKSLDLLKLTSPSYLVCLDMMKADLRVRKSVLGQREPFLHGPGARIESYGSWNRQSSYFHSIQGMIRQNQPLVDNDESLDIALVILSQEANKQKGRIEALKVLARALEADSKSAVLWIVYLHIYYCNQKSIGKDDMFKYAVLPLELANNRAPGTFFNPRMDGATLGYKEFQVEHNRGSYELWLMYINSREQLEDRFFAYDASLSALSHNASPDKDAVHASECILDIFLQMMNTLCFSGKVGKALEKLHELFPSKINSCELYGLSEVVACLTVRDKCIFWVCCAYLILYKKLPDAVVSQFECQKELLALEWVSTQLTLDEKQQAVSLLEMAENSLELDIDSESHQSETAPKARHMFALNHIRCVAVLEGLECSRNLLDRYMKLYPSCLGLALVAARAHELASENTSFDGFERALNNWPEDVPGVQCLWNQYVEYALQSGRVSYVQTLMDRWYHSVWRVKRSQHEIVDIDTLDGEKSPGSQNSDAHFCNPSDIDRSFGLLNFSIYKLLQNDRTGAHSAIDRALKCASAKNYKHCVREHAMFLLTNGSQLKDTPPASKMLNFLEVYLANSYTFPTTELLSRKFIQTIKKSRVQQLVSNLFYPVSSDISLVNLVLQVCYGPLLLPQTYDKLTDIVDLVESLMEIFPANYELAISVGKLLSRASSYAVVGSSVSFWASSILVNALFNTVPVAPEYVWVEAANVLHDLEIIQPMSLSFHKRALEVYPFSMKLWNSYLTLCKTTGPENAVKSEAARRGIELD >KZM85866 pep chromosome:ASM162521v1:8:29447787:29449950:1 gene:DCAR_026712 transcript:KZM85866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPEDKFYDEIVSRLPPGYRFVPEDEELIEKFLKVIIKNPERGRVQGIFIVNLYASPPDELTAAHKPQCEDTWYFFTKRTRKYANGSRPARAAGRGYWKQTNVIDHIFGVDEEKKPAEIGRKITLDYWCKKDKVDWRTDWKMNEYRLYGPKSPDEQCSNAATSKEFDEWSLCRIYKSRGSKQKNKGKQLVLQVEAESSSGTQPIAPQEHNEHFAGEADGNFTEQSAAAASNNQLSIPREQYNGLFPGQFAGGNSTGQSTAAASSNQLSIPREQYNGLYPGHFAGGNFTGQSTTAASNNQLSNPREQYNGLYPGGPGPSSVLQFNNSGAFPNHSNYMANVASGSMNGMHYTSDDLLPENQYTYFMYENAAYVQPLRSLPPNCIPTWPPSDINQSSSSIPDQVTSEEEFDIYFNDLDFITYDDLKKDL >KZM85771 pep chromosome:ASM162521v1:8:28538290:28538601:-1 gene:DCAR_026807 transcript:KZM85771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHKFEGSSCYNKPVKLKRIIYKCTRSNSGSLHLAVICCSVACPNVCMHSSGFYHISRTILRVGTLCVTPTKGSSRARSSFERIPPCLCRFHGERKHEQCDQE >KZM84413 pep chromosome:ASM162521v1:8:14062937:14063191:-1 gene:DCAR_028165 transcript:KZM84413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKIGDHIDPAGWAEWDKDFALKTLYYGEYLNQGPGAGTAGRVKWPGYHVITSSTEASKFTVAQLIQGGSWLKSSGVRYTEGL >KZM84908 pep chromosome:ASM162521v1:8:20734254:20736502:1 gene:DCAR_027670 transcript:KZM84908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIADAAMGSAISELLKVVIHVVKQTLHFKTDLKNLRKTLESVKLVSDELEKLHKVLDRPKEETERFIEQLTRGLELVHKCGAINKWNFPKQYTHSRKLVDLDKSIVKFFNIDVQGLVAVNTFKTLIGVTQNNDKLDRLLGYIENDTDSVFGADFELNSILDANGDELSGLGTVTVTDLAGGIVELKDDTWIATTLTEMPCHHPHIYQTRVFECKTCNKQFSTFQALGGHRTAHIKLIRHVRLNNLKDHVESSSANPRVHDQGPFSGPEFATGEALGGHMRRHRGGGGDNPTVNEKMNHHIPVVKKSRSRRIICLDVNVTPFEHDSIDWGSSNSSG >KZM83887 pep chromosome:ASM162521v1:8:2624990:2628422:-1 gene:DCAR_028691 transcript:KZM83887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSAPVQQVMAAGSPNWWNINNMRPVPQPPQGSAPFFSPPQYAPPWQDNNQEAPESWSQLVMGGLADEEEKSGVSHLQHVLKLDKWEEQLLQQHHQQQQQQQQQAVTIGSISSLHGDIKRENSSNSYHMFGSENNMNAQFQAAIEPTWPQLMPVSSPKSCVTSLGSNMLDFSNSKADGRHLQPDRSSEVRKEKLGDRITALHQMVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSGSGTNMRQQHQHSVQGERNCLFPDDPGQLLNDNNGLKRKTAPDQKPDEETKKDLKSRGLCLVPVSCTLQVESDNGADYWGPAALGGGGFH >KZM83750 pep chromosome:ASM162521v1:8:1120163:1121374:1 gene:DCAR_028828 transcript:KZM83750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFWSAASVFSKKWQKWMRKETKKGRSGNGSSGLATLPVEKPISRQLRETQSEIADYGFGRRSCDTDPRFSLDVGRMSFDDPRYSFEEPRASWDGYLIGKNFSRLPPMVEDDPVVSVSRTDTDIPVVEDTFVGNGFGNGDEDVPGGSAQTRDYYLDSSSKRRKSLDRSGSLRKTAAFADIDDVKVVSNAKVTPAVIDYVNGGRELNGDRHLRELNLNSLRDDCSGTFELGSRDNASVIGNNGEGKESKKSRRWNWKILGFILPRGGNKEEDGERGNSRPSRFERSLSGSWQELRRDGNREGKGAFDRKAFRSNSSVSWRNSYNVAGSFGSMRKSSIEVNGNGKKKKEEFVLERNQSARYSTSDIDNGLLRFYLTPLRGSRRGGLGKSRSSNSQSIARSVLRLY >KZM86126 pep chromosome:ASM162521v1:8:31570998:31571147:1 gene:DCAR_026452 transcript:KZM86126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEDLRGMNIEELEQLEKSFEDGLRRLIKNIARIVFCHKHKYLIVELH >KZM85141 pep chromosome:ASM162521v1:8:23062557:23066290:1 gene:DCAR_027437 transcript:KZM85141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRHETSEMKYDKFSGQVTRRTRLRVWFVRICFCILIWTLLVQLVTVGGLWHPNLLTRSLNRRNKYNMLSIEENVVQSTPSLPPARNYSSNGYLKVSCNGGLNQMRAAICDMVTVARLLNVTLIVPELDKTSFWADHSNFEDVFDVKHFIDSLRDEVRIVKRLPKKFVKIYGYQPLEMAPVSWSNEKYYLQRILPLFEKHKLIHFKKTDARYAFPRWREKDIVSEERRLQGQCPLTPEEASLVLVALDFDRETQIYIASGEIYGSERRLDQLRVSFPLIVKKETLLDHEDLQQFQNHSSQMAALDFIVSISSSVFIPTYDGNMAKIVEGHRRYLGFRKTLQLNRRKIVELIDLHKNNTLSWDEFSAALKSTHKKKVGEPSHRKVMKSKPKEEDYFYANPHECLCEKPRCINLSHAENVSKNY >KZM83927 pep chromosome:ASM162521v1:8:3558873:3559844:-1 gene:DCAR_028651 transcript:KZM83927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRVLIYLHHHGDFTSATFKGNANYVGGKVDIMENVDTNKLTMLTIQRGEDFLEEKNMAPMLPPDMPKKLRGRPKRLRRREEWEGSSQNRIQAKEDVQGLQKLTSGKKMYCRNCRLAGHKKPNCPLLKKTSEGAREGQAAEGEAQGAQGEEVPVEVPSEVQRQLATKLPIRRKARPGVVIKEPIAQGSQFSTTPPTSSKGKEKVCTKRQERPFWMQNKRKKCATQETTKSADIEKGAEMDKVAGNEDFVMEKIDHPDEKERERLEKFRANLIGIGLRKLFMPTPGFKNMDHQHNGTPAADTPPVQEDFDADEENESDEFTSP >KZM85093 pep chromosome:ASM162521v1:8:22655793:22656050:1 gene:DCAR_027485 transcript:KZM85093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYQMIINQVSVVSNSSKWSRSNLSFSYFENIDGCKEDIRRPEKKEKNNQKECFRVPLLYDTCKEVFENDDPRIISFPRERPKA >KZM83870 pep chromosome:ASM162521v1:8:2457206:2459257:-1 gene:DCAR_028708 transcript:KZM83870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDSVGRVNIPVDQTAPADPNDDLDADSLIYKIKGFNRYALACAILASTNSVLLGYDIGVMSGAVLYLKDDIKISTAQVEILVGSLNVCSLIGALASGKTSDWIGRRYTIVLSAITFLLGAILMGLAPSFPFLMAGRVIAGIGVGYSLMIAPVYTAEVSPAMTRGFLTSLPEVFINVGILLGFICNYAFSGLPVNINWRLMLGLSAVPAVVVAVGVFNMPESPRWLVMKGRSDEARDVLLRTCDSKEEANMRLEEMMSATGDSPGHAKSASEWNGEGVWRELLRPTPAVRRMLIAAIGINFFMQASGNDAVVYYTPAVFKAAGIRKKKMLVVVTIIMGIAKTSFVLVSALFLDRFGRRPLLLLGTIGMAISLAGLGLGSRFLEASDHKPVWAIALCVVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAVSVNRVVSGAVSMSFLSISKKISFAGTFFILSGVMVLAAIFAYFVVRETKGKTLEELATLFDDEDTKQNKVRQNNEDY >KZM85761 pep chromosome:ASM162521v1:8:28422407:28424503:-1 gene:DCAR_026817 transcript:KZM85761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVITVDRRTYLRSASRITVLAHLLGLTALVLLIFWLLHYREGLDLDSENPSRVFNVKFSISLNLKIYLALLTSCILQSYGGAMAPSGPYVASSLVHLFAAEAMMVYKTIPAEHQVQKFVHLFFNLVSLVLGIVGIHAVFKYHDKLHLEDMTSLHSWIGMWLFGFSFFLFSQASTVNTRARALPWHVNGGRALLYMAICAAETGLMQKATYLRLGGSRESHLMNVLGVLILLFGITVDISVALARCI >KZM85569 pep chromosome:ASM162521v1:8:26817898:26822129:1 gene:DCAR_027009 transcript:KZM85569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAEKIELESPELLHSLKAFARSYEGCSNSCMEAFLRYRSIVFEKSLVLLPADTVAWENGGRWAANKRTRVTLDPPTSTYSWARKQSLWDTCLSTLFSALHAIQSFTREQERTMGGNNLETRSLIDELRPFDKTAGLFDLGHPLLNRVAESFVKAAGIGAVQAVSREAYFTATESVSGDNGGMPPGIGGSRRNRFPDLKGETNKKSVEALVKGSGKESLQWGLAAGMYSGLTYGLKEARGVHDWKNSAMAGAVTGAALALTSDDSSHEQIVQCAITGAALSTAANLLTGIF >KZM83932 pep chromosome:ASM162521v1:8:3623377:3624071:1 gene:DCAR_028646 transcript:KZM83932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAIVSTVVGKLSDLLIEEAEILNGVLGDEIEQVVTKLKWMQTFLRDADSKITEHKIRVLVAEIRDVAYEAEHVEKFPESSTPGKLKRFYKYTTVGPHEDVDRLVGHSVNEVDDCYQLISICGMGGLGKTTLAQKIYHHSTKKNHFTGLAWVSISQKWRKQNLWCNEFLYVLLMRTKVKSLLWTTES >KZM84806 pep chromosome:ASM162521v1:8:19528583:19529794:-1 gene:DCAR_027772 transcript:KZM84806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEESNHKESTSSILHYCKICNRGFSCGGALGGHMRAHNIAEVIDRIHGEQQPATSKADFGHESQGDKHSYFLRRTSNRFVSFRSSPRGDYYGKSSFDDEKLSIFSSLEGEGGGANRGYILEYLRAKEFQSFDEFRISREEEDLANFLVTLSNNSNSCNEDDYYNKSKEVAKGLFQCKACKKVFNSHQALGGHRASHKKVKGCYAARFDHLTSADTDIANEDSSSHDEFSTPLELNITTNNSNNHVMSHANSGKSKMHECSICHRTFSSGQALGGHKRCHWLQSDGAYMPSFHDHFQNYSAQSFQNVGVMGSTMLDLNLPPTLESSKRNSAKININIEDNDEKQICQTTNLETAMSLSLHDYSLGEKGREKKVAKLSNVNKEMELDPVSSPWLQVGLASTTG >KZM83764 pep chromosome:ASM162521v1:8:1360418:1362954:-1 gene:DCAR_028814 transcript:KZM83764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIQKKEAFDLDEDIYVHDSSVDHKGKLPLRASTGAWKASFFIISIEFSERLSYFGIATSLIIYLTKVIHQDLKTAAKSVNCWSGVTTIMPLLGGFVADGYLGRFPAVLVSSVIYFLGLLLLTMSRIIPSWRPCSSDICHKPRKVHEVVFFLAIYLISVGTGGHKPSLESFGADQFDDDHPEERRKKLSFFNWWNCGLCAGLFVAVTLIVYIQDNVSWGVADIILTCVMASTIIIFCAGRPFYRFRLPTGSPLTPMLRVLVAAFAKRKLPHASSTAELYELPNSAKTRGRLLFHTENFKFLDKAAIIEGNDRTLESQINAWRLATITEVEEMKLILKMIPIWLTSLPFGICVAQTSTFFVKQSSTMNRNITDNFLIPPASVFGLSAIGMIISVALYDKLLVPFLRRATGNERGLSILQRIGIGMLITFTTMVLAGFVERKRLNLVHSDPIKGSLSMSVFWLAPQFLIIGMGDGFSLVGLQEYFYDQVPDSMRSLGIGLYLSVLGVSSFISSFLITVVDKVTEKTGESWFGKDLNSSRLDYFYWLLAGIVAVNIVPYVAVTRKYSYKNVQQRGDVAAVADSFKGDGLEAIA >KZM84930 pep chromosome:ASM162521v1:8:20928945:20931557:1 gene:DCAR_027648 transcript:KZM84930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGEEPVIGIDLGTMYSCVGVFQNGHVKIIASEHGGRKTPSCVAFTDTERLIGEAVIDQAGMNAANTVFIRNAVITVPAYYNDSQRQAIRDAGTIAGLKVMRTIAEPTAAAVAYGFDKKLAGIVSSDGEKNVLIFDLGASNCNVSLLTMEDGIFEVKATHGASQFGGEDFVNRMVNHFVEEFRRKNNKDISGNAKCMRRLKKECEKAKRLLSSVPVTNIYLDYFYYDDSFSANITRDDFNELNKDLFESCVELVKKCLRDARIDKRHIHEVVPVAVFIQVFEGEHKLTKHNKLLGKFVFSGIPPAPSGVSKYIVYFDIDADGLFNVSAENENSEPLEEIKVPTYEDRFSKEELKKLVQEAKKYKADDEENKKKMEARIAVESYFYNLKNIISDERLSSRFGVASRKKMKDAIDIENLWSNGNQFVKLENLEEIIKELKGLAIF >KZM85904 pep chromosome:ASM162521v1:8:29803048:29812169:-1 gene:DCAR_026674 transcript:KZM85904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFTAALGSAASLYFHSHDNINSTSTLLHTLSSPPSCFCRNSISFKRINASVNQSSTVADSPAPAPPPPRGSADWKAAKTYIEKGLIYQGRIENFNGGGLLVRFQSLLGFLPFPQLSPSHSCKEPNKSIQEIAKALTGSLISVKVIQADETNKKLILSEKEAAWVKYSRKVSVGDVFDARVGSIEDYGAFLHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILHEGDEVRAKVIKIDSSKSRITLSIKQLEEDPLLETLDKVIPKDGSAGSDSSGDDESYDIEPLQGLEAIVEELLQEDGISDVRITRQGFEKRVVSQDLQLWLSNAPSTGEQFTLLARAGRQVQEIQLTTVLDQEGAEKISGSDFLSNLRGSHKGDTIASISMLKKYLQDIGYIKFDPNNANISSNIFDDLLESALKTYQSYYGLNVNGLLDDNTVSLLSQPRCGVPDFPQERPDYSFFPGKHKWGTYNLTFAFAPGTREDAINPVANALEDWASVSPFRFSLSNTFDDANFKISFQQFYGPYQPLALGFEPTAGKLHYNVDRKWVNGKVPGGYDIESVGLHEIGHLLGLGHSKDFHAIMYAYFWPNTVKIELQPDDIQGLKVLYGVEKASASDFLSNLRGSHKGDTVEGISVLKKYLQDIGYIKSDPSNTNISTNIFDDLLESALETYQSYYGLNVTGFLDDNTVSLLSQPRCGVPDFPEERTDYSFFPGVEKASAFDFLSNLRGSHKGDTIEGISILKKYLQDIGYIKSDPSNTNITTNIFDDLLESALETYQSYYGLNVTGFLDDNTMSLLSQPRCGVPDFPEERTDYSFFPGKPKWWTYNLTFAFAQGTRLDAINPVLQALEDWASVSPFRFSVKIFDEANFKINFQPFYGPHQPLAVGFEPTNGSFHFNVHEKWVNGKVPGGFDIESVALHEIGHLLGLEHSKDFHAIMYAYFWPNTVKLTLQPDDIQGLKVLYGF >KZM85931 pep chromosome:ASM162521v1:8:30022204:30024783:-1 gene:DCAR_026647 transcript:KZM85931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVISFAVEKLGDFLVHQVNIRIGVRDDIRWLKEELGFLQASVKRVESRQEDEIRLWLSTVRDVANDAVDILRRFQDGQASLEQGQGHMHTFLNCICICKKEAQLYDIGNDIESLKKRIGVIKERRLEYGIDNILAGPDVKQKERTLIRASAIDNKVDVVGFREDVDKLMTELNNEDPPLKIISIHEMGGLGKTSLATKLYNSNELRHVGTRAKVCVSNEYTIKDVLKRIIKSFKGPEHEQYMSNMDERDLLQYLPQLLQNEGCYLVLIDDIWDINAWNQIKIAFPNQDNGSRIIITTRNKKVAETVDIKNGLAYPLRLLREEESWELFCKIAEPNQNLENLGREMVGKCGGLPLAIVILGGLLFHNKSYDYWSKVKELIWRNLTDDSVDIVEILSLSYKDLSPQLRDCFLYLARYPEDKIIFVEPLKHLWIAEEFISEDEEGDGVLMEDLAEDCLNELINRNLIQIEYWQLNGKIVTCRVHDLVRELAIKKAKEQNLLVIFDSSKHQPNLIHLLEGQRRHAIYDEIGEYLKLLEQRRFDALYLHSLMLAGYRFKVELKEIKLMYTGFKNLTVLDMSCVASKSVPEELGELVLLKFLGLMGTVRRTIAVPASIGKLKKLRSLWGGHHDCYYTVPKEMWELPELRHLYDLFIEISERLNIGSHQTKLHSFSGIDFREWVKIDTVNFTNLCTLYIRDREGGGGRTFESIANLTNLQTFIFECGHDVVISTLKPFSVLNRLKSITLRGVIELSEFRFLPNSVEDLNLSHSRFTEDPMPSLGNLRNLTTLDLYVVYEGNKMVCSKNAFPSLQSLRLRYFTDLKELQVEDRALPCLESFRTIRCGELRSIPVQLERILIQTN >KZM85273 pep chromosome:ASM162521v1:8:24303447:24304007:-1 gene:DCAR_027305 transcript:KZM85273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPEQVAATQGSQVAHETGVNVGAETAWPEVIVAPETEWPAWPSRTDHVTPPFENPGCERGKQKEKLILKGGKGKTKKGTTCKGGGGRTSSAQTPPTNYLGPNLHGLAKPCEVPQVKSVVGGVQISSASFTKGGNFIVTQGALTKAREMAAKNVGAEGAVQDSSEGEGSKAGGNEQVDADKVTKA >KZM85646 pep chromosome:ASM162521v1:8:27535407:27535945:1 gene:DCAR_026932 transcript:KZM85646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNCLIAALGYLSHCTLLPPKLTQVQENNGQYSLAVDVVLATKGRTEDKFFVK >KZM85061 pep chromosome:ASM162521v1:8:22316973:22322262:1 gene:DCAR_027517 transcript:KZM85061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRVQQTGAECNSFTGGHQPEPSGQCCDAYRAVRSQLKTRAERREYCSCAHSVIAQYPDSAARIPRIDSLPGKCGVPFLFSGDPKFDCNTNGGNLYVLRSSTHLIIGEIKSLRARNFALASVPEGTENVSTKFNFSEGKTEMDAIAAAQERLMQERLKHKIDQINSAAQTQLEPVHHHINFTLQKAYFKCAYECFDRRRKQEDIGMCTENCSVPVLTAHHMVEDEMARFQEKLNRSLMVCRDKFETAKLQKTGTDPIVDLESCVDQSVQENINSLPFLVQKMKTSLSINNQSDNQ >KZM84475 pep chromosome:ASM162521v1:8:15099530:15099943:1 gene:DCAR_028103 transcript:KZM84475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEVVKVIKQCVSEGADANYIRKNILPGFIHNFWTPFIASNPDSYKHIVETTLELANKVGAAEILERIVEGLEDESETYRRMAVETIGKVVDEFGASDIDVPLERLLVYGILSAFIEQDSEDDADVMLNGFCVVVN >KZM86016 pep chromosome:ASM162521v1:8:30789078:30790166:1 gene:DCAR_026562 transcript:KZM86016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHFFTALVFLLTISSSVLTIHAFPADFVPKFFPNISSLPPPPKNLTAAWESFKNLTGCRSGETREGLDRLKKYLREFGYLNTENFTNDFDNSLESAIKTYQRNFNLNTTGELDEKTLTQIQRPRCGVADIVNGTSTMNPGKSKSSSLHTVAHYSYFPGSPRWPQNTLTYSFAAENQLDDTVKSVFRSAFDRWSTVTTLTFLQTDSYSIADIKIGFYSGDHGDGEAFDGVLGTLAHAFSPPSGRLHLDSAENWVINGENLKETSAVDLESVVVHEIGHLLGLGHSSVEDAVMFPSIPSGARKVQLDGDDVEGIQSLYGTNPGYVASANPGSQENETNFGNVVGPVWGQVLGLAFGLVLFIL >KZM84104 pep chromosome:ASM162521v1:8:7832263:7832688:-1 gene:DCAR_028474 transcript:KZM84104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLISTNFNKLMNIRAGITGMCVDAVLLGTTRISSYQIHIGCARKRGRIMHILDEHQLYLMFPPVKGHPHDFSCERYSEDINPIIWIYLCHKCDTSFHADSLNQGRWSNIKFGAIVKDDTLHHGLKITPAEPEFKCGSCGK >KZM85939 pep chromosome:ASM162521v1:8:30106774:30109538:1 gene:DCAR_026639 transcript:KZM85939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLINHKGYEVQACCIFLDDVDQFRMRWPSNSKIKVNGNDVKTEGRLGPGGLRDGVLISTYLDEGSNDISFTASPNGIFRLGIRLVKPRTIQEVCSIICNKQDGELLNDATARVIRCVTGGAATLDAAQRELEVLADSAAVDLRCPISGGRMKIASRFRSCIHMACFDLESFLGLAKTTKKWKCPICLQNYSWEDIIIDPYFHHVIRTIEQSGADTAEIKIRADGSWFPSNEASTSRTNSITCDDLSSPQRTPTNHGASLDDPLILSDSD >KZM83843 pep chromosome:ASM162521v1:8:2095508:2105002:-1 gene:DCAR_028735 transcript:KZM83843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADENGSPNRFNSTFGYGVGSSGYDISSISRNTLGNGSVTYAKSSTNSGSFKGAGVGNTSLLSVFCNTEAIWCSPPISGQGGGMASALSSGGSLNFDSADSSLELGPIGFARNTSSNIAPTSSMYASPKLDSFGLFNNQLNNVIFDVTPRDVVHYAQGYNVYNRSTKDMAFVYLSDLKGDEEDWVIRVRVCRMWESISTKDGSLISVDMILADEKGIHPRFSEGPDQAKGGCPLVKEGGGGFVSVNMNMSRCEKPPSPEPNAPPPRNAPKAVDEDLCKISPDLCYAKGGSSTGMLSCVII >KZM86138 pep chromosome:ASM162521v1:8:31703675:31704991:-1 gene:DCAR_026440 transcript:KZM86138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPELQARSHRPPYISTSISAPSFPTFNSPDINPNYSNGHVTTTNTISRSGSGSRRSLLQASSKTTSSSSRYSPSSFVHNARIAVALVPCAAFLLDLGGTPVVAALILGLMLVYILDSLNLKSGAFFAVWFSLVSAQIAFFVFSTSSLYLTFNQSVPLTVIALFLCAFSNFLIGVWASIQFKWIQIEYPTVVLALERLLFACIPFVASSLFTWAIVSAVGMSPNAASSYYLMSFSCLFYWLFSIPRISSFKLKQEILYHGGQVPTDNLILSELESCVHTLHLLFSPLLFHVASHHSVIFSSSSSISDLCLLFFIPFLFQLYASTRGALWWLTKNEHQIHNIRLVNGAVALVVVVICLEIRVVFHSFAHYLHVPPPVSYFLVTVTMLGGAAGAAACALGIIGDAFSSLVFSGLAVLVSGSGAIVVGFPILVILFLRQL >KZM83787 pep chromosome:ASM162521v1:8:1608277:1611760:-1 gene:DCAR_028791 transcript:KZM83787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKMENIFFSKAEKRSCSSQCSSNQADSEVGRVTRARRHISIVLKNGSEAGEISEVICEELPTNVCSFSISSSGKRCILENQENKHGNVEYECRTSEVVVERMAEHIETDACVNACGVDRTSVGISSDSLLEPQLTAKLCSAACYQNCPNIVDLYFNLAAGEGVFLPDLCEKQRTHPRRAMLELLSSGAAAGGPTSDHFEHEVAAAPAPSSY >KZM85269 pep chromosome:ASM162521v1:8:24276275:24276910:-1 gene:DCAR_027309 transcript:KZM85269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLLHLSNSSYSPESSFGSPENSNNYLPFNINDSEEMLLYKVLSQAEQEKSSLINDEASSTREKTLVQNKQKSYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDSAEQAALAYDQAAFSVRGSGAILNFPVERVRESLRDMKQSCEEGCSPVVALKKKHSMRRRNLNRTSSKTNLGEVKMLDNVVFEDLGAEYLEELLNLTDDSSTAR >KZM84783 pep chromosome:ASM162521v1:8:19106092:19110650:-1 gene:DCAR_027795 transcript:KZM84783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPIPNPTRLRHVAVIGAGAGGLAAARELRREGHTVVVFERENNIGGTWVYDPRTESDPLGPDPTRNIVHGSLYASLRTNLPREVMGYRDYPFVASTRPGRDPRRYPGHKEVMEYLNDYATEFGLGELVRFETEVLEVGIVENGKWKVKYGKNGKVDDEVYDAVVVCNGHYTEPRIADIPGIDSWPGKQIHSHNYRVPDPYRNQVVIMIGSAASAVDISRDVSTVAKEVHIASRSYNNGASKLPGHNNIWLHPMLISTMPLQIESVREEGSVTFQDGSEVRASIILHCTGYKYHFPFLKTNNIVTVDDNRVGPLYKHIFPPTLAPWLSFVGLPWKVVPFPLFEYQSKWIAGALSGKFSLPSPEEMMKDVENFYSSMETAGIPKRYTHNLASCQYEYNDWVAAECKSAPSEEWRKEMYVSASKSKIVRPETYRDEWDDEHLIMQAHEDFNKNFKVAKNLIKG >KZM84537 pep chromosome:ASM162521v1:8:15991585:16002419:-1 gene:DCAR_028041 transcript:KZM84537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFFNRGATDSQSPDSAEPPPYSPYTATPAVPSGPPRAIRLVYCDENGKFKMDPEAVAVLQLLKEPVGVVSVCGRARQGKSFILNQGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMSKRIRVRASGEKSSASEIGQFSPIFVWLLRDFYLDLVEDNRRITPRDYLEIALRPVQGSKRDVAAKNEIRESIRALFPDRECFPLVRPLSNENELQKLDQIPVKNLRPEFRSGLDALTKFIFERTRPKQVGGTVMTGPIFARITQSYLDALNKGVVPTITSSWQNVEEAECQRAYDLAAEVYMSTFDRSIPPEEASLREAHEVAVRKSMDAFDATAVGAGATRQKHEMRLQQFLKKAFEDYKKDAYREAYLQCSNAIQSMERELRTACNAPDAKVDNVIKVLEGLLTKYEASSHGPEKWRKWTIFLQQSLEGPVLDLIKKQLDRIGSEKSTIMLKCRSIEDKMGLLNKQLEASEKYKSEYLKRYEDAITDKKRISDDYMNRISNLQSKCSSLEERCSSLSKAVDIARHDGMEWKRKYENLLSKQKAEEDQATSELAILRSRSSAAEARLAAAQEQSRSAQDEAGEWKRKYDIAVREAKNALEKAAAVQERSNKQTQLREDALRDEFSVVLADKEEEIKDKAVKIERAEQRLTTLSLEMKAAESKIKSYDVEIVSLKHEIRDLSEKLESLNATAQSFEREARIMEQEKVFLEEKYRSEFNRFEEVQKRCKDAEKEAKRATELADKARAEAVTAQKEKSDAQRAAMERQNQIERAERHLDSLERQKAHLTDELERYRVAEMEAVSKVAILEARVEERETEIESLLKSNNEQRADTVQVLESLLETERAARAEATNRAESLSVQLQATQGKLDLLQQQMTTVRLNETALDSKLKTASRGKRVRVDDYEGGMESVHDVDLNDRKSRGNKRTRSTTSPLKTPEDGGSIFGGEEVSNSQQTSTEDYTKFTVLKLKQELTKHNFGAEVLELKNPTKKDFVALYEKFVLQK >KZM85800 pep chromosome:ASM162521v1:8:28784662:28786135:-1 gene:DCAR_026778 transcript:KZM85800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYNAFREILKVQKLRRIVSYTGFYCFTALVTYAYTNNTTRAGYSRADQYYASYPAGTELLTDAAKLYKQALGYCFEVEEWGPIEWCVMADHFDRQGKAPYEYHAKYMAHLVSHGQLEESG >KZM84321 pep chromosome:ASM162521v1:8:12378012:12384362:-1 gene:DCAR_028385 transcript:KZM84321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCSASLTSSSFPCPPTLVRTRFPRRAQVSVRVTNDSNKTELLSVSKSENSEADRIVDGMDFGELCNEFECISSPSVEATARQLARDILELRQGNRALGTFAVSVKYSVPSLCLFAIVMLSEFDPLRSFTGREKYKRPLWATDALDEPVVGVQEMSMSSTSLLNIKWTLKGKPKSLVAAIGGDVIVKVNSQFTLNQISGQVIEHKELWDLSASSTIAQAYFWSSRRLFSTVEFVKDITDSAKNLSSRFQPEKENTEIYPDPLGDPTKFFQRDDSFQRDAYQIALVLALVYFVVQFLKTTL >KZM83680 pep chromosome:ASM162521v1:8:402161:415882:1 gene:DCAR_028898 transcript:KZM83680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHLHHHLSSAALRRRLSPETSLSSRHSLSPLQFTIPSARTALTRRHRLTRASIHVVDDRIVEKEDQHAINGKRVLRVGVICGGPSAERGISLNSARSVIDHIQGDDLHVSCYYIDSNLNSFAISTAQVYSNTPTDFDFKLESLAQAFQSLTDFAEHLAASVDIVFPVIHGRFGEDGGIQEILEKSNVPFVGTQSNDCRKAFDKYDASLELNREGFITVPNFVLQGSDFDASGLSKWFSRNELDIDTGKVVVKPTRAGSSIGVTVAYGVSDSLKKAREIVSEGIDDKVIVEIFLEGGSEFTAIVLDVGSGSDCEPIVLLPTEVELQTHGDVDKSEKDAIFNYRRKYLPTQQVAYHTPPRFPIDVIHHIREGASILFKRLGLRDFARIDGWFLPSSTLGSSILDDKFGKSKFGTIIFTDINLISGMEQTSFLFQQASKVGFSHSNILRTIIQRACLRYPHLARFSSGLIPSGKSRLMSSQQTEKSPPHEPLQKAFVIFGGSTSERQVSLMSGTNVWLNLRASADLEVTPCMLAPAYNANLDSPNIDLSSMTVWSLPYSLVLRHTTEEVLDACIEAIEPDRAAVTSLLRKQVTDDLMEGLQKHSWFSGFDLSDEIPRNYSLEEWIRLAKEVGATVFIAVHGGIGEDGTLQSLLEAQGVPYTGPGVKASKTCMDKVATSLSLENAHGMIEMPNPPPDLLIFEPFIETDEIIISSRSTNVSKHGLLWEGHSRWIEVTVGVIGSRGSMRSLTPSITVKESGDILSLEEKFQGGTGINLTPPPLSIMSNEALLKCKERIELIANTLELEGFSRIDAFVNADSGEVLIIEVNTVPGMTPSTVLIHQALAEEPPMYPHSFFRSLFDLASERIM >KZM85208 pep chromosome:ASM162521v1:8:23721660:23722615:-1 gene:DCAR_027370 transcript:KZM85208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNVRVIRFCASISAKSAWNARSLATATRPLCREEQSEDQASIKETCGEITDETEEAIKKTCKEVNQACGAVKESAAVVKDSATTAYKVTKDVGAKVSKGTDAVLNATDTAVKNAKDVAFNAAKDKITETAKDKFLNKITGS >KZM83729 pep chromosome:ASM162521v1:8:872621:873547:-1 gene:DCAR_028849 transcript:KZM83729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVVAVYGNGAITETKQSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRSQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADDENHINKHNFRVPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKLAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQMGCDGVFVGSGVFKSGDPVKRGRAIVQAVTHYSDPQLLAEISCGLGEAMVGLNLDKNVERYANRSE >KZM84099 pep chromosome:ASM162521v1:8:7353478:7356955:1 gene:DCAR_028479 transcript:KZM84099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKRETSTVPSLPPPLPKSPPPYPDLYGKRLGSLASTYHGCAAVVDAVLILEDQTAAPAPVIYVIATHVLVALYRSVQVALVALVAQIPAGHAAAGRAPV >KZM83752 pep chromosome:ASM162521v1:8:1140986:1143581:-1 gene:DCAR_028826 transcript:KZM83752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDIAGRSLTSVFDEYQRVVDHSGDCSIQAMTAYMALAEAEEDMYTKDGTTDYRNNPTIRNKTGTWKACPYILGTECCERLAYYGININLVNYLKFQLNRSNVVAVNTVTNWSGTCYVTPLLGAFLADAYLGRYWTIAALSIVYVFGMTILALSASVHGLKPLCDDKHVCHPTSLQLGVFYTGLYLIALGTGGIKPCVSSYGADQFDDSDESEKKSKSSFFNWFYLSINIGALVAATVLVWIQTNVSWGWGFGIPAAAMAIAVVSFFSGTRLYRNVRPSGSPFTRIFQAVVASIRKSRVEVPRDKSLLYETNTVEASVIKGSRKLDHTDKLSFFDKAAVVTHSDEPKDSISPWRLCTVTQVEELKSIIGLLPIWASGIIFAAAYTQMGTLFVLQGNTMDLQMGPSFQIPPASLSLFDTISVIFWVPVYDRVIVPFARRITGHKSGFSQLQRMGIGLVISIAAMLCAGTLELVRLKMVKKHKYYELEHVPMSIFWQVPQYFIIGCAEVFTFIGQLEFFYEQAPDAMRSLCSALSLTTAALGNYLSTLLVSIVTDLSTKNGGEGWIPDNLNYGHLDYFFWMLAGLSLINLGFYFSVAKCYTYKKPVDPSK >KZM84135 pep chromosome:ASM162521v1:8:8751472:8752327:1 gene:DCAR_028318 transcript:KZM84135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDEITIKHTESVRSQPASKARSLPSIPKRLRTGVPAIQASSWLSSFEEKVVVINEKLDSISQSVAAGFSKIQAAVDSFSELLHAVNLPKGERNDRSDKGDSDQPPREPQGSLHREALLWKGDTSRGKKKLPRAKGRESSLNKYGVFDAYDDGEEFYMDQAILIMLDSNESVIHNQDNQFEKLIQDAKSGIGN >KZM85128 pep chromosome:ASM162521v1:8:22944263:22946309:1 gene:DCAR_027450 transcript:KZM85128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDALIQRSETFVAEAVVLEGEAETEVPEHPFDIISDFIDDFASSKNNFFSRVSGWMLSDMREDKIDEFAQEMEINGFWMIDRRESVAQTLLKNVDFKDAFHCNKKFNTADELVEHLPQCRFRTIHCPNEGCDDQFSAGNSEIHDAVCPFKMLPCEQNCSEILMRRDMDRHCITDCPMKHVSCPFSSIGCASSIPRARLEQHKLENVRDHVLSILQSIHKKASTEDLKHRVDQLIELSSPEQLAKPQNVRPLTTLIKNLEAKLGPLEVMPKTTSSEEIPSQNEGSTDSPKTKEEHVESSNTMEVRTQPPPENKTNDSPIKHIDSPQSSTMHSPARTEEFEDSPTKGSSMQSPAKEQHLAGSSDGNDDQGKNNELMDSPTKDRTRELPAEEKHPVESSVVAEHVPAAKSEEHNVSLDRESPSANDLSTGQAKMDIPMDSAKNDSTRESPAEEYPVDSSTVAKDLADSPTKIEEHKESSERSSLSGNDISTSPAKKDEVENKDLSDPPAKSEV >KZM84285 pep chromosome:ASM162521v1:8:11571217:11571569:1 gene:DCAR_028421 transcript:KZM84285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMESLIGLVSKIQRACTVLGDHGGEGMSLWEALSSVAVGGGLSSGKFYVLESGVGRDFLPRGSGSSPFRNIHDEVVLCIEIMIK >KZM84391 pep chromosome:ASM162521v1:8:13826206:13836503:1 gene:DCAR_028187 transcript:KZM84391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPNLRLSSTLSPPKLINLTNAKPSSSSSYSSSLLNCSPFVFSRNLPLRNLSSLIIRASSTVTEPEALKVYTVPTKPIEGQKTGTSGLRKKVKVFMEDNYLANWIQALFNSLPPEDFENGLLVLGGDGRYFNKEAAQVIIKIAAGNGVGKILVGKEGIMSTPAVSAVIRKRKASTKQTFLEANGGFIMSASHNPGGPDYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKMVDIPDVDLSHLGVTKYGKFSVEVVDPVSDYLELMETVFDFSLIRSLLSLDDFRLVFDAMHAVTGAYAEPIFVDKLGASTNSILNGVPLEDFGHGHPDPNLTYAKDLVNILYKEDGPDFGAASDGFKVDLGKPHIPN >KZM85600 pep chromosome:ASM162521v1:8:27040799:27041347:-1 gene:DCAR_026978 transcript:KZM85600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHDDSSVSEKKSNDISDLPTFNVENMQSNMKVIYYSRTFMSIIGGVIAGVLGFTSLMGFIFYFLVMAITSVGLAAKAGFSVHSYFDSWNRIILDGFLGGLMVHF >KZM83775 pep chromosome:ASM162521v1:8:1451623:1453324:1 gene:DCAR_028803 transcript:KZM83775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELDDGEFWLPPKFLSDDDLLMEFGNEKSGGFGLTRPNSDLSSPGESVMGSTETESDEDEYLFGLSRRLAKTSLNDDLWKVEPNNKWSSTMATSPQSTLCNVMGGGCACSSRGSPNCTSPPLSKAVNQSDTAWSLLYEAAGEVEKMRRFDEAAAKARAAHFGAARKPNQGFVQGKNMYASQSYQLQANQQQAMKQQQQANNLRWGQQQQMGYQNRGRTVNNGRPLGLSQSAWPTLQQSQQPQQQAGSGMRAVFLGNPGAKRECSGTGVFLPRRVGTATETLKRPACSTVLLPDRVVQALNLNLKAMDASAAQLHNRSNSNNASYEAAIKYRNSVLMAEAQAQQRRNAAAIRPQPMAAASNEVRLPQEWTY >KZM84203 pep chromosome:ASM162521v1:8:10178486:10183851:-1 gene:DCAR_028250 transcript:KZM84203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWALMTARDPMYSVENLIYIGYPGDPSSAIRITRRRRIDRKKKQTDRNVFQCFVFGPKEVGKSALLNSFVGRPFSNNYIPTTDESYAANTVDQLGGKKTLIMREIPDEVVGQLLANKDALAACDVAVFVYDSSYEESWKKTTELLLKVASHGEATGYEVPCLIVAAKDDLEPHMAALQDSTRVSQNMGIDAPIPISTKLGDFNNVFRRIIVAAEHPHLSIPETEAGKTRKQYHRLINRSVVFVSVGAAVTIVGLAAYRAYAARQNSSS >KZM85782 pep chromosome:ASM162521v1:8:28624698:28625021:1 gene:DCAR_026796 transcript:KZM85782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLPSVQVLILVLLLAMTMVISIESPYFPYEVQLGLDGRGEDGDLLMGDMIDPYEELNMESGSLRRRSLAGRSYISHDAPSKNNVPCNRRGHSYYNCQRSGRANP >KZM84516 pep chromosome:ASM162521v1:8:15576599:15577273:1 gene:DCAR_028062 transcript:KZM84516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSPNPQENQNPSQIPSPNTHATNISISNSSGHSTPVTRSDPNNPYPTTFVQADTNSFKQVVQMLTGTTETAKQAACGSTRPDPVRNLIPPIKSVTKKEKASKLYERRNSSLKNFKISPLIPGGFENYSGSPRTPEVLSPSMLKFPGLVLSPVTPLILDPFNRCSNTNVGPIDMEAENKAIAHKGFYLHPSPTNTPRGGSEPRLLPLFPVTSPRVSGASSSSY >KZM84860 pep chromosome:ASM162521v1:8:20232339:20236028:1 gene:DCAR_027718 transcript:KZM84860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLKGRLGSCPSCGYLLPHHFSLDHCASCGAAFPGERVLMIDRFLEKSEEERGRDGDDNGGVIQASSIIEKDNNDDVDLISGKERLFSATSYTGSSSALSVGDSELLGEFVSGREDTVGLSSNRNSRFKNYGYGEGMRQSRIPTDYGIDPNVDSFENDSRDTAYQLEDIRQRFNGLDGLTKVDSSEHDRAELVRKLNELKDQLSRLDNAVEHPIRSTGTDERKVRSPPNLYYGQEPSIPESSASLYNLKMQHPSRDRHDLRPLYHDRQKPFQHDQSYDVHAPRHVPYENLQHKDAYRPQMARPPPHQSFNQYLPWTNPDHFNGPSTDFSEDAPTSLPHETFFHQHGCSCSQCYSKSRKVPQKVPSPPNGNYYQLGNPIANGSLYSIPKNLAPPLDSQDPQPLTRNLRDLDTGNGAYVQLQKRRLVIGHENKRVFHPIAGGAPIVTCPICFELLKLPRKLMLVENNERKMCCGGCSTIVLCELDRKGIVISVLEKSNQSSERDDHSAEKLSENIRVSVSNSDAGVTNSESKDYNSSGYTYQLTDKESNLSQDDRHSDAAETRQESSLSFSSFSEDEILQDSETVQRVDLKSVKPPSKDNEFPLQEHHDNELNSAISKDEKGGKGKRSYMGKLFQKLTNSRRNSAKDVTAASETDISSDAYMNSGVTQDSREENIEEEHPKIKKRTESIFSGLREYRSEDFSDSILSMEFEESYVYVNGQNIPQLAVKKAEKLAGPIEPGEYWYDFQAGFWGVMGHPCLGIIAPYINAFNYPMPEGCAAGNTGVFVNGRELNQGDLNKLATRGLPVTKHNSYIMKISGKVLDAETREVLYNLGKLAPTVQRARRGFGMKVPKSLRNDQESG >KZM84635 pep chromosome:ASM162521v1:8:17224760:17225251:1 gene:DCAR_027943 transcript:KZM84635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRFMHFDVCSVTFDNSASLIILPFHHKWNHHGKIILENNLQRTVNREVLGTAPYSAGILVDGRKIRTETSADQHRQSRYHVAVIFLGENDDQEALAYAIRMAKSMRIQLSVIRLFPSEVSEENMDAVLDREILRETKILSWKQSNIVYEKRLLVMAERLL >KZM84269 pep chromosome:ASM162521v1:8:11313241:11313719:1 gene:DCAR_028437 transcript:KZM84269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSDNSNSGMTIAKGGSRTAELISPKHMDIEGYKVQSEMVTEGFDRLITGREERNESRGCSPEPEFCGLWFQTSGFSFIYKAKYTDYSGQLDN >KZM86056 pep chromosome:ASM162521v1:8:31106897:31108093:1 gene:DCAR_026522 transcript:KZM86056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCLPEEITFYEILLRRSTLSIKCWIRLTLVCKRWLSLITKPGPINSHLVHSLYLNNTCESPSVVAHYSGRHTFPEIIVLPNNNSVFYKFKDSVVKICVQIFGFDPSFLQVESYRHAAGALHVKLIDSVNGLVCFSHAYAQRVLFIWNPVTNLFTTVYKPSVVSSATILNEDSVFYYDPVKGDVKIMHIELLCWMENTNSIRVHVYSFNAEVWKEIKDDTLPRTQFKIMRTVKRRPGYTYVQFGSSELVAFDFNNEVFKRLPYFPSDSTINWMGSLCKVRLNYPEQVVYALDGSNATWMEKCIVRYSPEADKLRLRLRLSLSNGDMVVEDKYGTPLWSEAEADQRSRPTNLISETSGRYYDITPYTESLLCVKGMQDLNKKMKTRREVCNLRKKITR >KZM84398 pep chromosome:ASM162521v1:8:13896629:13896943:-1 gene:DCAR_028180 transcript:KZM84398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSDISVRFSVHHCCLDGGPPLTDFYEHMVPCAAFLSGAAKLPAAQWCVQSRMLGEKAKASPEYSKTLCECFKSLAGALGAAAKLYALPHLCRMVVDPHHGQC >KZM84544 pep chromosome:ASM162521v1:8:16071440:16072670:-1 gene:DCAR_028034 transcript:KZM84544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADEEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRTIHVGXKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRNVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVQTDDAKSFAEQEHTFFMETSALESLNVENAFTEVLSQIYRVVSRKALDMAEDPTVLPKGQTINVGGKDDVSAIKKTGCCSS >KZM84221 pep chromosome:ASM162521v1:8:10555937:10557379:1 gene:DCAR_028232 transcript:KZM84221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSSNSDEGCLVNSNNDDDTQEVSSNRETPFHISSLSTHPENILKKEQTILDQPVDPSIASGLDEGSRNALSSDQRSNNVVIRPQKPSALVIQNVMSPLPPGNYKINMRRSAPHLEKIQSQEEDSTTGVPRRIPRNVGNVQEEPRPWWKKNIRKEKEDRARKEKEEAEKAFVFQKESKRKFNFGEENEKGLRRRKLIMPPEFDPRPLEILKSEGQAGDQRGEEWFLFPLSKSEGEDDLQTLKFLCAYISNGAHLVPRFRRLMVDFINGVLAENCRIKFALFWDKVVVLHRKFQRLVQLNGGENFREIDFSRACDYEMAELCRLIWSDRKEGKRSGSCSDPDTDEKMRIEKEARAQMPQKPTPWWKKNIRKEKEERARMALPGQRSSVNNKVQEADKAFVFQEMKVDHGNAAGLAVNVDPGIESSDQGMNVDGGNASGLVVNVDQGDGADREVTLVKGYEAGTEQGVTMDHGNEATREA >KZM85425 pep chromosome:ASM162521v1:8:25655345:25663385:-1 gene:DCAR_027153 transcript:KZM85425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYYSGGYTAPNYQFPLMGRSNFGYQNQGWGAVRPPVAVHEPAVFVEEQQAKKVRNDVNVHKDTIKLVVDEDDPDRYLITFIFDAMFDGSITIIYFAKEEPNCKIVPVFPESYKPVKVPFQKGAGQKFRQPSRTGIDLAFFGVDYPSKLEEDVLPLVISAETYLPSLLADEQSSDHPPNPSPRRQITQAVLEEKDGEPFIVRVIKQILWIDDVRYELREIYGIGNSGEAISGNDSGKECVICMTEPKNTAVLPCRHMLGKCLAECKSFVFEAPIPRMQIDVIDNVTRMNYLYPVELSQVSTICLCSECAKTLRLQSNKCPICRQPIEELMEIKIDNDGQ >KZM84065 pep chromosome:ASM162521v1:8:6735612:6736695:1 gene:DCAR_028513 transcript:KZM84065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGAVEMEGGILVVSAPDGPMPQTKEHILLAPNDEKVAESYRQTAWKPKFDVKKGKQKAEEETSCVSDSLKGFQNHKFKILDLIEKAERQPNITIGVLVSIVVATPKVSVKPAKEEVTEASNNGEDSSEEKERNEDTTAAPRRRTRLED >KZM85084 pep chromosome:ASM162521v1:8:22568764:22570719:1 gene:DCAR_027494 transcript:KZM85084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKSSSSKKTKNEALKRAPFQEVNRGGLSRNSSGRSTSSISSSIDAPTGCLRFFLSNSKTPISQKPKLKSKMTTKSAPNAKTRSTSSRSSKNPSENHPPKPKSKKKDPIVSVVASKAKLLRKSPSVLNEIGVDMCLPNPGSKKLDNAGEVFDHMGGSNVDFTPLGKLGCGSVLDCDNSGKSVAEKGSSSGSAIKTPPVQASVSPELLRGSSVLNSISTMTPSTCYGAGHVISGVSDKRKCRPKGVLTVGAELDSSGCNVEGDNVVRGEDSSGHFIRILTGSRPSLVPLPVEASMSWHLSPCHEEDVGEKGDAVHKLFQKGNSVGLVTLDSPSLSTSPDELATNLCDTGSYNDDLDSDATPRGRLTRITLLSPRRLAEFQVIKASADTAVERLSLSSPSITPDGKVVLSKEERDNENNWAGESTPLSIGSLSSGNVMQTPKSESSSERHVFPSWLDVEDSAQETAIDSLTELLRPQSLSPRSQKSTWDPLGLKFQSTDLSSPCISIDPIQHQKAIEKHASWFSGSTFGDMQNSETRISWREGLVSQVLEMDDLDCCRYMSDEENDAGGSSEYLVKVCHESEGEQNDRLSTSGLRSPVFLDCEPDIGSKGKGKISSSKLNSCAESICTDGGGLVASRDSDWTICYKNQLFDI >KZM84063 pep chromosome:ASM162521v1:8:6697289:6697555:-1 gene:DCAR_028515 transcript:KZM84063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQIGLTIIVLVLLMSIPDIKGGNRSGSLDTVTPCCRKGGEVYPSAEYLTPTPSPSESPLTLPPILANSPYRGGSGQQVVTAGKASP >KZM85492 pep chromosome:ASM162521v1:8:26256982:26263491:-1 gene:DCAR_027086 transcript:KZM85492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQMIGGCTSMENTCNYLAFSYKFIVDGEWRHDERQPCVSGNYGTVNTLMLSRDSGTVMLTRDSGYSPTILTPQIPSGSSMDVDNAAFQRVVRVSNDTMNEALPRISEADLEVSRHRISAFLSTHTAYELLPDSGKVIALDVDLPVKQAFHILHEQGITIAPLWDFCKGQFIGLLSAMDFILIMRELGDRGSNLTEEELETHTISAWKQAKLFLNRQSNERRSVFTSQLVQAGPDENLKDVSIKILQNGVATVPIIHSSSEEGLYPQLLYLASLSEILKCICRYFRNSSGLLPILQLPICAIPLGTWVPRIGEPTRRPLAMLRPSASLNAALNLLIQAQVSSIPIVDDNDSLLDVYSRSDITSLAKDKVYTHINLQEMTIHQALQLGQEPYSPYGSSSQRCHMCLRSDSLHKVMERLATPGVRRLIIVEAGSKRVEGIISLSDVVRFLVG >KZM85707 pep chromosome:ASM162521v1:8:28028743:28031817:1 gene:DCAR_026871 transcript:KZM85707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVDDNEPPSKRLKGPPGVSKEDTLHNQIASTSLEDSMARPLSLQRDDETIGLKGIIKKHEFVKIINRALYSLGYSKTATILEEESGIPLHSSAVTLFMQQVLDGKWDECLNTLHNIGLTDEALIKSASFLLLEQKFLELLRKGKHMAALLTLRTEIVPLSINSARVHELSAYIIYPSQFLALGLSDQDTDIALSRAKCLERLQKLLPAAIMIPESRLEHLVEQALDVQRDACVFHNTLNSELSLYTDHQCGRDQIPSKTLQTLQEHKDEVWFLQFSHNGKYLASSSKDQTALLWEVQEDGQLILKHTLSGHQGAVSIISWRHDDSQLLTCGTDETVRRWDVGSGKCLHVYEKPGAGLISCGWLADGDGIASGMSDKSICLWNLEGNIVECWKGHRTENISDMAVTSDGKIIISICRGSTLLLFNRESRCERIIEEEDRITSFSLSKDNKFLLVNIVNQEIHLWRITGDPKIVSKFKGHKRTRFLVRSCFGGFEQAFIASGSEDSQVYIWHRGTGELLAKLPGHSGTVNCVSWHPMNPHILASASDDCTIRIWGLDKPKCKGTTQSNGKVHHQPNGRT >KZM85978 pep chromosome:ASM162521v1:8:30411833:30415512:1 gene:DCAR_026600 transcript:KZM85978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSLPLCLNPQFTNKPHTNNLISPYTYRNSFQSIPKSYICFAFSASENSSFEREEARWLREEQRWLREEQRWIREESRWRSEREALLGEIETLRGKIEELEDMKDRNLNVGGVLQVLRNEVSQIAERGSSAARLVVESLEREGEVEAEAEDEVEEEVEIKEVVRVFEKEKVRNDEVKKKRIMLRKGSEGEDVRIMQEALQKLGFYCGEEDEEYSMFSSGTERAIKTWQATLRIPEDGIMTAELLERLYAEQENDVSGLTEKADVKRTDMATVKKASNGAAASHIQVPDIQKRDAKENGAAETKVPHSRVFLLGENRWEDSSRLIGRNKQDGGSSINKDATRCISCRGEGRLLCSECDGTGEPNIEEQQFMEWVDEGAKCPYCNGVGFEICDVCHGKTVT >KZM84896 pep chromosome:ASM162521v1:8:20634015:20634890:-1 gene:DCAR_027682 transcript:KZM84896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKFSSSKTKLTFCVVLFALFLTSVSSFRLLEEESVSPVVPPETSAEEPAAGTGPIPTPTAPGNDPHHTLSFFMHDILGGTNPSARAITGVVTNPALNGQIPFAKPNGANFPLNNGVPGNSNNNGLIDNNNIPFLTGLAGSKSNVITNNGNNNNNGFGFPGAQLPIGSALQKLMFGTMTVIDDELTEGHELGSGLVGKAQGFYIVSSEDGNSQTMAFTAMFEHGGYADSLSFFGVHRTVVSESQLAIMGGTGKYVNAKGFAVVKTLPIVDHQTTDGLETVLECTVYITY >KZM84212 pep chromosome:ASM162521v1:8:10290698:10292023:-1 gene:DCAR_028241 transcript:KZM84212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVLSRELIKPYISTPSNLQHYRISLLDELSPTMNIPTILYYTDIQSSNHLKISLAKVLTRFYPLAGRYVKEACMVDCSDQGAEFVEAKVDIRIEDLISQGKDLKVELLNLLIPRPIGAVDEVSDPLLAIQVSTFACGGLAIGLMSSHRVADMATTSNFITEWALHAKIHGREDCLSMSPRWNSGLLFPGKHFAPLHLGLSRSEEKFEVHKVITKVFHFSKSAISRIQEKARGNSLSEKLPTRVQCVVGLLGKAIIDSHVANPANPREFLMSQILNLRGRTIPPLRKSQCGNLYLTAFVESVAGEGGVEFQSLVDMLSTSVKSGIEECKDALSTGEEGQMMVAKNFHDFKDSITNPGSFCAGCFSDLSKFPFYEADFGWGKPVWVSMANIPVSHGCFLLADESGQGMEAWIGLNVNDMSKLEMDGNIMEFTTQGMGRID >KZM85846 pep chromosome:ASM162521v1:8:29247328:29247633:1 gene:DCAR_026732 transcript:KZM85846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCGQKRSCSFIYSSSLILFLVVTFLHIRPHAQVEASRMLQALKEPYDHVKIQGMTKISIEANHFREFFKGRGAPDVDKDKGFEDNKRRVPSCPDALHNK >KZM85865 pep chromosome:ASM162521v1:8:29432984:29434096:1 gene:DCAR_026713 transcript:KZM85865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWISNSIHGDKFYNGSPFVIPQEEEALPFFQNFVSPLYDDTQLFSVPLAADEISNVPLPTVGTEIHQHSSTSKNESSEVKRGGLNKGSVRRSGKKDRHTKIKTARGVRDRRMRLSLQIARKFFDLQDRLGFDKASKTIEWLFSKSKKAINQLSSNGSHLTRMSSSTTSTGQKGSKSLEISEGLTKGKNASGQGECEVSGTKETKKSAKHNAKDLRDKARARARERTWEKKMNRCLEKSNWSLSDQVYSLAQLGPAGNPNPLFDLGQESMSNTSSQDNLSTSQSSIHHHLANMELLGTTTSPSGSVSCSMYGYDHEKNGDLFSGGLDSYSNNLSYLGWDISAECLNPNSTDHFLESSGKIFGYFDQNQVD >KZM85527 pep chromosome:ASM162521v1:8:26534800:26536932:1 gene:DCAR_027051 transcript:KZM85527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSKASTRTSAFVPLVLCLALLACQIEGGLAKGHRRGGRPRLPGRGPVARPAPNPGTIFNVLQFGAKPGSRLSQAQAFMKTWRAACDFDGIATVVVPPGVFTLAETVFQGPCRNPPLSPITFQIQGTMKAVADPSEYSDKSWISFEHVDGLVLTGPGTIDGSGPDVWKYNDCHTNSNCELLPASLYLNDVKNAKIRGVTSVNSMGFHMHVTNSANIRINGVHIFAPADSPNTDGMHISRSYAVRVSKAVIRTGDDCISLGQGATNINVSRVTCGPGHGISVGSLGKLPDEQDVTGVNVRNCTMAGTTNGIRIKTWPGSAPSKATGMLFSNIVMDNVHFPIIIDQNYGTHSDKPSLVKISDITYEDIKGTAASEVAVELDCSPGAPCDNVKFTNINLQPTINTMRLSATCANANVGYEGMQFPPPCLPPHP >KZM84490 pep chromosome:ASM162521v1:8:15324247:15331193:-1 gene:DCAR_028088 transcript:KZM84490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAESGLQGQLIMSVCVRDAPRLVAVLKEMKEGLDAVTNKVQALTAKWKSERTIFPGTCFMHLTSPTFPTILANVQVSYVSGTDDQLIMSVCVRDAPRLVAVLKEMKEGLDAVTNKVQALTAKVKADKLPTADGISYLDAKNLLLLNYCQSLVYYLLRKTKGLSIEGHPVVRSLVEIRLFLEKIRPIDKKLQYQIQKLTRLTGSEVDVGLNEKEEDSSQKTEDPLMYRPNPDMLALKTNVAEDSIGVYKIPKIVPTMMEEDKKTRQERNVLRKERQALRESGQSVYMRDMMNDLEGRPEEVREMVGTESIEVTKYKERLEAQSRIEEELFTRAPITKSEKKKMKHMKKSRNGMQAFTESFFDEIKTLPFEHNTDGQTSGFENDGYRERKFNKRKVRW >KZM84248 pep chromosome:ASM162521v1:8:10961748:10964366:-1 gene:DCAR_028458 transcript:KZM84248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSVSYGEKGPVFCGIKSDGSHLVTCFGSNPAIIYATPSNLQFIGLTAGSGFVCGLLMASNQPYCWGNSNFIGIGVPQPMSEGSQYLEISAGDQHLCGLRIPLKGKHRNVSLVDCWGYNMTKNHVFYGQTQSISAGSEFNCGLFAQNRSVFCWGDETSSRVISLIPNKRFQRIAAGGYHVCGILEGVDSRAYCWGTSLGEQVEISYTNLAEHNVDFAPSDPMLAVVGGKFHACGIKSYNREVVCWGYLIEGSTPPPKGARLYGITAGDYFTCGVLAETSLRPVCWGSGLPSSLPLAVSPGFCKPNPCVPGYYEFNNGSAPCRTGYRICLPCSESCPAEMYQTYKCTLTSDRQCGYNCSDCTSGECHAGCSSLTSATGKKNSKYWSLQLPVIIAEVAFAVFLSSIVSLTAILYVRYKLRNCRCSSKGQKIKKSNASSSPRNRGKVQPDVEEIKIRRAQLFTYEDLKNATHGFKEESQVGKGSFSCVFKGILKDGSVVAVKRAIISADMKKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGEERLLVYEYMANGSLHQHLHSKNKTVKEQLDWVKRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPANSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQFEEGNVVEWAVPLIKSGDIQAILDPDLTPATDMEALKRIANVACKCVRMRGKERPSMDKVTTALEQALALLMGSPSIEQPILPTEVILGSSRMHKKSSQRSSNQSVSEADVAESSEDQRNEFRAPSWITFPSVASSQRRKSSVSEADVDGKITEARNIGNVGSMGDGLRSLEEEIGPASPQENLFLQHNF >KZM84712 pep chromosome:ASM162521v1:8:18158016:18158311:-1 gene:DCAR_027866 transcript:KZM84712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVAAALPRERAVATGEDGGVGDGFDEAGDDEDGGVGDGFDEAGDDGVASALGRVSDGEGDP >KZM84795 pep chromosome:ASM162521v1:8:19326944:19328076:-1 gene:DCAR_027783 transcript:KZM84795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLIYILPLVLLLSLHACKARHLSLNDNRNSRRSHTVVKQNLHSKSLPSLYKETDSEPEVVQAREANSPGANELTNTVMAKDSEQTIPKNDNKKGGEIDISHPKEATQPEGWRRQARSTTESSPSEARETADTKESEIVDDVVAMDYAQPHRKPPIHNRKL >KZM85610 pep chromosome:ASM162521v1:8:27131267:27134649:-1 gene:DCAR_026968 transcript:KZM85610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASIARRKATSLLSGQTSGVFRYSLSLSSFSRSFASGSDENDVVVIGGGPGGYVAAIKAAQLGFKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAQHSFANHGVKFASVEVDLPAMMAQKDKSVSGLTKGIEGLFKKNKVNYVKGYGKFISPSEISVDTIEGGNTIVKGKHIIIATGSDVKSLPGVTIDEKKIVSSTGALSLPEIPKKLIVIGAGYIGLEMGSVWARLGSEVTVVEYAPEIVPSMDGEVRKQFKRTLEKQKMKFKLTTKVVSIDTSSSGVKLTLEPAAGGEQSILEADVVLISAGRTPFTAGLGLDKIGVETDKMGRIPVNERFATNVPGVYAIGDVVPGPMLAHKAEEDGVACVEFLAGKEAHVDYDLVPGVVYTHPEVASIGKTEEQVKATGVKYVVGKFPLMANSRAKAIDDADGLVKIIAEKETDKILGVHIMAPNAGELIHEAVLAMQYGASSEDIARTCHAHPTMSEALKEAAMATYDKPIHM >KZM84255 pep chromosome:ASM162521v1:8:11088352:11099165:-1 gene:DCAR_028451 transcript:KZM84255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSSNIQEQGVNGVFNDSEQVSVEKDLSGVNVVVDQGVSVCVCEKEEEEEGLKLQEGEEGLKLQEEEQESNKPMALKRKRGRKKRNDKQLDNQTQVIESQGDSEGTQLHGQACEENNSVRRSARKLAYQAFKPWWEEMQEGDELGNRKRRGRKPKLSNEARSPAGNEMQEGVDTNLKCGVVSASVDCKTDNANVNYDDGLVEKQRDFAQILTPGGSNMQVHNCEVKIPVGNYACSPEKDEMHEDKDVKIPTQRGQEPNLSNEVVSPGGGERPDDEEVKISKRRGRKPMKSKDDRDVVDDNGLGRRRECLEIDSPGGNKKESEPNTCHQCKRNDKGRVVKCTKCKKKRYCVPCMTTWYPKMTEEDFLKECPVCQVNCNCKSCLRLEIPVADKKRFILDFSKDEKIRYAKYILPMLLPFVRQFKEEQLMEKQVEAKIKGIPISEIKVQKVQCEAAERMFCNNCKSSIADFHRSCSSCQYDLCLICCREIRNGCLQGGKEEATMQLVDPGFDYLHGGDPVTTSAESRISMDITAETTNSDHIKSAYKWNSNEDGSIACPPENVGGCGQGILELRSLLSEDWVPNLLVDAEELAKTLELELPKTQEEWCSCSTNVDSEKQKSRKASSREDSDDNYLYCPNAADLTPEDLKHFQSHWRKGEPVIVSNVLSATRGLSWEPMVMWRAFRQVKDRKRDRLMDVDAINCLDWCEVNILTHIQEVVLSSQQCTDIQNLKKKHALQDQKEVFGSDQMLNHDTDSMDCVGYAGDITDGLEHPEGGALWDIFRRQDTPKLKEYLRKYFKEFRHIYCKPLDQVVHPIHDQTFYLTLEHKRRLKEEFGIEPWTFVQKLGDAVLIPAGCPHQVRNIKSCIKVAADFVSPENVSVCIRLAEESRVLPHDHRSQEDKLEGARWWEPECLSFLW >KZM84671 pep chromosome:ASM162521v1:8:17552200:17554231:1 gene:DCAR_027907 transcript:KZM84671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIMLPFLVYCYLLYIFLRSSILSLFLLVRYTLSQFCGLFSSRVDDAVSLYKGTLIHERRRPVGRSFKSSVRYALIDLDRSSCIPPNHLSAKEARSIAQTNGPVLLLTVPPSVGYQRSPVTLYYCYDLNESSANILKNCIAEVTNSPWGEQLRFVFNPHSDHIAKSLHVSPFMDMLGDWHLKTKAPGNSLSLTIAVKHPVLGNYFTTSLTAKKLLITSNVDYEFFFWLMPHKGAIQTYLQSFQLLSSVQFFQHPKYKNPGFTEENLKAAKGLGCCMAFSGNSHNNPQIDRHERWCSFKQAKWPWN >KZM84231 pep chromosome:ASM162521v1:8:10637992:10639209:1 gene:DCAR_028222 transcript:KZM84231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPPRKTPRLPENEPTYREPPQLPEDIILTKILTRLPAKIVGRLRSVCKPWRSLLSKPSFTKDHLNCTTQNPDEDNLIINKFVTNSNRKYYEIDVLSLSDLSETKLFDQYEFQRDYPVIKLIGSIYGIVCLYINVRDRAQFVLWNPVIKQAKEIESPECDIDLCGFCWDEVEADFKVIACSYRSEGRFYSVGLVYVYSCKSDSWTMQADARSWQPGIRFCDDYDEVPHSGVPAAIVNGVPYWQYSQRFEAGKPVFKFEVGSGEFREVPKPDVVGVSDKHEFLIVNWKERLSALVTQSYFSRTVVDVYCFDEGSGVWSKMHNIGPNMSNEYKLLGCFKYGGEIVTDINGNYVCYDYRTGETKGLGNRKGRLLTGFSHKDSLVFLEGMKRYRNATPYLLEKGKNS >KZM84016 pep chromosome:ASM162521v1:8:5487461:5487712:-1 gene:DCAR_028562 transcript:KZM84016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFAETPGSFGEKQFMLDRDMGLLFDEHPPANHGLGEVIDGEEPQVVGRILLCQDQRDAPPFLWRLLSIPARLLRAIAKWLF >KZM85973 pep chromosome:ASM162521v1:8:30386203:30386826:1 gene:DCAR_026605 transcript:KZM85973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTRLTGSKGCRVMILCRMFCVSIPLKMKQQRMRATRHGNLFWDKWVQGALLIKFLKNSYSHLTECTWKHRDFNFRNYRNDQLELTWYNKVGVNSDDLMTVDDLMTVDDVLKLMGFEA >KZM84494 pep chromosome:ASM162521v1:8:15396361:15398594:1 gene:DCAR_028084 transcript:KZM84494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHTPEKYSNLSGGARKRISDSSDTTLEGECKRRGCRTTLDKYLLQRQNDIRNGGNSPSSDNNMCYRDSSTEFGNLPIDLPLVETNPSHVESNSNRSPLSGIDNVQMAIAAAIRRRRGPNINSLFEAGSLRISDNVDKENRLRARSRTGRGPSLPDQFCISHKNKESPSNAMSTSLCELTNNASPDTLESCVSTGSAQSAVAPVKRKGSVRGLSIEKQLRNLEIGRPSHVNTPTLKNLLVKAEFVAAEDLASLRFWTAVRNE >KZM85902 pep chromosome:ASM162521v1:8:29775467:29778478:1 gene:DCAR_026676 transcript:KZM85902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQFPLQSKVNQPASSRFTFEGLERTNNPGPGFPGLKKRGQHHGNRSWIRIDHSGESTVLELDKATIMRRCSLPGRDLRLLDPLFIYPSTILGREKAIVISLESIRCVITADEVFLMNSLEACVVQYKSELCKRLQTSREQSDDLPFEFKALELALEITCMSLDAQVKELDMEIYPVLDELASSISTLNLERVRRFKGHLLALTQRVQKVCDEIEHLMDDDGDMAEMYLTEKKERRDSYNEDIYDPSNILGGTEIMSKSAPVSPVASVSGTQKLQRALSSMSSSKHGSLTDSSSGGENIDQLEMLLEAYFVFSDNTLNKLLSLKEYIDDTEDLINIKLGNIQNQLIKFELLLTAATFVTTIFAVITGIFGMNFETSVFDIASRFNWVLIITGVSCVAIYICFLLYFRHKKIFPL >KZM85043 pep chromosome:ASM162521v1:8:22095807:22096022:1 gene:DCAR_027535 transcript:KZM85043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEDDAREWSNSTTDGSDGDRTPATTTIPARAAADELRAVDDDVGSRPGSTVSSGRRPAAVLLGVSCVCLG >KZM84491 pep chromosome:ASM162521v1:8:15338395:15343937:1 gene:DCAR_028087 transcript:KZM84491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHAPLISTVRSPPFLLHRRFPHRFAISLCSTPRVTLADSLQKETLQTLEWPSICRQLAAFTSTPMGLHAARNANIPIGRSSGESRKLLDQTAAALAIPVPLDFSGIEDVTGIVDVSVSGELLSIREICTVRRTLKAARELFEQLQNISSNHERYTPVLEILQKCDFLMELEQKINFCIDCKFSVILDRASEELEIIRSERKGNMENLESLLKQVSSQIFQAGGIDRPLVTTRRSRMCVGVRASHRNLLPGGVLLNVSSSGATYFMEPKEAVELNNMEVRLSNHEKAEEKAIFSMLTSQIAESKTEIKYLLDRILEIDFAVARASHARWLSAVRPNLVSKPSKCIESGGVDYLSVNIEGIQHPLLLEASFRASSDFVESNSSHSRNGTMNSELLPGPYDFPVPIDIKIKCGTRVIVISGPNTGGKTASLKTLGLASIMMKAGMYLPAKKNPSLLWFDLILADIGDHQSLEQSLSTFSGHLSWLWKILEVVSEDSLILIDEIGSGTDPSEGVALSTSILQYLKDRVSLAVVTTHYADLSLMKETDSRFENAAMEFSLETLQPTYRVIWGSTGESNALRIAESIGFDAEIISCAHAWVQRLMPDKMQKRKGLLYQSLMEERSRLEAQAGRAASLYRDVMHLYNEIQEEAVDLAQREELIKATETQLIRIEIRSGISQIEDIVNEFEKQLRNARTDEFNSLLKQSESAVASVVEAYRRTKVFPIGGTPASSYMPQIGEKVNVKGLGYKFATVVEVDEDDGTVLVQCGKMKVRVDISSICLPPPSVKDATRNSAPHMKKTGQRSRSSRNFPKDNSDEVVSYGPVIQTSKNTVDLRGMRVDEASRQLKLAIGATGSNSILFIIHGMGTGVIKECTLEILGKHPRVEKFEQESPMNYGCTVAHIK >KZM84818 pep chromosome:ASM162521v1:8:19665848:19668600:1 gene:DCAR_027760 transcript:KZM84818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEHFPATIDEMSSKEKHSEVETSHSGEKALLQSVVGPIEPDNPFIVEQASAAMEELLRMAQAGEPLWIPNTDNGIERLSKNEYLSSFPKGIELEPMEMKSEASRQSAVIFINHTKLVEILMDVKQWSTVFSGIVSRASTIDVVSNGMDGNYNGALQVVTWVEHVEVDDRNVHHLYKSLVNSGLAFGAKRWVITLYRQCARLLSFQSNNIHAEYVRVMLTPEGRKSMLKLAERMVLGYCNGVGVSTEHMWTMFCGDGATDAKIMTRKNTDDPRTPDGTVISAASSFWIPVPPKRVFDFLIDVRSRSKWDLLTKVGQVQPIVHIANGRDPCSRISLLCLHNPGKSNKYILQESCADPTASYVIYAPVDRISMNVVLSGGDPNYVDVLPWGFAILPDGPGKNLGGILDVGSGGSLLTVAFQIKIRSVPPENLTSGQVATINKLIQCTTKRIKNALVSDSNV >KZM84932 pep chromosome:ASM162521v1:8:20943483:20947889:1 gene:DCAR_027646 transcript:KZM84932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEAEGPAVRIDHVLMCSMCGKCKEFGHNKRTCPSLHPVEANAEAEAANAEAEAANAEAEAADEGDSAELQETAIDYPDQGPPLTQQSQEFEGPLVEEEGDSEGEAASEDNEGEAASDASEESEDDETPLAIAAKKIRQMRIQKMGLTTTKDSPIMVAAGKLKTLKEIMDSEKKKETSVAEAQAVEMEDARRKTVKQEMQQRIDKGKNPMKVSEIEPRRSYRLFRKTALNKFTNTVDTPVVIDEAGEEDKQPPRVQINSYTGPMKLGKKQPPPVKQYKAIVGQTEVKSAPFLSHGRTVITKGTLAKALGALKKSYLPGSTAKGKDSQQP >KZM85937 pep chromosome:ASM162521v1:8:30068391:30079558:1 gene:DCAR_026641 transcript:KZM85937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSADNVRGLVLALSSSAFIGTSFIIKKKGLMRAGASGVGAGSGGYSYLKEPMWWAGMITKVIAGEVANFVAYAYAPAVLVTPLGALSIIVSEILAHFVLKERLNMFGVIGIVLCVIGSVGVVVHAPQERTINSVKQLWHLALQPGFIIYFCIVVVAVVILIFQVAPRYGKESLLVYIAVCSLAGSLTALDTFNINVVSPVYYVGFTCLTIVASMIMLKDWDHATSSQIIGAITGFITILCGTFLLHRIKDMAKTPLLGTPCRSPEATTGSARGSRTTDVNTSDSGSFRTPDVNNDYVVSVRAPEIHTDKTEDVRTSEILYGLQAVANPPDLIKIQSAVAKGAVCLDGSPPAYQLDRGKDEGVNKWLLHLQGGYWCTTVEECSMRMNDSSGLGSSNKMTSLYFTGILSDNKELNPSEIEFLLNYVDGPYYRGGRIFYAIMDELLKLGMENASNVFLTGNSAGGLAATYHCDTFKNILPLSTEVKCLADASFFIHLNNPSGFVNLHGSVTYLPLSCTSMMDAASCLYPRNVVPDIQTPMFLLNSAYDTFQVASAIRLPENSDRSCLYNLTGCPTAVLDAWINFRTKFLQEVTDLGNLSTRGLFINACHTHSQSEFQSKWLGDPDSMLDNMTISEAVGEWYNDNKLIQLIDREHIYPRQCVVDASEPYACNNYTIVLHGLQAVANPPDLIKIQSAVAKGAVCLDGSPPAYQLDRGKDKGVNKWLLHLQGGYWCTTVEECSMRMNDSSGLGSSIKMTSLYFTGILSDNKELNPNFYNWNRVYVRYCDGSCFTGDKQESSVDGPYYRGGRVFYAIMDELLELGMENASNVFLTGNSAGGLAAMYHCDRFKNILPRSTEAKCLADASFFIHLNNPSGFVNLHGSVTYLPLSCTSKMDAASCLYPRNVVPDIQTPMFLLNSAYDTFQVASTIRLPENSNRSCLYNLTGCPTAVLDAWINFRTKFLQEVTDLGNLSTRGLFINACHTHSQSEFQSKWLGDPDSMLDNMRTHLSQTMRCRCF >KZM84962 pep chromosome:ASM162521v1:8:21164453:21165604:-1 gene:DCAR_027616 transcript:KZM84962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSDPPKAKKTETEALRRAPHEKPPFTIGDLKKAIPAHCFEKSLITSFRYLIQDLLMAYALYYVATNYIDQYLPHPLNYLGWAAYIAVQGCVLTGAWVVGHECDHDAFSDYGWINDLVGLVVHSSLMVPYFSWKISHRRHHANTQSLENDEVYVPRFKSNIRNYYKIFNNPPGRVLVWVTTLLIGFPLYLMFNVSGHKYERWTSHYDPHSPLYTERERKQIIVSDVAILAVIYGLYNLVLAKGFVWVFCVYGGPLLVVNGWFTLITILNHTHPSVPYYDSTEWDWLRGALCTVDRDYGILNKVFHNVCNAHVCHHIFSMIPHYHGLEATEAMKPLLGDYYQYDGTPILKAMYREMKECIYVEKDEGETKGVYWYKKDI >KZM85301 pep chromosome:ASM162521v1:8:24526241:24528006:1 gene:DCAR_027277 transcript:KZM85301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEVDVTAAGAPKKRTFKKFSFRGVDLDALLDMSTDELVKLFGARARRRFQRGLKRKPMALIKKLRKAKREAPAGEKPELVKTHLRNMIIVPEMIGSVVGVYNGKTFNQIEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KZM85845 pep chromosome:ASM162521v1:8:29238790:29239625:1 gene:DCAR_026733 transcript:KZM85845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNCAIQNASTTWEEMRSSVAVAVSVSDKRDTVVCPKPRRVGLINSFVDEPLRPVRWPMSHQSDFCESKAGNELLDIILSKGGYTVEQSSTQASSPPSFFCGSPPSRVSNPLIQDARFGDEVNQVSPWAVPIQPGMPASPSASSRKGACGRANFGNKPVVRIEGFDCLDRNSRHCSIPTMA >KZM84821 pep chromosome:ASM162521v1:8:19770230:19780699:-1 gene:DCAR_027757 transcript:KZM84821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGFFYLINHGVENELIQKALEESRNFFSLPLEEKLKLPRTEHRGYTPLYAEKLDTSLHSEGDLKETFYIGTLDDDKSHLNQWPSQEILPSWRFTMELYYEKVLSAGRRLISLIALALNLEEDFFLKAEPLYPFLRLLHYPAEQGMLDEKVLGASAHSDYGMITLLVSDGVPGLQARVIFKVFACKDTGFFYLINHGIEIELIQKALEESKNFFSLPLEEKMKLAWKEHRGYTPLCSERLGDVKETIYIGPVEDDKSYLNQWPSRDILPSWRFTMELYYEKVRAAGRMLISLIALALNLEEDFFEKDGPMDPFLRLLHYPGEQGVLDEKVLGSSAHSDYGMMTLLVTDGVPGLQVCREKTKKPQVWEDVPHISGAFVVNIGDMMERWTNCLYRSTLHRVIRTGQERYSMAFFLNPFPDSVVKCLKSCCSEASPPRFPPIRSGDYLKERYRANNIGNTGNDQ >KZM85112 pep chromosome:ASM162521v1:8:22818487:22820023:-1 gene:DCAR_027466 transcript:KZM85112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITPYQMISNLQPGRKNDWRLKIRVTRMWRPSNQEGETVGINLIFVDELGERIHAWIQRAYIPLLENQLTEGEIYVIQNFVVRQYTASTVCRCFRNDLWIHLNNITEVLPPEGAVFIAPHVFQFTELSDIFDAARQTEYLIDVVGICQLIQPVSTFTNQYNEQRTKIHFRITDTHTSTPVVFYDELATAFHHAVVDAVEQPAIVIISSCKAQCLTAVKSRTQLQVIVSIGAGEESSRHD >KZM84445 pep chromosome:ASM162521v1:8:14701849:14707889:1 gene:DCAR_028133 transcript:KZM84445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNWSMIERFSDTFRQNPSLSRLLVVFTVSGGGLVAYSEANSSNSEVKVATPEVVSRKKKVVVLGTGWAATSYLKNLKNSSYDVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRNIVHKKNIDIHYWEAECYKIDAENKKVFCRSSKDGIVNGNEEIVVDYDYLVVAMGARANTFNTPGVEENCLFLKEVEDAQKIRRTVIDCFERASLPKLTDAERKKVLQFVVVGGGPTGVEFAAELHDFVSEDLVKLYPKVKDFVKITLLEAGDHILNMFDKRITVFAEEKFQRDGIDLKTGSMVVKVSDSEISTKEIKNGQISSIPYGMVVWSTGIGTRPVIMEFMKQIGQANRRVLATDEWLRVERCDGIYALGDCATINQRKVMEDISAIFSKADKDSSGTLTVKEFQDALDDICERYPQMQLYLKNKQMSSLVDILKESKGDVVKEAVALNIEEFKQALSQVDFQMKNLPATAQVAAQQGAYLANCFNRMEECEKNPEGPLRYRGEGRHKFRPFRYKHFGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKLVSWRTRALVVSDWGRRFIFGRDSSQI >KZM84123 pep chromosome:ASM162521v1:8:8476391:8482035:-1 gene:DCAR_028330 transcript:KZM84123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLRSREVKSVVEPVSKSNSPNSENLKQPRVSSIEPETPRNIGETQAADASNTATQMTGGSTVSGTVRRSSRLALKACGSGAERSQSVSRVSSRKKRSVKLSGGGSGKSEGRVEMENAVVEDSVDGDLVSKKGELGFEGESGVAVGDGMISEVSKGNGEGDLGGEVGCEEAVVDGIIGEVSKGNGEGDLVGEVKCGEGVVDGMIGEVSKGNDEGDLGGEVECGEAVVEGSGGDTASNEVVKKGNGKGKRKVGFEGLGEGEEDGRCLRLRSGKKVVMRGGVRGSVDNEELLDRVTAGCDDVEEKGEGDCGEEETGAPGDLQSNLSERLNNEMMRNSDKGKGRLSRKDKGKGKLVDMVIEPEAREAGVLDSENVLVKEDGSSYSINNISSSESDEDDVMKEGGSQISKPRIGNHVQGIDAIADRYRAIVGKRNKGPKGEEIDAWDELISKCVDSMKALLTMNEKVSPTQKHKGILNDELVGPSGVGRRRLSRQQKGKWIAPETVVKSGTRKVAFSDGGNAEMQDGIPEVQRAERSNGDTVPVQDGTQLQENDVGDHVARTRDRFRAQARRSASKFAYFSTVEEEQNNLAGAAETEMTQREINVEMEDWPGPFSTAMKIIRERGTNVNLQQKKGKETSQVMWVPKQEKDRKSSDVSVPMLLELCLRTLTKNADALTSLDYVPDVLRHKLSHMLCDMRRMNSHFLELLVRGSPAEIRIRDCSWLSEEDFTKIFAEADINNLAVLQLDQCGRCMPDYILYSTLGRSPNCLPLLTTLSLKGACRLSDAGLSTLLSSAPALRSVNLSQCSLLTVDGMNSIADSLGSALRELYIDDCESIDPISMLPALSKLEHLEVLSLAGLHAVCDDFICQLIALRGHNLKELVLADCVNLTDLSLKIISKSCTGMRALDLSNLCKLTDIALGYLANGCQLIRTLKLRRNVFSDEALAAYLEISGASLEELSLNNVGKVAQNTAVSLAKCSKYLQHLDISFCRNLSDEAVGLVADSCLSLKTLKLFGCTQITNLFLDGHSNEQLQVVGLQLTPILENIKVPELVGPLRYSSVPSQ >KZM83861 pep chromosome:ASM162521v1:8:2364463:2364717:1 gene:DCAR_028717 transcript:KZM83861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLAPSYLLPEGTLATRWHRHVLRAPAIVETRRQGLPGPVVPATDSKLQQCICSPTTHPGSFRCRYHHAEYVWGCRNSQAVKLS >KZM85804 pep chromosome:ASM162521v1:8:28828358:28828597:-1 gene:DCAR_026774 transcript:KZM85804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDTKKLRAALLIFTIFAAAASTGASMKVKAMGFYSAIPNELPRGPVPPSDPSPCHNRVKLMHEGEDTYGSGHFVICP >KZM85263 pep chromosome:ASM162521v1:8:24191414:24197840:1 gene:DCAR_027315 transcript:KZM85263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKCKTIVWFRRDLRIDDNPALVAGARDGSILPVFRWCPQEEGQFYPGRVSRWWLKQSLAHLQQSMESLGAKLVLIKAQSTFKALLECISAVGATKVVYNHLYDPVSLVRDHTIKQKLGELGISVESYNGDLLYEPWEIYDNDGHAFTTFNAYWERCLKMQKEPTSHLPPWQLVPVEGIVSDCSIEDLGLENEMEKSSNALLGRGWSPGWSNADKALNTFVEHNLIDYSKDRVTVAGNSTSLFSPYLHFGELSVRRVFHCVRMKQILWTKEANSVGQESVSLFLRAIGFREYSRYICFNYPFTHERSLLSNLKFFPWQANQDHFKAWRQGRTGYPLVDAGMRELWATGWMHNRIRVIVSSFFVKFLLLPWQWGMKYFWDTLLDADLESDILGWQYISGSLPDGHELERLDSPQVQGFKFDPEGEYVRQWLPELARMPSDWIHHPWDAPPSVLRSAGVELGLNYPVPIVDIDLARDRLSDALQILREREVVSRAEKSGGNDEVVGDNSDCIDNLAIPKVVLKERAPCSATSSHDQQVPSMQNFKTGFSSRKRLLPVEEGNLKKNMKISNSEGETSKMDDDLCSTAVSSSSKKQTTCSRTSFSVPQSSSVSSDLKQPSNVEIDMENSSGN >KZM85332 pep chromosome:ASM162521v1:8:24796603:24799727:1 gene:DCAR_027246 transcript:KZM85332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKKLNSVYRLSRNRWHIAYAAICSARAFCNPLKSSEPRSYSRIPTESYIIDAVQLSSRDINQSRLRHLVDKKDLDHLGALGGVKGLMSALKTDGERGILADSDDISQRQEAFGMNTYPRPPAKSLFSFVVEQLKDTTVIIILVCAVLALVFGMIEYGAEGWYLGVSVFTSVLFVICISVAVNLKHDRLFRKLSMASNNNIQMEVLRSGMLLHISVDDIVVGDVVCLKTGDRVPADGLLIEGHSLQIDEASMTGESDHVKVDLHENPFLRSGTEVVDGHAKFLVTSVGINTAWGETMRSISHDSSEETPLQSRLNKLFSLIGNTGLAVGLVEFVILLIRYLTGNSEDEDGQTKPKGVVGIIAYSLTLIVVMIPEGLSLAVTLTRYYSTRRMFARQPVAKQISSCETMGSVTAICMDKTGTLTINKMEVTKFLLGQEFIEKFNYTLIASSVMELFHQGVGLNTTGSVYKTDSGSKLEFSGSPTEKALLSWGVLELNMNFELLKRSSELLHEEAFSSEKKRSGILMKKNGDNTMHMHWKGAAEIIIAMCTHYYDSLGNVKVMEDTERENLNQLVQGMAASSLRCIGFAHKEVYEHELNDGEAQLKENNYTLLGVVGMKDPCRPGVRKAVQDCQRAGVNVKMITGDNVFTAKAIAIECGILRPDQDMDGAVVEGEEFRNYTQVERLKKLDTICLMARSSPSDKLLMVKCLKQKRHMVAVTGDGTNDAPAIKEADIGLSMGIQGTEVAKESSDIIILGDSFASVVAVLKLGRNVYNNIQKFLQFQLTVNVATLVINFVLDISVGETPLTSVQLVWINLIMDLLGALALAAEHPGKEILDMQPIFNLFNARKLENKNIFEGIHKNKMFLGITGITILVQVVMVEFLNNFTGTEKLYLGEWGACIGIAILSWPIGILVKYIPVPHKTIFQISQDEEVGEELDEVEEELDELGEELDALGEVLGGLIN >KZM85699 pep chromosome:ASM162521v1:8:27974582:27977765:-1 gene:DCAR_026879 transcript:KZM85699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDESGDSSTWSREQDVKFENALATYSEDLSDRWQKIAADVPGKTIEEVRHHYELLVEDVNQIESGCVPLPRYNSSSIGSVGQSGNEGTGKKGGNFGHLNSDSNHNGKASKSEQERRKGIAWTEEEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLSSINKDRRRSSIHDITNVNNGEVSPLAPITGQTNGSSAGGSSGKPSKQTPQSPLVASGVGMYGGTTIGQPVGGSLVSGMPVNLPQPAHMAYGMRAPTGQVVGAPVNMGTMAYSMPQTSAQR >KZM83940 pep chromosome:ASM162521v1:8:3757741:3759835:-1 gene:DCAR_028638 transcript:KZM83940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVQDDVQIVKKRKGYGQWTQEESKALLEIMVDAANRGWRDNSGVFTKQIVEDRILPALNAKLGCNKTYNHYYSRLKWFKGRWIAYSTLLKFNSGFGYDSISKKFTAPNEVWDDYLKRIFGVFKSRFTIFKVAAPFSFQTQAELVLACAGLHNFLRKECRSDEFPVESTDDQFSDMDDHVYEPNLLSQQQQREEANNWRATIANAMWNNRPRNTTTNEEEGNNKEEGDNEEENDEEHMHL >KZM86127 pep chromosome:ASM162521v1:8:31587963:31588595:1 gene:DCAR_026451 transcript:KZM86127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEYARFQKKGIDPDIVSKNDFMFGSTVATGEFAWAPSSDADVLAKKDQNEDDIISLNDSADDPLQKSMDRFLQEDDINSDDNSNINKKRKTRKRNIESDQGTSINTKDKGKKQRMSGASKLRSDISKLVETVEKRSGISEVGCTIKEVMDDLHAIPDVPKNTELYYFAVTMFEQKAKRELWKHLETTEAKVGWLKYQKEQQDMRNSKY >KZM84034 pep chromosome:ASM162521v1:8:5775896:5777171:-1 gene:DCAR_028544 transcript:KZM84034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSICSAMPFILRPPRNSICASCYEGARSIIALTSKPVDLDQSSTKSAMFPPSSSKGFGNALKWFKEMKEMEEELTEKMTFLGGFVAAFRDELHSDIQLKPGDQGPSIPAHRAVLATRSIIFKHMLEADGCKAAASDTITLPELNHEELESLLEFLYSGHLSEAKVEKHVYALSTAADKYEIPFLQRFCGQQMLRTLNATNALDVLEISDNCCNQSLKETALSFIVKNMEDVVFTSRFDAFALRNPHLTVQITRASFMDIKYRRNGVVKDV >KZM84069 pep chromosome:ASM162521v1:8:6794508:6795002:-1 gene:DCAR_028509 transcript:KZM84069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRVQPAQTSSESDGLEPQMEKPNVKSFTLKFDIVGDKFDKLGEKIEYLSAIERLMEVIQLSRYSWKDNAED >KZM84702 pep chromosome:ASM162521v1:8:17879892:17881205:-1 gene:DCAR_027876 transcript:KZM84702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVRTHSSPDLPTTYQYDDSALEGVAANVKLLLKLIQDHKHACNKGQKDSRRMLRVAGMMTVLDNVRTRIQKCQSFGNKRSEAELKRCMSDVKLNRMVLKDKKPVDDEKAELIKQLSASLAAQKSLQLMCSSLGQEKEIMVKELARKNSELSDLEEHINDLRTQNNSLLEKVKGFAPGHNEKASEGIKELQGNVDLQEQNKVLSQQLLKSLEGYRYMKRKLRDSQEETLQLRATMEEVSEKTGAGLQLVCELKKQNDRESNTVVELENVFQSLQVMVAKHGKKRE >KZM84390 pep chromosome:ASM162521v1:8:13792777:13793256:-1 gene:DCAR_028188 transcript:KZM84390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICLNQAYCFSNQATVPEVVDIQSSSDTDELLPIPDDYSHQELT >KZM83994 pep chromosome:ASM162521v1:8:5099721:5100029:1 gene:DCAR_028584 transcript:KZM83994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEFKVLRFNWRGKIVDINLEIDKCTLLDVVMDYEAKWKEEGVKLDYHFPTFYYRYKDDQFQLVVDKDMMTMFKRCAEKALIPIAVGTTIKPSPFTSWFLI >KZM84790 pep chromosome:ASM162521v1:8:19299185:19299502:1 gene:DCAR_027788 transcript:KZM84790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKSALMLLLALFVISAMIAAPVAEARELTSTHADDKNLSNILLAYGRDQLFKYAFQLEGASTANCIGYYCLYTSCCSGCQCVTSYDEVPIPAYCETSCKAST >KZM83894 pep chromosome:ASM162521v1:8:2878637:2879641:1 gene:DCAR_028684 transcript:KZM83894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLKCYANFNDNMEVVLHVVYEIYNKEYDIKDFESFLFPAYILTHHYIISYNIQTPG >KZM84219 pep chromosome:ASM162521v1:8:10549072:10549464:1 gene:DCAR_028234 transcript:KZM84219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAEVEEILYVNHQIAHSILGDIKQNGFGDQSVFEKLNFIKQEFSRLNCSLLPYTRTDHNESSYSPSETTTDPMSVDTQRFFPITDPMSLDSPPGGDSPRQVAITSTSIKNATDCAENDDIRQDNGKRKR >KZM85346 pep chromosome:ASM162521v1:8:25037249:25039241:1 gene:DCAR_027232 transcript:KZM85346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIFKMLVSPLVNGAVKLAGLTPKLVEIEPGTVLNIWVPTETLKNTTKIPHKPVVVFLHSFAANGILTWFFQAISLSGTYSVYVPDFIFFGDSTTDKSDRTTAFQAECIAKGLSKLGVEKCTIVGLSYGGMVGFKMARNYPDLVESMVVSGTLMEMTESINSALLKKFNANSWSDLLMPTSVDGVKEMMSVGTHKLPWLPDFFYKHFLEALFDNRKERNELLKALVVKDKDAGLPNYTQRIHLLWGDDDKIFNSDIVSNIKENLGEKASVEYIGKAGHLSPMERPFVFTQCLKKVLSSFQTEVKNK >KZM85510 pep chromosome:ASM162521v1:8:26400031:26404877:1 gene:DCAR_027068 transcript:KZM85510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPNLECRMYESRYPQVDMAVMIQVKSIGEMCAYVSLLEYNNIEGMILLSELSRRRIRSINSLIKVGRTEPVMVLHVDEEKGYVNLSKRRVSEEDIQICEDRYNKSKLVHSIMRHVAETMEVDLEDLYIHVGWPLYRKYGHAFEAFKLIVNDPDSIINTLTREVKEVGADGQEVTKVVPAMSEEVKMSLIKDIRRRMTPQPLKIRADVEMKCFQFDGVLHIKAAMRKAEAAGTTECPVKIKLVAPPSYVLITQTLDKEQGISVLTKAIDACSEEIEIHKGKLTVKEKPRAVSEREDKLLAEQMAKLNMANEEVEGDDDSEEEDTGMGDIDLE >KZM84788 pep chromosome:ASM162521v1:8:19284590:19285417:-1 gene:DCAR_027790 transcript:KZM84788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVSAMFFICIICMLMALPPIYACGYCEPPHPPSHHPNPPHHGKGGSPKGSPPSHKPPSHKPPTHNPPSYKPPSHKPPTHKPPMHKPPTVNPPSHKPPTHKPPSYKPPVVVPPIVMPPPIIVNPPIVNPPVVNPPIIMPPIIMPPITNPPVIIPPPTTPTYPPYTGPPPSGGGGVPGVKPPPTSMPTCPINALKLGLCLDVLGGLVHVGLGDPVENVCCPVLQGLLELEAAVCLCTTIRLKLLNLNIFLPLALSVIATCGKTPPPGFVCPPLP >KZM85611 pep chromosome:ASM162521v1:8:27135699:27137545:-1 gene:DCAR_026967 transcript:KZM85611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAKIICEQSASLAVSIRPDFFDLAAPSKAVVDICASEAVSAELTRSESVVRCSTTIQATSLEPAAASKYVPSIRSGSYTDIGPRRSNEDEHICVDDLSAQLGSCNMWPLPSSFYAVFDGHGGSEASAYVKNNAMQLFFGDAALPQIYDNDDKLLEDLGNSHQKAFLLADQALADECTVCDFCGTTALTALVFGSHLLVANAGDSRAVLCRKGVAVPMSQDHRPSCLPEQKRVKDLGGYIEDGYLNGELAVTRALGDWYMKSPSGSPAPLTAEPEVQQTLLTEDDEFLILGCDGIWDVMSNQEAVSLVRCTLRKHDDPQECAKALVDLALRKDTSDNLTAIVICFTSPVKHRESVPQRPKSRCLSLSEDARIRLMSLLQGN >KZM84080 pep chromosome:ASM162521v1:8:7003227:7003673:-1 gene:DCAR_028498 transcript:KZM84080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLYFSNVKLGDWSSSSGGFIYSEPESSVEAVRSGRNKGKAKVLNELCFNKNGLFSLEAINLMDGRKPGYSSQVFNSSETALDIMVGTGVLAKDVLQSILLGALADLLPKLGCIKAPVSGSGAADGLLGMEQAAEFMKHGKAAKKAGP >KZM85826 pep chromosome:ASM162521v1:8:29120576:29122851:1 gene:DCAR_026752 transcript:KZM85826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPAAPTATESLSSLNSSDSHPPTNSVPKLRLMCSFGGHIVPRPHDKSLCYVGGDTRIVVVDRSASLTELIGRLTKTLSGGSGAGFVLKYQLPSEDLDSLISVTTDEDLENMVEEYDRLSGNSGRVSRIRLFLFPERQEMSSGSIGSLFGSIKSEDWFLSALNGGSSGGGMSGSNSVNSLLGLNEEVVVGGRGGIGGVVGRNVDVHSVVESLDRNSSFGSDVHLSGVGGNLAHFRVEENVGGDGSGNGIGKVEDGGFAGSEVGKVAVAGNVSLEKVVGDNGGKVLSDDEKSEHGGTGYRRQLQQQQQQQQAQLMASQVNQKSSGGGGGGRDLASPDSVSSDGSMSNPLSRQKPMMYQDPNIQYAPPVQGRVTANPVEQKITDPRIQMQQQYQDSGYLLPPQFDPQHHPQLHQPQFIRAGSQYIQHLPVGAVPMPSYYPVYSSQQPQHSHHPALEQQYPVYYVPARQTQAYSMPVQHAEYSEAAGGAPSNRPQAAPSAAMAPPSSGQYSMASSATAPKSEVPASMYRTSNTAAASVVQVPSGQPRPQYVGFSQIHHPQSSNPSPATATTYAYEFADPTQAHVYYAQPLAPQMAPQYQTMTSPAPQMAAQYQTIPSAAPETTAQLPADSIKQQVRTTQT >KZM84797 pep chromosome:ASM162521v1:8:19338469:19339286:-1 gene:DCAR_027781 transcript:KZM84797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLALRRSSALFRNLLNSNAPRTTSVAPRFFNTNAQMTTYDDDDRRDVDVDRRSDRFVNRRGDPFFPDFFDPFAPTKSLSQMLNFMDQITDRGFGAVSGRRGWDVKEDNEALKLRMDMPGIDKDKVKISVEQNVLIIRGEEDESEGEDGRRYSSRIELPQNVYKVDEIKAEMKNGVLKVRVPKVKEEERKDVFQVKIE >KZM85548 pep chromosome:ASM162521v1:8:26701376:26702277:1 gene:DCAR_027030 transcript:KZM85548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQFTVVKQRIDEIDTEALKYSYSIIEGDLLLNIIESITSKFTVVPTDGGCIVKNTTIYTPIGDAVIPEDNVKEATEQSGMVFKAIEAYLLANPGLY >KZM84509 pep chromosome:ASM162521v1:8:15511952:15513038:1 gene:DCAR_028069 transcript:KZM84509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKMMSIFLLRLLALGATISATVVTLTTHDSRHVLNLTFKASYSNAPTLRYFVVANAIASGYSIIVLFIPSKNSLWKFVLISDVIVTSMLVSSISAALGVAQIGKKGNSHAGWLPICDQVPKFCDRLTGALIAGFVAPILYLLLVLFSLHNLINLLSAKA >KZM85311 pep chromosome:ASM162521v1:8:24578930:24582694:-1 gene:DCAR_027267 transcript:KZM85311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNPPPDGYSDDFLDQILAIPSYNAALSNTDSTLTPSHLSTAGASQQPLFPLGLSLDNSHRERGSINMGNMFPVFDHVQPHSVRQAAPQMHQPFQNQTTTSTAVTVPHPPNMRPRVRARRGQATDPHSIAERLRRERIAERMRALQALVPSCNKSDKAAMLDEILEYVKFLRLQVKVLSMSRLGGASAVAQLVSDVPLQSVEGGMTENGGDRPVWENWSDDDMEQEVAKLMEEDVGSAMQFLQSKALCIMPISLASLIYPTNQSDVSLVKPEPPAPS >KZM84068 pep chromosome:ASM162521v1:8:6789434:6790148:-1 gene:DCAR_028510 transcript:KZM84068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSILQSCSRMDWKVRVRVSRTWRHVSATGDLYGVSFIVVDDNASRMHGWIRSALINLFEHEFVEGRVIDIQNFIVRPYRNEETNTCFKADKQMLLTPVTTIFPVHQILQNFPMHVFWCIPLNLIPDHAEQESYLIDVVGIVQSVQNFNSYTDRNGNEQSFVKFVLANNE >KZM84520 pep chromosome:ASM162521v1:8:15723450:15729141:1 gene:DCAR_028058 transcript:KZM84520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLDPQTAAEKAVLAIGSGYDITDDIRLSACKPGPSGSGLIVLDHSTRKEMVLPCGRIVSNVSASISCGRGERTRFSSDVLSFAQMAEHFNQGISLSGKIPSGLFNTMFDFKSCWQKDASTTKTLAFDGWFITMYNIALSKSQITLSEHVKREVPSSWDPAALAEFIEKFGTHIVVGVTMGGKDVIHLKQLKNSNLQPTEVQKVLKQVADQKFSEHVDENLAQNPEKSSGTQKDGKSLNWDINTPFASTLRSPVVSLSKNDDLLSIRIRRGGFDIGQSHQKWLSTVSQYPNAISMSFVPISSLLSGVRGSGFLSHAINLYLRYKPPMEELQQFLEFQVPRQWAPAYGDLPLAPRRQKQASPSLKFTFMGPKLYVNTVKVDSENRPVTGLRLYLEGKKSDHLAIHIQHLTTLPKTLQLSEDLSYEPYDEPAEKGYLEAVKWSIFSHVCTQPVQYNGARIDDDSASIVTKAWFEVKGIGMKKVLFLRLGFSVVASTIIRRSEWDGHTMTSRKSGLMSMLITTPFSSPLTEPAVPAKVDLNSAVYPGGPPSPAKVPKMFSFVDTKEMVRGPEEAPGYWVVTGAKLCVDGGKIRIKVKYSLLTVVSEDSLI >KZM85824 pep chromosome:ASM162521v1:8:28988428:28988925:1 gene:DCAR_026754 transcript:KZM85824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRGRYEIAQVTDQDHDNSIENYVANFREQSEVVPRRFGLGEMEVPPDQVLESGHLSSGATDLISSSSGLQTLNWEPSAGAISDVVVASGERSSGFQAPNQEIAGDTAIGMYGLENVGNPDNMDGRGFGEGTGANQVLDDLPTEEEVNNLLEDIEAYDLFDLIN >KZM84345 pep chromosome:ASM162521v1:8:12798787:12801738:-1 gene:DCAR_028361 transcript:KZM84345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFPPLQPLGFVAAAAPGNALDVPVVGAVPVVGAVPENAQDVPVVGAVLENALAVPDVGVVLENAPVAPGPGAMVEFPAVFEDFSDGDSVNTDDMYVNAPPGTLTRLRQDFAIMDAGGTIRNHSRTQSESSSSVGLLRITMMMTRGTSRVARFTTAGRLFSAVKGAAAESEKFPVMGVRWRSSLTLGEKEQVNNKNGEDKQVVASYWGIKGQEVKKEDGTPWKWNCFRPWETYQADLTIDLKKHHVPTTFLDKLAYWTVKSLRFPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKTLLDEAENERMHLMTFMEVSQPRWYERALVFTVQGVFFNAYFLAYLASPKLAHRVVGYLEEEAIYSYTEFLKELDKGTIENVPAPAIAIDYWRLPADSTLRDVVMVVRADEAHHRDVNHFASDIHYQGHELKDSPAPLGYH >KZM85243 pep chromosome:ASM162521v1:8:24031743:24035343:1 gene:DCAR_027335 transcript:KZM85243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHDTVETNQLAWPFGTPIIDGLDQDDLRMAAYEIFFTSCRSLPGFGGRTPLSFHSSSTEFDLHASSPRPGSPVTSNIKKVLGLKTLRRLPSYRRTDSYGSNPDSPAGSPLELDASGSPGYSQFSHPRQRRPAEIMRQQMRVTEQSDNRLRKTLMRTLVGQTGRRAETIILPLELLRQQKPAEFSSPVEYHVWQRRQLKLLEAGLLRYPSIPVDKTNEFAIRLQETINACESEPLDTDRNSRAMKALCNDVVALAWRSPDGSATDVCHWADGYPLNIHLYTALLYSVFDLKDGTCVLDEIDEHYELVKKTWTTLGIDRSIHQVCFSWVLFHQYIKTGQVEADLLGASLAVLAEVANDAKKADREPIYVKMLGQGLKSMKKWCDKKLLDYHANFSRENMGLMESMLPLVSTATRILEEDVPDYTLTSHEEDDDTSSDSRGNRADLYIRSSLTKAFTKMLDDGNVNVDVVEVEEVGEALLHLAQATEELATMEKETYSPLLKKWHSIPAGVAAVTLHTCYGTLLRQYLSDSPSLTNETLEVLQRAGRLENVLIQMVVEDSVESEDGGKMIVREMEPYEVDSIIMKSIRHWVQQKLNLGKELLEKAKETESKNEPYAQTAVELVKLTKIALDEFFEIPITIPEVLIHKIAEGLEYLLQEYTTFVSSCGSKQSYIPTLPPLTRCNRDPKFFKLWKKGGLCTAPMENLINIASTSSNEESHPRPSTSRGTQRLYIRLNTLHYLLSQLHNFDKILTLSPNIVPSNSRGHQPGNSYFDETRIGIQSATRHVSEVAGYRLIFLDSNSIFYGSIYVHGVENARIKPAVRILKQNLILLGAILIEKIQALAIKEVMKASFDVFLMILLAGGGTRVFTRQEHPMIEEDFDSLKKLFSTAGEGLLAEDLVDREAEIAEGVVALMGQSTEQLVEDFGRVSTETYGVSAVGAGQKLSMPPTTGRWSRSDPNTILRVLCHRNDQAASNYLKRTFQLPKRR >KZM85207 pep chromosome:ASM162521v1:8:23719334:23719652:-1 gene:DCAR_027371 transcript:KZM85207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSKDEDIQKTCKEWRRAAKVVKGSAETVTTVTKQVDEKATQATEAVLNAKEAIVKTAKEIGESSEKLKDKFTGN >KZM85027 pep chromosome:ASM162521v1:8:21851144:21853709:1 gene:DCAR_027551 transcript:KZM85027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASMDNWQQFYDAIIKKDAAKVLKLREPLVAEGPLHVVTIHDDTILHLALYSMQLELVLSLIDTLAPEHIALMVRQNSGGNTILHEAATYDKLFPAAEIMLRLQPELLTIRNNNKENPLFRAARYGQMKMFKFLNECLVRTLDNVELERAHRKFDGSTILHAAIAAEHFDSDGLTALQLLACNPAAFQFRGRGCLMKIIDSRIINSGAADDDRSYLHEVASPFSVPWWDEMVRKRKTYHAVVELANILIPKDTSWTITKSVVDQRETENADENETKSREKTEKEKALEKKRAEKQQTPLLLATQTGCFEIVKRILEVHPQAVEHIDEDGRCILHIAIKYRQMAVFEMVHQMDVPMRRLIRKCDVKGNSILHMVGKKIVNEDVQQTEKRSPSFQLQDDLLLFETISKVIRPHFHKHTNKDGETAEELFALNKEPLREKSQEWLKRTAENCSIVAVLIATVAFAAAYTIPGGSKEEDGSPVLLNQPFFVLFTITDVLSLTFCLTSVVIFLSILTSSFRLNDFKRSLPQQLMLGITCLILSVSMMMLAFAATVILMIRNNQQWTRIALYLVAFLPVTVFAVIYLPLYLSLMGTCTYTIKKWWSYVPRIKCKNCGKSLDGTNEHPSAAETSASRALAPEFLQVMSVQQ >KZM85297 pep chromosome:ASM162521v1:8:24495067:24500647:1 gene:DCAR_027281 transcript:KZM85297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKLSSFLATLLFICFINYDTVLSNKFEQDPVTKTMHDFSGFPIQETHLPNSLSLLSVDSQTLQKQIDELSTFSDSPAPSVTRILYSDMDVLARRYVKNLMGLAGLSVREDAVGNIFGRWEGYEPEIGAVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRSGFKPKKSLEVIMFTSEEPTRFGISCLGSRLLAGSEELAKALRNTVDNQNTSFFDAASFAGYEKDEEKLSSVFLDKGIYSAFIELHIEQGPILEKEGISIGVVTAIAAPASIKVDFEGNGGHAGAVLMPDRNDAGLAAAELALAVEKHVLESGSVDTVGTIGIMDLHPRAINSIPSKAHLEIDTRDIEESRRNIVIEKIHQSAMAISKKRGVKLSEFQIVNQDPPALSDSSVIKAMELAASELNLTYKKMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEFASLDDMANGVKVLASTLAKLSLH >KZM85659 pep chromosome:ASM162521v1:8:27621516:27623310:-1 gene:DCAR_026919 transcript:KZM85659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRCLCTDRFGVAYSGYSLGLFKLNGCGIGINLIRRIGMASRRNIRYSNLDVDDDDYYNNGGREDPRFNYSLNPSNEIPWKSIALALFLLSIGSGLLFLAFFIYTGHMGGEPYQAYGMLGLGILTFLPGFYETRVAYYSWRGAQGYSFRSIPEY >KZM83719 pep chromosome:ASM162521v1:8:780930:781631:1 gene:DCAR_028859 transcript:KZM83719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSASNPKNGLAFESFFEGWLVRQEHYLDELQSVLGKHEDSKDDEYLKELSARVFNHYQEYYDEKSRMSNHNVFLLFNPPWFTPFERSFFWIAGFKPDLAFKVAAAAAADLTAEQKEMIEKLKIEVKVAVKELNDELARVQESVAAHELMTVGGKAPSGDEMGEINSVLDELQAELVTVISNADVLRTRTAERVAAVLNPVQSVKFLAAVTEMQVRVRTIGLEKEARKR >KZM85250 pep chromosome:ASM162521v1:8:24098965:24099847:-1 gene:DCAR_027328 transcript:KZM85250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIFKGFKYFSQIFESSEKEEEMQIGLPTDVKHVAHIGNDGSSSSNTPSWMNDFHSASTNSSAARGNGKAKSASTRGGTRDLQNNSVGSPSRGKMKQSGSSSPSSIHKPRRQKNSSMESPGRKQNSKDTNGSTRRRKKKAASTGEGSSRPRKVTELHTTFDISRK >KZM84186 pep chromosome:ASM162521v1:8:9956140:9958110:1 gene:DCAR_028267 transcript:KZM84186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDLPESFTALSSMANMYLQNNQFTGSIDVLSNLPLHNLREGNSWSSGPAPPPLPRTPPSSSSNRNRKQNDNKNSSDGGGSKKSGIGGGGVAGIVISILVVGAVVAFFAVIKKRSKRSSADVEKADSQPFTSYASQEVQGILPHHQAFRPGNFDLDRRVHFVSPDGKHIVVALLDCTVKAAEDYQKSLSRNNSRKWTVQAYTSGRLIC >KZM83812 pep chromosome:ASM162521v1:8:1841074:1843432:1 gene:DCAR_028766 transcript:KZM83812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLIGSPGMVSGLVLRIGQFLCAAASIGVMVSARGFSGYTAFCYLIASMGLQVLWSFGLACLDVYALKIKKDLQNPVLISLFVVGDWKMQVTATLSLAAACSSAGVAVLYAKDFDFCKPHLHIPCARFDLSIALAFTTWLLIAVSSHVMFWILASI >KZM85375 pep chromosome:ASM162521v1:8:25244184:25245452:-1 gene:DCAR_027203 transcript:KZM85375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENSRTKRASKRWELVMSNFTDDLWTEIFLRLPLESLLRFKSVSKTWLSIISSHRFALSHLAIAPKDDQILIVHHDSLQPEVGDDGSFSLYHLDSSDITEDLNFPYSQGEYPFEYPNCPCEPESLLIGSECGIVCVLVSVSKWPAAKNKEDLYLWNPATKQSKLIPPYTIPNDTMFGVALGFGFDHIDLDFKVVRVVSGPLFAEVYSSNRNDWRKIKHGLINISGHIVFNVCFHGFLLATGYYSGIKGMIAFDLNKEVFICDIKLPVGPFDDVENSSGIHIAQYKDTIAFIFYDSNGDNNVIKLWTLDNEACLSGCGVEALWTKVLSLDLDVPFLFVEGLFNNIQFLLHGVDGDRLLYNSKNKLTTEVPRYFNVAPHEVLKYTKSLFSLTGFKRIKWAASSSRSQESSEWELGMDNESISD >KZM86055 pep chromosome:ASM162521v1:8:31093093:31094312:-1 gene:DCAR_026523 transcript:KZM86055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYISSQSVKKIIEINPHMLGTMAGGAADCQFWHRNLGIKGPGLYYVDSEGGRLKGNRFSVGSGSPYAYGVLDSGYRYDMSIEEAAELARRSIYHATFRDGASGGTASVYYVGPDGWKKLSGDDVGELHYKYYPVGPAVVEQEMAEISIA >KZM85561 pep chromosome:ASM162521v1:8:26773288:26774206:-1 gene:DCAR_027017 transcript:KZM85561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFITKHNLAILALIASFVFSCTVSGNSNEYFPQGAVNGNLPQTGAAGGYVPQIGNAGGYVPQTGTVGAEDPAEVVSKALSCFNNNYVYSSCEQSYRLTESGNINVPPEYTDQYCHGSCFSETNLVLNCIDNILSHFLFYNRATIYDVKATIKAGCSYGPERGNFNVEEHIQARENSAQRDSKPLLLGLLLMIMYIGT >KZM84708 pep chromosome:ASM162521v1:8:17979172:17990881:1 gene:DCAR_027870 transcript:KZM84708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEGDDRYRPVVAQDNDRAVLEMSSLPRTTSASSTDSMKIEVGVQPKLKIRSVNKSLPNHEVANGSQSESKLELFGFDSLVNILGLKSMTGEQSPTPSSPRDSEDGSVTLEQHPKTAGMKLGTMMGVFLPCFQNIMGTIYFIRFSWIVGMAGIGEALVIVALCGSCTFLTSLSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVSGSLYVLGAVETFLKSVPKARLFKETITKLNDTSAADPVSSPSLHDLQIYGIIVTISLSFVVFGGVRRINKVAPTFLVPVLFSLCSIYFGILLASKDSPAKGITGLRFRTFEKNWSSAYQPTNEAGIPDPKGERDWSFNELVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTSSVYLISVVLFGSLATREKLFSDRLLTATVAWPLPSLIYVGIILSTLGAALQSLTGAPRLLAAIANDDILPVLKYFKVADGSEPHTATIFTAFICMGCVVIGNLDLISPTTTMFYLLCYAGVNLSGFLLDLLDAPSWRPRWKYHHWSLSLLGASLYVASFPTVIMFLISWSFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFASIYDGNYHECAEDAKIACKHLSTYIEYKRCEGVAEIIVAPSMSDGFRAIVQTMGLGNLKPNIVVIRYPEIWRRENLTEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGSIDLYWIVRDGGLMLLLSQLLLTKDSFESCKIQVFCIAEEDADAGVLKADVKKFLYDLRMQADVIVISMKSWDSREDGETKQDESVEAFTAAQRRIASCLKEMKAKAEMDGMPLMADGKPVIVYENQVEKFLYTTLKLNSTILHYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRLLIVRGYRKDVVTLFT >KZM84843 pep chromosome:ASM162521v1:8:20077783:20082241:-1 gene:DCAR_027735 transcript:KZM84843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECIVNIQSLAGEYFCPVCRTLVYPNEALQSQCTHLYCKLCLTYIVGTTKACPYDGYLVTEKDSKPLVESDKALAERIGKTPVHCLFHRSGCSWQGPLSECTSHCSGCSFGNSPVVCNRCGVQIIHRQVQEHAQNCAGANPHVQQTAENPKDAATAVAVTTTNSSQATSQPVVSASQALVPQTVTAPPATQDSNPHVHTIATSAAMSTEQWYPQHFQQYQQQYPGYDPYQQYYPYQQPAQQVQQHVPYAGQPQVYAQPPTGMQGHHQPLPQVQGQGPAQTQPQPQGPPQAQSFVQNQVNSQQQQSHIQVHTQTAAPGQIPPQQPYPQAQPHPPPNSMQPPAQQNIQAPYQQTQFQGHSVQLQIQPQPHSNPQPVLQSQPQSHPYPPSQQPAPSVVPGYQSHHPVQPQQQILPAPQHYPMPMHPSSGSFPPAAQFPQQPPHLRPPPTNPSLPNQQQANLMQSQSQIQGVPPAQHPHIYPQTPQQGYIGHQRPAGQPMQQPYQQYGQPPFPSQASGSVQGPFHQIPFGQQPMQTQSQAQGPTQLQQSAVARPPPQMHGSVQAHGMPPQQPPSYGGRPIAPNQTATSHPFAQSGGAFGGAPHSRPLPSSSVQQSEHQIFEGGIANQQQVPSGQQFSQSDREIKHIMGEGNAAPQGGSALNKTVGNDISGPEEDSVRAKAQDSEIRGKSGDEEHNITTEGEKKGTRSQVAEAEVDALKTGSSEPSLEKTGKEKTGTLNEMDGSVFAVKDSTSRQTEAFVGHKKDNTNVLANENKSSHGQVSQQGLAIGEYAGFHDKGLPNSSNQAQLTDQGRYQMPSGTYGPPSQQQRHTMPSNSQSGPYVGAPPNALPGQGPAHLKPQGPGLSGPLHQSLHPSEHFHQSGSSQSHESFQGVQRGQYYQNNPPQPPFSRTNKAEPTGPLHGSDNAGPLQNQRLHHLEGRYPDPNVSGSFDRGPGFGVDHLPPRSPGREFHGIPSRGFGAQSGGPHNQPGLDNVHGWGSHAVNEGPRSFDISSDPVGKTFRDHFRSGDMAGQDFIPNHMRRGELFGPRNVPSHIRAVXPNHMRRGELFGPRNVPSHIRAVEGFGTFSDPRMGELNGHGGFPYGESFAGNKLNHPRLGEPGFRSSFSLHEFPRPGGFYEGNLESIDRFRKRMPASMGWCRICKVNCDTVDGLDLHSQTPEHQQRTMEMVMSIKQNAKRQKTSKDQSFVEEGIRSRNAGNRGRGKKV >KZM85314 pep chromosome:ASM162521v1:8:24601519:24603343:1 gene:DCAR_027264 transcript:KZM85314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENSEPEIPKNNATTMPNFLLSVKLKYVKLGYHYLISNAMYLLLVPLLGIICLHISTFSLQDLAQIWNHLKFNLVTVITCSTLIVFLATLYYMSRPQKVYLLDFSCYKPEPARMCTKELFMKRSEQNGSFTEENLAFQKKIVERSGLGQKTYFPEAVLEVPPNLSMAEARKEAEMVMFGAIDELLAKTGVKAKDIGVLIVNCSLFNPTPSLSSMVVNHYKLRGNILSYNLGGMGCSAGLISIDLAKQLLQVQPNSYALVVSMENITLNWYLGNDRSMLVSNCLFRMGGAAILLSNRSSDVRRSKYQLIHTVRTHRGADDRCYNCVFQKEDENKKVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQILFFATLTLRKIFKFKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELKEWHMEPSRMTLYRFGNTSSSSLWYELAYMEAKGRIRKGDRTWQIAFGSGFKCNSAVWRAMRTINPANEKNPWIDEIDEFPVHVPRVTQMVS >KZM85271 pep chromosome:ASM162521v1:8:24293921:24297355:1 gene:DCAR_027307 transcript:KZM85271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMVAIKRVVDYAVKIRVKSDKTGVETNNVKMSMNPFCEIALEEALKIKESGFASEVVSVSMGPTQCVDTLRTSLAMGADRAIHVDTKEMLYPLSVAKLLKALVDVEKPGILILGKQAIDDDCNQTGQMVAGLLNWPQGTFASKVVLDKEKNVATVDREVDGGIETLSLDLPVVLTTDLRLNQPRYATLPNIMKAKSKVIKKFTPQDLNVEIRSDLEVVEVTEPPKRKAGVLVSSVDELIDKLKNEARVI >KZM85521 pep chromosome:ASM162521v1:8:26485735:26486031:-1 gene:DCAR_027057 transcript:KZM85521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVFAFVACINTHSNILPVYVSELKRLIENVWCRGDKGFCSEECRCRQIDMDEEDQTAAFMTPKNNTYKVKKTTNCSSYYSASASKTTRNRAFEFAG >KZM84116 pep chromosome:ASM162521v1:8:8203234:8204914:1 gene:DCAR_028337 transcript:KZM84116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEMKQKQKHPLCRALKRGRIHAWIAAANINQFQTLITEGETYNVHNFVVRQYGSMKTYRCFQNDVFIQLYHMTELFVAEGVDYIPRHMFHFTDLSAIMDLARESNFLIDVVGIVQQVQPLSTYRNKYNQLKYSIEITINDMLFVFIFYITSAQVIFYDEMEQSINHEVHNAGQHPVIVVLSSVKARLIQGEAKLTNYSPTRFFINLDHEAVGDLRDAFRYKLGIFETLESYKLANWRLH >KZM85407 pep chromosome:ASM162521v1:8:25515405:25520155:1 gene:DCAR_027171 transcript:KZM85407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTKLSHYFIVIASLLAISAVGSAVASIHTYRRDPFREVGSSYLVSGGSEGIVYSTNGSSYIQFQNITFLRSRAAADHHPKVSHSTGLVQIVIFEAADRDNIGGSPYGGQRSICCTPDLAKLEGCKQGEVIRIPSASDNNWPIVLNVHFSGRHMATHLRNTKIQITKTGMYNLFFISCDPELKGMTLSGRTVWKNPDGYLPGRMAPLMKFYVIMSLAYVMLCLIWFVQYVRFSNDVLQLQHWITIVIALGLFEMSLWYFEYAHFNNTGTRPVGITTWVVTIGAIRKTLTRLLILSVSMGYGVVRPTLGGFTAKVMLLGVTYFLASELLNITEYVGTINDISGRARVFFVLPEALLDAFLILWIFTSLSKTLEQLQAKKSSVKLDIYRKFSNALAVTVIASVAWIVYEVYFKATDPFNERWQRGWIISAFWDILALLLLCVICYLWAPSQSSQRYAYSEKVDEEDEEAQTLFKGDINLVKLDRRDSGEGTNSFDGEDDEEEEGKRE >KZM85970 pep chromosome:ASM162521v1:8:30368340:30370167:-1 gene:DCAR_026608 transcript:KZM85970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITVINGKAETVDRAAASYSQTIFVDQSGRGNFKNIQAAIDSVSSNNQNWVRIYVEPGVYREQITVPREKQFIYLAGKGKWKTSVVWGACQELDTSATFSVFADNFVARDISFVNSCNYPWNPSMSRTPAVAGRVQGDKNSFYNCGFVGLQDTLWDSLGRHYFSRCSIVGAVDFIFGAAQSMYEGCTLLFNGTALAGPGYITAQARGSADDPSGFVFKNCVVDGTGWTYLGRAWRPYARVIFSSSTFSNIIVPTGWDSWYTRHDQLNQLTFVEDLCHGPGSDNRNRIKWENQLNQEQLQQLTSISFIDNEGWIGRQPFNMLAH >KZM85553 pep chromosome:ASM162521v1:8:26742245:26743342:1 gene:DCAR_027025 transcript:KZM85553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEILQGNSREERLKNFIAWFPEEEKAEWDADYYVDESGRHSMTFEEYGFDIQDYSKVGDPGVLLQVYNPPEKPADQKCVAILEDCSSRAITHYNREHNTHFRDVHVLKANSEVLSPCRFYITYQAFGPEGNQKTFQTRVNICFPKVERGVEMVRIKPTKINPVFLPKLDLRGGQCSGLASKSASSSAT >KZM83978 pep chromosome:ASM162521v1:8:4762477:4763789:-1 gene:DCAR_028600 transcript:KZM83978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLALQVRTKLAENDFKLKAYKNSSQIVQDISEKGSKNNKLGIGYEYGPSKESVSDYVDNDTAKPLVLRKVQKPVFKFVESVFDEEALLIKQELNDEDSVSNSVTVNEKNTVKRPMTVDSIYSQMAHSKLAINKAETELSKGVVKRKPKIVTFITTVKTVEANAAVKGDPTATFECAVNTDDTKSRNRNGKINRGKNGEYVKSAPRKLCNNRGSSHHLTNVCKNDVVTPINAVKINGNLHRTPIIDRSMNVCSDIDCMPCKITAMSTVFNPPILSTTKCSYLCDVETPEPTNASSKATPTKKKVVPFSKSLWVKRDVKNLVVHDLDVVDETLKFEPNDDATSSNDVTA >KZM84236 pep chromosome:ASM162521v1:8:10651338:10656959:1 gene:DCAR_028217 transcript:KZM84236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALCTVFMNKLETVARCLGLKDSRLYSDVYLYRVHHNCSSSSARIMSGSKLGSSEPNNSPMWDHITSFFQYTCSKGSLDNAATRDDVTDVKEPLLDDGSRGCDAVTAYENAGFYSTLSFSWITPLLALGVEKTTLELEDIPQLGLSNSVFGAYPLFQSRLCDNGGDRGVVVTELGLAKLYFLSAWKDIIWTALLGIIHTLARYVPPYLIDSFVKCLNGREVFQYQGYILSLTFCAATFLEVFTYRHWIFKLQQIGIRLRGVTTVMLYNKSLTLSDQGSGGGSGGGLINAVAVDVETIRGFIVDMHDAWLILFQIGLALLILYKNLGLLASVASLVVTLFFLAANSLFMKWQEKFQKNLMESKDNRMKVTIETLKNMRVLRLGAWEMSFLSKILQLREVELQWLKKYLYSSVVIGFFFGISPTMVALATFGSCIYMGIPLEMGQILTALATFRVLQAPILLLPETLSLLVQAKVSLGRIASLLSLDELHIDGLKKLPLGSSDTAVEIVDGNFTWDSKSSSTDTSVLKDINFKVSHGMKVGICGTVGSGKSSLLSCILGEMPRISGEVKFSGTKAYVSQSPWIQSGTVQENILFGRDMCRTRYDQVLEACCLKKDLEILSFGDQTVIGEKGVNLSGGQKQRIQIARSLYHDVDIYLFDDPFSSVDAHTGSHLFKEVVHGILSEKTVIYVTHQVEFLSAADLIVVMKNGRTAQVGKYADILVPSSEFIRLVGAKNAALSSTLDFIRAAPISADSTNTIISNENVNGKVDEGGAAGLAPAAQLVKEEERERGRVGFPVYWKYITTAYGGALVILVILASAILTTFQIGSDSWLAWATPPSKDVKPVISGATLMTVYASLACGICFCTLVVESLVVVTGYKTAIILFKQMLETIFRAPMSFFDATPAGRIINRCSTDQSVVETRISNLLEGIITETIQLVGIIALMSTVAWEVLLIFIPLIFASIWYQQYYMPASRELSRLSRASEAPVIQYFSETISGLTTIRSFDQNSRFLQTYMKIVDAYSRPEFQFAAAMKWLLLRLDVFSCITFAFLLVLSTYFRKTINPAIAGLAVTYGLTLNNTLIGLIWCLCHFQTKMISVERILQYMYVPSEAALTIQENRPDSSWPSRGEIDIHNLQVQYAPHLPLILHGVTCTFPAGKKTGIVGRTGSGKSTLIQALFRMVEPKSGHIVIDDIDISSIGLQDLRSRLSIIPQDPVMFQGTVRSNLDPLEHYTDAQIWDALDKCQLGDQVKKMERKLDSIVHENGENWSMGQRQLVCLGRVLLKKSKVLILDEATASVDTSTDNLIQQTLKHHLSDCTIVTITHRVTSVLDSDMVLLLSHGAVKEYDSPSKLLQSKSSSFSMLVAEYTSRSSISNSQAKST >KZM85928 pep chromosome:ASM162521v1:8:29994173:29996725:-1 gene:DCAR_026650 transcript:KZM85928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVLSFAIENLGDFLVRQVNIRIGVRDDIRWLKDELGFLQASVERAESRQEEKLIGLWLNTVREVANEAVDILKRFQDGQASLEQGHMDRFLICICICKKEAQLYDIGNNIESLKTRIGVIKERRLEYGIDNILAGPDMKQKERTLIRTSAIDKHVDVVGFKEDIDKLMEELNSQDPALKIISIHGMGGLGKTSLATKLYNSHELKNFGTRAKVCVSNDYNIKDVLKRIIMSFKGPEEDQLISNMDEHRLLHYLSELLKEQGCYLALIDDIWDINAWNQIKTSFPNQDNGSRIIITTRNKKVAETVDKNGLAYQLRFLREEESWELFCKTADPTQNLENLGREMVGKCGGLPLAIVILGGLLFHNKSYDYWSKVKQHIWRNLRDDSVDIVEILSLSYKDLSPHLKDCFLYLARFPEDAVIEVERLKHLWIAEEFISEDKEGDGVLMEDLAEDCLNELINRNLIQIQSLLVNGKVGRCRVHDLVRELAIKTAKEQKLLVIFDSSKHQPNLIHLLKGQRRHAIFDGIGEYLKLLEQRRFDALYLHSLLMLGYNVKAELKEMKLMYTRFKNLKVLDMSSVQAEWIPEEIGDLVLLKFLGLMGSHRGTLAMPASIGKLKKLQSLWGGSDGSYYTVPREMWELPELRHLYYLFIEISGRLNIGSHQTELHSLIGIQMREWVKIDTSNFSNLRTLHILNIDGDYSLKSLSSLNLLKSVYLVGAMEDSSELRFLPDSVIDLTIYCGKLRNDPMPSLGNLPYLTALELDVNYYIGNKMVCSKNAFPSLQILRLRRFNDLEELQVKDGAFACLRSFQAFGCKQLERIPVQLERLLTKSEKSGMDGFSLILEVLYRYW >KZM84142 pep chromosome:ASM162521v1:8:9038121:9038631:-1 gene:DCAR_028311 transcript:KZM84142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKGIKRKHFELVKSDLAVELTKLTNDSDKLNKDLRGAKADFELMCKSMTDRQADFLTHGLFLEEQYGKVIGVLDGKIHPGERSSAGEDEARRTGSVVDIRKFARDSRESLINHRISREIALQEMETTF >KZM85763 pep chromosome:ASM162521v1:8:28435395:28439586:1 gene:DCAR_026815 transcript:KZM85763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKMQGHHMVAVRLNDPFGTKRKYTFVNRVWLPKILFLWIMIMVFASRVIYDRMDAENKERRKEVLVSMCDQRARMLQDQFNVSVNHVHALAVLVSTFHYYKFPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVNSEREDFEKQHGWTIRTMEREPSPYRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARSTGKAVLTSPFRLLGSHHLGVVLTFPVYKFKLAPNPSVEQRIEATAGYLGGAFDVESLVENLLGQLSGNQAIVVNVYDITNPSDPLIMYGREHQDFDISLERVSMLDFGDPFRRHQMICRYLQKAPTAWTAITTASLIFVIGLLVGYMIYGAALHIVKVEDDFHQMQKLKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYGQTAQACGKALITLINEVLDRAKIEAGKLELEVVPFDLRSILDDVLSLFSEKSRHKGVELAVFVSDKVPKVVVGDPRRFHQVITNLVGNSIKFTEKGHILVQVHLADHAQVVLGASSDATFNGWSKGAALSSVGSQFRTLSGHEAADNKNSWDTLQKLTADEFLSNTSNNVTGPDESFQNVTLMVSVEDTGIGIPLRAQERVFMPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFNSSPQIGSTFSFTAVFKRCHKIATGDLKKSLAGDLSVAFVGLKAILVDERPIRAAITKYHLKRLGILVESVDNIRLAATISGKNVSQPDMILVEKDSWLSTEDGGSNLRLSECKQDGQKNLSKMILLATNITSSESDKAKAAGFADTVIMKPLRASMVAACLQQVFGTGKKPQLKGMINGSILRSLLCGKKILVVDDNRVNRRVAAGALKKFGADIECAESGKDALALLQIPHSFDACFMDIQMPEMDGFEATRRIRMLESKANTQINNGYVISKSAQTTEWHLPILAMTADVFHATHEECLKCGMDGYVSKPFEEENIYQAVAKFFKPKPVSSP >KZM84110 pep chromosome:ASM162521v1:8:8015172:8016949:1 gene:DCAR_028468 transcript:KZM84110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPTSFLPLLLLLSTFSFSSSATKTDDFLNCLAKSSDSTTISKLVYTPANSTFDAALTYSINNLRFAQASTPKPLVIVTPTTESQIQNVIYCTKKTGLEMRIRSGGHSFEGFSYVSSLQFIVLDLRNINKVTPDMSTATAWVDSGVTNGELYYYISKATSAYGFPSGLWSNVGVGGILSGGGYGMLRRKYGLAGDQVIDARLIDANGRILTRKTMGENLFWAIRGGGGGSFGVVVSWRVNLVPVPPIVTVFRVFRTLEEDMTNIFYKWQSVAPVLPKELDIRCNGQVILSNSSTRSDKKTMQMNFESLYLGPASEVLAIMGERLPELGLVREDLFEVSYIQAMVFFSQFPIQAPPEILLDKTILPRPAFKGRSDFFKKPMPIEGLLGLWDYMFQLPDNQAFLQYTPYGGRMNEISATALPFPYRAGYLYMFNFFAVTCPTREKCAEETARMDWVRTVDKYLTPYVTSNPRSAYVNYVNVWMGQNNPTGSTSYAQASQWGKRYFGVNFDKLVMIKSLADPFNFFRHEQSIPVFSLWSDM >KZM84525 pep chromosome:ASM162521v1:8:15833419:15842454:-1 gene:DCAR_028053 transcript:KZM84525 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MDSSSRATEKHGHVVCIPYPAQSHIKSMLKMAKLLNSKGLSITFVNTEFNHKRFLKAGGLQSIESLPSFRFETIPDGLPPSDADATQDIPELCRSIIENRLLPSFQNLLTKLNAGNHKVSSILSDGFMPFTADVAHSVGIPIALLWPISACGFMGFYQFKNALERGLIPLKDESYLTNGYLDTIVDWIPGMADIPLGNLPSHIRITDTNELIFNFLMECTQRAANDASYLTNGYLDTIIDWIPGIPEIRLGDLPSHIRITDPNDFVFNFIVNSTQRATNGTANVLHTFDDLEEELVNAISSMFTTVYAIGPQQMLLNQIPSDQTERLKSIGYSLWKEETKCLEWLDSKEADSVVYVNFGSITVMSAEQLMEFGWGLANSNCSFIWIIRPDLIMGESTVTLGVEFLEAIKNRGLISGWCPQEDVLNHAAVGGFLTHGGWNSTIESISAGVPMLCWPFFGDQTINCKYMCDWECGMEIRNDCTRDDVEKLVRLLMDGVEGKKMRNKALEWKGMAEKACASDGSSSNNLDKLVLHLKN >KZM85333 pep chromosome:ASM162521v1:8:24812155:24825171:1 gene:DCAR_027245 transcript:KZM85333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDGGIFNPSQKSHRTRQSGPSAKKNKKKKSDNKNNVPNDKTHNPKAFAFTSTVKAKRLQSRATEKEQRRLHLPTVNRNIGEEPPFVVVVQGPPQVGKSLVIKSLVKHYTKHNLPEVRGPITIVTGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNIMQNHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYPKREVHNLARFVSVMKFHPLSWRKDHPYVLVDRFEDVTPPEKVELDKKCDRNVTLYGYLRGCNLKKETKVHIAGVGDFSVAGITSLADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDGESGGVTEKGKERDIGEKLVESLQNTKYSIDEKLEKSFINLFSKKPSSSVLEEPSDTKDNQYQSGEESDTDESGEEDEDDDLKCVKYSDEGRTLQKDSTSKTVDSGSDEDINAIEESVSGNKVSEHVEFRNGRMRRRAVFGNELDLDNSEDSDESVEDGDEDMDTKVSSLSEEDEKDLTDDEDKMGNASRWKDSLKERIHLGQNKNLRQLIYGRRESKLTSSTDDVQGSSEDEESDEGEFFKPKGEGIKSVREDFDGDNVNNDDCSKFLNHADVKNWIEEEKYESIRDRFVTGDWSKAGRSGQDSDANSDEENTGMGDFEDLETGEKHESRVNGEDLDAEQRRKITISHQLCIEENDTKTKAKHHHNQGQDGGFYDKAKEEAELIRQMKIAELNDIDEATLVEMEGYRTGTYVRLEIHDVPCEMVEYFDPCHPILLGGIGLGEEGVGYMQVLLKRHRWHKKVLKTRDPIIASIGWRRYQTTPIYAIEDQNGRHRMLKYTPEHMHCLAMFWGPLAPPKTGVVAVQNLANRQASFRITATGQVKESNHAARIVKKIKLVGYPCKIFKKTALIKDMFTSDLEIARFEGAAIRTVSGIRGQVKKAAKEEIGNQSKKKGGISKEGIARCTFEDKILMSDIVFLRAWTQVEVPCFYNPLTTALQRRDQPWEGMKTVAELRRDQNLPVPVNKDSLYKPIERKVRKFNPLVIPKSLQAALPFASKPKNIPSRRRPLLENRRAVVMEPHERKVHALVQHLQLIRNDKMKKRKLKEDVKRKLHEAEKAKEDLITKKRQRDERREKYRQEDKVKKKMRRNSDD >KZM83912 pep chromosome:ASM162521v1:8:3203573:3215930:1 gene:DCAR_028666 transcript:KZM83912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAETAKDKALRYAFGNVLCFFILLLIGVLAFSIRIFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWTLNALNIPLSVETVCVFTAPIFSAFAAWATYFLTKEVKGTGAGLAAAALLAMVPSYISRSVAGSYDNEATLNTGSLFYATLNAIAYFYMVCSWGGYTFIINLIPMHALLCIVTGRYSPRLYIAYCPLVVLGTLLAALVPVVGFNAVLTSEHFASFLVFIIIHVVALAYYIKGILSPKMFKVAVTLVVSVGLVVCCGVIAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIVACFLPLSDASSFVVLYIVTSVYFSGVMVRLMLVLAPAACIMSGIALSEAFSVFTRSIKFQLPGLSQSHQMDAGDSVSEPSGDVIQNDIVKTDRHDEPVKERPTRRNKKKEKENVDKASKPSIKSKIENRLLVLPLETSIIALFLLVLLGAFYVVHCVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFHSLDVKYVLVVFGGVVGYSSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFADTDGGKGFDRVRRTEIGKKNIKLTHFEEVFTTHHWMVRLYKLKPPRNRIRSKTKKSKSVQFATTKIFHSFSFQSIFPRIRPSNMQSVFAPFQWQKSSTATNLKRSGTGKKNPWH >KZM84073 pep chromosome:ASM162521v1:8:6842555:6842920:-1 gene:DCAR_028505 transcript:KZM84073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQCLCGSWAVEKTSWTEYNPRRRFLTCANGRCNFFKWTEPEIDTRSKNIINGLVRRFKLKDDHHFAELIKAKEEYQEFYKEQMNAAKKEARNWKYFAMLLLLYVCRCCFASVGGDDNPA >KZM84214 pep chromosome:ASM162521v1:8:10367426:10369312:1 gene:DCAR_028239 transcript:KZM84214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLCSSIFLFLILLPHLIIPTAYSSATFTLVNKCEQTVWPGILSNAGITPLQITGFTLHKDESKTITAPSSWGGRFWGRTHCTHDSTFTCLTGDCGTGKIECSGAGATPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMMVVPQGGSGPNCSSTGCVADLNGACPSPLRVMSGEEGVACKSACEAFGSAEYCCSGAYGTPDKCSPSAYSKVFKSACPTAYSYAYDDKSSTFTCAGADYLITFCPAPTTTSKKSSDEQNPNPNRNNNLNSGNSEMVYEGLMDTSSAISNTCSHVWVGGVSLLAVSTIRRWLGMLI >KZM83928 pep chromosome:ASM162521v1:8:3562269:3563127:-1 gene:DCAR_028650 transcript:KZM83928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKLVFDDMGLKKSHVQWVFMQSGNTNSGSDAESDGSVDENSVSSFLSSDIEEDDEATSSSTSSSSGPLFELSELMDHLPIKRGLSNFFKGKSQSFTSLASVKSLEDLAKKQNPYKNRVKPCNKYKPKGLIAKKSCSGSSKRSNFVSLLEKTGTFVGTSSCRPSISVVQSTLNL >KZM84681 pep chromosome:ASM162521v1:8:17737022:17738765:1 gene:DCAR_027897 transcript:KZM84681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVAGSYERFIWGFKLKQLKNSPQSLTLTPLFSFPSHISPIKSVAVSGSAAVSGGSDDTIKIYDLSTSSEIGSLNDPTSTVTSLSFVSLDSSFPRNLLAGFDDGSVSIYDADPFVLLKNFSVHKKGINDLSVHKTGTLALSVGRDCCLGMVNLVRGRRSFYCRLGKEASVVRFDGSGEKFFMVMDEKVSVHQAEDAKLVLELENQKKVLCAAPGNNGVLFTGGEDKNITAWDTTTGKVAYCIEDAHSARVKGIVVLSKSDGAGDDDPYIVASASSDGIVRVWDIRVVGKEKTDPLAEANTKSRLTCLAGSSIKSFKTPQVGKTNKSEDQDASE >KZM85742 pep chromosome:ASM162521v1:8:28253398:28253703:1 gene:DCAR_026836 transcript:KZM85742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVLDTRQESESRSPSINFILRIHKKLWQSVWNEASVRKNTQKKTFFLAHSSKFGPVPESNVAPLGLGSFHDAAAAQTAVSDYGRWWRRRQSEMPSSKWG >KZM84363 pep chromosome:ASM162521v1:8:13020788:13024888:-1 gene:DCAR_028343 transcript:KZM84363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQRGEDIQSTCIHCSIMVLILFTFLLLGCVAEDRDIYLVLVEGEPVAFQQSRGSYSKVSEAHAEKLVKTHEKLLATTLDTGSYSKVYSFKHIVNGFAVHTSASQAKKLKKAHGVKLIEKDRGTKLMTSYTPQLLGLPEGVWAQKGGEKNAGEGIVIGFIDTGINPFHPSFAYDPLNPFTSNISHFSGACEGGPKFPTMSCNGKIVSARYFSAGAQTDPTFNASVDLLSPFDVVGHGSHVASTAAGNYGVPVVVNGYNYGRASGMAPRARIAVYKAIYPSLGTLTDLVAAIDQATMDGVDILTLSIGPDEPPDDTLTFLSVFEIFMLSARKAGVFVVQAAGNHGPDQYSVVSYSPWAVGVAASTTDRSYSCTLILGNGQIITGVGLSGPSFVHGDLQYKLVMAKDAVKANGTFPRTTAYIDECQYAEALDFNLVKGSIVICSFSTGFLDGTSSITAIIDTARNLGFAGFAFIANSTLGDFIAEPIPFSVPGIMIPRTNDSEIILKYYEQQTHREKRGIVTKFCGRAAISEGRTASYKGRSPVVSRFSSRGPDFIDIKRNPTDVLKPDILAPGHQIWAAWSPMSVLDPILSGSNFALLSGTSMATPHIAGIAALLKQHYPLWTPSMIASAMSTTAVRHDNQGEAIMAEGSDLYRLYPSTPFDFGAGFVSPPHAVDPGLVFPSEYEDYMSFLCSLPNTDPETVQTATGGSCSIHSFDNPSDLNIPSVTISALAGARVVRRRVQNVSGKPESYLVGVVPPEGVMVEIIPPWFTVSPEGVQDLVFKLNVTKVLDDYSFGEIVLTGSLNHVVRIPISVWPVLMA >KZM83772 pep chromosome:ASM162521v1:8:1408429:1409215:1 gene:DCAR_028806 transcript:KZM83772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQEVKLLGVWASVFSKRVEIALKMKGISYEMVAQDLSNKSPELLRYNPVHKKVPVLLHNGKAICESLIILEYIDETWKSGPSILPEDPFARSTARFWAKFMDDKIHPAIWKIWGSRGEEREKTIEEATEHLKTIENELKGKKFFGGDEIGLVDIAGNFVALWLDVILDLVGIKLLTKEKFPRLSEWTDDFLSNRVIKETLPPREDLLAIFRSLFGQSN >KZM83766 pep chromosome:ASM162521v1:8:1373342:1373818:1 gene:DCAR_028812 transcript:KZM83766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYFLLFLITVHAFVIFAEASETVPILREPNVAPISSNAEAPTARRLGKHGQKHNTPKSLSEAPHLSPVSLPQTENDHDHESSDKGNKVTGHQDPHIAPTGEPGAKKHHHHHGSALEESVAGGGVIVGGLATTFLVAIFCYIRATRRKSTEHLTNSP >KZM86058 pep chromosome:ASM162521v1:8:31121835:31123031:1 gene:DCAR_026520 transcript:KZM86058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCLPEEITFYEILLRRSTLSIKCWIRLTLVCKRWLSLITKPGPINSHLVHSLYLNNTCESPSVVAHYSGRHTFPEIIVLPNNNSVFYKFKDSVVKICVQIFGFDPSFLQVESYRHAAGALHVKLIDSVNGLVCFSHAYAQRVLFIWNPVTNLFTTVYKPSVVSSATILNEDSVFYYDPVKGDVKIMHIELLCWMENTNSIRVHVYSFNAEVWKEIKDDTLPRTQFKIMRTVKRRPGYTYVQFGSSELVAFDFNNEVFKRLPYFPSDSTINWMGSLCKVRLNYPEQVVYALDGSNATWMEKCIVRYSPEADKLRLRLRLSLSNGDMVVEDKYGTPLWSEAEADQRSRPTNLISETSGRYYDITPYTESLLCVKGMQDLNKKMKTRREVCNLRKKITR >KZM83902 pep chromosome:ASM162521v1:8:2993100:2996755:1 gene:DCAR_028676 transcript:KZM83902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFAESGGPRAELYEHKVTWYLIFTCIVAAMGGSLFGYDLGVSGGVTSMDDFLKEFFHKTYARKQAHLKETDYCKYDDQILTLFTSSLYYAALLSTFGASYITRNKGRRFSILCGAVSFFLGAILNAAAQNIAMLIIGRCLLGIGIGFGNQAVPLYLSEMAPPKVRGTFNQLFQLTTCVGILIANFVNYGVEKIHPWGWRLSLGLAVVPALIMFLGGLALPETPNSLVEQGKLKEAREVLERVRGTKNIDAEFSDLLDASKAAQAVKNPFRNLLKRRNRPQLVLGICIPSFQQLTGMNSILFYAPVLFQSLGFGNNASLYSSTITNGALVVAALISMHSVDRFGRRKFFLEAGVEMFISMLIIGIVLALKFGKGEPLSKGVSAVLVIFICIFVLAYGRSWGPLGWLVPSEIFPLETRSAGQSIVVCCNMFFTALVAQCFLVSLCHLRYGIFLLFAGMVLIMSIFIYFLLPETKQVPIEEIHFLWQEHWFWKRYCPPEEKLGHTGV >KZM84909 pep chromosome:ASM162521v1:8:20736821:20738871:-1 gene:DCAR_027669 transcript:KZM84909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNSMSALCLEMYNRGKPYLGVIFLQFGYAGMPIVTKAAINQGMNIYTYTVYRNAIAALIFTPFAVFLERKARPGMTASIFWNIVLLGLLELEKVNIKSFHSQVKVLGTLVTVGGAMIMTMVTGPSIGLPWSKHDQAAAKADGAATYPQQDSVKGALMITAGCLCWACFVILQERQRLAVTLKSYPAELSLTALICMMGTIQGIILTIVVEKENLAIWAIHWDTKFKAALYGNKPNWFSSFDVRMQGIVCAGLGYYISGLVMRQRGPVFVTSFDPLSMVIVAILGPFMLFEQLFYGRAFGAITIVIGLYLVLWGKSKDQPSSKSDDELQAINQEKPNTNVDAKIPVRNHDHA >KZM85683 pep chromosome:ASM162521v1:8:27840182:27848477:1 gene:DCAR_026895 transcript:KZM85683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRFMHDWTQLFVVLTLAGAALARHQFSELSYEVKAQQEADRIIELPGQPQVSFKQYSGYVTVNESHGRALFYWFFEATHLPEKKPLLLWLNGGPGCSSIGFGEAEELGPFLSQKGKPELKFNNHAWNKAANLLFLEAPVGVGFSYTNTSSDIKQLGDKITAKDSYVFLVNWFKRFPQFKSHEFYISGESYAGHYVPQLSEIIFDKNMYVPKNEYINFKGFMIGNALMDDETDQKGMVDYAWDHAVISNHVYDEIKLECNFSNENSTAACDAAIEKYFDVYKIIDMYSLYAPTCFDSNTTRTNKQFPVIHGIAPRLFSKQKGWHQKPAGYDPCLSEYTEVYLNRADVQKALHANVTKMSYPWTHCSDTITVWNDAPESTLPVIRKLVNGGLRVWVFSGDTDGRIPVTSTRLTLKKLGLKTVEDWSPWYTSYEVGGWTVEYEGLMFVTVRGAGHQVPTFKPKQALQLVEHFLTNKKLPAAPQQASELSYEVKAEQEADRIIELPGQPQVSFKQYSGYVTVNESHGRALFYWFFEATHFPEKKPVLLWLNGGPGCSSIGYGEAEELGPFLSQKGKPELKFNNHAWNKATNLLFLEAPVGVGFSYTNTSSDLNELGDTFTANDSYTFLVNWFKRFPQFKSHEFYISGESYAGHYVPQLSEVIFDKNMYVPKNEYINFKGFMIGNALMDSESDQKGMIDYAWDHAVISDHLYEEIKLECNFTFKNPSDACQTALTKYLDVYKIIDMYSLYAPTCSDTHATSINKQFPAIQGIAHRLSSNQVKLKTLKNGWNKNSAGYDPCLSEYTEVYLNRADVQKALHANVTKIAYPWTHCRHSEFWKGGPDSMLPVIRKLVNGGVRAWVFSGDTDARIPVTSTRLSLKKLGLQITRDWTPWYSSHEVGGWTVEYEGLMFVTVRGAGHQVATFKPKQALQLVHHFLTNNKLPTAPF >KZM84375 pep chromosome:ASM162521v1:8:13380619:13382636:1 gene:DCAR_028203 transcript:KZM84375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELKKLLALPCQVCSLFGFLQSIQEPDLRNQRVRMESHTCTNLTHDCSFKDWRKVVLEQMYQSLCTETQGALQDCIRDALVFNHQTGCTTSIKDHVGATSNGSTSESSSCLDGDMWKQALFSVLVSTKFAQLCNLLSGNFLGMNVSSLFNLGLINSRINEGVYKNSPMLFHSDIQQVWTKLRKVGTEMVTLGMSLSEASRASCHNTVTDLPCFAVMCPPEYLLLACNFYLKRQGEKGEVIHSMLTIFLKIMA >KZM84293 pep chromosome:ASM162521v1:8:11748847:11754910:-1 gene:DCAR_028413 transcript:KZM84293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKCFCGKWLAAKTAWTDANVGLTRIEAKSEQFDMYMQLDVNTDIYPLHEGDKFMMVLASTLNLDGTPDTGYFTPGGRKSLADKFEYVMNGKLYRISEEGSGANLKADIYVSFGGLLMMLKGDPSIAAKFELDQRLFILMRKFAKKPAPPPAAEPKPEPAAAPPQHNVHPPCRCCAYDIEYMHALQKLRSIEMSERKINGRLNYYNWTRQMGNHYPNSQVYHRRRVVALPRGPRRPLPPPDCPDYPPPPPRHGFALPPPRAFDHYAPTHDDYY >KZM84966 pep chromosome:ASM162521v1:8:21186397:21189068:-1 gene:DCAR_027612 transcript:KZM84966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTAGQVIRCKAAVAWEAGKPLVMEEVEVAPPQKDEVRIKILFTSLCHTDIYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMLNDGKSRFSINGQPILHFVGTSTFSEYTVVHVGCVAKINPLAPLDKVCVLSCGISTGLGSTLNVAKPKKGSTVAVFGLGAVGLAAAEGARIAGASRIIGVDLNPNRFESAKKFGVTEFVNPKDHNKPVQEVIVEMTNGGVDRSIECTGHVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTSPMNLLSERTLKGSFFGNYKPRSDLPSVVEKYMNKELELEKFITHEVPFAEINKAFDLMLKGEGLRCIIRM >KZM85465 pep chromosome:ASM162521v1:8:26007460:26007657:-1 gene:DCAR_027113 transcript:KZM85465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCRSFTNTATPIAESFLRDVSRREDIKIAKASISYGCRNMACVKLRRALNQKNRHMQYLNQTR >KZM83958 pep chromosome:ASM162521v1:8:3962495:3964091:1 gene:DCAR_028620 transcript:KZM83958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQLGEANLEKAIFYGRSAFEKFYEFEEDKDLLKDCSALLVYAQLEKSSVGYLLEDSQREIVVDAVNAFVLSVNPNLEDRKSCSQSHLEKLLRQLTACFLEGRSLNGTKVKHSISTEY >KZM85607 pep chromosome:ASM162521v1:8:27108437:27111829:-1 gene:DCAR_026971 transcript:KZM85607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase like-14 MESKNGGLLADHDRGKYDSSQVHAMIAETDQIQKTGVYRPNIWKYDRIEALRNEYADEKYERMLGKLIGEVQGLLSNTADTWEKLELLDNMEKLGLTYLFEHEIKKVLDTLVSNNNLNLDGEKDLYNTALFFTVLHMFADLVDNTHSDMKAMLKLFEASHLAFEGENILDRANLISRNYLKSIGSSAEDASLANVTRSLKDPYNIWYNVKTQIRFHGKNTNSSSHLLNLARYNFNMIQATHQKEVKELLRWDGEQNEKLSAGMNICLQTLYDTIKNIASEIEDVYGWNSVSPHLQKAWTTFCQSMLKEARWFNTKYTPSLKEHTDNGRNSSSGPLLSLHIFFALVTKTEPNQVIELLQCTAKHQHNVALIFRLCNDLGNYAVEIERSDASSSIQCIMQEQGVSEEVARDQIKHMIANAWKKINYQCQTQSPLLQPYLKYSANIARVAHVVYHNGDGVSNADGMTRNQVMDLLSEPLLLT >KZM84174 pep chromosome:ASM162521v1:8:9627325:9636701:-1 gene:DCAR_028279 transcript:KZM84174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGKFDLSSGSPDRPLYASGQRGSYSGALLDRSSSFRENMENPILSALPSISRSTSPVAQGDVTNFLQCLRFDPKAMVADQKFNRPMDFKRLAGLALGVPSDDSPSGSVKSNLASSLPEEVKRFKLGLRESSIKARERVKTFSEGLSVFSKCFPGIPSRKRSRSDVLSGERLFSSDRSVSGPPGGKMGALSHTVTSGFERDQQKSDERIKNSIPNKRTRTSMADPRIDVRPNTPARTSGNADRDKEGSRPPNNSVTQVEDRTLPIGVDIWEKSKMKKKRSGIKADAAPSSIALKLNDGYREPRQGLQPKHLSESRSRLNDSNGLRPGAVNGIIGVVKADGASQPASLGVRSSIPRSEQDIASAPNEKRVTTSSDKERVNPRAVNKTNTREEFTSASPTSSVKLNAASRAPRSGPGIVPKLSPVVPRATAADWEFSQCTSKNPTAIGTNNRKRASSTQCSSPPVAQWAGQRHQKNSRSARRTNLVPITSNDETPSLDTMSDAAGTENGSVFPRRLSSNSPQQVRIKGDQYPSTALSESEESGAAEVKSKDKAKNSDEADNKSGKNVQKTATLVVPPRKNKMATGDDIGDGIRRQGRTGRGFSSTRSLVPVTMEKHGNIGTTKQLRSAKFGFDKTESKTGRPPTRKLSDRKAYTRKHTTLNAAADFLVGSDDGHEELLAAANAVTSTAYASSSSFWRQMEQLFRFISEVDIDYLKRQENIDITALKSNLIPSNSLLHNGTVFDRLRNMSEGKYSEFSLDQFSPGAGSSSDVPLCQILLSALISEDGNEEPCCSENEGSEYNTYGSDEFDEEVEPDSFSHQSLHNFELAGRTGLGGYRITASGRSFNELEHDVSEKDSFSMPGMYITSGVDHSQNDSLPKQAEKPVLFCSNFQYNKMSINERALLEIQSIGLSPEPVPDLAQTGDDEISRDIKKLEDKYQEQVCRRKDFLDKLLKSSIESRELQEKELERSALEKLVGMAYQKYMSASGKMAKQAALAFVKRTLARCVEFEVTGKSCFGEPLFREMFLSSSSQLSDAQQMHVTRDGESETHYGIASRPSREGRISGLVGTPQTPSVSNHDAYSPGGFLGNYLPERNIGKEDTWSNRVKKRELLLDDVVGGVVGTSPGISSGIGTSISSSTKGKRSDRDREGKGNNREVLSRNGTTKNGRTTSGNPKVEKKSKLKSKQKTTQLSAVNGIIGKMSEQPKNLPSSIPKSGDNGREKDNFKLDVLDNTEAIDFSTLHMDGGLDVPDDLGDQGQDIGSWLNIDDDGLQDDDFMGLEIPMDDLSELNMMV >KZM85312 pep chromosome:ASM162521v1:8:24583175:24583384:-1 gene:DCAR_027266 transcript:KZM85312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALSHRSYNLLKSLPMLLPYTSHLHRLRTIHHRDFDFVHQLELQAAVTFRQNFCRRVDDVLQEAVVIV >KZM83773 pep chromosome:ASM162521v1:8:1411137:1412021:1 gene:DCAR_028805 transcript:KZM83773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQEVKLFSLWASPFGKRVEIALKIKGIKYEYFEEDLSNKSSELLKYNPVHKKLPVLLHNGKPVCESLVILEYIDETWKSERSLLPEEPYARAMARFWAKFIDDKCIAAMWGARKNSEGQEEGIEELTEHLKTLENELKGKKFFGGDEIGLVDITADLIALWLGVLLELKGIELLTKEKFPRLCEWIDDYLDHQIIKETLPDRETYRDFLLKAKF >KZM85159 pep chromosome:ASM162521v1:8:23294403:23296240:1 gene:DCAR_027419 transcript:KZM85159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQRNNIVSRVTQITQSSQAMVRSGNDNDNRNNNAGGMSISDTRNNGDDGRKGRIVWESYLHDRFLEAILVIGYQTPTELSNATTWNHMTNQMGKQCQTSFDQNPNYSYTGGSLWKANNVNSGSTTSGTGPSLVHNLSYESNQMGYCGQTTVADQERNVFATGGLVGGVNNNIFTSTNIVAPSSIPFNSEQSINYGAHARNNFFDGNNFDLHQENHVAMVEENQAATGDDHAVDDVDAFISSILDDNTF >KZM86097 pep chromosome:ASM162521v1:8:31331557:31332351:-1 gene:DCAR_026481 transcript:KZM86097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIFNIFIKNCLGAETLTLYSSPLATFICMFLIIYLFSYFKLFRSWNSKHRAEASSSFISLAHGTPAVILALFAILPDSTSPLSGFTSPNTEFQNMVLDFSIAYFVIDLSHYMVFFPSDALFIAHHLATLYVFTTCRFVVRHGSVPILILLILAEITSACQNTWSIAGYRKSDVPAARRLHEFLSPLFYAFYTVVRGILGPIFVYAMAVYYTSGAADGIIPRWAWISWMCVIVCAVLLSILWITDKWIAFYKDNHRIKDVIQK >KZM84038 pep chromosome:ASM162521v1:8:5797789:5799519:-1 gene:DCAR_028540 transcript:KZM84038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLRYFLANILVLFVTFLSIGHEHKGLVAVHGLGVNWGTRATHPLQPEIVVRLIKDNGLKKVKLFEAEPWVLQALGNTGIEVMLGIPNDFLAPLASSVRVAEDWVSQNVSAFISKYGVDIRYVAVGNEPFLKTYKDSFLQTTFPALKNVQAALIKAGLGRQVKVTVPLNADVYESSTDVPSGGNFRSDIHDLMISIIKFLSDSASPITINIYPFLSLYADPHFPVDFAFFSGNAAPVVDGSISYTNVFDANFDTLVWALEKNGFSSLPIIIGEVGWPTDGDSNANKNYARRFNQGLFDRIIKGQGTPKRPSSPPEIYLFGLIDEDAKSIDPGNFERHWGLFNYDGSLKYQLNLGSNRALVPAKGVRYLSKQWCVMAPQASLSDPTLAEGVSYACSNADCTSLGYGSSCGALDAKSNASYAFNMYYQTKNQQRDSCNFNGLAAISTVDPSHDTNCRFEIMIDVGKHPKARNRTYTTAGVERNGSLAMALKFLIGLTIACVF >KZM86017 pep chromosome:ASM162521v1:8:30792400:30793617:1 gene:DCAR_026561 transcript:KZM86017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLPSPLPSQTHEEPDFSNHLHSDSSGSSISSLSSLPSVPSLNSVSKDIPNINHQCVSTIKGHTSYVFSLVLSGKYLYSGSSNGELCMWSRSPSNQEGSAENVVFSCKSAVKSLVILGDKLFSAHQDHKIRVWKIEDGTPYKKNKCIATLPTINDRVTRLFLAKNYVEIRRHKKCTWVHHVDTVSALALSKDGSFIYSASWDRTFKVWRTSDFKCLESVWNAHDDAINAIVSSSDVFVYTGSADKKIKVWMKNEGDKKHILVDVLEKHKSAVNALALSPDGSVLYSGACDRSIIVWEKTSSDGNMVVTGALRGHTNAILCLAVVSDLVCSGSADKTVRIWRKEFGKSYSCLAVLEGHKRPVKCLTATEDSCSANNSSAIGMPYLVYSGSLDCDVKVWQIWVPFP >KZM83808 pep chromosome:ASM162521v1:8:1784936:1791186:1 gene:DCAR_028770 transcript:KZM83808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTRAFVKNVKRVVVKVGTAVVTRSDGRLAVGRLGAIFEQLEKLNSRGFEIILVTSGAVGAGRQRLKYRKLVNSSFADLQKPQVELDGKACAAVGQNGLMALYDTLFSQLDVTSSQLLVTDNDFKNADFRVQLGQTVNSLIALRSIPIFNENDAISTRKAPYEDASGIFWDNDSLAALLAMELNADLLLLLSDVDGLYSGPPCDPRSKLLHTYVKETHEGVIAFGDKSRMGRGGMDAKVKAAVNAAYSGTPVVIARLDILTMFPSFVSGFATDNIIKVLSGERVGTLFHQDAGSWVSFTEVGAREMAVAARDCSRRLQALPSEDRRKVLLDVATALEANKSQIIEENEADVEAAKDFGYDESLISALANSVRKLADMEEPIGRVIKKTELADGLILDKISCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEARRSNAILHKLITGAIPDTVGDKLIGLLTTREEIPDLLKLDDVIDLVIPRGSNKLVSDIKNATKIPVLGHSDGICHVYVDKSAILDKAKKIVLDAKTDYPAACNAMETLLVHKDLATNGGIQELVIGLESEGVVLYGGPRASELLSISDVSSFHHEYSTRACAIEIVNDVDAAIKHIHKHGSGHTECIVTEDAQVAEYFLRHVDSAAVFHNASTRFCDGARFGLGAEVGISTSKIHARGPVGVEGLLTTRWILRGNGQVVQGDKGVTYTHKSLPIKS >KZM84274 pep chromosome:ASM162521v1:8:11439866:11446088:-1 gene:DCAR_028432 transcript:KZM84274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDKSLNLGGGGGLPPPSGRFSPLPPSGNAIHVKTEALGSTALPPLPPDSLSESGRFGHGMSSDVNQFSYDISQMPDNPPKTVGHRRAHSEILTLPDDLSFDSDLGVVGGMDGPSYSDDTEEDLFSMYLDMDKFNTSSATSSFQVGEHSNASVQPSNNAPVSGSAAPATENIVSASTERPRVRHQHSQSMDGSTTIKPELLMSGTEDTSSVEAKKSMSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQAMQTEATTLAAQLTLLQALDTNGLTAENSELKLRLQTTEQQVHLQDALNDALKEEIQHLKLLTGQNIVNGSPMMNYPSSYGVNQQFYPNNQNMQSMLTAHQFQQLKLHSQKQQNQFQQQQVHQFQQQQLQLQQQQPSLLQQQQQPSLLQQHREQHASQGGDTKMKGSTSSTNLGESSPDINMHMSKD >KZM84329 pep chromosome:ASM162521v1:8:12603385:12604335:-1 gene:DCAR_028377 transcript:KZM84329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPATMTTPSSGTSFNKLLYKILEIKVISAHDLPPVSNRLHTYVMAWAHPDHKQITPVDHKGNTNPTWNYRMVFGVDDKFLSSETSAVMMEIYNVSRLSNKPIGTTWLPIDILLKNPHSEIMKKPLTLQICRPSGDFKGFLVVTVNLVNTTIRNRNDEDENPRKFMIRQLSHRLSHSESSKRMTYLEEGEDHDDVNALSDPERVSIDSEMSNLPLEIVVALKNGGMCSPATDYAGVGTSVFNDWIGSGADYSYNKETKNLSFLPPKTVVKQKSKVRSRQRRHSDGGLLSCFSTAFICGSSSTSYKNTNKMIKKKG >KZM85977 pep chromosome:ASM162521v1:8:30405974:30410024:1 gene:DCAR_026601 transcript:KZM85977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDQCSSLIVHRIDIFALIRPTDLMSLMDVGAAMSVLLGFAPSPFLSADSSSKLNEVLMPNPFDRPRAVFLLEVKGAEGYAAASGNDLFSNALKSRVMCEKNELDIHLPDQDDLSVVSLEELSTSSSDTGFSDKELNDFASWLGGSYVASSVKSFSGQLTIPLKNGDQLKLDMLEKVNREFIESLLSLIRNIQRAIELHQDLSGDTHNPAELITGSFNGIEILQEQYGIDGVAQQAMELVATAVSKIFDSLQTAHKGQIVGVIIFKNSPSSEEDSIFNVKFTSHPAARLLEEKSSGPGTLIIAEVLLVRRTLAWLTGIILLISTLLGYMVPAMHRSYLSVHPSCGHLA >KZM84083 pep chromosome:ASM162521v1:8:7033985:7037796:1 gene:DCAR_028495 transcript:KZM84083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFLMELENQPCSNRLLKVRLARKWLETGEDDFVLGLNLIMNDQYNIPAALEHDSNLIDVVGIIEDVKYPQIVSNRNNNQQIFRDFVITDLGDCVKVRFWDHFALHFDTLFSEATVRPVIITISSCKMNRNNYSGVTTLTNMPATSIHMNGNCPRAETLRNSSKPEGQDDNNADDEYESGRQEALEKSLTNLNIGISKILRKVGELSCSYKEFAENFELEQKYLRDELKMLRSTADHLKKYI >KZM85650 pep chromosome:ASM162521v1:8:27574138:27575870:-1 gene:DCAR_026928 transcript:KZM85650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPQAIKTQELDIPLHAFGFEFQELSPEKVTGRLLVTPICCQARTSTCLFFSISLISLFWIRHPFKVLHGGVLSVIAESVASVGAYMAAGLIRVAGIQLDINHLQSADLGDLVFAEATPVSVGKSIQVWEVKLWKLDNPSDSESKSMISVSRVTLISNLPVPEHSKDVAKNLAKLAKL >KZM85272 pep chromosome:ASM162521v1:8:24301906:24302199:1 gene:DCAR_027306 transcript:KZM85272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQRRGCNFHLWHDPAVGDRAKNIIPGLLRKIQRLEEEMKRNRKKEKMLVFCLITTVVIIFVLLAVCVSMFI >KZM85739 pep chromosome:ASM162521v1:8:28233081:28233985:1 gene:DCAR_026839 transcript:KZM85739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKGKRLYGKVAVITGGTNEIGAATAKLFAENGAYVVIADTEDEVGARLAESIGGQFIHCDVSQESQVESAVKLAYTWKGKLDIMFNNASIPGPQGSITNLSIDQFSDLLSVNINGIVHGIKHASQAMIAAGKGGSIICTSSSAALVGGLGAHSYTLSKEAIFGVVRSSSCELGVHGIRVNCLVTHSVTPEMEMVGYKDPAEMNGGDSKELTRTSLLQGRSGTAEDVAFAAVFLASDRESGFITGHNLVIDGGYTSGCSHLHFTYTANF >KZM84352 pep chromosome:ASM162521v1:8:12901888:12904936:-1 gene:DCAR_028354 transcript:KZM84352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPKSIMPAAFVSFKTRWGAAVCAQTQQSKDPTQWITGWAAEPRDVYWPNLAVPYVQLTVKRLMMAVSFFFLTFFFMIPIAMVQSLANIEGIEKRAPFLKPVIEAKVIKSFIQGFLPGIALKIFLILLPTILMMMSKFEGFLSISSLERRSASRYYIFNFVNVFLGSIIAGTAFEQLNTFLKKSANEIPKTIGVAIPMKATFFITYIMVDGWAGIAGEILRLKPLIFYHLKNTFLVKTEKDREKAMDAGSLGFNTGEPQIQFYFLLGLVYAVVTPLLLPFILVFFALAYVVFRHQIINVYNQEYESAAAFWPDVHGRVISALVISQLLLMGLLSTKEAALTTPFLLALPVLTIWFHRYCKGRYEPAFVKFPLQEAMMKDTLERAREPHLNLKGYLQNAYIHPVFKDEDDSDSDDDNGESLEKYEQELVPTKRQSRKNTPVPSKISAGSSPSIPEVTSEYTKL >KZM83906 pep chromosome:ASM162521v1:8:3101348:3102007:-1 gene:DCAR_028672 transcript:KZM83906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMHAVFFNPPLPNGNTTGIGVVVRDEDGVIVAMISGTMGHINPRANELWSFMLGFQLAFKLRRRRIELETEAAEALREWDDWRWFVDPRHTSLVPQLNRRVRLGRLVLRKRTVDASQNALARYLAEDGAATRFAPVRIYEPFGRVSELWHLDMGLGLVAGNFMVVDEEDYEAQQAQLQQQQEWMMNEAEEAEAEGGNSAGSVAGGNGGHAASRTSTSS >KZM84428 pep chromosome:ASM162521v1:8:14357668:14361576:-1 gene:DCAR_028150 transcript:KZM84428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRWKTRFHEEEDRPEKPRRYGVTEMRAPNYTIFTRDLLQETFESMGEFVDGLKFTGGSNSMMPKSFIKDVTDMARKHNVYVSTGDWAEHLLRKGPSGFKEYLEECKQLGFDTVELNMGSFGFPEETLLRFVRLIKNAGLKAKPQFSVKFEKSDIPKAGNRAYGSYVVPTPQNSEMIEDMDLMITRAERCLDAGADMIMIDADDVCKYVDTIRSDIIAKVIGRLGLEKIMFETSNAKASEWFINQYGPRVNLFVDQGQVLNLECLRGQNIAS >KZM83788 pep chromosome:ASM162521v1:8:1612596:1614640:1 gene:DCAR_028790 transcript:KZM83788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSTAPPSKLQYFSSSHSPSSLSPFQQCSLDTKAFAPRSSSAKKKQTLVSTTSIRCMAVETSKTTVQKKNAYEIQTLTSWLLKQEQGGGIDAELTIVLSSISLACKQIASLVQRASFFFNFTGGAVNVQGEDQKKLDVISNEVFSNCLRSSGRTGIIASEEEDVPVAVEEKLFWQLHCLQLDSEERKCVVSVCQPGSNLLAAGYCMYSSSVIFVLSIGNGMWDDKVKKYIDDLKDPGPTGKPYYARYIGSLVGDFHRTLLYGGIYGYPRDTKSKNGKLRLLYECAPMSFLVEQAGGKGSDDHSRVLDIIPSSLLMLLLQVHQRVPLYIGSPEEVDKLEKYLA >KZM84045 pep chromosome:ASM162521v1:8:5832344:5845432:1 gene:DCAR_028533 transcript:KZM84045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRASVPRSAAVSKGYNFASSWEQNAPMTEQQQAAIVALSHAVAERPFPAKPDNLSGECNGMSVSTKHSNMEESGDIKAVLVNTNQFYNWFIDLEAAMKSETEEKYQHYVSTLTERIQTCNNILQQVDETLDLFDDLQLQHQAVATKTKTLHDACDRLLIEKQRLIEFAESLRSKLNYFDELENVANSFYSPTMNVANENFLPLLKRLDECISYVESNPQYAESGVYLVKFRQLQSRALSMIRTHISSVLKNTTSQVQAAMLNSGGSKASVSEGVEASIIYVRFKAAASELKPVLEEIESRSQRREYVQILADCHRLYCEHRLSLIKDIVQQRISVFSKKEALPSLTRSGCAYLMQVCQLEHQLFDHFFPSSSEDISSLAPLIDPLCTYLYDTLRPKLIHETNIDTLCELVEILKVEVLGEQLSRRSESLAGLRPTLDRILADVHERLTFRARTHIRDEIANYIPFDEDLDYPAKLEQSAELKSEVISDNRSADVSKFWYPPLEKTVSCLSKLYQCLEQEVFTGLAQEAVEVCSISIQKASKLIMKRSSHMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQASLFDWSRSTSLARTLSPRVLESQIDAKKELEKNLKATCEEFIMSVTKLLVDPMLSFVTKVTAVKAALSSGTQNPKLESVMARPLKDHAFAKPATVAEIVQKVSAALQQELPRVMEKMKLYLQNSSTRTILFKPIKTNIVEAHIQVQKLLESEYSSEELKGVIDMVSIHDLQAQLDSLL >KZM84095 pep chromosome:ASM162521v1:8:7223425:7226912:-1 gene:DCAR_028483 transcript:KZM84095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSFYGLSTKQITLFLAAVAFTTILLIGWAKAPIVSSLIPSQGGVLRLLPVVSDEELKDKEPFKGLNGSGGVSMSANVVAPATTPSTISYAPAMEIENLTTVNETTTKHKEDSDVKQLSEGEKDLTLVTQDSVAPLSSVTTKSSVIGKEDVKTPSKSQGCNYAKGKWIPDDNRPLYSGFGCKQWLSSMWACRLTQRTDFAYEKLRWQPKNCEMDNLTGSKFLSRMQNKTIAFIGDSLGRQQFQSLMCMVTEGEERPDVLNVGWEYGLVKEKGHVRPDGWAYRFPSTNTTILYYWSASLCDLEPLNITNPVTDYAMHLDRPPSFLRRYISRFDVLVLNTGHHWNRGKLESNRWIMHISGKPNTDANIAAIAGAKNFTIYSIVKWVNSELPKYPGLKAFYRSISPRHFFNGDWNTGGTCDNTTPRSGNLEVLEDESIDPIAAGSVKGTRVKLLDITAISQLRDEGHISRYSIKATPGMQDCLHWCLPGVPDTWNELLFAQI >KZM84856 pep chromosome:ASM162521v1:8:20201288:20202506:1 gene:DCAR_027722 transcript:KZM84856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGPIIMVLTFAKDLNLGKTLVFTSIFNIITGAIYGVLMPVQPMKSIAAVAVFTPEFGIPEIMAAEIYMAAILFLLGVAGLIRVLVWGHAKLPWRKGLAGQYKFGGRSGGCVALLGAAKLALGFLLSGSLVKILNQFPVGVLGVLLLFAGIELALCCRDMNTKEDSFVMLLCTAVSSAAVGFVCGLVVYLLLKFRKLGKNQPQSSVH >KZM86073 pep chromosome:ASM162521v1:8:31200514:31202270:-1 gene:DCAR_026505 transcript:KZM86073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILKHCAAKFITLVAVSLALLANATNATSSGCHFPAIYNFGDSNSDTGGLSAAFGQAGAPSGETYFHQPAGRYCDGRLVIDFIAKSVGLPYLSAYLDSVGSNFSHGANFATAGSTIRPQNTTLHQSGFSPISLNVQWYQYNDFHRRSQAFRSQGEVFKKILPAAETFSEGLYTFDIGQNDLTAGLFLNMSTNEVKAFVPDAIDQFNNIVKNIYSQGGRSFWIHNTGPVGCLPYVLERVMITAGEIDHVGCAGSINDVCQFYNTKLKEAAEQLRKDLPLAAITYVDVYSVKYDLISHASRYGFAHPLRACCGHGGKYNYNRNVGCGGKIKVNGTEVLIGKSCQNPSVVINWDGVHYTEAANRWIFDQIVDGSYSNPPNSLKMACRAS >KZM84171 pep chromosome:ASM162521v1:8:9585796:9588474:1 gene:DCAR_028282 transcript:KZM84171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSQLFNYTHGSSTSTDDGSQVEAIKIYGLSNYYFYSKPAPPLKNQTLEERISCLKTRYDVCGIRTCVEAVILVELSKTPQLLLLQLRNSTYKLPGGRLRPDIEGLKRKLTNKLSVHSDGILPDWEVGECLGRWWRVGFETLLLPYLPPNVRSPKECRKIFLVKLPERQKFTVPKTHKLLAVPLSQLHENHEIYGPVISGVPQLLSKFPIETASR >KZM84653 pep chromosome:ASM162521v1:8:17347519:17350534:-1 gene:DCAR_027925 transcript:KZM84653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTESENEAIKLPTSLDEPRVVEPMRLPTIEEVRGQDIWNNCAVRSVTSGVMGGALGLAMGLFLGALDNPIMQDEMSGKQQFIYTAKQMGRRSWGSCKTFAVMGFIFSATECVVEKVRAKHDMTNTVAAGCVTGGTLSAKGC >KZM84145 pep chromosome:ASM162521v1:8:9132366:9133154:-1 gene:DCAR_028308 transcript:KZM84145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTSPSRLHARTNFLPPSRLHVSVSRSFNIRRNSFSPFISPVKISTKSQIIEHVVLFKVKPDTEESKITAMINGLNGLSSLDPVVYITAGPVHRIESSSSLTFTHMLHSRYSSKEDLNAYAVHPAHVDVVREAVRPIVEDSMAVDWIVDELDGAVAVAAGSAMRVKLLRIKDGLSDEVSDALFEVIGGLKSKFPVMSQISYGKNFSPERAKGFSIASLAVVAGVIELDDLDVNSEELDSQKERVKDTVDSVLVIDYVIQP >KZM84645 pep chromosome:ASM162521v1:8:17319177:17319371:1 gene:DCAR_027933 transcript:KZM84645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKLASVLTVVFMVLVACSNVAVTTALDVEAPAPSPTIESAGAALFVPAALAALASLVACFV >KZM84093 pep chromosome:ASM162521v1:8:7205243:7206899:-1 gene:DCAR_028485 transcript:KZM84093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKLHAIRDTKKLNPLTSNDNIVQKPFEEEHSDWPHGLLAIGTFGNKNVNAIPETCNLQSSKLSQDNSKDIDHEEFRNHQKKSNIILDRQDPESNVANELESHHILEKTFKTSSTSDINRPSSYTVSDDIGDEDGPFQLISGTVVINRGKESHLDSTKNASIGKESLSLLLKKMFKSEITPTSSLRDSFPEARLEKSRMNKIFRSVLNKKIFPQSLSTKATSTKKCLNNKQHCMSDGKNILAEEISAGSKWVKTDSDFIVLEI >KZM84155 pep chromosome:ASM162521v1:8:9304351:9306076:-1 gene:DCAR_028298 transcript:KZM84155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSESDFDPTNGTIRIAFIIDEFHGGKLRKIKKISVAGVEWNFILQTPAGIIHNLEDMFRRFDVKENDTIIFTLNESDVLYGRICNAGAESNNIDLDNAVGTEDDATDVKQFTTYLSAGNVDKKTDGLVSIGFELVKVHSFCNKPACGKWKRRQKIWLKTDRGVRETRITTGGSNPSFSAGWNKFIGENEYEDGEGLNFRLAENHDFIDFHITKI >KZM83947 pep chromosome:ASM162521v1:8:3869720:3870838:1 gene:DCAR_028631 transcript:KZM83947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGERSRHSNPTVHVPPWSFDNPTAEISLSHSPNTNPNYLENDYSFFLKNNSLANIRRYIQSNLGEIDENDVSDDENDDVSVDTYACDNFRMYEFKVRKCVRGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPDFRKGNCKKGDVCEFAHGVFECWLHPARYRTQVCKDGQACRRRVCFFAHTPEEVRVLSPRASCQTRSPGDSYDGSPRRLCFEAECSPNESPPLSPMAVSSLSRSLGSSSIGEMVASLRQLQLNKVKSIPTSWALQMGGGSPGSGLSRVGFSSLPNTPTRSVTRPRSGYSDFWEKMKLDEEVEEEPVMERVESGKTLRAKMFEKLSKENPLDRVDPNPSDAPNPDLDLGWVSDLVK >KZM85570 pep chromosome:ASM162521v1:8:26823879:26826931:1 gene:DCAR_027008 transcript:KZM85570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDKEQRILLYACPSLVEDGDGKMRMSSGGMTERPNVDVLKIKTKRGTEIVGIYFKNPAANMTVLYSHGNAADLGQMYDLFAQLSIHLRVNLFGNEVHHSVGERTQVLQDVAADPTLPRTKSVRCAVCNHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >KZM83748 pep chromosome:ASM162521v1:8:1101821:1111470:1 gene:DCAR_028830 transcript:KZM83748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNVVPLPDDEDDTFEQHFEDYRASGKQHLNHAEHVESAVDILRREREERRQRMKRERPDERASNASQTARNDQMSQAKHHKPSDRNKLPPGWLDCPAAGHEIGCIIPSKVPLGEAFNDSVLPGKRYSSRQVIHQQRVLGRKASLGLIIDLTNTSRYYLVTDWKKEGIKHVKISCKGRDSVPDNEAVNQFVYEVSQFLVRQKQSKKYILVHCTHGHNRTGFMIVHYLMRSLPISVTEAIRIFAEARPPGIYKPDYIDALYTFYHERRPDVVVCPSTPDWKRSELDLNGDAMPDEDDDGGPASPVHENHEIEGSMTNDDILGDGIPSDQQEAMRQFCYQSLKLGAGVRGHSQFPGSHPVSLNSDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLVDRNFTFRRVQMRFPCKPTSEGLADKTHHFTLLDGEMIIDTLPDSHKQERRYLIYDMMAINQVSITERPFYERWKMLEKEVIEPRNHERHNIYHSRNPNYRYDSEPFRVRRKDFWLLSTVTKLLKGFIPKLSHDADGLVFQGWDDPYVPRTHEGLLKWKYATMNSVDFLFEMADTRQLLYLYERGKKKLMEGNKIVFNDDTDPSSYSGKIVECSWNFEEDVWVFMRIRPDKSTPNDINTYRKVLRSIKDNITEEVLLNEINEIIRLPMYADRIRSDSKAAANLTRRR >KZM85222 pep chromosome:ASM162521v1:8:23872754:23874745:1 gene:DCAR_027356 transcript:KZM85222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLPSPRFPDLNGFPDTTAFRVEPKLEPLDEDLESPPGMFTPGSSARNRNPGFDLNANADGVASVGTSSGVSKGAAAPEASNVLSEFNRISEIFNSAFTSGGCEEEESVMEEEERNELALAIVAVPEEQAIVPKKIKYPPRSNELVRVTNLTEEDEQYYREIVRRARMIFDSLSVSAVVDDDRNIAGGTFDRKTRGDTKAAGLMRECGLWLNRDKRIVGAIPGIEIGDVFFFRMELCVLGLHGQVQAGIDYLPSNRSSNGEPVATSVIVSGGYEDDEDSGYVITYTGHGGQDKNGKQCAHQKLEGGNLALERSMHYEVEVRVIRGFRYSGANSGKIYVYDGLYKVVDTWFDVGKSGFGVYKFKLVRMENQPEMGSTILKMAQMLKTKPLDVRPRGYLCLDLSGKKETMPVLLFNDIDNDQDPLHHQYLVSTVIPKYVFQVGSHSGCECISGCSFDCLCILKNGGEFPYDNKGMLIKGKPLVFECGPQCRCPPTCRNRVSQKGVRSRFEVFRSRETGWGVRSLDLIQAGAFICEYAGVVLTRDQAQVISMVGDTLVYPSRFAERWAEWGELSQIYSDYVRPSYPAIPPLDFAMDVSSLRNVACYISHSNSPNVFAQFVLFDHNNVMFPRTMLFAMENIPPLRELSLDYGVAEEWTAKLAICN >KZM85747 pep chromosome:ASM162521v1:8:28295541:28296890:-1 gene:DCAR_026831 transcript:KZM85747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNVSWGNLPSELVENIGQKMVGSCEDFIRFSAVSKSWNSVAFKMRQEGSTFLLSPESPLLLLAEEVPEGSLRCCDINVDEDGLEEANDDEDSEEEYQDEDEDEDEEGQEGQEEEEAPQEDEDQEGVEEDEGQEEHGDNVFDQLILSVGNARGIYSLSTGNTYTINLPEAAGKWILGTSKGWVLTLGTNSETNLLHPLLRHQIPLPSIPKCRKVALSSRVSGNDTPQPTIMVIYGEVGSLGFARFGDQEWKQVESPSAGPFVDITYHKGKFYGINHAGDIFECNLDDDYTSGATGEPITFCPSNPDDSGSMYLVDSENDLWFLVRIRRVKHFKPPNNMRVKYRTTNFLVWRLEPTVSEDGHETIGTWVQKHDLGGKAFFVGLNASVSLSSSDCVRPNCIYFTDDISDLYFPDGGGHDLGIFDVERGTIEQHFQGKSLHPISPPLWYI >KZM85851 pep chromosome:ASM162521v1:8:29298287:29305420:1 gene:DCAR_026727 transcript:KZM85851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDHDEPSGVKHGRNSDTDSEQPSKRHRRHHHRRHRHRRSRNYSEKEAEIEEKAESPVKIGPVDDEVEEGEILEEDEEFVAEKRRLESSDVESGEIKGFDADRDQEALRELGGSTCEGQNIEKVGSRFMMLGVDEVAVGDGAVAALSKRTINGKTKMCDEIDVQRDSSVERYGSVDISKERCSKSPRSSSPEGLGKRKGYYVGDSERRRRKMFSSVDEGDRHMFTGRPALPGRFREEDRRSSRSRSPDRAKEKSQSQSIVGEPSHLQVQQFNVASNRGNRLPKNSDDETISRYDNEYRHGSRDLDERDYSSTNRRHTTREDRYQSSDSQTRFRGKSREIWDREMQHRDRPRGKDWEKVQERARERDINQKSEREQERERRRETERDSDRRDWDQDIIKEKAYDKYTGYRKHSDANTGYADRNYTDYRHRKTDETDNWGKAKRSDAENFQSSKIDNTDEDVEKESRDELEQENFQENTVLQPAEKEEEEIDRIKEESRKRKQAILEKFKRQQKPEATKEINAKTSLSDEETRSAQDDEKLFSVEKFPEQIVPPAIKVAGSGALGGGTPKSEGSDDMFRDDIFGDSPAGVRKLEKGDCLPVKSSGVNDNWDDAEGYYSYRIGEVLDGRYEVIAAHGKGVFSTVVRAKDLKAGFGDPEEVAIKIIRNNDIMYKAGLEELVILKKLVAADKEDKRHCVRFISSFKFRYHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRTYAKQLFIALKHLRNCGVLHCDIKPDNMLVNDAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLQYDHPMDIWSVGCCLYEIYTGKVLFPGPSNNDMLRLHMELKGPFSKKMLRKGAFIDNHFDQDLNFIATEEDPVTKKTITRLVLNIKPKDIGSIIFGSAGDDPKMLSNFKDLLDKIFALDPDKRITVSQALAHPFITGK >KZM85303 pep chromosome:ASM162521v1:8:24534559:24536469:-1 gene:DCAR_027275 transcript:KZM85303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFFAYHSQPADFSWPQEEVLAQPSAFVSYTQQSVNEAPAVGSGGVNVKKRMREFLMRSMSTSDREMCDYSEKERCRRHMLSERMRREKQKNNYMRLHALLPSGTKRDKHSIILTAAQEVQELKKCREELRRQQKEMLGAIEGSRGEEESEMEQAKIRIDVARPSSGVDSMLEVLKCLKHTNSNVRLIQSKFLPQQFSAVLGIETKVGAAEVEQEVHRTLFQVEKKFRHSRVEPSHTHGSFLFHS >KZM84275 pep chromosome:ASM162521v1:8:11450001:11450210:-1 gene:DCAR_028431 transcript:KZM84275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRELLYNHQFSMIQWLGSMQLSDFHDPVFRIKIIKIFAPLRHNICRKELGMSYDSPTSTIFAGRRHDV >KZM84841 pep chromosome:ASM162521v1:8:20023130:20027395:-1 gene:DCAR_027737 transcript:KZM84841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNDCIVNIQSLAGEYFCAVCRTLVYPTEALQSQCTHLFCKLCLTYVVGTTKACPYDGYLVTDKDSKPLVESDKALAERIGKTPVHCLFHRSGCSWQGTLSECTSHRSDCAFGYSPVVCNRCGMLLLHRQVQKHAQICAGAKSHLQQTAQNSKDAATAVAVNTANSGQASSQPVAHTSQAAVPQITVAPPTTQEANPYVQAIASSAGMTAEQWQQYQQYYQQYPGCVPYQQYYTYQQPAQPVHQQAPYAGQPQVYPQPSTGMQGHHQPLPQVQEQGPAQTQRQPQGQPQAHSFVQNQVNPKQQSHIQVDTQTAAQSQILPQQPPHQAQPHMIPNSLQPPAQTNLQVPYQQPPYQGNLVQLQSQPQPVLQSQSKSHHYPHPLQPNSPPSQQPAPGVLPGYQSHPLVQPNYQMLQAPQQHYSMPMQPSSGPLPPPAHFPQQSPHIRPPQTYASLPNQQQPSQMQSQSQIQGFPPVHPQNLLQGYIGHHRPAAPAGQPIQQHGQQPTPSQASISVPSALKPMQPQGPIQSQQNARPPPSHGSVQAHGMAPQQPPFYGSRPAAPNQTASSHPFPQSGGTFGGAPHSQPLPLSSVQPSEQQQQVATGLQFSQSDREITHKLGGGNAAAQVGSTLNKTAGKDVSFPGEDSVKIKAFDSEIRGKSGDVEHNIKIVGENKGNWSQVAEAVIDASKSGSSEPLMEKTVKEKTATPNEMHGSVFAVMDSTSRQRETYAGHKRDNSNVLAHENKLSQEQVSQQGPAITQYSGFHDKGLPISSSSTPLTDRSRYQMPSGTYGLPSQQQRHTMPSNSQSGSYRGAPPNALPGQGPARLNLQGPGLSGPLQQSLHPSEHFRQSSSSHTHESLQGVQRGQYYQDNSSSQPLFLRTNKAEPTGPLHGSNNAGPLQNPRLRHLEEFHGSGPGFGVDYPPPRSPGREFYGIPSHGFGGQSGGPHDQPGLDNVNGWGSNAFPEGPRSFHISSGPVGRNFSDHFKSGDMAGQDFIPNHMRVGERFCPRDVPSHISAVEGFGTFPDPRMLELNGNGGFPFAESYLGNSRPGEPGFRSFYNDEISRPGGFYEGNVESIDSFRLRMSNSTVRCQICKVNCDGLEGLDLHSRTAEHLQRTMDMVTSIKLHAKRQKILKDRSSGQEGIKPKKAGKRRRKKA >KZM84450 pep chromosome:ASM162521v1:8:14768895:14771187:-1 gene:DCAR_028128 transcript:KZM84450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGRSRSDMGKVSELWLTCGGILLMLCVPSVFGWGAQGHFAICKMAQGFLTEDALTEVQALLPEYADGELAAVCSWPDEFRKLMPWSTALHFVDQPDSSCNYEYCRDCHDTDGNKDRCVTGAIYNYTDQLQLGFHKLSSEMNYNLTEALMFVSHFFGDVHQPLHAGFLGDSGGNTIIVSWYQNETNLHKVWDDKIIETSLQTFHNSDLSSLIQAIQSKISGVWFTESLSWRNCTSDSLACPDPYASESSELACKFAYKDVTQGAALGDEYFLSCLPVVEKRLAQAGVRLAATLNRIFSSQLYQSHKIECPTPSTYLYDSI >KZM84583 pep chromosome:ASM162521v1:8:16536770:16538997:-1 gene:DCAR_027995 transcript:KZM84583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKLNFNAPLLSVRVKRKDKDVPPPCKQLAVPARKSVSPPSSRQLSVPPRRSVSELTDLTKPAAVPFEWERTPGRPKVEGKGEALSQKEPLPSPRFPPGRAFDIRKRVSGELLEYQNLSRPQLEASPLNENVSVVGMTEGCHSEDGDDAILESLDALSQTESFSGSCSITDSSSNGGPFTQSSKTFRADQKTLDLMMARFLPAARAMIIETPKYVQKKKSVESQASRNVKKIVSGELRPLLERYGSNTAPQYSPYKRNAFSEVEDDGDDYRHRRSGLACGLLPRLSKKSLRFLDPVPRMKSRPQSPVSSASEVRRMARTAHSGPLPQVQQEKQSLKDNYWDDIKIGVKQQELIESKLIGANTVRHSIDSYRKESLLPRTRSRSGCISPYRNEKPRSPFHDGARFLGVPKMVKTTESGNVNLTSKEFDKFRDISAYQMYKRESDILSSLAERILYIDLVNNTELPILDSEEELLKKLSTENLGESRGTEEIRMPESLDTVKMVKSTNIGSTAAGSASSGCISNLKSRADNIKVMKQDANLGHEAASLECSIVHPAENLATQTENSGKVNDDKNVGVGFPQSPLPPPLPKSPSEPWLWRNLSSISLRNPFSHGQSKKINRKTSSTSSKWETIVKTSNVSHDHKRYSELQTDWSHIVYSCDRNSSLMFRSSKQFNRKKKELEDRPLQYLL >KZM85506 pep chromosome:ASM162521v1:8:26374589:26380662:1 gene:DCAR_027072 transcript:KZM85506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEPLLEETRKISYTVTLWFKLSVVVACLLTIAYTVLCVLSFIRGVSTSWKLIEGLFWLVQALTFLAISVLVAHEKKFNAVVHPFTLRLYWIAHFVIVVLFAACAITRLVMKNEYLEEYVMIDDIFFLASLPLSAFLFVVAIYGTSGIVVFTENGIDHSLVTELNGPNVRDLNVSGYANASWLSRGTWYWMSPLITKGYKSPLTIDDVPTLPADHRAERMADLFEMNWPKEGENLKNPVRDTLIRCFWKQIVFTGFLALLRLSVMYIGPMLIQNFVDYTSGDRSNPLEGYYLILILLSAKFVEVLSSHQFNFQCSRLGMLIRSSLITSLYKKGLRLSCSSRQDHGVGQIVNHMAVDAQQLSDMIPQLHPIWMTPLQIAVALSLLYSTMGLSMIAATIIVIGTMFFTLWITRKNNRFQYNIMRNKDSRMKATNELLSNMRVIKFQAWEEHFNKRIQSFRDLEYGWLSKFMYAISTNMVVLWNIPMVMAVVTFGVALWLNVIHLDAGTVFTATTIFRILQEPIRTFPQSLISVSQALVSLGRLDGFMTSKELENRSVERVLGCGGCTAVEVKGGNFSWDDNDGIAILKKVNITIKKGELAAIVGTVGSGKSSLLASILGEMHKLSGEVRVCGTTSYVAQTSWIQNATIEENILFGSPMNRKMYEEVLRVCCLQKDMEIMEHGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAETGSEIFKVECVRGALKDKTVLLVTHQVDFLHNADLILVMRDGEIVESGKYEPLLISGMDFGALVAAHETSMELVGTSTTAASDDSLERPKSPLASSSPKKPTGENGVLAQSNSKKGNSKLIEEEERESGQVSFGVYKQYFTEAFGWWGVAGVLLISLLWQGSYMGSDYWLAYETSEERKFVPSLFITVYAIFGVVSCVFVLGRAFFVTYLGLKTAQSFFRRILHSILHAPMSFFDTTPSGRILSRASSDQANIDFLIPFFLSMTITMYFSLLGVLVITCQYAWPTVFFLVPLVWLNIWYRGYYLASSRELTRLDQITKAPVIHHFSETISGVMTIRCFRKQGRFIQGNIDKVNANLRMDFHNNGSNEWLGFRLELLGCLVMCVSALFLILLPSTIIKPENVGLSLSYGLSLNGVLFWTVYLSCFVENRMVSVERIKQFISIPSEAAWKMAESLPSPDWPENGNIEITNLQVRYRSNTPLVLKGITLSIRGGDKIGVVGRTGSGKSTLIQVFFRLVEPSGGKIVIDGLDICKLGLHDLRSRFGIIPQDPVLFEGTVRSNIDPIGLYSDEDIWKSLERCQLKDVVAEKPEKLDASGLAKEYDAPSELLERPSLFAALVQEYSNRSSGL >KZM85529 pep chromosome:ASM162521v1:8:26544067:26544231:-1 gene:DCAR_027049 transcript:KZM85529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCYKWCHFYNLKSNAKNLNSNGVLYLDANMHICILGSKFETKDAFMHPCHIIN >KZM85069 pep chromosome:ASM162521v1:8:22392693:22398296:-1 gene:DCAR_027509 transcript:KZM85069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTDGNIENEEYWNIIHIPDKAPVPPNLQPTVNAYASIINPKHANTLIRKLNKIFPLEDLRHVKRIRKKCVEGNVQLLVILCLASDESGQLENIPSDMVELIKSYQLSTFITKVSKHAATSEEEWEEQCKLWPTSYHPPTYNIDGITGFSEENSQSVFEFMKLAIELAKSVDGQIVNAAVIVNPTSNQVVARSCDQVFFQNPSTRNSTGEGYLEPFETMSSPIIQGPQTHTTSSSHSSINEQKRTYSDVSCLHPWQWAEQQSQASCFRHPFHHATMVAIENSAARDRYLFPASEHIVDKCCQADVMDGTVSSSKKQKTETKVEDFGIQNIEPNACGSMPVRPYLCTGCDIYLVWEPCIMCAMALVHQRIKRIFYAFPNLNAGALGSLHRLQGEKSLNHHYAVFRVFLPETILDRGDVVTAVSTSDKNKTQVS >KZM84350 pep chromosome:ASM162521v1:8:12887299:12887475:1 gene:DCAR_028356 transcript:KZM84350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVLGAVRVAEESSGSLAFGKNVGVNRVRHVDVDREGEVIHSYGGDPGSPLPKTTLV >KZM85965 pep chromosome:ASM162521v1:8:30321621:30324246:-1 gene:DCAR_026613 transcript:KZM85965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAANQSASAASFHPYPQPLARYEDVVASGKLFMDTLGKLHATMGTKFMIPVIGGKDLDLHHLFVEVTSRGGIGRVLGEKRWKEVTATFSFPSSATNASFILRKYYLSLLQHYEQVYYFKAKGWTQSADASHKVPTVSASCPGLDSSAFPVTNVQETPSQPQMDTTQSLPGVVPATAATPTVTGVIDAKFESGYLVTVTIGSETLRGVLYQIPQNGADQVKQNISTGSKANDTATATGVQRRKRRKKCEMKKRDPAHPKPNRSGYNFFFAEQHARLKPMYPGKDRDISRMIGELWNKLNEPGKAVYQEKAVRDKERYRIEMDDYRERLRTGQVVSDVLPIQQQAPEIDINMIDGDENIENECGDSPQTPENESSSGKSDKSNLVDNMTADRDRDFGKSLGLEIGAQNVGMGALVDEKALELQKKVVENGREGEEFFKADDQMDLQKNSAPNMETESEKLTQSETVAIGM >KZM84026 pep chromosome:ASM162521v1:8:5618228:5619919:-1 gene:DCAR_028552 transcript:KZM84026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSADNGEVTGGVDETSGAKVKGVVPLHIGTSQRELLGNEDPQILSDGSLSSVVNRMNFFNLGALESPTLKFQRIAVMRDEFSQSVPSSGSIRERISKVFSRKIDWNNLKKICNEWIRNPMNMVLLLWVVCVAVSGAILFLVMTGMLNSALPRKTQRNAWFEVNNQILNALFTLMCLYQHPQRLYHLVLLLRWKPEDISRLRKIYCKNGTYKPHEWVHMMVIILLLNLNCFAQYALCGLNVGYKRSDRPALGVGITISFAIGCPAIAGVYAIVSPLGKDYHSELDEEAQNISSDITVKSPLRLKTLEKRFSFAHRSAGKTEEDRPVWSGGIFDMWEDISLAYLSLFCSFCVFGWNMERLGFGNMYVHIATFLLFCLAPFWIFNLAAVNINDEVVREILGLTGVFLCIFGLLYGGFWRIQMRKRFNLPPYSFCCGKPAVADCALWLCCCWCTLAQEVRTGNAYEIAEAKFYKKKGDENTQLPMSPSSRQDERGYQVKSGPSSPFGNSSSPANIFKANSPSPSRFFNEHRSPGRQPPEVKERSDTNTKDEILKPPTPLLIQREAS >KZM83910 pep chromosome:ASM162521v1:8:3194049:3196033:-1 gene:DCAR_028668 transcript:KZM83910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALRELLPPAKSSGTTYYDHTSDPWFKQRYTAAEDEVSAVVKANSVPLYLNRDGFRPSRPEHFGDGGAFPEILYAQYPLDMGRKKDAVSGQKTLPVTVDEHGRVTFDAIVKQNENASKIVYSQHRDLVPKILKDEMEEDEEDIDERKEIEDKTTLETKMALEKIVNVRLSAAQPKNVTTTSSDSKFIKYKPSHQSSAHNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKANGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYLSEQKAREAVSMRSKVQKEMMLREKEKKEQELRALAQKARSERTGAAPPAASIPYDKSMGDVDDMRGDNDNGRERAAPRETKEEREDRLRREKIREERRQRRLEAKDAAMGKKSKITRDRDRDISEKVALGMASAGGARGGEVMYDTRLFNQEKGMDSGFGTEEQYNVYDKGLFTAQNTLSTLYRPKKDADADTYGGADEQLDKIMKTDRFKPDKSFTGTSEKTGPRDRPVEFEKEHEEADPFGLDQFLTEVKKGKKAMEKVGGSGTMKASAGSSMRDGYDGGSGRSRIGFEKGR >KZM84819 pep chromosome:ASM162521v1:8:19748441:19748760:-1 gene:DCAR_027759 transcript:KZM84819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDKANDVALESTAKQKLQRERKTMIQNASLIIFSHGNK >KZM85477 pep chromosome:ASM162521v1:8:26100197:26101852:-1 gene:DCAR_027101 transcript:KZM85477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFPCFRSSEEAVKEVVKKDSLKEGAAAQPHHVSLVLRLALSDHGLLVEFSFNQFDLVHYKSKSRGGLDPKKEPTLPKDGPTAHIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHGIITVCVCVCSSWITLSCIKRQFRIGHVVPSL >KZM84686 pep chromosome:ASM162521v1:8:17754749:17754970:-1 gene:DCAR_027892 transcript:KZM84686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLMARYVSEVAPPLFVTSMRRHCMLKVLDTIHEDEKEFSSLGDSFSFYVKRSQERSLQFSSSALAPVLNI >KZM85632 pep chromosome:ASM162521v1:8:27384934:27385882:-1 gene:DCAR_026946 transcript:KZM85632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFVYEFFLNTLAYLSNRFPAGTPPDTAAAIPPPVPSEIEEIGAEVVPADDSPDTEIEEIVEIEIPATQANDVVAVPPPHDNVGYHGSLCYTALQNFHLYAEGRMSDRHD >KZM84295 pep chromosome:ASM162521v1:8:11773897:11774388:-1 gene:DCAR_028411 transcript:KZM84295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISASSESRVSQTLRSSPDFNSVINSVYQNSLSLTQHAFPGIKPYQLLSTSDQIHASLTLLNYPLVLKWLPSPPTRSQVDQAFKSRDGQEMTLDEDAFRDFAVDLYANAVAASAGKAVVVGGSVTLAGIVGVGVVAGRGNGLVKTAVGVYALGVALAFYFRE >KZM84931 pep chromosome:ASM162521v1:8:20939308:20939850:1 gene:DCAR_027647 transcript:KZM84931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARVNKIISLLVLVMVCDLQLMRTNASDLQCKSDGDCKYYAECVDGKMRCVKGECRCIHFGIPGPQPSHGFDDDKKVNNEVNKYARMVCANVKDMLVTKMKDVEEFINIYICNDYFLSFINLVN >KZM84453 pep chromosome:ASM162521v1:8:14829607:14836014:-1 gene:DCAR_028125 transcript:KZM84453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAKYVGNGDDVQRRGAGKGEREEALKENRGLFLVLLLPSAMGWGKEGHFAICKIAQGLLNKETLAAVRALLPDYADGDLAAVCSWADEVRFHMRWSSPLHYVDTPDFRCNYKYCRDCHDTVGRKDRCVTGAIYNYTEQLLLGVHDLNSEKNNNLTEALMFLSHFIGDVHQPLHVGFLGDEGGNTITVRWYRRKTNLHHASANILVWDTMIIDSSLKTFYNSDLSNLIQAIQSNITGVWFMDSLSWRNCTADHVVCPDPYASESIELACKFAYRNATPGTTLGDDYFLSRLPVVEKRLAQAGVRLAATLNRIFTSTPINVTKLNVLNGDHRTSYSTEIM >KZM85202 pep chromosome:ASM162521v1:8:23674147:23680945:-1 gene:DCAR_027376 transcript:KZM85202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQEDQTKKPSKKEAAKAERLRRKQELAAASAAAAGVSSVSIDEADPLASNYGEIPLIELQSKSIAVQQWTEIGSLGESLKESSVLIRGRVHSIRAVSKKMVFVVVREKGFTVQCVLTVKPEVVSLQMVKFVTNLNKESFVDVEGVVSVPASPLKATTQQVEIQVSKVYCVNKALPTLPINIEDAARSESEIEAALQAGEQFVRVNQDTRLNNRVLDLRTPANQGIFRIQYQVEKIFRHFLEDEGFVGIHTPKLMGGSSEGGSAVFRLQYKGGVPACLAQSPQLHKQMSICGDFARVFEVGPVFRAEDSFTHRHLCEFTGLDVEMEIKQHYSEVMDIVDRLFVAMFDHLKQNCQKLLEAVGKQYPFEPLVYLPKTLRLTFQEGVQMLKEAGVEVDPLGDLNTEAERRLGQLVFEKYKTQFYILHRYPLAVRPFYTMPCPDDNAYSNSFDVFIRGEEIISGAQRVHVPEFLEERAKACGIDVKTISTYIDSFRYGAPPHGGFGVGLERVVMLYCNLNNIRKTSLFPRDPQRIAP >KZM83645 pep chromosome:ASM162521v1:8:38927:41047:1 gene:DCAR_028933 transcript:KZM83645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSHQSASPSVCVFSGLPLFPPVLQQQQQPLLSSPTNSSIGTQVGGINSHSNSSAAMVWIDGIIKDLIHTSSSVSIPQLIQNVKEIIHPCNPSLAALLESRLRTLTTTTMDPDYFTTTRKETSSSSPIIRNSHYPPPPYHNLQMATVGQPQLHQGQGSSAAPPTGLAVYRGDVEDNIAASVNHYNNNWGLPQTATGGSNEAMPTDHIPVMFPNQIKMHEDSQLQQIETLPPPPAASDASQVMDDAAVIREKRKQEIRQLKRDEEGLHLLKLLLQCAEAVSADNFEEANTMLLEISELSTPFGTSAQRVGAYFSEAMSARLVSSCLGIYASLPATVVPLHGQKVASAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPSVRLTGFGTCMEALEATGKRLSDFADKLGLPFEFSPVADKVGNLDPERINVSKKEAVAVHWLQHSLYDVTGSDANTLWLLQRLAPKVVTVVEQDLSHTADSFLGRFVEAIHYFSALFDSLGASYGEESEERHVVEQQLLSREIKNVLALGGPSRSEGGELRFNNWREKLQQSGFKGISLAGNAAAQATLLLGMFPSDGYTLVEDNGTLKLGWKDLCLLTASAWKPLYN >KZM84009 pep chromosome:ASM162521v1:8:5309981:5314971:-1 gene:DCAR_028569 transcript:KZM84009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYNYYKMFGYFNRKFEMKDNGPPSDVIQAFSAYTGDASQMSADQLLRFLVEFQGEVGSTLSMAQDLMHQVLQRRNHLNKYTRNDLSLDDFYYFLFQDDLNPPISLQVHHDMTAPLQHYFIYTGHNSYLTGNQLSSDCSEIPIINALERGVRGIELDLWPNSAKDKVHVLHGRTLTTPVRLDKCFQSIRQYAFVKSPYPVIVTLEDHLTPSLREMVAEMAIQIFGELLYYPEPGVFDALPSPDALKHQIVLSTKPPKEYLDSIKPAKESLEIKDEAEIDQGNNSNQDGDEAVNDNTGFQKSNSDTDDEERGSDSHRSSKQLGQGFKRSNAIHSAALKVEPPNDLAEGCSIDTDLSSQLGESDEHAGLTKNSEDSSLDNCNQNHFGPADELGKDPSLNIQISVQVEESDECTENHNVENSKSSPLGTPEYQRLLAFQTTDVKDAEDLDVSTNRSKTGTLEQKRLASLLHGYEWDDEDEADEDEDVNKTQMKPSRYKRLIAIHAEKPKYGLMKTILAENDKVKRVSLSEQELAKGAELYGTDIVRFTQKNLVRVYPKGTRVTSSNFEPLTGWMVGAQMVAFNMQGYGTSLWLMHGMFRGNGGCGYVKKPEILMKKAPHEVFDPRASLPEKKTLKVKLYLGDGWRLDFSHTHFDAYSPPDFYTKIYIVGAPADFAKTRTKIIEDDWSPSWDEEFVFPLKVPELALLRIEVREYDISDRDDFGGQTCLPVSELRPGIRAVPLYDKKGGKYRSVKLLMRFQFE >KZM84749 pep chromosome:ASM162521v1:8:18685997:18687792:-1 gene:DCAR_027829 transcript:KZM84749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAIGIGYAHSLPSAAALNWVLKDGLGRLSRCIYTACLASVFDTNLKVYLEHLLVIYVSMPSAGSALCQRLAIEEIVGMLGLDLNKFAPSCLRSPHPNTPERHQCSQKQEGSASWITQEIMESRSKTNDSTHYSLARGKNLLSAS >KZM84952 pep chromosome:ASM162521v1:8:21109528:21110415:-1 gene:DCAR_027626 transcript:KZM84952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACASEANNSHHIRSISLPSRSHPNIVEIDEELRKLKTRDVSSSCNASAVSSDLLTLEGLYKCIADLLNLQLTQQALSNHRQEKWVDELLDGSVRLLDICGTTRDFTSQIKEHVVDLQSAIRRKKGDLTLATSISKFNSFRRKMKKQARKLIADLKQVDIKITCSAIFDLDYHLSEVIRVLKEVSSVAISVFQSLLLFFSSPVSKSKSTKWSLVSKLIQKGTVTCEEQLQNKNELEKVDSALDSYDSCESEKIEIAQERLKALHDGIELVESASECMSRRLIRTRASFLNIISSL >KZM83914 pep chromosome:ASM162521v1:8:3250364:3256064:-1 gene:DCAR_028664 transcript:KZM83914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEGVWVIQQPEVGSFGFSDRGYVICSLLAIGWACAAYVRNREIRTMKNRMKDGNSLAFLYHDINEFEHSKQVNLPRVTVVMPLKGFGEHNLHNWRTQITSLYGGPLEFLFMVDSTEDPAYHAVSHLLSDFKDDVDAKVIVAGPSTTCSQKIHNQLVGVERMHKDSKYVLFLDDDVKLHPGSIGALTAEMEKNPEPCSMGFATGGEILFQMHSDDFRTDRHGVVSGLRDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLATDLNFSRYWNYLRKQTFVLESYTTHANWIMNRALFSVHFYLSWGFVAPYIMTLIHIAAALRYYFADYLLEETDFTSAGENTSTYF >KZM84956 pep chromosome:ASM162521v1:8:21126093:21128594:-1 gene:DCAR_027622 transcript:KZM84956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAVPRMKLGSQGLEVSAQGLGCMGMSYFYGQTKPDSDMIRLIHHAVQSGVTLLDTSDFYGPHTNEILISKALKDGVRDKVQLATKFGIRMDGEIRVVQGDPEYVRACCEASLKRLEIDFIDLYYQHRIDTQVPIEVTMGELKKLVEEGKIKYVGLSEASASTIRRAHAVHPITAVQLECSLWSRGVEEDIIPTCRELGIGIVAYSPLGRGFLSGGSNLVDSLAKDDYRKALPRFQKENIERNKILSDRVNAIASNKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFDQNIGALKIKLTTHEMAELESIANSVQGERYPTGAASYLNSDTPPFSSWKAE >KZM83668 pep chromosome:ASM162521v1:8:312443:312754:1 gene:DCAR_028910 transcript:KZM83668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKPDNSVKGERFISAEAPETILAKVEEVGKTENVAVVKKQGWSVKMEGQNGKFQMTVSVQRLTEKLVVVEIKRSTVGVEQMWKDKLRPQLNSLIYQPPSTS >KZM84091 pep chromosome:ASM162521v1:8:7098694:7101238:-1 gene:DCAR_028487 transcript:KZM84091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAYHPGPVNGTLLTLQDTHRSTFVWNSSTVWIYERFPTLAPRHTATPLIIYPLALRWTGPFTRTEVPHGQRRITRYELDNMIEANFRWRPYAHFDDEHQPEYDLYLRWTAPTPLMYMAYVEWCYTDRVTRQFGFVQDIPTSSPRANHSNLHTIVNEAINWEGARESHTRIWDRSLERALTSPPLMFGEGCTAAYMPWFLAVTRRYIVNPVYWRTAEAFQGTQGATQALEDQLVDMESAIDPATLDLARAQRIVQGLLGRFRGSRNPSRHRGRPPVTPVEPEPGTYYTHVASGSSDTGGWSHLVGTSSSPVGDVAGTSRADGWDSWPASTVGPSTYAGDDYEGGPRGFTVRLEDDQDMSAEGQSQESYQFQDADAYRPDMSFLRDQYTTPPPQVPVPSFASQSYIFGAPAFPFAPPPERSTPTPIQMSTFASYTGKSSPWAPPSTAVPGHSEAEEQPEDEHRQQPPRAAKGKGRRCHTGSHIFGHKKK >KZM85072 pep chromosome:ASM162521v1:8:22430894:22433487:-1 gene:DCAR_027506 transcript:KZM85072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNNKQIVLKDNIGAGSPKESDLITRVSKINLNVPVGPNVILVKNLYLSCDPYMRSRMSKYEGRYVESFTPDQPIVGLGVAKVLDSGDEKFKKGDLIWGLTGWEEYSLITNAHAHGLFKIEHTDVPLSYYTGLLGMTGMSAYAGFYEVCAPKKGEFVFISAASGAVGQLVGQFAKLLGCYVVGSAGSKEKVDLLKNECGFDEAFNYKEEEDLVAALKRYFPEGIDIYFENVGGKMLDAVLANMRIHGRIAACGMISQYNLEKPEGVYNLTQILHKRIRIEGFLVTDYYHLYPKFLEMILPYIREGKIKYLEDTAEGLESAPAALIGLFSGRNVGKQVVVVARE >KZM84564 pep chromosome:ASM162521v1:8:16264701:16264943:1 gene:DCAR_028014 transcript:KZM84564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILPVVMRADFCSKRPREKKDLIYVATAAACGQDIEVPDLMLYNILLLSPFIPERGAAGDHAARIPTPGAVISGHAVRN >KZM85428 pep chromosome:ASM162521v1:8:25692418:25693413:1 gene:DCAR_027150 transcript:KZM85428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISFSACKQAQPLVNFRGDVLNMDAFMPWLKKDKVIILMGATGTGKSKLSIDLATHFPAEIINSDKIQVYQGLDIVTNKVTKEECRGVPHHLLGVISPDSDFTATDFRHHASIAVESIVQRDRLPIIAGGSNSFIKALVNDEFRSRYACCFLWVDVSLPVLHSFVSNRVDRMVESGLIDEVRQFFDPDSDNYTRGLRRAIGLPEMDRFFRAEPNVDDETRVALLDMAIDKIKGNTCRLATYQLKNIRTLQKQMEWHLHHLDATEAFLKRGQGSNDAWERLVARPSKAIVESFLNEEDSAATPSVKITAASLLGAGSAIATTTSTVVAASR >KZM85919 pep chromosome:ASM162521v1:8:29920330:29923876:1 gene:DCAR_026659 transcript:KZM85919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRTPIRGKTPSVPVLDPRTRKLKFLNRFSVLARGASLAIDPLFLFAITASPGPKPCIYIDGTMLLFATLLRTFVDLLHGMHLWLKFRMAYVAKESLVSGSGELVWDARAVVKKYVGSLKGFWFDVYVIFPVPQAVYWLILPKLLKEGKVESVMTITQTIFLLQFFTKLYHSFYLMRGVKKVTGYIFGTAWWGLILNLIAYFLASHVSGGFWYILAMQRVAECLKKQCLESKHCETLSWTCPREICYSSFANLCLANSTMKANFSTCMDQNGDFPYGNYAFVLPLVIKNSNVVKILYSDLWGLMSLSTMGSNFTPTSRSIEVIFAIIMVLAGLALFTCLIGNIQVFFYSVTPRRRQMQLRYRDIKWWMERRQLPLELRERVRLYELERWKSIGGQDEMQLMKNMPDGLRRDIKRYLCLDLVRKVPLFDNLDDLILDHICDRVIPMIYSKDEKILKEGEPVQRMVFIVKGYVLRRQNITKGMVTTTLIEPGGFIGDELISWCLRMPFVDRFPPSSATFTCLDPIEAYGLDSDQLQYITNHFRYTFLRGELKYKTRYYSSNWRSWAAVNIQFAWRRYLQRTRGNSMNRGTGNGGSSDQKLRHYAAMFMSLRPKDHLY >KZM83807 pep chromosome:ASM162521v1:8:1778178:1782095:-1 gene:DCAR_028771 transcript:KZM83807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQMNAEQRGVSESRMPVIVPAHPLIKHWVSVLRNEQTPCPAFRNAMAELGRLLIYEATREWLPMISGEIQTPMGIASVEFVDPREPIAIIPILRAGLALAEHASSVLPATKTYHLGMSRDEETLQPSVYLNKLPDKFPNESHILVVDPMLATGGTVVAALKLLRERGVDDKQIKVVSAVAAPPALKKLSENFPGLHVYAGVVDSVVNEKGFIIPGLGDAGDRCFGTLT >KZM85283 pep chromosome:ASM162521v1:8:24368658:24370720:-1 gene:DCAR_027295 transcript:KZM85283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLQKRGNIFILTLAGDDQHRLNPTLISTLRSHLSQAKAQSTRGSALLTLAQGKFFSNGFDLDWAEKTAGPSGSARDKLLEMVELFRPVVADLMDLPMPTVAAVTGHAAAAGMVLALSHDHVLMRRDRGIMYMSEVDIGLTLPDYFSTFFRAKVASPAARRNVLLNGMKVKAEDAVAMGLIDSAHDDGEKTVEAAMQLAEKLGKRKWDGVVYSEIRKSLYPELCGLVGLDGTGTTTSRL >KZM85631 pep chromosome:ASM162521v1:8:27374654:27375558:1 gene:DCAR_026947 transcript:KZM85631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVAQRNKISESDGLYHGRRSDDFSLDSSLHSAVQGIGKLQQELSVTYNFAAAAKSADQLTPVLTKSPSKATGMFSGTQDKCATCGKTAYPLEKVCNFFKNIRYYYTLLIKLKLLFLLLKD >KZM83917 pep chromosome:ASM162521v1:8:3277869:3280631:1 gene:DCAR_028661 transcript:KZM83917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQFTRRPHVAAAQVHILRVSLKDNLEMVKFFLHHSHHKPCISMSITTLISLKILTIFFLTTLAYQGNSEPINNHEKNILLQLKQSWSHPPLLKQWSSANSSDHCTWPEITCTKNSVTGLCISNRGVKGKIPPFICELKNLTFLDFSYNYIPGTFPTALYNCSNLQYLDLSENYFGGVIPQDIDKLSRLSFFNLEGNQFTGDIPAAIGQLSELVTLKLSTNLLNGFLPPELGNLSNLEVLELSYASKFPPWALPTNLFTKLTKLRNLLMTESNLIGEIPESIGNLTALEILDFSSNNLSGKIPDSVFLLKNLTQLYLSINTLSGQIPRSIEALNMEELDLSVNYLTGTIPDDIGKLTKLSVLSLSLNNLSGEIPVSIARLPFLKDISIFSNSLSGELPQDLGRFSMLETLTATFNYFVGRLPDNLCYNGVLRDVVIIENNFTGEIPKSLGNCPSLEFLGVSNNQFSGKIPDGLWTSLNFTQMMASHNSFTGHLPDRLSSSLFAVQIDNNNFSGEIPTGISFWKNLKAFVVSNNQFNSSIPTTLCKATSLEILDLSGNSLGGTLPHCLLDLSTTLTIMDLHKNQFGGIIPESFPKSCQLTTFNMNNNHFEGPLPLALANCKKLKILDLGNNKISGTFPQWLDSLPDLQIIVLRSNRLHGTLSLRKLEKPFPKLQIMDLSHNQFSGNLPINFYDNLKVLANVDQLVDINITKDGLFYEASVLLTVKGRELELTRILGIYTSIDLSSNKFNGEIPEVIGELKSLRLLNISHNCLTGRIPSSLGNLSHLESLDFSSNQLTGVIPWQMTSLTFLSTLNLSENHLSGAIPKGSQFSTFSNESFLGNLALCGFPLTKICKTDESVTQEVDDGLDDDDEWFTWKTVLIGYGCGLSMVYIFFTTYKPKWYLLFVTRAEQKLIRRYKKNT >KZM84419 pep chromosome:ASM162521v1:8:14105729:14112033:-1 gene:DCAR_028159 transcript:KZM84419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAISSSLLLVTYLLLLINLWTLASSADNADQEFLKCLSSPFYEGIKLSEILYTPNNSSYTSVLQSSIQNLRLAGLTGQMPSFIVTPIIYSQVQIVVLCAKNHQLQVRVRGGGHDFEGLSYVSDQPFVLIDLINLRNITVDAGNGTAWVQSGANLGELNYRIAEKSSEFAFPGGVWPTVGIGGFLGGGGYGSLTRKYGLGADSVIDYRFLNYESNVFDRVSVVGSEDIFWAVRGGTASSFGIVLEWQIKLVPVPKNVTVFAVTRTLAQNATWIFHQWQYVAPNIHRDLYIKAKITSIKTADGKEKTIQVSFESLFLGPVDRLLNIMEKSFPELDLVRKDCTEMSWVKSTLWFANAGFPNGESLELLLNRNAFQKFYFKAKSDFVQEPIPAEALDGIWKLFFELEAGEAQIEITPFGGKMDEFPESALPFPHRAGNLYMMLEGVQWDNKTSPEKQGKLLKWLERLHMYLTPFVSKNPRASYVNYNDLDLGVGSKTYDEASVWENEQAKAQEKAQEKAQEKAQEKAQEKAVESQQGSTNRKGKRVEDGAPVTKKPVARELKRQKLSVRRAQKGVVISEGGASREKGKASVGEEENFVTAEDENYEVEKEDREIKDKEGDVERTRKPLSFLETVPRKKLPFVRGEVVDEWEKSGKNYTAFSDKLRGDVGRKAVFMPTPGQLPFASTGGTPPISTPPCNATSQQTTSKETTNMQGDLSQPSQSPRRSVRLQMKGKFTFENTPDDPIEL >KZM84360 pep chromosome:ASM162521v1:8:12991697:12992707:1 gene:DCAR_028346 transcript:KZM84360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAPQRIRGNTLEDVARAMGREVRTFYLLFECASGYAFFLAHGISQVDTSKFQAVEEYINRTPQFMELIDFQSFSSPDDALAEFNAISSSWGVSKCSTQFGAPSQPNV >KZM85541 pep chromosome:ASM162521v1:8:26637998:26638264:-1 gene:DCAR_027037 transcript:KZM85541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRTDAVNKEALTYDSTVIDGDILLGFIESIETHLVVVPTADGGSITKTTAILHTKGDAVVPEENIKFADEQNTALFKAIEAYLIAN >KZM84670 pep chromosome:ASM162521v1:8:17540188:17541882:1 gene:DCAR_027908 transcript:KZM84670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED-8 description:9-cis-epoxycarotenoid dioxygenase MSVPSSSSTIKPCFNKACWIKSSLQSPLQLPEQPHCSHNITKDDSGSSPSTPRRWNLLQKAAATALDMAEKALLSLEAKQTLSKTADPAVQMAGNFAPVPESSVRQNLQVIGTIPEDISGVYVRNGANPYFEPVSGHHLFDGDGMVHAVMINGNSVSYACRFTKTERLVQERDLGRPIFPKAIGELHGHSGIARLALFYARGLFGLVDPSHGIGVANAGLVYFNGRLLAMSEDDLPYQIQVSESGDLETIGRYDFESQLKSSMIAHPKVDPVSGELFALSYDVIKKPYLKYFRFSKDGEKSRDVEIPLDIPAMTHDFVITENFVVIPDQQVVFKLQEMLKGGSPVTYDKNKMSRFGILSKYAEDSSDIIWIDSPENFCFHLWNAWEEPDSDEIVIIGSCMTPPDSIFNESDEGLESVLSEIRLNLRTRKSTCHAIMSPSEQVNIEVGMVNRKKLGRKTEFAYLAIAEPWPKVSGLAKVNLFTGEAQKYYYGDERYGGEPFFLPSNSDREDEGHIMAFVHDEKTWQSELQIINSANMELEATVKLPSRVPYGFHGTFISSEELVQ >KZM85144 pep chromosome:ASM162521v1:8:23125260:23129095:1 gene:DCAR_027434 transcript:KZM85144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTTSSHHEDIENHHIVVNNVKGIDGLDGYVTTYLRAGEDDGVQELLFFNRLDVEFNKVNKFYKFKVEEVMKQADELDRQMNALIAFRIKVDNPKHAWFETAVDIDNLASSTKALSTSISSPGKRPSKRMAEHMDKIDEDRSLRGTRTEETEEPEETEDSKELSSGDHPPPPKEVKHSSRQVVPEVLMSNNKNTTTNSMLITGRPPSLEILNRVTINKPADTPLPTIKNVFNVPVETDMKFTTENLSKVEEQLKKAFAEFYHKLRHLKSYSFLNILAFSKIMKKYDKITCRNASKSYLKMVDNSYVGSSDEVIPKLLDRVEATFIKHFANGDRKKGLNTLRPRVKKEKHKVTASLGFFAGCTVALIFALILAVRTRKIVEKDGHEQYMETLFPLYSFFGFIVLHMLFYAGNIYFWKKYKINYQFIFGFKAGTELGYREVLLLSFGLSVLALASIHANLDMEIDPKTNDYKQLTELLPLLLVILIFVIMLCPFNIIYRSSRYFFLTCAIHTFFALLYKVVLSDFFVADQLTSQVQSFRSIEFYICYYSSGDYKLRQHGCNNNTAYNVFTYILAPLPFWWRMLQCVRRFFEEKDAIQGWNSLKYFAIVVSFVTRTAYGRNNSTEWLENEHLNNVGKYRAFKSVPLPFNYEDDEEDD >KZM83979 pep chromosome:ASM162521v1:8:4773152:4774315:-1 gene:DCAR_028599 transcript:KZM83979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRNPTLSEDLISEILVRVPVKPLLCFQSVSKTWLSLIKHPAFVKSQLRHALETNQNLIMSLYKEDTMMETNLNVDQGNRLFQLNVDSRKIVADLKGVFLNSYLYTLVGSANRIVCVAVDDLESSMYLWNPAIRECKLIPDFIKFGGPFGFGYDRVDDDYKVISTTGYPFDVSVGVYSVKRNVWRKLPEPIEEPHFDVCVNGFFYGIGRNGMMTFDLNKELFNHSIKLPIIDYDYGNDVTRIIEFNNSIAVIQVWAHGLNGDVAVEGLKKKINIWTLDGDACLRVGGGEVSWTLMFSIDLAMPRYLIFGYFSNNNLLLSIRTPSITENDCVWILCDAHKKEAKLIPPSIIMPDHHCIQNVFKYSESLVSLPGFKQVNWNAGEDTS >KZM85924 pep chromosome:ASM162521v1:8:29940609:29941988:-1 gene:DCAR_026654 transcript:KZM85924 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MTEVFMNAKSKKLSVLMFPWLAHGHLSPFLELGRKLSKRNFSVHLCSTPANLSSIRKRMGEESSIQLVQLDLPSLPNLPPCWHTTNGLPPHLMDTLKSAFDMAKPGFSKILETVKPDLLIYDFLRPWPAQAAVDLRIPAVQFIATSATMTSFMLHTFKYPSIDYPFSTIFYREYEKAQVSRSGECDELHKAIESLEMSDTVILIKGIKEMEGNFSDYLSTMSGKKIVHVGALVQDPIRKDDSDIIQWLNKKKKYSTIFISFGSEYFLSEEDFKELAHGLMLSNVNFIWVVRFPVGEIIRVEDKLPAGFHKKVGARGLIVEGWAPQANILGHSSIGGFVSHCGWNSVNESMYFGVPIIAMPMHLDQPINARLVKDFGTGIEVLRDENGRVKREKIASVIKQVVATRNGRIMRKKAKELGASIRQKGDEEIDEVVKELMQLSLFKKAEIEIDEVVRELSRP >KZM83875 pep chromosome:ASM162521v1:8:2501854:2504094:-1 gene:DCAR_028703 transcript:KZM83875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLQEASQYVARAKKKEKEKSASFSDEVGIGSSSDRFGYQDYQNSRFCNENSSTDCYDELRKVIRESLGRQNLLSNGSINAKAYSDRRETEFSTDLPSSNSSRTSTSYSHDSASVSSNSSNMSEDRPKASNLVAKLMGLEEISSMPVQSESRKQVERDKNLNPKGLIFDIDRPIQRKPGIAAQNGDRGCMTLEEIIQNMQFKGLLDRNQFQIYDSSESEDQLRNHAPPVVLMKPVHAGDGANDFFSRKCIPGEGDVDSGKRRSMLKTKEGSTSRILEHKIPETNVAKPTRKAIRRSADNKVKLTNSVSPRLQKDPIDKKVDKVPKSTSRRKPVEKENVKSTGLLKNREQPKSVSRSHEHLSSPAMKLRKSETGPSIHERKLTTQQNSTKSKLINKALASNFKNGLKPTITSTNNLQDPSIVHKGKEAHTDQRKKADPNVKDTTATPQLRAEEEFDDPEVLIKDIYVDSPSHTTKHEYDSSCPKEHDASETTFHDTKTTSITILPRSFSFLTRVEDLFDNIDTYQPMALQILTGLHCRDGTNSNLLMDCANELLETKSQRTIPCIHPLIQRPSKNPSFCISADHLMQEVRSGMESLMSYKNHAGEMVSTSAVSALLQRDLWCLGRKVEAWDVGWRNGFTGDEVQTVLWDLDELILSELVAEVLAEICS >KZM86040 pep chromosome:ASM162521v1:8:30981360:30983445:-1 gene:DCAR_026538 transcript:KZM86040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALERVVELLRPLVKNKEWDYCVIWKLGDDPSSFIKWVDCCCCGGAANGHATAKEESGEDVQQHIFPICKDIYFPHLAKTKACEALAKYPASMPLYSGIHGDVAISNTQMWVTSNATASNPKPGGTQVIIPVVGGLIELFSTKNVLKDQKLVEYITAHYNMSLEHEIMTGHCNTNHIIKERSHDPFVNECLSVMPMLQNEAPNFNIDGSPTGPTPLDCPKSTRDSSESWELNSKSKLSKKEETKANLMCSKRAFDRQDRPKSRQRTQMESYQSKNVLIERNRRQRIKDGLFTLRALVPKISKMDRASILGDAVDYIADLKQMIACFQTELKELEEAEYSKTSPIKQSHEGLPNSEECQETEVQMEIIRIGKREFLLKFIHSRKQAGVLRLLEAIHCLGFQVVDINVTTCEGKVMNIFKMEVNQEDIEPNELKESLLAIWRSKSDVMHEEKSLSTVIHQEVQVGI >KZM84179 pep chromosome:ASM162521v1:8:9821543:9822418:1 gene:DCAR_028274 transcript:KZM84179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRISLGPHASLIHLKQVPADMLLDDILNQFQKGSSHMTALLKPKVNRRQTMCGTLIYLPPEIGMSITCMFHEHFIL >KZM85460 pep chromosome:ASM162521v1:8:25952211:25952606:-1 gene:DCAR_027118 transcript:KZM85460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKIEDHVKNHGTKVKFMVSYGGKIQQKLQNHQLSYVGGDTKILSVDYSIKFLDIVAKVNSLFNINTSQFQLKYQLPGEDLDALVTLINDEDVENMMFEYYRAQLNSTKPMKLRLFLFPYNRCEASNNG >KZM83897 pep chromosome:ASM162521v1:8:2947930:2949252:-1 gene:DCAR_028681 transcript:KZM83897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLQALVIALFVSFVAYHPCLVTAQFYESMYINWGAHHSSILGNGEELELVLDQSSGSGVQSYSPYLFGSTEMLIKLVPGNSAGTVTAYYLSSSGDQHDEIDFEFLGNSSGEPYIVHTNVFTQGVGNKEQQFYLWFDPTAEFHNYTIHWNPTAIVWYIDSIPIRVYRNYENEGIAYPNKQGMVVYSSLWNADNWATRGGLVKIDWNSAPFVARYRDFKARSCPWEGEKSISQCAASSPENWWTSDLYKELSYAKQGQMKWARDNYMIYDYCRDTNRFNGQMPSECSKAQY >KZM84175 pep chromosome:ASM162521v1:8:9648010:9657833:-1 gene:DCAR_028278 transcript:KZM84175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRKGETGDSDTKEFEENGEVAKETLERVAAAKKYIESHYKSHMKHIQDRRQRRALLEMKLNSSDVSEEERQNLLKELERTETEYMRIKRQKFCVDDFELLTIIGRGAFGEVRVCREKKSGNIYAMKKLKKSEMLSRGQVEHVKSERNLLAEVNSQFIVKLYYSFQDADYLYLILEYLPGGDMMNLLIKEETLTETVARFYIAQTVLAIESIHKHNYIHRDIKPDNLLLDKDGHMKLSDFGLCKPLDCTNLTTISENESLVSKSNSDSAKKSFWKSPREKLQHWQLNRRTLAFSTVGTPDYIAPEVLLKKGYSLECDWWSLGAIMYEMLVGYPPFYTDDPMSTCRKVMKVTVLVCWHIIETLRQIVNWRSTLKFPDDARLTPEAKDLICKLLCSVEHRLGADQIKAHPWFKDTVWDKLYEMEAAFKPEVNGELDTQNFYQFDEEIEDTTPTRTGSGRFSKMKDLNFVGYTYKNFEAVKGLRRSGDSPIGNAGK >KZM84545 pep chromosome:ASM162521v1:8:16079342:16081652:-1 gene:DCAR_028033 transcript:KZM84545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPPRDEIRRIRVQLQEFGQVGDANVFYWFQNRKSRSKNKQPRNNTKSHSHAPITTALTMVPPPSSSSNKSSHKPSQKEKQNQTTVCTNKLAPFSVNIVTDTSLNSPTASVNQNSFVPTSGEFLTEPEPEPFFFPVLSGGNHASTFTQGFRSAEISTSVIHQQNLVVDDQTVKNHSNLLLSDLLMNDGATNYKKVVRDEKNNALPQQLLTSYGSSAPTTMASNSIYLPSNTLPSVADNVQGKSTVVINDVRFEVPSGPLNVKEAFGDDAVLIHSSGQPVVTDTWGVTLQPLQHGASYYLCTCSETREWTAYADLHAEAXVELRGGRDDQRGKDGVLMDVG >KZM84802 pep chromosome:ASM162521v1:8:19475950:19488603:-1 gene:DCAR_027776 transcript:KZM84802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDNKSSDNEPPNRELYALLHISPQASDEEIRKAYRQWAQIYHPDKQSPQMKDIATENFQRICEAYEILSDVNKRQIYDIYGMEGLTSGLELGPKLDRVEEIKEELERLRRQKQNSKVLTLLPSGVILANLSLPQFLNGHGIMRGMSMTSEVQSQLSKRNLIAVGGNLAVTGESGGAATTAVLRHQISSASTIEFMASAGLQSLIGVQTSRQLSANANATMGLAMSLKDGSINLTNAWTRQLSESTNGNIELSLGPESLISVGWRKKDEKMSAAGGIKIGTNAVGASAHYTHHFSSKSHGRVAAKVGSRALEIELGGGRKISKFSTVRMLYAIGIQGIFWRFEFHRGGQKLVIPSCLKPYYLRREKQKAMENMHRTQAEVQHVRALAEKAQRLLENVANRKRSRRLEIGGLVITEAKYGNQKILKNRFQSEEQKDELAVQVIDVTLPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEVKHLLVEYTFGGNRYEVEVDDYEELLIPQEAHRK >KZM85860 pep chromosome:ASM162521v1:8:29389488:29389889:1 gene:DCAR_026718 transcript:KZM85860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSFTYASSTSDCMCHLNIEDQEYIEHNIVLSLAPPGQHHASNHPTQPNLENPNYVHHVNDQQAGEETVIGPPTTGANPNSSVDVTSQANYWIPTPDQINVPSTQFPCTVCNKTFHRYNNMQVFLTVNAEF >KZM84070 pep chromosome:ASM162521v1:8:6818811:6819321:-1 gene:DCAR_028508 transcript:KZM84070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLANLRAIPKHDWKVRVRVSRCWRRIIANAEMTSMGFVVVDQNGSRKVGWIRTGLMNRLENEFVEGRIIDIVNFVVRPYTESSVNRCFLDDNFMFLTSITNVLPVEEIVQNFPIHVFGCTPLNMIEDFNVH >KZM85558 pep chromosome:ASM162521v1:8:26765620:26767038:-1 gene:DCAR_027020 transcript:KZM85558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLYPSTDEDHDESKNAVFQHWWRTSSDDADENSHLKLDLSDISKLTPRLKLCRELERLALISQEGLDDLRHKLITYRSGDFWLPVGGIKKEDMDIPPVISILLVGLPGSGKSSLVNLMYSVLGRSGLVPFAQTSSDSSSYTTMFMEEHNVLRSMRSGFCVYDTRGLDEDYLSNGLEEVSRWMTEGVQHNQLCYRPGDGTHGSTINLPIGSLVSSARFARRRVNCVLVVANLAETYKAFKCGDLAPVQAIREIFHFPSIRKSNENPILILTHGDMLSTEDRINGRLKVCEYLGVSETMGAYDIACLTEHGILPEDSDPVTSYALTEAVYRALLQSDRSHLPKKKIKDWMLLFLSWIMGCIAAFFGMLAYVFSKWSHKNKML >KZM86042 pep chromosome:ASM162521v1:8:30986354:30988270:1 gene:DCAR_026536 transcript:KZM86042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMVMKMQHLIFFVCVLVLLHRTVAAPIGLCYGRVANNLPQPSDVINLIKSNGISRIRLFNADPEALAPFAGTGIELMIGVPNENLTALAAGDVNYALEWLQTNILAHVQPNQIKYLAVGNEILLKDPFYTPHLLPAINNLYQALQILGLTETIKLSSSHAASILSNSYPPSAGAFDQNVESTMTPLLQFLRDTGSVLMVNVYPYFSYINNQQYVSLDNALFRSQSDQVDQNLVYNNLFDETVDAFVYAMERQGFMGIPVVVTETGWPTADVINLIKSNGISRIRLFNADPEALAPFAGTGIELMIGVPNENLTALAAGDVNYALEWLQTNILAHVQPNQIKYLAVGNEILLKDPFYTPHLLPAINNLYQALQILGLTETIKLSSSHAASILSNSYPPSAGAFDQNVESTMTPLLQFLRDTGSVLMVNVYPYFSYINNQQYVSLDNALFRSQSDQVDQNLVYNNLFDETVDAFVYAMERQGFMGIPVVVTETGWPTAGGVAASLENALAYNGNVVRRGLDNVGTPKRPGVGVEAFLFDLFDENEKSGDESEKHFGIFQVDGNKAYDISFS >KZM85974 pep chromosome:ASM162521v1:8:30388410:30389088:1 gene:DCAR_026604 transcript:KZM85974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISDNKILSFTDVSVHNNPKDCWVIINAKAYDVTKFLDDHPGGDEVLLQVAGEDASEEFESAGHGSAARLMLDEYYVGEIDPLSSPVKKRAPPTQLSVKRNTVTPQLPPTTNKPGNNHFIIKLVVFFLALGMAVGLGLYSSN >KZM83744 pep chromosome:ASM162521v1:8:1076614:1078070:-1 gene:DCAR_028834 transcript:KZM83744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDRLFCRERNVHEILGGGLAADLMLWRHKNLNQGILIVALAAWVLFQRSGYTLISFVSAVLLLLFSVLFIWAKSAAIVNRPAPPLPDFYLSEETVSKAADFIRKHINALLSISQDIALGKDTQMFMRVFTYLLLISVIGCFMDFLTMAYISLFVVLTVPALYERYEDQIDKYVLMGYRKLMRLYVILNQKYICKVKPWIMEKQNLS >KZM84628 pep chromosome:ASM162521v1:8:17142829:17143623:1 gene:DCAR_027950 transcript:KZM84628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSMALSSPSFAGKAVQVAPSSSELFGNGRVSMRKSVKAPVSNSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >KZM85198 pep chromosome:ASM162521v1:8:23607941:23612945:-1 gene:DCAR_027380 transcript:KZM85198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEHQLARWDGYVDWRNKPALRGRHGGMLAASFVLVVEILENLAFLANASNLVMYLSEHMHFSPKDSANSVTNFMGTAFLLALLGGFLSDAFLNTYFIYLSSAFLEFLGWLILTVQAQSASLRPAECEANVPCRRVGGAKAAMLFAGLYLAALGIGGIKGSLATHGAEQFDEDTPQGRKQRSTFFNYFVFSLATGALIAVTLVVWIEDNRGWVWGFGISTFTIFLSIPLFLAGSKFYRNKIPCGSPLTTILKVLAASALNTCVAVARSPNNAIASMSASPAFGTSMKMENQQMTKKSKAPSSMRSLATSLSWASLAMGYFLSTVIVSIVNSATGSAKNKPWLSGPNLNHYHLERFYWLMCALSILNFLHYLFWANRYKYKALNKG >KZM84213 pep chromosome:ASM162521v1:8:10300733:10301656:1 gene:DCAR_028240 transcript:KZM84213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEKESITRWIYKLIARKLGNQDQGNSGYLDKKKRQYTYAEVLNITGNFKRVLGNGGFGTVYHGHVGDTQVAVKMLSPTSTQGYKEFQAEASLLLSVSHKNLTSLVGYCNEGMNMGIIYEYMANRSLDEHLSGKNSGILRWETRLQIALDAAQG >KZM84727 pep chromosome:ASM162521v1:8:18414398:18415366:1 gene:DCAR_027851 transcript:KZM84727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPENISYPQQIAVTATGVIWSRYSTVITPVS >KZM84926 pep chromosome:ASM162521v1:8:20898003:20899007:-1 gene:DCAR_027652 transcript:KZM84926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYKIIKEVGSGSFGSVFKAMNTQSGEVVAIKRLNKEFDSWEDCLNLREVKSLKKMHHANIVKLKEIIGENNFLFFVFEYMECNLYQVMSTRTKPFSETDVRNWCFQVFQGLAYMHERGYFHRDLKPENLLVSGDIIKIADLGLAREINCSPPYSEYVATRWYRAPEILLAAPIYGPAVDMWAMGAIMAELLTNCPLFAGVNQQDQMYRICSVLGTPTEVDWAYGMELADDISYQFPQHSGVSLSQLMPSASKDVVGLIQSLCSWDPCKRPTALEALQHPFLRSCYYVPPGFRFKTSGLGMNLSARSEGSVKHKYLSRIPGTFSNSGPIRCAP >KZM84143 pep chromosome:ASM162521v1:8:9040180:9042560:-1 gene:DCAR_028310 transcript:KZM84143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGIFPLKYPRFILLTNGPPNQTPVMDIPDEFLTAVGEKIPNNVKIQFPNGRVVHVHYRRDSKRLTHLDPLYLELGRESGFFLVMAYKGNGIFSVVVIGHGSTEIEYGKNRCVARSPVMWQGWRDQVEIPCICSRPPIPGPFALCLANGVEYQGRFSSEDNCIHGLVSITEDFIVEAFDILFFTYRGNGRFDLAIFNTSKVENLLEREIIETDSSLSADSGIEEEPDIDALVAKQGVQPNQGDNGPEDVPVSFERVLSWSNVHGSCHGVHIPRTVKPGLREWQSGEDITLQTVRGSWNVGIVMNNRVLERMESIP >KZM85741 pep chromosome:ASM162521v1:8:28245594:28247559:1 gene:DCAR_026837 transcript:KZM85741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELHSIANYNGYCNSSFAWEFHNLGVLNADMSHSLVCIPPFISSSESDVSTGYLQDALFEFSSSKRRRTNFDQSFRIESCWKENCTEDYPDSFNLLSQINEIHSNISGKSMKNSSSSASGKNNSDERETVLTDDIKEAEEAISAFQGSLDSSSSSQTKYSLNKNNNVHSLSLHKETLYSLHGMSTSPGDDIEKKRKVTTRVVYPFAVVKPGGTEGDMTLNDINERLLMPPTRPVRHPVGEFACRPVASANGPGLSGKAVVALTRIQTRGSGTITIIRTRG >KZM86120 pep chromosome:ASM162521v1:8:31481527:31485308:-1 gene:DCAR_026458 transcript:KZM86120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNAKWDWENLDTFCSKYNGVPNKLETTEWGVQEGDIDVGSFHLSGAIGDSASDVCHASSAKSSVSASTDCSSKGRVKTSKLSFGPLGEYSADFSKKQESERAELVRTSPSLDTSVGSAELSIGLKLGKRTYFENCSTGNNSSSSNIPVASASTAKKLRPPCQNAPAPRCQVENCNLDLSSAKEYHRKHRVCESHSKCSKVVVGGLERRFCQQCSRFHSLLEFDEKKRSCRKRLSDHNARRRKPQHETIHFKTTSMSSVFEGRQQMSFAPMSQSRPAEDSNWEYKNTYKCALATEHLLKPEISSVVNMQSHLPWSRLPHAISMPTQDSGRLLQFKGSSEGCRQGIPESVFSSNLDAATDLHCALSLLSTNSLGSCDQGSANHNYSVNATYKNISPQMMHAIPQNLPSASSECWQSEDTHILASNITCGSNYQENQFFSAPYGNGFYSS >KZM84697 pep chromosome:ASM162521v1:8:17835833:17837268:-1 gene:DCAR_027881 transcript:KZM84697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTLRDGDTITSAGGEFELGFFSPGSSTNRYLGIWYKKISRGTVVWVANRDNPLMNTSGLVQVNHKGITLQLVDSSTGIIWSSNTSVSMKNPVAQLLDTGNLVLREGHPDFNSVEDFIWQSFDHPGDNLLPGMKDGIDLVTGLNRIVSSWRSDVDPSVGSFSHGLDPNGFPQYFLSKDSVIWKRTGLWNGYKFGGLPNKNPNGLFKDVFVFNEEEIYYRFHLINTTSAIMRFVLTPTGDSKLLVWNDQQQIWMVYVTMQDSDCDHYGLCGVYGICKINSAPRCECLRGFVPKFQEEWKAADWSGGCIRKTNLVCGREEGFMKYSGVKLPDTRHSWYNMKMNLQECEISCRKNCSCTAYATADVRRGGHGCILWFSDLIDIRDYTVEGEDIYVRMPSSEIVFVSHSKNTQFQSEVEIRVHSHCFSTGSSATWPNLPCSMQEQKAAERR >KZM84875 pep chromosome:ASM162521v1:8:20367234:20376498:-1 gene:DCAR_027703 transcript:KZM84875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKRYIEEVEPAQPAANGRPAMGPVYRSTFGVEPPIPGMESCWDIFRMSAEKYPNNPMLGHREFVNGTHGDYVWLTYKQVYDMVIKVGNAIRSCGVEPGGRCGIYGANSEGWVISMEACNAHGLRCVPLYDTLGADAVEFVICHAEVSIVFAEEKKISEVFKTFPKTTEYVKTIVSFGKVTHEQREEAEKLGVATYSWDEFLLLGDDKHFELPVKKKTDICTIMYTSGTTGDPKGVLISNNSIVTIIAAVNRFLQGVNEPLTVKDVYLSYLPLAHIFDRIIEECFISHGAQIGFWRGDVKLLVEDIGVLKPTVFCAVPRVLDRIYSGLTQKISAGGFLKNTLFNVAYSYKLRGMNKGKKHEDAAPLCDKVVFSKVKQGLGGRVRLILSGAAPLASHVEAYLKVVTCCHVLQGYGLTETCAGSFVSIPNEQTMLGTVGPPVPNVIARLESVPEMGYDALSATPRGEICIRGDTLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLAQGEYVAVENLENIYGLVAAIDSIWVYGNSFESFLVAVVNPNIQAIEHWCKENGVSGDFDSQCQNDKVKEYILKELSKIGKEKKLKGFEFIRAVHLDPLPFDMDRDLITPTYKKKRPQMLKYYQNIIDNMYKKK >KZM83654 pep chromosome:ASM162521v1:8:155687:155965:1 gene:DCAR_028924 transcript:KZM83654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLDRKLGIMEVYVTVCRPLNVEGLPIQSSISAKDVGEENPNEEAVKDTSEENGDESDVSWHENGFQRHGTKNVIVKSSKSKFQLLESRA >KZM83797 pep chromosome:ASM162521v1:8:1708327:1709922:1 gene:DCAR_028781 transcript:KZM83797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLFLTIVLVSISCFTRADLPGTWELLLENAGIASMHTAVTRFNTVVLLDRTNIGPSRKMLRKGHCRVDPNNPNKHDCYAHSVLFDLVTRSTRPLMILTDTWCSSGQFLPDGTLLQTGGDLDGVKKFRKFSPCKFSEFCDWQELENVELSHGRWYATNQILPDGTIIIVGGRSSSSVEFYPPRETGAVLFPFLAQAGDNQMDNLYPYVHLLPNGHLFIFANNKAVLYDFVINNVVKEYPDLEGGPRNYPSAGSSAMLALSGDYSAATVVVCGGAPYGAFIDRSTDTPAHGSCGRIDPTRPDPVWEMEDMPFGRIMGDMVMLPTGEVMIINGAQAGTQGFDLASNPCLYPVLYRPDQPLGLRFMTLNPGTVPRMYHSTANLLPDGRILLAGSNPHYIYKFGVEFPTELRIEAFSPEYLSPDRANLRPEIVELQETVKFSEVFDMFVTVPLPVVGLVEVNIASAPFATHSFSQGQRLVKLAVSSAIPDGEGLYRISCTAPPDGKVAPPGYYMVFAVNQGVPSVARWVQVVS >KZM83969 pep chromosome:ASM162521v1:8:4373783:4375994:1 gene:DCAR_028609 transcript:KZM83969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLGAGSNGGDGGGRGSKAGRGRGGQGNGSVVELGNNGISVEREWVIKEQILSKMSSMRVLVMKGSKNRKHKHRRVVLCEESHMRGPTVASVLVITIKNQRGLKIGELFISSMEESINQRDWNVKPFMTAVLRSSRDTIYAYPEDSDPAEGDRAVKEFVKKNWKNLPYQEKKRAEQSAVYARRGGLKTATRRSFRPRYFSQRIWDNLNSYWGSDLFKKRSDNAKKARAQVYMTVFLS >KZM84650 pep chromosome:ASM162521v1:8:17333684:17335372:-1 gene:DCAR_027928 transcript:KZM84650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYKFHQYQVVGRALPTESDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGVWLRYQSRTGYHNMYKEYRDTTLNGAIEQMYTEMGSRHRVRNHCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVSKKVRPPSRKLKTTYKASKPNLFV >KZM83649 pep chromosome:ASM162521v1:8:94527:94973:1 gene:DCAR_028929 transcript:KZM83649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSIKDHTFLQSVVVTDFNRRGTLTLEEDMLAELRNCVPRNQLEHVLMRDRSGSAINLDVPGPNKFLGLMLNDVCFSILEWWENPMHDHDPIPKDEDVSGIPAHLQKGWLVQLLRILLKDPAMVEVNDSTDILQLFGGLKSDGYLI >KZM86137 pep chromosome:ASM162521v1:8:31696372:31698555:-1 gene:DCAR_026441 transcript:KZM86137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRFFCKLIIASVILALIIPGIALLPTKVHFLTEAGLMSHAILLCYIENRLFNYSSIYYYGLEDDVMYPSYMIVMTTFVGLALVRRLLLDQRIGAKAVWILTCLYSSKLSVLFMTSKSVLWVSAVLLLAVSPPLLLYKYVLDIGKEKSRSSSKMKPFQGYMHACVVALAVWFCRETIFEALQWWYGRPPSDGLLLGFCILLSGLACVPIVALHFSHAMLAKRCLVLVVATGVLFILVQPPIAFSWTYHSEVIKAARVSTDDISIYGFMASKPTWPSWLLIAAILLTIAAVTSIIPIKYIVELRSFYSISMGIALGIYISAEYFLQAAILHALIVVTMVCASVFVVFTHFPSASSTKVLPWVFALLVALFPVTYLLEGQVRIKSILAESGVGDVGEDDSKLTTLLAVEGARTSLLGLYAAIFMLIALEIKFELASLMREKVIERSGLRHGQSGPNSSATFPPKLRFMQQRRASTVPTFTIKRISAEGSWMPAVGNVATVMCFAICLILNVNLTDGSNRAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTVVISAYLALTALYSIYEDIWHGNAGWGLEIGGPDWFFAVKNVALLILTFPSHILFNRFVWSYTKHTDPTPLLTIPLNLPSVIMTDVLKIKILGLLGIIYALVQYMISRQQYISGLKYI >KZM85364 pep chromosome:ASM162521v1:8:25148639:25151618:-1 gene:DCAR_027214 transcript:KZM85364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGTHLESEDSEYVEVDPTGRYGRYNEILGRGASKIVYRAFDEYEGIEVAWNQVKLFDFLQSPEDLERLYCEIHLLKTLKHSNIMKFYTSWVDAANRNINFVTEMFTSGTLRQYRQRHKNVNIRALKHWCRQILQGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYAEEYNELVDIYAFGMCVLEMVTFEYPYSECFHPAQIYKKVVSGQKPDSLYKVEDPEVRQFIEKCLATVSCRLPAAELLKDPFLQNDYYGSVSTPSDCGYPLNMGSTLREPSFEDQCSKNSLLNDYSSYHGYEPRNDMDYIIPDYHSYGSDPLINRVDENFENVGITIKGRKEDDGIFLKLRMADKKGRIRNIYFPFDIEADTALSVAAEMVSELDITDQEVTKIAEMIDGEIISLVPEWNKSLDQEESSDDESYCQGCVHNSPCRSYLSSKNPSANNLQVLQGISTKDLLHGCVRNSPCKGYLSSNNPSASNLQVLQGLSAKDLLHGSAAMHGRFEEIRYQIDTSEQCGTDGASVVSSQSDGTPYSGIWEQTDEPELCSRESNDKKQVEKSCVKEGVEINNCNKKNNSYVGKSQSENLSETRNSAEDYENEVTQELRWLKAKYQIQLRELRDQQLRSLSRNGSFSSSMDDRKEETKYKMSSSVPSEERNGDLLKSFDSGKHYSLCFPVDYGKTCANQDILNYDADYGVYSPKPMVIAKGFIAGELLPQSLHRATSLPVDAVEF >KZM85839 pep chromosome:ASM162521v1:8:29199387:29201075:1 gene:DCAR_026739 transcript:KZM85839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQALISPWQAEMILPASSLPAFHLSSSICPSFSPHGQLHPLKMSCIRSYKSVVASSRLKLEVKRWCQDMIMWADKQSFYLHLSRSSGVHRKGLKVCDIDLDQYGVVNNDLYASYCQNARQELLEKIGVVDEVARAGGTLALTELSLKFFAPLKRGDRFVVTVRASDYSAARLYFEHFIWKLPNRERVMEATATAIWLNKYYQPVYTIEEEVKLRLVRFMRGLIFILCESGIDAAMRSPFWFLY >KZM84948 pep chromosome:ASM162521v1:8:21088031:21088930:1 gene:DCAR_027630 transcript:KZM84948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSTDSSSSMNQQQQLLDKLKVFKIQGRDKRGHIILRILAKFFPARDVSVDGVNKYLEDKIFPELEKRSFSVLYVHTDVEKSQNFPGISALRSFHDAIPATVINNLQAVYFLHPGLQSRLFLATFGRFMFSSGLYGKLRYVSRVDYLWDHVRRNEIDLPEFVHDHDEDLEYRPMMDYGLESDHPRVYGAPSVDYSPSTYSTRCIS >KZM85579 pep chromosome:ASM162521v1:8:26878488:26879502:1 gene:DCAR_026999 transcript:KZM85579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDESKESKEMKSADRRVGVAVDFSKGSMKAVQWLVDNIARKGDYIILLNIRPPGKYEDNQMQLWKASGSPLIPSKDMSDPDLMKKYGVKPDAETLDIVMKAASQKEIYWGDAREKLCDAVDDIPLDCLVIGNRGFGKIKRAIMGSVSNHVVNSAHCPVTVVKEQRE >KZM85326 pep chromosome:ASM162521v1:8:24724508:24724756:-1 gene:DCAR_027252 transcript:KZM85326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEAQTRGVLQALGWPQSIQGASVDLQSDSLLTANALTKGIEYYLEVGVVLQDCRLLLCSRPDISVSFTQKWKTARVQNWI >KZM86115 pep chromosome:ASM162521v1:8:31453746:31454225:-1 gene:DCAR_026463 transcript:KZM86115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRLCKATRRLRASTLSLQLTRPVLSPPIPNPKTPSHSSSQSFTTNLFNALPTHTCCLPNCIYIQENHNFRAFNSTQLVKNAGISTLGYEKKDENEKKEESKIKGCSWIDLYLPKNLAGYAHLARLDKPIGTWLLAWPCMWYALLFLLFLALVCVNL >KZM84168 pep chromosome:ASM162521v1:8:9570506:9572934:1 gene:DCAR_028285 transcript:KZM84168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHIPFTTTTSWWNPQGQQFFQPLSKSLSLKVGSPREASEEGNNGSETGHHPQDLDSSSTQSHSTTDQSHQEVSAIDYNGPHDQYFSSDSAAQGRSYSKHVEGQVKPVMVFSNPCFGIDRSQAELNPSMVHIPYAYGDPYVNGLYTAYGPQVTPQVVGVPPVRVPLPIELADDGPIYVNAKQYNGIMRRRQVRAKLEAQNKLLKSRKPYLHESRHRHAVNRVRGTGGRFLSKSEQSNPAHPFNHNLGSRPVNQAETGKGETSKDSSSSIMCVDNNNESSFQHPNLMYMSSNTGRGFMYEGTPQRSSPVVR >KZM84796 pep chromosome:ASM162521v1:8:19331646:19333916:-1 gene:DCAR_027782 transcript:KZM84796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGCSPDGYLNDSKFSEPMPWIGIYVAAASAVCALAMSLDAVHAFRYRKFWFPCRFFSLNATTLTLIAVAVKLSVDLNTSMPRSQDQLAKLSSTVFICTIMGNFMPSLGTMENKELLMNIMALGILVITAIVNICIQLATGVIYVFWKEHIFVLFLMLVLLAILCSSALAVPSTKSYLRMKYSRIHSVTRNECLQDRDLPIVKKLREDLSKCWMMAHTCDPQFVGGRLATCTASGAFCLLSAMTLAEAVLRAHLMPWSFKFCRGKSEYEWSTTLMLVTQIIAVGVGTIAPAARWFTAIKFRCRKKLKMVCKADFEVEKYWIQKLVEWKERPLGLQKLGRRGRRFVHNLKYQTLDFCIGVQSGIVLISKLVRLISIFFVGQLLIFWYSCTRLEKLLRTQENSSCNEPESETQRRTEMNISRYVVHLQGEEGLVHLMIAQDCDATNHWFEMGVKKQPKYLIQFLEKSLYSKELKGVKEFDSDQVPCLDAEDPPNSWALPIVTLTAVAASIPNIGHQAVKKLKYSVHEALMYIRVVENNLVDPKRDIKNSREAAEIVWSGIDLLNKWLDVDLRAMAREGKHQKDILEELSDIAKNKFKEVKRTELNKCLMEAPSKWPVNAIAANSMYRICQTILQDYQFKAGGNDEILFEKLSVMISDIISACLTNLKDVITKHCNCSSIENKEENVRHDVLLLGQTEKIVKNLELIPTPGLTPDVRAHIDRWRNFSKCKSILDYSSTCTDMSSDSFTLSDMHISIE >KZM84241 pep chromosome:ASM162521v1:8:10748925:10750244:-1 gene:DCAR_028212 transcript:KZM84241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLPKSDDSVAQWCKDAFVVKDNLLDKHKENDSFGDGELQDTGRPLNSLVVVISWACLLIFGALKFVQWSSILSSWKGLAFSAVGLGIVTVLMQILIQFSQSERSNRPMLSKHAKPPQ >KZM85117 pep chromosome:ASM162521v1:8:22855124:22859158:1 gene:DCAR_027461 transcript:KZM85117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMKKYTAPSGFIYEKNNFASFVDTKAVEEKEYHRMMEFIKSSQLSYAMTEAPIIYHEIVEEMWTSAEFNSENETLTFSIKNETHSVNADIMKACFKIPEDTVLSLPSDVQLVNLLYAMNYVLPTDALGKIERRGLRREWSYLCDAFIKAFSGKISNFNALTSQILQMLYMYLTNEYFNFGGLMIQEIGEKLGDKTDRPRNIYYVRFLMMLANHLNDKLVITNKEAKLPSFVQEKRVFKDLFRMNLYPSLEVVYLPTMEAGKHKEVHGFPTTLSQPSPSLLSAIRAVEEAHQQSTQVAKPSKNKSSKPTSDASQKTSVVKSKKHKPEGSVIGGCEGEGKGEHKRSPKNKDGEMCVNQPGHSAVSQKTVDVNMELNSSLAASSQKDVAIENSPQPGTQLKRGRDTTSSPIKAYGRKKLRSEKLKHSAHTQASILDFMPLTSQSQIDVTPINVESQPPSSSQPITHIYDLTISTTQTQSPTSSVDVELIHTTLVNSPSLDFMEKPPSEIDHHHFDDLLDLSLPFPSSVTVCSVDFPLKSITTDSTITASKPISSFSSTDLPHQLTSVCPSTDLLNSAHQLNVSSTHVSTDVSHQLTTAATSINLPLSTAVDHMVAQTLLGLSGVSYGVERQPSELAKGEGVESLAFSSSQEKGEDKSDPLVRVSEGEVSCVVSQGEPLMQEKREIERNAGVNEGFSEQEFQAEYRSILDSVSLDPETFTHGMSSIQDFARLDNQAAERHLNLIHTTSSMLRAKEAFTALPANAGDDFHYDDSDEDLNDALEESLVEQPTTSLPSWLSMQSANAIVVSMELERQASTILQSQPGSSSSSPAISATIASQALENLKLHKFQSLHFQHEIEHLNSLIASVKTDLTKQIDEKLPAQVKSALSASEQKQLQLEKQVEALEGNVYTLNSRMDEMLQHQRIQTGLLQHLLLASGVSLPSPSPTLAANKKGEKELPTPAELISQIPPPFHTEREKQKIERMKELDSIAKRVAQLGKKSSTSSTATTAQISFPTTTTILRVITPEIVIPSKTEKGEPSFLNEFKPILFPNNCGYSRPGKDSSSIYFPLARPDKNEYKLLGQEIKSYKDCADVALKAHFAIIYREGQKLFIGTGHPHYSFAKAEEVARECEKEEFESQLSLNQIEVDERYAIELEEELAAELLNEKGLPLESSPKKKRVKSKTKMPEAAKRREEVPEKPISKPSSPIKDTTVVHPDVNFHDEPIMPKEEPIDLEDIPIPAFLVQESSKPKKKVKSVAKRMANPPKPPKEPENPDDYLIIANIEEISELELELDDLQEVRGIEATSKLPERLVFSYKNKGDVIWPLHRVLSSEGFSSLLGPRNQKL >KZM85780 pep chromosome:ASM162521v1:8:28604377:28608139:1 gene:DCAR_026798 transcript:KZM85780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHLLTHVSNPIQTLKTLTKNPHCKTPISHFHTPNKSPQFSNPAIDFILNEVEDLQSSKPAINNTPDHDPPLRESVSKEVEISHHWPEWVEFMENLLKKGYFEEVGCAPFDNGELGAKDVNRIRSACLKFARHRFDLIRNLSRKDIQVIAGSGCPSLDRKVVNSGKRLRALVGIDEGTVCSSCTLRGDCERAYVKAREDEWGRTVDVMRFVLTYGLDSITGLVENKQCLNERVKKSVRRILKNSVELSMVELDDNIPEGPPSKWKSSVKKSSVHQTDSQIDVRSPVHPTVPMKQGDWICSTCNFLNFARNIKCLQCDRLDKERLKKLGKDQDHLPLKKGDWICETCNFFNFAKNTRCYHCKEKPPPRKINPGEWECESCNYINFRKNVVCLKCDHRRAKASNSFPTYTQTNNSNGEIRQSRPYFGQGRQVEGAKANKWIFVDGREDPDASDSWNVNHSLVDFPVVGGKTDLSQNVQQQARWKHEMLKRNKNVVEAKENADEFKASIMERRPKFSETAQDEEMAGWFGCSG >KZM85689 pep chromosome:ASM162521v1:8:27885115:27887643:-1 gene:DCAR_026889 transcript:KZM85689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILRSSKNLIFLKSLNLKIQSLLLESLPHNHTLKFSHTLFSSSSSEPIDTNNNEIVDKLIHVFTKQPHITENQELVNLGHFITPKIVESVLISFKNWKIALEFFNWASSQSGYRHNAYTFNAMASILSGVRQNAQLKALAAELGRSGCFMSPGAMGFFIRCLGKVGLVEDAVLLFDRFKVSGFCVPNGYTYNCLIEVMAKSCEVEVMEMRLKEMTDTGWQPDKFTLTAVLQCYCNAKKFDKALDVYNVIGQSGWLDTRVLSILVLSFSKWGKVDEAVEMIERMEQLDIRLNEKTFYVLIHGFVKEGKIDKALQLLNKMLNLGILPEFSLYDVLVGALLRNKEFEIVLHLCRQMNELGISPDVQLLTKLLSSFPEEKDVIILFKQAKKTFDDNAIVLLYNSLLKGLVDNRAVEKAYHLLRTMIGENSTGYPEVEEFVPNMSQVHPDTNSYSIVINGLCQTANIDMALKLFHDMQLAGCKSDQLLYNNLIDSLSKSDRLEECYRLLKDMKESGLKPTHFTHNSIFGCLCRREDVGKAHKLLQEMRISGHEPWIKHSTLLVKKLCSNGKAIDACDFLNKMVLEGFVPNIIAYSAAIDGLFKIQEVDRALELFKGICARGYCPDVIAYNIVLKGLLKAERVLEAEDLLREMLKKDLVPSVVTYNLLIDSWCKNGEIDRAMECHSRMDGERREPNIITYTTLIDGLCNAGRSEDAMLLWNEMVRKGCHPNNIAYMAFIHGICKCGRPDEAFIYFQEMQDKQMTPDAFIYVALVEAFLSNSDAPSAIRILVKMAQDNKFPDPLDKNCVRLRDAVFKLSADARTSSTIETLLKEGSIPEFLNNSGNGN >KZM84639 pep chromosome:ASM162521v1:8:17273063:17273254:1 gene:DCAR_027939 transcript:KZM84639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKISKVFTICIMVLVAFSNLGASAALEDVAAPAPSPTSAGTALYVPAALAVVASLVACIF >KZM85480 pep chromosome:ASM162521v1:8:26118370:26118678:1 gene:DCAR_027098 transcript:KZM85480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVANIVAERPVVIFSKSNCCVSESVKSLFYEFGVNPAIYELNESLQSRDIEQALGRLGCNPVVPAVFIGGELVGGVNEVMSLHLKRNLKPRLRQAGALWV >KZM83815 pep chromosome:ASM162521v1:8:1851338:1854757:1 gene:DCAR_028763 transcript:KZM83815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVLMVVGRLTDLLTEEAQFLNVVRDEIQQVVTELMRMKAFLRDADSRISEERVGNLLAQVRDLVYDAEDVVETFLLSAMSSERRKVQGGFRNKVKRSVCSFDEYFYHHKFIKGIKQIQERIQNLFGCFSDYDIKSTQNEGHGSSSSESEPGRLKRFHTFSVVEPKLFVGFQEDVKSLVAHLVNEGDDSYPVIFIGGMGGLGKTTLAEKIYNHKTIKKHFPRLAWVSISQKWQAKHVLKRILISLVHEKKEEILQMEYDRLVENLLQVQQTKNCLVVLDDIWSSDAWDLLKAAFPTETSRSKLMLTSRILDLADHVNPRCFVHKPKVLDAEESWALLRLKAFPKGDHLTCDELRSLGKNLKLRFDGLSKLETLERFDTSWCEVKDLPKLISLQKLSLHVDGSYNDMEEMIKYLSSYTGKYLDISISTDDLVSENGADMWRQLFWNHKYNL >KZM84417 pep chromosome:ASM162521v1:8:14101483:14102726:-1 gene:DCAR_028161 transcript:KZM84417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLTSSLSSTTKQSFLDNKSSFHGSQIISKSFQPIKSTPQNLSITNSLSTPYDLDNFKFSPIKESIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKNPDINIAIIEQSVSPGGGAWLGGQLFSAMIVRKPAHKFLDELEIEYDEQDNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVRGDRVAGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLRSIGMIESVPGMKALDMNAAEDAIVRLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMMSGQKAAHLALRALGLPNALTEAQPEFVIADAAEDIVDA >KZM84328 pep chromosome:ASM162521v1:8:12536152:12579504:-1 gene:DCAR_028378 transcript:KZM84328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHQEPLTEEEIEELIGELLEVESKAAEAQESLEDETLAKVEAEVREELKQSYKGDELEKAVRDEIEALKGEWETVLDDLETDSAHLLEQLEGAGIELPSLYKWIESQAPSSCSTETWRKRTHWVGSRVTDDVTEIIADAEKYLQIHRPVRKKLGKVLEEGASGFLEKKISSCDGIEGLEKTSDVDWSSFNKIISSSDKSTLFGSSQWASVYLASTPQQAAELGIKFPGVDEVEEIEDLEGSSDFLIFDAIVSENINLTAEQRKNYKKVKEEEDVYADRKLQLRLKRRRQRNRCKQEVIPEAVPSCNRLIERSVDDFETRQAASSENFIKVDVTSETDIFCKTALLEVHEARGSKRPRDNDGLSSDLLNTDDVSNMLNEHIQQNLQGEECSSGLVISHGMQSLKGDFLCTACHKVAIEVHPHPLLDVIICRDCKSLLEAKNCVKDPECSECYCEWCGQKSNLISCNSCKLLICSTCIKRNLGEKCLFEAEEFGWTCCCCSPSILQELTSQFEQAIISRDLMVSSSDGDEDGNAVIRKRRKKRIRRIIDDTELGEETKMKIAIEKERQDRLNSLKAQFSSKSKLASSVGFNVDISESCAAEVLGDALTGYIVNVVREEGEEAVSGIRFMWENIIQSIGKARSGDKGLGCILAHTMGLGKTFQVITFLYSAMRSVDVGLKTALIVTPVNVLHNWRHEFIKWRPSELKPLCIFMLEDVTREKRAKLLMKWKRKGGVFLIGYTSFRNLSLGKHIKDRDMARDILHALQDGPDILVCDEAHMIKNTRADVTQTLKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRYQNPIVNGQHTNSTADDVKIMNQRSHILYEQLKGFVQRMDMNVVKKDLPPKTVVVIAVKLSPLQRKLYKRFLDVHGFTKGKVSAEKTRKSFFAGYQALAQIWNHPRILQLMQENKEYEKHEVIENFLAGDSFSDEDLDHNINGEQMRNEKDHLQKKSPNGFFAENWWGDLLKNNHKDAEYSGKMVLLLDVLSMSSEIGDKVLIFSQSLATLDLIELYLSKLIRPKKEGKCWKKGKDWYRLDGRTQGSERQKLVDRFNEPSNKRVKCTLISTRAGSLGINLQAANRVIIVDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLLAHGTMEEKIYKRQVTKESLAARVVDRQQVHRTISKEEMLHLFDFSDDEHSEMLPELNQINNNQTKRSTNPDDDSSPKQAASISSGNVSADKVMESLLSNHRPRWIANYHEHESLLQENEDERLSKEEQDMAWEMYRRSIEWEEVHQVPFTGFMVGQKAASHVPSDELTLQQNSAVSGVPIPEPQSNKLSVLNQDAAISDIPLPEHQSSRNFQPKRVSLFRSFRSIPMKCTNLSHLLTLRSQGATKGGSIVCGGCAQEICYDNSHSS >KZM83696 pep chromosome:ASM162521v1:8:543964:544738:1 gene:DCAR_028882 transcript:KZM83696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEGGEGLKSGCIKSSHGPWLVHKTTKDGGVVTKYRYPSEKERQNNKQRERKRRSISKKIFAGLRAYGNYQLPKHADSNDLLRALCDEAGWHVDEDGNVYKKEAMLRMPSLINSGFDARIEEQFKNKTFSSFDDDIQARTTSLSLGCSFEEHDINLCLSLS >KZM84687 pep chromosome:ASM162521v1:8:17757351:17757590:-1 gene:DCAR_027891 transcript:KZM84687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLMARYVSEVAPPLFVNSIRRHCMSKVLDTIHEDEKELSSLGDSFSFYVKRSSQERSLQLSSSAFAPVSNKINQLV >KZM86072 pep chromosome:ASM162521v1:8:31198711:31200057:-1 gene:DCAR_026506 transcript:KZM86072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLSVLHDVHFNIFFTTLFLLFFYKLPFTTADIKTVTISDDSRELIEFTSFGFTSTGHVTITVSSVSVQAGPIPGTTSIPHTNSSHFGFFIAPVSTHIFPNACILDNPGITILSTLSELSPPPQSSVHKTISITNPDEYVFMFANCAIETSVSMTVHFEIYNLDENGKRDFLSDGKTQLPTLLSIYSLIYIPFLIIWIFICLKNRTFAHKIHVLMTLLLFMKFLNLIFEAEDKYHVKTTGNPRGWEALFFIFRTLRALLLFTVIVLIGTGWSFLKPFLQDNEKRLLMLGIPLQALVNIAYIMETEEGPSAKNFGFWFTIFVFVDIACCFVIMVPVTWSIRTLRDTSKMDGRAATNLKKLRLFRDFYIALVVYLYFTRYSAIFLSVYLNYKDQWWCSMVTETATLGFYTTMFYLFQPQERNQYFALAFDDEEEEAALSAIQSSEFSDY >KZM85264 pep chromosome:ASM162521v1:8:24199956:24204903:-1 gene:DCAR_027314 transcript:KZM85264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAALDGIINRLLEVRGKPGKQVQLSESEIRQLCIQSKDVFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPKSNYLFLGDYVDRGKQSLETICLLLAFKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLTHLDQIRNLKRPTDVPDSGLLCDLLWSDPTNDVKGWGMNDRGVSFTFGADKVTEFLQKLDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKPKFGFGSTTTTKQGSPFIKNKDAVWDLWYIEKAFCQRNWSFLA >KZM85331 pep chromosome:ASM162521v1:8:24788225:24789863:-1 gene:DCAR_027247 transcript:KZM85331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDDRGIYTGGFTTFALCGFVRAQGDADSAVDRLWQKKKAELKQ >KZM84021 pep chromosome:ASM162521v1:8:5553090:5553380:-1 gene:DCAR_028557 transcript:KZM84021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRASEIIHLLEQFPVCDLYPLRLVGNDVDVACFDRFFSIAEGLQIADAVVYRRAHDLLCGVGNAYGNLMELDVLASRGHFLSMVAAPAFPFLFQK >KZM85954 pep chromosome:ASM162521v1:8:30257977:30264555:1 gene:DCAR_026624 transcript:KZM85954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRLGDAMAPAKRGGAHNRHHQLKQLSGGVKRLFGKLSVAVIVLVICTLSLLSSTKTYKPPASPSKFSFTTCKQISVKNLWGTVASDGWRPSSAPRSDWPPPPNESNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFQGIYDVEHFVNSLRYDVRIVERIPEIRKNGKTKKIKAFQIRPPRDAPVSWYTTEALQRMKEHGAIYLTPFSHRLAEEIDMPEYQRLRCRVNYHALRFKPHIMKLSNSIVSKLRTQGHFMAIHLRFEMDMLSFAGCFDIFNPEEQMILKKYRKENFKDKDLKYSERRAIGKCPLTPEEVGLILRSMGFDNSTRIYLAAGELFGGERFMKPFRSMFPRLENHKTVDTSGEISENTQGLIGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRQYYGFRTTLHPDRKALAPIFIDRENGRTAGFEEAVRHAMLKTNFGGPHKRVSPESFYTNSWPECFCQTAATNPAHKCPPENILQILEDQLENEGTTNSDTAQSNLTSVEEN >KZM86066 pep chromosome:ASM162521v1:8:31162441:31170799:1 gene:DCAR_026512 transcript:KZM86066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDQVVWQVIRHNHCSFMSKIETAIFCRNPYNVTGTCNRSSCPLANSRYATVRDHDGVFYLYMKTAERAHMPNKLWERVKLTRNYEKALEIIDKHLMYWPKFLVHKTKQRLTKLTQMRIRMRKLALKTREKIMTIPKKVETRDRRRMEKAEKAAMVDKSIENELLKRLEKGVYGDIYSHVKEYNKLPDDIEVKDVDIEHEEDDEVEIEYVEGYEELEEEDDMEDFGGLAIGQGAADDDNDGSDEDDELIVAMNRKRERKDSAFGGKRLDSHGPNVKSKKKSRVLVEVEHDLTDERQISHHVRSHRNLVRGIKSIKVEVPHRIHLPGKAFRNLQLDYVDLYLIHWPISVKPGEYIFPVNKEDLLPMDFKSVWADMEECQRLGLTKSIGVCNFSCKKLEDLLSHATIPPAINQLDKGRKEAFKRNLVVDRIRWRILLSFLKQMEMPFRFINGPSSLPCVLLSEPGGSSAQVQLFGGQVVSWKNEQGEELLFKSSKATGKSPRPFKGGISALDLYCHYSLQFGNSGSSGHQGSSSSKFWSLDNCPLHLVPTGSPSSVDLILKPTENDIKTWPRSFELRIHVSLGPGKLTVVPQVKNTDSKSLSFTIVVHNYLSVSDISEVRVEGLETLDYLDNLLHRERFTDQADALTFDGEVDRIYLETQTNIAIIDHEKKRTFVLRKDGFPDAVVWNPWTKSLSDFGDNDYKQMVCVNSGAIAKPIILKPAEEWKGYQVLSTVSSSYCSGQLDPQMLLQWL >KZM84263 pep chromosome:ASM162521v1:8:11208840:11213801:1 gene:DCAR_028443 transcript:KZM84263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTQETGFDHNNMGLAQIVEDLDNKQEDTVMTEAAQNQGKEIEKRRNKARRRSKRKGKGRDWNGTSAEDEKRGDCKQLDFGMVFKGGIGCEMKREGAAFFYSRDEMEGLRFIDKDRQLNKWVEVYCGLGPVVSKEYDALVAHQNEQDGVDFDPRKNLVEKVLLGGRHSHAVDPPDTASDLIGYDLDSCTGNEDDCSEDDDSDAEYNSIQRPAFVVTGEPNFDAGPAMDGFEYLRRVRWETERVQKVTFAKIEESKLCEQTVYIPKIPEIVQCPQHLLPLKEWEASLLNDFSELRLSAYHALNTLEPLMAISRYESSATNEVTGKMQLLPNILEEDCSTQILESANSYNPTDGTVSMHSSARDEKDASTIASPKISNSEDTANTPNLSTISCLIQSSAGGEDDASPKILSTDDIVNSPTLSTVLGIEPVARVSMLKKRIKLAEDMTSLSKNDCLWLFALCAAVDTPLNADTSAALRDLLRKCSSLRAEKSELNDEVVMLNILATIAGKYFGQSDD >KZM86032 pep chromosome:ASM162521v1:8:30905562:30912543:-1 gene:DCAR_026546 transcript:KZM86032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSRVNFASLASGNLLDIPQIPSPRALPKVMTTPGVIPDVDGCGFNDAESDSGTSSSERKIIVTNMLPIHAQKDSETNKWVFTRDEDSLYLQLTDGFSPETEVIYVGNGSADLDEAKGIEKDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDSVAEAMYTAITMPDSERQMRHEKHYRYVSSHDVAYWARSFLQDLERACKDHYSKRCWGIGFGLGFRVVSLSPSFRKLSIDYIVPPYKRSSRRAIFLDYDGTVVPQSSLSKRPTPEVVYAINTLCNDPNNTVFIVSGRGKSSLSEWLAPCEGLGIAAEHGYFIRWNKISDWERSPLAIDLEWKEIVEPIMKLYTESTDGSYVETKESALVWHHQDADPDFGSCQAKELLDHLEKVLANEPAVVKRGQYIVEVKPQVKNVIVFALTLLVLFSGSCAASSATSPAKIVNGLVSNAMSIVMKWLLSLKTTTKTAMTGRPMMRFESGYTVETVFDGSKLGIEPYSVEVSSSGDLLVLDSANSNLYKISSSLSQFTRPKLIAGSADGYYGHIDGKPREARMNHPKGITVDDRGNIYVADTANTAIRKISDAGVTTIAGGKSGKGGGHVDGRSEDAKFSSDFDVVYLGSSCSLLVIDRGYKAIREIQLPFDDCAYNYDSGFPLGIAVLLAAGFFGYMLALLQRRVNSIVSSQNDQRTTETIHTSGPYQKPLKSSVRPPLIPNEDEQEKPEEGFIGSLGKLISSTGEAAMEILGGIFPGLRKKHISVQFPDQQEFQQEYKYSNTWPVQDSFVVPAEDEPPSIETRTPTPRKTYPFMSQDVEKMQQFRQSRKFYSGLDGEPQQQQAVQTQRHHHRHFSSIPETYYEQSAEKTNEILFGAVQEQNKQQESVIKPLDYGNTTYDRRATSRSRISSRGNSYTS >KZM84951 pep chromosome:ASM162521v1:8:21106318:21107202:-1 gene:DCAR_027627 transcript:KZM84951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMRCNKPRGGIRSISLPCRPHSSTYKMDQELNKLKTWEAEVSTNAPTAETICNGLSGLGELYRCTDDLLNLPLTQQALSALQHDDPFNELLDGSIRFLDICGSAKDIMSQVKVNAADLHSCLRRRPKGDPSSFTKYTLFRKKINKDAKRLAAVLNQLDNRTSGSQFADSDQHLISVIRVLNEVTATTISVFNYVLVFLATSTKVKSTKWSLVSRFMHKNRVACEDHQQMNEMEKVDAELSSITSGSTKESGLLKQRLEALEAGVEGIENELECMFRHIVRIRTSLLNIVSQ >KZM83728 pep chromosome:ASM162521v1:8:862566:866993:-1 gene:DCAR_028850 transcript:KZM83728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDHLYSFLKEVQGQGEITFQDVETILVEEIRKHRHLHPRHRKNLNLEAFFNYLYSDVNSPLASPSKVHHDMEAPVSHYFIYTGHNSYLTGNQLSSNCSDVPIIDALRRGVRVIELDMWPNSTKDNIDVLHGGTLTKPVELLTCLKAIKEHAFVTSEYPVILTLEDHLSPDLQAVVAKMVTEIFGDSLFTSKSESFTEFPSPESLKKQIIISTKPPKEYLVSKSDEVVQNDTQTGSNSLEDNGWGTEVDIKATFEDLYKRYALEDAESLDEDGLEDEDDNLKQNADPEYKNLIAIRARKRKGGVKDWFHDDPTSATRISLKETSLENAVISHRSDVIRFTRRNLLRIFPKAARVDSSNYNPLIGWMHGAQLVAFNMQSHGRPLWLMQGLFRANGGCGYVKKPDFLLQDSQDNEVFDLQKELPVKQTLKIKIFMGEGWHLDFKHTHFDQYSPPDFYVKVGIAGVPADSMMTKTKIIENDWIPTWNEEFKFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPISELRTGIRAVPLHDRKGDKYNSVKLLARFEFF >KZM84457 pep chromosome:ASM162521v1:8:14905574:14916696:1 gene:DCAR_028121 transcript:KZM84457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLCGHTSPVESVAFDPGEEFVVAGASAGALKLWDLEQAKMVRNFDGHRSYCTAVEFHQSGEFFASGSKDTNLKIWDIRKKERIHMYKGHVRGISTVRFSPDGRWLVSGGLDNVVKIWDLTAGKLLHDLKLHEGHIRSIDFHPMELLMATGFFGSVVAQASCIGALEARLEDNLNIGCSADKTVKFWDLETFELIGSSRPESSGVRSIAFHPEGKTLFSGLDDCLKVYSWEPVVCHDTVDLGWSTLRDLCIQDGKLLGGSCYQNSVGIWLTDTLLVEPYRGCSLAETNNACEQVHDKFQVLSVQKAGRFGRSSSSSSLCCTISDNEDKEVKNIYVDTAGEHPVPAMKVGSIKSSNIFSSSNTKDLNKSRTPKEAPTVGSQLKPIGQTIANSFIVPSVVPRESSDGKEASRSRRESIISAKADVGMYDKLSHISKPSNAKLDVKGPPFVDELDSFSNIKGSLESTGDLKLHSRPVADDSNESCQEKPSIKIITENSGKLMSAIPPNQEKGNESLIDSKGVKPVRYVNGVAVVHGRTRSLVERFERKENSSLELQTHDPIPQALSETSQASSVLVDVPLKVMPDASKASTPVIDSISQVIPDKAKKPTIVIDSPPRIIHDRAKAPTIVVDVPSSITPNTEDASTIVVDSPPRLIPSRTRASTTVADTPLITPDTAEVSTIPVSLPTRSIPNKAKAYTAVADSLARTIPDTIKTSTIAVDSPPLLKPNRARTSTVVASSPPRVVPDTAQESPVAVDSTPPLKPNRMRASTIVANSPPRVVPDTAQASTVADNSPPRLKSHRARASTVVADSPPRIIPDTVKAPTIANNGPPISRTNRVRASTIAVDAPPRVPNTTRVSTSVKRFSRPNSAPHLATERLEASPMNSQPRSIMRESMFRSVDDVPEDLLKNHNEFLSNLRSRLTKLQVMRHFLERNDIKGAINAMQKLPDHSVQAELVSLLVGNMDVVTLDLFSCLLPVLSGLLESKAERHVSVALEMLLKLVAVFGPVIHSTISAPPGVGVNIQAERRLECCRKCSIQLQRVQKTLPAVIR >KZM85361 pep chromosome:ASM162521v1:8:25118786:25128924:-1 gene:DCAR_027217 transcript:KZM85361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNSSSNYTKHQSNKPSSSSSSSHKSRWESGPTKPPPNRNQNLPAAANSRNLPPPPPLKPKSTSNNNPLPKPSPQNPSPSGPPFDNHGPPPSYGFHMLDRRSIGLADGSVRSYFALPLNYQDFAPQLAPPRFLGNEGNVGKQFPMSPDFRDSRNKNQEYWNSLGREGGSLKRKFVDEGDERDGLARQRQQLLQYGNAGLNSGGGPSNVYGREEEFRGGKFMRGEEGGRNGGRSKFSEADQAALKKAFLHFVKLINEDSGLRKRCLADGKQGRIGCMACRRSTKDFPDMHGLIMHTYNPDNAELLVDHLGLHKALCILMGWNHQIPPDNSKQYHLLSADEAAANQDDLIMWPPHVIIQNTMTGKGRDGRVEGLGNRAMDTKLRDLGFTSGKAKSLYGKQGHLGVTLVKFAGDQSGLKEATRLGEFFEKDNHGRRSWAGMENLVSSKSAENNPNLVKVDKNTGEKEKILFGYLATASDFDKIDQDTKTRVEIVSKRDY >KZM84227 pep chromosome:ASM162521v1:8:10614619:10615275:1 gene:DCAR_028226 transcript:KZM84227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDQEDTRAALILCSLSYAEVDQKTGEDIEKIRNEAIHKTLIQSCPLLPPVPCVTRLMEKCSPPISKQLETSDVKNNQSRLALKKEHVVQKFIPMLEKGKENVRDGIEVVAYDSNGKTYENMKFILWGEKMYVFTRRWKELCEDYKLISGRDSVTVWLFRNKDTGRLCAVIIPTLDQSVSSASRIQIRLELNAKQVTSELALSATVRPPGTRSGVRN >KZM83781 pep chromosome:ASM162521v1:8:1552038:1556937:1 gene:DCAR_028797 transcript:KZM83781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRCSRFSLCWWHSPLKPSVLQPSSDLENGGQNDKNAVPSFREFSLEELQAATSGFNSDNIVSEHGEKAPNVVYKGTLANTRVIAIKRFNKSAWPDSRQFIEEARLVGNLRSERLANLIGFCSDGDERLLVAEYMPNDTLAKHLFHWESQPMNWSMRLRVALYLAQALEYCSSKGRSLYHDLNAYRVLFDQDSNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTQESVVYSFGTMMLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFTNDDGTELVRLASRCLQFEARERPNAKSLVTSLMSLQKETEVPSHQLMGIPHGNANVAEPLMLSPLGESCLRMDLTAIHEILEKVGYKDDEGIANELSFQMWTDQMQDSLNYKKQGDSAFRAKDFTMAIDCYTQFIDGGTMVSPTVFARRCLSYLMNDMPQEALGDAMQAQVVSPEWPTAFYLQAAALFTLGMDTDGQESLKDGTNLEAERNKH >KZM83964 pep chromosome:ASM162521v1:8:4108629:4110143:-1 gene:DCAR_028614 transcript:KZM83964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIEQASSVELSNIANSETHGEDSPYFAGWKAYDENPYDEFHNSTGVIQMGLAENQVSFDLLEEYLENHSEAVSWGKKTPSFRENALFQDYHGLQSFRKAMASFMGKIRGGRAKFNPDRVVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDIRWRTGVQIVPIHCDSSNNFQITPKALEAAYEDATSKNMKVRGVLITNPSNPLGATIQRKVLEQVLDFATAKNIHLVSDEIYSGSAFYASEFISIAEVLAARNYKESERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQHLLAAMLSDETFTENYIRENRKRLRTRYEMIIKGLRRSGIECLEGNAGLFCWMNLSPLLEKNTRECELELWTSILKEVKLNISPGSSCHCSEAGWFRVCFANMSEQTLDIALNRIRVFMEKRNNKIHA >KZM84464 pep chromosome:ASM162521v1:8:14978853:14984793:-1 gene:DCAR_028114 transcript:KZM84464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQQLGGSITRALQQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQNNIKKIVNLDHLAAGHNKRNIIHKAIFNELCKILDPGKPAFAPKKGKPSVVMFVGLQGSGKTTTCTKYAFYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEAMKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEIFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTMRIMYEQYQNILKMGPIGQVFSRLPGFSAELMPKGCEKESQAKIKRYMYMMDSMTNEELDSTNPKLMNESRIMRIARGSGRQVRDVMEMLEEYKQLAKKIWSQLKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGGMGGMPGAKDMMGMFGGGEN >KZM84763 pep chromosome:ASM162521v1:8:18937011:18937786:1 gene:DCAR_027815 transcript:KZM84763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLINEYMERGSLFCALRDDTQALELYWSKRVAIVKSIAHALSYMHHDCTPPIVHRDISSNNILLNSEMEAFVADFGASRLLNPDSSNRTMVAGTYGYIAPVIEKCDVYSFGVVALKIITGSHPGDFLSLVTSSRARYMRSKMLIELLDKRLPHPTRRQEHDIILVLSKAFACLCSNPKSRPSMLTLSLEFLQTPRTLTIDSIYTTSIEDVC >KZM83986 pep chromosome:ASM162521v1:8:4959451:4962348:1 gene:DCAR_028592 transcript:KZM83986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMKSKSRLIDPPEQNDSFKRIMKSGGCIEEESDAHDDDPHVKEDFPEEFNMNKFRLSAKDKYGDRQKVWTVEGQGDHLHPSSSSRSFAGTTILLTGETITTAPSHPETVTHNITFWTYGFTIDDGPLRRMDDPENASFLKALASDSESNDESGPAVKEPVPTLPRLNSDNEDVIAVGEYYGEDEDVIAIR >KZM85306 pep chromosome:ASM162521v1:8:24554099:24554626:1 gene:DCAR_027272 transcript:KZM85306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKAQLQWNVIIPADNLDAKGLALQKAIIVRLMDEFAAKKATSSLGYFLAVTSLDKVGEGRVREHSGDVLFPVTFTCLTYKAFSGEVLEGEVHKILKHGVFIRCGPVENIYLSHLKMQGYSFVPGENPIFMSEKAAKIEKGVKLRVMVIGVRYMEAEKEFQAVANLDGDFLGPI >KZM85399 pep chromosome:ASM162521v1:8:25465406:25467368:1 gene:DCAR_027179 transcript:KZM85399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALYRRSSAIKSLAGNLRAGAYLRSYASVSEGTDLVSAAPNVSLQKARSWDEGVSSKFSTTSLKDIFKDKKIVIFGLPGAFTGVCSTQHVPSYKNNIDKFKAKGVDSVICVSVNDPYVMNGWAEKLQSKEAIEFYGDFDGSFHKSLDLDIDLSAALLGHRSHRWSAYVVDGKVKALNVEKAPSEFEVSGGEVILAQI >KZM84173 pep chromosome:ASM162521v1:8:9612353:9619955:1 gene:DCAR_028280 transcript:KZM84173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIMETAPPIQATDNQLSLADFDAIKVVGKGSGGIVRLVQHKWTGQFFALKVIQMNIQESARKQIAQELKINQSSQCLNVVVCYQSFYDNGAISIILEYMDGGSLADFLKIVKNIPEPYLAAIFKQVLKGMWYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAILASTSGQANTFVGTYNYMSPERISGGQHGYSSDIWSLGLVLLECATGYFPYSPPELDEGWSNVFELMDTIVNQSPPCAPPDEFSPEFCSFVSACLQKDPRKRPSANELLKHPFINMYEHLNIDLAGYFTEAGSPLATL >KZM83991 pep chromosome:ASM162521v1:8:5065716:5066423:-1 gene:DCAR_028587 transcript:KZM83991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTDGAEKVKGSWTPQEDETLVKLVEQQGPRNWSLISTSIPGRSGKSCRLRWCNQLSPAVHHRPFSPEEDAVIVQAHAMHGNRWATISRLLPGRTDNAIKNHWNSTLRRRRIADAGKRGYLDVISGSSESGLGVKRRCSGGSPEENSCDDCEPKTLLTLLPPGGNPVKEETRVSPEVEDEEKEKEGSTVVEMEDTGLVRMMQRMIAEEVRSYIDSLRAQGGSGAGFEYVANEQN >KZM84693 pep chromosome:ASM162521v1:8:17809666:17814228:1 gene:DCAR_027885 transcript:KZM84693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGMGGSDSNTWVAKKPLKRLGGMSDALSLAADLGFSVNPPPSQKLSTGTDEKADNLVRVLRDLTTVQRKIADLQVELQGRKEDKNVAHLTHVSAMEKKIETLARITDILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGSLTESVADFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQDLRVGHSTQSGSTFTTPSKDPAQRIPGVSEATQLLEK >KZM85932 pep chromosome:ASM162521v1:8:30030611:30034342:-1 gene:DCAR_026646 transcript:KZM85932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKEMRSFSHEFLYYLTFQASLHNGDQTPQTFAAKLYDDPPPRGSIIIKSMADAVISFAIEKLGDFLVHQVNIRIGVRDDIRWLKEELGLLQATVELAESRQEEKLIRLWLNNVRDVANEAVDILKTFQDGQASLEQGHMDRFLNCICICKKEAQLYDIGNDIESLKKRIGVIKERRLEYGINTILAGPDLKQKERTFIRASAIDTHVDVVGFKDDIQNLMKELNSKDPPLKIISIHGMGGLGKTSLALKLYNSNELRHFGTRAKVCVSNEYTIKDVLKRIIKSFKGPQHEEYMSNMDEHDLRQYLQQLLENQGCYLVLIDDIWDIKAWNQIKIAFPNQDNGSRIMITTRNKKVAETVDKNCLAYQLRLLREEESWELFCKTAEPTHNLEKLGREMVGKCGGLPLAIVILGGLLLHNKSKDYWLKVKEHIWRNLRDDSVDIVEILSLSYKDLSHQMRDCFLYLARFPEDEIIDVERLKHLWIAEEFISEDEEGDGVLMEDLAEDCLNELINRNLIQINYSQLNGKVLACQVHDLVRELAIRKAKEQKNLVISDSSKHQPNLTHLLEGQRRHAIYDEIVEDLALSESGFTEDPMPSLGNLRNLTTLDLHQVYGGDIMVCSKNSFPSLQVLRLQKFTNLKELQVEDGALPCLKSFRIKKCEELKNIPVQLERILIQTN >KZM86020 pep chromosome:ASM162521v1:8:30811052:30815368:1 gene:DCAR_026558 transcript:KZM86020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTLPRHFNPKVFSVHHEWKKDRILLSSSGNYGGLKLKFEKVLCVSRKRQIKGLAVKAMYTSALPPPPDGQSGKRDWKVFRKCRKGEGFSIKGVAKGIAAVFVPIGTFMLTLLWKLAAIVLPKPTKTALDENKSSSERNFWSFGKNLLYGFAAKMERDSKQNLYNYAKDLRSFRIVNLSGGDLGDAGLSILAESLVDNQSLEELNLSSNGITVTGLKALDGVLQSNVVLKTLNLSGNPIGEEGAKCLCELLANNTGIKKLQLNDARIGNEGAKAISEMLIRNSSLQILELDNNLIDDVGFSELARSLIGNETLHTMYLNRNYSGALGASALGEGLGRNRSLRELHLNGNSIGDTGVRALMSGLLSHKGEIIALDLGNNGLSSRGATYVAEYIRKSKTLLWMSLFMNDLRDEVIG >KZM85652 pep chromosome:ASM162521v1:8:27588587:27589175:1 gene:DCAR_026926 transcript:KZM85652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSSSSANTSWVKTITTPFRKARTFFVPGGTSTRSSTKSPLHHQGHEDKRMRDLQLEGEVMACAYEDVQVMWSILDKSNQRVCDVSS >KZM84257 pep chromosome:ASM162521v1:8:11128155:11138945:1 gene:DCAR_028449 transcript:KZM84257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRPPSFSSLDIGVNDISTSSSSSNQVFKNAAKGSGTTARGRRLLKVREEKRKREYDRVHNYPAWAKVLEDACRTDSELRAVLGDSIGNPELMRKRVEERVQRKGRDFQKSKTGSVLAFKVSFRDFNPVDSYIWFELLGAPSDRDVDLIGSLANSSMEYNPLYDADKGFTVMPSSFHDISNVDFQDNWGRVWVDLGTSDFFAVDVLLNCLTALSSEYVGLKQVVFGGRSLGDWEEGMTSPEYGYKYFNI >KZM85671 pep chromosome:ASM162521v1:8:27732674:27734838:-1 gene:DCAR_026907 transcript:KZM85671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIRDDDAMENGCSNENRHICEPEDQVAQVKNEVEDEKKEVESIEMIFIDKEVPSWKNQLTFRAFVVSFVLGTLLSLVVMKLHMTTGMIPSLNISAGLLGFLFGKTWTKFLEKPGTSKKPFTRQEYTMVQTCVVATCGIAFSGGFGSYMFAMSEVTSKQSMGTNDPQNTKNLSLGWIIGFLFTVSFIGLFSLLHFRKIMIIDYKLVYPSGTATAHLINSFYTPKGAKLARKQVKVLSKFLSFSFLWGCFQWFYTAGSNCGIASFPSLGFEAYNNRFYFNFSRTYVGVGMICSYITNISLLVGAIFSWGVMWPLIRTKEGGWYESGLPSDSLQGIHGYRVFITIAVILGDGLYNFFKVSSKNITGLACQLRNKSSANILPVADASPEEKVSSFDDKRRTQFFLKDQIPSWFALGGYVIIASISTAILPQIFHQLKWYYVLIIHVFAPVLAFSNAC >KZM85461 pep chromosome:ASM162521v1:8:25956733:25959708:-1 gene:DCAR_027117 transcript:KZM85461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKEEAFRAKALAEKKMEKKDFLGARKLATKAQNLYPELENISQLIMVCDVHCSAENKISGTEMDWYGILKLEPTADDVLIKKQFRKFALYLHPDKNQFAGAADAFKLIGEAHRVLLDPLKRKMHDVKRKSALVNGSSKQTNRPSSDQRAPQHGNSSVNANRPFQQAPQTAQTGSSVHTDQKGPSSNKPTFWTACPYCLQRYQFYRDALHRNLSCHNCRKAYTAYEIDGQRVAPQINSTRSALVKQTGVHNQAPCKVGLQSNAEYSNTKAEIGGNTWSSEVAQGSKHNENLGGVSTNFKQKRKAEIPPPCGKMNDKKTKQFLECSKSFSSEASTKSKEDVPTKGGEDYCELTSSRSRNNVSYTGEAVEDLLKTQEPPGMNESRGHDAHMEENGEEAIVKKHRFSKEVLPNKSNETEQKIENGAPSEEAKTEPETFDYPDPDFSDFDKNREEKRFAAGQIWAVYDTQDTMPRFYAQIVKVLHPNFKLRIIWLEPDLYDKDEIKWAEEDLPVACGNFRPGISENTEDRLMFSHVVSWDKGIRRNTYKIYPRKGETWALFKSWDINWSSDPENHRNFEYEFVEVLSDYTNATGISVAYLGKVKGYVCLFCRTKQGGVDTFQVEPKEILRFSHRIPSFQMTGDEVIDILKGSFELDPVCLPPNLQEIDPPNCEEMKRKMPPVGPSTFATDTMEAIPNIHVESPKNQAEQKTPKNQAEQKKQCDLCVDLSTDEEDQKLQSDARVMFENSTGDAGAAPDFSEDAYEIPDPEFYNFDGNKSLEKFEIGQIWALYSDEDGMPKYYGRIKKIDLLPQCKLHVAWLGVCSTFNDIIQWNDKKIPVTCGRFQLRKLKPSEYTSPAPFSHQLRARVETRGKKEEYVILPRKGEIWALYRSWDVRMKCSDLENCEYDIVEVVEETQSGISVLSLEEVKGFKSVFRAQVKGQFPVTFMIPANELIRFSHQIPAFRLTGERGGSLRGYLELDPAAFPFHWFCKD >KZM86124 pep chromosome:ASM162521v1:8:31531961:31546744:-1 gene:DCAR_026454 transcript:KZM86124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEDCMTGEYANRKETCICGYNLSDLVQEAQHRWLKPPEVLFILKNYEDSQLTDKPLQKPPGGSLFLFNKRVLRYFRKDGHSWRRKKDGRTVGEAHERLKVGNAEALNCYYAHGELNPNFQRRSYWMLEPAYEHIVLVHYRDITEVRHSGGSILQSSPGSYSTLNQSPSSYTTQVPGTTSFAPEFQEQIQILSNPGSVNGSFQSDIKNDMINISDVIERNEEIYSSPKVDINQALKRLTQQLSLEDNCSGDNESFYDDNGVSHDTEYNVHGQSSIFPNQLQDDSDHRIAQLFPDLCLDLCLLSILGAITTMEIAVEVDMEGREHGGDGSRQKQGGRGGGGPRRRLRWRRKETTDEAVVSGGVASPELWKTTGVAIKRRQWSKRSDGYSTEGDGKGHYWQPFEDDFSCNEENAILNELLGSYNNAAPAGSQETHLHIPNENEAFLSPSSKKPVEEEESFEWADLAVNDTFSCPTSVLLPEEAEYFKIATYSPSMNVYGINSDHYSTVFEQVPTERPLEENPSLTVSQKQLFTIHEISPEWGYAHEATKPPGCLMIECEGDMVFMKYCLNGIMPMTMSHEAIKVIVIGSFLCDPSDHVWTCMFGEIEVPVQIIHDGVIRCQAPPSLPGKVTLCLTSSNRESCSEVREFEYRECPSSLPHSKLLEAEASKDSEELLFLVRFVQMLLSDPLISKREISGSGIELLGNVEGNEELWSGVIESLLAGNCTSSGIANWLLQELLKDKLQQWLSSRLQQVNDLQGGSFSKKEQGIIHMVAGMGYEWALKPILNSGVNVNYRDTNGFTALHWAARFGREEMVAALIASGASAEAVTDPTKEAPTGKTPASIAAEYGYLGLAGYLSEVSLTSHLSSLTIAESELSKNSASLEAERTINSISDISTITSEDQQSFRDSLAAVRNTAEAAARIQAAFRAHSFRKRKLKEAAAAAFMANVDSQDQYSLLSNDAQSLSAASKLFFRNTRDYNNAALSIQKKYRGWKSRRDFLAFRQKVVKIQAHVRGHQVRKYYKVICWAVGILEKVVLRWRRGGVGLRGFRNESESIDGIEDKDEDIVTVFRKQKILSIDEAVARVLSMVTSSEARQQYRRMLDKYRQAKAELGGPANAAAAGEAASTSLDMSNMESDGTY >KZM85019 pep chromosome:ASM162521v1:8:21751073:21752359:1 gene:DCAR_027559 transcript:KZM85019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAITGNRFYTVGLISAWYSSNIGILLLNKYLLSDYGFKYPIFLTMCHMTACSLLSYVAVVRMELVPMQMLRSQNQFFKICVLSFVFCASVMSGNISLKYLPVSLNRAIGATTPFFTAVFAYLITMNTETLLVYATLVPVVIGVVIASGEEPSFHLFGFIMCVGATAARALKTVLQGVLLSSEGEKMNSMNLLLYMAPVAVVLLLPVTLYMEGNVVEITLALSRVDFRIVWYLLFNSVLAYFVNLANFLVTKHTSALTVQVLGNAKGAVAVVVSIFIFKNPLSVVGMFGHALTVLGVILYSEAKKRSK >KZM84411 pep chromosome:ASM162521v1:8:14057237:14059890:-1 gene:DCAR_028167 transcript:KZM84411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHRAQDPTTGGGEKPIAPPPQAASCNGIFISYQFVSRKKEYPHLKNATAQPWAFKSTATVLNTGTTEMKEWKLFIKFQHKEILVSASGASVEDADDMPADVSNGTTLTGSGQSVLKTSIDTAGDLTQIQAEMKLVGTQFGVRPPGVPMPKTIKLQNDGYKCPAPNRRGAKIMYACCVKDPKFKVKKEKTKFYARRKGDLVISYDVTQATKNNYQAQVTMENNHPLGRLDHWNLTWEWMRGEFIYNMKGAYTHLKDYADCLLGPAATYYSDLDFSQVQNCQKNPVMADLPPERAKDKIIGNIPFCCKNGSLMSPIMNKTNAKAVFQLQVFKLPPDLNVSSITPPSKWKIEGIVSTNFKCGNPLRVAQAQFPDPGGLDEVSLAVASWQVVCNITRPKKGKTKCCVSYSGYYNESIIPCDTCACGCDDDSTCRKNAAPILIPPEALLIPFVNRTAKALAWSKLRHKRVRKPLPCGDNCGVSINWHVSTNYKSGWSVRVTLFNWKENNFQDWFVAAQLKKAGRGFEKAYSFNGTLLRNLNNTIFLEGLPGLTYLMAITNGTNPRKDYLVPGKQQSVLSFNKKNLRNLKIEKGDGFPSKLFFNGEECSLPKIYPIPDFGHKTYANSVLVLLSLAFTVLFFL >KZM85759 pep chromosome:ASM162521v1:8:28404034:28406406:-1 gene:DCAR_026819 transcript:KZM85759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLHLKLFLLCSLLVLASGHSSRNLPVISFDEGYSQLFGDDNLMLLEDGKSVHISLDQRTGSGFVSQDLYLHGFFSASIKLPADYTAGVVVAFYMSNGDIYEKTHDEIDFEFLGNIRGKNWRVQTNVYGNGSTNFGREERYDLWFDPSEDYHHYSILWTENQIIFYVDNVPIREIKKTEAMGRAFPSKPMTLYATIWDGSDWATNGGRYRVSYKYAPYVARFSDFVLHGCSVDPVEQFSKCDNAPKSRSIPTGITQEQRAKMQQFRKKHMQYSYCYDRTRYRAPPSECTIDLNEAKRLQGFDPVTFGGTRRHHSKRHHHNKLTLNRFTAI >KZM84012 pep chromosome:ASM162521v1:8:5361210:5364386:1 gene:DCAR_028566 transcript:KZM84012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFIAPERGMQSGVLNQRQQTRAAAMKEKDDELALFLEMRRREKERDSLLAQNVDEFEAPLELTTGSSPIFNIESTTPAPTRKTAADEFLNSDNDKNDYDWLLTPPGTPLFPSLDMESLRTSGNHNGSPKARPTALKSRLANSELEPTARNNLASRQSVLAPGLNTSSAGVRRPSSSSGPGSRPATPTGRPTLTSASKPSVVSGSKPSSMTASKPSVTMTSRPTRSSTPTRATLPSTKPAVPARSSTPTARSTARSSTPTSTARSSTPSSTARSSTPTSRPTARSSTPTSRPTARSSTPTSRSTARSSMPTRPSAPATKPTSRPATPTRRPNTPSTTSNTSAPPVKSPSVAKAATTATRNPAPPRPSSPTVRPRPWNPAEMPGFSNEVPPNLRTSLSDRPPSVTRGRPGAPSVRSSSIDPAPNGRIRRQSCSPSRGRPPNGMNYNTGNSVPALNRAYAKANDNVSPVMYGTKMVERVINMRKLVPPKQDDKHSPRSNLSGKSSSPDSSGFGRNFSKKSMDMAIRHMDIRRNIPGNLRPLMTNIPASSMYSVRSGPAPRSRTIGVSDSPLATSSNASSEVSISNNALCVDTSEFDDDINSERGVPSPASVRGR >KZM84387 pep chromosome:ASM162521v1:8:13589862:13593295:1 gene:DCAR_028191 transcript:KZM84387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVAKNGLKQYLLQLQKHPLRTKAITAGVLSAISDITSQKITGIKKLQLRRLALKVLFGLLYLGPFGHYFHLLLDKLFKGKKDPSTVGKKVLVEQLTSSPWNNLLFMIYYGSIIEGRPWNQVKTKIKKEYPTVQYAAWTFWPVVGWINHQHVPLQFRVIVQSAVACCWYVSTTISFC >KZM84500 pep chromosome:ASM162521v1:8:15453626:15456018:-1 gene:DCAR_028078 transcript:KZM84500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRINKTLITNLLNYTTHRCYYTNRLNKETLYTRISPLGNPNLDLTPELDNWIQKGNKLRFAELQRIVLDLRRRKRYSQALQVSEWMNKRCVYVFTPTEHAIQLDLIGRVHGFVNAESYFMSLNEQDRTEKTYGALLHCYVRQRQTDKALSHLEKMKDSGVALSPLNYNDIMSLYSSTGEHEKTYEVLMVMKRDGITPDNFSYKICINSLGVMSDIEGMERILKKMESEPHISMDWVAYATVGNHYITAGLKDKANIALNKAEAFLDNKNGIGHNHLITLHARLGNTSDVFRMWDLMKSACKRCINKDYIIMLDTLAKLGEFTKAEELIEEWEASGNCYDFRVQDALITGYCNVRLYDKAREMLVKLVDKQRTTIPGSCERVAVGFLENGEMEKALYCFKEALSLHAESKRWKPNHKSITILINSLAEKGSSEEVESFIASLRNVIPVNRQMYHALMKAYLRDGKEVDGILNRMKADAIPENEETKKIKNMEQVKNQ >KZM84550 pep chromosome:ASM162521v1:8:16137211:16142415:1 gene:DCAR_028028 transcript:KZM84550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLTLAILLVLAVSNYGSGRLITDFMISDGIYNATATGGSDSSDSVSGLGASTVTCEPPYGFLPCTTELPGLILLVVVYQYLLSLGQKYVSEGSDLFFQTFGPGAFGASLFHFIGTIPQIALILVSRLSGDEQNAKAQAGIGMSILAGGAVTNLTLTWGIAVALASYNFSDAPATIDTTVQLKKPSRLMGSGVITDVDTSYTARIVLISVIPLLILQLTNVLTLAILLVLAVSNYGSGRLITDFMISDGIYNATATGGSDSSDSVSGLGASTVTCEPPYGFLPCTTELPGLILLVVVYQYLLSLGQKYVSEGSDLFFQTFGPGAFGASLFHFIGTIPQIALILVSRLSGDEQNAKAQAGIGMSILAGGAVTNLTLTWGIAVALASYNFSDAPATIDTTVQLKKPSRLMGSGVITDVDTSYTARIVLISVIPLLILQLTNVVRSSSGSHLIVLVSLIITVLLLISYNLYQIFQPWIQKRRFEYLTQKFVKDKLQVLLTSNGKPNARLIRQIFHGIDKNKDGFISPTELRVLIIGIKLEDDGFIRGDYADKVKEAFDITGDSNINEEEFVAGLSKYLINAQQPANRKVQKVPNTSTDEGQSLLVQGTSVPRNEEPWKNYIKAAYFIFLGTAISVLLALPLIQSAVAVATAANIPSFFIPYVVIPLTLATRSAGRTISSAKMKTPESISLTLSQIYGSVFVGNVMGLTTFLAVVYIRDIAVDASAEFLVAIVICTGMGVFTGFRTTFPLWTSYLAILMYPISLVTLYLLTSVLGWS >KZM85220 pep chromosome:ASM162521v1:8:23856213:23856989:-1 gene:DCAR_027358 transcript:KZM85220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNIAAPLLFLNLVMYFLVLGFASWCLNRFINGTTNHPSFGGNGATNFFLTFSILAAVLGIVSKFAGGNHLRAWRGDSLAAAGSTALVAWAVTVLAFGLACKQIAVGGHRGWRLRILEAFIIILTFTQLLYLLLVHAGIFSRNYGPGYRDTEYGVGGAHHDPALKGSSAVPAARV >KZM83708 pep chromosome:ASM162521v1:8:675535:676382:1 gene:DCAR_028870 transcript:KZM83708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESWKGQKFLSRAEFHFSAHVTKFQRLQMLPTPDQTNAAPIPDKTNLFALLCGTLDGSVGCIAPLDVLAFCRLQSLQKKLVESVPHVAGLNPRSFCQFHSKGKVHRPGPDSIVDCELLCPFEMLVLEQQHEIANQMGTTRSQIVSNLNDLALGTSFLRGTAC >KZM86104 pep chromosome:ASM162521v1:8:31375111:31375884:-1 gene:DCAR_026474 transcript:KZM86104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAGVLPLLGKMIADSRTHASAVALYLNLSCLEEAKPIIASSQAVKFLIKILEQETNTQCKCDALHALYNLSSLPSNTPHLLSGGIINSLLALMKNSEDSTWTERSIAVLTNLILNKSSRNDIISSPGLISELASILDIGEPLEQEQAAACLLMLCDGNDKCIQMVLQEGVIPSLVSIAVNGTMRAKSKCQKLLTLFREQRQKEPAQHLPREQSDENEMSLSPEEPPKPLSKSISRRVLGRNLSFWWKNKSFTVYR >KZM83657 pep chromosome:ASM162521v1:8:175268:180056:-1 gene:DCAR_028921 transcript:KZM83657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYGLIMLALTFVVIDSLAGASVVLNFRKAISESNNSSSAANITQNSPIPIPVPGGKDSNTTTAKHEKDKDSNSTSVPNEKEKDLNSTAVKQEKDNDLNSAAAKHEKDKDSNSTATIDEKKKPTDTKVTKNNTSISKSVPDNKQTNTGQHGGQEKGGSSVDSQLGSDASCAGSTTSCNILNTMVACILPLDPGSKQISILVQSLLERTLKVYFAGSALSPFEIPSHRTKKMNISLAEVKANTIVLHAENGECTIHMAPPSGKNYFKELSFYSKQVTPIYGAYFSFLVVLVCGGIWACCKLKKRRGQGGVPYQELEMGLPESAPVSVNSTEGWDQGWDSDWDDDKAAMSPGGNHVGSISANGLTSRSAKKDGWEVDWED >KZM85246 pep chromosome:ASM162521v1:8:24062646:24063052:-1 gene:DCAR_027332 transcript:KZM85246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEEKKKLSTALTSLSPEDLDNALLIVSHDYPNFHATSREVDLDIDSLSESTLLKLKFFVKGAMQFHGKSSASMGGNNSHQNNNNKRKIYDALAKSSQKRSKK >KZM84067 pep chromosome:ASM162521v1:8:6761987:6762925:-1 gene:DCAR_028511 transcript:KZM84067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDKMYEMINYPEEIPRERFERFLKVCLELEIEQAEFLLSTQMLFRRQSIPHHLQLLKKSSTIHFPSNFAYLVLKGMYCPFQWDDTVKEMALIIANPTLRNRVPEMINLLRDITGDEEIDIFPIYKLCPNARNKLSFLHTGWFPFNHHVWQSLCDKVVNKSPDEVDLIHTFKQITSDNLNMNATLQIMRNTTLIQ >KZM84084 pep chromosome:ASM162521v1:8:7041343:7042067:1 gene:DCAR_028494 transcript:KZM84084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRTYSNPLSDISNVSTVTKGSQCHTQNVHCRPSDLLNGRRKRRVMCKENWNPILDITDNGIGSQASNKPSNQFLNTVDKQDVMPSLCNNAVSSVVVKESSLTQVHDSCEP >KZM86069 pep chromosome:ASM162521v1:8:31185643:31187909:1 gene:DCAR_026509 transcript:KZM86069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSWVNRFCEKVSAMVEGGSGYCSKKSDDFCGSDSDEESGRVLSMSRLRCIMRGIDLKTYLFFFVMIPTCVLGVYMHGQKISYFLRPLWEAPPKPFHEIPHYYHENVSMENLCKLHGWGIREFPRRVYDAVLFSNEVDLLTIRWKELHPYVTEFVLLESNSTFTGLPKPLVFASNRDKFKFIESRLTYGKIPGRYQRGKNPFVEEAYQRLALDFLLKQAGIQDDDLLIMSDVDEIPSRHTINLLRWCDDTPQVLHLRLKNYLYSFEFLLDNNSWRASVHRYQSGKTKYAHYRQSDDILADAGWHCSFCFRNIKDFVFKMKAYSHFDRVRFNKFLNPKRVQKVICKGSDLFDMLPEEYTFKDIIGKMGPIPHSYSAVHLPSYLLQNADFYRYLLPGNCIRESG >KZM84501 pep chromosome:ASM162521v1:8:15456954:15458483:-1 gene:DCAR_028077 transcript:KZM84501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRINETLITNARNYITQRCYRANRVKKETLYPRISPLGNPDWGLTPELDDWIRKRNQVRVDEILRTVLNLRRRKQYSEALQVSEWMKSRHVCVFTPTEHAIQLDLIGKTQGLVDAFGYYMSLNEQDKTDKTFGALLHRDAGDKALSHLQKMKESGVTSSSLTYNDIMSLYSYGTGLLMELACLCNRCGSDNYSRPQRRGRYWSKQSR >KZM83821 pep chromosome:ASM162521v1:8:1876421:1877788:1 gene:DCAR_028757 transcript:KZM83821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMVCETIFDQEQTAPTFVGSLEVPNVQEIVRDNSSRVPQIYIRDQYEMPKATDMAHLVTDIPVIDLSLLSNGNVEELKRLDQACAEWGFFQVINHGVPSEVLQNMKQNADGFFNLSLEEKNKFSMPPDDIQGYGHAYVVSKEQKLDWSDALMLVTMPPEFRNLQYWPTKPEGFKEIIDKYSKGLATVGEQLLGSISLNLGLERNTLIKEHKGLSQALRINYYPPCSRPDQVLGISPHSDTSSITILLQEDHLHGLQIHHNGEWIPVKPIPNALVINIGDVIEIWSNGKYKSIEHRAVTNESKARMSLAAFLFPNLDKEIEPFDCVVKSQGLLKNYKKVKYGDYLLNSMKRKMEGKTHTEMAKN >KZM86012 pep chromosome:ASM162521v1:8:30702588:30715685:1 gene:DCAR_026566 transcript:KZM86012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFSSSSGPGYSPAAPPYYPYNYSGYYEPGPELCLRFPDKRESESLDARFDQSPVLKNDQYVKTTAENKKLWRIYSKITPSVVSVSSFLGVERKFDCSGLIIDWNSIDNEATVLTSAKLLWSPKDTSLEFHLIVRTADGTLFLAREDYVDYHHNLLTLKIKSTIELKVVDLRCRQAEYVERMSVIALGRDFQTCLPFDYVGELSLAFPNYGCDELLMSTCRFSEICEGGPLITDTGYVIGINFKDAHSLPAPVILSCLEMWSTVVRPWFGMSVVDVDQLSYCIWERYNIPVEGSYVVVKEVLKGSIADRNDVRHGDLVSTCNGVSIRSAKQYSQLLSKASQVLSACADSSQQSFTVVINPFDRHTDNISIEAEQVSVDDKSWQGILSGEWNKIDGLLRNPRRLRYDPTVPHYVPGESMYYPTVPRYVPGESMYYRTVPHYVPGESMYDPTVPPEILHNLMYGYSPTCPSYSPYDYSRDYEPGPELCLRFPDKKETGSLDARFDQSPLIVRTADGTLFLAREDYVDYHYNLLTLKIKSTIELKVVDLRCRQAEYVERMSVIALGRAFQTCLPFDYVGELSLASPNYGCDELLMSTCKFSELKLAAMHYSNRNKGEVKRKSLVAGFLLNPTVVKCGPLRKTPSTVS >KZM84555 pep chromosome:ASM162521v1:8:16185465:16189454:-1 gene:DCAR_028023 transcript:KZM84555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANESIDSPSDQSCVYPIPQHRSASLDTGMGSATYTVEDAFASSKQSRPMFGSNENLDPNIHMFDLHVDWILFESRILFDSFEVVKKEDKNARRTVLNSVCFFNDTGVAQKWNEGKQKEKVNNMVLFDKPTYDKLLSEVPKFKLITPSILSDCLRALGLAYPQLGMLTAAIKISVDCQPKENTSATVTESAFLRGQRMNRETDRELKAYCLAFDNELGPVPIADVKSLTQYGELYDGNTRFFRSTLFVAAVRSCMMKRLCFMVWIGCHQSWKADVVHLHSGDSIGSDFENM >KZM84774 pep chromosome:ASM162521v1:8:19043541:19046490:1 gene:DCAR_027804 transcript:KZM84774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFKYAFHLILVLLTCEILRYCEAARARGPLSGLASPAPSPSQADGTTWAVLVAGSNEYYNYRHQADVCHAYQILKKGGLKEENIVVFMYDDIAYNEYNPRKGVIINSPHGEDVYAGDYTGTNVTQNNLFAAILGNKSMVEGGSGKVVDSGPNDRIFIFYTDHGGSGILGMIDGFLYAKDLIDVLKLKHSTGTYKEMVIYVEACESGSIFQGLLPDDMNIYVQTASNATEDSWATYCPGDLDHPPPKEYSTCLGDLFSVSWMEDSETRGPEDETLEQQYLKVSKRTSNDSHVTEYGAKEMHNEAVSLYQGHNPAPKTSQLSELNSPMAATYQREADILSMWALYKNSPNDSDKTKILKRIEETTAQRRHLDDSISMIGNVLFNSVNPNSIRNRAREPGSAVVDDWDCLKSMTCATMASVAWTWRILA >KZM86102 pep chromosome:ASM162521v1:8:31363243:31369840:1 gene:DCAR_026476 transcript:KZM86102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHMSAGRNGGYRDKELRDRESDLDVSSRDIGYSKGESDRMKNGYRDYEKIQGRGGGRRDRGRVRQKDVKEREFGNGGLYRSVSRSGSGSSGSSGGGRLRGLLVGSVDREPGELSSESGSEEATDSSRADNIESVMKQENGTSLPAQYKKRKYSPIVWDSDEVSIVSKQSVALTPSVLPPPPPPKSYRESPNTIVAGAVEISPIEDTKLQPSELELPVEPVVEIESQVSVVAESLGGLSSSALQEQHAEYNEDYAPTLNIRSSRWANDANSPADEGEISDSPDVRMLKRRKKMPLAAQKEMKVRNKLISPELVELKREGSEGVRARSSESDEGAHGRSSSGDFYADKDLDNADYMEMDIEHNNGSKIGRKDTDSEDECYSRDTPEPAAPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEVVALKKVKMEKEREGFPLTSLREINILLSFDHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKALMETKKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGTKQYSTAIDMWSLGCIMAELLTKEPLFNGKTEFDQIDKVFSISVISWYNLLRKKFPATSFTGSPVLSDAGFDLLNKLLTYDPEKRITADAALNHEWFREVPLPKSKDFMPTFPAQHAQDRRTRRLLKSPDPLEEQRRKELKQRELGTGGLIKNGELDEAFKILLDLRLEGDNDIDLVHAYNTLIAGCGLEGRVDDARALFDQMLTGDGERLRKNLVSWNTMIMCYVKAGLVVPARDLFDRMLERDDFSWNTMISGYTSKSDMQGALNLFSRMPNRDMLSWNLMISGYAQMGNLKLAHDFFSKMPQKNLVSWNSIISGSERNRDYEGAIKLFTQMQLTGMKPDRHTMSSLLSVCAELVAPRLGMQIHQQVIKVFIPDVPLYNSIITMYARCGAILEARVIFDEMKVKEVITWNAMIGAYASHGFAEEALEVFGAMRKVQVYVSRQVTPEEEMPIARKELMRTVAV >KZM84189 pep chromosome:ASM162521v1:8:9978623:9986339:-1 gene:DCAR_028264 transcript:KZM84189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNLVKPEAGSGSRSLCNVETDISMTKCVKRRRRSPSLAQGVEPQQSKPPGLPLADPPAATTTTTVKRSSRFRGVSRHRWTGRYEAHLWDKGSWNVTQRKKGKQGAYDEEESAARSYDLAAIKYWGTSILTNFPVSDYEKEIEIMGSLTKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLRTSGANSLTCQDPRTSSDSQALTAASSSSSTLDPVKESEFSYNSNSFTFGMEIPPKQELFERIMPVGPNKSSPTALSLLLRSSVFKELVEKNSNDEAPPQGKVIRNKYELHEHEFGGIVCDGVVEDDNSLTPGTVSRATNSLSSPGSLNICVCVFLISFSEHGMMSVSPESRPICLLPHHLDESPHSISHKNFNYDLGMMKEKGSSWRPCKSTKTIPLSANMGYSQLNPFFQGSCMKENIYPCTVLKSTASVPQSLAKDLTGIGGKHRLVDTGRQRQHSDDKFGHENNAQRSPMAPAMIVGSNGSGRSWTEYLKSNYARMPLTALRPLIKSVLKSSILQDDKILISSEAEGVEFLAINLITVSFSLDQIKEIEGKLGVPLSSSEYSRLSLLRRTVFYLERAARMYSVLPYAVGQVLFELPYSSIQTIL >KZM84761 pep chromosome:ASM162521v1:8:18887293:18909249:-1 gene:DCAR_027817 transcript:KZM84761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIFEYFVVCGIGPEIRTLEGEKGFHGTLVMYLPSLLDQYPPPNHTLYPPPPPQLPTCVLPAGVEFYASGYDSNDSATFPRSYPIVLTEGDGTKIYVSCIAFRDPVCDDIAEAYQIPANSFADKCICFVSRSPSFNILRDALEELYVLCFSSSGSSKPLWDVIAHTVSNVPLPTPGKDRLLFAIENSLLAVEVPPMNGLPHADISFQPLLQCLDVDNFIMLFTAVLIERRILIRSNKYSLLTLASEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTYGLSMDGVVVVDLDINRITTSEDIPPVPEPEYSSLRGEILKLLCPNVMGIDLMKINPGSSLEQNPKAGRLWGPDHDLQLRLIFLKFFASLLGGYRNFIENTATQVFNNQAFLRKRSRLTNQPPDPMITQFLESQGFLDYLERGLGSDENSNNLLDKLQDAIGRGQNPLSILPSDLEEREIITISDSGVGISGSGAKYCYDRFPANSRTEEQEEKRKQILATASGALDSGKQLSGSPSVLAAGKDSKAESLSPRERAAERERMVLDIKVKLQGLWLRLLKLGSTDDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWLCQLTDEQFIAVKELLKTAISRATSRNDMATVRDALEVSAEMFKKDTNNVSDFVQRHLRSLTIWEELRFWEGYFEYLMERFSNKSANYATLVTTQLIIVATHMAGLGLPDNDAWYMIETIAGKNNIGYKHIIKLRGYLSHIQQICIGYWGIYSIKSQSAASYGFPTPHLQDSADDSQQPTEASGVGRSWVQSMFSRDPSLRGNSLSRVRKWTSDGGSLANENGTRKPDLSSGGQKKIQTSIRTLRGHSGAVTALHCVTSREVWDLVGDREDAGFFISGSTDCTVRIWDPSVRGSELRATLKGHTRTVRSINSDRGKVVSGSDDYSVLVWDKQTTQLLEELKGHDAPVSCVRMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRYSSAVLCMEYDDSTGIMAAAGRDAVANIWDIRAGRPMHKLLGHSKWIRSLRMVGDTLITGSDDWTARLWSISQGTCDAVLACHGGPILCVDYSASDRGIITGSTDGLVRFWENEEGGLKCVKNVTVHNGSILSINAGEHWLGIGAADNSMSLFHRPQERLGGLSSSGGKLGGWQLYRTPQRTVAMVRCVASDLERKRICSGGRNGMLRLWDATINI >KZM83707 pep chromosome:ASM162521v1:8:652121:652635:-1 gene:DCAR_028871 transcript:KZM83707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNVQRLFFKGIEERDQECLEIAGLKNNSKLLLRKSARNDRVEEVPASDDEIEVVKVTQKSKGSELVAEVKVDNDKLAAQVGALRKVICEGHKVFHKDLNILVEMLERQLLKLDGIKAEGEGKLQRKMEVTIINFSFSVCVL >KZM85127 pep chromosome:ASM162521v1:8:22940783:22942754:1 gene:DCAR_027451 transcript:KZM85127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMASSTLLDASVRTSTLTTTTINKHSILSSSNPTSIRTPQLALHSQRKPLLSVHVLSKNVLHQLPTCLQDSILQTSLVPLTASLALLLFFSPAANAGLLSGSTGIESVPGPELPKIEFLNKFNEENQKRYAENDARFKDSPVLKELLEKSKINKEKNKQAILDKYCLRGAEWGVGDCSTEGMRPDERDEFIAMLRKKTGIE >KZM85983 pep chromosome:ASM162521v1:8:30456029:30465753:-1 gene:DCAR_026595 transcript:KZM85983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSTPLHLALATLFGASVMAISAFYIHKRSVDQVLHRLTKLRRNSPRLDPVAHNENSVVSDLEVDTLPEYTGMDESGGEWYRVSSSVPNVGLPNEWMDRDSVAVSNSMEDQLNLIPSGLPPLRTDQNDGEKMRVGSVGRLVTPRSSGGYAFDSVEHSDEEGMDQTIDDDNIYCTYDESLVSSADHGSYANIQATSMSAPEAEQLNYIQGQNHNLVANETSGNGQDSRKGDTSSLHNNKNDTVSARTILPLPTSVHESLNIEDEEVRRMIRECLDLREKYVYREETAPWMKNTEGDLKIPHVNSDPFRFVPVEATSHHFRMEDGVVHVYASETDTVDLFPVASATTFFTDMHHLLRIMSVGNVRSACYHRLRFLEEKFRLHLLVNGDREFLAQKSAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLKSEPDEVVIFRDGQYLTLKEVFESLDLNGYDLNVDLLDVHADKSTFHRFDTFNLKYNPCGQSRLREIFLKQDNLIQGRFLGEVTKQVLLDLEASKYQMAEYRVSIYGRKQSEWDQLASWFINNSIYSDNAVWLIQLPRLYNVYRSMGTVTSFQTILDNVFIPLFEVTINPKSHPQLHVFLMQVVGFDIVDDESKPERRPIKHMPKPSEWKNEFNPAYAYYAYYIYANLFTLNKLRELKGLRTIRFRPHCGEAGDVDHLAAGFLLCHNISHGINLRKSPVLQYLYYLAQVGLAMSPLSNNSLFLDYHRNPFPLFFQRGLNVSLSSDDPLQIHLTKEALVEEYSVAAKVWKLSSCDMCEIARNSVYQSGFSHAAKSHWLGKKYYKRGPEGNDIHKTNVPHMRISFRHETWKEEMLYVYSGRVRFPEDVEH >KZM83832 pep chromosome:ASM162521v1:8:1978789:1980447:1 gene:DCAR_028746 transcript:KZM83832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRLLCIALLCTAATARIPGVYTGSDWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNEGLSCGACFEIKCNNDPQWCHPGNPSILVTATNFCPPNFAQPSDNGGWCNPPRTHFDLAMPMFLKIAEYKAGIVPVNYRRVPCRKSGGIRFTINGFSYFNLVLVTNVAGAGDIQKVYIKGTKTQWMPMSRNWGQNWQSNSVLVGQALSFRVTGSDRRTSTSNNIAPANWQFGKTYVGKNFRV >KZM84433 pep chromosome:ASM162521v1:8:14440136:14446727:-1 gene:DCAR_028145 transcript:KZM84433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLHSSLPLGFPTSRLSYPRFTVTGSIPLPFTKQKAKYHKELQAAVDVVERACRLCVEVKKSLFSTEGKILEKTDQTPVTIADFGVQALVSLELEKLFPSIPLVAEEDSAFLRSNNLANSVLDVVTEKAKISGKPLTEADVLEAIDRGGKDAFVFGSSPATYWVLDPIDGTKGFLKGTEALYVVGLSLVVEGDVVLGVMGCPNWQEEDCSKLINEIQEYKDVIAQLGVVMVAHVGCGTWKMRLNDISSNTTNLADSWIRCFVDKYSMINEARFCISDSQLWESLPLSNSFDATIDMENVSENEILLLPLCCGSLCKYLMVASGRASVFILRSRVDRIIKVWDHAVGIICVQEAGGMVCFHN >KZM85900 pep chromosome:ASM162521v1:8:29754608:29756270:-1 gene:DCAR_026678 transcript:KZM85900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLTCFAPKDLTEKGSKGSRWSQSPLKFIGSSVHKKDTTPRPSSSSAAAVRKEVIDDDLLRQQAVAAVLLLKQHQQQNGSSVPQFDRSSSVHYPLSSSKKQNKLPRSSSSRPSLALDLSHAQLPKNQDSTTEVLETKHFVLVHGGGFGAWCWYKTMALLREAGFDVDAVDLTGSGIDSTDSNSITSFAQYVKPLVDFIDNLSEGQKVILVGHDFGGACVSYVMEMFPLKVSKAIYIAAAMLTTGKSTLATYQEQPSSDVMQQARKFIYANGKDHPPTSISLDKTLLTDLLYNQSPSKDAILASMSMRPMPFAPIMQKLALSESNYGSIPRFYIKTDEDFAVPPTLQEFMINSSPPEKVFQIKGSDHSPFLSKPQALHRILVEIAKLPSKANVSQ >KZM85941 pep chromosome:ASM162521v1:8:30159458:30159811:1 gene:DCAR_026637 transcript:KZM85941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMVFPGQVFRTNACSNSLKWLHLPVYKGTGQQMRALTKTKGMLKFSNKRNTSIIRPGGNHRLQAILSSTNKSDFTPSDTVEQFYECINYKNVTKLGRFLANDCVYEDFSFPKRF >KZM85195 pep chromosome:ASM162521v1:8:23584092:23584310:-1 gene:DCAR_027383 transcript:KZM85195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPHPATVMLLKWSVRQPRTRSVVHKRGGARGSPTTPLSWTGGSTFLSGGAHPAAVDASEQSYNSFFMD >KZM85634 pep chromosome:ASM162521v1:8:27414162:27417011:-1 gene:DCAR_026944 transcript:KZM85634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSNIKDTEVELDTKEDKLIDVQRQGGEMKGDMKRPLKNRKSRWLFANWWSKDDIDVEPISHLNLNYELHKPHLMTLEPQHMVQDEIINAYFELLREREKHYIVNKQFENQARHFFMHSEFMEASKKYVKDLKKHPLDRSAEELEALKSFLLTVSVQLRGNRVDDCEFIYFPTCLDQHWFLFIWSVVDQKVILLDSLRNDTKNRSLKKMYSTQYYIMERLVPCMMHFVNKERYPEPIKWGKVKQLKNRPMQTDNDCGIFVCKYVDASLMGLPLEHEKWSKEDVRNFRYRIAWEISKGQARHLPSWSIEWRKKFPRKIGFQLLQDCNTSAC >KZM83711 pep chromosome:ASM162521v1:8:698530:701735:1 gene:DCAR_028867 transcript:KZM83711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADIPYCGTEFVLYVFASLGLVLLAGLMASLTPGLMSLGLVDLKDRGKVNAKIQSERCEDECDEAVLLKCGFRMLGADLLNDTKMLEINNGAKELNIPTSDANRKLVASDNGGLQNPSYLIFNPVWDSKGAPSPNKRFNYPSVPGVQKPISDEDIAFMTVLELGQLIKTKQISSEELTKIFLKRLKRMSAA >KZM85518 pep chromosome:ASM162521v1:8:26461564:26462763:-1 gene:DCAR_027060 transcript:KZM85518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVVQEDCGDMSMLCTDHPYKNNTPGGICALCLQEKLGKLVSSSFPITIFPSSSSSSSPSFRSDQTFTTTTTTTSSVVPIRTITPSSVLSNTQNECHHHHSFKISKIPYLLTHKKKKNKSLSATNSNNSNNAMIFRRSKSTATPRRGMCFNNELEDSAQHKKRFWSLRHFNKHLSGKNFPDIKQISSPSSSSTGNMGSFSRSRKRSSKKEEVIVVEENDSPEQVSFGRKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKSKSRRSGAGTVAGGPDSIKQRPKCGGLFSGFMITSSSSSSSSSSYWVSSSTDENNNNNNLNVKPTAAGAAVAAVAGQLAQSRSKTWGWAFASPMRAFSKPKRNGGSVSNKNGGPNLSAVPSLLAVRS >KZM85512 pep chromosome:ASM162521v1:8:26407614:26410123:1 gene:DCAR_027066 transcript:KZM85512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIQYVLREQRLIWFFIGIAIPVILFNLIIPSSPPDAFHSAELTHVPRRVTLELHGSHIITSGRVPLSLKAKNLRVVVTGGAGFVGSHLVDRLLERGDSVIVVDNFFTGQKENLVHHFQNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIISFFNTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQAESYWGNVNPIGVRSCYDEGKRTAETLSMDYHRGLNLEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKIEFRPNTEDDPHKRKPDISKAKDLLGWEPKVALREGLPRMVSDFRQRLFGDEKESVGTNVSLA >KZM83659 pep chromosome:ASM162521v1:8:204504:207061:-1 gene:DCAR_028919 transcript:KZM83659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLFEAEKKKIKQDYERKLKQVDIRKKIDYSTQLNASRIKVLQAQDDIVTALKDATTKELLRVSQDNNLYKKLLKGLILQSFLRLKEPAVLLRCREVDLSLVESVLEEAKREYADKAKLKAPAVTLDKRVYLPPPPTSAGSLGLSCSGGVVVASLDGKIVCENTLDARLDIVFKQKLPQQYSQLLFHIPLLNAIAHMVYVEQ >KZM83814 pep chromosome:ASM162521v1:8:1847725:1848957:1 gene:DCAR_028764 transcript:KZM83814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTVIGSGGDKRWSLDGATALVTGGTHGIGHAIVEELAGFGASIYICSRTQKDVEKCLEEWKMKGFKVTGSVCDLKSRSQREDLMKSVASAFSGKLNILVNNAAIGMSKETADVTAEDISNIMSINFEAPYHLSQLAQPLLKASEGGNIVFISSVGGLVAFPQQSIYSSSKGAINQLTKNLACEWAKDNIRVNCVAPWVTKTPMLQKLQDETPGLKESINAMVSRTPFPRAAEQTEVSPLVVFLCLPAASYITGQIICVDGGHTISGY >KZM85219 pep chromosome:ASM162521v1:8:23851320:23851499:1 gene:DCAR_027359 transcript:KZM85219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDYFQELEEQGSTMAMDVDDEALEMFGEAPITFDHHRLADADFFNSFDDDFDDADIN >KZM85497 pep chromosome:ASM162521v1:8:26308356:26309252:1 gene:DCAR_027081 transcript:KZM85497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRTLDLKIISAKGLKNVNFISKVEVYAVVSIAGGDPRVKQRTPTDREGGVNPAWNFPMKFTIDEAAAQQNRLTLVISLRAERALGDRDVGEVRVPIKEIIGDGNNKSVQFVRYQVRKPSGKAKGELNFSYQFGEKVAASHVPPQANHVTSKTDEPVTAYPAVAPMAAGTSSAYPPPVTSAYPPVASSGYPPAAYPPQQPGHGYPPQQPGYGYPPPQPGYGYPPQQPGYGYPPQQGYGYGAPVQQPPKKNKFGGGGMGLGLLGGALGGLLIGDMISDVGSYDAGYDAGFDDAGGFDF >KZM84846 pep chromosome:ASM162521v1:8:20102222:20108724:1 gene:DCAR_027732 transcript:KZM84846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKNRKVDPKPGEMATPGHNSIMPSQIFVVLRLLMPFRVARAVKVPLDAYMMDFVFSEREDGGVFDNKLGMDYHIPVFGGIAKEPPMHIVHIAVEMAPIAKVGGVEEFHFHKSYFWGGTEIKVWFGKVEGLSVYFLEPQNGLFWTGCIYGCKNDGDRFGFFCHAALEYLLQSGSNPDIIHCHDWSSAPVAWLFKDHYMHYGVSKARVVFTIHNLEFGAHLIGKAMAYVDKATTVSPTYSQEVSGNPAISPHLNKFHDILNGIDPDIWDPYNDKVLPVCHTSENVFEGKRAAKEALQQKLGLKRVDLPLVGIITRLTHQKGIHLIKHAICRTLDRGRQFRSRSAMHMSMQHGLYDTVFDVDHDKERAQARGLEPNGFNFEGADAAGVDYAVNRAISAWYDGRDWFNSLCKLVMEQDWSWNRPGLDYLELYNAARKL >KZM84803 pep chromosome:ASM162521v1:8:19491220:19491561:1 gene:DCAR_027775 transcript:KZM84803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLLAVVKLKLLASSSQTISFTPYVAYLVGPFLLKVFLKLRSLPQFYEDVLHATRFLLFQFNQIAFEIRLEDLGDRSRWERALRLFTERIRRISSPSDEARRLHDLSMVAL >KZM84122 pep chromosome:ASM162521v1:8:8448014:8449117:-1 gene:DCAR_028331 transcript:KZM84122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNVQPGMMIYVPQNGVVHNMFLSAKWENMIFYRGQNYFVHLIGEFYGNMVVQKGIDDVLKISTVVHNKNMLVDVNTLNRCLKLGENVPFQPCINIYEKFVFDKKEFELLVGYFCDCDVPVDLCDRNCAIEFHHFTPLYQQLAIIIRSNLLPKPKNTQFFDFVDLKVMFQLATNQVEFNINYVILINMIMAFEVEYMPYGLLLTSLFELYHIAMPRVLAERIEYCDISSLVKHQVSLSDCKPLTVTPVCITPEVMIIGSKQTANRSNSELDKIKEEINNLKEINLFIMARLDQLENKSKEDSTVGNAEGIDEKMDRLFNEDMVKEMAEKSDKLGVETGKSDAVMLPSLNDLSDELVFVDVEEPEKA >KZM83879 pep chromosome:ASM162521v1:8:2548941:2549919:-1 gene:DCAR_028699 transcript:KZM83879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIDVYVHHRGFFARPPDGTVTYVGGVVDNLVEDTETMAFSDLEHYAVAFDYYRRNSSDELRSVASSSEDEPVRKGYIGPPPTNKKRKKKSSVYNPNKCKDVIKFSPGMRFGSMDEFRKAVRDYGILERRAILFNHNNVERCQVVCKGKEKMQPKTKHTKPYLSQTAAETTQSSQTSKSAATSSQCLTGSEGKKFMEDLRGPLNNEWLLRWPPIKRPSEATTDSQHAEEEEDAELPLDEEDDEPEEQTSTQPRRSVRLIAKTQFKFTNTPETAVDVDEDDP >KZM84660 pep chromosome:ASM162521v1:8:17418316:17419418:1 gene:DCAR_027918 transcript:KZM84660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIIHENSDDGRIIMNNFYKDLENSGLQSHKRPGSIYSEQLDVRAEGENYYHANQIYDLSGEPLIQGNSVAAENDRTKSGYGMEVKSIAEDDQTALHVAVRTGHPENVRILLEGGANVNKLDAKGRTPISLAENQGNKCIYDLLLSYQNTRSTNEQKIELLEESSYETRNKQFKETHTGVTTCSSSYQKDSLCSSSEALNHSAEAEVRKINTIRVTIHMNNASQKQLAKLINLPGSIDELFRIAGQKYGGYNFTKMVNSENAEIDDLSVIRDGDHLFLIPEQSETMSDMRP >KZM83826 pep chromosome:ASM162521v1:8:1915483:1917215:1 gene:DCAR_028752 transcript:KZM83826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFENRYMQVERPKYDCLLFDLDDTLYPYSSGLATACGNNIKDYMVEKLGIDRSKIAELGNLLYKNYGTTMAGLRAIGYEFDYDDYHSFVHGRLPYENLKPDPVLRSLLLSLPIRKVIFTNADKVHAVKALSRLGLEDCFEGIICFETLNPIYKSAASDDEDDIQFTGSKSSSMTTSKNEIFDIVKYFSQANASTSALPKTPIVCKPSEHAIEKALKIGNINPQRTLFFEDSIRNIQAGKRVGLDTVLVGNSQRTKGADYALESIHNIREALPELWTARAVTSDASNYNKLAVETSVTA >KZM84723 pep chromosome:ASM162521v1:8:18235014:18236697:1 gene:DCAR_027855 transcript:KZM84723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFVPAKCADEFYMMITVGNVYSINKFTVQPYKPETKFLPVRNDYQLVFSNATKIKTLDVNDTEIKENSFDFYDHGDLLSMSKQTTYLADVIGVIKKLPEVSDLIDMEKHRSKQSSLSVMEDEVDLGCTHATKYYINYKHPCVLNIRKMFKIQVQAYNSTGDIEIDGNEEAFPQELRTIINQDYSVILRITEINTANKINIYSATNICKGFQKPETEVEEIMETSEQIGTEATTSTYHLDRMSTQTTRT >KZM84169 pep chromosome:ASM162521v1:8:9573768:9577337:1 gene:DCAR_028284 transcript:KZM84169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFWSTILYGITGIVITGVALLVAIQDRLIYVPVVPGLTRSYPITPSRLRLFYEDVWLTSSDHVRLHAWFIKLNADHRGPTIMFFQENAGSILTSVCLHNVPTDIAHRLEMVRMMLQRLQCNVFMLSYRGYGASEGFPSQQGIIRDSQAALDHLIQRTDIDKSSIVVFGRSLGGAVGTALCKNNPDKVGALILENTFTSILGMAGILLPFLRYFIGGSSSKGLKVLNHFVRSPWNTIDIVGQVKQPILMLSGLDDEVVPPEHMQMLYAKAAPHNKRCKFVEFPDGMHMDTWLSAGDEYWTTIQQFLEEHIPEKKEGSSVASLHKSSSISHL >KZM85134 pep chromosome:ASM162521v1:8:22996335:22997603:1 gene:DCAR_027444 transcript:KZM85134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLPATTESLIQATEAKAAPEAIAILYRVLDDPSSTSEALRIKEQAVSSLSDLLREQSRAEELRNLLTQLRPFFSKIPKAKTAKIVRGIVDTVAKIPGTSDLQVSLCKEIVEWTRAEKRTFLRQRIEARLAALLMESKEYSEALSLLSGLIKEVRRLDDKLLLVEIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGVIDLQSGILHAEEKDYKTGYSYFFEAFEAFNALEDPQAVYSLKYMLLCKIMVNQADDVAGIISSQKVGLHYQGPELDAMKAVADAHSKRSLKLFESALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPEEHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKSDAIYPATLETISNVGKVVDSLFTRSAKIMA >KZM84596 pep chromosome:ASM162521v1:8:16660452:16660643:-1 gene:DCAR_027982 transcript:KZM84596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPDSWVRLSSITFTISHSLLKINLHFQHASPPFSFTIKDFADLLLFGSETEGCGVYKRKGSE >KZM86096 pep chromosome:ASM162521v1:8:31329287:31330747:1 gene:DCAR_026482 transcript:KZM86096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHPTLPNQRTFASLFATCASLSKFYFGVQLHCVAYKLGVWCDAFSASGLINFYCKIRVCGSARKVLDEMPKRNGVCYSTLIVGFAQNMRAIDALGCFGEMRRWGLVSCMYSLSGVLRAVGEVAAAEQCRMIHGHCVVSGLGWNVVVGTALVDGYGKCGLIVEARGVFNELLGDMSIIGWNAMMSGYAQQGDKDLVVELFGLMEGNEIVPDEYTFLAILTAFSNAGLANAVKYWFEKMILDYGLEPWIEHYTCLIGVLGRVGRIADAERIALTMPYEADAAVWRTLLSSCAHHGEADMALVMSKRLLELNMYDDSAYVIVSNVLASAGRLDEVREVRKLMKHRRVSKEGGKSWIELPGEVHVFMAGDKTHVMTKEIYAKLVELREEILKLGYEPVIDDTLPEMDKVVKSEELWYHSEKLALAFALVSGAVPPGKALRIVKNLRICRDCHMAFKYISMVVNREIIVRDSNRYHKFFNGNCSCADFW >KZM85788 pep chromosome:ASM162521v1:8:28665428:28668770:-1 gene:DCAR_026790 transcript:KZM85788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGKKRAGYELNPGRPEPDRLLLKSQNSYLPLNKPCSDCDLLEEQGDEMEKIAAQEQKVFAFETLVSATKDFHPDRKLGQGGFGPVFKAYHLYKKNKTLEIADPVLASSADPDQVAMCIQIGLLCVQADPSLRPTMHHVILMLSKKHGALNEPTRPGYPGSRYRRSRRPNASSSTPGTSGRDDSQSYSTTNSNSASASAGASASTSGTRSDSRGKRPMESWNN >KZM86082 pep chromosome:ASM162521v1:8:31250194:31255958:-1 gene:DCAR_026496 transcript:KZM86082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVDFDVPAKGGFSFDLCRRNEMLMKKGLKPSSFLKTGTTIVGLVYKDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDNISSQLKLHRYHTGRESRVVTALTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESRYVEGMNRDDGVALVRDAIRSGIFNDLGSGSNVDICVITKGHKEYLRNYEMPNPRTFTSEKGYSFSKKTG >KZM84027 pep chromosome:ASM162521v1:8:5623744:5625698:-1 gene:DCAR_028551 transcript:KZM84027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLQEIAELCEQEQNLEQAMHFYDKAADLFQSEDVSSSANQCKQKIAQFAAQLEHYQRAIDIYEDIARQSLNNNLLKYGVRGHLLNAGICQLCKNDVVAITNALDRYQELDPTFSGTREYKLLADLAAAVDEVDVAKFTDAVKEFDSMTKLDAWKTTLLLRVKESLKAKEDDEDGDLT >KZM84703 pep chromosome:ASM162521v1:8:17889005:17892332:1 gene:DCAR_027875 transcript:KZM84703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSIASFSRVGTSQNWAELPPELTTSILTRVGSVDLLLSARKVCKTWRKICSGPDVWKVVDIRYSEIFYKLFNELGFDYVSKMEELVKQVVNLSSGQMIDFTIESFGSDNMLRYISDRSCQLTRLRLLSCHRITEEGLSELVKKLPLLEELHLCYIPVSKQAIEVIGRCCPLLKSFSLNRLKFTFPTGAFNEEALAIAENMPGLRQVQLIGNQMTSLGLLAILENCVHLESLDMRQCFNVGDLEYNLERQLSQKIKNIRFPYDSTEDYEFGAEICDSSEDDPFGLSYFGCSDIDSDN >KZM85598 pep chromosome:ASM162521v1:8:27019894:27027440:1 gene:DCAR_026980 transcript:KZM85598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTDDDFGDLYADVEVAASSAINGVLERPNSPAKPEKDEIFEGPRCSDDEFEENASDSEDDFDIVLNDDDVCDGFDAARMRNGEDEENEGKEVIEEAKDGSDKSRMCLDSLQGGEGCERRNVAKVANSQYKYMRPQLSTLASTLKNSKYEGPASYSCPSLKGEQEDTMLYHGMRPKSQGQSLHQFSLPRSRTIVDVNIDAFDEKPWRHPGVDITDYFNFDFDEDSWKKYCNNLDRYRHGDISPARVTSDFSKHNGFYPSRTDHETLAHASNEVSQKGGRMFHKSGSPGKEREHFNMHKGRAIQVAGSITERRSSMDTRRPIDRDSDVVIHESNETCTLKEQVDPVKCSEQEAYGNGTSGMDDDMDGLTFGSSSEEDLDNESLVGDAFHEGSSMPNSERCSVRTHPPEEAALGKSEGNTKNAETLSKSKEEASRSTCNTATILEAEFPENKCYQYSRSPSFSGSDYEDYRDGARHDLEKSYKYVRCPAPNSLTGFQNSVTPALHHSDSFRSQFGKVKYRDGKDAARYGKRIHQKRDSLYGSSGLKSSASGFRGTSNSVTDRDYGHRLEHDHSRQKDKLYGYSGYHEDEFLYYKETEFSFNFCDERFPDYQIEDVCAKYPRKKGYQRFKIPTNHFLSRNSDERAYRLRDELLERDWDHRESCFTVDGMHPLSCQESRQLSLNYPENEIDTRRKGKGGEPRFTRRIQNDIEFFRGPKYLHGSVQQKYKRTGPYDVRGRNNXSVYHILEEELKALGAHTPKSIWRSRSSTKSDTYERNERQDIYEKHLLTENSQDVDIYGGSTESLNREKDVNNYDDRSYSTIRRYHRESELLQLSEDEGPLMEHNDNYHAETTSFSFERTSWNKRFDAEDRSGHARHVTYDMKLDNLNCKWMSDGEMGKRGYRSSDMYYGGGHDQSLLRCGDPVDVHVIHGEEKSRGRSIAAGSVLFNNRNNKKACDPVDEHQSMFTDLGKTRPETVRKVKSPDVKVSHKDLNCLDGLSDTLKKELDIEEGQILTDDIMEDAMKSNISMSGDLLQTNGVKRLHSENATKENTSNKEVSSPRILEVIAKMEKRRERFKEPIALKEKLPEPHTGSAEEASGTMKQQRPARKRRWGGQ >KZM83853 pep chromosome:ASM162521v1:8:2245300:2247645:-1 gene:DCAR_028725 transcript:KZM83853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKINQNPFIFAALLLVFLTFLSSCEAYSFNGRLSESEVHYIKHRQLLYYKDEFGDRGENVAVDPALVFENPRLRSAYIALQAWKQAIISDPLNLTGNWVGSEVCNYTGVFCAPAPENKSDQTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTVPKKFKKLKILYELDISNNRFAGKFPYVVLDLPNLKFLDLRFNEFEGKVPKALFDKNLDAIFINHNRFKFSIPENFGNSPVSVVVLAGNDFKGCLPASIGNMSKTLNEVIMMDNGLRSCFPSSIGLLKELTVLDVSYNELVGPLPDSLGGMKSLEQLNVGHNMLSGAIPESICDLPRLQNFSYEYNFFTGEPPVCLRVPEFSDRRNCLKGRPGQRSGGQCKGFLRKKVDCSAFKCEPFVPSLPAPPPPSPPVPVPSPPVYVPPPVPVPVPSPPVYVPPSPPPVYSPPPQVYSPPPPVYSPPPPPPVYSPPPPPPVYSPPPPPPSPPPPVYSPPPPPPPPPPVYSPPPSPPPPPPSPPPPVYSPPPPPPSPPPPVYSPPPPPPSPPPPSPPPPVYSPPPPPPPPPPVYPSPPPPSPPPPVYSSPPPPSPPPPVYSPPPPPPTTYPPCIRSPPPPSPSPPPPPVYSPPMPYIYNSPPPPSPHSPPPPPHSPPPPHSPPPPPTYIYSSPPPPPPQHSPPPPSPPPCIEPPPPPPPCSEYSSPPPPTPVPYHPPQSPPPPPPPSSPVYSSPPPPVHHHSPPPPVVHHHSPPPPIMIPPPPVFEGPLPPIFGVSYASPPPPPFY >KZM83893 pep chromosome:ASM162521v1:8:2861500:2864529:1 gene:DCAR_028685 transcript:KZM83893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLGAGSNGGNGGGKGRGGRGNGGAEGRGRGGGGRGHDIGEGRGRGVGEQRNINEEEMGDHRADMEADIDEEGNGDEGHEEQATQTQESRIVRRVTFERANRSCSVGDYHKKPARDEDRSIVHFLEGRKEAKKKKTLSYIIKVNWREDTHESKGLEREAFYDRCLRDFKEKKRAEQSAVYARRGGLKTATRHTFKPHYFSQRIWDNLNSYWGSDLFKKRSANAKKARAKVEHIHHSGAKPFSQRREELEEKKQGLVSDMEFLDHVYHFDDPASKKLRMHRLANEAFPDRDNPVEQELWTQYMQLATAFVVEALKLNDKVILEVGE >KZM84720 pep chromosome:ASM162521v1:8:18210141:18212099:-1 gene:DCAR_027858 transcript:KZM84720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVLSSSSLSAASQLNQFQCKSLCLASKPSLFTPSSVNFALTNPKSCSRSSILARKSGRAVRVRAEGEFSAEAATATEEPPKELTEVEVLKKKLVDSFYGTNRGLSATSETRAEIVELITQLEAMNPTPAPTEALSLLDGKWILSYTSFSGLFPLLSRGSLPLVKVEEISQTIDAYSFTVQNCVQFAGPYSTSSFTTNAKFEVRSPKRVQIKFEEGIIGTPQLTDSIVLPENVELFGQSVDLSPFKGLFTSVQDTASSVAKTISSQPPIKFNIPARNAESWLLTTYLDEEIRISRGDAGSVFVLIKEGSPLLLP >KZM85313 pep chromosome:ASM162521v1:8:24586455:24594567:1 gene:DCAR_027265 transcript:KZM85313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSQSSSTKELPAGLDAAMEEEYASQSVLLKEFANISTIDKAWTFKSNVGSQAMFSVSHPNLLANKRRKHILSSQILKESDDSVSFQWAPFPIEVSGASTMVPSPSGSKLLVVRNSENESPTQFEIWSPSQLEKEVHVPQSVHGSVYCDGWFEGISWNSDESLIAYVAEEPAPLKPTFGDLGYKKGGSTDKDCSSWKGEGNWEEDWGETYAGKRQPALFVVNVNSGEVNAVGGIGKSLSVGQVVWAPINEGMHQYLVFVGWPSDSRKLGIKYCYNRACALYAVRAPTNKSEAKSLQPGGDATDDVTAYKLTQGISSAFFPRFSPDGKFLVFLSAKSSIDTWAHCATNSLHRFEWPTDDKFSSMKLVDVVPVVMCPKDGCFPGLYSLSMLSNPWLSDGYTLILSSIWCSMQVILSINVLSGNVSRITPNNSNYSWNLLTLDGNNIIAVSSSPVTVPELKYGSCAGATWSWLDVSSPIFKCPEKVTSLLSALKFSIMQIPLKGVPESLPEGAKKPFEAIFVSSNTKSHDVCQPLIVMLHGGPHSVSPSSYSRSMAFLASTGYSLLIVNYRGSLGFGEEALQSLLGNIGSQDVSDVLAAIDYVIDMGLADRAKISVLGGSHGGFLTTHLIGQAPDLFAAAAVRNPVCNLALMVGTSDIPDWCFIETYGREGISKFTEAPSSENLSVKTPTLFLLGAKDLRVPVSNGLQYARALKEKGGEVKVIVFPNDTHGIERPQSDFESFLNIGVWFKKYCN >KZM86139 pep chromosome:ASM162521v1:8:31712719:31718628:-1 gene:DCAR_026439 transcript:KZM86139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSQSSSSDEEGNVNGNSVGNQPSTPNSPTSAGFNTDQLPFNSTSDVYSEEEEEAAVDPQIIRDEELEDEEEEEGEDLFNDNYMDDYRRMEEHDQYESQGLDESLEDERDLDQIMADRRAAEVELEARDAATTAPPILSQRKLPHLLHDQDTDEDNFRPLKRTRADFKPPPANPRSFDDTDGMQSSPGRPQWGNSREDVPMTDQTDDDPYEDEDNDEGEFEMYRVQGTLREWVTRDEVRRFIAKKFKEFILTYANPKNENTELEYLQQINEMVSVNKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVANKVVFELHPNYKQIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGMVLGPFFQNSYSEVKVGSCPECQSKGPFTINIEQTLYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVIEANYVTKKQDLFSAYKLTQEDKEEIENLSKDPRIGERIIKSVAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKNFSQNVELTDPIVSRFDILCVVKDVVDPVIDEMLAKFVVDSHFKSQPKGAHLGDKSLGHSQEDAEAFALTDDPEIIPQDMLKKYITYAKLNVFPRLHDADLDKLTQVYAELRRESSSFKKYMTFKKDFNGIILHLLRQLVKDALHFEEIVSGSAADLTHVDVKMEELQSKVQDYGITDLKAFFSSSEFTRANFELDEERRLIRHRLAR >KZM84811 pep chromosome:ASM162521v1:8:19574963:19585187:-1 gene:DCAR_027767 transcript:KZM84811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSAKDYDSVSLDGHFITVANLKDKIFENKHLGTGTDFDLVISNAQTNEVWWPLCDRFNEIYFLGLLPETSRPEVEFKAGNLQGANSTFFGANPSVTNYPEDSEWDEFGSDLYAIPEVLHVQSSNQVQKAPPPSKSDEDSKIQALIDNPALDWQHQIVEGSGNARGYGRGMGGRMGGRGFDMKSARPKAKIPSHALSAASKGEQILPQIKDTTGSQDTTELVKIVAAPQPPVKNSNIAGSSRVPDVFEATNKSRSGKEPAIQASGPLAAEELQEKLVSEPGRLTKEKEKEENSYAFRNFPRYGMEFNGRPVMSKEEFEAQKVNLMHEREIERLGDSSNKFKEEASNSGAKTLSNPVEESSNDGDVASDGQSEGDSWVQMAT >KZM85060 pep chromosome:ASM162521v1:8:22306516:22307688:-1 gene:DCAR_027518 transcript:KZM85060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPKTLCDNIPALFSSFVDTFVDFSVSGLFLPPKPTISPPQKTIYTSPNRLIAIGDLHGDLDKSKQAFRLAGLIDLNDRWCGGDATVVQVGDVLDRGGDEIKILYFLEKLKREAGRSGGNLITMNGNHEIMNVDGDFRYVTKMGLNEFRVWADWYCVGNVMKSLCNGVLEGGVRDLYDGVPLDFPGVKAEIVDGVRARVAALRPNGPIAKRFLTTNVTVLVIGDSVFVHGGLLPHHVSYGLERINEEVRDWIGGFKERVSSELVRGRNSLVWLRRFSDELAKNCDCSTLEHVLATIPGAKRMIMGHTIQEKGINGVCNNKAIRIDVGMSRGCTNGLPEVLEINGKSEVRILTSNALYRNGYKSSIETDVMKGIGFGIPQHGPKQVEVKA >KZM85099 pep chromosome:ASM162521v1:8:22728730:22729886:1 gene:DCAR_027479 transcript:KZM85099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRESSFAVFLVICLVAKAAAQQAGSVRATYNLYEPKKIGWDYLAASVYCATWDANKPLAFRQQYGWSAFCGPAGPLGQESCGKCLRVTNTRTNAQEIVRIVDQCSNGGLDLDVGVFRRLDTDGVGNQQGFLTVNYEFVNCGDLIHALSSTLLQINNLYYTIIISQHFLNMGIIYNPRF >KZM85042 pep chromosome:ASM162521v1:8:22069033:22070973:1 gene:DCAR_027536 transcript:KZM85042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSIVIRSVRKLQFTSSLKLTPHYHHHHHRPTSSFTSNHIQSPKKPRRCNYSTKTTLAPSAARAGFVIPGRRILTNAHVVADHTFVLVRKHGSPRKHRATVHAIGHECDLAILVVESEEFWEGMNPLDLGDVPFLQEGVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLLAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPIPVVEHFLAGVEESGNYVGFCSLGLSCQPTENVQLREYFRMRPDLTGVLVSKINPLSDAHKILKKDDIVLAFDSIPIANDGTGAPFF >KZM84262 pep chromosome:ASM162521v1:8:11190565:11192386:-1 gene:DCAR_028444 transcript:KZM84262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCEKAPATLICCADEAALCAKCDVEVHAANKLASKHHRLLLQNLSNKLPPCDICQEKPAFIFCVEDRALFCQDCDEPIHSAGSLAANHQRFLATGIQVALKSKVPDEVETTQLEPPPPSKKAQQIATKTPAQQSSSINSPTWEFDDLLHFSDFDSSDKKEQLEFGELDWFTGIGAFGEQVAQKASEAAEVPQLPSYQLSNSNSYWPTKYYMPSSKKPRLEFQDDDDDGHFTVPDLG >KZM86048 pep chromosome:ASM162521v1:8:31043251:31045938:-1 gene:DCAR_026530 transcript:KZM86048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVNVSVVVVFVVIALLLINGSEGDPRSKMVEIKCGKIVEHNASVFVPNFVATMENISSQMRSSGFGVAITGRGVDTNFGLAQCYGDLSLLDCVLCYAEARTLLPQCYPYNGGRIYLDGCFMRAENFTFFDEYKGPEDVAVCGNGTGKSSKFQEAAKAAVAQAVVDAPNNQNYARVHFKPTGGGQNDSTYVLANCWRTLNASSCKACLENASSSILGCLPRSEGRALNTGCFMRYSDTNFLNPIPGGGSSKGTVVVIVVVTISSVIVLVVGIVIAVYIWKHRKIQKKRRGSTEVNKLVKTLHDSSLNFKYSTVERATGSFDEANKLGQGGFGTVYKAWKRFQQGTVEEILDTNLMLNDFHRSNVKAEVLRVVHIGLLCIQEAASLRPSMSKALKMLAKKDEILPPPTNPPFIDEKTMELNDTSDDPFYPQNGSSSASIANISHSSFYPR >KZM84850 pep chromosome:ASM162521v1:8:20159852:20162914:-1 gene:DCAR_027728 transcript:KZM84850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINMASTVTKPNNGPLGNNNSKGTSGEKSSKGSEIQSKGATNLGNGSFSSGFKEAQLGNNSEQEIKKQQQLETINKFWFLLDHELVAAVNKGNHALLPMAEAKVDFLLGVVSRELLEEGVKGDEEALWSIHEFLYNNGWWEKASNLEIERNDKEGDSATMDPLLLFLSAYEHLIHPNTRIEALQGQREAVRMALNQIHYGSIELARQEQKGISMTKGEREDNKGANVSELQAQAGVMAKRGKQGSRVRPVNLQQSQPHSQDSALYINGFRQLSQGQYSEANNDTTLLNFIRANADLVHPNTIAKASNGDQEGVRMALHQIHFGSLSARPIQKKPKFISPNKKDYMVEEQKIITYDFLKVHASMVEPGVLKDALGGNDKALSLALGQIHHHSLTGDHASTKPLPQSPSQTFREALLKNSARPSPTVKRSPPPKSQSSRKKGDMAPKSSIFFTELDDSLHMKDLWSLFKKEGKIRDIILPRKRDKFGNRFGFLLVSDEKQADNIISALNGKLIGSRKLYLAKAKGAQKPPSQPPKSASSTRAPKVHITPPESPKSVSHSLPRDLPSPPASVELLPDEDFIHIMENSLFLRTVKHETTDTVGMIAEGLGAVNALIRGLSGDRFIAYFPHYMDLQDTDREFLKIGFAEVRDLHLDDLLPSRKTWVEVRGLPIVGWKEDNFKNILSSFGTILQFGKTRDEEDFYQTPKFLLETQSVSEIDEIKYINLMGKIWKVRFLETTGALSQLNDAIPNDFFSYSRDSPANDFIQSRRSCESTKKSEGECMSISSNPAGSTALPEHNHFNDHELVDDEEEVQEILAEDEEEILDEEVILEENRTGNVVLIDSEIETHTLTDEGCGDITIPEEENLAGEVSNSDINPLTPFSEESPITLVTTNWLPRDRDTSPSDPLNASDSNGSVVDEESLDEFISIQDRSMDILQDLDKLKVKGRRGRPRKLNSNKINKSFKLPKRRRKKGEGLQQISHFFLNNSTDEAESILETGLLMGLLPNNSRQESLELIRQNLAA >KZM83984 pep chromosome:ASM162521v1:8:4942956:4944110:1 gene:DCAR_028594 transcript:KZM83984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNLVDSSSNDPVISDDDLLSLILLRVPIPSVLQFKSVSKRWLSIIDTPRFSLLRNALPLRTSALFLLPPISFYGVPDIIHFVPLDDHTHITSPFRNLTFLGDPGLLSKIRIVHSCGGLLLCSSGPLCTSLSEITEYIYNPTTNHLRTLPKHWPLHYGSALAFDPSKSPHYKVLICGSPPDLSTDHGQFQIYSSKTGSWRASGHPFNLTCKADKLAWRNSVFFNGCIHWISNLEYGCSYFNLDEERLHTMPRPPVGQDFFVGVSQNHLHVIEACPRDNSVQVYDMKYDYSGWLVKYKVDLSPVCQVFPEMYGNCSLVKVLSLVRRENFKDDSFLVFKIPGKVIRYNIVDRTFKAIWDIPVPDPLTSTPGDFKAFQYIESLSYV >KZM84542 pep chromosome:ASM162521v1:8:16033202:16034575:1 gene:DCAR_028036 transcript:KZM84542 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MGDKTFVAAMYPWFGMGHLTSFLHLSNKLAERGHRIFFYIPARTLCKLEQFNRHPDLITFIPISVPHVEGLPAGAETTADITYPMQPHLVTAMDLTQPFIEASLRELKPQFVFFDFTYWLPSLARALGIKTIYFSTVCSAVRAYNLRETGSFKNLQLKETDLLEPPPGFPLASMIKLYAHEARQFFDQHVREFGKNISFSERISTSYTECDAIAFKTCRELEGRYCDYVQDHYKKAILLAGPVLPEPPTSKLDEKWANWLGQCKPKSVIFCAFGSECVLKKDQFQELVLGFELTGLPFLAALRPPFGAENVEAALPEDFTERNQGRGVVTGGWVQQQLILAHPSVGCFVTHCGYGSLSESLISECQLVLLPNVGDQFINARVMGRDLKVGVEVEKAEDGTFTKDAVCEAIQSVTDPDSEVGKEVRGNHNKFRELLLQKGFESRYIDDFVQKLQGLLQ >KZM85039 pep chromosome:ASM162521v1:8:22028978:22048768:1 gene:DCAR_027539 transcript:KZM85039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPSSPEPAITTEGSIAAFMEMILYTALPLKPLDDPPIEMQQLIAKQTHAVVVLYNYYQRKRHPDLRFLDFESFCKLAATLQPELVPYMKNMHQSDYKSSDVPEDQLSLTEKAIKDACNTCLALDDVPEDMPIKEDWPISKIVVMLVDPTKENCLYIFGSVTRGVWSFLEQTIDNHKLSSDGAVARKKRRRINKKDLVEEQYTTEAGYEQLAVPAIMNFTGLKKTDLVLLESHVVYSVSKEKTAANFFIVKCAVKFQEKLNITYWVPMKEVVESLQGPLANWLIGSWTPTSVTQSYHLLPYSEILSNYFSRLKHSSHEGEHQRTIGDKSQSSQELRPETDNDLSFGCLNNFKVMVSTSSFNTNEANDATIISPNMEDNIETGMAGPTCSLSSLHTVDSDETDAVSICKEDKTKNNVSSGKIYQQYRKRTSTRRDVTVSTLSVKDKEAADLEVKRNVPDFKADKLDTVKNTVTGLEVDNVGTLNKSFNNNSVKTNSPEKVEPTSFANEKELYVADKEKELSVAAFRVLLSKRERLTHQLRNLGDEIAICDKNIQTKSDGGKDSFPQKTDTSIDFRTEVCVQREAFQDSTCQHAKDQVSSQQVKGKSIIEAMIPDQIFCQVLDRICHDNKWTSPTYSVSTCDGGYTASVTVKGEDFELSVNVNDTVHPSPGAAKDSAAVQMIDKLRRFVGPNK >KZM83888 pep chromosome:ASM162521v1:8:2659194:2664412:-1 gene:DCAR_028690 transcript:KZM83888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLMAYGNFIKKYVRRGFGSVVEEAEKARYNQKGSTKDVFFKAVSKKKVNVFDENITLLLQKFHSEWREDIQKESTDINSSAVSAMQSLWEKRTPLWIDWIILPGIFVSASFKRIDTSQTYRHPFSACRPVPCMVGTFNYGDIQSSWPILCDCLDNEGDCHTRKLAGIFFHKHNSEEQDVKGVCRKLDAESSPSDSCTFKPWYAEKSVPGVKNLIASFNFVGEDRSLGHKETDDVAATVQEAGHCQYTEHDETDSKAEMPGFHSFALNNFR >KZM84710 pep chromosome:ASM162521v1:8:18137100:18137267:-1 gene:DCAR_027868 transcript:KZM84710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVVLMLSSNIELPSPKQPGFFIDRKAQESDSSSSQYFDISLSKRLTMQIAPLS >KZM85453 pep chromosome:ASM162521v1:8:25901785:25909757:1 gene:DCAR_027125 transcript:KZM85453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLSNFSLIFVISIASILWKSTSSAIMNPSKVKQVSWKPRAFVYEGFLTNEECDHLISIAKLELKRSAVADNLSGESKLSEVRTSSGMFIPKEKDPIVAGIEDKLATWSFLPKENGEDIQVLKYEPGQKYDPHYDYFTDKVNIARGGHRIATVLMYLSDVVKGGETVFPQAEEPSRRKPSKSDDDLSECAKKGVAVKPRKGDALLFFSLLPSAIPDPQSLHAGCPVIEGEKWSATKWIHVDSFDKIVGAGGNCIDQNDNCERWAALGECKNNAEYMVGTAELPGACRRSCKLC >KZM85798 pep chromosome:ASM162521v1:8:28755681:28756031:-1 gene:DCAR_026780 transcript:KZM85798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWSVKVSADTETIPRIDTETSSCTTRKSKRQNMKASKRISIMVLMIILVFGQLCTATSAKHVNSSPSSWTLSRKARFFRTESVHAAATLSPSELEAVYSDDKRLIHTGPNPLHN >KZM85496 pep chromosome:ASM162521v1:8:26295286:26297998:1 gene:DCAR_027082 transcript:KZM85496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVDLTIEDFNRTIVCFAETIYRTNGVWEGPNPLAPILPIFIFQLPITILATRIVLLLLKPFNMPPFIGELIGGILLGPTFFGKIAPSTYKWFFPAYGFTILEPMAHFALVYYAFLVGLTLDTQTIKRTGTKAMGMAITGAVIPCLIGCLLFFVIVSEKTNYAGCIFWGFALTVSSYSALGSILEDQSLVQTDVGKLALSAAQVGEAISWGLLAVGLAVANSETYCFLAIMLTVVFALICARGVRPALSWIIKKTGDGQGYSEFYICFILSGVAICGVITDAIGTHPMLGAFIFGLIMPNEVLQTTLVERLEDFVMGIFMPAFFAVCGIRTNLDSLSFNNTSLVVVLGIIIVLSGSKVISALLSSFVTNMTAKEAATIGVLTSTKSILALIILEVAQEHGILTTQEYTIMIVSVVVMTMLVPPIILHYHPNIDNMPYTRKTIEKAKSVEELRVLACVHKFNNVPSIISVLEAANATQQSPIKVYALQLVELIGRASAMLVVHNSRKISSKNPTKEEAQTDDIISAFDNYELRCDGVNVQALTSRCPYSTMDEDICNIARDKRAAFIILPFHRQQNLDGEMEDINPAIRTVNENVLAHAPCSVGVLIDRRMAGTSMFPNRVAVLFLGGPDDREALAYAWKMSEHQNVRLTVIRFLPGKDALQVDPTEFMTTAAGTITVPIDAENEKHLDDQFIAKFRMSITHDSSVVYQQVMLNDEEETVRAIKKMEKDFDLFIVGRGRGMASPLTAGLADWCDCPELGPIGDLFATSEFSSSFSVLVMQQYTRSEGSEHETESYAESSEAENELNWRPSNASNDYGSFGQRDTEFGHLSFNHRRDHSLGMMR >KZM84246 pep chromosome:ASM162521v1:8:10916925:10917926:1 gene:DCAR_028460 transcript:KZM84246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKRSLILAQVVVGEKRSDYYVFDLEADEVLKTEIQSLLDQGQGDMVKLGGMMYNIGGLRRIDECPPLDVIPCAEGDHHVHLGVSCLDLKNFDDAPLSSNKWRWNDIPPMKEFRIYPSCASLDGKLYAFYCLSAKTHIGEVFDPSLGRWEPLPPPPEEIPAGGSLLVSPRVISDEKRHRILVHFDTTHSLYAYYPDFHSWDCLVQYFSPWYPATIALVDDVLFFHIYERRDCLAAFDLLSNSWLKVKYSSNFPAWDMSFNEWQNILHLGDGILCLANSCASFSPPPVHTNIRFVKLRLQRISSQHLLLVTFVSSQCFRFQGYLRANSFFLL >KZM84778 pep chromosome:ASM162521v1:8:19074941:19077454:-1 gene:DCAR_027800 transcript:KZM84778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYNSGDEDKEVNLFQPAREFLPMIDEVFRTLVKITSDITGALVENHKFCASVHYRNVDEKSWATVAQRVHDVLKDYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLESMNLGNSEEVLPIYIGDDRTDEDAFKVLRQGNRGYGILVSSVPKESNAFFSLKDTEEVSNVFFFLYFGCSYYLVIFQVTFSFALFAKHIG >KZM85337 pep chromosome:ASM162521v1:8:24890351:24892447:1 gene:DCAR_027241 transcript:KZM85337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDSKAPGFDLEAQNGYLYPGMMENPELRWGFIRKVYVILSLQLLLTVGVASVVVFVEPINDFVLHTPAGFAIYILSVVLTFIILCPLHIYQKHHPVNLILLMIFTVLISFSVGLSCSMHSGKVVLEAGILTCAVVFSLTLYTFWAAKSGHDFQFLGPFLFTSLLVLFLFGLMQMFFPMGKLGTMIYGCIGAIIFSGFIIYDTDNLIKRYSYDEYVAAASALYLDIINLFMSILAILQGDD >KZM85921 pep chromosome:ASM162521v1:8:29932719:29936354:1 gene:DCAR_026657 transcript:KZM85921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAASGGAGPAPFLLKTYDMVEDRSTDEIVSWSSSRNSFVVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYMPLIFTTYLFRFPLTDAWNWFMHRPLTGLFDPERAAFEEEIDKISREKTTLETNLLRIKQQQPTAKLQLEELTQRIGGMEKRQENLLAFLEKVVQNPEFVEHLARKLESIDLSAYNKKRRLPHVEDSQVLREDSFVDNHSFSRPEFGNIFHQDFSNKLTLELSPAVSDINLVSHSTQSSSEGGESPQRVSEGGIKDALMRSVSTVYAPETLELSDTGTSFTFNMDPSLAQKVGLAGSNSPKLQSLQQCLSSSEEGEGHISCQLNLTLASSSLHANESHYSAKLAQDSEKSLVSRSIGSGNEVEFRVPQKSKNYSSDGANLSSLHDTATKNQEPETAPPRVNDVFWEQFLTERPGTSETEQASPSARPNLHDEQEGRRQGNQNSRSTVDSLTL >KZM85981 pep chromosome:ASM162521v1:8:30444046:30445212:-1 gene:DCAR_026597 transcript:KZM85981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPESTNQEKHRKTSNKTHEITKAPRPNPSLPEELIFEILQWLPVISLLQFRSVSKPWLSLISSIDFIKAHMLKSVKDPEFKHHGVILSSTNPHFNIKRCDVYGLLNGHVGEAVDLDYPMKNPHNSVWIVGSCNGLVCLAIEEDSLFMWNPATRKSRRLRNSDMNLRFGCYIVYGFGYDESEDDYKVVGIFCVFRNVGTYETEVKIYSSKTDVWRRIEDFPFGIPLDDSGKFANGALHWAASRDIRSDLPESWIIVSLDVKREKYGKVVQPKYGDGAYNLTLGVLDKCLCVLCNYQSIRADVWVMKDYGVKESWTKLVTIPYVGEPSVFQYSVPLCVTENGDVLVEFESQILLYNSKSNVFKDLEITNFGGCLEADTYIESLVSPHV >KZM84668 pep chromosome:ASM162521v1:8:17517148:17518675:-1 gene:DCAR_027910 transcript:KZM84668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSSGIIAMFHFSFAIIIMSFTGFHFVRQVESLGINYGQIGNNLPQPQIVLQLLRSLKITKARIYDTDPQVLTAFANSGVSLIVTIENDMLATIMDQQQALQWVTHRIKPYVPDTKITGIAVGNEVFTGDDVTLIDKLVPAIISIHKALVDLGLDQFIQVSTPSSLAVLANSFPPSAGCFQPELNEIMTQFLHFLSNTKSPFWINAYPYFAYKDDPSGIPLNYVLFNPNDGMVDPHTKLKYDNMLYAQVDSVVYAMARLGFGGIEVKVSETGWPSQGDPNEVGATATNAAIYNRNLLRRQMKNEGTPLKPKLKLDVYLFALFNEDMKPGPASERNYGLYEPDLTMAYNVGLSSATSTATISLSSSADHLVPKMDYRSLIYSMFVQMLALQIFMRRYI >KZM85216 pep chromosome:ASM162521v1:8:23779725:23795997:1 gene:DCAR_027362 transcript:KZM85216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILLKSSSIFLFGHKESVSILQLEEFRKKKAAEKAKKTTVNSHSHPAGAALQEKQSLESDPIRVADSDGAGTSYGSIETSGKNINSDNRTDLGSSTAKDANTIFSTNNYNVYSLDPFQTSAKDEKFRNAPTEEVNVHVSPDHRGTINNHAISSETSARLADGIVKEHIMPSFVPSLQDSGSSSKHSNYGLGLSYTNDSDTRMKDTADSDTYIFSEEYKSNIPHKSNYKDLRASDSKSFSSFTNGGIDERKFSSSVGHLTSASSPFNSKESGYSSANFDGRNPSSQVTADPFVGGTNTRRSRPSFLDSINTSRISSAPIPQVESQNVESSNSEVHSVNAQAASASQDSINAFGSSNGHHLFGHDGSGNNFDSTHSFYSTKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLASENSALTNSYNQQGSAVHQLRSDMENLQQEIRIHLVELESLKGEYANAQLECSAADERAKLLASEVIGLEEKALRLRSSELKLERQLENSQAEISSLRKKITSIEKDRQDLQLTINALQEEKKLLQSRLRKASTTEKFADVSKSQNLDKKNASTSTEDLVNNYTDSTVDTSNNEMQSDGFLHHSNGSSPENRQFSLEGFSLSVLPPDQMRMIGSIETLISELAQEKEELVHALSAESIQSSKLKALNKELSRKLEIQTQRLELLTSQSMANDSVAPRQPDSVIIPKHTPYADEGDEVVGRVLGWLMKIFPGGPSKRRTSKLN >KZM83675 pep chromosome:ASM162521v1:8:341215:342034:-1 gene:DCAR_028903 transcript:KZM83675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGQGSYMAPAGGNPAVAVVGQHFMAPYPVDLNIVRKMLTISEGNFGVTDANGNIMFKVKGKLLISLRDRRILLDAAGNPILSLQQKLMGVHRRWEVYRGDSKDLKDLLFSVKKSSLLQFKTQLDVFLASNTSEHHCDFKIKGSWFERSCTIYAGNSTVIAQMHRKHSVSSIVLGKDKFAVTVYPHVDYAFIVALVVILEEINEDRSSED >KZM86009 pep chromosome:ASM162521v1:8:30687866:30693166:1 gene:DCAR_026569 transcript:KZM86009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRHRHSPPSLPREQAYNIIPVDTLVADHPALRFPEVRATISALRNVGNLSLPPSVPQWEAHMDLLDWLAAFFGFQRDNVRNQREHLVLHLANTQMRQSDNIDSLDPVVLRRFRRKLFKNYYSWCSFVGKRPKIHIGHSRSNADQDSRRELLYVSLYLLIWGESANLRFVPECICFIFHHLAMELNKILEDHIDENTGRPFLPSALGENGFLNKIVRPFYNTISGEVDNSKNGTAPHSAWRNYDDINEYFWSKRCFERLKWPIDLNSNFLVADNRGGKWIGKTGFVEQRSFWNLFRSFDKLWIMLILFFQVGAIVAWDEKEFVWQSLQSKDVQVYCLSAFITWSALRLLRFILDARMQHRIVSRETFGLGVKMILKIGVAAAWILVFGFCYWRIWSERNRLNRWSPKANDWVKKFYQAVAVYLIPEMLAFVLFLLPWISNFFEETDWCIFYSLSWWFQSRIYVGRGLREGIVDNIKYTTFWIVVLATKFCFSYYLQIKPMVEPTQALLRKKNVKYEWNQLFGNNNIIAIGLLWLPVVFIYLMDIQIWYSIYSSFVGVGVGLFHHLGEIRDMQQLKLRFQFFASAIQFNLMPTEHVLQSRVNILSKIQDGINRFKLRYGLGRPFKKLETNEAEAHKFELMWNEIILTFREEDILSDQEVELLELPKSTWDIRVIRWPCFLLCNELLLALSQANELVDAPDRWLWYKIRKHDYRRYAVIEAYDSTKNLLLQILKSNSEEHSIISAFFQEIDTAIQSETLTKKFKMTVLPKIHAKLIALLNLINKPKKDISKMVNTLQALYEISVRDFHREKRTTDELRECGLAPSKQLVNERLLFENALELPDTSNDTFYSQARRLHTILTSRDSMQNVPKNLEARRRLAFFSNSLFMNMPHAPHVEKMRAFSVLTPYYNEEVLYSKENLRTENEDGIATLYYLQTIYADEWENFLQRMQREGMININEIWTTKVRDLRLWASYRGQTLARTVRGMMYYSRALKMLAFLDTASELDIREGSRELYTVKHSCSSNSLTLEKSLSTRSISRADDVTKSSFKGHEYGIAMMKYTYVIACQIYGAQKAKKDPRAEDILYLMKNNEALRVAYVDEVPHGRDDMDYYSVLVKYDQQLKKEVEIYRVKLPGPLKLGEGKPENQNHAFIFTRGDAVQTIDMNQDNYFEEAIKMRNLLEEYRRYYGIRKPTILGVREHIFTASVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYMNTLFIIFTVYSFLWGRLYLALSGLESALVDDVANNTAFGAILNQQFILQLGIFTALPMIVENSLEFGFHTAVWAFITMQLQLSSVFFTFSMGTRSHYFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAIELGLILIIYAAYGDVAKDTFVYIVLTISSWFMVVSWILAPFVFNPSGFDWLKTVYDFDEFMNWIWFRGSVFAKSEQSWERWWYEEQDHLKTTGFWGKVLEVFLDLRFFFFQYGLVYQLDISNGSRSIFVYLGSWIYAVVVLAVYCAVTYARERYAEKEHIYYRLAQLLIILVLILLIIGLLEFTNFKFVDIFSSMMALIPTGWGLILLAQVFRPSLQHTGTWRIVVSLARAYDIMFGVIVLTPVAILSWLPGFQSMQTRILFNDAFSRGLQIFKIVSGKKTTLDL >KZM84037 pep chromosome:ASM162521v1:8:5791673:5797190:1 gene:DCAR_028541 transcript:KZM84037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSFTQDGTVDLHGRPVLAAKTGKWKACSFLVGYEAFERMAFYAVASNLVVYLTTQFHEETVPSVRNVNNWSGSVWITPILGAYIADSYLGRFWTFTISSLIYVVGMVLLTIAASVKYLKPTCENGVCNKASTSQIAFFYSALYIIAVGAGGTKPNISTFGADQFDDYDPYEKKLKGSFFNWWMFSSFVGALMATVGLVYIQENLGWGLGYGIPTVGLLISLLIFYTGTPLYRHKVRKSSSPTGDLFRVAVSAFANRKLELPINSSELHEFEPQHYIDTGKRQVYHTPNFRFLDKAAIRDSNNSATSGSRPPCTVTEVEGAKLVAGMIMIWLVTLIPSTIWAQINTLFVKQGTTLDRHLSPNIQIPAASLGSFVTFSMLVCVPMYDRYFVPLMRKKTGNPRGITLLQRLGIGFVIQIIAIGIAYVVEVKRIDAIKVHHILGPQEIVPMNIFWLLPQYVLLGVADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMVDKVTGSNGGKSWIGKNLNDSHLNYYYGFLLVISALNLGAFLWASSKYIYKRESVKTKDGSGNIEGVALETITLGLP >KZM85392 pep chromosome:ASM162521v1:8:25418699:25420124:1 gene:DCAR_027186 transcript:KZM85392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKEFMERSLAEVEEKSNKEDSIMSEEASCWNDSLKTQCSVSSEGMNFGVNGIKLFYFKGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWAAYKTNFFPNTSGVSSLEKTAHDDFKKLASAIYLQIATLIAVYANWNFAAIKGIGWGDGLV >KZM85640 pep chromosome:ASM162521v1:8:27468629:27470322:1 gene:DCAR_026938 transcript:KZM85640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQKMVKFEENYDIISQLPVDLLSKLVSLLPLKEALKTSTLSKSRETIWTTHTDIVCDISIVLGLLRDESGNITLNVVREEHRNQFIERVGRLMQQRLMGPNMRSIVISFPVSRKDGPHVARWVGDAVMKGVKTIILNLHGGSGIVSFPFSILRATGQASKVSANLTDKQLDVILSKCFFLESLVLRKCCKLARFKLTSRNPRLKFLEIKSCLKLKNIQLYAESLEILEFDGLLDHFSCDHVPNLVQLFACVSGEKFVQFSTYALSRIAVDAPLLQTLNLQVHRVLPLPKGVFTFSNIKYLVLNLNPCDSEDELDWIRYILKAFPFLSRLQLDFSLVVPRNAGSTNQSHRGLAEVSHENLRELEVTGYYGGPGQVEAVKHLVDRAFKLDLLVISSPEKLYVGSREFIRVDLPNDNKSSSEKIEELRPVLPNSLRVDYSNL >KZM85938 pep chromosome:ASM162521v1:8:30094521:30101409:1 gene:DCAR_026640 transcript:KZM85938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKIATNFDAIQSRISEPRSLNLSSVDVNEELVKQCGEELEGKLDEIMVCYSDISSLSVDDLDSCLEHLKGELSTVEAENSSISTEIEDLKRTYLEDSCQLESDLEVLEYSGLEQAKAGANLDCEANENQMSLLCADDSSQFKILELSNQIEKNKSILKSLEDLDSVLNRFDAVEKIEDALTGLKVIEINKNSIKLSLTTYAPYLENLFSQLKIEDVIHPYEQTYELLVELVDGTMELKNAEIFPSDVYINETVDAAKSLRKVFSPLPLLETRSPLEWFIRKVQERIVLSILRRIVVKSANKSRHSIEYIERDDSIVAHMVGGVDAFIKVSHSWPLQGSPLKLISLKNSSPHSKEISLSFLCKVEEAANSLDKEIQHSISTFVDAIEEILVQRMRAELHSLDNTTVN >KZM86087 pep chromosome:ASM162521v1:8:31278529:31281065:-1 gene:DCAR_026491 transcript:KZM86087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTDTFQYRKIPDQSVNALVTSSPRSFQRQQRHCFGDATPGEFPLSANPSIVLHVLTGCNLDPQDLAKLEARTLFLFKKATCSFFRQPADFAPDNELSLAELAALDMCHKRAIFKPMSEDERQALKHKCGGSWKLVLRFFIAGEACTRREKSQAIAGPGHSIAVTSKGTVYSFGSNSSGQLGHGTMEEDWRPRPIRSLQGIRIIQAAAGAGRTMLISDAGQVYAIGKDSFGEAEYGAQGINVVNTPQLVDSLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNENKIGHQTEPNDLDPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGTRTDEKLPRLIEQFRTLNIQPAVVAAGAWHAAVVGSDGRVCTWGWGRYGCLGHGNEDCESVPKVVESLSKVKAVHVATGDYTTFVVSDEGNVYSFGCGESSSLGHNTAAADEQGNRHTNVLSPEMVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLFAFGAGDKGQLGVELVSNQAERANPERVDVDLS >KZM83900 pep chromosome:ASM162521v1:8:2962117:2965107:-1 gene:DCAR_028678 transcript:KZM83900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTAMGNATQASTATDENILQWVKEDKRRMLHVVYRVGDMDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVVELTYNYGVDKYDIGSGFGHFGIAVDDVAKTVELVKAKGGTVTREPGPVKGGKTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNAEYKYTIAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYRTAEAIKLCGGKITREPGPLPGISTKITACLDPDGWKSVFVDNLDFLKELE >KZM83738 pep chromosome:ASM162521v1:8:931451:933619:-1 gene:DCAR_028840 transcript:KZM83738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFSSFIKVPAGERESPYTVEDDAAPPPEILSEECYALREWRRGNAIRLQEKENEEKEMLKGIIVEADEYKAEFIRKWKARCENNRVANREKEKLNLSSQKKFHTGKIVNLPEGGWNCWKEIAEQIPTEIPNIEKKGKKDKAEKPRIAVIQGPKPGKPTELSRMRQILLKLKHNPPHPRLIALTPSCRPPGRAVLQFIVKW >KZM84612 pep chromosome:ASM162521v1:8:16803969:16805914:1 gene:DCAR_027966 transcript:KZM84612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMKDSNGNHAVIAFSVADDDMHKPSDDSVADETCFVTLPFLQKSRGFRGVRVAMVWGLAVTVMVYTIGHISGAHINPAVTIAFASVNRFPWKEVPAYVAAQILAATLASGSHRLLFQGSENKYPGAFPSGSDLQSFVMEFIVTFFLMFVISGVATDNRAVGELAGLAIGATVLLNVMIAAPITGASMNPARTLGPVFLASRFDKVWIYLLGPIFGAIAGAWAYNIIRFTNKPLREITKTASFLKK >KZM85239 pep chromosome:ASM162521v1:8:23998895:23999307:-1 gene:DCAR_027339 transcript:KZM85239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEDCAMLAKCPTRVRVGRPVRSQPMMKNVNEGKGLFAPAVVVVRNIVGKKQFNQLRGKAIALHSQVINEFCKSIGADQKQRQGLIRLAKKNGERLGFLA >KZM85636 pep chromosome:ASM162521v1:8:27433832:27434005:1 gene:DCAR_026942 transcript:KZM85636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAGGPMAAGLAAEFLMVAGFQLAPLEPGNQEEEIHDFVYEDDLDVEDGAVVMQV >KZM85432 pep chromosome:ASM162521v1:8:25712458:25716692:-1 gene:DCAR_027146 transcript:KZM85432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATKENAISVETYRNSMQPRNHKKRFFIDLNRATQETHYEDVHVLDSFPFPNRNPRRKITLNSTFEAGESSNTQASTLFVCEICVDEKSFNEAFSINGCTHSYCTNCIVTYIASKLQDNITQILCPVPGCRGLLEPEDCRLILPLEVFDRWGKALCEAVIFDWEKFYCPYKDCSAMLIDDGMVATLSNCQYCERDFCANCRVPWHLGVECLEFQSLSKDEREAEDLLLMQLAKNRRWKRCPGCKIYIDKYMGCSAVRCRLGLAAEGDCIGAGLYKSMGRLVRRFAKLKRFVRQQRGKLYIMRECISMLLCWDKYSEETTI >KZM85936 pep chromosome:ASM162521v1:8:30064674:30067177:-1 gene:DCAR_026642 transcript:KZM85936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTINYDVISSSSPKLRPDPFHLSSFKPHLPPTHVSFPTRVGSTRLRASRSENSVTAKQSHMSFYELLGIPETGSLVDIKQAYKQLARKYHPDVSPPGLVQEYTERFIRVQEAYETLSDPGRRAMYDEHMAKGIHFAFSARKNYQNSENMEDRREWKNRWQSQLSELKRRSMYREARGTMSWGERVRQQNNESAS >KZM84971 pep chromosome:ASM162521v1:8:21250224:21254356:1 gene:DCAR_027607 transcript:KZM84971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKNRQEYGFRGYQIPAIPRGPRSLRRRAMFKKPADDGEICAFELLATVAGKLLQESESSTSSNAAELKEQIKIHNDGIKKEHLEGSVIRSECLDQGSCIESVQVPDFPVIDRNSKSTVQEVPHPESVYVHEHAAAVPSSEFLVKGKCSVKSEKHRSNDAPGSILSKSNGTLCEGKLYDSDLEKEPRRQTEVVKKQRGPLDMAKASPLKNPTEFYVNNTNMLINSENSVDLPLYRAQDLDAPFPGYRSGVKIVNRDDDENYFRSNVLNTKARAFRPQSRMGYRRMRKLQTSRYLKAYPKLKDYELSNISRGARAFYHKRKTVYAQERCQYDLQAKRRRLFGHRSDQEASSESISSFRDKALRGNKSGMPAIWNRATGVSSSGSGHKASVQSKDPRVNFRIKSFKVPELYIEVPETATIGSLKRTVMDAVTSILGGGIRVGVVLQGKKVKDDNRTLQQSGISQNEDLESLGFTLEPSFVEACSPSAQKGSPLLLPYDANQELSRTPAPILDSGFSKSIDQTPLTKLDNHVESNHETAPSVTDVSTDGTATDSKALVAIPPINAEALAMVPMSQKTKRSDLSQRRIRRPFSVSEVEALVEAVETLGTGRWRDVKMRAFDDANHRTYVDLKDKWKTLVHTASIAPQQRRGEPVPQELLDRVLAAHAYWSQHQSKHGKHPQMEPFKLAGEVGV >KZM85818 pep chromosome:ASM162521v1:8:28943754:28946829:-1 gene:DCAR_026760 transcript:KZM85818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDHRGDIKTDHSLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKFDDLSEQSFYMVGGIEEVMAKAEKIAQENAASAS >KZM85730 pep chromosome:ASM162521v1:8:28142656:28155365:-1 gene:DCAR_026848 transcript:KZM85730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEGVCAKDERITKLSDLVFSWSLEEIFDESLYSNQVEIIPTTFSSAEQYLGSYVYPLLEETRKEMASSLEIMHSAPYAEVAYFDEAKPYGTLTYHVKVDRWENTFGDRGKESYKTLPGDILLLSYAKPESLSDMRHLGRMWAFGSVINISDDDEEDSSNSSHFKIEVSKDLEFKDGGKSLFVVFLMNITTNNRIWKALQMLRNLNIINKVLCIKGEVEEICRVCCEHNSRKLTDTYAPSVSDLNNSQAEAVRNALFKMKCDHMPSVELIWGPPGTGKTKTVSVLLSNLLKLNLRTLLCAPSNIAVTEVAARLLKLLESNKAEYQEDYANHSYGDILLFGNKSRLKVSSDSENIYLDYRVDRLAECLGPLTGWKHCLISMVNLLEDCVEQYKVYVENGLISVKQNLKDESNELEFKSFLEYMRARFEHTVSTVRQCLLILCTHLPISFVGESTYQDMVTLTRYLDSLETTLFHKNMVSELLERVFSDLELGKDSPRSCVDMSMLRRLRTNCISVGKSLYCSLGKLKLPSAINRGSIMEFCFQRASIILCTVSSSFKLHTVDMEPLKVLVIDEAAQLKECESVIPLQLKGVKHAILIGDECQLPATVKSTISDDAGFGRSLFERLSLLGHSKHLLNMQYRMHPSISFFPNLKFYRNQILNAQNVQDKSYMKNYLPGPMFGPYSFINIVGGKEEMDDVGHSRRNMVEVAVVAKIVQDLYKAWTGSRKKISVGVVSPYAAQVVAIEDKIREKYEKIDGFSVKIKSVDGFQGGEEDIIIISTVRSNSNGSIGFIRSPQRTNVALTRARHSLWILGNERTLTSDESIWKSLVHDAKNRNCFFNADENKNLAKSIVDMKKELDQLDDLLNMASVLFRSARWKVIFSDNFRKSFGKLSQRMKKSVLNLLLKLSGGWRPKNRNVDLVCESSPQILKKFKVEGLYVVSTIDIVKESNYKQVLKIWDVLSVEELPKLVKRLDSIFFMYTDEFINHCKEKLLDGNLEIPKCWGPSCEIVRYKINSDDGLVNKSQSNSIQGRSYAENSRVNDSLLLMKFYPLSSVVVNHLLSDHEGKNLDLPFEVTDEEMEIILYEKSSFILGRSGTGKTTVLTMKLYQREQCHYIASHGYCMDESGNAMDLNQQVKPNDCKEESKELVLRQLFVTVSPKLCYAVKQHVSQLKSFARGGKFSEDQLNDKDGMDDASEFKEIPDSFNDIPADSYPLVLTYQKFLMMLDGTLGNSYFERFNDARQLCHDRYMGSSSVAFQAFVRMKEVNYDKFYSCYWPHFSIKLTKKLDASRVFTEIISYIKGGLVAVEAFDCKLGRDEYLHIADSRVSTLSRQAREKIYDIYKDYEKMKLERGEYDLADFVIDLHRRLRNENLEGQKMDFVYIDEVQDLTMSQISLFKYVCENVEEGFVFSGDTAQTIARGIDFRFQDIRSLFYKVFMKSGSDGSVEKKEKGRLSEIFNLSQNFRTHDAVLQLAQSVITLIYRFFPNFIDVLEPETCLISGEAPVLLEPGNDENAIVTIFGKIGSVDRKIVGFGAEQVILVRDDSVRKDISSFVGKQALILTILECKGLEFQDVLLYNFFGSSPLKNQWRVVYEYMKEQDLLNDTSLSFPSFDTARHNLLSSELKQLYVAITRTRQRLWISESVEDLSKPMFDYWKRKGLVQVRKLDDSLAQAMQVASSSEEWKSRGKKLYFEHNYEMATVCFERAGDATWEKIAKASGLKANAGRIRELNPKLACTYLREAAEIFDSIGKAESAARCYCELGEFERAGRIYLDKCGESELKKAAECFNLAGSYAMAAEVYAKGSYFSECLSVCTKAKLFDVGLQYIEYWKQHKLKDNGVTRSKDMENIEQEFLEGCARNFHELKDNKNMMKFVRAFYSMDLRRSFLNSVECLNELMLLEEESGNFFEAAEIARMLGDLLHEADIQGKSGQFSEASSVILWYICCNSLWMNRSKGWPLKQFTKKEDLFCKAKEYAKKESELLYELVCSEINILSHEQSRLVDLGRNFKESKKYKSVRGEIISLRKILDAHFNMNATKYVCEDELPLHNSSHSEEIISENKVSVTSLVYFWSLWKENIEKLFEYLGYLESKEFGKISGHGEFCLNYFGVRSHQSNLKTTFVVLVPGADWLRKLDERALQKKRNLTFVNCQQFVSAAQCYWQSELLSVGMKLLQTLKLLFNLTTGNGSSLFVQSVCLIYMFEVAKSLLNCKFLNCKSHDSRALLNFVDLSTKYIQNVFPLDWRRPISETFVCLRETDLSRKLLEEAIVRDISNNGELTYREIGNVVMMWLGTARPKELCGKIINRFEGNESWMTFLNVDVNIQEKSDLESPLEVARKELTRVYSFYKALEDTYGANWRGINYISPNCFLYLMDRLLFMVSRFEHFFITTRSSFVEWILCQHTNTYPCSALVPNSQLPSSAEIYDFLASMVHNLLNNKFDTGQWIDRSKLNYSFYYPLLVLKLFVILCLLCLYSKKYNGLLISLLRRDDVTCMLPKDLLNVLRQSKKRHCIRLAPKLLSEAFSKIGDPLVTVSFGKKYPEEYRVAICVDLEAVHCREDIIRVLFPRITQEPVKGALNTSGMEKHLSGGDAIRLSSKNLSSDVPSADLNPNGLSLKMNWGVFDDMIDALKTKGSRKAESFINFMSKAAKSKVEIDSNIEFLTAVITQFSENPDDCNNGSAFSEANKMLDELRQLSSAFNTSDKTSRDKKHHHNFQTLGELLNSLQSKRPKLEIFLDQSPMWKSTLPEDAADSNDIIKSQDSSKQRNEEAVKPIDKGVDSLSNIVSSGTQNASTNQKTGGKKGKANNKSKKKNKRR >KZM85001 pep chromosome:ASM162521v1:8:21572224:21573586:-1 gene:DCAR_027577 transcript:KZM85001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEMRYPVDFLKALIFFPKGSLPQKLFLPRGFVDRFGGLLPEIVNIKTMDEQRFSIKFSAEDGCFFDMHALLSKLLPKECQFFYFKYLGGPNFEVFLLDVKYDLHGISGIASPFQCMFIRIYPNLSSKWEPLRLSEEFISKYGSQIPREIRFQVSDGSFLGGIYDKGDGTITGLQPVYKFYNLSFFEPLVFTYLGVDLFVVNAFGKDCMPKNVNSDSGDFFEVELKPSHLLEYDFGVTIPAKFKSLLSKIDCNGFIKIKHGNDSWNVLLKKRPNRVELHTGWTLLWKSLRLLPGDICVFRRAGSNFKFNCEVYRHHV >KZM83742 pep chromosome:ASM162521v1:8:1061115:1061871:1 gene:DCAR_028836 transcript:KZM83742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQCRNYLSTVDCLACFDAGVTQIRRKCDATMDGAHFIYQGCFLRYGIYNFYKEITFESPVLVCSYNHSVAEPTAFNSIAQELLTEIAAATPKIKNYFAAAKRQAFSSSTAPTVYAAAQCLETISPSDCRNCLARVYTDLQTCLPQPGGSSVEPGCFLRYSDRSFFADSNITNITPYTGGGNT >KZM84776 pep chromosome:ASM162521v1:8:19058790:19060144:1 gene:DCAR_027802 transcript:KZM84776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFSHVPPGFRFHPTDEELVDYYLKKKVASKRIDLDVIKDVDLYRIEPWDLEELCKLGTEDQNDWYFFSHKDKKYPTGTRTNRATKQGFWKATGRDKAIYSKHSLVGMRKTLVFYKGRAPNGMKSDWIMHEYRLETDENGPPQEEGWVVCRVFKKRLTTVRKMDEHEPLCNWYDDQVSFMPDFESPRQISHEPYTAFRNHQYSCKQELDQLQYFRPHERYFQLPQLESPRVPQSAPGVSSSSVVPYAFEHSSTLTHEEQMQHSSQTQNLRSLYGSSSSNNLIHEHAVDQVTDWQVLDKFVASQLGQDNQDATTNNETTCSNDQVLDHINMLCNDLKRTDDQAASESNSLSAMSCQIDLWK >KZM83710 pep chromosome:ASM162521v1:8:684710:686767:-1 gene:DCAR_028868 transcript:KZM83710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTYTRNINEGAFAGHSVCLIETAAPAHLDPIPEYSSDDEIAAVDLIRQYSKMSDKDWTGKERITLLHAWVSENLYDVALQLLEVEHHKKELALTTDKNALLVLAKNPPFSDFHGDPSCVEKAVKSEFKVLAHTIETVEEQQTMLGCGETPEQVVRTMEKVGEADVDVITFENLKL >KZM85790 pep chromosome:ASM162521v1:8:28674329:28679378:-1 gene:DCAR_026788 transcript:KZM85790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPAWRKAYGALKDQTKVGLAQLNMDVAIVKATNHVECPPKERHLRKILISTSAIRPRADVAYCLHALARRLAKTHNWTGYSRTRELDSEQLLEQLPALQQLLFRLIGCRILVVILQPEGAAVNNYVIQYALALVLKESFKIYCSINDGIINLIDKFFEMARHEAIKALDIYKRAGQQASSLSEFYEVCKGLELARNFQFPVLREPPQSFLVTMEEYIREAPRMVNVPAVPLEFPERLQLTYKPEGDDASPSEGTPSPNNESKPPGLDIVAVSSVEESSPITAPPPANNFDSEDLLGFNATSQNTTPIASAIEESNALALAIVPSGNTSAPLQTKDFDPTGWELALVNTPSSDISSVQDRQLGGGLDSLTLNSLYDEGAYRASQQPVYGSPAPNPFESSDPFAISNNTAPAAVQMSAVPQYQANPFGPYQQPTYSHPQQQPDLMMGQQNPFLDAGFGAFPVNNGAHQPTSNPFGSTGLL >KZM83706 pep chromosome:ASM162521v1:8:646910:649808:-1 gene:DCAR_028872 transcript:KZM83706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGAYQAHLPAVPSWLNKGDNAWQLTAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLLCWVLLCYRMAFGDKLLPFWGKGAPALGQKYLLGQAKVPESTQYYKNGTVETPTIEPFYPMASLVYFQFQFAAITMILLAGSVLARMNIRAWMAFVPLWLIFSYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLSSGIAGLVAAYWVGPRSKSDRERFPPNNVLLMLCGAGLLWMGWSGFNGGAPYAANNASSMAVLNTNICAATSLLVWTCLDVFYFGKPSVVGAVQGMMTGLVCITPAAGLVQAWAAIVMGILSGSVPWYTMMILHKKSILLQKVDDTLGVFHTHAVAGLLGGLLTGLLAEPDLCRLTLPVTDSRGAFYGGKGGVQFLKQLVAALFVIGWNIISTTIILLVIKVFIPLRMPDEELNIGDDAAHGEEAYALWGDGERYDPGKHGNWNGSLFTEQTTPTAYGNGIAAARGITIDL >KZM84958 pep chromosome:ASM162521v1:8:21133075:21135576:1 gene:DCAR_027620 transcript:KZM84958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSKILLDLEAEKSPAESKFLFCMNHDFPRQPKPTKYELPQKKPIITSVPKSQVLGKLKDFLPVMSDANKKLQLAAMDNAKVFDIESLEDNDSAHIEMDLMLGVADLHTPEAIAAAESAINGIQPVLPFATTSNSDEDTDEYDDSESDSESTYDDEDGGENKRDSDKHDQGNDGIELACSLANTKRAKSVCKESSEDLGNAKSSKRPKIVELS >KZM84272 pep chromosome:ASM162521v1:8:11429210:11430016:1 gene:DCAR_028434 transcript:KZM84272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLVFEILEKPATSCIIGLCSAIWYYIQKKNIGYAHVGLSYETAIEGHYWRIITSAFSHISVIHLVFNMSALWSLGVVESLEHMGLGFEFYLQYTLVLVLLSGLLVLGSYHILINKFKLEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLNLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGILVGYSIAWGLIHGMNNYWAISILGWTALVFVLSLKKSGTFELDFLEIESVTDPSVRFLAAGNGRTLRMSASNAADSEIV >KZM85685 pep chromosome:ASM162521v1:8:27861284:27862519:-1 gene:DCAR_026893 transcript:KZM85685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWKQSSRVFKDQPSLWLTSLRRKSTLRNPDIEVAVIKATNHDEFSLDYKSSTRVFAWVRISPAYVKPLVWAITSRVEKTRSWIVALKGLMLMHGVFCCKVVAVERIGRLPFDLANFTDRNSNNNDVISGYNQFIRAYYTFLDQKSSYIFLHAQEKRKSIGLHKIGDDRDPKLVSNPPSMKQDLVSLQSMQGLLDSLLRIRPETREMSSYKLMSPLIIEAMDCIVIEIFDVYSRICRAIAMVLNRIDAAGTAEAALLLKIMKVAVSQSDELSAYLEFCQNIGVPNAEDCPKLKPIPEEDIKEVENLLAQRIAAQYFLDQGNCTSLVVADQTQDDIECHEPGQENQKALVVAETDNVDEKDESDLKTVITSKWQVFEDDYSSTNSSVTPYDEPWLALEPPPRELPDLISFD >KZM85178 pep chromosome:ASM162521v1:8:23473821:23474830:1 gene:DCAR_027400 transcript:KZM85178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDMNSCNKDISFFSSNFKLQTATSHQDDHNQPHQPSLNQNLLSPQEFHGSLRLYLIVSEVYPLLFTHYIFDIGAGIGSFLGKRSLSFGGRVPQHEADCHEESERNRIMNSGNHTEDLSDDEDGLSPAGGEKKRRLNSEQVKMLEKNFELGNKLEPDRKLHLAKLLGLPPRQIAIWFQNRRVRWKTKQLENDYQLLKRRIDAIKAENSTLQSQNQRLHDQILALKKLDPAELINLNKKTDGSCSNTSENSSDINLDISRRTAPARPQIRPQEVDHYHHHQPQTVKEEGFSNLLCGIDDQAEFWPWLE >KZM84654 pep chromosome:ASM162521v1:8:17365986:17366180:1 gene:DCAR_027924 transcript:KZM84654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKLASVLTVVFMVLVACSSVGVTAALDVGAPAPSPTIESAGTALFVPAALAALASVVACFA >KZM83672 pep chromosome:ASM162521v1:8:333027:334380:1 gene:DCAR_028906 transcript:KZM83672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNQSVPNFRVALSVEEMKGSYSCSLDVFLGDIKGPKEQVGWRSGTSGQGVERLNLLRTGNLVLVDQLNLVKWQTFNFPTNIMLWGQRLNVQTRLTAFPTNSSSFFSFEIKSDKIALYLNSGKWKYSYWKIKPANNQNFSFVGLTSKGLEIFGDEFKRIAQLKSERTEPLRFLALENRTGDMRLYYFSPEKGKFESSFQAINKKCDLPLACKPSGICTFSDECSCIRLITSGDNFASDCSTGISNGFCGRNDVEMFELQGVSNVLESSDVTVHISEQVCANLCIDDCECEAALYVINSKECHLYGEIRGVKQTEKRHETKYMIKILKKNGKGHGETSGLKKWVLIVVGFADGLVIFVALGGLIYYVIWKRRNSPETVNNSS >KZM85385 pep chromosome:ASM162521v1:8:25288725:25296793:1 gene:DCAR_027193 transcript:KZM85385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENITTPVSNSGLSGKHQQELENLRLTTQPFKTLKFFILAVVQYLKRLLQYLLGHGSWLIPMGVVLVAGGILLLNTDGPHEKHIEELFQYLQFGLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTLKAMQCSRVDIKSAPYDTIQLKRGPSWLAKNCSEFGPPMFSSSHGPHIPLTSILPQVQLEAILWGIGTALGELPPYFISGAASLSGGKLDAMEELGTSSSQDDGWIATRLNQLKCWFLSHAQYLNFFTVLVLASVPNPLFDLAGIMCGQFGIPFWEFFLATLVGKAIIKTHLQTIFIISVCNNQLLNWIENELIWVFSFVPGFESILPNLVAKLHAMKDKYMATPSHVTSNLKVKKWDLSLASIWNTVVLLMLVNFLVQIVTATAQRYLKKQQEKDLAALNHNSKAAGTSNDSE >KZM83806 pep chromosome:ASM162521v1:8:1769523:1776170:-1 gene:DCAR_028772 transcript:KZM83806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSSSSRGRVVGDYLVGRQIGSGSFSTVWHARHKILGTEVAIKEIATARLNKKLEESLMSEIDILRNVNHPNIIRLYDMIQEPGKIHIVLEYCKGGDLSMFINRRQERIPEATAKHFMLQLASGLKVLRDNNVIHRDLKPQNLLISSNDDNAVLKIADFGFARSLQPRNLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGSNQIQLLQNIVRATELRFPSDVTDLSSECVDLCRKLLRRNPVERLTFEEFFNHPFLSQKQTDEVTRSMRSMRIDGFPFSESNPDRSTDESSQEDCLPFTLDDDSSGPDGSPSRVRRSPLRSTYGFSVDKRNDRREVLNAPNKQDIFSQHNSGGHKGEISGFRYSNRRPSEGSLKESLQSVAHAAVNVRSKVVDSLELIDQDYVLVSGPPVDIPSPSTSAYRPGRLPSNAGSPPLTSRDVIKSTSSAPVPIMGALVSKEGRIGSLESQSSPPSGTSLGSLDMGDASEQPSTDCLTRIKSLQHCASTITELVNEKVEAGKHLEAFSLQLVILAICKQALHICHTQAASAIDGSPNQEIKDHANPDIQKQVDTANSQRQEDICSKIERDFLQEVGNAEELAKFIEPGNTEMPDAMEAIFQSALALGRNGAVDEYMGHMENAANLYSKAVQLLVFLLVEGPSLIINPPFSLTNSDRYRLRTYVDVLNNRQSHSKSQRMALLKCEDQNCPP >KZM85495 pep chromosome:ASM162521v1:8:26291672:26292022:-1 gene:DCAR_027083 transcript:KZM85495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSGDSASNNDQSVPEQDLLLPIANVGRIMKQNLPANAKVSKESKETMQECVSEFICFVTEEASERCRREKRKILSGDDICGAMQTLGFDNYAGTMKRYLEKYRQSEGGRANQE >KZM85436 pep chromosome:ASM162521v1:8:25742051:25745073:1 gene:DCAR_027142 transcript:KZM85436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILAPSVQWQMRFTKNSTEVSSMTSKMWGSLFLKQNKKAPARSSTKYRALAVKSEDGTINRMEDLLNLDVTPYTDKIIAEIGGTGIDVRSKSRTISKPVEHPSELPKWNYDGSSTGQAPGDDSEVILYPQAIFKDPFRGGNNILVICDTYTPQGEPIPTNKRHKAAQIFSDAKVLGEVPWFGIEQEYTLMQQDVNWPLGWPVGGYPGPQGPYYCAAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQRITEQAGVVLTLDPKPIDGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDSFSWGVADRGCSIRVGRDTEKEGKGYLEDRRPASNMDPYVVTGLLAETTLLWEPTLEAEALAAQKLSLNV >KZM84584 pep chromosome:ASM162521v1:8:16552635:16553063:1 gene:DCAR_027994 transcript:KZM84584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQCSCGNWAVEKTSWTEYNPGRRFLTCVNGRCNFFRWNEPEFDACSKSVINGLLRQLKNKDDEHFAEMIKAKDEYQHFYKEELTETQNEAAIYKEKMSELKKESRQWKFVCVCYCCCTFSITGLHQLVGMKPMCKSICAM >KZM85091 pep chromosome:ASM162521v1:8:22620186:22624729:1 gene:DCAR_027487 transcript:KZM85091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIDKIRASQNDVVFSFEFFPPKTEEGVENLFEKMERMVAHNPTFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPVDKIDHALDTIKKNGIQNVLALRGDPPHGQDKFVQVKGGFSCALDLVKHMRSKYGDYFGITVAGYPEAHPDVIQSTGEATLESYKSDMAYLKKKVDAGADVIVTQLFYDTDIFLKFVNDCREIGITIPIVPGIMPINNYKGFIRMTGFCKTKIPAEVTDALESIKDNEEAVRAYGIHLGTEMCKKIMATGIKQLHLYTLNMEKSALAILTNLGLIEESKISRPLPWRRPTNVFRVKENVRPIFWANRPKSYISRTIGWEQYPNGRWGDSCNASYGAMADHQFMRPRSRDKKLNEEWAVPLKSIEDVYERFNLFCLGKLKSSPWSELDGLQPETKIINEQLGSINSKGFLTINSQPAVNGAKSDSLSVGWGGPGGYVYQKPYVEFFCSSQKLHALVEKCKAFTSLTYMAVDKKGSWVSNIGNSEVNAVTWGVFPSKEIIQPTVVDPSSFLVWKDEAFELWSKAWAQLYSEEDPSRKLVEEVQNSYFLVSLVDNDYINGDIFAVFKEILKKFSVHG >KZM84611 pep chromosome:ASM162521v1:8:16783436:16786476:-1 gene:DCAR_027967 transcript:KZM84611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYQIYKEKVDISRVPRIFLEYDDGLGEEGEEEDGVYLFPGNDVELLDMTPRPMMLPRVVGISKPSEIYLVDVKADSCTVEECLELLKRIGCDNKFITNSVESARLFYAELNEDEAVKLKGLNEIDEVYRRRDLLFDL >KZM85517 pep chromosome:ASM162521v1:8:26457455:26457947:1 gene:DCAR_027061 transcript:KZM85517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVEAQLGYPVSYDITGKLYCTLNGSIGTNGTATPVFPGALVQVVCVNTTNPLLTGTTLADGRFTLQTPNPIPPNCTLVVPTPLSTCNSSLPATGGLISALRSVGSIFIRLYAKHYVYIPEGFSYVPDLP >KZM85388 pep chromosome:ASM162521v1:8:25336493:25337098:1 gene:DCAR_027190 transcript:KZM85388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGLAPTGGKEFDAKITPIVILSSIMVATGGLMFGYDVGVSGGVTAMPDFLKKFFPVVYNRIQEKGLDSNYCKYNNEALQLFTSSLYLSALLATFLASHTTRNSGRKRTMLMAGIFFMIGVVFNATAINLSMLIFGRLALGCGVGFANQVTKIYWLHLDKKTFI >KZM84513 pep chromosome:ASM162521v1:8:15546125:15547933:-1 gene:DCAR_028065 transcript:KZM84513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFKNSLPGHGFVFLFVPFSGIQGASASQHMGFLNRTYNDGDPGNHIFGIEFDVFKNQEFSDIDDNHVGIDVSSLTSAYSESAGYWSNDDQDEFKRFRLNDGRDYQVWIDYEDGILNVTVAPVGVIRPRKPLISYAYDLSRVFVDEMYVGFTASTGILVQSHKILSWSFSNSNFSLWKELVTEGLPSFELPKEPIWKSKRFIVGITLGVLFVVGVCYVVGARLTERRRQMLKERAEMEDWELEYWPHRIPYQEIDAATKGFSDENVIGIGGNGKVYKGVLAGGVEVAVKRISHANGDGVKEFLAEVSSLGRLKHKTLVALRGWCRKERGSLILVYDYMENGSLDKRVFECAENEMLSCENRIRVLKDVASGVLYLHEGWEKTVLHRDIKASNVLLDKDMNGRLGDFGLARIHGNGQVASTTRVVGTVGYLPPEVIKNGRVSTLSDVFGFGVLILEVMCGRRPLEEGKPPLVEWVWKLMEKGELLNALDPRLRARGGYDADEVELVLNLGLLCVYPDVQGRPKMRKVVQVLEGQNEIEEAEGEEMNMYLLKNFKAKDFWSNYSQSLSDESHSQSHPTLDQVRDGISWSAVSLSWSDFIKEGR >KZM84013 pep chromosome:ASM162521v1:8:5365286:5365934:-1 gene:DCAR_028565 transcript:KZM84013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLGEIILYDTCFQGVIPQEVDGLFRLKVVRLGRNALEGNIPHTLEQAKRTKKMAAYSRSTTLSSVTVPYIHLHKATNGFSSTNLVGAGGFGSVYQGTSRHQYISSPSRKE >KZM84965 pep chromosome:ASM162521v1:8:21174761:21177110:-1 gene:DCAR_027613 transcript:KZM84965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQKLEVRIKILFTSLCHTDIYFWEAKGQNPVFPRIFGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMLNDGKSRFSINGKPILHFVGTSTFSEYTVIHVGCVAKINPLAPLDKVCVLSCGISTGLGSTLNVAKPKKGSTVAVFGLGAVGLAAAEGARIAGASRIIGIDLNPNRFESAKKFGVTEFVNPKDHQKPVQEVIAEMTNGGVDRSIECTGHVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLNERTLKGSFFGNYKPRTDLPSVVEKYMNKELELEKFITHEVPFAEINKAFDLMLKGEGLRCIIRM >KZM83841 pep chromosome:ASM162521v1:8:2043385:2068359:-1 gene:DCAR_028737 transcript:KZM83841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVNRHVASESSSSSGGANVASSSGGNVSLNQPVLEEPEYYSRYMVVKHSWRGRYKRILCLSSSRIVTLDPSTLAVTNSYDVGGDYEGAAPIIGRDDNNSFEFSISVRTDGRGKFKAMKFSSKYRASIMTELHRLRWNRIGPIAEFPVLHLRRRKGDWVPLKMKVTYVGVELFDMNSGDLRWCLDFRDMSTPSIVILANGSFVLCPLYGRKSKAFQAASGTSNSAIVSNLTKTAKSIVGVSLSVDNSKSLTVVEYVNQRAREAVGAEETPYGGWSVTRLRSAAHGTLNTPGLSLVVGPKGGLGEQGDAVSRQFVLTKVSLVERRPENYEVVIVRPLAAVSSLVRFAEEPQMFAIEFNDGCPVHVYACTSRDSLLAAVRDLLQTEGQCPIPVLPRLTMPGHRIDPPCGRVHLQANHSPAAQQRINTDAETATMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACISYSGVPPNIEVPEVTLMALITMLPAAPNLPPESPPLPAPSPKASATVTGFVACLHRLLASRAAASHVMSFPAAVGRIMGLLRSGSEPVAAEAAELIAVLIGGGPGDTNMLTDTKGEQHATFMHTKSVLFAHQSYLTIIVNRLKPMSVSPLLSMSIVEVLEAMICEPHGETTQYTVFVELLRLVAGLKRRLFALFGHPSESVRETVALIMRTIAEEDAIAAESMRDAALRDGAILRHLMHAFFLRTGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLYTRPEELPAEEVLKQEGSSMSRRRRRLLEQKKSHIAKASSSQGQSLSSNNNNFEGGDPARLASPRTFKGSDNFQGSGIDPSSGQPPPPIQSSAVYRGDTLLNQSSSTGASKNEHLTSVASPNAVSSNTYQAAEPNAQHLVDSDANSVGYYTSDSPAPAQVVVENTPVGSGRLLLNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGGASVEVMAGEESVAQISWNYTEFSVSYSSLSKEVCVGQYYLRLLLETGSNGRAQDFPLRDPVAFFRALYHRFLCDADTGLTVDGAVPDEMGASDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHYKTIGPFEGTAHVTVLLDRTDDRALRNRLILFLKVLMKVLSNVEACVRVGGCVLAVDFLTMVHEASERTAIPLQSNLIAASAFMEPLKEWMFLDKNGAQVGPVEKDAIRRFWSRKEIDWTTRCWASGMPEWKRLRDIRELRWALAIRVPVLTPVQVGESALSILHSMVSAHSDIDDAGEIVTPTPRVKRILSSSRCIPHIAQAMLSGEPTIVEVAAALLKAVVTRNPKAMIRLYSTGAFYFALAYPGSNLVSIAQLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMISDSDTPEIIWTHKMRAEHLICQVLQHLGDYPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKLLEISLEDVTRDDADRKSPREMADDIPNISKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQQWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDEEDTNFLSSERAPLLVAASELIWLTCASSSLNGEELVRDGGIQLLGTLLSRCMCVVQPSTPASEPSTIIVTNVMRTFSGLSHFESARFEMLEFSGLINDIVHCTELELAPAAVDAALQAIAHLSVSSELQNALLKAGVLWYLLPLLLQYDSTAEESDMAEAHGVGISVQAAKNLHAMRASQAFSRLSGMAVDDSPTPYNQDAADALKALLTPKLASMLKDLSPKELLSKLNSNLETPEIIWNSSTRSELLKFVEEQRASQDPDGSYNVKESHTFLYEVLSKELYVGNVYLRVYNDQPDFEVSEPETFCVSLVDFLSRLVRSPHDAASDVRISGSFLETSEHKHDADNEPHKEQSSEDSVTSDVKLVGKDYDLFKNLQFGLTSLQNLLTSNPNLASVFSARDKLLPIFECFSVPVASESSIPQLCLRVLSCLTTHGPCLEAMVADGSSLLLLLQMLHSAPNCREGVLHVLYALASTPELAWSAAKHGGVVYILELLLPLQEEIPLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGIVSVIRDGPGEAVVIALEKTTETPELVWTPAMATSLSAQIATMASDLYREQMKGRVVDWDVPEPASGQQDMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAAAHYDIQAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAVAYEGRRETMAKGEIKNGGDADGTYETEDTSAQSSSQTPQERVRLSCLRVLHQLAASTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVDVLLGLLDWRAGGRNGLCSQMKWSESEASIGRVLAIEVLHAFATEGIHCSKVRDILDSSDWYEFINVLAAMDKVWAAYKDQKHDLFLPSNAQLAAVGVAGLIEQSSSRLTYALTAPPPQSSNINSPPPIGSESNGKHDFL >KZM84049 pep chromosome:ASM162521v1:8:5883805:5890384:-1 gene:DCAR_028529 transcript:KZM84049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASTPRTPASKMRRMPCNTPGGPKVSEEKILVTVRLRPLSHKEQAAYDLIAWECKDEKTIVSRNLYHDRHTGPYTFDKVFDPVCSTQKVYEDGARDVALSALNGINSTIFAYGQTSSGKTFTMRGITENAVKDIYEHIKNTMEKEFVLKLSALEIYNETVVDLLNRDSGSLRLLDDPEKGTIVEKLTEEVVTDDQHLRHLIDICEAQRQVGETSLNDKSSRSHQIIRLTIESTLRETSGRVRSFLASLSLVDLAGSERASQTNADGTRLKEGSHINRSLLTLTTVIRKLSGGKRSGHIPYRDSKLTRILQSSLGGNARTAIICTMSPALSHVEQSRNTLLFATSAKEVTNSAQVNMVVADKQLVKHLQKEVARLEAELQSPEPASSLHIRSLLMEKDRQIQQMEIEMNELKRQRDLAESQLEQERSTHKEQKGSVEYGPSRQVAKRLSYTTNNDSTIDKHLTETLVRKTRGRQSMLRQSITSTDPSMLVHEIRKLEMLQRQLGEEATQALELLHKEVASNKVGSQETTETIAKLLSEIKDMHTVTSVPLEVEIKDKASLKEELTRLNSEESNIASLEEKLENVQKSIDRLVMCFSSGEETPDCKTQSKKKKILPFTLSSTPNMPHIIRSPCSLSSRKILEYETENRAPESNDSICSSDAIHRLKVTPRKSHEECHRTPSSRMLSREATPSSRSNSVNVKKLQKLFKTAAEENIQSIKAYVTELKERVAKLQYQKQLLVCQVLEMEANEAASNESDLVDQSPIAWHLGFEEQRKQIIMLWHLCHVSIIHRTQFYMLFKGDPADQIYIEVELRRLTWLEQHLAALGNASPALLSDEAAGSVVSSIKALKQEREYLAKRVSTKLSAEEREMLYLKWDVPLESRQKRRLQLVNKLWTDPLNMQHVRESAEIVAKLVGFCEAGDHVSKEMFQLNFVPPSDKKTWMGWNLISNLLHL >KZM83901 pep chromosome:ASM162521v1:8:2969325:2969657:-1 gene:DCAR_028677 transcript:KZM83901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFGAIKFQNANAPVEISSIVPQILILKDNPAWNYSIRFVYSLTGFQLAPFAPENQEPEIHDFVYEDYLDVEDGVVVMQA >KZM84486 pep chromosome:ASM162521v1:8:15271095:15272604:1 gene:DCAR_028092 transcript:KZM84486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGLVAKKFCKRLNQTDTTSNVLSLPREFCAKYGHLLQSTVDLKVRNGYVVPVEFDMSKGILNGVLCFFKYLELKGDEWLLFQYFGRYYINVYVIGSNCSEVNYPYIVDLFKECIPQLVTIGNGGWRFMCLIQKRGSVPDEVNPPLAFLDRCGRFIPERITYLFSNGMKMKGAFCHDSQKLRGLVSMFDIAGPLIRDSVHMLLFAFDGKSQIQVSAFDEDLYEIIFPGTPLSVGDNSQPCGNVSFFEIIVEDKHMSADCFIVEISNEYRKLCNMWDNIQTINVYCGEGCWLLDIRKRDNHYCSTIVDGWQIMRDCLHLDVGDKLVFECPKQSPDQFSLRVLKNHV >KZM86101 pep chromosome:ASM162521v1:8:31357725:31360854:1 gene:DCAR_026477 transcript:KZM86101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKYMAAGRNGGYRDNEVRDRESDLDVSRRDIGYSKGENDRMKNGYRDYEKIQGRGGGSRDRGTVRQKDVKERESSNGGLYRSVSRSGSGSSGSSGGGRLRGLLVGSVDREPGELSSESGSEEATDSSRADNNRSDLKQESGMSLPAQSKKRKFSPIVWDRDEVSIVSQQSVALTPSVLPPPPPPPKSYRESPNTIVAGAVEICPIEYTKLQPSESELPVEPVVEIVSHVSVVAESLGVLSSAPQEQHTEYNEDYAPTLNIRSSRWANDANSPADEGEISDSPDVRMLKKMKKMPLAAQQEMKVRNKLISPELVELKREGSEGQRARSSESDEGARCRSSSGDFYADKDQDNADYMEMDIEHNNGSKIGRKDTDSEDECYSRDTPEPAAPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEVVALKKVKMEKEREGFPLTSLREINILLSFDHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKALMETKKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGTKQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQIDKRCICFRYNLLRKKFPATSFTGSPVLSDAGFDLLNKLLTYDPEKRITADAALNHEWFREVPLPKSKDFMPTFPAQHAQDRRTRRLLKSPDPLEEQRRKELKQRELGTGGLFG >KZM86067 pep chromosome:ASM162521v1:8:31172049:31172357:1 gene:DCAR_026511 transcript:KZM86067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDEESRGGNKKVESQYPFSVTVTSPTGSSGGLTRQGSITKNNNSACLCSPTTHAGSFRCRLHRIPSLQRTKSMDQDAPQQHHHSKPDAASNTQGSATISH >KZM85822 pep chromosome:ASM162521v1:8:28970760:28971188:1 gene:DCAR_026756 transcript:KZM85822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQNQMNDVSSDSFPILILLLIANGVGYLRSILLAILHSLNLSHDDSPQGSSSGLAEQLSLNKMFSYSYNSRTTLDGAGGADCVVCLSRLRNGDCVRRLACRHVFHKKCFDGWLDHLNFSCPLCRSSLVGDGERVGGSGGA >KZM83882 pep chromosome:ASM162521v1:8:2574726:2580089:-1 gene:DCAR_028696 transcript:KZM83882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHQPLLSSLVVRPSDSDGGGGGSDYEPGEVRPGPPPYSRSEQFADGYRVRAGSASPVRRRTTERRYSPEFDHQNGPPRSHGFGRGRDPPRYRDHSPPYGHMREGGRFNGRGLGPGTFRGDDLPRNSPNVRPREGDWVCPDPRCNNLNFARRESCNKCKRPRYDLARSPRRGYAGFPPPFARRYPGPGPALDRYPGRPMSGYRSPPRGWARSGPRDFGLGGPPNRRREGRFPDHPMRRDHPDYIEDRFRDRPRIERPMPLDWDRERERDHLLSDRKGYERRPLSPPEPPTLLPPRGHWPHDMRGRSLSPVRDVPPPRPHDVRERSRSPVRDLPLLRPHDVRERSRSPVRDLPPLKNYRRDIYMERDRDDRRTLGRDRVGDAY >KZM85602 pep chromosome:ASM162521v1:8:27053323:27057607:-1 gene:DCAR_026976 transcript:KZM85602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLAGAYFKRGDPVEVLRPNLLFSPATVLQPPGPTRRHHYFVQFQTPQNHNTTIINHNDDSDVSRREYVSVADVRPVPPVELNALFMIGEAVDGFFDDEGWRRGRIREILENSRYEIVFGEGNLVKEFDQWNVRRARVWDDGCWDPPIQLKHLEPCVHKSSEMIVRPSKLKVRVKHPRQTLEDKFPKGMLVEVKSDEKGFAGAWYTAKVIGPVGNDMFLVEYQTLKTEDKSGLVREQVSASCIRPCPSELEHTDCFKELEQVDAMIKEGWWVGHISRVLSDSVYLVYFASTEEEMLFERSELRSHQEWVDGNWVSANKTSATQRPKLQFKVKCNGKTSEPKFSRGTMVEVRSDEAGYHGSWYTAVITDILKNDKYMIEYQTLRTEDEAKLLKEKANISDVRPAPPKIQRVDRFKMLEEVDAWYNDGWWMGHISKILDGFKYLVYFWTTNEEIEFHHFKLRPRQEWIFGKWNFSLRKESKLPVNPTISKLKIPCGKGISPMDFDEWAKVEVKSSKDYYEGLWFPAIVVRKLKYGKYLVEFLTLATADAAELLREEADALMMRPCPPVNQRADQYKPLEEVDAWYRGGWSVGHVCKVFSGSKYTIYFRSTNEVLEFKHSYLRPHQDWMNGEWIKATRGRNFMSCQ >KZM85806 pep chromosome:ASM162521v1:8:28841848:28849078:1 gene:DCAR_026772 transcript:KZM85806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPDHSILDLIHKVGSWIYWGSGDLDSCSSEYKMAKICCDCMMPVVESSGSNHCQRCGRILCENCVQSNGCIGVVSSGNSILDGSSEAGAVTNYCKFCSHISNKEKVGKKFIEKVYPSDSPRQSPEPPSPCSTGRCDECCHPDSARSIIHYSPSRSDDDDKEDSNKTFFSSFFDDTSDVDSSSISARHEFYSSKSVGSSPLDSPCRIQNFNRIGHPVEQEQVGTSRFQNGPSDQETSAVLEMPEMGTKNPENACQKENNLLTFQDRPLDLEKNGLIWFPPPAVDENDEKEDNFFAYEDDEDDIGESTAMFSSADIDNMLSEKDKDNDGQKDSLRAVVQGHFRALVLQLLLGEGIQVSKENNEDNWLDVVTEISWQAANFVRPDTSRGGSMDPVDYVKVKCIASGNPSESKFIKGVVCTKNIKHKRMISQYRNTRLLILDGALEYQRVPNQLASFDTLLQQEIDYLKMIVSRIEAHHPNVLLVEKGVSSYAQEYLLAKEISLVLNVKKPLLQRIARCTGASITPIDKLSVARLGHCELFRIEKVSENLEQVNQHQRKPSKTLMFFEGCPRRLGCTVLLKGSCREELKKVKHVVQYAVFAAYHLSLETSFLADEGASLPKPTLGSSISLLERTSVDSTISVIPTSVVPTSYSEVANITSFNDESTGLNLELEESESVLEPLNPQFISSPNSVEYRLGSSDVIDDHLTATAALEEYRPGVVDNYERRNLDEENTQMTSGHMQLQDLYCQKKLDEVLAIDASSEYHSAGDSHQSILVSFSSRCVLNGTVCERSRLLRIKFYGCFDKPLGRYLQDDLFDQTSCCKSCKEPADSHVVCYTHQQGNLTINVRRLPTVKLPGERDGKIWMWHRCLRCTHIDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRYYGFGSMVAFFRYSPIDILSVRLPPSVLEFIPHSQQDWLKKETAELLSKQTTLYEEILGVLHRIEQKSTSLGLESSDRIELHSHIIELKDQLIKERDDSNCILQPPSKESLELATVTMDIFELNRLRQSLLIVSHDWDQRLCSLDSRLNSETRLGPSDHAGLKNSRSVSSLSDVTLSLDHNNVGVSEQILNIPDFPQEDLPCTHDKDPEASAVNFGVRGVYMSTSCEIKDELKTHSDGESTDNKTLLERAPSAASELSDKIDSVWNGTADYSPLKLPSRRLSSPARVQSFDSAIRFQERVKKGLPPSSMHLSAVRSFHASGEYVNMLRDPIPDLQRTSTQISPHEAQKFNLWNASPSFISSASLLPNGARLVLSSNGQTDLIIVVYDNEPTSAISYALSSREHKDWIADKSSGFEEAWNAAQLKREDSAASTIPAWQSFGSLDLDYIHYGSYGSEDVSTTIGTILTEPSSSPHFKISFEAESPNSGGRVKFSVTCYFPKQFDALRKKCGCNEVDLVHSLSRCQRWNAQGGKSNVYFAKSLDERFIVKQVTKTELVSFEEFAPEYFKYMKASVDSKSPTCLAKILGIFQVTVKHLKGGKETKMDLMVMENLFFRRNISRVYDLKGSARSRYNSDTTGENKVLLDMNLLEALRTKPMFLGSKAKRSLERAVWNDTSFLASVDVMDYSLLVGVDEERKELVLGIIDYMRQYTWDKHLETWVKSSGILGGAKNAAPTIVSPKLYKKRFRKAMTTYFLTVPDQWSA >KZM85349 pep chromosome:ASM162521v1:8:25049002:25050311:-1 gene:DCAR_027229 transcript:KZM85349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVTVSAALPPLSSTPDIGNSLPPITSSLPKPDGGLSPPEPADQGPSETTTPVISYNVSSASEQLSKTLICIISHTLILAVSMLYRLYHCTFNTGNSLPPIISSSPKPNSDLTQPESADQGPSEAISHLNYNESSA >KZM83859 pep chromosome:ASM162521v1:8:2340394:2340585:-1 gene:DCAR_028719 transcript:KZM83859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMRSLALVILGFMFMMLVQQQVVQAQEFSPAPAPVSDGSAIDQGVAYLLLLLALAVTYLVH >KZM85536 pep chromosome:ASM162521v1:8:26597952:26606065:-1 gene:DCAR_027042 transcript:KZM85536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANDFHKRKRAAGSKPDPEKTHIKKPKSPASSNKSFEKSSKSEPAAKFNKFQKSGDNGEKSVPKTKKEARILAKELADARKKKRKQHFSLEQELASLWEKMRCRNISKEDRSKWITEAIKKMKGKIPEIAGSHVSSRVLQTCAKYCSEEERNAVFEELKPQFLTLARNTYAVHLITKMLDNATKKQLADFISTLRGHVASLLRHMVGSVVVEHAYSLGNAAQKQALLVELYSTELQLFKDLGTLKERRLVDIISKLQLQKASVSRHMTSVVQPILEKGIVDHSIIHRLLMEYVTIADQSSAAEVIQQLSGPLLVRMIHTKDGSRIGMLCVKHGNAKERKKLIKGLKDHVSKIAHDRFGNMLLVCIFSHVDDTKLVSKVVIRELKAVLKEIIMDKDGRRPLLQLLHPNCPRYLSPDDLASLDLSISSLCTKAIHFCLVETSSELTEQNKASDIVQADEDKSNSEELTANKHSSSSEMPLLGEGGKKDPVLRRRELLIDSGLAESLIDVCGEMAGELLVSRFGKEVLYEVATGGSDGILNDLDEKLNTLYEAVATLVAKPKSEGSEEEHLLENFHSSRTIRKLILDCPNFATVLWENALEGKCEMWAQGHSCKVVTAFLESSDSSIRELATEELQPLIDAGTLKVPDTKHSVEAD >KZM84310 pep chromosome:ASM162521v1:8:11929186:11935782:-1 gene:DCAR_028396 transcript:KZM84310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIMGISSLVLPLFTPSSCCRTQLNFKSCNNIHYNSSSSYISSTRRFVPCKSKYTFRRRALPETTDDDGEIQGTNTATIISACLVGLVTGISVVIFNNLVHEIRDLCWDGIPYRGASWLREVPTGVVWKQIVLVPACGGLLVAVLNYIRASLQLPAEGVSTFRVKDVLEPILRTLAACVTLGTGNSLGPEGPSVEIGSSIANGVGVLFDKAAQSKLSLRAAGSAAGIASGFNAAVAGCFFAIESVLWPSPDQTSLSLTNTTSMVILSAVIASVVSEIGLGSEPAFTVPGYDFRSPSVVSINPVVLQLRDCLRIMHYVHYGLSKARVVFTIHNLEIRAHLIGKAMAYADKATAVELPLYLLLGILCGLVSLLLSKCTSFMVASFDNVHKNVGIPKAVFPVFGGLAIGLIALKYPEILYWGFDNVDLLLESRPFVKTLSADLLLQLVAVKIVATSLCRASGLVGGYYAPSLFIGAATGMAYGKFVSFAIFQSNPIFHLSLLEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGVSSWITSGYTIRKDAPSTTKFKEKNKHTAQQPNTSSCNVLPLAPSLGAEGSTAFSIDGSDYSLCVDDTDKYMIELAKRILVSAAMRTQYVTVLMSTLLAEVVTEMLAAKQSCAVIINDKNQLVGVLTLEDIQEFIKSSKRNKMCPKERNELGIDKINGTREGYKRKELLVSDICDSSDEICEVSWTVTPSMSLFSAQFIMNSYGLSRLLVLVDHNERYRGLPVGLVDRECITLACRLAFQI >KZM85500 pep chromosome:ASM162521v1:8:26326268:26330326:-1 gene:DCAR_027078 transcript:KZM85500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYHDFHAIKPTKLDYFDNMFTYQSNSTLLSSFLDDDYRWALVLDSTIFHPQGGGQPCDVGIISATHSSSDSPGFKFVVQDVRLKDGIVFHYGFFEISEPINVELGVPVSLTVDEPRRRLNSRLHSAGHLLDVCMRDVGLGHLEPGKAYHFPEGPYVEYKGSVPQDELQSKQKELEKEANNLISKGAIISVATLPYDEACKLCGGCLPDYISKDSTPRIVRIGDYPGCPCGGTHVYDISEILSITVSQLRTKKGLTKVFYNVGSWPASEAES >KZM85885 pep chromosome:ASM162521v1:8:29620754:29626451:1 gene:DCAR_026693 transcript:KZM85885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPSEYAEIQSLNQDHPSPFVNNFAVSMQEACMPSVLQYNTFLTNLPNFQANVPVHDFSSCVSNNSTSDEGDDQLHTNMIIDERKQRRMISNRESARRSRMRKQKHLDELWSQVVRLRTENYSLIDKLNNLSESHDKVLEENAKLKEENSGLRQMLTDAQLSSTYNSLKDLEEAHFNAESSSDQSIISYRMLSTSRKHQQPAFLKTRAMGRELFFNHDGAATRKLLAGVELVAELVGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKQIELEDHLENVGVKLVRQAGAKTNDLAGDGSTTSVLLAHGLIAEGVKVIAAGMNPIQISRGIEKTALALVSELKSMSREVEDHEIADVASVSAGNDPAVGKMISEALEQVGKRGVITIERGNSVNNTLQIVEGMKFDRGYLSPYFVTDRRRMIVQLQNCKLLLVDKIIKNPKEMLKILDNAVREEYPILIVAEGIEQEALAPVIRNKLKGVLKAAAIKAPAFGERKSHCLDDIAILTGGTVIRDEMGLTLEKARKELLGTTTKVVITKDSTLIVTDGSTQAAVKKRVSQIENLVKNTKEKFQKKILNERIARLSGGIAIIQVGAQTQIEQKDKQLRIEDAVNATKAAIDEGVVVGGGCCLLRLSLRVDEIMNTLDNEEQKIGAEIFKRALSYPARQIAKNAGVNGNVVIEKVLSVDDAKYGYNAAKDQYEDLMAAGILDPTKVVRCCIENAASVAKTFLMSDAVVIYIDEPAPRRRRRPMPALDEPAPSSRRRPMPSLGDLAPSRRRPMPSLDDLAPGMRRPMPSLDDLAPGMRRPMPSLDDLAPGMRRPMPSLDDLAPGMRRPMPSLDDLAPGMRRPMPTPGMGPLGL >KZM85474 pep chromosome:ASM162521v1:8:26079835:26081082:-1 gene:DCAR_027104 transcript:KZM85474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFADSFMADLNDLSDHVDGELAEIQVINSAADLDNVSNLQKSKRYIDLEEDESDLEYQLIVDCIALSVDIENEIMIIHNFIRDKYRLKFPELESLVKHPIDFARVVKKIGNEVDLTLVDLEQLLPSAIIMAVSITASTTRGKPLDAEDLGKIVEACDRVLALDSEKNKVLDYVESRMGFIAPNLSAIVGSAVAAKLIVAAGGLSGLANREYNVQLLGAKPNNLAGFSAANYKFRGGYMEQTEIFQSTPPALRKLASRQLALKSTLAASVDFTGGDLSGGVGRALREEILKKIENKQELHPARHVKPLPIPDVRQKKRRGGRRLRKMKERYAVTDMQKLRNRMQFGVPEESSLGDGLGVGYGMLRASIGQSKLAAKVTKKYGGRSSASSFATSGFTSSLAFTPFQGIQLANPAN >KZM83840 pep chromosome:ASM162521v1:8:2040599:2041534:-1 gene:DCAR_028738 transcript:KZM83840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKALAFLCIFLAAAATLAVATMEQDENDCADQMANMAECIPYVSGSAKKPTETCCQDAEKVRSAKPKCLCVLIIESTDTSMGLPINTTLALQMPTACKSDAKVSDCPTLLNLPADSPKAKIFKLEGASPTTDSLPASASSSSSSSTPSSSSSTPASSGSGSETKTTTSTSAGGALLSGGILAIIGLASSAIALM >KZM84442 pep chromosome:ASM162521v1:8:14665748:14670240:1 gene:DCAR_028136 transcript:KZM84442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFSSSSGKKASGIKGRGLINEVFSWPLAALGNHSHYHGKVGTCNSISHVPPVKPIPDKFSSTEEYTESFKLPLLEETHADLVSKMASVKSAPVREISSLTTARDFNPPRDLVYTLILKSKSKGSGQNNYGKEMYVPEVGDLIALTTTKPKHISDLDKPRSPYLLAVVVKAKVESSDTVEILASKQVSLFPSVERRTEFTRKQDEKMYAVHLTNMTTNIRIWQGLNNELEGRSMKLIEQLIKKDSMIGANCSICFAEHTKDATKSDLKDVICRFNLNESQESAVWTCINARECHHKQTVKLIWGPPGTGKTKTVASLLFALSIMKCRTVTCAPTNIAVLGVAARFMSLLRGALEHDTYGIGDIVLFGNGERMKIDDHEELLDVLLERRVPILSRCLSLVKTMIKAIALLHCIQTLLQEVAASSTGLAEVFKGIKEGDSISSKEFHKNKTECLEVLVVLRDTLTVPQFLDDYEISSFCLKNACLIFCTANSSIKLHTEGMTPVELLVVDEAAQLKECESTIPLQLPGLRHAILVGDERQLPAMVQSKIKAEFGRSLFQRLVLLEKNLLNVQYRMHPDISMFPNKFFYENRILNGSNVVEMTNHKHFFEGNMFGFGSYAFLNISNGREEINNSYSRKNTVEVAVVAELVARLFKESVSKKQKVSVGCIAPYKSQVLAIQEKLGDTYSREADSDFCVNARSVDGFQGGEEDVVIISTVACNADGYIGFFANHQRANVALTRARHCLLILGNEETLEKSGTIWWDLVLDAKKRGCFYDASIDSGLAQVMADALVDGGQSLSRQFAAMNLRNEERPWPSSTRNYSGDRKTFASPRYKYGRSSSDRSWRHD >KZM83854 pep chromosome:ASM162521v1:8:2299806:2302282:1 gene:DCAR_028724 transcript:KZM83854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRKTGNGRPSGTDGSDFSYRMVVDSRYTKVAKAKSRLSFFIFSQAVVQLFGALLVFYSYSKDGSTDQLVVSVSATAISFFSLLFGELGRKRSRVNMLKFYIVSSSLGMLLSIGSVLKSNVLQEIVQDSTVWEAKKFELISVGDVLVGLLVQILSISTTASLISNMSPPKRAS >KZM85714 pep chromosome:ASM162521v1:8:28063685:28067738:1 gene:DCAR_026864 transcript:KZM85714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNQNYVIWSALISLISVVWHLRSKNSYKGSKLPPGPKGWPIFGNLFDLGSLPHRSLGALRQEHGPVVWLNLGFVKTMVLLSAGAAEEFFKNHDLSFVDRFINDSMRSHNYDKISIAFGSYTPYSRTLRRICTSELFANKRINDTMLIRQRSVDELLSWIENEVEKGESCGIVVRHFVFPALFNMIGNLTLSRDLVDPHSEISSEFFMAMDGVHECVGRPNISDLLPWLRRLDLQGIRRKMDCNLGKAIDIISEFVKERVERRRQEQKSSSEHKMDFLDVLLDFRGTGKDEPATLSEYQVTVFLMEMFFAGTESTSTTTEWAMCELLQNPDQMKKVKAELARVVGANKKLLESDIDNLPYLQAIVAETLRLHPPGPLLIPRKAVRETNFMGYSIPKNTQVMVNCWAIGRDGDSWEDASSFKPERFLDSNVNYKGQSYQFLPFGAGRRMCPGLPLAHRIVPLVLGSLLHHFMWELSGGEKIINMAETMGAGVKMLHPLQAIPKRKIINHNFGMEWNWNYVYWSVALMSLISLVGHLRRRNSYRPSKLPPGPRGWPVFGNLFDLGSLPHRSLEAFKQDYGPVVWLNLGSVKTLVLNSAAPVEELFKNHDLSFVDRITNDAMRSHDYYKISIAFGQYSSYWRTLKRICTSELFANKRIKETMLIRQDSVDEMLLWIEKEAAKGSSSGIVIRDFVFPALFNMIGNITMSQNLVDPESTIFSEFCAALGGFHKCLGRPNISDLLPWLRRLDLQGIRRNMDSDLGKAIGIISVFVKERVEQRQRKLEFSSEQKDFLDVLLDYRGNGKDEPAELSDFQEMFIAGTYTTTSTTEWAMTELLQNPEQMKKIKAELARVVGADKKLQESNIDDLHYLQAVVKETLRLHPPATMLLPRKAVQDTTFMGYNIPKNTQVLINNWAIGRDEDIWENALSFKPERFLDSGINYKGQNYELLPFGAGRRMCPGLPLADRMIPLILGSLIHHFEWELCDDGKVIDMRETLGSASQKLEPLQAFLKPKTL >KZM84422 pep chromosome:ASM162521v1:8:14283453:14287569:-1 gene:DCAR_028156 transcript:KZM84422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVYIVYLGQINHVNDPLLTSHYHSQLLTIVFDSEADAKQSMLYSYKHSFSGFSAKLNSTQATRLSGIKGVVSVFKSKTLELHTTRSWDFMGLPLDYMTPKLLTTPQQSVYGSHDVIVGVFDTGIWPESASFKEEECMKPIPRRWKGKCCAGQKFDPSVHCSKKVIGARYYLKGFEEEYGKLNTSTEYRSVRDYLGHGTHTASIAVGSIVQNASFLGFAQGIARGGNPKARLAVYKICWNYEPDGGKCTEADILAAFDDALCDGVDVISASLGLSPPLYPLFESSADIGSFHATQKGVSVVFSAGNDGPTPALVQNVSPWSICVAAASIDRNFPTQIVLDNDNLVLMGESLITKPINGRLAAARKYFEFGECKLENWNRKSAAGRIILCFSTEGSDVSLEAEVATWRANASGLILVIILCFSTEGSDVSLEAEVATWRANASGLILVEPPTLQTVDVSILPTVYLDIIQGTKIIHYLAQSQRYIQQTPVIRISPSTTVIKKSPAPITAYFSSRGPSSITPDVLKPDITAPGVTILAAWPTETPPTLFDKRTVKWNFQAGTSMSCPHVSGVISLIKSLHPDWSPAALKSAILTTAYTKDTTHDTILGGGHMKVSDPFDIGAGHINPMKAMDPGLVYDMTTIDYIMYLCSIAYTEGHIRRIVSLSKISSATCPKGSKKAYNINFPSIMVSNLQSQITIKRTVRNVSIKKTAIYFASVVKPHGVEVSVWPSVLIFSYFKDELSYYVTLTPTKKSQERFNFGEIILFDGFHFVRSPLIVRVNTTCTSSTISADTGNDVAN >KZM84781 pep chromosome:ASM162521v1:8:19096676:19096867:1 gene:DCAR_027797 transcript:KZM84781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSRQNTSMLFAGGGGGISTNPNARRSIRLEFKNVSTSNRSHGACTNLCEFVGLAVMILSDS >KZM83925 pep chromosome:ASM162521v1:8:3419571:3429500:-1 gene:DCAR_028653 transcript:KZM83925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKIARTTQASATEYYLHDLPSSYNLVLKEVLGRGRFLKSILCKHDEGLVLVKVYFKRGDSIDLREYERHLSRIREVFNGLDQPHVWPFQFWLETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAYQLLYAVKQSHENGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPHDDPSDFSFFFDTGGRRRCYLAPERFYEHGGEMQVAHDAPLRPSMDIFAAGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDSGLRKMILHMIQLDPDSRCSAESYLQSYAGVVFPRYFSPFLHKFYSLLNPYNSDSRVLICQLSFHEILKQMMGNKAGEETGIDLALASNPLNVESSNNIEAKHIVDSTISSRKTELEKGSIHGRFELPGDITTLLRDVKQTGSSSGANTVQEDFSNSTSFQKQEKCSMPVPDNLLQNISNVFKRNHHPFLRKITMNDMNSLLSDYDNQSDTFGMPFLPLPQDSMSCEGMVLIASLLCSCIRNVKLPHMRRGAILLLKSCSLYIDDEDRLQRVLPYVIAMLSDPAAIVRSAALETVCDILPLIRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYSSNISKLALTAYGFLIHSISLSEAGVLNESALGSKSQMRSSDTSGRLQSKNRDTQLAHLRKSIAEVIQELVMGPKQTPNIRRALLQDIGNLCWFFGQRQSNDVLLPILPAFLNDRDELLRSVFYGQIIYVCFFVGQRSVEEYLLPYIEQALGDATEAVIVNALDCLAILCKSKFLRKRILLEMIEHAFPLLCYPSQWVRRSAVAFIAASSDYLGAVDSYVFLVPVIRPFLRRQPASLVSEKAFLSCLNPPVSRQVFYQLLEKSRSSDMLERQRKIWYSSSAQSKEWDTLDLFHSGTRELDSMKYWSDKQHDGQGSKSVGNAVQNLDHSEESSKSRLRPIGSFIHNTSGTVDTRDPLFSEKLQFSGFMSPQMNEANSLSFDKPSEGIPLYYFKYDSNRATGNAPASDSPSPLSSLGFGSSSVPWMDVGNKSFTLGSSFPPPKLVSGSISIGNSSPQLHRVVHEVEDRETDQAAYVSKFQDMRVSGPKGGSTVANDISAAAEVTGVPPFARTPATQDAGWRPRGVLVAHLQEHRSAVNDIAISTDQNFFVSASDDSTVKVWDSRKLEKDISFRSRLTYSLDGSRAVCTAMLQGSAQVIVGASDGIIHMFSVDHISRGLGNVVEKYSGIVDVKKKRVGEGAITSLVNYSADESASKMILYSTQNCGIHLWDTRTNKDMWTYKVFPKEGYVSSLITSPCGNWFVSGSSRGVLTLWDLRFCIPVNSWQFSTACPVENMCLFVPSVNSSISTIARPLVYVAAGCNEVSLWNAENGSCHQILRVASNDHDSETSDLPWALTRPSSKSNSKADLRRNVNPKYKVDELNEPPPRLPGIRALLPLPGGDLLTGGTDLKIRRWEHYSPDRSYCICGPTIKGVANDDFYETKSSFGVQVVQEAKRRPLATRLTGKAILAAAATDSAGCHRDSILSLATVKLNQRLLISSGRDGAIKVWK >KZM84335 pep chromosome:ASM162521v1:8:12706749:12709246:1 gene:DCAR_028371 transcript:KZM84335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVSYGQLLLLIGAVVAFTGPKDFPRVSRLAGRMAGRAIGYVQLARGQFDVIMHQSQAQQVHKELKDTMAQLEAIRHEIRTVSFMNPGQLTTRLVDNLDQTTAANESTESEKVITENVSRTTTPKDSGLKASSSFNIHSKATASSSFDIYSKATAYASLAESSALNSGPGTSDISDKSGLLAVLPVSAESAGLLPNRKGTVSGSDLVLEAIVEAEVANNAKEFFAQPQNQLKPE >KZM84845 pep chromosome:ASM162521v1:8:20092758:20096026:1 gene:DCAR_027733 transcript:KZM84845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFYIYGYIFLVGFLSFQGNAASFLDADKLALLDFVSNFPHSRSLNWNVSTPVCNNWTGVTCSQDGSRVTAIRLPGVGFTGPIPENTLSRLSALQILSLRSNGISGPFPYDLLNLKNLSYLYLQFNSFSGPLPVNFSNWKNLTSVNLSNNAFNGSIPVSISNLTHLSALNLANNSLSGEIPELELSNLQILDLSNNKLTGNVPKSLQRFPKSRFVGNDLSLSYTHVSGIPDVNPPLKPVPKPKGDGKLSERALLGIIIAGSLLGVIAFAFLLIVCCLKRKRSTDLSGKLEKGGLSPEKAISRSQDANNKLVFFEGCSYIFDLEDLLRASAEVLGKGTFGMAYKAILEDGTSVVVKRLKEVGAGKREFEQQMEMVGSIKHENVIELRAYYYSKDEKLIVCDYYSQGSVAAMLHGKRGEERTPLSWESRLRIAIGAARGIAKVHEENGGKLVHGNIKSSNIFLNPQQYGCVSDLGLSTIMSQLPPLIARAAGYRAPEVSDTRKATQPSDVYSFGVVLLELLTAKSPVHTTGGDELVHLVRWVHSVVREEWTAEVFDLELMKYPGIEEEMVELLQIAMACVVRMPDQRPKMADVVKMIENVRRPIDFDNRPSSEAKSETSTPLPPSLPQSELQVPE >KZM83873 pep chromosome:ASM162521v1:8:2496322:2498134:-1 gene:DCAR_028705 transcript:KZM83873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFMTSITFIFFVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISAAISPDQNSQAFKEVAIIKHPRLGEYAFGFITSSVLLQTYSGEEELCCVYVPTNHLYIGDIFMVNVNDVIRPNLSVREGIEIVVSGGMSMPQILTTLELRTGIDRSRMD >KZM85373 pep chromosome:ASM162521v1:8:25236885:25238057:-1 gene:DCAR_027205 transcript:KZM85373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNYKTKRASEAWKLVMSNFTDDLWTEIFLRLPFESLLRFKSVSKTWFSIISSHRFAISHLAIAPKDDQILIVHHEKGYPEDGEDGHFSFYHLDSRRILENLNFPYSQGEYPFKPEDSELIGSECGIACVSVCVSDWKAAKNNYDLYLWNPATKQSKLIPPYALHGYHMSDAALGFGFDHIDLDFKVVRVISCTRSAEVYSSNMNNWHKIKQKISDGPIKFHICFHGFLCALQHYSGSKGMVAFDLNKEVFICRINVPVSSFEFGSSSEIAQYKDSIAFIHSDSIMDGKINLWTLDNEACLSGGGVEASWTKVLILDVGVPFLFVEGLFNNTQFLLFGVGGDRLLYNSNNKSSTEVPGYPNIATCEFFKYTKSLFSLTGFKRIKWAAPS >KZM84337 pep chromosome:ASM162521v1:8:12716099:12720004:-1 gene:DCAR_028369 transcript:KZM84337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPFSGLSSQKMTCSIADASMGSSISGICRPGFDLNGDHTRKKKGEFRLFALADPPAYTEKFSPPNFYQEVLKVAREKFTQEISFRSKDKDISLAKALLYVGAENEAFVAYNREMDACSLQNERRGASGASSSPKWDTIDDMPLDGKTISQWLEELDAIAKEVQAELVSRDIGCHLVEVLEAVNIVLFESRGFERSPVIVDSKCSYMHTVLSSGRGSAIMLSIIYIEVCRRLNLNIVGSRVGEEFLIWPQTGNPEELFKISSGRSLFGVVNGSCVADPRSKASDLNSDSLSGLDIATNRDIIGIALANLIRLHWKRASRTNHGLMLTSPLRRVNDTNDKFNKSDSSNVPLLRPRDLRLAIMASEKLLILQPHNWALRRDHGMMLYFYRDYEEAVQELSICMAFAPEEEAEVLEPFVEKLHLLRLESSWKSLGHKGRLTVS >KZM85537 pep chromosome:ASM162521v1:8:26609822:26615653:1 gene:DCAR_027041 transcript:KZM85537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSANIRDVLTSFSPALDFFAICSGDGRIKIWDTVKSQVQTEFADIGTAGSDDLIGGTKGGHRSVDYTCMKWLSLGKKKKRKLGSSLLILGTGSGDVLALDVSAGQLKWRVSDCHPGGVTSVSFPAHGSCIYTAGADGMICELDSLTGNLLRKFKASSKAISSMSVSSGMYENFNGKTLATAAAQLKVFDCSDHRKIQKFSGHPAAVRCMIFSEDGKYILSSAVAERYVAAWRIDGSKKKLASFVLAMDHPAVFLDSRCIATGDNDDEGLCVLAISEIGVCYFWSGQNIDELRDCKPTKVSISTSDQHSKNNGVVPTVFAAKLQAAAKSSSGHLFAAYGSLIKPSFEKILVQPGEDIKLNSSADGILLPHGQIKKGKKGSTALTQITALDRANAEDASLPISKILDGVNGQIVAKSGKSNELVEFDDVTHCMEERLISLGILSSDSDLSSNSQFDNTLLNGINLEANMPQKKMRATISSMVPGDAYNLLKDLLAMWKTRSSTGKLVLPWIRCLLIIHSHYIVSEEPNTRLLDSLYKLTKSKGEAINSLVQLAGRLQLVTAQIDKAANNKSQSFQPLPQTDESEDEEVEEFLYGEEDEESQSSSDNED >KZM86029 pep chromosome:ASM162521v1:8:30865886:30866677:-1 gene:DCAR_026549 transcript:KZM86029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAVDAAALVVQHPDGRIERMYWSVCVSEVMKMNPGHYVSLIIPLPADGEENLDEKAKTVQFTRVKLLRPSDKLVLGKAYRLVTSQEVMKVLREKKYARMNKNLRELDTEDQSSSSEAEGISSGPETLSQAMRNEGQRQRQGSANIAVARSKSWRPSLQSISEAAS >KZM86084 pep chromosome:ASM162521v1:8:31267008:31268183:1 gene:DCAR_026494 transcript:KZM86084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVSTFFGMSVGAFLFWETMDKIHVYIALRQDEKKERMEKEDEIRRVRQELLQQAKEKDSYA >KZM84210 pep chromosome:ASM162521v1:8:10280128:10281060:-1 gene:DCAR_028243 transcript:KZM84210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNGNNLPAPADVKSLYAKCSIQQMRLFEPNLDILGALRQSGIRVCLGVRNEDLPKLGASNDPAPSTDWLNTNVVPFKDDVTFSYITLGNEAVPGQFAQNVPAAINNMQNALNSLGLGTIKVTTVVPTSVLGASFPPSNATFSPDNLQIMGDIISFLNGNGAPLLVNVYPYFSYASNPSDISLQYATFQSTKPVVVDGDYQYYNLFDAMVDAIHAAIEKVGAGNIAVAISESGWPSAGNDPYASVDNARVYNTNLVDHVTKNGTPRRPEDRMDTFIFAMFNENLKAEGVEQNFGLFYPSMALVYPVFSC >KZM85888 pep chromosome:ASM162521v1:8:29640191:29641691:1 gene:DCAR_026690 transcript:KZM85888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDVRRPARKESSCLKEKDDQKPNYNLLSKHLKKVYPDKVYNASTSPLSLSSLSLSLSQNSSDSTDSSPTLNQKIAAAVRLIAPREKRELPTVARYMQNLSPGHNTGDCEGLNRCNWITANSDRVYVQFHDERWGLPEYDDNKLFELLAMSGMLMDFNWTEILRRKELFREAFAGFDPQTVARMGEKEIMEISTNKAIMLAESRIDTEYGSFGGYLWGYMDYKPVINRFRYARNVPLRSPKAEAISKDLVKRGFRFVGPVIVYSFMQAAGMSIDHLVDCFRFQDCVSHAERPWRHV >KZM84787 pep chromosome:ASM162521v1:8:19168798:19172478:-1 gene:DCAR_027791 transcript:KZM84787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEESQMDTFLQDAWDYKSCPASRSTTGGWTGAALILGVETCERLTTVGIAVNLVTYLTRTMHLGNAISANIVTNFLGTSYILCLLGGFVADTFFGRYLNIAIFATVQGIGVTILSISTIIPSLRPTKCEIGEPSCIAASGMQLAVLYTALYLTALGTGGLKSSVSGFGSDQFDETDKEERTLMTNFFSWFFFFINIGSLAAVTVFVYIQDKLGRPWGYGLCACAIVIGLLVFLSGTKRYRFKKLTGSPLTQIACVCVGAWRKRHMEIPLDLSRLHNGDDIENEDGEKETKPKLPHSKQFLFLDRAALIDNKDESSGSKIHVMSKWYLSSLTDVEEVKQVIKMLPIWATTIMFWTIYAQMTTFSVSQATTMNRQIGKSFEIPAASLSAFTVGSILITVPVYDAFITPVARKILKNPQGVTPLQRIGVGLVLSILAMVVAALTEIKRLNVAKSHDLVDDPAAILPMSVFWLIPQFFLTGSGEAFMYTGQLDFFLRECPKGMKTISTGLFLSTLSLGSFLSSILVTIVHMVTGANKPWLANNLNQGKLYNFYWLLAIMNVFNLGIYLLCAKWYKYNDRSLTEGIVAEDTETCHA >KZM83690 pep chromosome:ASM162521v1:8:493332:495386:1 gene:DCAR_028888 transcript:KZM83690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCTAQIKRERNDGLPARMHEGGKENGSEDIVTQGDFGALVRLQGSSTFVSMFSQQGRKGVNQDAMTVWENYIGEQDAMFCGVFDGHGPSGHKVSQYVRNVFPSKLAESFKHPRFNASCIDEDLKSENVDDPVDENHGPLYSLVKASLVESFKVMDEALETNGKIESYCSGTTAVTVLKKNFHLVIANLGDSRAILCTKGDKDQLLAVQLTVDLKPELPCECERIWSCKGRVMALEEEPTVYRIWMPDQESPGLAMSRAFGDFCLKDYGLISVPEVFYRKLTERDEFVVLASDGIWDVLSNEEVVHIVYSAQKRSSAAKLLVNRAHRAWKCKYPCAKIDDCTAVCLFFKKPSSIIKSKSDTSQIQKSSVEPHFQQGNLTTEDGLESIIDSDIATDQTAQGSSTKKNISTYSQRTGLMVSKRRPTRNIGLRH >KZM84512 pep chromosome:ASM162521v1:8:15536505:15543944:-1 gene:DCAR_028066 transcript:KZM84512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKEKEGLVHWFFKVAPRRKVGMLLLCAISAVVFGWVLYFGKGIDGQQGVQIPSLGLNGTSELGTHSYFSPNNVDQYIHMELNSSVQSDFGRFMSKPSPPPVYFKGYTLPPGNPCESFTLPPPPADKKRIGPRPCPVCYLPVEEAISLMPNAPSFSPVIQNLTYITEENSTRSEFGGSEFGGYPTLKQRINSYDIRESMSIHCGLFPNARYSLWIDGKLELVVDPYQILERFLWRKNASFAISRHYRRFDVFVEAEANKAAAKYDNASIDFQVDFYRREGLTPYSEAKLPITSDVPEGCVIIKEHIPIANLFSCLWFNEVDRFTPRDQISFSTVRDKIMSKTNWTVNMFLDCERRNFVVQGYHRDVLEHWAPPPPPGVISISHPPLPAAENKKLHGVSRDIVAANATKVPSRRGKDRSGSRRHRKVTAGSRDIN >KZM83774 pep chromosome:ASM162521v1:8:1420905:1423391:1 gene:DCAR_028804 transcript:KZM83774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQEVKLFGLWASPYSKRVEIALKIKGIKYEYFEENLSNKSSELLKYNPVHKKLPVLLHNGKPVCESLVILEYIDETWKSEHSLLPEDPYARAMARFWAKCIEDKCTAAMRSIWRSSEGQDKVIEELTVHLKTLENELKGKKFFGGDEIGLVDITANLMALWLGVLLELKGIELLTKEKLPRLCEWIDDYLNHQIIKETLPDRENLREYMSNLLKDSIYVMDDQEVKLLGVWGSCPSKRVEIALKLKGISYEFVAQDLSNKSPELLEYNPVHKQVPVLLHNGKPICESLVILEYIDETWKSGPSILPEDPHARAVARFWARFIDEKCFPAILRIKRSQGEEEMEKAIEEATELLKTLESELEGKKFFGGDEIGLVDIAGNFVALWLGVMLELVGITLLTEEKFPRLCQWVDDYLNCSIIKETLPAREDLLDRFRAISRWLAKNNR >KZM85867 pep chromosome:ASM162521v1:8:29452965:29454689:1 gene:DCAR_026711 transcript:KZM85867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHHAEQNQLSYHDEDKYYDEIVSRLPPGYRFVPEDEELIEKFLKVIIKNPERGRVQGIFTVNLYASSPDELTAAHKPQCEDTWYFFTKRTRKYANGSRPARAAGYGYWKQTNVVDSINYGVDEQKEPVKIGRKITLDYCYKNNGVESRTDWKMNEYRLDGKKSPDDHCSNAETPVEFDEWSLCRIYKGRGSKQKNKGKQVVLQVEAEPSSGTQAIASEEHNEHFAGEADGNSTGQSTAAASNNQLSIPREQYNGLYAGGPGPSSVQQSTNLQFNNSREWIPNYSHYMANVASGSTNGMHYTSYCLPPEFQENYPVYENAGFVQPLRSLPPNGIPTSSSLDINQNSSSIRDHVTSEEEFGFPFTDVDITFDDFEFEKKNL >KZM84648 pep chromosome:ASM162521v1:8:17324377:17324574:1 gene:DCAR_027930 transcript:KZM84648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKLASVLTIVLMVLVACSSVGFTAALEDVGAPAPSPTIESSSVTLLVPAALVAITSLVTFFV >KZM83828 pep chromosome:ASM162521v1:8:1937743:1938911:1 gene:DCAR_028750 transcript:KZM83828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFYKREISPLMPNSCRYLPTCSEYSMIAYKKYGVAKGTILTAWRLCRCNPLGGSGFDPPRWFGETSPPEE >KZM85247 pep chromosome:ASM162521v1:8:24076827:24078384:-1 gene:DCAR_027331 transcript:KZM85247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVDALIPETIADAENLNVNGSDVEDIGQRVDDMFMKVDQLEQTLNKVEQFYSSKKQLHTSKGSSIPKEKDKDKHLASFRKRQLDASRRESAATKRMQELMRQFGTIIRQASSGH >KZM85317 pep chromosome:ASM162521v1:8:24639540:24642067:1 gene:DCAR_027261 transcript:KZM85317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEQNLYGAYTYESLEREPYWPAENLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMPEDMFCHEFHLADLRVMDNCLKVTQNVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAGRINGVKRLFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIQHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKAKGGDMSVYGSSKVVGTQAPVQLGSLRAADGKE >KZM84970 pep chromosome:ASM162521v1:8:21235355:21235903:-1 gene:DCAR_027608 transcript:KZM84970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSIAFFHVSEYILAIIFHGKSNVTLKSLLISKNYLVAMIFSLLEYLVEVYIFPGLKEHWWISNFGLAMVVVGEVIRKLAIITAGRAFTHLIKVRHEEHHELVRHGVYGYVRHPGYSGFLIWSVGTQIMLCNPISTVAFSLIVWRFFSQRIPYEEYFLREFFGSHYDAYAQQVPSGIPFVN >KZM84318 pep chromosome:ASM162521v1:8:12246407:12246724:-1 gene:DCAR_028388 transcript:KZM84318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGPGHRDSAKTVMTRRDFAETNRFKLKLAQDSTSNIQNSHKSQPTDSMQINTQQTKSNNQTKGNNHRPQTDSTETQLQLSEEETNSIKTDKTTRTQQTKSIKL >KZM85050 pep chromosome:ASM162521v1:8:22163109:22174486:-1 gene:DCAR_027528 transcript:KZM85050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGMVECSVCHSQLVYPPTKAVSKAYDRYRSKVSSKQRVLNVLLVVGDCMLVGLQPILVFMSKVDGSFKFSPVSVNFLTEIAKVLFAIVMLLIQARHQKVGEKPLLSISTFMQAARSNMLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKWEALALLLIGISINQMRSLPEGSSALGLPVATGAYIYTCIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLFILGTVIYKGPDSFNILEGHSKATMLLILNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIGSAALFGHTLTVNFVLGITVVFISMHQFFSPLSKAKDEQENGVLEQIELQKDHRSKDSSFINMAAGANEEASHRVGPDEREPLLPR >KZM85998 pep chromosome:ASM162521v1:8:30595872:30597545:1 gene:DCAR_026580 transcript:KZM85998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSFPTIENCPAVSREKDTVVADLDGALLRGRSSFPYYALVAFEVGGIFRLLFLLLCSPLAGILYCFASESAAIQVMIFATFAGIRVCDIESVARAVLPKFYSTDLHSESWRVFSACGKRCVLTGNPRIMVEAFLKDFLGTKLVLGTEIGTYKGRATGFVLKPGVLVGEHKAGALKKAFGGTQPQIGLAKHTDFPFLALCKEGYIVPPKPKVEAVPSDMLPKPIIFHDGRLVQKPTPLMALLTILWFPTGIILAIIRVCVGSLLPMSIVYYAFLALGIRITVKGTPPPVSKSSGQSGVLFICSHRTLLDPVFLSIVLRRQIPAVTYSLSRVSEIISPIKTVRLTRDRDTDAPMIKKMLAEGDLAICPEGTTCREPFLLRFSAMFAELTDELVPVAMVNKMSMFHGTTARGWKGLDTFYFMMNPRPTYEVTFLDKLPKELTCSSGKTSHEVANYIQRVIAATLSYKCTTFTRKDKYRALAGNDGIVADKKSG >KZM84291 pep chromosome:ASM162521v1:8:11692306:11694475:1 gene:DCAR_028415 transcript:KZM84291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMSLDMVGVKVEAATGLLPPAKPPLIRQYYKKLNTCANVESFVQFQVKKYWDNNRALTPKLVKLLYTDCMVNGCDASILLDGPQSEKTAPQNSGVGPGVYLVIDVIKRVLEARCPGAVSCADIIHLATRDALKLSGAPSYPVLLGRRDGFESNAASVKLPSPSISWQSALAFFQSKGLDVQDMTTLLGGHTMGRTHCSYILDRLYNFNNTGKPDPTMNKSLLESLRQTCPLNNTKRPSQQVAFLNPDNGKDYNFTNSYYKRVLANKSVLGVDQQLLYGTDTKELTDEFATYFEEFRRSFALSMTRMGGIQVLTGNKGEIRKNCRVRNKS >KZM84517 pep chromosome:ASM162521v1:8:15598694:15603372:1 gene:DCAR_028061 transcript:KZM84517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEAHAVDEDDREKENNLLEVVSAERKLWALIHSKGLLRIDVQELYHKARSGYEDMIINDREGMELQDVEYCLWKLHYKHIDEFRKRIRHSSGSAENKKIGTSQNVASLQNNIEDHVEGFKTFLSDASLFYKDMIRKMRESCGLSEELLLSSKDYSSFSVVPANLLKCQYACHRFLVCLGDLARYKELCQKSENRNCKWSVAANYYFEAATVYPDSGNPHNQLALLATYVADEFLALYHCVRSLAIKEPFPDAWDNLMLLFEKNKSSPVHSLSSEIQYDISRPSERCFLRTKSQESSVSSNKKLEVTELASSGINDLWPLFVRMISFFFVKSRLEDFHCAFSSTLRKLEAVLALKETELKVSLESYGRLDAARSGPYRAIQLVSILIIIIHNLPEITKVNTSVDKNETEQSAFSKLAWTTTFIFMGRVIERCLEDMNGDSCPLLFTVLVFVEWLVGVLDKAELYSAHENVKNAAAYFFGVFCSLLNHLDNKEGEVKFLDRNAFWEDYELRGFSPVAHAHMQLDFSTQGEQIRNYDNGYTSRAHRILHAAMRIVEVSKTTRKWLSYDKVGRKFNAAEMIQSFDRREAEEMKVSHAKELKHDELYEKEISEENQDKPSVKNENASVDDEEVRCHYMKKMIRQLLLTKFCAVLHPCFFHKTKVSLSLPNYILVPQISDSASHIANQNKL >KZM85908 pep chromosome:ASM162521v1:8:29837964:29847698:-1 gene:DCAR_026670 transcript:KZM85908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVQNDLRQLQLQQQQQMVVPRVYNTGDHRNDPFLLHSEPVSGLRIGAGLDAREVDEDMLMALAHQDYKAGNFKQALENSKAVYQRNPSRTDNLLLMGAVHYQLHEFDFCIAKNEEALQIDPHFAECYGNMANAWKEKGNIDIAIRYYLIAIELRPNFADAWSNLASAYMRKGRMSDAAQCCRQALAINPRLVDAHSNLGNLMKAQGMVNEAYNCYIEALRIQPNFAIAWSNLAGLFMESGDLNRALQYYKEAVKLKPTFADAYLNLGNVYKALGMPQEAIVCYQRALQARPDYAMAFGNLASIFYEQGKMDMAILNYKRAIACDAGFLEAYNNLGNALKDAGRVEEASHCYRQCLALQPAHPQALTNLGNIYMEWNMTPAAAQCYKATLSVTTGLSAPFNNLAIIYKQQGNYADAISCYNEVLRIDPHAADGLVNRGNTYKEIGRVTEAIQDYLRAVAIRPTMAEAHANLASAYKDSGHVEAAIKSYKQALALRPDFPEATCNLLHTLQCVCDWDGRKKMFIEVEGILQRQIKMSVLPSVQPFHAIAYPLDPMLALEISRKYAQHCSVVASRFSLPPFTHPVPIAIKGGGRNGRLRIGYVSSDFGNHPLSHLMGSVFGMHDVQNVEVFCYALSPNDGSEWRLRTQSEAEHFIDVSAMSSDMIARLINENQIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPTKFSHIYSETLVHLPHCYFVNDYKQKNRDVLDPACQPKRSDYGLPEDKFIFAFFNQLYKIDPEIFITWCNILKRVPNSALWLLRFPAAGEPRLRAYAAAQGVQPDQIIFTDVAMKSEHIKRSALADLFLDSPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVSSMKEYEEKAVSLALNRPKLQDLTQRLKASRLTCPLFDTARWVRNLERAYFKMWNLYCSGQHPQPFKVTENNSEFPYDR >KZM85130 pep chromosome:ASM162521v1:8:22953429:22955555:1 gene:DCAR_027448 transcript:KZM85130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVESARIVFQNFKNPDSFMWGVLIKCHVWSGLFREAILLYNEMLGNFTQVSSFVFPSTLRACSGIGDLGVGEMVHGRIVKCGLQFDLVIQTSLLSMYGETGCLRYARSVFEDLSVRDLVLWSSIISSHVQNGEESEGVDLFREMVGEGVEPDSVVMLSVAEACAELGLWREGKSVHGYVVRNAILSDGALDSSLITMYGKCGDLHSAEGIFNNVVHLSTATWTSMITCYNQCGCYREVIDIFSKMLLSNGEPNAVTMMGVLRSCVRLGWLKEGRSVHGFVIRKIMDPEYNSLVPSLVDLYATSGNTRCAHKILFVSRERHIVSWNLLISRCIEEGSKEALTIFRKMQSYNISPDSFTLATMLSACGKFGLLLYGRQIHGHVIRTSCPSEFVLNSLIDMYAKCGFVDSAYLVFKNRGQGSVVTWNSMICGFCHNGFSAKAISLFDQMYSKSLEMDAVTFLSVIQACSNLGYLEKGKWIHHKLITTCLQDDTYINTALLDMYAKCGDLYMARGIFDTMSERTVVSWSAMIGGYEGGDWGEFSMVRSLMNGLGLKKCLGMSKVEIDTGVHGN >KZM85103 pep chromosome:ASM162521v1:8:22764991:22767005:-1 gene:DCAR_027475 transcript:KZM85103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVLETEKQGVSLEDLKNKMADFAKERDWDQFHSPRNLLLALVGEMGELSEIFQWKGEVPRGLPDWKEEEKQHLGEELSDVLLYLVRLSDICGVDLGKAALRKLDLNAKKYPVMLCKGSSTKHNQLSEKQ >KZM83874 pep chromosome:ASM162521v1:8:2501033:2501194:-1 gene:DCAR_028704 transcript:KZM83874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARGDNRDRELLIPVAHSPPSTSSGDDDESSKPSSPTVASSHLSGREVYFSL >KZM84431 pep chromosome:ASM162521v1:8:14407472:14413588:-1 gene:DCAR_028147 transcript:KZM84431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNIRRVAESICTSQRSLHLEGSTYGAVVTSGLHSSHYRYYSKYISSSSRYSYLAYKPIYGFCKSSCPKNYHVISASNRITHRAQVAWKRLSQGPFCNAQNWTPISRLAQATSLALSRSYLVVPGIFALTCGNVTWAQASANSESFQQSNALYMHAQDSHAFLISLLLSAFEGVVLLLRAIYLTILFSPSIMLAPFADTFGPRYRKVWLQVVHRTLEKAGPAFIKWGQWAATRPDLFPKDLCTELSKLHTKAPEHSFAYTKKTIEKAFGRKLSEIFENFEEAPVASGSIAQIHRASLRYRYRGRVNKPIVVAVKVRHPGVGNSIRRDFQIINLVAKTSTFIPALKWLRLDESVQQFAVFMMSQVDLAREAAQLSRFNYNFRRWKDVSFPKPVYPLVHPAVLVETFEPGDSVAYYVDELDGHERLKRSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVARSKSSRKRLFKSKPHVIFLDVGMTAELSGSDRVNLVDFFKAVARRDGRTAAECTLRLSKQQNCPKPEAFIKEVTESFDFWGTPEGDVVHPAECMEQLLEKVRRHKVNIDGNVCTVMVTFLVLEGWQRKLDPDYDVMHTLQTLLLKADWAKSLTYTIEGLMAP >KZM85560 pep chromosome:ASM162521v1:8:26772239:26772829:1 gene:DCAR_027018 transcript:KZM85560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRLEKSISLPTNAMYAQDDMNHRFNYKIPEHPAEPRTNKACLMPQCMARQRLDKTISLPTNAMHTQADTNHRANYKIPEDPTRPKTKVASQILHNMEEQRWFEEPRNDINQWFNYDIPEHPMNPKTNRSTSKAKPKSKSSRLYLLSCASVSDIKRQKRLTGYYAYTAEGKLKASVRNTLSWFKDKYHTIVHGY >KZM84483 pep chromosome:ASM162521v1:8:15203456:15216732:-1 gene:DCAR_028095 transcript:KZM84483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHDNRYNADGDADQGGSSPYDVFEDRSSHHQKQKYNEPERERLRTRERDQDRDSGRDRRHSDYHDRSGRDGRGRGRNEDSGRSRNHDYSSKRTSGFDMAPTVATTLPGAPGQQPEAPQAMPGMFQNMFPFGTAQMGAVPMMPAQAMTQQATRHARRVYVGGLPPLANEQIFCSSIVYNFALLCEILGLYGDAVVNVYINHEKKFAFVEMRTVEEASNAMALDGIMFEGVSVRVRRPTDYNPSLAAALGPSQPNPHLNLAAAGLTPGGLGGAEGPDRIFVGGLPYYFTEVQIRELLESFGPLRGFDLVKDRDTGNSKGYGFCVYEDQGATDVACAALNGLKMGDKTLTVRRATISNGQVKSEQESILAQAQQHIAIQKMALQVGGVNLPGVGTGPEEDIASPTKILCLTEVITADELMDDGEYEEILEDMKDEGGKFGDLVNIVIPRPSPSGEPIEGLGKVFLEYADTTGCSNAREALSGRKFGGNSVIAVYYPEDKFYNGDYGA >KZM83860 pep chromosome:ASM162521v1:8:2352046:2352729:1 gene:DCAR_028718 transcript:KZM83860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLSFYTFLLYDVICSTILSNTCNIDLFDDSKKQPQAVDDSNQGLIMENRYIVHAFFKVPSTDIDSNDQKLEKNIKLLTKWKPGSYNKEFFFGRVH >KZM84017 pep chromosome:ASM162521v1:8:5492561:5494642:-1 gene:DCAR_028561 transcript:KZM84017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQEKIEASILKEVLEGDNRAISFALGQIHHKTLEEKRSSSSSKSTYNSFKEALTKSNPQTPAQTKSTDSQQKEKTAPAISNTVYIKGFENSLQLRDLWILFRRMVNIKDIILPRKTGTSGKRFGFIITENPLQASKLIDKFHKQIINNCTLHLEFAKPRRNRSNLKKGYNSRLDQPSPKISKEYKRNQERHVNAHIKGSIYMKENQPSKEEVRHCELGKDGDFLSELSSSLLLETAINMRVDTVESIMAGLGFGEAIIRGLSLNTFIAHFPGMKNLDELDIDFMNIGFKSIKKVEWEDTVPRRRVDVEIRGLPLIAWTNKNCQLLTEKWGDILNYYPIVDPEGVYQVPRIRLETSSTSSIMEQLTITVEGKSWNIQIVELTSDSQQFLEETKGMEVEEPTLSEEHNVKDDLKIEEIVEVETSSSDNSSKKNAKTLRSEAVHNTRKANILNLSDTINVEAVHGTSHQGTVTVEAVYTTSQQGKDEDQFKAMDDDNETQRTQNVQALREPSDHEDSSGSLINPTTPPAMMVEGLLEDQTSELKDSIPKNPNVIEADEGSEDWRLKWQERDVSSDEATASQSLQSQKSSILDDMVEESLEVENSLLISSINKMSIKSRRGRPSKGKAKVKENKAFKVPRRRKIRGMKLGLPVIAADKMIFDEAKFVYDSAMDMGLISEHSEEISLQLIRDNLGN >KZM85053 pep chromosome:ASM162521v1:8:22228308:22229015:-1 gene:DCAR_027525 transcript:KZM85053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDRSSLQRSAAEGYATINTTDTPIILTPQQPIGVESKRFNWNLFLDIFSIIFFFLLLLYCGSEVYLHRNDQVRKHEFSIDSVVAAAYDRPTDNKINSGWNLMFNVTNRSNNSTFFYENVAISGFYGDQILWATMLPNFYQHVGDHSALKMSMVSSSAADGAYFPKGFIYNNRKLNMSPGIDVKLIATVTEHSKVLSQHSYQVVVFCPNIKMKLDSAQDFLKFTGASRQCQLII >KZM85572 pep chromosome:ASM162521v1:8:26833519:26835159:-1 gene:DCAR_027006 transcript:KZM85572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFNYLDCTTSADNRHSNRPVERVGEQSQISENHTSQGRQQMPFFPGFERFDELCFNNGSSEASPFQLTQDECTKLRNIHSEMLELFAPEQERSSPTSLSSFRILNKCRSNFEEQNSEKVKVPDSEISCSKVGGQSVIEIIQLAGEKFIKSRSLRIDDLSELSQGPYVDKTEDVEFIIQNLLLSAEKIGEQQFELARSLLNHCNEFCSDKGNPIQRLVYYFSAALRERIDLEMGVTTPKVVSLEFEKAIMNPSSTSLTLHQRVPFCQVMQFTGVQAIIEHVSQAKRIHIIDLNIKYGTHCSIFIQDLASRHACPVEHLRITALGTNSNILPTDTGKRLANFAKSVNLSFSFHVVTVADMLDLHENLFELDTDEVTAVYAPYILWTLISKQDRLECLMKVIRIINPCVMVVSENEVNHNSPMFVNRFVEALFFFGAYFDCFGEYMDADDPGRFSAEYMFGHGIKNIVATDGKERTVRQVKNDVWVSFFAQYNMVQKELSRSSLYQATLVAKNFECEDSCSLKLDGKSLILGWKGTSVLSLSAWEFV >KZM84265 pep chromosome:ASM162521v1:8:11259242:11259397:1 gene:DCAR_028441 transcript:KZM84265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGRRLIDLLTSTLNFLCHLVLLVGANSWNWSIKPPAGDRISGWLDLYL >KZM85426 pep chromosome:ASM162521v1:8:25674238:25676493:-1 gene:DCAR_027152 transcript:KZM85426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSKDYSWVFRSNPMIHWSFKVLILTVFAGVLIVWGIDGWDVSSFHRDFVDVRLNSNITHQDFVVNHENVSQNYVAKPFNSTVVENLAKKIDNLVPDVSKVNQTEPNIASVSRLPEVGGENKMKADIRGVSSSPDIAQVIPLNVTQHKFDVSDGSLLSVQDSGYLEWVSAELEPNYTKNLLANWLAPGDIVIPGFDGNDHIELSTGKIHEFVFQAVDEEGNARCLGGDYFETDISGDLWKSRPPVQDLGNGTYRFSLQVHPDFSGKYEFTIILLFRHYQGLKFSPERFSYDKVLRKVPIKMVRSSDKLPEIKQCQKSDLSRNLWSGRWTRHAKNDRCNISNDGRYRCQKPNFPCKEPWCYGSLGLLESNGWTYSTHCSFKLYSSEAAWNCLNKRWIFFWGDSNHCDTIRNILKFILNVNFDVVPRRFDMNITNPNNPMQSVRITSIFNGNHNASGNYQGLNSLYNVPYRELLKQYFSQETVPDTVIMNSGLHDGVYWPNIRRFNKGAEDAAAFWAELLEGVRHRKVAAPEVIFRSTIATGGYARRLAFNPSKMEAFNGVLLEKLRQHNVVSTVIDDFDLTYPWHFDNRCNDGVHYGRAPAKMRWRDGEIGHQYFVDLMLCHILLNALCEH >KZM84968 pep chromosome:ASM162521v1:8:21196941:21199224:1 gene:DCAR_027610 transcript:KZM84968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGVMEVTLVKARGLKNTDFILGGKIDPYVVIRYKDEEQRSTVAKGKGSEPVWNEKFAFSVDYPGSDDEHKLLLHIMDKDTFSADDFLGRSTIYLNDIFELGVENGTAVVEPTIYSVVDSNQRYSGEIQVGITFTPKVQAEEVEDFGGWKESNPDYFP >KZM85221 pep chromosome:ASM162521v1:8:23862399:23867447:1 gene:DCAR_027357 transcript:KZM85221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHENIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFAKDPRLIKMFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQQPLFPGDSEIDELFKIFRIVGTPNEDTWPGVTALPDFKSAFPKWPSKELGNVVPNLDVAGLNLLKKMLCLDPSRRITARSALEHEYFKDIGIVP >KZM84871 pep chromosome:ASM162521v1:8:20310239:20311330:1 gene:DCAR_027707 transcript:KZM84871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRAFTTPRKSFRYGETSCRSASIHEYEDCIIGFMDDPPLKNCNDVPGAIHLTLREVLRGSVGVVGQSRLGMIEKVVLLGGQVCSLKRFREVSVKRIEFGRRIQRLAEISKQCKYLVPVTSYLYTRRIKFVVSHYFPMGSLADLLAGARELGHTALDWKQRLKIVFHMARAIAFIHGQSPSQEKHLILNVHGNIKSSNVMINCDFDASISDYGFVQLAERVKVSDICQVKPPLDSQPRLYIDSFSQKCDIYNFGIILLDLLAGHTSSESKNEIIEKKEEIKNGKCQFFEFPTTGKARKQALKVLDIALACTNNSPDARPSMEQILLYLGDIIK >KZM84592 pep chromosome:ASM162521v1:8:16619723:16620463:-1 gene:DCAR_027986 transcript:KZM84592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKKNTHSSDEEDDTFYYRYATAAPPPTTTSTAQSTSRSGSGGLAPSKSTVYISNLDYTLTNSDLFTIFSTFGKVAKRPEPKKVRRFEGKRGNVGEGEGEEGEGDEGFVEENWASVVDSDADERLLRNEEERGVGKTGKRKKVGYFSDESGDED >KZM85499 pep chromosome:ASM162521v1:8:26319820:26322134:-1 gene:DCAR_027079 transcript:KZM85499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQEASLNPDGEIKVSFGYHCDEKNENSYGISDEYETPSGTKLRRNNSSFSCLSGAALSANATLANTNICNGLFGAEILPTWDSPNSFRRVPSSSSISKLDLLSTSLQSSLSNLSCSPPTPSDSFLLKSMSAPSRSESFLNAMELQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADYLAGTLYDTIGIYLNSIEWDIESNETSNFPHQLDDDEGGKANAKDVSTDILAKSLSSSSRQRVTDSLQRALCQAENDFLNMVEQEMDDRPDIVSVGSCVLIVLLHGKDLYTLNLGDSRALLATYSEAFMDSDKALQAIQLTDSHTVDNEVERNQLLSNHPDDPMTIVGGRVKGKLKVTRAFGVGYLKKKILNEALMGILRVRNLKSPPYVSTEPSLRVHEISNSDRFVILGSDGLFDFFTNDEVVKLVHCYILSNPSGDPAKFLLEQLVLRAADCAGFSTEELMSIPPGRRRKYHDDVTVIVINLGTDKRTSKASTCL >KZM85583 pep chromosome:ASM162521v1:8:26889483:26890196:1 gene:DCAR_026995 transcript:KZM85583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVGAIWCNGTGWDHRGKTDIAQIFISRCEKRINFMQFVYCEDGGNKLVLSEKIGGDGDSGSLWLDSVTFDYPSEYITRVSGKYENIYSTKYLRSITFDTNRGRYGPFEAAPAKDSLEDTEFRYEVGRSKFGGFFGAFTSTGINSIGIYVKPLEKLVITPVKEE >KZM84076 pep chromosome:ASM162521v1:8:6984313:6986744:1 gene:DCAR_028502 transcript:KZM84076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLVFAKQLPHIHHLSRVSLAYEHQVFVFSIHNRHILAGIRQRGSGNALDLFLQRRAESIKRSRTIGTSSEFDKNSRATSQVPLSTIDQSASYQSIRRARLSNFDQNPSPGSVLDSTVTNVMPSTNAATAIGRSPLSRLDQNANHGVLLDSTVTGVKRRGRGPSIETLFNQSKKSSTSAGAGFSPSNLCQGTLSSSGHNISTDPVSATGVKRRGRGPSIETLFKQSKKSSTSAGATVSPADLCNSTTSSSIHHASCAPVSATVHKRGGRGPGLQLKATSTGALQSGSNLSEVLHTGMSSDPFRYTSITSGTVVKDDMFLSFFPHCISCTGLM >KZM84388 pep chromosome:ASM162521v1:8:13682514:13683843:-1 gene:DCAR_028190 transcript:KZM84388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIWPDQSFMVNANAVTDVDKKNPDKNVKASFVFSKLHAGYFRISLSLGSQALLWKALNEHNHSSHYYNLLSTTFLLLWYLSFLVSIVLSILYLMKCIFYFRTVKDEFLHFVGVNYMFTPWTSWLLLLQSSRVLAPHHFMYKGLWLFFVVPLLVLDVKLYGQWFTTEKRFLSLVANPTSQMSVIGNMAAAWAAAKMEWRESAICMFTLAITHYLVIFVTLYQRLSGGNQLPARLRPVYFLFVAAPSMASLAWSSILGSFDTPCKMLFFLSLFLFISLACRPALFKKAMKKFDLVWWAYSFPSTILAMASVEYAQEAKSAVASGLMLVLTIISVAIFLSLMLSTALNINILGRPTY >KZM83923 pep chromosome:ASM162521v1:8:3406014:3407534:1 gene:DCAR_028655 transcript:KZM83923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNPNKTRAITVSDRYYLNLLASKPFEPTPKDETPSHIFSEAKALIKLSFPIALTALILYARSIISMIFLGHLGDTELAAGSLAMAFANITGYSVLSGLALGMEPICTQAFGAQRPKLLSLTLQRTVLFLMVFSIPITYLWINISHVLIFLHQEPNITALARTYLIFAIPDLVTNSFIHPIRIYLRAQGITHPLTLASLVGTVLHLPINILLVSHFRLGVAGVAAASAASNLVVLVTVVCYVWASGIHVPTWAAPTRECLTGWMPLIRLAAPSCVSVCLEWWWYEIMIVLCGLLVDPKATVASMGVLIQTTSLIYVFPSSLSFAVSTRVGNELGANRPDKARVSALVSIFLAGLMGFCAMSFAVLVRDVWAHMFTSDVNILHLTSTVLPILGLCELGNCPQTIGCGVVRGTARPSTAANVNLGAFYLVGMPVAIGLGFWFELGFSGLWLGMLAAQFCCAGLMLCVVGSTDWEDQASKAQALTCPQSKETKLPSGEGKETVICITVT >KZM85430 pep chromosome:ASM162521v1:8:25707439:25707846:1 gene:DCAR_027148 transcript:KZM85430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVIVLNGRFAGRKAVIIRAFDEGTRDRPYGHCLVAGISKYPKKVIRKDSAKKTAKKSRVKAFIKLVNYNHIMPTRYTLDVDLKDVVTADCLQSRDKKVTAAKATKAKLEERFKTGKNRWFFTKLRF >KZM85658 pep chromosome:ASM162521v1:8:27614596:27619305:-1 gene:DCAR_026920 transcript:KZM85658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARQKSIIMSILNIMNGGRNEVVIPIIAKETNKQAIIPGLPDDLALRCLAKLSHGYHGILETVSKGWRDVLRSSDYSNYKAREGWSGDWLFVLTEGSKNQWVAYDPEADKWHSLPNISGNSSTGQHYGFSCVTVCNKFLVIGGSYAPRDPIFPNQKPFITSDVVQYDPFTKRWTRAASMRTPRSHFACSVVCGKVYVAGGRTSQCARGLADVEVYDLATDKETNKQAIIPGLPDDLALRCLAKLSHGYHGILETVSKGWRDVLRSSDYSNYKAREGWSGDWLFVLTEGSKNQWVAYDPEADKWHSLPNISGNSSTGQHYGFSCVTVCNKFLVIGGSYAPRDPIFPNQKPFITSDVVQYDPFTKRWTRAASMRTPRSHFACSVVCGKVYVAGGRTSQCARGLADVEVYDLATDKYGS >KZM84196 pep chromosome:ASM162521v1:8:10058484:10059205:-1 gene:DCAR_028257 transcript:KZM84196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIWSRRGGLLSLSSTAANLLFRSNLSTSTSTVTKAASAEATKPKRKKKNKKNLFEVAQFLPNWGIGYHLAKSHWNGVSYQITKLNLYKDGKHGKAWGIVHKDGECFTC >KZM85842 pep chromosome:ASM162521v1:8:29215790:29216683:-1 gene:DCAR_026736 transcript:KZM85842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTTPPRHLSKTIVIMGPTGSGKSKLSIDLTSRFFTYSEIINSDKIQLYSGLNITTNKITPQDQLGVTHHLLGEINSSHSLTPFEFRSLASGYVTNITSRNKNPFIVGGSNSLIYALLSKKFDPNSNIFHDPKPDTICSERQYNCCFLYVHVAQPTLNQYLTKRVDEMLDSGMLQELHHFFESGSFDSVSPTGLRQAIGIPELEKYIRYTKCTDFNKDAAKAMYDDAITQIKLNTCQLAERQVEKIQRLREIGWDLHKIDATEAVTASLRGERVGEIWERDVVGPSVKIVKRFLEE >KZM83858 pep chromosome:ASM162521v1:8:2327950:2333904:-1 gene:DCAR_028720 transcript:KZM83858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLRSGVRQSKRGKNVEENPAVLAPAPRRGTRRGNNLKAPVLKSPLNNPVNPANPSPMSRPAGRGRGRQTNQEKNAEIFGTGVGGRGRAVLDARAKELAAIPDVVAEKSADKLAAAEEEGSTSPLPERVQLGNSPVYKLDRKLGKGGFGQVFVGRRVTGGSGNTGPDAIEVAMKLEHRNGKGCSYGPPYEWQVYKLSEEMVACIGVESISILEQLHSRGFVHGDVKPENFLLGQPGTANEKKLYLVDLGLASKWRDASSGRHVEYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLKGKLPWQGFVGENKGFLVCRKKMGTSPEMLCCLCPPPFQQFLEMVTDMKFDEEPNYSKLISLFDNSIVSTASLRPIKIDGALKVGQKRGRSPFELEDSGNPRKKVRLGTPATQWISVYCSRPPMKQRQVLQCRYHYNVTDSRLYQHVEKAKEDGLHISSVASSSNLWAVVMDAGTGFTSQVYEISPVFLHKEWIMEQWDKNYYITSLAGASNGSALVIMSKGTSYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTTAGSRWGIVMSRDAGFSNQVVELDFLYPSEGIHRRWENGYRITSAAATADQAAFILSSSKKKLQDITQETLRTSAFPSTHVKVMTILNNSTLLCSDDSVDGGVLHHHLVSVRREHNRGACYMLSDISAAFLYH >KZM85052 pep chromosome:ASM162521v1:8:22183763:22186726:1 gene:DCAR_027526 transcript:KZM85052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARMTNVEWTVLCRWTFFNTASVPFVEVFWDYVEKKKLGTTKAEWSVTSEWSPDGCYFLTATTAPRMQVDNGIKIFHYNGSMYFRKTFDKLYQVDWKPESADKFGEISELVESIDSLNVGEAKTQVKGPKSSQTSTKSASTNPPAQKPAAYRPPHAKNAAAVQAELFGGGPSAELSKNALKNKKKREKQREKKAAEAGGSGT >KZM84556 pep chromosome:ASM162521v1:8:16195235:16197695:1 gene:DCAR_028022 transcript:KZM84556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCAASCTKTPPPPPRKYNSITSFGDSLADTGNFLLSGALPFSVVGNLPYGETFFQRPTGRCSNGRLIVDFFAEAYGLPYLPPYLAIVDGVKYENGVNFAVAGATALDAEFYADKKLGHFLWTNSSLSVQLGWFKKLKSTLCTTRQECDNYFKKSLFLVGEIGGNDYNYPLFGGASPKELEALVPLVLEKIISTASTLIEEGAVELLVPGNLPIGCLPAYLTLFLTPEKAAYDRNGCLRAHNAFAKFHNKQLQFALGSLRAKYPHAKIMYADYYNAAKKYVHNPLHHGFTNGVLAACCGGGGSFNFNSSASCGRTGSKTCTNPSTYANWDGIHLTEAAYGLIAKALIRGPFTSPRIQ >KZM84705 pep chromosome:ASM162521v1:8:17936031:17937879:-1 gene:DCAR_027873 transcript:KZM84705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSESCPSFSRLSFDALENTSLSHSPDLLTLKPHRSSDSSVQAIRSATFRRKNSGGGLGFRDFSLIRPIGSGDIGKVYLCRLKSDESCYYAMKVVNKDILAMKKKLQRAETERKILKMLDHPFLPTLHAEFEASHFSCVVMEYCPGGDLHSLRHKQPHQRFSINSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLTDFDLSLCSDPIVAVESPDISPVSSSSPSVSARSKSSPMPFSCFSTRFFRSRKIQSENRLFVAEPVTARSCSFVGTHEYVAPEVASGGGHGSAVDWWALGIFIYEMIYGRTPFAGGTNADTLRGIVKTPLTFPTEFALSGSEMHARDLISGLLHKDPSKRIGSKRGAGEVKSHPFFKNMNFALIRTVTPPEVPGLQRRNGMVCKDKNVRKSAPFELF >KZM84751 pep chromosome:ASM162521v1:8:18706178:18707443:1 gene:DCAR_027827 transcript:KZM84751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALKLLIALILIISVASTGSAAVQQHVVGGDQGWDVSSDIASWSSARSFRVGDLICKLPALPPSMYSRFNVRTRFGYKAEEESVVELRSIEEYISCDLSNPLSILTDGLNKIPLEKQGFRYFTSGNTESCQNGLKLHVEVQSQDKYKLTTVGSSEDGYKMVVATGPSPSISAHDNEFCFVLLIGAVALHVAMAWHENSLI >KZM85023 pep chromosome:ASM162521v1:8:21767940:21771111:-1 gene:DCAR_027555 transcript:KZM85023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTIFECVIPYITDPKDRDSVSLVCSRWYHLDALTRKHITIAFCYTCTPKQLLHRFSHIESVKLKGKPRAAMFNLIPEDWGGYVSSWVLEFSRSFVCLKSFHFRRMIVKDEDLVLLAKARGRTLQVLRLDKCSGFSTDGLLHITRSCRNIRTLYMEESTIVENSGEWLHELALHNTVLETLNFYMTELSEVSYHDLELIAKNCKSLVSVKISDCEVLDLVGFFGAVSALEEFGGGSFNDQPDKYKSVPVPPKLCILGLTYLGKHELPHVFPFASRIKKIDLLYALLDTEDHCLLIQRCPNLEVLETRNVIGDRGLGVLANSCKKLRRLRIERGADEQEMEDVEGVVTQRGLISLAEGCLELEYLAVYVTDITNESLECMGRHLKKLCDFRLVLLDQQENVADLPLDFGVQALLRGCHELRRFALYLRLGGLTDAGLGFIGQYSQNVRWMLLGYVGQSDAGLLALSRGCPKLQKLEMRGCSFSESAIASAALQLASLRYLWVQGYRRSQTSNALLAMARPFWNIELIPTRRDVHAGALGEPVETEQPAHILAYYSLAGQRTDFPDSVIPLSPVSSNA >KZM85551 pep chromosome:ASM162521v1:8:26735382:26736375:1 gene:DCAR_027027 transcript:KZM85551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGRASIILQGDSREERLKNFIAWFSEEDKAKWYADNFIDESDDDSMTFEEHLSYSLDMIESDGFDIEDYSKVTDVGMLVRVHNPPEKPACEGIVAELQECSHLAIAHYNKEHKTHFRDVHVLKANSEALCPYRYYITFQAFNPKGKQQTLFQARVNICFPLKLGRVRPIKFDKEVELVRIKSTKPNPVFLRKRALRRGRIY >KZM84052 pep chromosome:ASM162521v1:8:6184096:6184846:-1 gene:DCAR_028526 transcript:KZM84052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPEYNLKGNKVRNTKAVNLLNRHTPANSTSESSPSDVTVQVPDLDNLGVRRPVWYSDEASTSSKNLLHAFNKARENAGLGSRKMADATILRQHQAPTPVMGKPSFQIP >KZM85191 pep chromosome:ASM162521v1:8:23563621:23565787:1 gene:DCAR_027387 transcript:KZM85191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALTTSTQNGMIITPSALKTGDLLLADLSTQIKSKNVLTDLKVDTASNIMTTITIDEPAPGIKSIFTFAVPDQSSGKVELQYFHENAGISTSIGLKAVPVISFSGVAGDDRLTLGTDISFDTATRKFVKCNAGLNFSSSDLIASLSLNDKGDTLTGSYIHTVSPLTNTAAGAEFSHCFSSNENTLTIGTQHALDPLTTVKAKVNNYGKASGAIQHIWRQKAIVTISGEVDVMAIDKSAKVGLCLILMP >KZM85329 pep chromosome:ASM162521v1:8:24750532:24754071:-1 gene:DCAR_027249 transcript:KZM85329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSGFFFKTQPQIHSFPKTQKAHFTTSLKLPSLKKTRPTTRISSGLIDPDGGKLVELIVKESERDLKKAQVGNLPQIKLSKVDVEWVHVLSEGWASPLKGFMRESEFLQTLHFNSIRLDDGSLVNMSLPIVLAVDDAQKNLIAGSGSVGLLDSGGNPIAILNNIEIYKHNKEERIARTWGTTAPGLPYVEEAIKNSGNWLLGGDLEVIEPIKYHDGLDRFRLSPAELREEFTRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLDMGYKNPVLLLHPLGGYTKADDVPLTWRMRQHEKVLEDGVLDPENTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPLEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQGKMAFFDPSRAEDFIFISGTKMRTLAKNKESPPDGFMCPGGWKVLVDYYDSLSLSETGKVPEPVPV >KZM86103 pep chromosome:ASM162521v1:8:31371085:31372893:-1 gene:DCAR_026475 transcript:KZM86103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGLGIPGDGRCLFRSVVHGACLRTGKPSPTKSLEKELADELRANVVNEFIKRRADSEWYLDGDFDTYITHMRQPHVWGGEPELLMASHVLKMPISVYMLDKKSNTLKTIAEYGQGYGKENPIHVLYDGYGHYDVLKNQSGRFQD >KZM84470 pep chromosome:ASM162521v1:8:15063385:15064190:-1 gene:DCAR_028108 transcript:KZM84470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHSFGYLAPEYVLGGQLTKKADVYSFGVLMLEIVSAKHSGKPTLGTGMQKLLLEWAWQLYEEGHLLDMVDPELKGFPEDEVIRYIKIAFFCTQGSASRRPTMGQVVDMLSRNTRLNEKELLAPGFSQDMGARSSSRNKSSDTPTSTQMSSVPISVTQITPR >KZM84770 pep chromosome:ASM162521v1:8:18989375:18990587:-1 gene:DCAR_027808 transcript:KZM84770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPESTIGAAFSSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQNSSVMTIGIIAPACCDQFHSKSNNLLAFGGANYNTYCMHLQNPE >KZM85752 pep chromosome:ASM162521v1:8:28344832:28347086:-1 gene:DCAR_026826 transcript:KZM85752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNNNATASAGPSSSSDAFTNSSENGGSNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRESPEVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNNKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTIRRVQPSHLQVMLQHQQQACSSRTASSASPSSENNLSNISKLLRSFPMPTPPPPHQQQPQSDRDHTRNFPPYHDRDHLHRQHLELQLLPMSSHATNNHQSSNQTRDENHATQLKLSIGSNDLDGSALGLSTEEHLKMAKKEKAFAEEARKQAKRQIELAEMEFANAKRIRQQAQAEFEKAQDLKEQATRKMSSTMLEITCHACKQRFHTTKTTGGAMYSSVADETSLGISYMSNSAITEGEGE >KZM85504 pep chromosome:ASM162521v1:8:26347058:26350639:-1 gene:DCAR_027074 transcript:KZM85504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLTKAQGVPVSAAQASPRPSLLSSSSGSSSFPIRAPLTVDSDSDVGSYGSDNGFESDGVLGDEVRFDSVVEKTVVEKTVVVASLDDGNGGDEEADVSRPFGANPNEEISEEGVGNGDVEKLVVLEPFGANPDGKFSEESVGDRNAEKIVVSEPFETRPDGEFSEESVGSSNVEKKTVVQSFVVSPIEKGTEEKESSSGSTSSGGNKGIFGLSLSIPDRIITMKRVVSRRFLGVNGESLASPLVEDEGGKNIGGEVKTVDDKILSVASPNILPIATTPLVKEEDGKVVGGDEESVVFRPSPTQSNEKLSEEKESSSGSSNVEKKVVSGTYLSIPDKIVALNRVVSRRSLAVSSESLASPLVEEEDVKDDSGESKFRDDVISSLPSPITPVAKLSGDSDEDSVGSVFEEDGFSGVARISVLETLEKLSVAKDQVLGVGDGDVEEDKLQFKSVSESEVLEGFVLSEDLNRVLAGNEDMSIVKSDSLKNDHIGDLVSDEGPHTGGDAKATSVVESEVSLMSGSSVMDGIAKYSVEEIQALENMEHVAELYDTDSMDTSHNASVLFTSTTEGGTAVDSFKSKGGGQTEDTGIDESSSSIYFPRDTSFLETDEVADSLVEFEFVDITELGPEVVKTVEDNNVSETQGEEVHKPSGHCEIDDSVYKKNEYLNCEKNGGHVNESKNFSVESKSDKVENMMEQSTNFSDGHPKMTDEHFVGYSGEDADLAEESGRKLVFDSASFSGLLDAARDSETVTSTTITFPDDSGLPSLENSADLNSSIRSTKFTSQTELDPKKFLAEEEMNKLDVQQIKVKYLRLVQRLGLSAENSVSAQVLYWLDLAIGSSSSPRAGQETAMTEAVEIEANDKKDIEFSLNVLIIGKSGVGKSATINSIFGEEKTVTGPFESAATAVKVIVGKVDGIKVRVLDTPGLGTSLKDQSLNKKILKSIGRFTKKIPPDVVIYVDRLDMQSQDFNDLLLLRSITSSLGPSVWHKTIITLTHAASTPLDGPSGVPLSYEKFVALRSHDIQQLISHAIGEQNMLNAGAVNPVCLVENQGFCKMSRDGQGLLPNGEAWKPQLLLLCYSVKILSELTTSRAYKALDGSKLFGFLVPSPPLPRILSHEYKKFSADPCEEAAIFEKELVLVGRSTKLAMCAGLDMKSKVSLSISSSEQLHIPFVVILSIVSKIFSKLLSTVGKDY >KZM84423 pep chromosome:ASM162521v1:8:14344363:14345870:1 gene:DCAR_028155 transcript:KZM84423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFSWTGPFTRTEVPHGQRRITRYELDNMIEANFRWRPYADLDDEHQPEYDLYLRWTATTPLMYMAYVEWCYTDRVTRQFGFVQDIPTSSPRANHSNLHDIVNESINWEDARESHTRLWDRSLDRALTSPPLMFGEGCTAAYMPWFLAVTRRYMVNPVFWRTAEAFQGTQGATQALEDQLRDMESAIDPATLDLARAQRIVQGLLGRFRGSRNPSRHRGRPPVTPVEPEPGTYYTHVASGSSDTGGWSHLVGTSSSPVGDVAGTSRADGWDSWPASTVRPSTYAGDDYEGGPRGFTVRLEDDQDMSAEGQSQESYQFQDADAYRPDMSFLRDQYTTPPPQVPVPSFASQSYIFGAPAFPFAPPPVRSTPTPIQMSTFASYTSESSPWAPPSTAVPGHSEAEEQPEDEHQQQPPRAAKGKGRRCHTGSHIFGHKKK >KZM84960 pep chromosome:ASM162521v1:8:21139680:21142380:-1 gene:DCAR_027618 transcript:KZM84960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGDGGYSHESEHDLAVMVSDFLENGSGDSHRCHNSSDSDSGFSDLPSLADNIKCYKLSMDQYERDLLSVVDSLMQSISEIDLHLVKPGPCNASCIRYSLVKLLRLSGYDAAVCSSKWQGSGKVPGGDHEYIDVVNHNDARSSERLIIDIDFRSHFEIARAVDSYDRILNTLPVVYVGSLSKLKQYLQVMVEAARSSLKQNSMPFPPWRSLAYLQAKWQSPYQRHYCPIEEKVEKLRATARSDHRQCYGHLKRLQASIQSEMEVQRLLKPRNSDKSRILKLDRRRLISYKTL >KZM85778 pep chromosome:ASM162521v1:8:28599302:28599466:-1 gene:DCAR_026800 transcript:KZM85778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSISAKQTANVTSNESASSGVVVSLLEPDISLSMSHSFPLAYLYLLFQFNFF >KZM84165 pep chromosome:ASM162521v1:8:9415898:9416899:-1 gene:DCAR_028288 transcript:KZM84165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSGDPKNVRRKTPSFSSSLLDSIYRSIDEPLGKEEENRMRRKPEVDYEEEVPSLRRAIMVEKWIEDHGNKNKKTSKHALKNSNGSNSSDSSCCGTIFSSSDNESSVTRSLQKSSSLHTMQTPKREKKFISTTKSKALQIYSEFKKVKQPISPGGRIARLLNSFFSSKSIKKQDCNEDLCSVTKSRSLKDLSATSYAASSLSKTCFTKPPSKLESNGMKRAVRFSPVSVNIVGEDYKKKNVHKDDPRIVSFPVTRNPEKKNYNAAGGDVPKYRGTFHKVEHEDLEDDMASCSSSDLFELDNISVAAYAEELPVYGTTKLKSNMVIANGYAF >KZM84569 pep chromosome:ASM162521v1:8:16342082:16347183:-1 gene:DCAR_028009 transcript:KZM84569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHDNGSVLQFAPFQSSVDEGFWHRLSSLKLNQLGIDESPIFITGFYAPCSHSQVSNHLTLLGESLPPEPSAQSSHLSLRSGNRNRCSVPGILYNTNTLESFQALDKQSLMKAEAKKIWEDIHSGRAEQESSVLSRFLLISFADLKSWKFYYSFAFPALVLDPPAMITSLKPASQCFSLEEADSLTAVCNEWRNSSTTADVPFFLVSIDSNSHATIRHLKDWEVCRSDGYKLLFGFYDPCHLPNNPGWPLRNFIAFICSRWNLQKIRFFCYREHRGFADLGLSLVGEALISVSQEWKLHKHIPKAVGWEVNKGKKTRCITLANSMDPIKLAVSAAELNLKLMRWRALPSLDLHMLSATKCLLLGAGTLGCQVARMLMAWGVRKITLVDSGKVSMSNPLRQSLYTLEDCLNGGEYKALAAVKSLKQIFPAVEADGIVMAIPMPGHPVPSQDEHDVLEDCRRLQDLIDSHDAVFLLTDTRESRWLPTLLSSNANKITITAALGFDSFLVMRHGAGPLVDYRSESMSALSAELGNFSLADRDKRPRLGCYFCSDVVAPIDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPHRIFAKAEFANSMDNGSTEQPLGILPHQIRGSLSQFSQMTLVGHSSSSCTACCSIVVNEFHNRKMDFVLEAINHPTYLEDLTGLTELKEAANSFDLDWDNDIDDDDECVEI >KZM85875 pep chromosome:ASM162521v1:8:29513760:29519316:-1 gene:DCAR_026703 transcript:KZM85875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNQSALSPQYTPSPFHHSTNPPRFQRPSLKLPFLCIDHPNLVVGRAQINKSGSEDIVSDPNIDGFGPKKAFQCDGDEGASSSSAIDFLTLCHSLKTTKRKGWINHGIEGPESIADHMYRMALMSLIAGDNSGVNRERCIKLALVHDIAEAIVGDITPSDGVPKVEKSRMEQAALNEMCKVLGGGMRADEIQELWAEYENNSSIEANLVKDFDKVEMILQALEYETEHGMVLDEFFVSTAELCKFLYPIASPLTISSPEDKASFPSQEMTCFLYNFNITSLPAPLINLSVIQQAMEDLNMLAADCVVISCCCQCLILEIVVFIVLKLPCRLIKKTKKYVKKKLRHQKKEEIAIAGEVRGYSLESGSFRIRFKEVSCEECCIGCMERIENVLEDMTRKGEFAFGSFWRGEDLEESFPTFIVKNFEFAI >KZM84604 pep chromosome:ASM162521v1:8:16718912:16722151:-1 gene:DCAR_027974 transcript:KZM84604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQKPVSSGVWPTIKPFVNGGAAGMLATCVIQPIDMIKVRIQLGQGSAAHVTKQMLKNDGIRAFYKGLSAGLLRQATYTTTRLGSFRILTNKAIESNDGKPLPLYQKALCGLTAGAIGASVGSPADLALIRMQADATVPAAQRRNYTNAFQALYRITADEGILSLWKGAGPTVVRAMALNMGMLASYDQCIEFFSDNGFSEVSTVVGASSVSGFFAAACSLPVDYVKTQIQKMQPDAQGKYPYSGSLDCVVKTFKAGGPLKFYDGFPVYCLRIAPHVMMTWIFLSQIKKMEESIGL >KZM84651 pep chromosome:ASM162521v1:8:17338656:17341688:-1 gene:DCAR_027927 transcript:KZM84651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDGKNSSRGFVDPPAAPPPTPYYYSTFQGVLNAQPQPPPQSLPPSQPVVGYPQPVPPVGYYSHGYQNVPGYVYPDERPYLEHRLPCCGMGVGWFLFICGFLFGTVPWYVGAILLLFVRMDYREKPGLIVCTLASVLATIAIMLGVTKATNAW >KZM83717 pep chromosome:ASM162521v1:8:745306:757139:1 gene:DCAR_028861 transcript:KZM83717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSILLTHTSPHLVFSPFLNPRIPLRFKPPLSLSTKPSSLSLSTTPRSYKLKLKLISTVRCSASSSSSSTPQSPPPPLPANVFGEKRELTGLQSVVDSMSASVRLIASVIVFGAAVAAGYGLGLRVGGTRNASIAGAVAVGAVGAGVVYALNSSVPEVAAVNLHNYAADCRDPLALRKEDVQAIADKYGISKQDEAFTAELSDIYCRYVSSVIPPGGEELKGNEAETIIKFKNALGIDDPDAASMHMEIGRRIFRQRLETGDRDGDLEQRRAFQKLIYVSTLVFGEASSFLLPWKRVFKVTDAQVEVAVRDNAQRLYASSLRSVGRDVDVETLISLREKQKLYKLSDELAEELFRDHARKLVEENISAALSTLKSRTRAVQTRQPIEELDKILGFNSLLITLKNHPDASSFARGLGPVSLLGGEYDGDRKMDDLKLLYRTYVTDSLSSGRMEEDKLAALSQLRNIFGLGKREAESITLDVTSKVYRKRLAQSVSAGHLEAAESKAAFLQNLCEELYFDAQKAVEIHEEIYRHKLKQSVSNGELSDEDVKSLERTQIMLCIPKQTVETAHADICGSLFEKVVKDAIASGVDGYDADVKQAVRKAAYGLRLTRDVAMSIASKAVRKIFISYIQRSRASGSRIEAAKELKKMIAFNNLVVTSLVADIKGESTDLDTTKPEDTVTEEGRTEEEEEEWESIQSLRKVRPNKENLGKRSQTDITLEQDLPERDRTDLYKTYLLYCLTGEVTRIPFGAQITTKKDDSEYVFLNQLGGILGLTDKEIVEVHRSLAEQAFRQEAEVILADGQLTKNKIEQLNELQTKVGLPSEYSQKIIKSITTTKMSAALETAVSRGRLSIKEIRELKEAGVELDTMVSVSLRENLFKKTVDDIFSSGTGEFDEEEVFNKIPQDLSINPDKARSVVHELARSRLSNSLIQAVSLLRQRNHSGVVSSLNDMLACDKAVPSKPLSWEVPEELADLFLVYLKSDALSEKLSRLQYLLDISDSTAESLRVMRDRALPDGVAGEEEFVF >KZM85151 pep chromosome:ASM162521v1:8:23224321:23226433:1 gene:DCAR_027427 transcript:KZM85151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQLSFSAFTRDESNMGMIMVVASMILSWLFIYRWNQRTKKGPKTWPLVGAAIEQLMTYDTMHDWLVKYLEESRTVVVPMPFTTYTYIADPANVEHVLKTNFANYPKGDVYHSYMEVLLGDGIFNVDGELWRKQRKTASFEFASKNLRDFSTLVFREYSLKLSDILSQACFQNQEVDLQELLMRMTLDSICKVGFGVEIGTLAPHLPENRFALAFDTANITVTLRFIDPLWKVKKFLNVGSEAVLDKSIKIIDDFTYSVIRRRRAEIEAEKINKMDKVKNDILSRFIELGKDPENNMTEKSLRDVVLNFVIAGRDTTATTLTWAIYMIMTHDKVAEKLHLDLKAFEEERAKEECVSLQSYETNDPASLSQRLKQYAGLMNYDSLGKLHYLHAVITETLRLYPAVPQDPKGILEDDILPDGTKVKAGGMVTYVPYSMGRMEYNWGPDAASFKPERWLKDGIFQSASPFKFTAFQAGPRICLGKDSAYLQMKMAVAILCRFFKFELVPDHPVKYRMMTILSMAHGLKVTLAIRP >KZM85794 pep chromosome:ASM162521v1:8:28701852:28703351:-1 gene:DCAR_026784 transcript:KZM85794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSKQKKNQAGKGARILISVNVIGSAGPIRLVVNTEELVATVMDSILRTYAQAGRLPVLGTNTDNFYLSAGGEVLPPSDTIGSHGVWNFFLWKKPEVEKFTGVECPVTRVMTLNRKGHGLSRKLGHGSWRAWFSKSLKISSH >KZM84282 pep chromosome:ASM162521v1:8:11530523:11533621:1 gene:DCAR_028424 transcript:KZM84282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDQRLNNLRRRSTTIFCTVANVELTDRWSAVNAVLDAPLSKKLASGILFVRQKHKVPSTVNMVLRINGTCRSPWAADRLGFYKHYLGYTCNFFSQSSNALNELCKIRECAERSERMEANKQQLHNQR >KZM84072 pep chromosome:ASM162521v1:8:6840925:6842052:-1 gene:DCAR_028506 transcript:KZM84072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLTLEPNARQDWKVRVRVSRKWRHIRLNGQTAGVNMIYVDEYDKRIHAWMNSSIMLRLEQTMVEGDVFDIENFIVRRYRAHERNQCFQDDKLHMTLALTTQRPQIAIISSCKALIWQGGIPTVANMQATRIFMNSSYPEAVTLRVGEYGTKFQHLLKLRY >KZM84425 pep chromosome:ASM162521v1:8:14349292:14349559:1 gene:DCAR_028153 transcript:KZM84425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNSRQISTETHFVPDFQDSECHYRCRRFSSSSKARRQKVVHLPPRRYINMAYEPQSH >KZM84975 pep chromosome:ASM162521v1:8:21337773:21338069:1 gene:DCAR_027603 transcript:KZM84975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTAPSVPSTSGGGSRNTELCLDEKWKLSKKEGSFSSRNSASMNQSSSRTHHSSSRRRCAFTRKCARLVKEQRARFYIMRRCVTMLICWRDNFSDS >KZM86046 pep chromosome:ASM162521v1:8:31017811:31022028:1 gene:DCAR_026532 transcript:KZM86046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDSFGTVFVAIALLIWTLLEGSTATLSPSGVNYEVVALMAIKSGLVDPYNVLENWDITSVDPCSWRMVTCSGDGYVSALGLPSQSLSGTISPGIGNLTNLQSVLLQNNAISGVIPAAIGKLEKLQTLDLSNNKFGGNIPSSFGDLRNLNYLRLNNNSLSGTIPESLSRIGGLTLVDLSFNNFSGSLPKISARTFKIIGNQNPLNCGLSTKNNCSVVYPEPLNFPPDDNSDAGGKGHRVAIAMGASFGAAFLLIVIVGSFIWWRYRHNKQIFFDVNDQYDPEVCLGHLRRYSFKELRTATDHFNSKNILGRGGFGIVYKGCLSDGTIVAVKRLKDYNTFGGEIQFQTEVELISSALHRNLLRLWGFCTTENERLLVYPYMSNGSVASRLKDHVLGKPVLDWARRKRIALGTARGLLYLHEQCDPRIIHRDVKAANILLDEEFEAVVGDFGLAKLLDNRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQEGKLNLMVDKALKKNFDRIELEEMIQVALLCTQFTSANRPKMSEVLRMLEGDGLAEKWEASQQRAETPRYQGGFDTPQKYSDFIDESSLVVEAMELSGPR >KZM84233 pep chromosome:ASM162521v1:8:10642047:10644845:1 gene:DCAR_028220 transcript:KZM84233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLKASINSLDRFVLRQARGTSPEMVVKLSTRQPNLESWMKVLKAIASENGISLQVEEEFSMTIEVNISMKKESRGDNVQLSSSAQRIVEGRSAIPVISSDNIDFEDVFGDPPRRFHIMKQQDTVQMEQ >KZM85749 pep chromosome:ASM162521v1:8:28324212:28325516:-1 gene:DCAR_026829 transcript:KZM85749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNVSWDNLPSELLEIIGQKMIGSCEDFIRFSAVSKSWQSVAFKMRQDGSTFLLSPESPLLLLAEDVPEGYSLRCCDINVHEDGLEEVSDDEDLEEDEDEEGLQEEEDEEGDFADEEGDDDDDEEMEDLVEQEFIFSVGTARGIYSLSTEKIYTLELPEAAGKSVRGTNKGWLLTLVINSETKLLHPLLGHQIWLPYLSKCSKVTLSSRALQDPTIMVIHGELGSLGFARFGDQEWKKVESPSVVPFVDITYHKGKFYAINHVGAIFACNIDDGYTSGATGAPITSCPFNPVDFGSKYLVDSENDLWFLARIRGVKFFKPPHNMRVKYRTTHFSVWRLERTVSEDGHETIGTWVQKHDLGGKAFFVGLNASVSLSSSGWVRPNCIYFTDDISNLYFLDGGHDMGVFDVERGTIEQHFQGKSIHPFSPPLWYI >KZM85949 pep chromosome:ASM162521v1:8:30233744:30233917:-1 gene:DCAR_026629 transcript:KZM85949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYANSKSPLNRYQDRRRQRYIRNFPLKSIRMASFDFRNYLAAPPQPLGTFSTFTQ >KZM85030 pep chromosome:ASM162521v1:8:21874786:21875114:-1 gene:DCAR_027548 transcript:KZM85030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKASKADKKIAYDAKLCQLLDEYTQILVAAADNVGSKQLQNIRKGLRGDSVVLMGKNTMMKRSVRIHAEKTGNEAFLNIIPLLVMGC >KZM85298 pep chromosome:ASM162521v1:8:24504703:24507228:1 gene:DCAR_027280 transcript:KZM85298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEPDHVVYVNGDSHNSNHEAGCFYDFLDSHKNTIESLEPSMQNINDEKEITEARDADVKGCTAKVSVQITKALKDEEKEEQKIPSSQDKFSLSDENIKSEPEAQNDRKVIAEAKDSEVKECTVEVSVENCETFTAEQKEEQDIPSIKCELSLSEKKIKSEPETMKDECLKEISEIKECEVKNCMTVDSVNISRAIEAKDEKEQVITILKCQSSLFEEKVEPETEIRKDDCKSNGGLVKPANKIIAGNAKTKHTVPQPFALATERRALSGTRPTKPETEICAPPSKSPHPKSLQTPVSKKQFEIVSPLVARRPLQPTNKKHPDDDDSCSVASSMAPSARTTKHRITFASAPLFRSTTRAERRKEFYTKLEEKHQAMEAEKSQWEARTKEEKEEALKKLRKSLVFKANPMPSFYVEGPPPKVELKKPPPTRAKSPKLGRRKSCSDAAGHDKGIGVSGQGMRYSLGCHIDGATTAKKDMKDNLTVKNVNVTSKFQDEPKQISRDKKASISPRMRAQGNLNITVQS >KZM85539 pep chromosome:ASM162521v1:8:26619316:26619501:-1 gene:DCAR_027039 transcript:KZM85539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQSHVLEITSSVSAEKIFKGIVLEVDTVLPKAAPGAYKNVEIVGDGGAGTIRNITLADG >KZM85170 pep chromosome:ASM162521v1:8:23397924:23400584:-1 gene:DCAR_027408 transcript:KZM85170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVSDELLGTVMPIVVYWVYSGLYMMFGSYDNYRLHSRQDESDKNLVTKPTVVKGVLLQQAVQAVVAIILFKVTGDDTDATIGQQSSLIILARQFFIAMAVLDTWQYMMHRYMHQNKFLYRHIHSQHHRLIVPYAFGALYNHPIEGLLLDTVGGALSFLLSGMSPRTSIFFFSFATIKTVDDHCGLWLPGNPFHLLFSNNSAYHDIHHQRYGTKYNFSQPFFVVWDRVLGTHMPYALQKRAEGGLEARPAKEYKES >KZM86044 pep chromosome:ASM162521v1:8:30994750:30997767:-1 gene:DCAR_026534 transcript:KZM86044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNFRSLTIILLILVLGWSSTFETCDARRGKHWRHNRNFPTSLSKKKGKNHVSSHHHSNGNKPKPKTPSPVAPAPPATKPKDDNTPAPPTKDHNYIAASTYHVLDFGAKGDGKTDDTKAFEAAWAAACKVEASTIVVPSDYVFVVGPVSFSGPYCQNDIVFQLDGTIIAPTNFKAFGSGLFQWIQFTKLVGITVQGKGVIDGRGSIWWQDSSLEDPLDNESELVVPLNSTVKKHLPVPISSSLGQMPSIKPTALRFYGSFNVTVTGITIQNSPLCHLKFDNCLGVSVYNISVSSPGDSPNTDGIHLQNSRDVLIRTANIACGDDCISIQTGCSNVYVHNVNCGPGHGISIGGLGRDNTKACVSNITVRDVNMHNTTNGVRIKTWQGGSGSVQGVLFSNIQVSEVQFPIIIDQFYCDKAKCKNQTTAVALSGITYERIRGTYTVKPVHFACSDSLPCTEVTLNAINLKPIQEKYHMYDPFCWRTFGELYSATVPPIECIEVGKPSNNRIQSNHDLC >KZM84631 pep chromosome:ASM162521v1:8:17175841:17196488:-1 gene:DCAR_027947 transcript:KZM84631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRDGSCYEKPLVGVNVALAFVDGLIALIAFYQLIRIHMRNSQVGWTRQKVFHLMIGLSNLGYFLYFVLILCAACKGWLCWSNSCGFTVMAVPDILFLAAFLLLLSFWVDLCHQNNNDEDEEEGCSPREALLEKMEKPNSSRDRCRRCCSFRLSHIGSRQKVVLLATLLIFALMLASSVLMWLGIGEENPIDPLTVSRVYKDIFATVSLLVGGALAGYGLVLYLKMRKVRSESAASEMWKVAGLAIISIVCFMSTAFIAIFTDIPLLYSWNELSINGFCTSLLLVLYYFIGSSVPSAFILWVMRELPPSSVVNVQEDSGIIAFINDDSIRLHPQHWTASASLQNQASRASPI >KZM85789 pep chromosome:ASM162521v1:8:28669771:28672476:-1 gene:DCAR_026789 transcript:KZM85789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANKFATMLHRSSTKIKHALVFAGLEWALIILLLLNALFQYMIVKFASYFGLKPPCFWCSRVDHLIEPRRCSNLHRDHLCEAHSEEVSKLGYCSAHQKLADCQSMCEDCSSSRQDFCGFSLKFNFVQWVKATGVILNDDDMVIKNGVEGLCSCCGVIFERQIYAPYVPIKPSNWNHFKYDIQEKNLITEKSENQYYGKNDEKSDFYGIDFVIAHFNGDDHGFKGKLEKLMLSSVDGVLEGDIELNEEVFGITMNDPSSDEIVHQQSREKDASFEILQQHLEFLIDGTGQQLVPVESIGSTTADNDTKYGVEDHEKNSDCLEAPLVYEDVFAAPVVSDQENTEETEFAENYLLVPPQDGDLVHEQIIQINVQDTAPYFLAVSEEGSEMQLYQAEKEAPTCTEVALADMEGGDQDVPIGTATEDLSTFMMSDTDTEVSIGAEIPDLNSTEDAYTEDNHCLHAETSASCTSFHAHYEHGSETDSQETVDLSTFMIELNDHNINSQSSVYFEINGNEDENFCERPNSSNGHSHVDKTSLFHQRKDSEADESLEGSVINEFDDEVMTVESLNSTLRAERKALNVVYAELEEERNASAVAASQTMAMINRLQEEKAAMQMEASHYQRMMEEKSEYDQEAMQLMNDLMVKMDSERQWLEKELEVCREKLLDYEAKENMVMLQRSMDESAKIGFSSVSCCTEEDSDEISIDLNKEENKSYGHQGNGCLNTPVKDVLYFRDQFGSIDEERMSLIDQLKALEDKHCASSEDEQHFEKHLNLERSSGIVGEYENAEFSIHYNEFDAAITRFKLQDRRAAMKNEE >KZM83763 pep chromosome:ASM162521v1:8:1354862:1358172:1 gene:DCAR_028815 transcript:KZM83763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIFSIRIKALLRSKCAAHNQYTPGPPGAQTGYVIDPFSIYLNNLPYEVNRSSIIAASRSFGPIQKGGIKFSRVKKFSGKPGTAYGSVMFTDTKSAIAAVKSEPFYMNGKLVYIKQKKKKDNSDQAMLTLGSKTKMFQLQNENMEQYHLDQIRGFCLTTTESKNFIKQYWKPLTDHIPSVDMWLQDGVAKFDCYDDGTRFSPKLDTLELFSQKLETVISNEEDENEVPRVDNPSNILVDHPKYEA >KZM85703 pep chromosome:ASM162521v1:8:28006751:28008529:-1 gene:DCAR_026875 transcript:KZM85703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFLWVLSFLIIFSFSNFSIQAAVAPACSSADRAALLGFKARILRDTTGILASWSTRDCCDGNWVGIQCDPATGRVTEMQLQGPADKDSGLYMKGTLSPTLGNLQFLEVMVITGMKRISGSIPESFANLRRLTQLALEDNEIHGTIPAGLGHLALLKALSLNGNHLTGQIPGSLGSIRSLAQLTLARNTLSGPVPSTFRNLHSLQYLDLGYNSLSGSIPELVGQFQNLTFLDLSNNRFTGQISSSLGSLNNLLDLSLSHNQLSGTIPVQIGRMKSLTSLSLSANLLTGQIPESISQLQSLWYLNLSRNALSNPLPSAFSKGIPSLLSIDLSYNKLSLGKVPGWITGRELSDVHLAGCNLVGTLPSFKNPNSLTSIDLSDNHFSNGISTFFAKMSSLQKAKISNNQLKSDVSVITLPRGLSSLDLHSNLLSGHLSKILNNSTTRFLQSLDLSNNQISGNIPELPQNSNLILLNIARNKITGHIPTSISNLIKLQRLDISRNQVTGTIPTSLGSLLKLQWLDLSINRLIGRIPESLLNIEILRHANFKANRLCGLIPQGRPFNIFPAVAYGHNLCLCGKPLPPCKGKNKESSQ >KZM84728 pep chromosome:ASM162521v1:8:18420510:18424232:-1 gene:DCAR_027850 transcript:KZM84728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRSSTRYGGPATVFTGPVRKWKKKWVHIAPSNAASSNPRHSHSFTNANANGVTHLKLFKWTPLLNANAPDPDQTDDDEPPRRKFKYVPIALLEEQKDEASEQVEDEAAEQVEDEAKPSETNIVATDLNSKADDVDEKPDINNVPMEENETPENPLGRQDLNESTVDLGINLNSRDGENDSDSMNDQIKDGEPETLDSVKA >KZM85242 pep chromosome:ASM162521v1:8:24027114:24028996:1 gene:DCAR_027336 transcript:KZM85242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWDTTEEKLSEYFGNYGEVLLTSVMKDKLTGKPRGFGFVVFADPSVLDAVLQEKHVIDGRLVEPKRAMSREEQQVSKAGNPSSGRSYGGGDVRTKKIFVGGLPPTLSEEEFRQYFEAYGQVTDVVVMYDQQTNRPRGFGFISFDTEDAVDRVLHKNFHDLNGKQVEVKRALPRDSNAGGGGRTMGGGVSRGGGGYPSYGASGGNVSSYDGRDSSRYMQSQNTGGGYPSYGSSGYGASSNWYGPGGNGAGSGGYGSYGGANPGYGGPAGASYGNPNVPNTGYGSYGSGPAGASRNAYGAHASSGYGNTQWGASGGSNGGPGGAPVSVPTGQSPSGAAAYGNQGYGYGGYGGPDGSYGNPAAYGAVGGRPGGAPNSSSAGGEVQSGSGGYMGGNYGDPTGNSGYGNQGWRSDPSQGSGSYGAPSGGQVGYGGGYGAPQQ >KZM85401 pep chromosome:ASM162521v1:8:25472279:25472581:-1 gene:DCAR_027177 transcript:KZM85401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSECCLLLETLTGMRVVDVNARNMKKNLVDKAEARPVLGCERKAKKVVNPKLIEQKHCPKVGRSILSDVPALALKCLEELRLILRNAPLVEVKNVTIYI >KZM83779 pep chromosome:ASM162521v1:8:1508169:1508836:1 gene:DCAR_028799 transcript:KZM83779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKALIRDPRNSNQQGQAQPEQVESGDDDLEIGTEIRETRALICDSHNSNQQGQAQPEKGESDDDDLHNRTEIREDYVKDLGASKAERTRKD >KZM85745 pep chromosome:ASM162521v1:8:28287586:28288337:-1 gene:DCAR_026833 transcript:KZM85745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHSCCHLNLLSSFWLKKYFGYLRCCDIYVDEDGLEEDPNDDKDVKEKYEDEDEENEAEEGEEEGEEEDEDRRKYFGYLRCCDIYVDEDGLEEDPNDDKDVKEKYEDEDEENEAEEGEEEGEEEDEDRRKVMMNDDDFDQLILSVGSARGLYIYH >KZM84694 pep chromosome:ASM162521v1:8:17815572:17816288:-1 gene:DCAR_027884 transcript:KZM84694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMICKEDGNEVFFRIKRSTQLKKLMNAYCDRQSVDINSIAFLFDGRRLRGEQSPDELEMEDGDEIDAMLHQTGGAVY >KZM85169 pep chromosome:ASM162521v1:8:23393568:23396666:1 gene:DCAR_027409 transcript:KZM85169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLSAGDSRTRSSVSIFIVVGLCCFFYLLGAWQRSGFGKGDSIALEMTKTGADCSVLPNLNFDTHHSGDVGIIDNSGSKVKNIKPCHSRFTDYTPCQDQSRAMTFPRENMNYRERHCPPQEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLMSVIPIENGTVRTALDTGCGVASWGAYLWKRKVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYRSWQRPKEELEEEQRKIEEVAKNLCWEKKSEKGETAIWQKKLNADSCRASQANLCDSSDPDNAWYKKMEACITPYAKSNAEAGEVLKTFPERLYAMPPRIASGSVPGISEEAYLEDNRNWRKHVHAYKRINKIIDSGRYRNIMDMNAGLGGFAAALESPKLWVMNVVPTLAEKKTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFTLYKDKCEFEDILLEMDRILRPEGAVIIRDEVEVLVKVKKIVSGMRWDTKMTDHEDGPLVPEKILIAVKQYWVTGENNSTSSQ >KZM84192 pep chromosome:ASM162521v1:8:10033828:10034578:-1 gene:DCAR_028261 transcript:KZM84192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLAFFLVALLLLQVFTEALQPADDLDSSEIAATRVQEDAESRRGRMCVIEHAKLVVPDATVSLLALMETKVSVPAMPSSRLIITSQSALSHLRAICYSL >KZM83715 pep chromosome:ASM162521v1:8:733363:734098:-1 gene:DCAR_028863 transcript:KZM83715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLENFTGRENTGLKHFTVVKIRLQQQKGLSPELLKYKGPIHSAGVILREDGLRGLWAGASPTVMRNGTNQAAMFTAKNAFDKILWKKHEGDGRVLLPWQSMISGFLAGIAGPVCTGPFDVVKTRLMAQSRSAGDMKYTGMFHAIKTIYAEEGLLALWKGLLPRLMRIPPGQAIMWGVADQVIGFYEKTYINKAPL >KZM85691 pep chromosome:ASM162521v1:8:27893719:27896522:1 gene:DCAR_026887 transcript:KZM85691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSAPSPSLSYNSFVEFWRTAKIDQFFLGELLAFVIGFMVLYSFFRKREKNNSSASAENTRGLSNDHANDLTTRSSESDAAGNADVIVVGAGVAGSALAYTLAKDGRRVHVIERDLTEQDRIVGELLQPGGYLKLIELGLEECVNEIDAQQVFGYALYMDGKNTKLSYPLEKYDKDVAGRSFHNGRFVQRMREKAASLPNVRMEQGTVTSLVEHKGTVRGVQYKTKNGEELSAYAPLTIVCDGCFSNLRRALCNPKVEVPSSFVGLILENINLPHINHGHVILADPSPILFYKISSTEIRCLVDVPGQKVPSVTSGELGRYLKTVVAPQIPPELYDSFIAAVDKGNIRTMPNRSMPADPQPTPGALLLGDAFNMRHPLTGGGMTVALSDIVLIRNLLRPLRDLHDSETLCKYLESFYTLRKPVASTINTLAGALYKVFCASSDKARQEMRNACFDYLSLGGICSEGPVALLSGLNPRPLSLFLHFFAVAIYGVGRLLIPFPSPQKLWLGARLISGACKIIFPIIKSEGVRQMFFPATVPAYYRAPPVF >KZM85405 pep chromosome:ASM162521v1:8:25507208:25507960:1 gene:DCAR_027173 transcript:KZM85405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLHLTHLQHLSPITPQNSNSPLVSPIPRHSISFLHSRWIKTTHSLSTRSTTRSSLVSADVVTEQTNKPTLRELCQGHVPEHVLRRGEEVGFVLPTDVQEQALPILFSGRDCILHSQVTILSEILVL >KZM85962 pep chromosome:ASM162521v1:8:30301237:30301551:-1 gene:DCAR_026616 transcript:KZM85962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPLQLLVQILMTLQLARAATMTGSWCVARSDASDDALQAALDYACASGADCNPIQPSGLCFLPNTIQAHASYAFNSFFQRKSMAPGSCDFSATATVAKTDPS >KZM83786 pep chromosome:ASM162521v1:8:1601655:1602860:1 gene:DCAR_028792 transcript:KZM83786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMWDIPDQDAYKINIHCEISDVPSPVGNTVAVAAIIRGPAGSKLWGMEGPANNLTLEQGIMAAIQAACVYADEHALEPIHIETTHVGIFELVSAQDQFIIPHELLEAFRLFNSLHANNADNNEGANPRRISWIPHHMNSTAVYMAEYGMKHLTATVELPGASTLGNLQYFLDRDMGRALPNPEMVILPNLGLGEVEDGPPLPPPAKRVCFSRDIKMKAPLDPPQCNSFLGSTSQSWKIATPIPLLIAKGKDALYGGFKFYENGNFSEQAIDILKTGTLAEISPVFAEKAVNLEAYVINGLLAKDVLNYACLGCLSIALETSEAEPKPRSPSALLVVEQQQEVQKSSSPVLPVEPLNDSALEDLHLLPVVDMLAEMEKVTIPVQQDKPLDGEDVGLDVTQ >KZM85107 pep chromosome:ASM162521v1:8:22787298:22794159:1 gene:DCAR_027471 transcript:KZM85107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNIIFNRSRPLILKTLKPIFSKPISTFPFLSQQPQPLSPDPPTPAPPSQTSSATWTHPPGSTHSLVPLDFLQTHVVKLERIAESLDLESIKELFADWMTLQKWHDMKKVFEHWSSCYDKNGKLNKPDVGLYNHYLRANLMLKASPSQLLELVSGMESLGIHPNTASFNLILKTMYLYKEVDTAVQLLDRMIESEKETVKDSEQDVVCRPDDESFDLVVGMLLHENRMEAAFKYIDLNLKSGHSMSSNVFSECVFKFMASGSLDTLVSVIERCKKMDQNKALRPEWKLCLDMLDCAMKADNSELAYYALEFMAKWMIQDENMRPPRYLSVEEGLVVSLLATAGRTYSKKLLDAAWTILKRSLRKKRVANAETYLARIHAHASLGTEHLKKAFGALHEFESLYGGADKQSAEDLFSPFTALYPLVVACSHNGFATLDSVYFQLENLSKANPPYKSIAALNCIILGCANIWDVHRASETFTAISTTFGLTPDVNSYNGLICAYGKLNKRKEALELFEQLKSLGIKPNAMTYALLVDAHLVAKDPKSALSIIDEMVISGYTPTKAILKKVRRRCIREMDYESNDQVEDLAKKFGIRMGTETRRNLLFNLQYTADYVQER >KZM85467 pep chromosome:ASM162521v1:8:26012263:26017741:-1 gene:DCAR_027111 transcript:KZM85467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFNLAVLSTTVDRLGTSDSKSVVSVNLFVALLCACIIVGHLLEENRWINESITALAIGICTGVVILLLSKGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGTVGTLISFSIISLGAIYIFNKLDVGTLKMGDFLAIGAIFSATDSVCTLQVLNQDQTPLLYSLVFGEGVVNDATSVVLFNAVQNVDLSNIDAAIIFQLIGNFIYLFLMSTLLGVVAGLLSAFIIKKLYFGRHSTDREIAIMILMAYLSYMLAELSDLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAEIFIFLYVGMDALDIEKWRYISDSPGTSVAVSSILLGLILVGRAAFVFPLSFISNLAKKSSSDKIELKQQVTIWWAGLMRGAVSMALAYNQAIGITQLRGDAFMITSTITVVLFSTVVFGLLTKPLVLHLLPSPKHLSRMISSDLMTSKSFTVPLLNGQDSEADLDLVAPLRKSLNVPHLNGQDSEADLDLPSPGIPRPTSLRMLLTNSSHSVHHYWRKFDNSFMRPVFGGRGFVPFVPGSPIEQSFHNGSDNYPTSEQTLP >KZM85509 pep chromosome:ASM162521v1:8:26395548:26398244:-1 gene:DCAR_027069 transcript:KZM85509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWGITGNKGRCYDFWMDFSECMSRCREPKDCSLLREDYLECLHHSKEFQRRNRIYKEEQRQLRAAASKAKGEVGHEVQPH >KZM83745 pep chromosome:ASM162521v1:8:1078799:1081959:-1 gene:DCAR_028833 transcript:KZM83745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPINGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRTERQMMLGGAQSDAFVAEESHNVSLDGDFSIQVLQKALEVWDLQVIPLNSPVAEPAQIDPELEKAFICHLQDHWFCIRKVNGEWYNFDSLYAAPEHLSKFYLSAYLDSLKGFGWSIFLVRGNFPKECPISSSEASNVFGQWLLPEDAERIIKSCNTAQRTPDRTTRVQQQQDQFPQYGAESPSDAEDEDLKAAIAASLMDSLPATVAPKAETGASETENKDTVEKKHDD >KZM83783 pep chromosome:ASM162521v1:8:1577587:1578618:1 gene:DCAR_028795 transcript:KZM83783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRILVQSELSLVRNFGDKKFQHLEFHIVDLEEDEVHLNISRPLFACSGLHTLLHLGELVYMFGGCKTSKVITDIDSCVSENPTDTFYTGSALLRLTSDDSGEWCKNPKPIFGPLFANATCLGGKIYDMGFWHLCPQLFNPATDSWEDITLPSELQGCTVSLFVLPDPSNDRIILHLEKGSLSSPSICAYYPNSDEWKRIVSDFPGCAWDPVSAVADDVVYFNYDKCHTLVAAYDLKNLKWLDVHLSHNVVNGCYIIRENYKNLMYLGDNSFCLAVPSNQSSSIRCAERCLVLFVKFRVERRGSVINIVPLLARSFIFPRTSYVCNMVALRYFLSYLVFATL >KZM86125 pep chromosome:ASM162521v1:8:31548657:31548875:1 gene:DCAR_026453 transcript:KZM86125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDWGPVVIAVLLFVVFSPGLLFQIPGRGRMVEFGRMHTSGVSILVHAMLYSGSSPSFSLPSAFTSTRTSHL >KZM84765 pep chromosome:ASM162521v1:8:18961227:18962106:-1 gene:DCAR_027813 transcript:KZM84765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEVESVPQTLPETIAPEPEVTTPEPAKEEVVVAEAAAPAEPEAEKPAEETVPVAAPADEPAAAPVEAEEPVAVEAEEVVEAPKDVEAEPPVAETPVVEPEAVVEAPKEETPVEAAPEAEEAAEAPVEETPLDVPSTEVPVETKTEE >KZM85089 pep chromosome:ASM162521v1:8:22601597:22602568:1 gene:DCAR_027489 transcript:KZM85089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCDKPEVVERGVKDKDEGQGGFFGTIKNFVQDIGEKIEETIGFGKPTADVSAIHIPKINLEKADIVVDVLVTNPNPVPIPLVDINYLVESDERKLLSGLIPDAGTLHAHGSETIKIPVCLVYDDIKNTYDDIKPGNVIPYKVKVDLLVDVPVLGRITLPLEKTGEIPVPYKPDIDVEKIKFESFSMEETVASLHIKLDNKNDFDMALKALDYEVWLGDDNIGGAELSKSAQIDKCGITYIDLPISFRPKDFGSALWDMMRGRGIGYTMKGNIEVDTPFGPMKLPISREGGSTRLKKVKEDGCEEDDDEVTPIIVNFWTVQT >KZM86025 pep chromosome:ASM162521v1:8:30838348:30840294:-1 gene:DCAR_026553 transcript:KZM86025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNAVRTIKPLEKRCSFFSAYARRNVLRSGGAAGEDMLIDDVTATVKPLVEPTLLQPRVVVYDGVCHLCHGGVKQVIRADKHKKIKFCCLQSKAAEPYMRVCGVEREDVLRRFIFIEGPEVYHQGSAAALRVLSYLPLPYSALSYLMVVPRPIRDAAYDYVAKRRYSWFGKGDSCLVLQETELLERFVDRDELLDDKQSDP >KZM84602 pep chromosome:ASM162521v1:8:16707133:16709671:-1 gene:DCAR_027976 transcript:KZM84602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIVQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFLVNLLGKWQESEYSGQSVPVGGLAYYVTAPSSLADMAANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGLFGF >KZM84177 pep chromosome:ASM162521v1:8:9726068:9732165:-1 gene:DCAR_028276 transcript:KZM84177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LCYE description:lycopene epsilon-cyclase MESYCIGGRNFTTMAVFSTCPTWRRPRRKRLRRSNVKVSCGRKSEMRCVKEVSSCVAVVEDEEFADKEDFVKAGGSELLFVQMQRNKAMDTQSKLAHKLPRIPIRDSVLDLVVIGCGPAGLALAAESAKLGLRVGLIGPDLPFTNNYGVWEDEFIDLGLEGCIEHVWRDTIVYLDDGDPIMIGRAYGRVSRHLLHEELLKRCVESGVSYLSSKVEKIIEAGDGHSLVECENNIVIPCRLATVASGAASGKLLQYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYTKQKVPGVEAEYPTFLYVMPMSPTRIFFEETCLASKDAMPFDLLKKKLMSRLQTMGIRVAKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPNYAAVIANILKSSQMNGMINYGRYTENISMQAWKTLWPQERKRQRAFFLFGLALILQLDIDGIRTFFQTFFRLPTWMWQGFLGSSLSSVDLVLFAFYMFIIAPHHLRMSLVRHLLSDPTGATMLNQCAKEQQQAEHSKASVPLSSGILAS >KZM85285 pep chromosome:ASM162521v1:8:24398188:24398523:1 gene:DCAR_027293 transcript:KZM85285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTSVKSSGNRRLSSRLQKRAPASIKISPATDWNVAIPLLSPLDGSPPSLPLRASEIKDCRDNARKNNVTEKAPAPVVYKKWQHPAAPFCYNETAPMRPFMFCTAVSDRS >KZM84835 pep chromosome:ASM162521v1:8:19904721:19906515:-1 gene:DCAR_027743 transcript:KZM84835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFTGGLMMFEVGPCENSYLMGFLVGQKFSKQIKNRVATDLILQQQLLPFARTPGSQPLITALSENNSKKFPNYWDELLGTADGSGVPLLDITLLNFRKEILPYVTETVTRLDDNAFDDCSDVLVVSDSMAIAAHNEDANVALLGHTYLIRGSLHNGVSFTAYTYAGELPSCAFGFNNRGMAFTLNSVPPSKDEIVAGGIGRNFVSRDLLEATSIDDALHRIESSEVSVGHSYNLIDMKSRRIVNVETASGKRLSVCEIGATPFFHANMYLHLQVEQTHDENSISRQTKAASLPKETKQDFLSLLGDMDDPKYPIYMTGPTLHTLCTAIFDLDKQTMSIIVGNPNKGETSHVFSMCYEPAKQ >KZM84078 pep chromosome:ASM162521v1:8:6995159:6997724:-1 gene:DCAR_028500 transcript:KZM84078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCPAPCNRSWSISDDSLRRYVHFASESCIQELLSASDCKSVGNEDDGWKLLAFDNGVEISKRRSGSFDTFRSRWLLTSVSPEQFITQWDADLVEAKYIKDLEDNLSIIRLRFGESSKPLFRNREFIVYERRETMDDGTLVVAVASLPKEIAAGLHPKQNNAIRGLLLQSGWVVEKLDDDSCMVTYVVQAILIAETDCALFFTEA >KZM84791 pep chromosome:ASM162521v1:8:19306331:19306645:1 gene:DCAR_027787 transcript:KZM84791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKSALMLLLALFVISAMIAAPVAEARELTSTHADDKNLSNILLAYGRDQLFKNAFQLEGLSTSCIGYFCLYTSCCAGCQCVTSYADVPIPAYCETSCKDST >KZM84558 pep chromosome:ASM162521v1:8:16222448:16223415:1 gene:DCAR_028020 transcript:KZM84558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACFLKIVNDSALLFCSDVECDNYFKKSLFLVGEIGGNDYNYPLFGGASPKELEDLVPLVLEKIISTASTLIEEGCLPAYLTLFLTLEKAAYDRNGCLRAHNAFAKFHNKQLQFALGSLRAKYPHANIMYADYYNAAKKYVHNPLHHGFTNGVLVACCGGGGSFNFNSSASCGRTGSKTCTNPSTYANWDGIHLTEAAYGFIAKALIHGSFTSPPIH >KZM84798 pep chromosome:ASM162521v1:8:19409271:19409840:-1 gene:DCAR_027780 transcript:KZM84798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIAEMSMLIAALIDLLIAVGTPSFQTVDSGHSAGEGEDGRRYSSRIELPQNVYKVDEIKAEMTNGVLKVRVPKVKEEERKDVFQVKIE >KZM84673 pep chromosome:ASM162521v1:8:17565032:17567797:1 gene:DCAR_027905 transcript:KZM84673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRYALIDLDRSSYIPPNHLSAKEARSIAGTKGPVLLLTIPPSVGYQRSPVTLYYCYEPHKESSSDILKYCIAEVSNTPWGEQVRFVFNPYSDLAAKSLHVSPFMDMLGDWKMKTRSPGNNLSVTVSVKHPVLGNYFTASLTAQKVKSSSKVDYALFFWLMPQKAAIYTYWQSFKLLLNNVQFYEHPKYKKPLYIEESLKNAEGRGCCMAFPGTGDLQNSTPPNGCERWYSWKKVKWPWA >KZM85679 pep chromosome:ASM162521v1:8:27809050:27821715:-1 gene:DCAR_026899 transcript:KZM85679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGARGAKTKQLKLGDLVLAKVKGHPAWPAKIGRPEDWEKIPDPKKIFVHFFGTDEIAFVAPADIQEFTIEAKNKLAARCKGKTVKYFADAVKQICAAFEELQEKSSNGVGADTDKSDFGSEAPSAHSVPDDAGVTALEVKNAVDELHGEEVLEVPADHSFGLEGCSQRQGEMDSKDSKSKNREGTVVPSEPSWIVNHIKGLIASKDSSHSEAGRSSGGGGKDSSLPVPSSINPQYVDSGPKEATNGHNSKKIISGSRRKLQADNQVQKVNRSGADKSSLHGNSSDHANMLKSGEGRAWKRSGSSGIKEYSPDKSRSNLDIPSDKRKKQNLTDKVHPDRAEDLLDKKVTTTKKHDVADLSGRNSVTKSQIGHGKNNLVIDAASHPVKRSKSEDITLDNSKGSVQKNQHNGQLDNKSRSTEFRRSMLQGNVEDCFAPRDEEVFPPNKRQRQVPDIADGSSTRASDSKTGKGPVRKSDSFSDKAKSPAGQYPKKRRAVRLYDEDDDEPKTPVHGGHVRKVDAPLGVLGSVKNGPSKSGQATGILNDSVPLKVSLSTVKVLNESSMSSHKHVEVQNPIQASTHIPVSHMKAESVMKSSEESKQLGLSPVQSPLSVSTRKPVVESLKANMLVGKTSDNISYRNSQSKSNKNMVVSPDCTNHSPSQGMRERNKSIAFVERKKDTPKSSSRTNDSILLTDKTLDIISSGDRMDKDKEAAREDKMSVLLDAKIEDSSSSMKHLIAVAQAKRREAYSQSFFHGNSYSDVSGGSPNVVFAIPPIQPSSIIQPDTHGFNAQSTVPSPSSQIPRPSADNHPEIEEFEDLRYGSGQRAANDPLTGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIHKLETESSFHRKVDLFFLVDSITQCSHSQKGIAGASYIPTVQSVLPRLLGAAAPPEAGARENRRQCLKVLRLWLERKILPESLLRKCMDDIGVSNDDSSAGAFSRRPSRAERAVDDPIREMEGMLVDEYGSNTTFQLPGFVTSHVFDDEDEEDEFQIPTCKEHAADISPVELTSTAEEAQKLTVDQNDRRHCILEDVDGELEMEDVSVHLKDEGASFEIVSKEEQGSDIILEADSNTYAQLPFPMGSPPSPPDSPPATPPLPTSPQPLSIPPPPPPSAPLSPPPPPPPPAQQAQPHPPPLVSILPYVAPQSSVIPQPSSLPQHVHPHPFQASVTSSSPNLAYQLQGTQLSQLAGSNPHGAHIDANIRNGMYIQPPSCYASSGVGNVHEPTGYNSGRPLDCGNTDQYINHQTAQPSQQFQSMPMSQRPFHPAPPSQAPSSQFSYSNSAVQQRPQHQYPQPYTLPSHPDGPRQYHTDDKWRMQSSEFSTNNPNGPWINGTRSSLVPPVPPFAHDVAGYFRPHLERPPLVPSSFQQSAVNAIPVVPPIPGQNGPQMIPCRPDMSSLSSWRPA >KZM84894 pep chromosome:ASM162521v1:8:20610779:20611735:1 gene:DCAR_027684 transcript:KZM84894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRKWEEMSTDCLVNIFGRLDMKSRLLDIPGVCKTWYQAVHNPLCWQQLDFSDFTIRHYRAELLKIVVKLSQGCVTSLVLSHDSTKKDLIYLSKACPALKTLELIGYYTLPSSKNYPSFEGKWKNLEFISLRVCLCIPELIKHIFIYLPSFTGLSIVGGDVDGDTASMIVSLIPKLKHLTINNADLEKKDLLIILRGCRELVFLDVRDCNGFDEDDEEILQLASAIKTFCCDGSTTEHDSTDGSDLY >KZM84092 pep chromosome:ASM162521v1:8:7189788:7192048:1 gene:DCAR_028486 transcript:KZM84092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVRSNFLCLFLIFLSLAPSSHSISEAEALLQFKASITNARALDSWTPDTLPCPPQGNAWVGLNCANGQVRTITLFNMGLAGKIDIVPLEQLSGLKFIIMANNSFEGEIPAFNRLADLKAFYISSNKFSGEIPSDYFANMKALKKLWLDNNNFSGKIPESITQLPILRELRIENNQFSGPIPPLKQDSLADLDVSNNKLEGEIPEYLVKFNATAFKNNPGLCNEKLGMKCTNGAPPPEIDAVDAPDSPFSRSPPQLDTNKSLRVTWVVLAIVIILLALTIFFKTDKKEDRKENLDETVQVHIPSPKRTLSSSSSKNRMGGSANYSRKSGASNAGGKLGSDLVVINDEKGVFGMSDLMKAAAEVLGNGGLGSAYKAMMSNGVSVVVKRMREMNAMTKETFDTEMRRIGSLKHNNILTPLAYHYRKDEKLMVSEFVPKGSLLYILHGDRGVSHSELNWATRLKIIQGIARGMGYLHSEFSSYELPHGNLKSSNVLLGSNYKPLLSDYAMYPLVNNTQSAQAMFAFKSPEAVLYQQVSHKSDVYCLGILILEILTGKFPSQYLNNQKGGTDVVQWVRTSLSEKRESELIDPEIAGASAASLDQMVKLLHIGAACTENDQDRRLDMKEAIRRIEEIQA >KZM83988 pep chromosome:ASM162521v1:8:5008505:5009047:-1 gene:DCAR_028590 transcript:KZM83988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTARTKRGFSSPEGAEEQDTFVEKRPKTLVKQEEDDGDLLAWLNMDDDSAIELYKIFETEFVAPPPFKLKISSTPFSSPKIFQASASYITINSNGDESCGSSFSDANSSVMASVDLGCLCFDSGKSGIMEHGGARDKVVVARESVSEGDGQMDGRKSGFDFDDDMLASFLGEDFVGTN >KZM86045 pep chromosome:ASM162521v1:8:31009578:31011002:-1 gene:DCAR_026533 transcript:KZM86045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEECEEYTDVFWIKFCLVNNARFAKKKLDEHVFFGNRLQVSYAPQFESLSDTKDKLEGRRREVLARLNPGISKRPKTQNHIHSGEPLLHGTSSETNNYATPTVNPRERDLKVSTNNSYHQDSSPSMLVTSDEAYFPSQSMNQTVQLVREKLHKIQSSADHLEAGLSKKPRFRRFSVCTIEKSFFDLQRNALDKAPVRDFCDRTQSAGKLKSRCHSAKHGIQLLQHCKKSRSS >KZM83751 pep chromosome:ASM162521v1:8:1124187:1130880:-1 gene:DCAR_028827 transcript:KZM83751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCMRMNTEYLGYSAGSPVIHAFGRLYKVLARRISTTLTVAEILLQEGANVGAPDMYKVALPAMYALESENENANLFNCVQRGHQNSMEWMPLFLTFMMLGGIKYSVISSVLGVVYIVSFYFYLKGYSTGDPKKPLSVGLQSEPHFTGLDFNNMKEKKHKCQTNKNVVLLNLIFYPIRILIFFKWFNTATLQGCTVSLCWLACILLIMAADDIYTNDGTVDCHKKPAIKKETGNWRACKFVLGTECCERLAYYGMSTNLVNYLQERFNEDNVTASNNVTNWSGTCYITPLIGAFLADAFMGRYWTIAIFSIIYILGMTLLTLSASVSGLKPVCDENGSCHATSAQSAVCFLALYMVALGTGGIKPCVSSFGADQFDETDEKEKKKKISFFNWFYFSINVGSLVASSVLVWIQMNVGWGWGLGIPAVSMAIAIAFFFAGSHLYRFQRPGGSPLTRIAQVLVASVRKSHVKVPDDKTLLYEITDKESNIQGSRKLEHTGKFKYLDKAAVQTESDYAKDAVNPWRLCTVTQVEELKGVINLLPVWASGIIFSTVYGQMGTMFILQGNTLDQHIGPNFKIPSASLSLFDTLSVLFWAPVYGSYIIPIARKFTGHEQGFTQLQRMGIGLVISTIAMLVAGALEVARLDYVRRNNLYDLEVISMSIFWQVPQYFLVGCAEVFTFIGQIEFFYDQAPDAMRSLCSALSLTTMAVGSYLSTLLVNIVTAVTTKDGKLGWIPENLNKGHLDYFFWLLAILSFLNFLVYLWIARWYTYKKAAVDP >KZM85571 pep chromosome:ASM162521v1:8:26829393:26832182:-1 gene:DCAR_027007 transcript:KZM85571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEASEVEAEKFKSKKTNITDSWMKKHSSIYKEATRHPFILSIRDGTIDSPSFKKWLGQDYIYVRAFVPFVASVLVKACNELDDSSDTDIILGGLASLNDEITWFKEEASKWEVSLTSVVPQRANLMYCRMHPIMCPGLASQFDLGVLIFVDQNNLRSNIGFSNVSFSLVLQPLQSSPMAAQFPVIFLKSLMRSEIEYTVAVTAFWAIETVYQDSFSHCLEDGSKIPEELRETCQRWGNNGFGQYCHFLQNIVDRCLEKASIDIIAKAERVFITVLEHEVEFWNMSKGEI >KZM84015 pep chromosome:ASM162521v1:8:5475645:5482100:-1 gene:DCAR_028563 transcript:KZM84015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKKNKKQSVNQKFQPAKANISAPARNLRKSELGAVIFGCKNHTIHECYKKKLFGLPAPHYAYVKNISRGLVLFLFNYSDRTLHGIFEAATPGEMNIDRHAWTEDGVDTPYPAQVKVSLHRRCCTLTEDQFIPIISSNYYEQGLFWFELDRSQTSKLVSLFNSLPCDADASTSQSRVKWNPSFNPLPASDTLQVGIVSGISSNKEVVRKASASIPEKTSASLFESSSYTDVMHQDDARKTWTSLFKSSSHSDDSSISIPQKTWSSLFKSSSDSKGLEKDEIFGTEAFNSSHPLDESKNLKPSCLPSSDKETSESERDVLNIQQCSEDIDDWETKWENPPSLPLSVAEREGLNLDASQYYDDRGNWESDWENPSALKEVSNFSTDVARHENRCYSHVSSNINTHNPQESDLVVGLRSPNVNEERDKTKWENPPSPPLSVADRQGLNLDGSPCYDDRGNWDSDRETQFALKDVSNFSTGVARHEDRCYIHVESNINTHYPQESDLVAGFWSPNVNKEGVKPLNVNEDETQSSDSFDFSAVINEMILEENKENNVTHTGLPIQNVCYPMVDSITATNSFDIQSVLSMLMKEIDGLKGFQLQQHMQINYLHAELRICSNCEPSQVDSKRQIAQLKNRCNMLESGEYSSAVHLGDEYEWVDKSISNMNESILIVGGFDGSSWLADLNSYCLASDIVTPLCPMPTRRSYASAAKLGGELYIFGGIDGEIWHDTVESYNLRSDRWVSQPSLNRKKGSLAGVNLSDKIYAIGGGNGVDCLSEVEMYDPNIGRWRAPGCGRVRRVAYPTALVPRITGVQFMVIYPLYILCIDAWFLGCCLLQGNLKGSVILKCLPK >KZM84758 pep chromosome:ASM162521v1:8:18864687:18866240:1 gene:DCAR_027820 transcript:KZM84758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVEPYRASQFQIFNNSVSSDNSSQETPVSFHYDEQFFTLDSFPADYVGYDSPSAASTCSRSPLSVQHSQSNWSEQHQSPDYTYGSPLSGSPMIHDGNKLTFFHKELESKSLGPQSETDDVCSYTFNSVNPEVTSMLQMISTLDVKQVLLACAEVVSNGDISNVAPLMNILERKVSVTGEPMQRLGAYMMEGIKARLMSSGSILYKKLKCSDEPTSSELMSYMGVIYQIVPFYKFAYMSSNAIIEEAMKSESRIHIIDFQIVQGSQWVPLIQALASRPGGPPSVRITGVDDSNAAYARGGGLQLVGDRLSKVAESCGVPFEFHGAALSGSEVKLENLRVRQGEALAVNFPYVLHHMPDESVSTENHRDRLLRLIKSLSPKVVTLVEQESNTNTSPFLPRFRETLEYYEAMFEAIDTTRARDDRQRISAEEHCVARDIVNMIACEGAERVERHELFGKWRLRLSMAGYTQCQLSYDVDGVVKDMLKEYDGNFRLEHRNGALYLRWKNRDLVTCSAWR >KZM85075 pep chromosome:ASM162521v1:8:22461194:22464034:-1 gene:DCAR_027503 transcript:KZM85075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIFATLCLAFFKHSASAAVSSYNVQELGAKADGTTDSTKFFLSAWSLACASNVSAQVYVPSGKYLISNAVVFSGRNCKRTMVMRIDGTIIAPADYNLIANDEIWIKFDNVNGLYISGGTVDAQAAPLWACKASGNSCPTGATSLGFYSSRNIVVSGIASINSQMFHMIVYKCNNTKLQGIKISAPVDSPNTDGIHVQLSTGVSILSSQIGTGDDCVSIGPGTTDTWIESVSCGPGHGISIGSLGWNLQEPGVQNLTVKTVTFRNTENGVRIKTWARSSTGFVNNVLFQHISMGNVKNPILIDQDYCPNQENCPDKVSGVKISNVIYQDIHGTSATPVALRLQCSKSFPCSGIRLQDVELTYNNQSATSSCANAAGEASGVMKPSSCL >KZM85648 pep chromosome:ASM162521v1:8:27549838:27551819:-1 gene:DCAR_026930 transcript:KZM85648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDAIHQFFLKKKVGCIRIDGGTPAASRQALVTEFQEKDSISAAVLSIRAAGVGLTLTAASTVIFAELSWTPGDIIQAEDRAHRIGQVSAVNIYYLLANDTVDDIIWDVLQSKLENLGQMLDGNENSLEVSASDLKSSPSKQGPNFPSSSPGKQKTLDSFVKRCNNIQKHKDEPNAKQARHC >KZM84753 pep chromosome:ASM162521v1:8:18833997:18836442:1 gene:DCAR_027825 transcript:KZM84753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVGVNSTRFVIKAGSNSCVQDFYVNGWNSYWLMQNSLSGRSKWRVSEMMRRGAGMGMSVCRTWAFSDGDGPNALQVSPGVFNERVFKGLDYVIVEARRHRIQLILSLVNNLNAFGGKAQYVRWAKEAGINVSSSNDSFFSNTVIKNYYKAYVKAIVTRRNSLSGVKYSEEPAIFAWELINEPRCESSSSAPALENWITEMAAYVKSLDNKHLLTVGLEGFYGLKTTEKSTVNPGKWAASLGSDFIQNSAIENIDFASVHAYPHSWIPLSDLNAKVDYLSHWMDAHIRDGQDVLKKPVLFSEFGSPLRKKLDGPYDRDILLKQVYDKIYESAKRREAGAGVLIWQLLLEGMQQYGDEYSLVAREHPSTYKLIVEQSRRVNNISSQVETNRKLHRMGHCLA >KZM85180 pep chromosome:ASM162521v1:8:23496903:23499239:-1 gene:DCAR_027398 transcript:KZM85180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHATGLRRILVLAFCVAGIWSAYIYQGILQETVSTKKFGAEKKRFEHLAFLNLAQNVVCLIWSFIMIRLWSSGSSGGAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTIPEYVCTLLVAGGVSAFALLKTSSKTIKKLANPNAPLGYGLCFLNLAFDGFTNATQDSISTRYPKTSAWDIMLGMNLWGTIYNMAFMFGWPSASGYEAVAFCKQHPEAAWDIFLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSSKQWGCVGMVFWGLSYQIYLKWQKLQRSQKKRKAM >KZM85793 pep chromosome:ASM162521v1:8:28696540:28697100:-1 gene:DCAR_026785 transcript:KZM85793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLLTRQKKKKQVAVGKENRILISVTVVGSPGPIRLVVNQEELVASVIHSILKSYARSGLSPWGTIGSYGVRDFVLCKKPEVEKPIGDEKAVKAVQLASKGRGSWKAWFNKSWKIPSH >KZM84936 pep chromosome:ASM162521v1:8:20991834:20998090:1 gene:DCAR_027642 transcript:KZM84936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLMLLLRVFLMKFSKDINSDEAVALDAAAIADTMISDEEYTRRYSFTSHRLFSSTCSSNGRIMSNIECRREGPAVGIDLGTMYSCVGVWQGSHVEIIANDHGSRTTPSCVAFSDTEILIGDAARNQGGMNPDNTVFSVKRLIGRKFSDLSVQNDMKFWPFKVISNHGGKPMVLVTYKGQEKRFYAEELSSMILIKMKEIVEKCLGSTVRNAVITVPAYFNDSQRNAIIDAGVISGINVIRVISEPSAAAITYGLEKRKADSSTGGEKNVLVFDLGGSNCNVSLVVIEEGIFEVRATTGASHLGGEDFVHRMVNHFIREIMRKNKKDITGNAKSIRRLKNACERAKRTLSSAAMTSIELEFLYDGVSFSENISRDKFEELNMDLFKSCLELVEKCLRGGNIDKRNIHDVVLVGGSTRIPKVQQLLKNFFNGKELCMSLNPDEAVANGAAVLAAILSGEGHEAVQDLMLLDVTPLSLGIGTSGETMSVLIPKNTTIPTKREQIFSTSIDNQLDVLIEVFEGENPNTKDNNLLGKFVLSGISPAPKGVPQFTVCFDIDAYGRLNVSAEDKTTGKKIKIKVTNNEERLSKEELEKMVKEAEKYRAEAKVNKKATEKRMAGKGGPAIGLDLGTMYSCVGVWEGNHVEIIANDHGSRITPSCVAFSDTEVLIGDAAYSQGGMNPNNTVFSVKRLIGRKFSDSKVLGDITFWPFKVISGPREKPVILVTYKGQQKQFHVEEISSMILMKMKEIAENYLGSVVRDAVITVPAYFNDSQRNATVDAGVIAGLNVMRIVAEPSAAAVAYGLEKKMAGSSTEGGKNVIIFDLGGSNCSVSLVVIEEGIFEVRATTGATHLGGEDFVNRMVSYFVKDFMRMYRKDISGNAKSVRRLRNACERAKRTLSSAAMTTIELEYLYDGADFSSNITRDRFEDLNMDLFNGCLELVEKCLTEASIDKRNIDDVFIVCFDIDAYGMLNVSAEDKTTGKKIKIKVTNNEERLSKEELEKMVKEAEKFKAENSENKKAAEGRNALEDYIFKMKNVMSDEKFSSKLPFASRKKVENAMKLATQWLDRNQTAKVEELEGIMKGLKGFAIA >KZM84117 pep chromosome:ASM162521v1:8:8234828:8238635:1 gene:DCAR_028336 transcript:KZM84117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNQHTSLTLILIIAIVAGAAAHSSFSDDNPIRQVVSEGLHDFETSVLRVVGNTRHALRFARFAHRYGKSYETTDEMKRRFSIFSESLEMIRSHNKKKLPYTLGVNRFADLTWEEFSKEKLGAAQNCSATLKGSHKLTDVVLPKKKDWREEGIVSPVKNQGSCGSCWTFSTTGALEAAYTQAFGKGISLSEQQLVDCAQSFNNYGCNGGLPSQAFEYIKYNGGLETEAAYPYTGKDGKCKFSSENVGVQVLDSVNITLGAEDELKHAVGIVRPVSVAFQVIQSFRLYEGGVFTSDSCGSTPMDVNHAVLAVGYGVENGIPYWLIKNSWGADWGLDGYFKMELGKNMCGVATCASYPIVGA >KZM84825 pep chromosome:ASM162521v1:8:19815167:19817574:-1 gene:DCAR_027753 transcript:KZM84825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKMCVSKSSSGPFFYSSHIGCRKVSQLQLCYYKGKFQKVSCISTSDSPMGSAGKDKLMVDTYSFRMNGINGSAPNVYFSKRVLDHLDDEYGGVVVNPENLPSNPSFFASILRSSLSCWMLEEKKGVWLKLPLEKSELVPVAVKEGFRYHHAERGYVMMTYWIPEGPCMLPDNASHQVGVGGFVINENNEVLVVQEKHTTPANTGLWKLPTGFIQESEEIFTGAVREVKEETGIDTEFLEVVAFRHAQNVAFQKSDLFFVCMLRPLSNHIVIDDVEVQAAKWMALDEFIEQPLIQGDNMFKKIIDICIARIGKQYCGLSVHPVVSKFDGKLSSLYYNIVDVQDSNCQITSL >KZM84677 pep chromosome:ASM162521v1:8:17649570:17649824:-1 gene:DCAR_027901 transcript:KZM84677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLMSPVQLSACDDGDGGGCGGHGEEDAQSQPEAAVVRRQHEEYGGEDDGGGVAEVEPPQQLEVGGGGGGGVHGGGGDAVVKA >KZM83938 pep chromosome:ASM162521v1:8:3686399:3689933:-1 gene:DCAR_028640 transcript:KZM83938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAASGVDHAELVKYAEPLLSDLPSASRPQEPKSVYVGGDSRVYAGSQPTNIALAFELPGGWHQLKDSMTLTVLQMLMGGGGSFSAGGPGKGMYSRLYLRVLNEFPAIQSFSAFSSIYNNTGLFGIMATTGSDFVSETVDIACRELIVITNPIQIDQVQLNRAKQATKAAILMNLESRVVAAEDMGKQILTYGERKPLDQYLKTIEEVTPQDIASIARKLISSPLTMATHGDVVHVPSYEVVSRRFQSK >KZM86062 pep chromosome:ASM162521v1:8:31140701:31147286:-1 gene:DCAR_026516 transcript:KZM86062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATQKAKPLAADSIAGDQIQLSTRTSLASALIRIASLFRAQTRHPLEPLAAAEISVAVATVRAAGATPEVRDSMRFVEVALVEPDKHVVALADAYFFPPFQPSLLPRTKGGPVLPTKLPPRQARLVVYNKKSNETSIWIVELLEVHAVTRGGHHRGKVISSKVVPDVQPPMVRLHSIRLRCAGYHSEADAPNRRLAKPLIFCRTESDCTLENGYARPVEGIHVLVDMQNMIVVEFVDRKLIPLPPADPLRNYAAAESRGGVDRSDVKPLNIVQPEGPSFRVDGHFVEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCIGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAHNQVVEVNVIVEEPGENNVHNNAFYAEEKLLKSEEEAMRDCNFLSARHWVAYDECDLYLFSIIQIRNTRTVNRTGQLTGYKLLPGSNCLPLAGAEAKFLRRAAFLKHNLWVTPYSRDEMFPGGEFPNQNPRVGEGLATWVKQNRSLEETDVVLWYVFGVTHVPRLEDWPVMPVDRIGFMLLPHGFFNRSPAVDVPPASSSDSDLKDDVLVAKACHDGLISKL >KZM85621 pep chromosome:ASM162521v1:8:27271256:27272431:1 gene:DCAR_026957 transcript:KZM85621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLLQDPESKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCREIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEVGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYHNDNGAMVPIRVHTILISTQHDETVTNDEIAADLKEHVIKPVVPAKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDREILQIVKETFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGRDDADFTWEVVKPLKWEKA >KZM83713 pep chromosome:ASM162521v1:8:723155:723776:-1 gene:DCAR_028865 transcript:KZM83713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASDEFRLASSGIDHEGRLPRKYTGDGQGAKKNISPPVEWYNVPAETKTLALIVEDIDAPDPSGPMVPWTCWVVVNIPPTLKGLPEGFSGKEEEKGGDYVNIKEGNNDLKVPGWGGPKVPSPGHRFEFKLYALDDELRLGNKVTKDKVLEAIGGHVLGEARLTAIF >KZM84098 pep chromosome:ASM162521v1:8:7285843:7288667:1 gene:DCAR_028480 transcript:KZM84098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSDTGARNGSARIGGSLIDRTANVAGTSYSPMEHFGDAVKFPMANYHSILTEADQKKFRIEYHIPKSWGIYAGESQVDLIYHTPDTTDGTICVEEEGQGGSSESGDQSKGQGGGLVRGERRGSRRGPGEDAGCGVVDGECPKVSGGRGGFPLRCGAKEVQARCFFGRPTLCSRVVGAGDRLDRSSCSGADQGCGGDPCRAHILPADRGTYEGASPLQACEQLMSFFSLGRNLALEAARKKEKKLLEKFEDSFLVIRYDEAVRRAHKKGLDYKLLLDEGASDLVGREDPDDALVASSDPKTDYSE >KZM84424 pep chromosome:ASM162521v1:8:14346958:14348166:1 gene:DCAR_028154 transcript:KZM84424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLGRPCVGRPEQVKKNVGVAPDEGDLYTGKYSLGRPSINDLGCPFSQLPSSLSAMYPQDHVPIQIGTTPTPDNRQLKRRHTARYYMHRVQESLTTRVSKFICAICLGILFFAGIVAFIVYLSLRPHRPRFFIQQFSLPALAQPNGFENAELTFNVTARNSNHKIGVYYDDMAVTAYYDDQSIGGRPVLFPFYQEPKNSTIIFGTLSGTRLTVDNRRWMQFLTDRARGKVMFRLELVSTIRFKISTWDSKRHKMHANCQVEVGADGMMMASYVGHRCPTYFT >KZM84292 pep chromosome:ASM162521v1:8:11724110:11744817:1 gene:DCAR_028414 transcript:KZM84292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVRTILTHPYPYPHEHSKHAVIAVVVGCFFFISSDNMHTLIHKLDNNIKWWSMYGCLLGFFYFFSSPFVGKTIQPSYSNFSRWYVAWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYLSSILFLLVFHLIFLGLWYVGLVARVAGQRPEILTIFQNCAVLSIACCVFYSHCGNRAIMKDKTFERRNSSWFKLWNKEERNTWIQQFIRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACNGPCPGSSDGISPIYSLWATFIGLYMANYVIERSTGWALTHPVSVKEYEKLKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVQEGVKQDDMLYDHFSEKDDLWFDFMADTGDGGNSSYSVARLLAQPSLRVQSDSSVLNLPRANLLIIGGDLAYPNPSAFTYKRRFFRPFEYALQPPTWYKDEHIAVNKPELPSGVSDLKQYDGPQCFVIPGNHDWFDGLQTYMRYICHKSWLGGWFMPQKKSYFAMQLPQRWWIFGLDLALHGDIDVYQFKFFSELVMDKVGENDSVIIVTHEPGWLLDWYWDDVSGKNVSHLICDYLKGRCKLRMAGDLHHFMRHSYVPSDKPAHVQHLLVNGSGGAFLHPTHVFSSFNKLYGTSYKFEAAYPSCEDSSRCKLDHLFKDDTFSGHLKSFFSTVWDAFMYMLGQSYVSLAGTLLLLAAAIAFVPSKVSRKRRAIVGFLHVSAHLAAAIILMMLLEVGVETCIRHKLLGTSGYHTLYEWYRTVESEHFPDPTGLRARIEQWTFGLYPAYWFDGLQTYMRYICHKSWLGGWFMPQKKSYFAMQLPQRWWIFGLDLALHGDIDVYQFKFFSELVMDKVGENDSVIIVTHEPGWLLDWYWDDVSGKNVSHLICDYLKGRCKLRMAGDLHHFMRHSYVPSDKPAHVQHLLVNGSGGAFLHPTHVFSSFNKLYGTSYKFEAAYPSCEDSSRCKLDHLFKDDTFSGHLKSFFSTVWDAFMYMLGQSYVSLAGTLLLLAAAIAFVPSKVSRKRRAIVGFLHVSAHLAAAIILMMLLEVGVETCIRHKLLGTSGYHTLYEWYRTVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVTRNDMCNNGSDSFSRGGAVIYYASVFLYFWFFTTPVVSLIFGSYLYICINWLHLHFDEAFSSLRIANYKAFTRFHIKNNGDLEVFTLAVDKVPKEWKLDPDWDGELRQPQQPSHLRKFPSKWRAASSQQDPVSTVRVVDHFVIEQTEKFDMRSSNGQIS >KZM85858 pep chromosome:ASM162521v1:8:29359335:29373095:-1 gene:DCAR_026720 transcript:KZM85858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQLIVVVEGTAAMGPHWQTILTEYLDKVIKSFCGYDSTTQKPSVPVEFSLVVFNAPGNYSACLVQRSGWTRDVDNFFQWLSAISFSGGGFSDVAISEGLAEALMMCTPLNGKQPHQNVDKQRHCILVAASNPFPLPTPVFRPQIPKLEQSENTESQKESRISDAETLAKFFPQLSVSLSVISPKQLPKLKAIYNAGKRNPRAADPPIDNVKSPYHLVLISENFIEARAALSRNGIAPLVSNHSPMKVDTTSVMKVDTSPVLPVSVSPPTSVPSANGSVMNRQPIIVGNVTPTIVKIEPTTVTSMVSGPAYPHVSSVPRAASQGVPTLQTSSPLSAPQEMIPTTETVPDLKPPVTGLSQPLRPLGPAAANVSILNNLSQARQVMNSAALAGGTSIGLQSMGGTHMAMHMSNMISSGMVSSVPPTQTVLSSGQSGMTSIAASGQPGMTSMAGTGALAGNAQVALNTNSGSFASASSNLSGNSNLGMSQPMGNTQGGVNMGQSVPVVSQANISSTQMAQNGIGMNTNMISGVSQSGISSANGTMMPTPGMGPQGQPGPQSLGMANNAAANMPIPSQASNAMQSAPSQSKYVRVWEGNLSGQRQGQPVFITRLEGYRSASASETLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGGRQMASQGQVTSQGPPSMPGGGSYLS >KZM84981 pep chromosome:ASM162521v1:8:21383323:21383859:-1 gene:DCAR_027597 transcript:KZM84981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYSQYLRSWEEQAFAEDVGEGLLGGFIWPPRCYSCSFCNRIFKSAQALGGHMNVHRRDRARLNQPLCLKTGGADKFFNSKSPNSLYSETEAVKIIQGTKKLACRNPILRKESAVETGLSVGLNFCVEGNRGAERTGVGGDIKRRRTDCLLYIDCSSSDKDGIDLELRLGDSPTVLN >KZM84721 pep chromosome:ASM162521v1:8:18216155:18216358:1 gene:DCAR_027857 transcript:KZM84721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKRLNQSGQKASTKRLQTRHSCPLYLHQVQRNPRTQRFMEMLYFQTLKQNMKKHDEVPAARKFNV >KZM85669 pep chromosome:ASM162521v1:8:27723119:27727143:-1 gene:DCAR_026909 transcript:KZM85669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGGPSVIPANRSRRSTMDDEKLVFETSKGVEAILSFDHMGIKDDLLRGIYNYGFDKPSAIQQRAVHPIISGRDVIAQAQSGTGKTSMIALTVCQIVNTSSTEVQALILSPTRELAAQTEQTIRAIGNHLNIKAHACIGGKSVGEDIRKLESGVQVVSGTPGRVCDMIKRRSLRTRDIKLLILVVLISATLPNEILEITSKFMTDPVRILVKRDELTLEVDWLTMKMRENNFTVSSMHGDMPQKERDAIMKEFREAATRVLITTDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKTDDIKILRDIEQYYSTQIDEMPMNVADLI >KZM85807 pep chromosome:ASM162521v1:8:28850108:28851014:-1 gene:DCAR_026771 transcript:KZM85807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINGETMKLKTPLQAGSVTKDYPGYVLLDSEAVKHFGIRAKPLGPYQELKPKRLYFLVELPKVAENRSMRKVRSGINMSAKDRLESLMLAKRSVSDLSLMQQKSSIGDERRGVMESEPGKLKMRLPKAEVQKLMSESKTEAEAAERLMQLCLDSSEGETNGRVHWKDGGYGRASHGVKPREKRVAFLPVDVGEIHESG >KZM84061 pep chromosome:ASM162521v1:8:6679820:6681542:-1 gene:DCAR_028517 transcript:KZM84061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREKVRREISNFKFSNDHNSGNPENSNAGLWFQVTRHPVTKKRVIVLITEPRRQSQESLGTRTYAQVLNGGAKEYKGKIPVAENPIKKRIWRNGCVSVMVNNLLDNASMRELWIFFSIGRQIKDIILPRKRSKYNTRFGFLVVTKLEEAWGLISQFNGMELGNVILVLHLAKDSQIMVDAGQFMPNAGKQKTQAQTKMHAQQPPTSNIPTKTKRDEIDLVEQPSFKTIQGVISNNRQGMLDRSLIVLTKEATREDIMQEIILSRGFKFIKHYPDLHNPSIMTKKHLSQ >KZM85450 pep chromosome:ASM162521v1:8:25888013:25888621:-1 gene:DCAR_027128 transcript:KZM85450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASDPLLQATSNMSTKKNFTLKEKTLIGVGNLIKLLPSGTVFIYQFLNPVVTNNGQCQSVMNKYLSGVLIGLCALSCCFASFTDSYTDSKGDTHYGLVTKYGLWPKGDADEDLSKYKLRIGDFVHAFFALDVFLVLSLLDPNTVKCFYPSFESTQKVLLMVVPPVLGTIAGTVFVVFPNTRHGIGYPTSNNDDSSSSQED >KZM84030 pep chromosome:ASM162521v1:8:5644921:5654237:-1 gene:DCAR_028548 transcript:KZM84030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSGITQNDPGTITGQVSTDMIGNSKRRRGPNVETLLSDNVLKKAHSQDKENHVPVHVVKKRKGRGFSTEKQIQLREKGTPHGQTHENHSSAINTDKRRQSIHTPSLRPSSSSSYVLTDISGKGEHIYEDNDIDLWEGHLSLGPPSVLCSKCYFVMWNQERNNKSNMNAPPTFALCSKNGYVVLPYEEHAPEPLKSLLSTDLRNSVLLLLAKPSAKDPSGKDINALEQHIKNLLSPSTPLFFNTLDDPADFVQGYPFSMREGVPTAVSHGLWLNIPNYDAPTHLVKPMMTLPKGALFPMCGMNMGFDRELIGPAMYFGLMGDGQPIRRYDDMWAGWCIKVIRDHLGLGVKTGLPYIWHSKASNSFVDLKKEYKGIYWQEEIIPFFQAATLPKECTTVPQCYLELSKQVKAKLRKLDEGGLAVMSRLAQNRLRHTGNGLEDTPVYLELDEI >KZM85695 pep chromosome:ASM162521v1:8:27942790:27943494:1 gene:DCAR_026883 transcript:KZM85695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGLTNAGAIIEAPRTVVAAPVKKPPAKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVAVRSSATTPSLSSPLDQKPAQYPTAFLLGKRARDDDEENGDKNDHLGHDPTRPGGGGFWALPTRPDFGQVWSFAAPPHDMVVPSPVTMASNNALASRFMQQQAIGEASAARVGNYLPISQGHLNLLASLSGGRREEDSR >KZM84086 pep chromosome:ASM162521v1:8:7051307:7053567:1 gene:DCAR_028492 transcript:KZM84086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDGYFTIQLLWLESLSSEVVLGFLFVQQLIVETQTLLERKLFIIMLINVPSLNLLTPSFARCIVDVRVLSIWKFDTSDESSEQFGLNMKLLHEKETSIEAYINLRIVHKFQPLLYQESEIQRIDGNDRSIPRYAFDFVLFKHIHHMKQDNNILIDIVGIIGFVEPPSVVINEQGHEEKFVEFGITDGIQIFQVILWDNICVCTFTNHPATRFAINSDITIVQHLSNKLFALGQSKSDNLYSTCSIAELKMLRKNNFRYRILAHCFDISGELFICIGHTAVHNIVGKSVFEMLQIQNFLNDVPLVIMNIVDTEMMVELTIGTCDTHKGLLKYDASQIQLLDQVEKPVELRGKFIDISDSND >KZM84439 pep chromosome:ASM162521v1:8:14590636:14591092:-1 gene:DCAR_028139 transcript:KZM84439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPVGFYTRKASNMKKVSNICLSKYDGDIPSTLEDLLQLPGIGPKMAHLVINVGWNNVQGICVDTHVHRICNRLVGFHGRAQIRFMLLTDGFEIVWT >KZM85241 pep chromosome:ASM162521v1:8:24022865:24023119:1 gene:DCAR_027337 transcript:KZM85241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNGKDYGCDKNDVKSEALLSDVESKTSLLDGDRKEDEDETTTLLVPPRRGGLSRKVEKSQRKVMWNDINGNKLAQVLEYQPR >KZM85148 pep chromosome:ASM162521v1:8:23172792:23174297:-1 gene:DCAR_027430 transcript:KZM85148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLERGPQGDGVLGGVVGAGDAGVVAANAGGAPGYIGIKMQHNLEREPQGDGVLGGVVGACDASVVAANAGGAAGYIGVMRPNNNGEYVEMKKKMESLEEKLKEKDEDFEGLQDSYQALLVKERNNNDQLQDARKKLINVSCLLVVLHFNLDFIVNESKFFHACLARVIISLSTYKRSQI >KZM86023 pep chromosome:ASM162521v1:8:30830928:30831660:1 gene:DCAR_026555 transcript:KZM86023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLFVFVALLLFCTLQVSSDSEIADEVKGPNSTPLTYADCQGLCQVRCARARKNVCMRACGACCARCNCVPPGTYGNREACGKCYTDMTTHGNRLKCP >KZM83997 pep chromosome:ASM162521v1:8:5139787:5143898:-1 gene:DCAR_028581 transcript:KZM83997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEKAESTPQPPSIACKSSLYPRLCRSLLSAFRFSPTNPSSYGKFSVKQSLKQAQRLTKTIHRYLKKSKTKITLEETNALRDCEQLFDLTEGYLEMITLELKTAPAMTDGLIGKIETLLSGVVTNQDTCYDGLVESGSSLAALLKVPLSNATVLYSVSLGLVTHAIARDRKRGPRKGKVFGRDALPAIFSSSKLNKALHDYARGAQKAERNLADDDDRDNSGGIAVNNVVIVSQHGGSNFTSIGQAIEFAPLNSKVEDGYFIIYAMEGIYEEYITIPKHKKNIMLLGVGINRTVITGNHSYVDGWSTFNSATFIVSGERFVAIDVTFKNTAGPEKHQAVAVRNNADLSTFYRCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNSASVFQNCNIYVRKPMANQKTAITAQGRTDPNQKTGISIHNCTIQAAPDLALDVNGTTKNYLGRPWKQYSRTVFMQSFIGDLITPNGWLEWNGTVGLDTLYYGEYRNYGPGANTSSRVQWPGYGQLNASQAWNFTVFNLTLGDTWLPYTTIPFVEGLLSDDN >KZM85647 pep chromosome:ASM162521v1:8:27540607:27544509:-1 gene:DCAR_026931 transcript:KZM85647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMDMISGSSKAIVCNQSRIYTDSAEAKIPAVLDYLGTVIEAGCKFLIFAHHQPMIDAIHQFFLKKKVGCIRIDGGTPAASRQALVTEFQEKDSISAAVLSIRAAGVGLTLTAASTVIFAELSWTPGDIIQAEDRAHRIGQVSAVNVYYLLANDTVDDIIWDVLQSKLENLGQMLDGNENSLEVSASELKSSPSKQGPNFPSSSPGKQKTLDSFVKRCTNIQKHEDEPNAKQARHC >KZM84988 pep chromosome:ASM162521v1:8:21499126:21500677:1 gene:DCAR_027590 transcript:KZM84988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSLLRRSASRAAPLAARYFSGQSNLHTYTPILYSVVKSNLASNIVVPTPVRSYSASSAAVKTTNTSDQTLVKVIDAEIVCAEESDENPEVIEAPEGFPFKIEDIPGQQTITLSRKYQDETITVEVHMPDLVTGEDDNEDDDDVDDDKPAQSHVPLAVKVSKSHKFILEFNCTAYPDEISIDSMSVKKPDSAEDEIAYEGPDFSDLDENLQKAFHKYLEIRGIKPSTTNFLHEYMINKDSREYMMWLKNLKNFVQA >KZM84780 pep chromosome:ASM162521v1:8:19093142:19093597:-1 gene:DCAR_027798 transcript:KZM84780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIVVGMLKVGKEGIVVGMVGSDEGKGGNVTLGIVVGIVGKDGIVGSEVVGIGGNAALGRVGIAGNGGNETFGIFGMVGNVGIVGIVGCEDCIKCRAAELTSMHESDDARTKARRRLWLKAAIYDLMSRSLYHLAFLISGELKMLYIVMD >KZM83831 pep chromosome:ASM162521v1:8:1956092:1968715:1 gene:DCAR_028747 transcript:KZM83831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVRAVNNCAVLRSVAAPPLSVIRHRNFTSLLQFRDSRRRSSKFSEIRYSFHQSRCVLGVRSYSLQSLFDSIMEEFESMRKNRSRVSATKNKLEIITSENLVEDKVEKRALKKGLLLEFKKDSERFLLAVTQKPDGRKNWMVFDQNGVTTSIKPQQITYIVPGVENFNNTDISDFIQKAHNNLDTTLLEFAWVELLESKKSVTTEELAEMIFGSSEPLESYCAHLLVSRDEIYFTSVHSKGPAVYGPRTTVQVEERQRRKLAQEAADKEFEEFIKLLKSARGLPLNSKPPKSSWKVDESVWRKIESLEAYALDACKNDEQKTAGTILKAMGMVNKSSSAVGLLIDIGYFPVHVNLDLLKLNIRTDYESDILSAAENLLLESSDADEVDRVNLTHLKVYAIDVDEADELDDALSASRLQDGRIKVWIHVADPSSLVQPGSILDREAFKRGTSVFLPTATYPMFPEKLAMEGMSMKQGKLCNAVTVSVVLHSDGSIAEYNIENSFIRPTYMLTYESASELLHLNLSEEAELRLLSEAAALRLQWRREQGAIETTSLETRIKVANPDDPDPTIKLYVENQAEPAMRLVSEMMILCGESVATFGSCNNIPLPYRGQPQSDIDLSAYAHLPEGPVRTSAIIKIMRAAEMDFRKPIRHGIMGLPGYVQFTSPIRRYMDLLAHYQVKAFIRGESPPFSAGQLEQMSSNVNMQAKVAKKLFNSSLRYWILEYLRRQPKEKRFRALILKFVKDRIAALLLTEVGFQATAWVSVGSQIGDEVVVRVAEADPRDDSLSLKEIVQDFSSSNSQDISSDVCNGASFPCISVKASQDGVGIWVGLLSPASEVLSQKHLFVPFV >KZM84378 pep chromosome:ASM162521v1:8:13409308:13425625:1 gene:DCAR_028200 transcript:KZM84378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGLVMNETDCPDRETAVDVSLGKNGQCSLECGKDEKEECGEHDEDEDEDEDFDFNPFLKENLSIEASSSLSSEIEGVEADVVDSGEGNSPFSVTALLSKPVNQVKDYAVGDSENGRVVTVASDDASKVGRVGVSAENEAFVEKDSVLSNGKKVVNNVVGHLDTSVQSTNVIMDVDDEDAICRRTRARYSLASFTLDELETFLQETDDEDDLPNVDDEAEYRKFLAAVLHGGDADHMAEKENENVDDEDEDNDADFELEIEEALESDVDEILKSPAQVRNSEGGGRRPETRQNKQQKSSAQLKKKSSGHLSMPLRPLLPYVPVLSSTAFQENLTRLESASHRQPSSAIDSTLNGFTPHQIGQLHCLIHEHVQLLIQVYSLCIFEPSKEHIAPQIQGMILEILNKRNQVLKYRTTPYPSSYFFPPYIRPSVSNEHEISLQTQDTSPSPINASESDSCPENNITLPPHSGRHKSVSCSKEGQIQATEGPMWMPSINGHVLSILDVAPLNLAKSYMDEVSSAVQEYQRRHVGDACDTRFEKEPLFLLKNLDPHANEAQRGSEAVTGKPSSGNDQGLKKTLASTLTERSKKQSVAPVPKQIAKLAQRFFFLFNPALFPHKPPPASNVNRVLFTDAEDVLLASGLMQYNTDWKAIQQRFLPSKSKHQIFVRQKNRSCSRAPENPIKGLVVFKNDLMSVWKFVVPYRDPVLLPRQWRVATGTQKSYKVDEQRKKKRRLYESNRRKSKPANLVKWHSPSEKEDCSAGEENNSGNDCIDNENEAYVHEAFLADWRPDTSGVSLELPTSDCGLKSIPSYFSSQENCHTKEQKGQGKSCGVRNLHCQIGQQFSESLENSPFRASSDGTRANSALSSHLNNPFSDVPSKSSGPQPSPLKYQARRSRTACLVKLAPGLPPVNLPPSVRIMSQSAFKSYKGDTSSSVSTSQNGYPGPSTPNTVGKLLKVAQSGTVDAIKSGPTTIPLQSSISHLHPNESVLRKRGTVEDQDGSDLHMHPLLFRSPEDRRLPYYPLNSSTNASSSFNFFPRNQPLLNLSLFHNSKQVSYMTSQSGKSSNSMEKRTLSFGIDFHPLLQRSNDVDSSIVACSVAEQSHCAKLPGILLAQSQESVGAAIGDFPVSVLDVPSNPSQKMNDLDLDIHLSCTSTKQIPAESRNAINENMTREASSGHVCGIKDIQNFNPKDRTGSSPEAIPPVIGQNVDSVSHASLLHNQFVSSEVANITPNQFVPEIVMEQEELSDSEDEITEDVEFECEEMTDSEGEEESNSDHIDFRGIKVVPANKSCF >KZM84548 pep chromosome:ASM162521v1:8:16130848:16131312:1 gene:DCAR_028030 transcript:KZM84548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQQLLDEAQSTSQALSHHNPGSATDPTRIISKKRHYRGVRRRPWGKFAAEIRDSARHGARTWLGTYETAEEAAFAYDKAAFKMRGAKAILNFPGQAGSHSNVEKSEQNLCFKLLDNNQGSSSSSLSSERVAGIKGEETRDMELNDYRHLLKL >KZM85071 pep chromosome:ASM162521v1:8:22407591:22416188:-1 gene:DCAR_027507 transcript:KZM85071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGALLRRRKVISDHLSISARCFLGVRNVAQGQSPEQLVESRAFSTVSHIPSQEKDSKKTDDVAFFVNGDLLKTSALGLFRSSYRSVLAGQGNGKPDFGFIIGPRQTSHFIRYASTATAGQPNLDGDDEHNDELVAKKRKEASAEDCDQAVEGLSTAKAKAKAKRLQESQNVAITILRKVWTTILGIGPALRAVAAMSREDWAKKLVHWKNEFVSTLQHYWLGIKLLGADVRISSRLLVKLARGKSLSRRERQQLTRTTADIFRLVPVAIFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEAMKRKLKARIEYAKFLQDTAREMAKEVQNLRSGDIKQTAEDLDDFVNKVRTGALVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGITPYGTDSYLRFMLRKRLQSIKKDDKLIQAEGVESLSEDELREDCRERGMLGFHSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVTGKLKPEEAVLATLSSLPDEVVDTVGITSLPSEDSVSERRRKLEFLEMQEELIKEEEEKEEKEISKKKQSDATQEDLALKEMTTPTAKEAQEQARARAVDKQEQLCELSRAIAVLASASSVSTEREEFLRLVNKEIDLYNSVKDKEGADGEKEAMKAYRAAHEENDQDAEVNAGNEVSSVLIDRVDAMLQKLEKEIDDVDAKIGDRWRFLDRDYDGKVSQEEVADAAQYLKDTLGREGIQELISNLSKDKDGKILVEDIVKLGIRADDINAEEDANADDRV >KZM85530 pep chromosome:ASM162521v1:8:26545467:26546345:1 gene:DCAR_027048 transcript:KZM85530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSAGAAEEFCENHNLSLADWFSRHALSLHDYYTVKAGSGIVVSRFVLPAISLCLATRWILIQKFPPNFVRLWQEMFLGGTDTSSTSIEWAMCELLPNPDKMKTTISEIAIVIGANKKLQESDIDVKYKGQSYEFLPFGSGRRMCPGLQLLIECSFFTLST >KZM83673 pep chromosome:ASM162521v1:8:335356:338112:-1 gene:DCAR_028905 transcript:KZM83673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGQGSYMAPAGGNPAVAVVGQQFMAPYPVDLTIVRKMMSISEGNFGVTDANGNIMFKVKGKFLSLRDRRILLDAAGNPIVSLQQKIISLHRRWEVYRGDSKESKDLLFTVKKSSLLQFKTELDVFLASNTSESNCDFKVKGSWLERSCSIYAGNTSTVIAQMHRKHSVSSVVLGKDKFAVTVYPNVDYAFIVALVVILEEINEDRKDED >KZM85081 pep chromosome:ASM162521v1:8:22527706:22533750:-1 gene:DCAR_027497 transcript:KZM85081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRFISKPLHQNLRLISFLISSHPHPPPTPTHFTSHSPQTHLSPNPSSSSFTHFVKHFSTNNKNNNGDKTSWNFSSEIEDSSSFGGVLDTDEAGGDFSKPGGAVGRDWVIEKDEDDEGFDLFKEVEGSVREVPKLGTVNQVWLDDKGDDEGLDLFKQAEESVKEVGGHGEEEQENWATADGYQPWDLDSEDKGDDVFGLGETEMSGGVEGEVGGQETQKSIEDRLLLEKEEKELSVVLKGPDRAFGDLVATSGITEEMLESLIALKDLEGIQGLPPLSEIEDIRYEKNTRKSSRADIERLKQEEVANARVRQVDSKGRAYGTGKRKCSIARVWIEPGEGKFVVNDKQFDVYFPMLDQRAALLRPFTETKTLGLWDVNCTVKGGGISGQVGAIRLGISRALQNWEPDLRPPLKEAGFLTRDSRVVERKKPGKAKARKSFQWVKR >KZM83800 pep chromosome:ASM162521v1:8:1731503:1732557:1 gene:DCAR_028778 transcript:KZM83800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPQEIIIEEILKRLSVKSLVKLRVYDRGRSPNVLSILSLNNLSETCIDKVPYSVSKSNDNDINLIGSINGLVCLTCFSCYRCRFLIWNPVIHRSKKILLPRLLPKNYGRALKNSLCGFGWDSMTNDYKVMIKVEDSVEVAVYSCRTDCWSYKPDDCDVSWRSFQFPSVIVKGVPYWKDYDGKKIIKFEVRTNRFTSLVNTDPISPYYTLANIYDSLARIDYSDFIDVDLFDEEHGVWSKMYGIKDVITRSMMTPMCFKYGGEIVYVAYKEKVNCYDPKSDETKVLLNCEKEHSRGFSYTPSLLSLDGMSSTSLWI >KZM84743 pep chromosome:ASM162521v1:8:18583413:18591153:-1 gene:DCAR_027835 transcript:KZM84743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFSSSCLSSTAHLHPAKGSVASVRHMKRHRVILNGDKKNNYRGFLDNKIFDSNKSFSRSCDKRIAQVIGASSDGEFVLQPTNDIQKTLTAIYKFSRPYTFIGIITAISSVSLLPLTSLGDLSPAVFVGFLKALIPFLFAKVYNAGINQVVDVEIDRINKPYLPLVSGELSMGQGKAIVSACGFMCLVVAMLFQSPPLFLGVLTYFLLGTAYSADLPLLRWKTKPSLAVLTIVGLYGFSCHLGVFYHMQNYVLGRPIVLTKSLGFTVSFFSLFAAVIALFKDIPDVEGDEAFGNPTFSVKYGKKKVFSLCLVILLSAYGSAIVIGASSSFLICKLVSVIGHCTLASVLLLRAYALDLDDDEATQSFYMFLWKLFYAEYALIHFIR >KZM84814 pep chromosome:ASM162521v1:8:19609503:19619459:-1 gene:DCAR_027764 transcript:KZM84814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDYLGDERRKAEFDVDEMKIVWAGSKHAFDLTDRMSKMVASDPVFRKDNRTMLDRKALFKSTLEKSAHAFKRVDELNLTYEEATKLRFFIDEPTYTDLHWGMFVPAIRGQGTEEQQEKWLKLAEKMQIIGCYAQTELGHGSNVQGLETTATFDSTTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLIIEGQDHGVHGFIVQLRSLEDHLPLPGITVGDIGTKFGNGGYNTMDNGVLRFDHVRIPRNQMLMRVLQVSREGKCTYSDVPRQLIYGTMVYVRQNIVTDASIALSRAVTVATRYSCVRRQFGSQNGGMETQVIDYKTQQNRLFPLLASAYAFRFVSEWLKWLYGDVTARLNANDFSMLPEAHACTAGLKSLTTSATADAIEECRKLCGGHGYLCSSGLPELFAMYVPACTYEGDNVVLLLQVARFLVKTVSQLGSGKQPVGTTAYMGRAEHLMQCRSNVERAEDWLNPSAILEAFEARAFRMVVSCAQSLRNFPSPEEGFAELSADLAEVAIAHCQLIVVSKFIDKLKQEIPGKGVKQQLKNLCNIYALSLLHKHQGEFLATSSITAEQASLANTQLRNLYSQVRPNAVALVDSFNYTDHYLGSILGRYDGNVYPKLYEAAWKDPLNESVVTDGYVEYIKPLLKGKLHLSRL >KZM86114 pep chromosome:ASM162521v1:8:31448683:31451116:-1 gene:DCAR_026464 transcript:KZM86114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALFGCGAFLLRGAGCTINDLLDRDIDTKVERTRLRPIASGALTRFQGLCFLGIQLFLGLGILLQLNSYSQMLGASSLLLVFTYPLMKRFTFWPQAYLGLTFNWGALLGWAAIRGSLDPTIVFPLYLSGVCWTLVYDTIYAHQDKEDDMKVGVKSTALRFGDTTKEWISGFGTACIGGLTLSGFNANIGWPYFIFLTAASGQLAWQIWTVDLSSRADCNRKFVSNKWFGAMIFSGILFGRLMP >KZM85041 pep chromosome:ASM162521v1:8:22058992:22065734:1 gene:DCAR_027537 transcript:KZM85041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKAAAVVSVSAYLFNPQPKTPKFQGKLSLQSNPLTHSNSLTHTWGNNYSSNILKMDNGSSSAFVTRASANPLQNADELIDSVETFIFDCDGVIWKGDSLIDGVPETLDMLRAKGKRLVFVTNNSTKSRKQYGKKFETLGLSVNEEEIFASSFAAAAYLTSINFPKDKKVYVIGEEGILKELELAGFQHLGGPDDGGKKIELKPGFYMEHDENVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALCGSTQQEPLVVGKPSTFMMDYVSDKFGITKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLENPNNSIQPDFYTGKISDFLSLKAATV >KZM84943 pep chromosome:ASM162521v1:8:21044205:21053930:1 gene:DCAR_027635 transcript:KZM84943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKTAVSVVEKCKTILTSNWQGNLNTIMADAQGSKGEIYTSKVNYFIKKGKPYLWVPEEDLHNVNTIIDERGSFAVATPFPGPLLNILRSMKKLPPRVALMGDIVPLKDEKVQLATECLRETITSEQKAFKESSYSVSGVLSTANLESTSRSQNLQDLLDSNKSYTVYKLDLSSCTYIDSNGSTHEVDLETIRKTKADALSPFSMSLIDGINQSEARRRALIFFCITYLNKNVKDALMLSADRKGFDVLGKTLGPVMNDGSRQYLWEELRFELNEEAKDIESFCQQLVSMEVEALKTVSKFSGI >KZM85232 pep chromosome:ASM162521v1:8:23964719:23967000:-1 gene:DCAR_027346 transcript:KZM85232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTNALRFLGAFVLLLSHVLWCGAEPITELPGQPENVAFQQHSGYIVTDALHGRALFYYFVEADTSDHLSRPLTLWLNGGPGCSSLGYGAFMENGPFQPGKKGNLIKNKYSWNLESNMLYLESPIGVGFSYSNTSSDYIMWNDTTTAKDNLAFIINWLEEFPMYKDSDFFLVGESYAGHYIPQLAALILEHNKGKNTQPINLKAIGLGNPLLDIEISVEAGEFLWSHGAISDETLYLEKTVCNNSKFLQEYIHSGWSEGCNDVFNRVSDEMGADVLSDDLLLPICLSSSTSTTQFKPMGLHGKSHEAFARRGIVGDPCLQSRIFTYLNKPEVQKALHANTTHLPFHWDFCEGQILTKQRDSHLALQVGGWWQSYGRLTEGKNVTYLTFATVRGAAHEVPFTSPSQALTLFHSFLHALPLPTPPPQR >KZM83695 pep chromosome:ASM162521v1:8:515110:516264:1 gene:DCAR_028883 transcript:KZM83695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENPDDRKEFDPLVYGSKMPHKLYKGVYEKKGKEKWYAQCSHGGENAYIGTYNTELEAALAYDKRGRELKRPEEKLNFPKFLTEHNPPAATEPALPITNALSSSVQVNVPSGSSSSNAGRGEKRKQITISKEEYDAYLPYHEARKIEFAQRGRNVSVSGVAIRSQSANISSAIMELIGNPGEFKDVPDEELLSDAEKEFVESLLNQGTSSDDGKLS >KZM84574 pep chromosome:ASM162521v1:8:16379114:16380933:-1 gene:DCAR_028004 transcript:KZM84574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYLILMFFLLNSSTSSATITYNILRFGAKSGGQSDSSKALLRAWGAACATTRPATIYVPPGRFLLKNVYFYGEHCRNGAITIRVDGTLVAPSDYNVLGSSGNWIKFERVTGVSIYGGTLDGQGTSLWACKTSGKKCPEGITSLAFYNSNKIIISGLASLNSQMFHVVIDGCHDVKLDGIRVSASGKSPNTDGIHLQSSSGVTVLNSHISTGDDCISMGPGNSNVWIENIACGPGHGISIGSLGWELQEPGVQNVTVKSVTFTGTENGVRIKTWARPTKGFVKDVLFQHIQMVDVQNPVIIDQNYCPGEKNCPHQVSDIKISGVTYQDIHGTSATEVGVKLECSKASPCGVKLEDVELTYKSKPVEAVCTNVGGKLLASSDNQRCL >KZM84060 pep chromosome:ASM162521v1:8:6648341:6648949:-1 gene:DCAR_028518 transcript:KZM84060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPRGKLEMVEDMHSYYNLNDQNDQLLRREHVRHLDQWESNIWEIHDNSIVSSKQEDELFNDSIEHAYNMATSEETRGNEVYFLTGDLQLDRHISNEMLKMSLGKKVGRTRKKNLFRNVFEVKGFKKLKSRYNKKKMGLNRSVGKRKVGSLERQELVELHTKSVGEKLLESKKDLAEQILESADLMGLVPQENREQAVEKI >KZM84471 pep chromosome:ASM162521v1:8:15073514:15073930:1 gene:DCAR_028107 transcript:KZM84471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAPLAAVGAVEIIHESEVNFILF >KZM85236 pep chromosome:ASM162521v1:8:23984067:23984276:-1 gene:DCAR_027342 transcript:KZM85236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNINQKLWYYGCNVCYEVVAHTKCAASKVLYGTKNGMVRKQILNRVELMKQNILAGRIEECGQWCPQK >KZM85381 pep chromosome:ASM162521v1:8:25267117:25268301:-1 gene:DCAR_027197 transcript:KZM85381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSKSKRASNSWEMVMSNFTDDLWTEIFLRLPLESLLRFKSVSKSWFSIISSHRFALSHLAIAPKDDQILIVHHESGEPEEGVDGHFSLYHLDSSRILENLNFPYSQGEYPFEPDYSLLVGSECGIVCVSVCVSKWRAATNNDDLYLWNPATKQSKLIPPYTIPNDTMFGVALGFGFDHIDLDFKVVRVIYGGLLAEVYSSNRNGWRKIKHELINIYGHIEFYVCFHGFLIAMGYYSGIRDMIAFDLNKEVFICDIQLPVGSSYDVKSSIGTHIAEFKDTIAFISYDSIRDNNKIKLWTLDNEACLSGGGVEASWTKVLSLEVGVPFNFVEGLFNNIQFLLFDVSGDRLLYNSNNKLTTEVPSYPPFAPCESLKYTKSLFSLTGFRRIKWAA >KZM85589 pep chromosome:ASM162521v1:8:26953214:26954801:-1 gene:DCAR_026989 transcript:KZM85589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSATAAEATERRGIPAASFVGDVQTYLNQLGLDVNSTLSFLQERLQQYKLVEMKLLAQQRDLQAKIPDIEKCLDVVSTLQAKKGTGEVSTSNNMNCYISCHFAQYVEFHP >KZM85451 pep chromosome:ASM162521v1:8:25890880:25891284:-1 gene:DCAR_027127 transcript:KZM85451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVLRSQDIIREAPASFHHRKTYHGYGNSGPKVNRKQVRPEHRRNSPAPRKSEMLGQLVILKRGEALGAKIKAGPDPKQVRLGVQDTYAGSAFSMSPSPSSLPLPTFFTKGGVKAVDDSAATRDLRRLLRLD >KZM85920 pep chromosome:ASM162521v1:8:29931179:29931522:-1 gene:DCAR_026658 transcript:KZM85920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSFSFLAKKLVIWIALILLIVSQVFLFQCLQSARNDRLDTVLEIARTIAIIFANILGSGLREDFAF >KZM83889 pep chromosome:ASM162521v1:8:2668724:2669257:1 gene:DCAR_028689 transcript:KZM83889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHVEHGLTRANSSMDKIIAIFAQKGFTVREMVALLGGGHTIGFVHCSEFASRIFNFSATSEVDPSLNPNFANRLRTLCANYKTSPDMAAFMDPISPGKFDNNLYQNLMRGLDVLPSDHLLITDPRTRALVAEYARDQNLFFTDFARAMEKVSVTGVKTGRRGEIRTNCNAFNTKA >KZM85854 pep chromosome:ASM162521v1:8:29320345:29321791:-1 gene:DCAR_026724 transcript:KZM85854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMSVQSGIKGSFTRPSFPSCNVKHQALALPHFSQSFGVKSKPFRRIRSLCVSATAEAEAVVSETPEDGSDQEPEKLKVGVKEGEKPTLVLKFIWMEKNIGLALDQKIPGHGTIPLSPYYFWPRKDAWEELKTTLESKPWISQKKMIILLNQATDIINLWQQSGGNLSGQQ >KZM84279 pep chromosome:ASM162521v1:8:11485660:11490583:-1 gene:DCAR_028427 transcript:KZM84279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVPGVLLMLLQHMNTDVKVGGEHRSSLLQVVGIVPALAGGELFSNKGFYLKVSDSSHATYVSLPDEHVDLILSDKIQLGQFIHVDRLEAASPVPILYGVRPLPGRHPCVGTPEDLVATHSLGFLSNDANLLSGSKNLEKSKPESKVLGGTSGCGSVGMRRDKKFASLKLGGGLKDDLGNGESPSLIRSKSQLLKVGVGSVEKKEPALKKSLSSRSIPSSPMSCYSLPNSFEKFSNGIKQQGKIGGFGKTTKLASGEKASSVRGTSPAKTKTVAGSSVSNFIQGIGSGPKALRKSWEGNMDVKARDSPRLRTTKSNLKPESPSFLAAQVPRKSTSERLPPKEETKVKVSSKSVKEENKAGLAANRATTNGALVDPNRSHKQMLSVGKKTPGNVANHGLPGNFNKVSLSKKRLTEGSASWASLPSSLAKLGKDVLKHRDAAQMAAIVALEEASAAESLLGCLSTYSDLSSSAREDNPQTAVEQFLTFHSSLKSCHQIVSSLYKATTTGSSSDGEKVPSDEALKVTSERRKQAASWVDAALMTSLSSFSLYTKQSLSSTAPISTSSPNQKTVLANEPILVLENSSKSTSTKTQAKPRQTVSSKITGQKPKVPPPLPPQVEWVRGDGLYEGVDLAEMLQMESQDWFLEFLERFLDAKVDVSTLSDNGQIANMLTQLKSVNDWLDGIATTKDEEENTHISPDTIERIRKKIYEYLLTHVESAAAALGSGSQSSPPTETNIRR >KZM84609 pep chromosome:ASM162521v1:8:16771117:16775295:-1 gene:DCAR_027969 transcript:KZM84609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKSDQLECLESRVSDLFITNQPTFISPQDSGGLGEDEEGSSDTELSPEYQLSGVANDELGCSMSPKVTAIKECIEQPEYSGLRSDEERFEEQTMGEVEIRTTQISVKDNEIADSKSLKISGTKDSNKNSFDQSAVVENCSGIGQKVAGAQECNVNIPQSVHNDSDDIHGTRSSSNLVIPKVDSSKECLSETRRPVMKYENEDSRFAATKSSENEMFDVNIPQGLDDKNSEDKRGKYFYYDPPLFEETGVWIPVSVPPMSKTDHEEWTRGFGSNGGTFPEEDMGWGDLFAVDKELTMWDVVVEMLLAAKGKVNEFASGDIPSISLMSGHIVEQAWKEMAQTLAEVNHGKSQMILQTDPPKWLPDSSASSCMLCSVRFHPIMCTRHHCRFCGGIFCSECTKGRMLLPEKFRVGDPQRVCDVCYVRLESVQPYLMDQISRAAQLPTHDLTDLSTLRSWLNFPWGQSMEYEIYKATNTIRGYNQVGSLRPERSIPDAILRQAKGLAILTVMKVGMMVTYNIGTGLVIARREDGSWSPPSAISSFGMGWGAQAGGEFTDYIIVLRSIEAVKTFSGDLHLSVGAGLSAAVGIVGRTAEADVRAGSGGYAACYTYSCSKGAYVGCSLEGSVMTTRVKENSRFYGSQSLTASDILLGSLPKPPAAATMYRALADLYQKFDI >KZM84626 pep chromosome:ASM162521v1:8:17137726:17138520:1 gene:DCAR_027952 transcript:KZM84626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSMALSSPSFAGKAVQVAPSSSELFGNGRVSMRKSVKAPVSNSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRGAGGPLVEIVEPLYPGGSFDPLGLAEDPAAYAEHKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >KZM86030 pep chromosome:ASM162521v1:8:30883649:30885870:1 gene:DCAR_026548 transcript:KZM86030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCITYKLLLLSTCLGVLHALINSSSEREALIRSGWWRNQIPLNITSNHHHCTWIGIGCSESGSVISIILEDYYIGDELGKLNFSSFPYLQRLYLSNCDLNGSIPYQIGMLSKLYSLVLQRNNLSGKLPSSMGSLTNLELLDVSNNHLTGFIPSELGNLSNLVSLVISYNSMNGTIPVELGNLIHLEVLSLEYNKLTGTIPLALGSLTVLCYLYLSSNQFNGSLDLHDANFPQLELLDVSNNSLTGPAPSFKNSTSLKYLNISNNLFSGHIPKEPPYCYSSKICSRSHFSDFDLSNNHYSVKLLSNHDSFTNHTCCYTEDDLDNREVTFHPRKSELWIVLYTTLPIIIALSLVILVLVFFFRYRSTKKFRYKTTKKQNTVHVRDGDICSIWNFDGNIAYEDILRATNNFDIRYCIGTGGYGSVYEARLPNGKTFAIKKLHRLEAEDPNFDRSFKNEVHVLSNIRHKNIVKLYGFCLHNRCMFLVYEYMEKGSLFCALRDDAHAVELDWSKRVNIVKSIAHALSYMHHDCTLPIIHRDISSNNILLNSKMEAFVADFGASRLLEPDSSNQTIVAGTYGYIAPELAYTMVVTEKCDVYSFGVVALEVIMGGHPGDFLSLFTSSRCTRNKMLNELLDTRLPRPTRQQEHDIILILNKAFACLCSNPRSRPSMLTLSQAFLQSPKMLTTKSIYTTSVEEIC >KZM84551 pep chromosome:ASM162521v1:8:16148913:16157483:1 gene:DCAR_028027 transcript:KZM84551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTLAILLVLALTQFGSGRLIADIMMYDGMYNATAAATTTSASAQVPQFGASMVTCEPAYGFLPCTTELPGLIFLIVVYQYLLSLGQKYVSDGSDLFFKTYGPGSFGDSLFHLIGTIPQVALIIVSRLSGNAQAQAGIGISILAGGAVTNLTLTWGIAAVLASYKFSDAPATIDGSVPLKKPPGLTGSGVLTDVDTSITARIMLISVVPLLILQLTNVVGSSSGSHLIVLITLIVTLLLLFSYSLYQGIDHNNDHVISPAELRTLIIGIKLEDDGFVRGDYADKVREAFDINNDTNINEDEFVNGLSQFLLDAQKPGNQNDLKVPNASTEENQSLLVQGTSTTSVEDPWKNYLNAAYLILLGITISVLLAKPLIQSVVGVATAAKIPSFFIPYVVIPLTLASRSAGRTIASAKLKTPESISLLLSQIYGSVFMSNLISLTTFLTVVYIRDIPVAATAEFLVAIVICTVMGVDSRALEQKFSKPLISDGHDHATQSSTSVLTLHNISLPPSSSVQSSDACQHVYGFFPCADNIGGYIFQMIVYQYMLMLGEKLLTQGSNNIFNILGTGIFGASLFRVLMVLPGLVMFIVSGVFADSGNAQQQVSLGVAVYTGTTVLYVTLLWGMCVIFGRTESQEKCCSSELQGAGASTSKPFPKRGRLSFLTDSAVTMDKKTRYTAGIMLLSLVPFVILQLANIFNTFFGSRVVILIAFIVSAVSLLSYFVYQVLDPWIQERSLEYFKYQNLLTEFLNHAEKCGKAKLINENGEPNITLIKRLFAETDKDRSQHLTTDELEALIRQMESGNLEVDNAYARKKTLQVVQPLINKKKEELAQIEHLMARILKKIQSEAIDAGCLLNDDGKPDLERINSLFDKYDSDKNKVISQPELKNLIQTVKFGNKWITNHDMVVDTVMEDFDDDGDQLITSEEFVRGVTRWLNKATHETKCTDAKRSVEEYDKMLWRDVDSMIHEKQVGQETYKLMLTWGFNKALVQLILGFIMLTYLASPLSLATRQFSNAVGIPSFFISFVIIPLAMQARKAIAAIFPAAQKNKRTASLTFSELYGNVVMNNIMGMTTLLAIVYVKDLRWDYSSEVLIMLVVCAVIGLLAFLSSTYPLWTCLLAFSLYPFSLVLFYVLQSFWGWK >KZM86063 pep chromosome:ASM162521v1:8:31153288:31153635:1 gene:DCAR_026515 transcript:KZM86063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNIVNQPLLSTNDSDQTPPNHSLRRSDHSSSFIADAADIPKITGIKEFYVQFVVESRKLWYLAGPAIFTSLCQYSLGAITQTFAGQVGTLDLAAFSVENSVIAGFSFGTLVKY >KZM84679 pep chromosome:ASM162521v1:8:17722789:17729034:1 gene:DCAR_027899 transcript:KZM84679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSEAKNNKKKRESGNQWRWVAVISVFVVMLAFGLASKPFFSNPTSKSCQCSQGSHKYSGMVEDCCCEYETVDHLNEEGLYPLLQDLVKTPFFRYFKVKLWCDCPFWPEDGMCRLRDCSVCECAENEFPETFKKPFSHGLSPDNPVCQEGKPEAVVDRTIDAKAFKGWTVIDNPWTNDDETDNADMTYVNLQLNPERYTGYTGPSARRIWDAIYTENCPRYPSEEFCQEKKILYKLISGLHSSISIHIAADYLLEEATHVWGRNLTLMYDRVLRYPDRVENLYFTFLFVLRAVTKASDFLEQAEYDTGNSVEDLKSQSLVKGLLHNPKLQAACPLPFDEAKLWKGQSGPELKQQIQRQFRNISSLMDCVGCEKCRLWGKLQVLGLGTALKILFSVNGQDNMGHTLQLQHNEVIALMNLLNRLSESIKLVHEMGPAVEKAAGVPIPAFGDRTSIWQKLWNSVTGHK >KZM83648 pep chromosome:ASM162521v1:8:93084:93915:-1 gene:DCAR_028930 transcript:KZM83648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGVFAYTDIILVPLSLFITVGYHAYLWHNFKSNPFLTTVGYNVYKKKLLFQDLQQGDAKKGTLAVQSLRNSLMEIILSATITIIMMVALAALSNNSYNANSLFNRPTGDSATLFWGLHSGEIIVVKYGTAAILLLFSFICSSAALANLIDANLLINSTLDMDEEELLRLCYGGSSIKSYTISMLERGFVMAVAGSRLLCIAFPLLLWMLSPVLVLLSSIGLVWGLHALDFSQLIN >KZM83937 pep chromosome:ASM162521v1:8:3681596:3683985:-1 gene:DCAR_028641 transcript:KZM83937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSSSSISSSDLIDAKLEEHQLYGSKHCPGCGHKLEGKKDWVGLPAGVKFDPTDQELVEHLEAKVEDTELKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQSGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTSWVMHQYHLGQNEEEREGELVVSKIFYQTQPRQCSWSDRTHVGSLVPTDDENINPGNIGSSSSKDLIANRDDLSAVGVGASIPTYNNPLDIHQLGPHDHYSFLPFGKSFSEVRTAGDNEASATAMETAATTAGTYEERMLHQQMTHHHQQLATAAFHISMPSHSISTILSPPPSLHHRTTTAVMPSIDDSFHVSTIMPQNENIIQVQSSIELFDSFYTLIYNVHA >KZM86099 pep chromosome:ASM162521v1:8:31337077:31343414:-1 gene:DCAR_026479 transcript:KZM86099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEDEKEVRSFKELGVCEQLLEACDNLGWRTPSKIQALVLAHAFEGKDLIGIAQTGSGKTGAFAIPILQSLLENPQAFYACVLSPTRELAIQIAEQFQALGSGIGVKCTVLVGGVDQVQQSISLGKRPHIIVATPGRLVDHLSNTKGFSLRTVKYLVLDEADRLLNEDFEKVLDDILSAIPRERKTYLYSATMTNKVEKLQRACLRNPVQIEAASKYSTVDTLKQQYRLIPAKYKDCYLIYILNEMSGSTSMVFTRTCGATRFLALVSRNLGFRAIPISGQMTQEKRLGSLNKFKAGECNILICTDVASRGLDIPSVDVVINYDIPTNSEDYIHRVVRTARAGRSGVAISLVNQYELEWFIKIEDLIRKKLPEFEAQEEEVLLLSERVSEAKRISIQKIKEEGGNKRRRGGDDDEEIQKFAGSNKNGKLSKKTKRKQCKFHLN >KZM83885 pep chromosome:ASM162521v1:8:2607158:2608532:1 gene:DCAR_028693 transcript:KZM83885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVYVFPRLALGAIVAREMMHSWMRIEGKNSKRISEGSKNFSEAVKNNIMASGASDAGQRKTADVGWENNLKGNAVINEACTTEEETYSMSLVEAEHS >KZM85165 pep chromosome:ASM162521v1:8:23351863:23352528:1 gene:DCAR_027413 transcript:KZM85165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNPKLTSQTSDPRTTNINNAHPIPRSLISSSHLNKKHHQQHIISYKECLKNHAVSIGGHALDGCGEFMPSTHPNSLKCAACGCHRNFHRREADEPDSPTPHFLTFRLISTLAPDEHKHRHHAAENASSRKRLRTRFSREQKERMRVFAEKLGWRMHKSDEVSVEEFCKEIGVGKSVLKVWMHNNKTTLGKRDDSSNNVAARDGNIGGGEENEISTQHHL >KZM84443 pep chromosome:ASM162521v1:8:14671314:14672502:-1 gene:DCAR_028135 transcript:KZM84443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNNPALDVTLISSNGMRQTEGLEVKAFTEEQEALVVKSWNFMKKDAAQLGLNFFLKIFEIAPTAKKMFSFLKDSDVPLEQNTKLKPHARTVFVMTCETAVHLRKTGKVGVKESTLSKMGATHSRVGVVDEHFEVTRFALLETIKEAIPDMWSPEMKNAWGEAYDQLVKTMKESTPTSTV >KZM83660 pep chromosome:ASM162521v1:8:216844:221640:1 gene:DCAR_028918 transcript:KZM83660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQICMNSLCGAPILNSSSRLGWPLRSGAAFAILCHNCGTLYDQLAFCDVFHSDHTGWRDCISCGKRLHCGCIASTSLLEILDTSGVICIRCAKTSPIQPPQFISDDNGLKQFLPPQNHNTSRSMPPSGDTRNKCLSKYTQLSAGCGSPLDVKTKTDKKTPEVEDVYGSPVQTDLTISLATESITTHSLPITASKPVLTAGLDPNARTMSQMRVARLPVEGRIKSQLLPRYWPRITEQELQQISGDSNSTIVPLFEKILSASDAGRIGRLVLPKACAEAYFPPISQPEGIPVRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMKLQAGDTVTFSRMDPEGKLLMGFRKASNNIIMQDSHSTGKHNGSFASEPFSSSDVDNLPLRNGYSGLLQSMNGSTDSHLKAFSKHFSPSSEDAWHFTDKHGGRGMIGSLAPTLLAPERKRSKKIGSTSKRLLIDNQDALELKLTWDEIQEMLHPPASMQPTTVTIEDHEFEEYELGEGSLIDNVLWSHKVGPRPPLKVYGRHLFWERGAFLLPGHLGSKSNGRHAIAALSGEGCHLISFSLPYGDVRTMCGITAGK >KZM85835 pep chromosome:ASM162521v1:8:29169301:29170807:-1 gene:DCAR_026743 transcript:KZM85835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFERQVSIRIRREHQGGLQVATTIILCISITFFVFVLATFINDRAKRLSIDSDDFYIPQSYVTSTYASNPDILCNSSSSSDVHHSVTEQRISPKDLWHSMSDEELIWRASMVPVVIDPPFNWTPKVAFMFLIRGSLPLAPLWERFFKGHNGLFSIYLHTSPEFTDETPESSVFYKRRIPSKPVAWGKHTMIDAERRLLANALLDFTNERFVLLSETCIPLFNFTFIYNYLINSNHSFLSSFDDPRKMGRGKYNKRMSPAITLSDWRKGSQWFEAHRKLAIQLISDTTYYPIFRTHCQRPCFMDEHYFPTLVNKICPELTSNATVTWTDWSRGGSHPALFVKNQISKEFLEKIRHGYKCSYNGEETSICFLFARKFHANTLQPLLSIAPGSSFARVEHLAQRLMGNI >KZM84682 pep chromosome:ASM162521v1:8:17743835:17744740:-1 gene:DCAR_027896 transcript:KZM84682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPAALQSSLCFSSYKPSPSLLSQEPQNLSPPKPKSLHKNTLYSTTHTNLSHQFKEKILCLEIMGVDSGKALSLNPSLHRASLHSIQAIITFLQSKGIHQKDLARIFGMCPKILTSDIKTDLNPVFNFLYLDLKVPEHDYRKVINKCPRLLVSSVRDQLKPALFYLKRLGFKDLKALAYQDAILLVSSVEQTLIPKLDYLMGLGFSRSEVVGMILRCPGLFTFSVENNFKPKFEYFVGEMGGSLEGLKMFPQYFAFSLEKRIKPRNMECVERGVEVPLSVMLKSTDEEFRELIMGTDKH >KZM84833 pep chromosome:ASM162521v1:8:19875903:19877281:-1 gene:DCAR_027745 transcript:KZM84833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGTIGQTCPHPMKATSNGVFQGDNPLDFALPLAILQICVVLIVTRGLSILLRPLRQPRVIAEIVGGILLGPSALGRNKDYLQAIFPPKSITVLDTLANLGLLFFLFLAGLELDPRSLRRTGKNALAIAMAGIGLPFTIGIGTSYVLRQTIAKGVNGTAFFVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAVALSGNNVSPIVSFWVFLSGCGFVVCSFLIVPPIFKWIGKRCHQGEPVDETYICATLAIVLAAGFVTDAIGIHAMFGAFVIGILVPKDEPLAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVIITACIGKIVGTVLVSLSCKMPLTEALAMGFLMNSKGLVELIVLNIGKDRKASF >KZM84570 pep chromosome:ASM162521v1:8:16351014:16358919:-1 gene:DCAR_028008 transcript:KZM84570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGENLLDDGEKVETLKAMEVLDEKKDGDIEVKSVAALKSHSEAEKRRRERINAHLDTLRGLVPCTGKMDKASLLAEVISQVKQLKVSAAEASKGLLIATDADEVKVEPLKRSSACGTYYLASVCCDYKREVLSDMKQALESLNLNIVKAEISTFKKRMKYVFVFTSSKDNGNAKEHLFLMNTVRQALSSILEKISLSMEYTPRTTPPNKRRKISLYESSSLSS >KZM85809 pep chromosome:ASM162521v1:8:28866711:28867685:-1 gene:DCAR_026769 transcript:KZM85809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLDHFSDLCSVSSTRKSRRKPFQTVDIKVKMDCDGCVKSVEVVRKQSRVSVTGYVEPHKVLKKVRSTGKRAEFWPYIPYNLVTYPYAPQAYDKKAPSGYVKNVAQALPSPNTPMVKYTSLFSDDNPNACSIM >KZM83929 pep chromosome:ASM162521v1:8:3574503:3578534:1 gene:DCAR_028649 transcript:KZM83929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVQLLDSLLIHLGKYTSLRLLEKEFEVQEQDEKRAKAHFCSFFVVDAFGRSAVDSGLTALFLSFPPTPVGSPMPEFCLSSLHGYNGYKLKTRCGEGSCIGSSVGICYGRNADDLPTPDKASQLAQLHKIKYVRIYDSNVQVLKAFANTGIELMIGIPNSDLLSISQFQTNADTWLKNSILPYYPATKITYISVGAEVTEAPTSIAAMVVPAMKNVYTALRKAGLQRRIKVSSTHSMGVLSRSYPPSAGAFNSSHAFFLKPLLDFLAENQSPFMINLYPYYAYRDSQNKVSLDYALFESSSEVIDPNTGLLYTNMFDSQIDAIYFSLMALNYRTIKVMVTETGWPSKGSPKETAATPDNAQTYNTNLIRHVINNTGTPAKPGAELDVYIFSLFNENRKPGLESERNWGLFYPDQTSVYNIDFDGKGAVDMTPETNVTSSNGTWCIASSTASEEDLKNALNWACNSGNVDCTAIQPSQACYEPDSLVSHASYAFNSYYQQNGATDIACGFGGSGVKTNKNPSYDKCLYMTAGSNRTAANNVPAKPSTSYSTHNEVPLLGFCCLLMIFISFCM >KZM84454 pep chromosome:ASM162521v1:8:14838977:14847600:1 gene:DCAR_028124 transcript:KZM84454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKSTISKQLDAKHQRILEGLLKLPENRECADCKSIAPRWASVNLGIFICMRCSGIHRSLGVHISKVRSATLDTWLPDQIALIQSMGNAKSNSYWESELPSNYDRVGIENFIRAKYNDKRWIPRSVKTTPSIRAREEKLLIQRVSINTSDKEHVNNIPKSSDESEKSQLHNSNSKLPSSNNSNLVPQTVSKQMLIPDYLHQEHQISASQGLENGLKEKQTNTISVVSPSKGDHATDLFTLLPVNNSGEYGQKVVSPSDNMKMEIQLYEATPKAEETVVSKECESKIQVDHGFEDLFQGLQWVAQPVSHESPEESQMEMQGVLSNLPMHPPQLATQSQQQYFPMASAANTNGVPQAGPRIINQANFNGIHKPVQNWGHTIKQVPPKTTQVADQPKLIQIGNVQPSYSVGNSALYMKSRSISNVHSDKFSRMQHSAGSASPVVRRPSASTRSPVIPTQLGGDYDFSSLVQGMFGSIKFENKATYKRGLEAQAAPNQPRHNQNPTSSMDKSSTTLLLLLSSSLVLTLFIATAVFLSKQPQLRFIWLFSSSHPLLALNLLILLIIVSSRCFAVSRHNGTGDRRGELLLLDDATEGIVPVFPGAEAADGIAEAPTSMSKKEGTIERTWKKTKEGSQLRQLKHSHSSTEDVIRPRETLTEPGSEEVTSSGSSSLSSAKLRKEVSLTREEVNRRVERFIRKFNDD >KZM83677 pep chromosome:ASM162521v1:8:376822:380943:-1 gene:DCAR_028901 transcript:KZM83677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEAPVVGNQFMAPCSVDLTIVPKMMTISACTFVVMDANDNIVFKVGKFMSPLAPRILLDAAATPIVSFHKKSMSLHSTRKVYRGDSKDSRDLLFTVKKSLFNTTLNVFLASNTKKEHCDFKIRGNWSEKSCTIYSGTSSNVIAQKKTKMMTGQGSYMAPAGGNPAVVVVGQHFMAPYPVDLTIVRKMLTISEGNFGVTDANGNIMFKVKGKLLSLRDRRILLDAAGNPILSLQQKIMSVHRRWEVYRGDSKDSKDLLFSVKKSSLLQFKTQLDVFLASNTSEHHCDFKIKGSWFERSCTIYAANSTVIAQIMSVHRRWEVYRGDSKDSKDLLFSVKKSSLLQFKTQLDVFLASNTSEHHCDFKIKGSWFERSCTIYAANSTVIAQMHRKHSVSSIVLGKDKFAVTVYPHVDYAFIVALVVILEEINEDRSGED >KZM84949 pep chromosome:ASM162521v1:8:21091312:21095309:1 gene:DCAR_027629 transcript:KZM84949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLRWACPSPEEQKSCINKSVAFNYDIKYKGASAKPASSLQENEELSKDGFFVNHAKVLLGSGPETYEKGKTALQTWKHFGMNWAFVDPQTPVQTGEKLCVCVKEIVPWIMMPLQVVFVNESKMANKPVASFSFGSGTLKGHLLAGEERFSVELDENNQVWYEILSFSKPDHFISRIGYPYARLRQKYFANQSSSAMQNYDLDAEVCHVYHLLDMVDPELKGFPEDEVIRYIKIAFFCTQGSASRRPTMGQVVDMLSRNTRLNEKELLAPGFSQDMGARSSSRNKSSDTPTSTQMSSVPISVTQITPR >KZM84079 pep chromosome:ASM162521v1:8:7002270:7002554:1 gene:DCAR_028499 transcript:KZM84079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTGLTIIVLVLVMSIAEIKGRNRFGTIDMVAPCCRKGGAVHPSAESLTPAPSPTKLPLTSPPSLADLLTYRRGTGQQVVRTQNNWNSLVLL >KZM85008 pep chromosome:ASM162521v1:8:21606398:21608320:1 gene:DCAR_027570 transcript:KZM85008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSVLIIIATWLISVSSCCCSNTFATDSSSSSSFSCVAYIVHLLILPFHPNIVWVSGVRGENTNLQCHISHCDQIFHISFYCEHRQYVYKKMGIAFGARFLCGIAFLSVFLAHRFRRRHMSVYDTIEDFLQGQNNLMPIRFRYSYSHIKKINAGFSDTLGEGGFGTVYKGKLRSGIVVAVKVLSNSRASSHDFINEVGTIGRIHHVNIVKLLGFCFEGQKRALVYEFMPNGSLDKYILVEGGTTTLSCEKIYEISCEVACGIEYLHRGCDIQILHFDIKPHNILLDENFRPKISDFGLAKLRDTDDSIVTMTAARGTLGYMAPELF >KZM85694 pep chromosome:ASM162521v1:8:27936394:27939805:1 gene:DCAR_026884 transcript:KZM85694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCICITSAVKDHVSPAISSDDEIDYSVKPEFYDPELDNKDQLWVQKRRKGQYSDAVLSCPACFSTLCLECQRHEKYVTQYRAVFVTNCKIKNDLVAQPGIKRKRGKRGKGSSETDAGSAGETFKQVCCSTCSTEVGVIDEEEVYHFFNVLPNLEMSDVSLISFSVNGVPGSISRLGH >KZM84661 pep chromosome:ASM162521v1:8:17424541:17424963:1 gene:DCAR_027917 transcript:KZM84661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELIASHRENAIIVTSEEDIKQKAAELTKNFQLPGGLIPLGEVAEIGHNPATGFFWTIRRNKLEHLNKKIGKKTTFEKEVTAFLEERRMKKVTGVKSKELMLWVSITDIRIDDPASGKIIFTATAGITKTFPISAFED >KZM84876 pep chromosome:ASM162521v1:8:20392566:20402094:-1 gene:DCAR_027702 transcript:KZM84876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRAIDEAAGKMEYMSDVAGVLSDGGVEVVSQQGVAAVSEVAVAAADSYFPVAALQYLIDYVHTYTGLPWWGSIAATTILIRWLAVPLMINQLKTTSKLTLMRPKLEEIKQEMQDRGMSPTAVTEGQQRMSQLFKEYGVTPWTPLKGLLIQGPVFVSFFFAIQNMVEKVPSFKEGGAFWFVDLTTPDSFYIFPVLTALTFWITVECNMQEGMEGNPAGATMKKVMRVFALITVPITMSFPKAIFCYWITSNLFSLLYGSVIRAPTVKKLLRIPVIPVAPVTTAPPAFSFTEALKKYVEAQKRQPPPPANPSNTSSIPTQTEKPANQNKPAVSSVLSQRIRSLENQVKGRKKGKKR >KZM83646 pep chromosome:ASM162521v1:8:48690:49793:1 gene:DCAR_028932 transcript:KZM83646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLHRLSLPNHYHIFNTNRSIDLIHSNLAFSSPPTCLKLFNSPLPKNQLTHYYLITKVHIPCANIAAAGGSNNDGIGGFGGGGSDGTSGNNDGDDSSSSSNFERFGPVGAFLNGWRSRVAADPQFPFKVLMEEIVGLSACVLGDMASRPNFGLNELDLVFSTLVVCFILNFTLMYILAPTTASSGVALPALFASCPTSHMFEPGPFSVLDRFGTFVYKGIVFAAVGFTAGLVGTALSNGLLALRKNMDPTFETPNEAPRTMLNALTWAAQVGLSANLRYQTLNGVEYVLAKGLPSFAFKTSVIVLRVVNNVMGGMSFVILARLTGSQSSGANESKLVSSEEVEASATGDEKDKLPQDSESSESVHK >KZM84704 pep chromosome:ASM162521v1:8:17895749:17901862:1 gene:DCAR_027874 transcript:KZM84704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTTPSPPATPHRNWLELPADLTSSILQRLGTVGVLVSARKVCKTWRTICSDPSMWRVVNLGYSDNSAYLKDFDCSLDSGMEKLAKKAVDLSCGELVEFRIEDFASDELLQYIANRSGQLRRLQLVSCYGVSTEGLSEMIKKLPFLEELRLYYIPVSQQAIEVAGHCCPQLKSFSFNRHGYRWPHVECDEDALAVAENMPGLRYLQLFGNKLTSNGLLAIIEKCPYLESLDLRQCFNIANLEHDLAKRLSQKIKVLRLPYDSTEDYGYDAEINDWDSFDEDYPSGLSDIDIVSEDYDFYEFSGGSDIDMDSDYMLYDYI >KZM85194 pep chromosome:ASM162521v1:8:23578206:23578513:-1 gene:DCAR_027384 transcript:KZM85194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSDAKSEPWEVGKSKNKVKKDDADGEVTGCWIALRSIRSCITSRSKVDNSISGISLHVHTVLCIAMLSSSH >KZM86116 pep chromosome:ASM162521v1:8:31454689:31456108:1 gene:DCAR_026462 transcript:KZM86116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGTGLRPIFLTEPWPSLPCNSISNFVPSILSLPTTSDTTSLRCFGCRIFKTRNLYEFSRKTHDLKCTHTQNPSSDDEFDPDQDQGPPQEAVLKAISDLVCFLKRVQALQKDLSDDIVAELSKTEGRIGQTTNVVIGGTVTDDATNEWLTLDQKVNIYPTVRGFTAIGTGGNDFVQAMVVAVETVLKQPIPEAV >KZM83692 pep chromosome:ASM162521v1:8:499682:501031:-1 gene:DCAR_028886 transcript:KZM83692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGVQLLGGEEKADVILLKYLRARDFRIQDSLQVLLKCLSWRKDFKSDCILDEDLEFKALEGLVAYMSGYDKEGHPVCYNAYGVFKDKEMYDKIFGDDDKLKKFLRWRVQVTDLKDMPKRELRVTSNHVRSLFQDNYPEMVARKIFINVPWYIMPEEVSVQYGRLSRPGDSESDPPKPASEFTINGGEKVNIQIEGLEAGAMITWDIVVGGWELEYSAEFVPHADGNTNAIEKPRKMAVTEETIHNSYTTKEAGKMVFSVDNSNRAGKER >KZM84245 pep chromosome:ASM162521v1:8:10905932:10907308:-1 gene:DCAR_028461 transcript:KZM84245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKPLHAHFNTNKMKLFEVDGQVHQMIHMHRDMALSQRETILHFPRTGLVLPANNITAEGLSKSRSNKPSGHNVITGRWPPAADNAANCVPGFRVITNIINGGLIVDSGNNLNCNNQKPFVGSVLFLNYNYLDHPVK >KZM84534 pep chromosome:ASM162521v1:8:15973892:15975431:-1 gene:DCAR_028044 transcript:KZM84534 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MNSQNTAAEKQAHVVCIPAPTQSHIKAMLKMAKLLHSKGCFITFVNTEFNHRRLVRSGGLGSLEGLPGFEFVTIPDGLPPSDADATQDVMALSHGIIENNMLPPFQTLLSKLNAGVHPADSILSDGFMPFTTDVAHSLGIPVVLLWTIPACAFMGFYQFKNCLDRGLVPFKDESYLTNGYLDTIVEGIPGMPDIRLRDLPSQIRIMDSNDFMFYFFMECAQRAKNGTALVFHTFDDLEQDLVNILSSMFPKVYTIGPQQMLLNQIPIDQKEGLKSIGYNLWEEEKTCLQWLDSKETDSVVYVNFGSITVMYAEQLKEFGWGLANSNFCFLWIIRPDLIVGESTNSNTLGVEFMDAIKGRGFIASWCPQEDVLNHASVGGFLTHGGWNSIIESLSAGVPMLCWPFFADQTTNCKFLCDKWECGPEIPNNVKRDDVEKLVRLLMEGVEGKKMREKAMEWKNLAEKACGPNGSSSQNLDKLVHLLKN >KZM85070 pep chromosome:ASM162521v1:8:22403086:22405209:1 gene:DCAR_027508 transcript:KZM85070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGEKGSATTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKTTLGDGA >KZM85367 pep chromosome:ASM162521v1:8:25185616:25189927:1 gene:DCAR_027211 transcript:KZM85367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKDQQHHHSSHQDKVEAVLNLLRKQAPLTLKQEKFCSIGCVERFLKARGENVKKAAKQLRACLSWRDAISIENLIADEFSTELAEGLAFVSGFDDDSRPVLIFRIKQDYHKFHSQKQFTRLLVFTLEVARQSMAKDVDQFVLLFDAKADNGGQQRAGLEFDLNELSTPEMDLALSESVSEIIYIFAAIYFPLVLPYL >KZM84560 pep chromosome:ASM162521v1:8:16239876:16241345:1 gene:DCAR_028018 transcript:KZM84560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDPCYREDHVHQPVLLPNNYIKPEIDHNFSLDICSPKGFFQDNCQVLDQLSFSGSSFYYSPNKICAPNGFCEPYDPFLLASAKNFDFYDQPKPFEENGSSSFLQNSSYLHVDGLFNTYKTTPELLNASNYPNSAKMPGVVPDEGSCVTTDNKILGTKKHFGKKYNDSTLTSTKPSKLKKKLKSSKGQWTAEEDRLLTHMVKKYGVRKWSHIATMLKGRIGKQCRERWHNHLRPDIKKDLWSEDEDRILVEAHAKVGNKWADIAKRLPGRTENSIKNHWNATKRRQYSRRKCRTKWPRPSSILQNYIKSLNLDIAKPTNYRKKSTTATTPNLNIPAMKTQHLQETKEFCPSYSDGLKELCEFDFVENPFEGDSIDALLEDLPTIEAPLVLEDNYFDMDANSHDQVPSLMQVKKDLDLMEMISEINI >KZM85801 pep chromosome:ASM162521v1:8:28794281:28797200:1 gene:DCAR_026777 transcript:KZM85801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWYDIYTVLTAVCPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISNNNPYDMNFRFIAADTLQKIIMLVVLGIWAKLSKNGNLEWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETGASIVSFKVESDVVSLDGHDFLETDAEIGTDGKLHVTVRKSNASRRCLGIGSGSGMTPRPSNLTGAEIYSLSSTPRGSNFNHNDFYSMMGFPGGRLSNFGPAEMYSVQSSRGPTPRPSNFEESCAPALPITSPRFGFYPAQPAPTYPTPNPEIASGGTNKFAKPQTMPQAQTNVVQQNVAQNKGGNDGKELHMFVWSSSASPVSEGGALHVFGGTDGAAEQSGRSDKDVKEIKVVTADHPQNGETKAARGSEEFVSDNLSFTGGGRDEAEDREKEAHMGVSKLGSSSSSVHQPADHDIGTGKLMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVSYRWDVAMPKIVDKSIHILSDAGLGMAMFSLGLFMALQPKLIACGNKMATYAMAVRFLTGPAVMAVASVAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVYPAILSTAVIFGMLIALPITLVYYILLGLYIWRFSCRGSDFTMKPRLEHGIGLNLTPDEYGIVTRNMK >KZM85485 pep chromosome:ASM162521v1:8:26159029:26160051:1 gene:DCAR_027093 transcript:KZM85485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPMGFSILQRNTSPPQLTERRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFIYSENTTFHSLLSPLDVQTLFQPSSHFLTTTQTKLPTTQITSSCQVFSTAKTTPVQSDTSSSQSSYGSSPTDNFFFSSDHSSNSGYLECIVPDNYLNPPSNNNAAKKDESFCTAATRANTNNFLESIPSQLCDGNAFSAIDAVSVATMASNPGDVSNMNNIPYCYHEISNNGYWQGDQKPWEMNSYEAEAMINNPLLVEDACMGHLYPVITDNLSYGSYESMASLNSSSTSCASLLPAFGDNVVGLGYSPF >KZM84699 pep chromosome:ASM162521v1:8:17851999:17865740:-1 gene:DCAR_027879 transcript:KZM84699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRAGDTISANQGFRDGDTVTSAGGEFELGFFSPGSSTNRYLGIWYKKISRGTVVWVANRDVPVMNTSGLVQVNRKGILLQAVDSSAGVIWSWSSRRAMNNPVVQLLDTGNLVLRDADNNLVWQSFDYPGDNLLPGMKLGIDLVTGLNRIYSSWKSVVDPSVGSFSNRVDPNGFPQYVLTKGSVIWSRTGLWNGYKFEGLPNRNPNGIFKDEFVFNKREIYYRFVLINTTSAIMRFVLTPTGDSKLLVWNDQQQIWMVYLSLQDSDCDRYGLCGGYGICNINKTPRCECLRGFVPKFQEKWKAADWSSGCIRRTNLVCGTDEGFVKYSGVKLPDTRHSWYNTNMNLQECEKLCLKNCSCTAFANADVRRGGHVCVLWFTELIDIRDYSEDGQDIYVRMPSSELEECKIMELTSTILVCFILSSTLVNSMAVDIIRMHQTFRDGDTITSAGGEFELGFFSPGSSTNRYLGIWYRKISRGTVVWVANRDNPLMNTSGLVQVNHKGISLQLVDNSTGIIWSSNTSVSMRNPVAQLLDTGNLVLREGHLSFNGVKDFIWQSFDHPGDNFLPGMKHGIDLVTGLNWIFSSWTSVVDPSLGNFTSGLDPNGFPQFFVLKDSMIWTRIGPWNGHKFSGLPNRNPNGIFKDVFVFNEKEIYYKFHLINTNTTSAIMRFVLTPTGDAKLLVWNDPQKIWMVYLSMQDSDCDRYGLCGVYGVCKINSTPRCECLRGFVPKFPEKWKAADWSSGCIRKTDLVCGSDEGFVKYSGLKLPDTRHSWYDSKMNLQECEMVCWKNCSCTAYAIAEFRRGGHGCILWFNDLIDIRDFTEDGQDIYVRMPSSELVISRRVKWMPEFIFIAAVLAVVLLGLIFFLVYKKKKLQKKGKIIHYKKLAWMSYKHDKLLEVIDRTILEHSNHFEVFRVVQIGLLCVQQDPKDRPVMSQVVLMISSDMKLPRPKQPGFFTERYLSHLNPSSSNQLTITTLLPRE >KZM85308 pep chromosome:ASM162521v1:8:24567336:24567512:1 gene:DCAR_027270 transcript:KZM85308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYKFVAGENAIFVSEESGKIEKGMKLRVEVISVKYMEIEKEFQALANLNGDFLGPI >KZM86083 pep chromosome:ASM162521v1:8:31260938:31265466:-1 gene:DCAR_026495 transcript:KZM86083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGGGGGGIFSYADGTDKVLMFFGTLGSIGDGLMSPLTMIALAGVIDQYSDKGPALPNDVVDKYSLRLLYIAILVGASAFVEGLCWTRTAERQASRTRMEYLRSVLRQEVGFFDNKDASSTTFNVVSTMSTDAHLIQDVIAEKIPNFLAQITALISGILVAFLLSWRLALACLPFAIGFVIPGVGFGKLMMNLAMKAREAYGVAGGIAEQAISSIRTVYSYVGEHQTLDRFSHELQKSTDIGIKQGFAKGLLIGSMGMVFVAWAFIAWVGSVLVTKKGESGGHVFVSGISIILGGMSLMGALPNLSFIAEAKAAATRMSGMITRKPEIDSENSRGKILEDVKGNIEFKEVSFSYPSRPDTIILDGFNLKIKSGKTVGLVGGSGSGKSTIIALLERFYDPSKGDIFLDGHKIRKLQLKWLRSQMGFVNQEPVLFATSIKENILFGNEEASMELVVSAAEAANAHEFIEQLPHGYETQVGQYGVQLSGGQKQRIAIARAMLKDPKILLLDEATSALDAESEKVVQEALDQASVGRTTIIIAHRLTTIRKADVIVVLQSGKVIESGSHDKLMQSNGGEGGAYFNMVQMQQSATQNMTSTSSNHGIYGRTSNTPSPRTPISVRSSWHNSPVSPFSQEISMSMAHSVQAYTYHDSDSEDETLEFSSEPNPSLWHLLQMNAPEWKKALLGCLGSVGFGVIQPVHAYCLGSVVSVFFITDNDSKVQSETRFYAIVFTSLGVLSFFANLLQHYNFAIMGERLTKRVREKMLKNVLTFEIGWFDHDENTSAAVCARLATEANMVRALVGDRISLLLQVITSAFLAFLFALILAWRIAIVMIAVQPLVIACFYSKSVLMKSLSGKAQKAQNEGNQLASEAVVNHRTITAFSSQERILGLFETTLKGPQKESIRQSWLSGLGLCTSQFITTASMALTFWYGGRLINQKLINSDHLFQVFFILMSTGKNIADAGSMSSDLARGKNAIRTVFAILERKSEIEPQDSEGIKVTNTLNGCIELKNVFFAYPSRPEQLIFQGLSLTIEAGKTLALVGQSGSGKSTVISLVERFYDPLKGSVLIDDQDIKSYNLQSLSSMKDGYETYCGQRGVQLSGGQKQRIALARAILKNPAILLLDEATSALDSLSENLVQEALEKMMVGRTCVVVAHRLSTIQKSDTIAVVKDGKVVEQGSHTQLLAEGEQGSYFSLIKLQRGQSPIR >KZM84088 pep chromosome:ASM162521v1:8:7057089:7057737:-1 gene:DCAR_028490 transcript:KZM84088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRTAKRKRCNAIKDNVVDETHALVMNSAQLVSVIQNTNEELAEYYKTMIERHNELICQAMGINEQYGVRETSEVRENLPTAYAADVRRYLDDIYTSLQGHLKDFNGACDQMMTEFESFSEAWIKRLRDLKEQGDELQNQKVQLRVQFHDFKSNVNPIRPNGYSQAKIVTFWFLW >KZM85615 pep chromosome:ASM162521v1:8:27163797:27208346:-1 gene:DCAR_026963 transcript:KZM85615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVEGLSAEALKISVWKGDVLLKDLKLKAEALNSLQLPVTVKAGLIGTITLKVPWKSLGKEPVIVLIDRVFVLACPAPDERSHTEEDREKLFKAKLQQIEEAESATLEAVSKSKLGSAPSGNSWLGSLISTIIGNLKITISNVHVRYEDSTSNPGNSFACGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSVQLERLAMYHDSNHLPWSLNKKWKDLTPTEWIEIFEDGISKTDKGGGAVSKWAWDRNYLVLPINGDLKYHRLGSQEKSNTDEPSEKVSLVLSDVSITVTEAQYHDWIRLFEVLSRYKTYVEFSHIRPVVPVSENPYMWWRYAAQASLQQKRMCYRFSWEQVKFLCHRRRRYIQLYASSLQKMSNVNTIEMRDIEKDLDAKVILLWRFLAHAKIESVRSKEEADQRMIKKKGWFSYTWRTPDDALEETDAQTEEERLTKEEWKAINNLLSYQPDDDLTINSGKDMQSRTHYLINVLVGCAAAKIVDISQTEIICGRFEQLHVSTKLKQQSVHCDLTLKYYGVSAPEGSLAQSGCDEQKVNALAGTFVYLPIGENVDWRLLATISPCHATVFMESYNRLFGFLKRSKAVSPAVALETATALQNKIEKVTRRAQEQFQMVLEEQSRCGKVWSLHVMQIQNSGTGNVMRFTLDIDLDAPKVRIPIRTSDSSTYDSQFLLDFGHFTLHTKVDILDIFLKFLPRTHFSIFTIFVCPFRNAEDFYSIIDRCGMDVIVDQIKVPHPRHPSTRISVQMPTLGFHFSPVRYSRLMKLLDVMYGTTQNSTLSGGEKFQDELAPWNPPDLAADAQILVWKGIGYSVATWKPCFIVLSGFYLYVLESETSNSYHKCSSMAGKHIFEVPPPNVGGSPSCIGVSVRGVDSQKALESFSTLIIKFLDEEQKASWLRGLTHATYQASDHPSVEILGLHDDDEVFPETRLTNQKTADLVVNGILIETKLSIYGMIGDEVSKKLKETLIVEVLAGGGKVHVVRCEDDLTVKLKLHSLKIKDELQASLNSSPQYLACSVEKDDNSFALLSSVETQKNEPVPTEDYDIFKDASSDFTSSTESAEAGNINKDLVKEITDSTDVYYEAEDEDSQFVSVTFLTRTSNSPDYDGVDSQEDGSQLAMFVQESFVLDLKVHPSSLSLEGKLGNFRLCDLSLGSESYWSWLCDIRNQGDESLVQFIFNSYAAEDDDYEGYDYSLQCRLSAVRIVFLYRFVQEVTAYFMELAAPHTEEVVEYVDKVGGFEWLIDKNEIDGASALKLDLSLDAPIIILPRDSMSKDFIQLDLGHLRVGNEFSWHGYPDKDPSAVHLDILDVEILGINMAVGIDGCLGKSLIREAQGIHICVRRSLRDILRKVPTLSLEIKVGLLHCVMSDKEYTIILDCISENLKESPNLPPSFRGSKTESKGKIPSVADKVNLYSQSILSRTVTIMAVEIDYALLELCVGIHEESPLAHIVLERLWVLYRMTSLSEIDVYVTIPRFSVLDTRPDTKPEMRLMLGSCTDVSKQTSTRSSSFLINEGGFRRSDSKTSDNMILPNSTMFVMDYRWRISSQLFVIRVQQPQVLVVPDFLIAVGEFVVPGLGAITGKEELMDPKNDPIGKENGIVLLDPLHKQREDVVHLSVNSRLIADAPSVDKYTYDGCGKTIRLIEEKETKEIHSPGFRPIIIIGRGKSLRFVNVKIENGFLLRKYTYLSNDSSYTVLTEDGVEISSLEFSDDNQRDTEIMEEPLYITGASKNVENDSSKMQSFSFEAQVVSPEFTFFDSSKSSLDDSIYGEKLLRAKLDFSFMYASKENDIWIRALFKDLTMEAGSGLIILDPVDISGGYTSVKDKTNISVISTDIYVHLSLSVISLILNLQNQAAAALQYGDADLLSPCTRFDRIWVFPKGAGNGDINTHTFWRPRAPSNYVVLGDCVTSRPIPPSYAVMAVSSTYGRVRKPLRFELIGLFSAIQGSEELGGSSDTNGDCSIWKPIPPQGYIALGCVAHRGSQPPPTHIIHCVRSDLVTSARYSECIFCSSPNPSFLSGFSIWHLDNVVGSFYAHPSTECPPINICRDLNHLVLLNSSQAHTSLEKSTFNLDSEHESEYRKPNSQSANSSRWDLVKSISKGTSHISTSSFERIWWDKGVDIGGPVSIWRPQRRPGYAILGDCLVEGFEPPAIGIIFKIDDPQISAKPLQFTQVAHIVKKGVDEAFFWYPIAPPGYVSVGCVVSRTDKAPALDSFCCPRMDIVTQANIRENPVSRSLSERGSQWWSLWKVDNQAHTFLARSDMKRPSSKLAFTIGDSVKPKTQDNINAEMKLRCLSLTVLDCPCGTMTPLFDVTFSNIKLATHGHPEELNAVLISSTAASTFNTQLESWEPLVEPFDGIFKFETYHADAHSTSRFGKRARVAATSTLNINLSTSNLNMFLESVISWRRLREFEHKAIEINEEQLRRGDNSNFSALDEEDFQTVLIKNRLGCDIYLKKAKQDSNAVTLLRHDDYVPLWIPPPRYTDRLNVADETREGRRYVAVQIIKATALPIADDGNSHKFFCALRLVDSEETNQRKLPQSARTRCVRPSVSKCSGVNEGTACWNELFIFEVPRKGPAKLEVEVTNLAAKAGKGEVVGASSFYVGHDANPLKKVTSMKLLHLASELQDIASYPLKITVLPNSDDTHSRSSLVASTSYFEIANSRTEVEDRSHVDRDIGFWVGLSPKGSWESFRSLLPLSVITKSLSGDYVAVDVILKNGKKHAVLRSLVTVLNDSDIKLEVSIRQASMIYNHLSKDIVVNVLNPGSSFVLPWKSTSKDSNCSLLLRPCTDGAQPPYSWGSVVNIGYAWGKDQQSSESGSLSRQSTVKHGNQMTTSTFNLGQLEKTDVFFCSNTPSSDQFWLSVSTDASALQTELNVPVYDWKISINSPLKLENRLPCPARFIVWEKSNNGKNIERQRGFISSRGIVNIHSADVQKLIYVTLFIQGGWSLEKDPVLILDLSSNSHVSSFWIVRQQGRRRLRVSIERDMGGTMASPKTVRFFVPYWISNDSSLPLSYQVVEIEPLEASDVDFHQMSKRGKSGAATISGSMMSADRNPFGGKKNLQVLDVIQDTSGTPSMLSPQDYVGRGGVMLFSSRNDGYLSPRVGIAVSIQNSENYSPGISLLDLEKKQRVDVRAFNSDGSYYNLSAVLHMTSDRTKVIHFQQQTLFINRAGCSLCLQQCGTRSLRWIHPTDPPLQLGWQPLSEAEMLRLRIDGYNWSAPFSVGIEGVMCISLDKVPPCDPEHLRVEVRSGTMGSRYEVIFRPNSFSSPYRIENRSLCLPILFQQVDGPSSSWQSLLPNSSTSFLWEDLGRPRQLELLVDGDDRSKSLKYCIDEISDHHPVFVNEKLGSALRINILREDKVYVIRISDWILENEPRVVSSASSSLYSSQISEYGLDLQQSILTSNCEFHLIFEISELGLSIIDHTPEELLYLSLQSLLLSYSTGLGSGISRLKLRMRGIQVDNQLPLTPTPVLFRPQRVGDETDYILKFSMTQQSNGSLDLCVYPYIGFQGSENSVYLINIHEPIIWRLHGMIQQINLSRVSETESTAVSVDPIIQIGFFNFSELRLKVSMAMSPTQRPVGVLGFWASLMTALGNTENMPIRINQRFLENICTRQSVLMSNAISSVKKDLLSQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQNRQKQDNKGVEDFGDVIREGGGALAKGFFRGFTGILTKPLEGAKASGMEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVRTKIASAIASEDQLLRRRLPRVIGGDNLLRPYDAYKAQGQVILQLAESVSFLRQVDLFKVRGKFALSDAYEDHFALPKGKYLLVTHRRIILLQQSSSIIGQKKFDPARDPCSVVWDVLWDNLMTMELTHGKKDLPDSLPSRVILYLKSRSLDTKDQVRIIKCNRDSNQVFKVYTSVEKARNTYGPNESKEMPRRKVAKPYSPIVDAANAEAIPKYTFDLMSPPQMPRL >KZM85681 pep chromosome:ASM162521v1:8:27826124:27828488:-1 gene:DCAR_026897 transcript:KZM85681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KZM86035 pep chromosome:ASM162521v1:8:30936746:30942175:-1 gene:DCAR_026543 transcript:KZM86035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIASSPEKPIDPIPQKPGPDNPISQPDPSSSAAPPSNPTPNLPQIAPPSIAPTGPLLQLPPSFRPPAPPVPLPLPPQFSPVQPPGVPPVPGSGTGSGPVPGGLVYAQLPNQRPPYMPMPNGYLPLPPPEFHVSLFYFRLYVQLVRFDMPLLLFKLIVPCRIPRYPSVYPVMARPVFPPRPLGIVGTNLTLARPPVPGVRGPPIIPPAIRPGGIPSVTPTEKPQTTVYVGKIASTVDNDFILSVLQLCGPVKSWKRPQDPTSGTLKGYGFCEFDSAEGILRAIRLLSKLNIDGQELMLNFNSATKEYLERYVQKKRENAKSSEKVGAEGGQVTEKEERNGTGSEKGVPPESTQEESKDDNITDQKDQDVTKFGLVTDEDKEADRGALEKLNGMIEERLKTHPLPPPPPSVDTTVFDTSTPEGHVGLKEGDMDVDIMKNDAADDKNEDDMRSANRTPSEHDKQDTSSPHRSRKSDRSRDRERDAKREREKELERNELEREQERAKRDRERQYKTREEERRYRLRLDDWELREKQKDQMRRLEREKEEERADDRKYDIIDQEHESYDDYNKKRKHRSSGEERKRRLREKEEDLADRLKEEEEIAEAKMREEEVLKKQKQEQEDAMRLLSASATKKTEEAVDEVAAECKEVIGRSPNNDMGHENRTTDRIQQNGTGDEICTNSIGAVDTQLSSNVQSRKLGFGLVASGKRTTVPSVFNEEDEDAQKEKKMRPLIPIDYSHEELQAVQRGPSGSLSPDLAAAAEFAKRITGVNSKEEKPEAEKDRSRRSHEKSSHRGQEWNAHGGNRTRDDYRKDTVDHDKDQERQSDKVKTQENRKLLDAKQLIDTIPKTKDELFSYKINWAIYDKNQLHERMRPWISKKITEFLGEEETSLVNYIVSTTQEHVNASEVLDRLQTILDDEAEMFVLKMWRMLIFEIKKVETGLAARPKA >KZM84898 pep chromosome:ASM162521v1:8:20664965:20667707:1 gene:DCAR_027680 transcript:KZM84898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEVCVKAAVGAPEVLGDCPFSQRVLLTLEEKEVPYKMHLIDLSNKPQWLLDANPEGKVPVIRFGEDGKWIADSDVIVGLLEERFPVPSLAIPPQFASVGSNIFSSFVKFLKSKDASDGSEQALVDELKAFDEHLKAHGPYVNGENICAVDLSLAPKLYHMEVALGHFKGWTTPSTLTNLLSYMKLLFAKPSFEKTKAAKEHVVAGWAPKVNA >KZM85244 pep chromosome:ASM162521v1:8:24035628:24040285:-1 gene:DCAR_027334 transcript:KZM85244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEETGHDDYTQDGTVDLRVYEIFERMAYYGISSNLILYLTRKLHQGTVTASNNVTNWVGTIWMTPVLGAYIADAHLGRYWTFVIASAIYLTGMVVLTLTVSIPGLKPPKCVDPDISNCEKASTLQLALFYGALYTLTIGTGGTKPNISTIGADQFDDFDPKEKAHKLSFFNWWMFSIFLGTLFANTVLVYIQDNVGWTLGYGLPTLGLAVSIMIFLTGTRFYRHKVPAGSPFTRMVKVIVAALKKWHVPVPSDPKEFYELELEVYTKKGKFRIDSTPSLRFFNKACVKTGPTDEWTLSTVTQVEETKQMLRMIPILFATFIPSTMIAQINTLFVKQGTTLERNIGSFKIPPASLAGFVTLSMLICVVLYDRVFVKVIRKWTNNPRGITILQRMGIGMTFHIVIMTVASLMERYRLSVAKDHGVVESGEQVPLTIFVLLPQFILMGTADAFLEVAKIEFFYDQAPESMKSLGTSYSTTSLGIGSFFSSFLLTTVSHITKKNEHKGWILNNLNASHLDYYYAFFAILNFLNFIFFLVVSRYYVYKAEVSDSMEVLGEELRESRRSEQESSRRSRQ >KZM85175 pep chromosome:ASM162521v1:8:23451776:23453122:-1 gene:DCAR_027403 transcript:KZM85175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDRSCLVSRSYSRTYERENSWVCMNYSLDKIEIQNGKRPLESNGDEESEARKFPKQQTNSYESNDSTVTLLDLSMTSTTDQSSDHGDTGDYSDSSSLIHGIGRDNSISSLIRSSRSDYGSIASLNRSFRDLIRSGLLYRLRRQNRVVEHWIYFSCQLLGWEAFDPARLKWMRLPTMTSDECFMFSDKESLGVGTELLVFGKVFLSHVIFRYSLLTNTWSSGMTMNFPRCLFGSASMGELAILAGGCDLSGKTLSVAELYNSERGTWEVLPDMNKPRKLCSAVFMDEKYYVIGGVGGSQSKVLTCGEEYNLQTRTWTEIPNMSPVRTRQATEGGMPVTAEAPPLVAVVNNELYAADYAEMEVRKYDKNRKVWETVGRLPERADSMNGWGLAFRACGDRLIVIGGPRAQGEGFIEINAWAPKDGPPHWNLLGRKQSGSFVYNCAVMGC >KZM85776 pep chromosome:ASM162521v1:8:28589088:28589633:1 gene:DCAR_026802 transcript:KZM85776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKRWFSRKKKTTNSSFPTPMVSRTTSLNSRAQIEEELEHVFKKFDVNGDGKISASELGSIMGSLGHVASDEELESMIKEVDADGDGFIDLREFIELNTKGIDSEEVLENLREAFLVFDIDKNGLITAEELQNVLESLGEKSTMSECQKMIQGVDANGDGMINFEEFKVMMTKGVQFGSK >KZM83652 pep chromosome:ASM162521v1:8:125964:127124:1 gene:DCAR_028926 transcript:KZM83652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLKLEHDQSKGYDYFQNLTDDLMISIFTKLMNSSSSSDKNHGSHVGPKVLGRCTTVCKRFNALACPVIGKTCFEKLPDQLVMCIFTKLSSNDDEKYDSLGDLKALGRCSSISKRFNSLVSDLVPSLSINHPSIYMLYKYCPLILNKFKHIRALQITHWSRTAAVMRDQNKSIPLIVWEASYRPHSYFLAVVSYKNIFSYAFKDPKSFMLPDSTPKDDEYHESIWSDIEDMFCLHHMLVSSIKDHTFLQRVVVTDFNRRGTLTLEEDMLAELRNCSPRNQLEHVLVSDRSGSAINLDVPGPNKFLGLMLNDVCFSILEWWENPMHDHDPIPKDEDVSGIPAHLQKGWLVQLLRILLKDPAMVEVNDSTDILQLFGGLKSDGYLI >KZM85609 pep chromosome:ASM162521v1:8:27123599:27130471:-1 gene:DCAR_026969 transcript:KZM85609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMATWNAFQFTHTVTGTVFNTDANGALVDITAKSSAYLPVREVCIHDIKHVKEAGIVPGLREEFVIIGENSNDDSLILSLRSIQYDLAWERCRQLQAEDVVVKGKVVGANKGGVVALVEGIRGFVPFSQISTKSPAEELIEKEIPLKFVEVDEEQSRLVLSNRKAMADSQAQLGIGSVVIGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVFQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESTLTLTPDGILGPLSSDLPAIGLNLNDIPLAED >KZM84103 pep chromosome:ASM162521v1:8:7831288:7831905:-1 gene:DCAR_028475 transcript:KZM84103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQSEICGSSFHETKPNVSGISVRQVEVSVQDLESNKDDSNDDDHKLDSLRVVEQANPDSGNPPDSFWVAEDEEVDWFNHNAFMQRKGSLKVILSRKLDPSSQRPVSVNQKPKTSIIGLPNHAMTGGDGRRNQRLGNNGKLMFRSRSVPGENRVGHGSEPGSPRVSCMGKVGSRRNKNGKSRFWAVLKSAFVNRKEGEPVRVDD >KZM84313 pep chromosome:ASM162521v1:8:11962216:11964706:-1 gene:DCAR_028393 transcript:KZM84313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFPCFDSKKSDTEEECEEGQLPVAQPKEPLLPSHSYENKQTVDTSKIAAKAYTLREMAIATKNFRQECLMFDDGFGKVYKGTLKPSGEVVAIKQLDRNGMKGGKEFLEEVAALSPLKHPNLINLLGYCADGDQRILVYEHMPFGSLEAHLLARPADESPLDWATRMKIATGAAQGLQYLHDEANPPVIYRDFKSSNILLDEEFNPRLCDYGLAKVAESGSTSSLPPRVMGTYGYSAPEYASSGELTLKSDVYSFGVVLLELISGRRAIDPDRPPNEQNLITLAQPIFRDPRRFFEMADPLLMLDFSVKSLNQAIGIAAMCLQEEPTVRPFIGDVVTALSFLDFTANDPPASLPPSGKESTKDADDDDQSNIEKERSSSNQNAENDDDESSISSDDEENVKNDNEDDECSSPLDHEPNNNKELCADRSSEDEKIRENRDDHEASFSSDNVNMNEVHDDMSGYSMDDDDTALVIELDYKNGSSGIFLDQEYDDDDDDDDDEIDPITRTESSNSKKGKVVEISDDESIYSPRSSGSSSSSSIDYKLGEKKTTQKAKSTKKTSVGNSSRKKSDRWRREELVLDHETGN >KZM84499 pep chromosome:ASM162521v1:8:15448951:15453103:1 gene:DCAR_028079 transcript:KZM84499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAENLLLYLFALLVMQPCFSIDSITVNQTLKDGESLISPGKFFELGFFSPGSSSSRYIGVWYHNFPEKYVVWVANRDAPITNSSGVLAVDKTGQLVLFYSENPQVIIWSSNISLSGANNNSAKLLDTGNLVLFKNTFSGKNILWEGFDHPTDTHLPTMKFGWNKKTKRDTFFTSWKSPDDPGMGEYSYRFIINGSVPQLFIYDGSRPYMRMGPWNGITYSGLPEYSVDSVNEVSKVYYIDNEEEVSTYYTISDPTYITRSVVDDKGIAQRLNWNAELQKWKSSWEGPDGNCDKYATCGAFSTCNSLRVADQGCVCLPGYVNKFTGELSSTSFDGCVRKTRALVCRNGEGFKKVSGVKVPDTVNAQLEPSLGIKACRDLCLKNCSCTAYAAASITEDVGCLTWYGELVDVRQFSQGGQDLYLRVDHHELAEDSKKRILKVLLPVVFTVVLILGITCWLLRKMRKRERINRNLELSNGSEKDDIAYMERYSDVNASKEAGTTSAEVHCFSSSTIIAATENFSVTNKLGEGGFGTVYKVWDRWLEGKPLEIVDPSLGESYEVSEVLRCIHTALLCVQESAAIRPTMSEAASMLCNERTPPSTPEQPAFVNRARGNIGPVNLSFFYETEGTTVSDDTVGITEGR >KZM85644 pep chromosome:ASM162521v1:8:27525324:27526868:1 gene:DCAR_026934 transcript:KZM85644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSQLVSLLPLKEALKTSTLSKTWKTIWTTHTDIVCDISSVLGVLRDESGNVSLNVREEHRNQFIERVGHLMQQRLMGPNMRSIVISFPLSRKDGPHVARWVGDAVMKGVQTIILNLKGGSGIVSFPFSILRAPGQASKVRQLGLNSCSLKSLSVRNRTLDSLVNIHLQSVNLTDKQMDVILSKCFFLESLVLRKCDKLARFKLTSQNPRLKFLDIRSCLRLKSIELYAESLQIVEFDGLLDHFSFDHLPKLVHLFACVSGEKFVQFSTYALSRIAVDAPLLQTLNLQVRRVLPLPKGVFTFTNIKYLVLKLNPCDGEDELDWIRYILKAFPFLNRLQLDFSLVVPRNVGSTNQSHRGLAEVSPENLCRELEVTGYYGGPGQVEAVKHLVDRAFKLDLLVISSPARLYVGSREFIRVDLRNDNKSSSEKIEELRPVLPNSLRVDYSNL >KZM85855 pep chromosome:ASM162521v1:8:29324838:29332556:1 gene:DCAR_026723 transcript:KZM85855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVDEPLYPIAVLIDELKNDDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPTLENLCNVEETCVRDKAVESLCRIGSQMRESDLNDSFVPLVKRLAAGEWFTARVSACGLFHIAYPSAPEAVKTELRSIYSQLCQDDMPMVRRSAATNLGKFAATIEPAHLKTDIMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIINFSQDKSWRVRYMVANQLYELCEAVGPEPTSTELVPAYERLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGTLCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMQHIVPQVLDMINNPHYLYRMTILRAISLLAPVMGSEITCSKLLPVIVTLSKDRVPNIKFNVAKVLQSLIPIVDQSVVENTIRPALVELAEDPDVDVRYFANEALQASHAMMSS >KZM83791 pep chromosome:ASM162521v1:8:1635852:1636064:-1 gene:DCAR_028787 transcript:KZM83791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEASRTARESLDLAFGMSNILDTGLDRHTLSVLIALCDLGINPEALATVVKELQKEPSSFGGRTPSVN >KZM84473 pep chromosome:ASM162521v1:8:15081403:15084282:-1 gene:DCAR_028105 transcript:KZM84473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRNFITCFLAIVMMLLFLQNHPVASLSPNMQKIALFKFRQSLTISTPRYCYYESYNDYYSSPSHPKTMNWSMSSDHCTWEGVSCEHKTGEVIGLDLSCSQLEGAILPNSTLFQLAHLQFLNLFGNNFTLTNQFPQEFGFFAKGLTHLNLSYTSISGRVPSGISHLYKLVSLDLSHYYAEVNISTILPFNISTSLRVLNLRNTDLHGVVPQEVFNLPKLEVLDLSSNNDLTTTLPKAKWGSSATLQHLYLRDMNIYGGIPDSVGFLELLATLDIAVCNLSGLIPRSVKYLGQLTHLDLSFNNLNGPIPTDLANLTNLRLLTLSDNNFSGPFPSLVAHTRKLTELDLSINGFTGSLPHWLFDHPSLETLYIRYNGFTGKLHEFNSSKSHLEEFDCSGNQLNGTIPQSFLQLVNLFWLSFASNNFSGVLDFKKFSRFENLQILDLSHNNLSVRNMGMSTLPPDLHHLGLSSCNLKEFPHLSGETRFFSFSHIDLSNNQIDREIPHWIGLQSWQENSYLNLSHNRLTGGLDQLPQNNIQYLDLQFNLLNGSLPSLICDFSYLDVLNLSHNNLSGVLPICTTNLTNLSVFDVRMNNIQGTIPAALSNFRHLETLNLNGNKLAGRIPSSFAEFEYLKVLDLGNNQINDTFPQFLEALPHLQVLVLRLNKFHGVINKSSKNEHPFPSLKIIDLSHNEFSGPLPATYFKNFNAMMNGGVNETKPTYMGNFFYSDSTNLVIKGVEREFVRILIVFTTIDLSRNNFKEEIPGYIGNLVSLRYLNLSYNQLTGHIPSSLGKLTVLESLDLSYNQLEGEIPRQLTSLYSLARLNLSGNQLSGHIPEGSQFNTFENDSYVGNLGLCGHPLSKMCENDIGTQEDQDDDYFFNGFTWEAVVTGYGCGVVPAFVIGYLMLHAGKPKWFAGIIARELGLKIRRMEIKWR >KZM85612 pep chromosome:ASM162521v1:8:27148435:27151377:-1 gene:DCAR_026966 transcript:KZM85612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMATWNAFQFTHTVTGTVFNTDANGALVDITAKSSAYLPVREVCIHDIKHVKEAGIVPGLREEFVIIGENSNDDSLILSLRSIQYDLAWERCRQLQAEDVVVKGKVVGANKGGVVALVEGIRGFVPFSQISTVKYTLN >KZM84362 pep chromosome:ASM162521v1:8:13011554:13019173:1 gene:DCAR_028344 transcript:KZM84362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINHLTNLTLPTGDFSDHPSTPIHGGQRRTPRNRHFNSSRIFNYHNNSNKFRVMMMKKKLDRFRFFRLKNVVTVLLMGLFFLVNWWILIRIQDSGRVAQALKLSSLKPNLTTLSIPEELKKVGKGKRPQKTIYARLLAKAAHALAERHSIIEPRDLWVEPYTVASAWKPCSEERNWKPSDGTTGYIMISANGGINQQRVAVCNAVAVARLLNATLVIPKFLYSSVWRDLSQFSDIYQEEHFINYLKPDIQIVKELPEELQSLDLEAVGSVVTDADVVKEAKPSFFLKYILPILHANKVVHFVGFGNRLASDPIPFELQRLRCRCNFHALQFVPKIQAAGALILKRMRKNETRSGPLDKYLVGSFANSKIEGRNADSRYLALHLRFEIDMVAHSLCEFGGGEEERRELEAYRQIHFPALIGLRKTNKFPSPEELRIEGLCPLMPEETVLMLAGLGFDRGTRIFLAGAHIYGGKPRLAVLTALFPNLVTKENLLSPIEIEPFLNYSSQLAALDFIGCTASDDFAMTDSGSQLSSLVSGYRVYYGGGRMPTIRPNKRRIADIFLKNNTIEWKVFENRVRKAVRQTKRVFSRPTGRSVYRYPRCRECMCYLARSAV >KZM84480 pep chromosome:ASM162521v1:8:15152721:15152906:1 gene:DCAR_028098 transcript:KZM84480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDEHISTSTCIKYIWCRHRGLRTFANPLSVPQNDIFNHGLSGEGEVIGFTCGDNDLGIVW >KZM84593 pep chromosome:ASM162521v1:8:16629124:16630295:1 gene:DCAR_027985 transcript:KZM84593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRINQLVSDQEDYENSPENSGDLLPSGTLSDHSKMTSTTSPRKSRRAMHKRVVSVPIREVDGSRLKGEITSTPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTMLMVTYSSEHNHPWPASKNNPNRTRNQNQNEENNPPPSTATTSPTAVTVSISSPNFTTSNSDDDQIPTIFAIDEEFTNLDPSFSSEFSWFSNFETTSSNVLENPILTNNHGLGEADIATIFSTEDEDSLYADLEELPGCSLVFRRGVAEEGRRRSLTPLCRTTAG >KZM84182 pep chromosome:ASM162521v1:8:9847086:9847445:1 gene:DCAR_028271 transcript:KZM84182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGCKSSGDTKMERSSSISSLPEPFSLQNMRCYSASYATFSSYNVPPQIDEVPRNEDNKFRRAKSMNGWALDDPELQRKKRIASYKVYSVERKVKGSFRNSFKWLKNRYSQMVQHLS >KZM85116 pep chromosome:ASM162521v1:8:22842537:22846841:-1 gene:DCAR_027462 transcript:KZM85116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEEEDEEPPLAIQINQTPLSHHSTVGVTVITGYLGAGKSTLVNYILNTQHGKRIAVILNEFGEEIGVERAMINQGDGGALVEEWVELANGCICCTVKHSLVQALEQLVDRKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVVDAQNLRYQLQRNSDSSSFPEAFLQIVFADVVILNKVDLVSPDGSECVLDELEKEIHTINSLAHIIRSVRCQVDLSNILDCHAYDASHATHLEALLEEHKSLTTKDLHDSGVRTLCICEPHEIDLDKVRLWLEDILWEKKYCMDVYRCKGVLRISNSDQLHTLQAVREIYEIVPARNWRSEEIQMNKIVFIGHSLNEEILLKSFRDCTLATS >KZM86109 pep chromosome:ASM162521v1:8:31394859:31396076:-1 gene:DCAR_026469 transcript:KZM86109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYIKRFLQLLKCIWKQRGIGFRRIGNSAELNAVNEHPMKAFGWASREQSGTLSPFKFSRRATGIKDVTFKVLFCGICHTDLHMVKNEWGSSKYPLVPGHEIVGIVTKVGKKVQKCKVGDKVGVGCMVGSCRTCADCKNNLENYCPKMILTYGSTYLDGSTTYGGYSDTMVVDEHFVIRWPENLPLDCGAPLLCAGITTYSPLKHFGLNKPGMHIGVVGLGGLGHVAVKFAKAFGAKVTVISTSPSKQKEAIENLGADSFLVSRDTEEMKAAKGTMDGILDTVSAVHPLLPLLELLKSHGKLILVGVPATPLELPAYPLISGRKIVAGSSIGGLKETQEMIDFAAKHKITAKVEVIPMDYVNTAMERLAKGDVNYRFVIDVGNTLKANSPPDIIVNRAPSDPM >KZM83972 pep chromosome:ASM162521v1:8:4443194:4446753:1 gene:DCAR_028606 transcript:KZM83972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTARFAGERDIVNPLDTESLSDAQADQYIRLLSSFYKYAKMDCSDLKYCDNIPFLIPEVPKQVDNESCGFFVLFYTTLFVKMSPDRYSLMEHYPGFFSQKWFTRGQFEDFKTALIKVFRDEHEYQMEASEHSTEDERRSDSCILELIKQICVNCPDLDECPLSVEIPNVKKKKKYNDRIRGPGISAKSNADEYDWDTIKKGKISDHATKNQHVLSGEENTIKNDMIKDKYSLLESLLDSYHSIQSTLMSHIISAEKESNKDEKIEDIKFTFVELNNEANHFILNSGTTND >KZM84484 pep chromosome:ASM162521v1:8:15252255:15254252:-1 gene:DCAR_028094 transcript:KZM84484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPENFNINKHGGFMNSSWFTEEDMNNFFNNLSSEKLYTDQMQASEDIIVVKEKTETISTHEKDGKEKLAAAPSVRKSPRLQPIKEDEEDICIHIVDPEGGANAEKGPAEKPQRKVKHNKEKKLKKKVEEENEDEEVEEEEKDDDEKPKKILISAYPSTFSKVISRLSEVQRQWVKSAGFGALLHFTLGDELPHTTIVNCLWWFEHNKCELGLFPDRNLKITEDDVFDIIGLSQGNLDIKLEDSKEKIQSWGKQFEEKLPSRITEKMLREKMAKSRDADEHFKQNFMILMANLFIRTDKTSFVCPKILKFTGNFDNARDYNWCKLVLQNLKEAHEQWWNDPNTQYYTGCFVFLLVRHLETFHTLFYYICITSAIVSYDFLFCL >KZM85992 pep chromosome:ASM162521v1:8:30554889:30555440:1 gene:DCAR_026586 transcript:KZM85992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESDPTLTTKCIREVVDKQCMRKGTVNMNDLLATMPSEATLSAATASKMSQHMPRKDIQSEIDDSAASGDNALVSSTRLQSDSQTSSESIKKFKNVNEQSLKLDESIAILAGVCKAMLDDQKLPVNVEELWGVINEMELNDNLAGDAFVFLLERPVHVKALMSTPIGIRKSILVKMIRGAK >KZM85161 pep chromosome:ASM162521v1:8:23328522:23329172:1 gene:DCAR_027417 transcript:KZM85161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEEIGIKVYTSPKYEPSSSTQQPALPETGSLSGGRKRRAVANGVQKTISKTSMLVNFLPTGTLLTFEMALPSIYGKGHCSSVSIVMMNVLMGICTLSCFFFHFTDSFRGPDGKVYYGFVTPSGLSLFNPAGVAVPQDDRYKVGFNDFVHAVMSVMVFVAIAFSDHRVTDCLFPGHAKEMDEVMQSFPLMVGIVCSGLFLIFPTTRSGVGLLPA >KZM85713 pep chromosome:ASM162521v1:8:28060687:28062468:1 gene:DCAR_026865 transcript:KZM85713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNWNYVIWSALICLISLVWSLRKNSYRRSKLPPGPKGWPLFGNLFDLGSLPHRSLEALKQDYGPVVWLDLGSVKTMVLLSAAPVEELFKSHDLSFINRITNDAMRSHDYYQSSIAFGPYSVYWRTLRRICTSELFANKRLNETMMNRQKSVDEMLLWIQKEAERGTSGIIVRHYVFPALFNMIGNVTLSQDLVDPQSKTSSDFGIALDGFHQCLGRPNISDLLPWLRRFDLQGIRRKMDQDLGKCLEIISVFVKERVKQRQLQESSQQRQLQESSQQDFLDVLLDYRGSGNDEPAKLSEFQEMFIAGTDTTSSTTEWALSELLQNPEQMKRVKAEISSVVGANKQLKESDTDKLHYLQAIVDETLRLHPPAPILLPRKAVRETNFMGYTIPKDTQVLINNWAIGRDKDLWEDPLSFKPERFLDSKINYKGQNYEFLPFGAGRRICPGLPLAHRMVPLVLGSLLHHFEWELCDGVKTIDMRETLGSASKKLELLRAVPKRKMT >KZM83819 pep chromosome:ASM162521v1:8:1870537:1871120:1 gene:DCAR_028759 transcript:KZM83819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELAIVSATTQAHKMATSSALSSSFLPALHHYPKQISTHNHIGKTSLNKKKLPSFRIQAAKVPAGVEVPKVQPQFKAPFLGFTRTAEIWNSRACMIGLIGTFFVELIIHKGILEVIGVEIGKGLDLPL >KZM84911 pep chromosome:ASM162521v1:8:20778240:20780343:-1 gene:DCAR_027667 transcript:KZM84911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLQERLNRAKPYVGVIMIQFGFAGQGILAKTALNQGMNHFTFSVFRNGIAAIVFAPFAIFHERKVRPSMTLSIFFKIFMMGLLEPVIDQNLYYAGMKYTTATFAIALSNIVPALIFIMAWISGLEKVKLTSRHSQGKILGTIVTVGGAMVMTLIKGPPIELPWTKQASFHQSSSTTTTLHPHDSVKGAVMIAAGCICWACFTILQAITLKEYPAELSLTALICGMGSLQGTILTFAVERGNLAIWSVHWDTKFITALYSGVICSGVAYYVSGVIMQERGPVFVTAFNPLGMVLVAILSSFILSEQLDLGSVIGAIVIVTGLYLVIWGKSKDQTSSKIVDNKDEEAAATSDLEMATKDGLSVRGAKLPSDASSV >KZM84119 pep chromosome:ASM162521v1:8:8331339:8331986:1 gene:DCAR_028334 transcript:KZM84119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSESLHPDHAIYFSHGFKLNSSSQKMYSPTRIWLVRQMDDDYYSLRYTDHKEYFAGVRLEWAFYLEESDQLRNDLIGLGAKLPVHDSLALYSARNFNGSWGEYRRLVIKIMELIREENNRMLLRLCHFYMLKLAKDSATASGREMSFEEECQLFQNPHYISDRHMSDEESTDDDDSD >KZM84332 pep chromosome:ASM162521v1:8:12632800:12637165:1 gene:DCAR_028374 transcript:KZM84332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVEHESCSNTTIFQPDSCLTPFPQAPYPLFSQPQKLRPIRRTSDDMTQQPCLVNEARCDTASFVSKAFQDYKAQSDAQLLDFISQATSFDAQFSSSSDDDSESSEAVKESTNRKRKRKRNSLELLMENIMRKVMYNQERMHRQLLDILEKNEEARLIREEAWKQQEIERAQRDEELRREEVSRSLALISYVQDLLGHEIQIPKSCEVSCAEKNEQEFSNLGNCDTDPNNKGWRKSEVQSLITVRAALDHKFLNGHKGSLWELVAARLFRMGYNRTAKKWKEKWESINNNYRKTLECEKSCSGYSKSCPYFQELDILYKSGLITLVNATGNI >KZM84974 pep chromosome:ASM162521v1:8:21283239:21283496:1 gene:DCAR_027604 transcript:KZM84974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEQGGNCCYMENNGGYKTPKRSGKYEIPAATECPKAPRKKAGGIYGKQKRVKEPKNGYFQTPELEQFFATAYIKQSSYVIANM >KZM85004 pep chromosome:ASM162521v1:8:21587790:21590134:-1 gene:DCAR_027574 transcript:KZM85004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSPGGSVMQNDGCNVAWCGTTEIRFPFHLKDRDALHNNKDQCVFPTGFQLSCDDEYGTGVFVPIMAYEYQVNTSLPGVYLSFPVEASVSHIDYKSRQLSFDGFGVFFGSTRTHKNYNKYQSKYPFKPFTSTGNKLTDPRHGYTFYKCPSTSELVSSPRSSDLLVALPVDSLSSHGHRVFVVNSDYGTVDSPLTSCTKMYNISDDSTTVTELTWSEPDCGHCEAKGQYCKFKQNSTIVTQCYPKGRGPASHELPKTGIVGGIFFILFTFAALYFSTKSYKRNKRYELKIEMFLKDYRALKPSRHSYADIKKMSNQFKVKIGEGGYGSVFKGQLSNDVVVAVKVLNDKVDAKGNGEDFINEVRTIGLVHHVNVVRLVGYCADRNRRALVYEYLPNNSLEKYVYSRGKQNKRFLGWEKMQDIALGIAKGIEYLHQGCAQPILHFDIKPQNILLDRNFNPKISDFGLAKLCTKGKSMVSMTMARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGARDNTLVGTENSSEAYFPEWVFHQLDNRRETTTQIREEVNSEIAKKLTIVGLWCINWHPADRPSMKHVIQMLQEEYCPAMPPNPFGSSTAGNVSTFSNTLEAISEAD >KZM83995 pep chromosome:ASM162521v1:8:5105322:5105780:-1 gene:DCAR_028583 transcript:KZM83995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLALCDVFVLKMNFTVYWEIRRTRINNTFGRSIAGIRQVDSWGAFGTVVKIACAHLLQNKSVHNMRPTESSEHCTIHEITVRVNCEATDFGGDAGWIEQETIIFVFNFQNICIQSVKQSGNKAANYLARLFVFQPGCMINWGFVPIESLSY >KZM85049 pep chromosome:ASM162521v1:8:22147507:22155952:1 gene:DCAR_027529 transcript:KZM85049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTCVVLGGRTVIGKALVSRLLSLDHWVVRVADSAQQLDLDDGDSLLSKSISNGRASYFSVDLRNTDRLIQAINGSSVVFHVDDVDLYSDNFFLSYTLIVQGAKNVVNACRECKVKKLVYQSTADVVSDGSRDIDNGDESLPYAWKFENMCTDLRAQAEALVLFANDIDGLLTCAVRPCNVFGPGEKQLVPSVIDIAKSGWAKIFGNNYMKLTVNNNDAMFIIGCGAHISDFTYMENVAHALVCAEEALGSQMVAVSGKAFFVTNLEPTRFWDFVSLISEGLGYQRPMIQLPAGMLKYIFVYVRWVHAQLNSVKLRHLKGIHYVVRLATSNKTFDCSSAQKHIGYSPVVSMEDGVALTVQSFSSFAKASSYSVYRDFDEQSKVDKLLGGGRVADVLLWKDERKSFACFGVLVLAYYWLFLCGNTFISSAAQLLVLFTFVLYGYGELPSNISGVKFPKMSASSFEISEVDMKTFSGAIAHIWKRIGDVIRILAQGQDWNTFFKVVALLYLFKWYVFHSLTTSIGVAIVLAFTLFFVYEQYEEEIDGIAEILLNLTRALTGFMLKNLPVSVAYILGTHEIMHENNENDDPAHFKDHM >KZM85770 pep chromosome:ASM162521v1:8:28536675:28537310:1 gene:DCAR_026808 transcript:KZM85770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTKSFFLCQILILCSYCVLSHSLGPGSFLAVLDVDGQELRATGKYYMLPASNSRGGGAGGGLALSSRDGSCPHYVMQEGHAQSNGHPVRLLPVDKLDRNISLSSDVNIVFHAATICVQATGWKMGGVDQITGRRYLKTGALIGRPGASTVSNWFKVEKNKYGDGYKIVFCPSVCSLCKVVCGNVGVFVENGKKWLGLSDGLPLIVNFKEH >KZM84435 pep chromosome:ASM162521v1:8:14457020:14460103:-1 gene:DCAR_028143 transcript:KZM84435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELVYLLGAIQRLLENNLLTADALEKANEDTIKSMIYPVGFYTRKASNMKKVANICLSKYDGDIPSTLEDLLQLPGIGPKMAHLVRVMNVGWNNVQGICVDTHVHRICNRLGWVSRKGTNQKTKSPEETRESLERWLPKEEWVPINPLLSEQVGFGQTICTPLRPQCGRCSIVDLCPSAYKEAASPKSTAKKSQKRNNLS >KZM85943 pep chromosome:ASM162521v1:8:30167207:30170563:-1 gene:DCAR_026635 transcript:KZM85943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFITLLVCLSLIVSVTRVSVSARLKGSLFLQQRSQLPKFGNWESEDNVPYTVYFENARKGKSGKKTNPSNPDMVSNNSSPVQVTSAGKAELEVVNGPETGRPGHKRHMSREDGEPIPGPITDIQSSHQQHGQVTSENNYKSEIEANKVPDGTGSSHQHWLSGEDGDIKKPSNSPLRHDTIGKKDHADSPHHRLGSGGTSSPKRVSRQNLRPDRSVEQSPLHPHHQTRTGNKGSGVSSPSWERKGSSEGTHGLAPFTPGRSRLRSSVTGGDETPDHGPVVPKFGDWDESDPSAGEGYTHIFNKVREEKQSETGKVPVVPAETSYTSNGQKQYANESSKGCFCFPWGRK >KZM84096 pep chromosome:ASM162521v1:8:7248500:7248925:-1 gene:DCAR_028482 transcript:KZM84096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAIDPATLDVGRAQTILQRLLTRVRGARNPPRRRGRPPVTPVEPEPGMYYTHVGSSRSDRGGWSHLVGTSSSPVRDVEGTSGAAGWESWLESTVPPSTYAGDDYEGGPGGFAVRLEDDEDMSAEGEHTLGGQPQESYQF >KZM84905 pep chromosome:ASM162521v1:8:20718360:20719327:1 gene:DCAR_027673 transcript:KZM84905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARNIEIELKKLRQEKRIWHAACLIFWGLAIFESREGLQVCYSPLCCCGQLNQIMESRSHFTHGTR >KZM85629 pep chromosome:ASM162521v1:8:27336091:27341506:-1 gene:DCAR_026949 transcript:KZM85629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSDLPMSPQLEQIHGEIRDNFRALANGFQKLDKVKDSSRQSKQLEELTGKMRECKRLIKEFDREIKDEESRNPPEVNKQLNDEKQSMIKELNSYVSLRKTYMSSLGNKKVELFDTGVGASEPTAEDNVQMASTMSNQELISAGNRTMDETDQAIERSKQVVHQTVEVGTQTASTLKGQTDQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVVAVIVVKIVNPNNKDIRDIPGLAPPAPTARRLLYLKSGNYLV >KZM85785 pep chromosome:ASM162521v1:8:28642287:28647232:1 gene:DCAR_026793 transcript:KZM85785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFQERVLGINRTGISISVSDIWLLGVCYSLSNEDSSSDPIQSHSFAAFVEDFESRILMTYRKGFAAIGETKYTSDVNWGCMLRSSQMLVAQPLNKDYIEILHYFGDSEASAFSVHNLLQAGKHLSPGSWVGPYAMCRTWEALAQSKLGETELGNQSLPMAMYVVCGDENGERGGAPVLCIEDASRLCREYSRGQADWTPILLLVPLVLGLDKLNPRYIPLLVATFTFPQSLGILGGKPGVSTYIVAVQDDNAFYLDPHEVKQVVDITQDNLEADTSSYHCNIIRQISLELIDPSLAIGFYCRDKDDFDNFCSRASDLAAQSKGAPLLTVMQSRNSTQTADDYEASCDTVGVHDHDPFDAVPTADADNISTQEDEWQLL >KZM85990 pep chromosome:ASM162521v1:8:30525285:30531107:-1 gene:DCAR_026588 transcript:KZM85990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHWRNTHRSRNGAAYNTLTPSRTIQLGRVTPQAPGNRTVFCNDHDANALASFKVFIGICVCVMFGVIRLCSQWQFRRLANCYFLMISLLSFTPVSPVSPYTNVAPLAIVLIVSLIKEAFEDWVRNTKTSSNDKSINNSAIDLLQDQKWESVPWKKLQVGDIVRASEVQCEQPNNSLYTFTGNLILQKQTLPLSRNQILLRGCSLRNTQYIVGCVIFTGPETKVMMNSMNVPSKRSTLEKKLDKVILALFCLLFCMCLIGAIGSAIFVNFDDYYLNLNAKNPSELDQFNPNHRLKVYLYYLWPLQYCNFIRFQFSRTI >KZM85670 pep chromosome:ASM162521v1:8:27727925:27728728:-1 gene:DCAR_026908 transcript:KZM85670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIVSTAGDLMQDFRTGYMTLASPRSMFVSQVIGTAMGCVISPCVFWLFYKAIDDIGTPHSSSPVPFALVYRNMAIIGTEGISSLPKNCLNLCYIFFAGTIIVNVIRDVVPKTWANYIPLPVAMAIPFYIGAYFVVDMSVGCLILFVWEKMDKASADAYGDSVASGLIVGDGLWTLPSTILALAGVEPPICMKFLSRSTNAKVDEFLKTSLHI >KZM83922 pep chromosome:ASM162521v1:8:3340631:3342938:-1 gene:DCAR_028656 transcript:KZM83922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNMQDIYFFKNLTIIQRPEFMIKDSGETQTASASAGKQETYAPPPRRPKTDVVQNRGLNPESRGEMAAESKRRKVDDPAPFSRTASSSAGKQETFAPPPRRPMTNAVQNRGLDPKIQQARDYAVAQAQQDGCMANFKIIDSPFGNFLLPVIPTRAELNR >KZM84426 pep chromosome:ASM162521v1:8:14350689:14351937:1 gene:DCAR_028152 transcript:KZM84426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMASMAGLLGSSQAVLEGSLQLSGSRTLSVASNNRANVARPGFSVRAQQVSFESEASRRAVLGLVALGLGVGSFAKDGLAAASSIKVGPPPPPSGGLPGTDNSDEARDLDIPLKKRFYLQPLPPTEAAARAKDSAKDILNVKELIDKKAWPYVQNDLRSKASYLRYDLNTIISAKPKDEKTSLKELTGKLFQVINDLDYAAKTKSTPKAEKAYAETVTALNDVLAKLG >KZM85940 pep chromosome:ASM162521v1:8:30110173:30111137:-1 gene:DCAR_026638 transcript:KZM85940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVGLVQLKLDTLKSFRQWGSKTPGHPENFETPGIEVTTGPLGQGVANAVGLALTEKHLAARFNKPGSEIVDHYMYVMLGDGCQMEGISNEACSLASHWGLGKLIAFNDDNHISIDGDTSIAFTESVDKRFEETPGDSTRNLSQANLNCTPQSWPRPYCATFFVFTDYMRAAMRLSALCESGVQLINLLSI >KZM86041 pep chromosome:ASM162521v1:8:30984397:30985071:-1 gene:DCAR_026537 transcript:KZM86041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPVLQVYSPPFPTQVMTRPAAAHHSHESFGTVFIVLAVIVVISAIACVLGRICSRRQGHPKGAYRSRDKEPRQNHHSQPKEGDIEFGFDKRFSSSKVAANEDFGGPNVKFGFDKRFPSGKGPANEEPRGPQAFHNGADGGEGGAQFGFDKRFPSGREATNEELRGPTTFHSAATSRGEGSIEFGFDKRFPSGKGPANEGIRGHKPIHNGQSKRVTRFAGDGN >KZM85188 pep chromosome:ASM162521v1:8:23547738:23549311:-1 gene:DCAR_027390 transcript:KZM85188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGIAEVDDFLLARAKKAKENAEKSYDPHPEETTDNVNKQVGEILKRNKTRRLLGESDDCTATNPIDRCWRCRADWETDRKRLAECARGFGSRTTGGKDGEFYVVTDESDNDVENPAPGTLRHAVIQEVPLWIIFSKSMVIRLQQELIITSNKTIDGRGKTVHIAYGAGLTLQFVENVIVHGIRIHHIIVTPGGIVRDSVFHLGLRTISDGDGINIYGSSNIWVDHNSFGKCSDGQVDAIQGSTAITISNNKFNNHDHVMLLGGSDSCEADKIMQVTVAFNRFGKGLVQRMPRVRFGFVHVINNDYNRWEMYAIGGSSAPTIICQGNRFKGPDNGHAKEVTKREATPEVWKHWQWRSEGDKFTNGAYFVESGPPFSSEAVKMNLIKAKPGSFAGRLTRYAGALKCKPGHPC >KZM85567 pep chromosome:ASM162521v1:8:26808080:26809916:1 gene:DCAR_027011 transcript:KZM85567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAEKIELESPELLHSLKAFARSYEGCSNSCMEAFLRYRSIVFEKSLVLLPADTVAWENGGRWAANKRTRVTLDPPTSTYSWARKQSLWDTCLSTLFSALHAIQSFTREQERTMGGNNLETRSLIDELRPFDKTAGLFDLGHPLLNRVAESFVKAAGIGAVQAVSREAYFTATESVSGDNGGMPPGIGGSRRNRFPDLKGIA >KZM84920 pep chromosome:ASM162521v1:8:20854334:20855338:-1 gene:DCAR_027658 transcript:KZM84920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYKILKEVGRGSFGSVFKAMNTQSGEVVAIKRLNKEFHSWAECLNLREVKSLKKMHHPNIVKLKEIIGENNFLFFVFEYMECNLHQLMRTRTKPFSETEVRNWCFQVFKGLAHMHERGFFHRDLKPENLLVSGDIIKIADLGLAREINCSPPYSEYVGTRWYRAPEILLAAPIYGPAVDMWAMGAIMAELLTNCPLFAGVNQQHQMYRICSVLGTPTEVDWAYGIELADDISYQFPQHSGVSLSQLMPSASKDVVSLIQSLCSWDPCKRPTALEALQHPFLRSCYYVPPALRFKSSGVGTNSSARSKGSVKHKYLSRSPGAFSNSGSIKCAP >KZM84665 pep chromosome:ASM162521v1:8:17482890:17485414:-1 gene:DCAR_027913 transcript:KZM84665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMASAKFSKPLLFQSKLLCFSVFYLFTSLFLALYSTLSSTKCTFRSSPYDPIQSPLFTYPPSYGHHKHALPTLHSSCNSPVFFSDYWMVWKEIQEFSRNSTTLGSRVIRYLKDSADSFGGNFTTKKRFSYYDHEDDDEIPCGFLTRFPVSNSDWNAMQRCDGVVVVSAIFNDHDKIRQPRGLGSKTLDSVCFVMFIDDVTFDGLHYHKMVSRDSKQHKIGAWRIVKVSSELLYQNAAMNGVIPKYLVHRLFPNSKYSIWVDAKMQLVVDPLLLIHSLIIKADADIAMSKHPFFVHTMEEAMATARWKKWGNVEALRSQIETYCEHGLEPWSSNKLPYPSDVPDSALILRRHGVSSNLFSCLIFNELEAFNPRDQLPFAYVRDFMKPKLKLNMFDVEVFEQVASEYRHNLNNGKVGGRSIVQMNKDTTISNLFSNGSYNQCQRYLLRMWGESHD >KZM85766 pep chromosome:ASM162521v1:8:28465092:28466417:1 gene:DCAR_026812 transcript:KZM85766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSWRKIRASRHANKRIGLDDISNMEVSIPTHFRCPISLDLMKDPVTLSSGITYDRQSIETWIEAGNNTCPITKQELSTLEPIPNHTIRKMIQDWCVVNSSYGIERIPTPRIPVTSRQVTEMLARVVAMSRSEEASACREVVAKVKRLVKENERNKRCFMANGTVGALASAFEAFSKVSFDKNVAVLEEILSCLSLMTPLDGEAKSYLGSNSSLSSMVWFLKRGHLSAQANSVLVLKHVLSTDQKKMEQFCSIEGALEALVKVIKEPVSPTTTKASLLIVYYMVSISSSRVDEKIIRRFIDMGLIERLLEMLVDCDKSICEKALGVLDGLCLDSQGREKAYADALTMPVLVKKILRVSDLATEFSVSIVWKLSKNETREDGGVIVEALQVGAFQKLLLLLQFGCNENIKDKATELLKLLNLHREKLECIDSMDFKNLKRH >KZM84297 pep chromosome:ASM162521v1:8:11785234:11786501:-1 gene:DCAR_028409 transcript:KZM84297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKGCKRISLGPDSPSTRDGELTFMDSGHIIFTEDIGLCFIGSETTQILTLDNG >KZM85881 pep chromosome:ASM162521v1:8:29538401:29546747:-1 gene:DCAR_026697 transcript:KZM85881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHRHKYSGVDHSYLAKYVLQPFWTKCVTFFPLWMPPNMITLIGSMFLVTSAALGFIYSPQLDSPPPRWVHVAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTAMCGKDTFWFWVISAVPFYGATWEHFFTNTLILPVVNGPTEGLMLIYVGHIFTAVVGAEWWVHEFGKSLPFLSWVPILSEVPTYRAVLYLMIAFAVIPTLTFNVQNVYKVVQARKGSMLLALAMLYPFVVLMAGILIWDYLSPYDIMVNYPYMVILGTGLAFGFLVGRMVLSHLCDEPKGLKTNMCMKLDKVIIFHGPDR >KZM84344 pep chromosome:ASM162521v1:8:12795013:12797327:1 gene:DCAR_028362 transcript:KZM84344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSIPVPIDIYASLLKECTFSRDIDQAMFLHQHMANSGISLGLSWRNRFLLLFSYCGCMSIARQLFDEMHDKDSNSWAAMMAGYMSRGDHSDVIELFVEMQRYKDAHENVVWFQYSWILVCVIQACVETDNFELGTQVHGLLWKVDFGKNLFVCSSLIDFYGRNGCFVGADFVFDQVPCSNTVVWTARLVNKCREGLFDEALNVFREMTREGVKRNSFTFSSVLKACGRLGDGGLCGEQVHAHAIKFGVVSKSYVQCGLVDMYSKVGLVKYAKRVFDMNEDGKNGACWNAMLRGYMKDGEYIEAIKILYEMKAADLQPQESLLNELRCMTLEASILIYLAFHSTTLAISVVEEVLPGSCRGGVDLKLVYLYGALFEALKRFPQVPFNQKAPDQPDILPSGHRVDEDRKIVLSFYAMGRIEGT >KZM84597 pep chromosome:ASM162521v1:8:16664026:16666826:1 gene:DCAR_027981 transcript:KZM84597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGAPSLPTSFTYTFTFTWTNHPSLLSRGRDLAPFLYLGIFLHTSPLPSLVYHDKISLTATEAARVAKVFELPPLERNIPSVVTEENLIKVKLLPEEVGTKVGWKRFIDGRAVGDKTKGVKRKRAESSDSDNDDEEDMPRPSFLGKKGSAAHQPDKAAASGSKQPQEKGAPSALTRTVPEVLEIPSSDQQPAKRKRHLVKGASSMSKSARHFADMGDTYVEDGELEKWRAKPQEEKDSFLLKGAAEFLVHLHDREERRLEDASSLKKAEENLAKLKEDFQSQRELLKKAQEGWKNSQKLLKEERARLEPIQREVEALKKSNEDLTSTNQALKENQTSLTAAERKEIEGAAFDDGVNSYVATFVAGAPSFDWAKHFGSGMAQWVEEFKVEQPNLIATKKAEIEEALAAEASTHQTAEEQARPQDNVTEDATNNQTS >KZM84530 pep chromosome:ASM162521v1:8:15941060:15942592:1 gene:DCAR_028048 transcript:KZM84530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRFEYFVIVLAILSFWYIRRLRHDDGLPWNWPLVGMMPRILSKVNRAHEMCAKVLNQEGGTFLVKGPWFTNMDMLFTVDPANVRYVLKDGYANFHKGQEFKEIFDVLGDGIVNAEFDLWKIQRKHAQFLFSLPQFRKFLMRISTEKVEIALITVLDHFTEQGQVVDMQDLFQRLTFDTTCMFVTGYDPGCLDIKLHEVPFLKAMDQTEEVIFTRHLMPQTLWKLMRWLNVGSEKKMSQAKKIIDDELYTYIKRKRKEVSSAGGKFEDGAQGNRADLLTLYITEEDKSLGLENNDEFMKDIVLNHVLAGRDTTSSALTWFLWLVITHPQVESKIRAELKACIPQNEAEKRKFFSDEELSQMPYLHGAISEALRLYPPVPFQHKEPVKADVLPTGHRVHPKQRVIFSLYAMGRMATVWGKDCLEFKPERWISREGSMKYVPAYKFTTFSAGPRACLGKKVAYTQMKAVASALIYNYNFKIVEGHKVIPNLSVILLMTNGLKVRITDRWS >KZM84849 pep chromosome:ASM162521v1:8:20150572:20151344:-1 gene:DCAR_027729 transcript:KZM84849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRTAMLLDQRFSQGFTVPQIRRAASNMGSHIVDNEEAQLPHELEEALAAQPPRGLLEAAAAEGDIEAQLNFWNQYHANRHDVWSIGVVVLELAYGKISVLNRNQFLHVANYIDEIGILPETWEQLLENSEAQMPIHGLAGRFTNEFINFLRTCLRMNQYARPDTLGLLNDDFLGPVGMMSEEDFRLSLLQQNH >KZM83650 pep chromosome:ASM162521v1:8:103892:104547:1 gene:DCAR_028928 transcript:KZM83650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTSSMAAKFAFFPPKPPSYELVEDGDGKMKMSSGGMTERSNVDVLKIKTKRGTEIVGIYFKNPAANMTVLYSHGNAADLGQMYDLFAQLSIHLRVNLFGKARILAEDALFYPSMMSGTYYSFEHLLGNLFGVAEL >KZM85989 pep chromosome:ASM162521v1:8:30511832:30514568:1 gene:DCAR_026589 transcript:KZM85989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRTLPLLRHLTSSPSRFHPTRSVTYMPRPGDGASRTVTLIPGDGVGPLVTDAVEQVFAAMHAPVAFEKYDCDGDMKAVPQEVMDSIKRNKVCLKGGLMTPMSGGISSLNLHLRKELDLFASLVNCQNFPELPTRHENVDIVVIRENTEGEYAGLEHEVVPGVVGSLKVITKFCSERIAKYAFEYAHLNNRKMVTAVHKANIIKLADGLLLESCREVASKYPSIKYNEIIVDSCCMQLVSKPEQFDVMVTPNLYGNLVASTAAGIAGDTGVMPGGNVGSDYAIFEQRASAGNVGKIEWVEQKKANPVALLLSSAMMLRHLRFPLFADRLETAVERVILEGKYRTKDLGGNGTTQQIVDAVIANVD >KZM84066 pep chromosome:ASM162521v1:8:6759894:6761076:-1 gene:DCAR_028512 transcript:KZM84066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLLTLLPTAKQDWKIGVRISRKWEQLRTSGQGFGISMIFVDENSSIISRLDDSLVEGGVFQIENFIVRPYGANERNQCFTGDKRIFLTEAKVVMPCLEPHEFIPKHVFDCIALNMVREHASQDTYLIDVCGIVKDLQPIQQFVSITGKEQILVKFVLADNNNNTLGATMWNEHALFMHMSLAFTTQRPLIVIISSCKPHLWEGYGN >KZM85040 pep chromosome:ASM162521v1:8:22053008:22054278:-1 gene:DCAR_027538 transcript:KZM85040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNQARSRTVYIGNLDERVTDRVLYDILIQAGRVVDLIIPKDRETDRPKGFAFAEYESEEIADYAIRLFSGLVTLYNRTLRFGVTFVTLD >KZM84247 pep chromosome:ASM162521v1:8:10953116:10957289:-1 gene:DCAR_028459 transcript:KZM84247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYISEHLSWYHHVPDLMSWGSGAGIAILVISGAENDPLSDEEKLKQIVQRVYLIRFLGIPKIVIVINKMDDPTYSLTRFMELKKSVVDVLKRAGYDYKNDTMSVPISGKSGLNIQTMTRHLRYSGKCLFETLATIDVSQLAMRVTSDQTWSPKEVEELGLFEELFKIDTSIDKDQTDQSKATLWYRRAKIAGKLQLFNAFQDADKAVECNLDLSNNPEYGEEVNDPFLYKIKGDLHYSRQSFDLARENYLHAYEIFNKQRWKKAVEKLQELICTSELLQSEEEEKLKTQTKKKGKNKGEKKEELLEENEELKEEKKEEHFDEKKDDELKEEKKKEEIGEKKDDDSILKISIVTDFSLDAKPQDGVYSGYKIRADISLPISIIRCSDYQKQNYQKLLSLGDIGPHVLSIHDMYDFTIEEESSTFFVVQPIKSVDYYYFLKFEDFRNRVKIDEGDKESILTKWWLFIQPEFQKKIRNHVPMWWLLDHQYFWSPDNCVDFVIKLRGLLKTPLMHKFRWELQYEMMKDVGGFWADHIPDGILKEIWRKGDPNQDPSESSTSDASSTLDAPKESDAPSTSDAPKESDAPSTSDAVKEDGWIKVAPYTQQYDIVRYMTAVYSHYNDDEYSAFRPGGKTVDNVEIEQQLRVCFPKIYGQIANALYRCPKTKQYDNFEGKVLKVLSRHHTE >KZM84497 pep chromosome:ASM162521v1:8:15422437:15423790:1 gene:DCAR_028081 transcript:KZM84497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDCVEMSEVIGNKKFICRVVEGSNSNAQLGSSSSLGDGVFHMSEIEKEKLQASFAVNAWSSSEGIHDFAITQEHLQGADYTQVLSKEEIDEMGLKPEDDWLEISTEKFTWRIELHWRDGELWFDKGWNVFAEAFKLCVGDRCFLRNTTNGLKFKVAIYEKHRMTELLSKGGVEGKGVVNWFKMMTWMHECPHRRGRGTIPVW >KZM84503 pep chromosome:ASM162521v1:8:15478072:15481191:-1 gene:DCAR_028075 transcript:KZM84503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKGSSKKKRASEATEPSKAKSLKQRVLVDDDDDVFGPEFSSVSLDIKAKIDELKSKIEKERQSFGKALSKSSKECENLLKSEAAKFQALCDNFNKEKAAHVQALQDTFSKFEDEKEKLFIRYEQQRKKEKGLISEQEKACANRIAELEESLKKKKQDDKTFSILRKTLGSFLEPESDDDFPADD >KZM84403 pep chromosome:ASM162521v1:8:13975759:13980472:-1 gene:DCAR_028175 transcript:KZM84403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGNNLLLYFLLLLVIRPCFCIDSLTQSQPLKDGDFLISQGEVFQLGFFSRGKSGNRYVGVWYYKLSEKTVVWVANRDHPLTNTSGVLSVDTTGQLALSYSGTPQVLIWSSDILSTGGGNYSAKILDTGNFVLFKDQYSEKNTVWQGFDHPTDTFLPYMKLQWNKRTRENKFLTSWKSPENPASGQYSFRFNVTGSVPQLYIYDGVTPVLRAGPWNGITFSGLPEYTVSPVNDVTNLFYIDNNEEVATYYIVNTPGLFTRFVLNDEGFTERLNWNPESQKWDAFWTGPDGQCDKYAHCGAFSNCNPVKLSDQGCECLPGYQDRSGGKSPTNIFGGCVRKPGALVCNNGEGFKEVPGVKVPDATKARWQSDLGIKACKEFCLKDCSCSACMTVNITSGKGCLTWYADLIDVREFSQGGQVLYLRVDSSELAKDRTKRVLLKVLLPVLVTIILIIVLISRLLLKKKKIRAKNKNRELSHGSENSDISFMERYQDALAANDTEVHCFSANTIIAATENFSISKKLGEGGFGPVYKVWDRWLQGKPLEIVDASLGESYDVSEVSRCIHIGLLCVQESAAIRPTMSEVASMLCNERTPSSAPEQPAFINRATVNFGSVKSCSSSGIAATKTNSVTVSIVEGR >KZM85217 pep chromosome:ASM162521v1:8:23820308:23822059:-1 gene:DCAR_027361 transcript:KZM85217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNLEHEDHFFAGRCILVNGPVIVGAGPSGLAVAAGLKQQGVPYVILDRADCIASLWQNRTYDRLKLHLPKQFCQLPYYPFPETFPEYPTKHQFIEYLESYAKRFEIGPRFNESVMSAKYDKTCGLWRIKTTMLKCPGRGEIEYICRWLVVATGENAEKVVPEFEGLEEFGGNVMHACDYRSGGVYKGKRVLVVGCGNSGMEVSLDLCHHDAIPSMVVRSSVHVLPREIFGRSTFELAVSMLKWLPIWAVDIILLASARLLLGNIEKHGLKRPATGPLQLKDSTGKTPVLDIGALQKIKCGEIKIVPGIKKFSRGKVELVNGTKLEIDSVILATGYCSNVPSWLNENEFFTREGFPVAPFPNGWKGKLGLYAAGFTRKGLSGASLDAMRVAQDIGKLWKEETKQKKHSVAVAGLKRYKSQF >KZM84675 pep chromosome:ASM162521v1:8:17603084:17604241:1 gene:DCAR_027903 transcript:KZM84675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSDLAHKIFPEPCNILSDDIDHFDSLPDSILLLIFNQIADVKALGRCCLVSKRFHFLVPQVDNILVRVDCVISDDDSSGGSSSSSSVSKSHTPFSSLFRFVFGGIVKPLQSLTQFLQFKRSVNGTGFAASSSSLSVNGEDYDELDQGGVTHHSPTQVLKNFNEIKILRIELPSGELGVDDGVLLKWRADFGSTLDNCVILGASSVMPSKGLDGNGVNLINEDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIISEHKTLDSLVLTDGDGQGVLCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGTVLKGATLVAIRPSEQSVVKKEVADGSWVSSAFEEPYGTAAKMLIKRRTYCLEMNSF >KZM84430 pep chromosome:ASM162521v1:8:14391333:14400077:1 gene:DCAR_028148 transcript:KZM84430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGADLADNLRCTRTDGKRWRCKRGVLAGKKYCEDHLVQFQEKAQRKKQRVKLEAKVSKSSRNRSRIVEKSKDKGKDVAKEVSKGESSRKRSASEALDETIRNMKLKRGDIQLELIREYLIRKVEKKKEESESGVRNIIKDFPNGRMEIPPPSETPGEFDNVGPYNVKIGTNCKIIQRRHFRSKNVEPVPVCTMQILPSVKEALKFKKGKRRKCHWCRKSSYRILVRCKGCQKESFCEDCIGERSFVKEEVRIACPVCRGTCKCKACSSSKPKDVEHKESMKDTEQIEKLQQLKYLIQMLLPVLKKMNLDHSIELEIEAKIKGARNYEIPQVILGGDNLICCNCNTSIVDYHRSCTRCSYKLCISCCHKFRQGKISGDLKENKIMYPYRKRACTSNDKLPSDRKKNSSVKQDSKSVAASTLSQNWNVYKDGRISCPPKDLGGCGGNISLDLRCLYPFGWDKELEASVKDIISKHDSRNASNFGSCCSLCRKTSNQGNRSKLLLETAKRDDSNENFLYHPNVQDFHVERLGHFQTHWGKGQPVIVQSVIQVSANRNWDPVTMFCTYLGKVLAKKVDSVASTERNYLDCFEVELSESQIFMGSLEGQTNAFMDLEKVKINGCLLPNIFQEYFPEHYAQVMQLMPLKEYMDPMSGLLNLAAKFPENMPKANAGPSVHIAYGEPEESMQGQFVTRLSYDSHDVVNILVHATDILISKKELNRLKRLLKLYADQDHSRSTSKAADQPSVNKVLERTSAFHSEHIKDVTGKSSLRSEITEESILQDRAVKNLNKPDKIAKASTFSKVSSRGDARSTRPNKKSDTSDKDYDLDSDLTIFCSGTTYRIKDLENECLAHNNTEGSSCSKAKPVADSCGAQWDIFRRQDVPQLLEYLRRHCDESIPAFYNPMHVVHPIFDNSFYFDAFQKMRLKKEFNIEPWTFEQKTGEAVIIPAGCPYQFRKLKSSVNVIMEFISPENALECTRLADEIRSLPLEHKARQKFREVEKMTLHGISSAICEVRNLTTVGMASSGKDN >KZM84482 pep chromosome:ASM162521v1:8:15194037:15201241:1 gene:DCAR_028096 transcript:KZM84482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSELHGKLTRALRQLDNETIIDEKVLNDCLNEITRALLQSDVQFSLVRDLQINIKRTVNLGQLAHGYHKRNIIRRAVEEELCKILDSGKTSYVPKKGNASVVLFVGLQGSGKTTTCTKYAQFYRKKGFKPALVCADTFRAGAYDQLKQNAMKANVPIYGSHTESDPVQIAVEGVDSFRKKTHDLIIVDTSGRHKQEEVLFEEMRQISVATNPDLVIFVMDSSIGQATYDQALAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDDFKEFDVKPFVLRLLGMGDISGFTNKVHELVAVNQQHDFMQRLSDGNFTLRNMYQLFQSMHEMGLINEVSSMLAGLAAPKGHEKESQLKFKRFMIMMDSMTNEELDSSNPKLMNKSRILRIARGSGHRVEEMEEMMEKYKLMATIPKRRDMSAVSQNRNSQRMIPPQMLNHISRLGGLKDFMKMGWT >KZM85145 pep chromosome:ASM162521v1:8:23153682:23154687:1 gene:DCAR_027433 transcript:KZM85145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREFVLAPNCDTEIAGQGQVYIKYYGSEECALMDPARDLSPFDHCFERRSANPSDEFQEALKQAMEAIKKKETKQGTDSS >KZM84740 pep chromosome:ASM162521v1:8:18566512:18569849:-1 gene:DCAR_027838 transcript:KZM84740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTHTLREGSSLHKRAIADQTVQASGPTLEREVVFRKDGDHKVTVQSDLWRKWDVFYRFCRLHSVTGTINKPYLVLASGEYTMRQGKAITSAFGFMCLAMAIMFRSPPLLYGIFIHFLVGTAYSVELPLLRWKTKPFLAALGIGLHAFNFQLAVFCHIQKYVLGRPLVHTKSFGFVLIFFILFATVLGVFKDIPDVAGDEAFGNQTYSVRHGKKKVFSICIFTLFINYAFAVVTGASLSSLLLNKLVTVVGHCTLASLLWRRAKSVNLDDDSSLESLYMFLWKLFTAEYVLIQFIR >KZM85293 pep chromosome:ASM162521v1:8:24479995:24480264:1 gene:DCAR_027285 transcript:KZM85293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKILSSILGILLLLQACKGGRILNEKPGIELQSLERGLVPPSGPSGCTHIPESSGTKCPMIGEMHVVGRAMDRFAAYPNVVNPFSVAT >KZM85472 pep chromosome:ASM162521v1:8:26071456:26072611:1 gene:DCAR_027106 transcript:KZM85472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQVNRVMGDEEKERARGVGKKRKVRYTGLFIRLVALLLSLAAAIILGLDKQSKVVPITLVSTLPPIKVPVTAKYHYLSAFTYFVVANAVASSYGAVVLILTVANRGSKAGKLAKAIIILDLIMVAVLASANGAAAAVGYLGHEGNKHVRWNKVCNIFGRFCNLVIVAVGVSLLGSLSYIFLIVLAVLSVHKKH >KZM84270 pep chromosome:ASM162521v1:8:11370415:11376581:1 gene:DCAR_028436 transcript:KZM84270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEGFYEIEAVARFILTRDFRRVALQFPDELLKESTRVVRALREKLHVLKKSTGERDRDVRDIGLYVMGDTTYGSCCVDEVAASHIHADCVIHYGHTCLSPTSNLPAFFVFGKASISASNSAESLCKYASASGKPILVLFGLEYAYAMEEIKEIIAHESSKLCGSTTILDIHYADVICSIMNPTGKSETSDEHLESFSISTSDGCSGKTTATSYNIGGLGWSVPEGSRIEDYTLFWIGSDNSAFASVVLTFNGCEIVRYDAKENHIITDFTQQRRILKRRYYLVEKAKDASIVGILVGTLGVAGYLRMIHQMKELITAAGKKAYTLAMGRPNPAKLANFPECDVFIYVSCAQTALLDSKEFLAPVITPYEAMLAFSRNTQWTGAYVMEFQQLISSTQTGVRSPSKEARFSFIQGGYVEDSDLQEICDEEDEDRAVAIVNIMEKALQVRDKGPQYLVKGAAKSGPENFATRSFQGLEIYGSEELAKHRDMRMRDEGSSFVTSGDMEAGLICKGRA >KZM84075 pep chromosome:ASM162521v1:8:6925410:6933094:1 gene:DCAR_028503 transcript:KZM84075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRFKVWVLVICIQICVIEAVTNPNDLAALNALKSSWKKLPPNWKGADPCNSSWVGVVCNKTRVTSLKLAGMGLVGTSLSDVTSLSELVKLDLSNNKGLEANLPPSIGNLKNLDTLMLVGCGLYGKIPESIGSLNNLIYIGLNKNSFTGVIPHTIGNLSKLSWLDLSDNKLTGNLPVSTEIESGLDLLLNARHFHLSKNQLSGNIQPQLFSSKMQLLHLVLDQNNFTGAIPNTIGLLLTLKTLRLDRNFLTGPVPERLSNLGNLTELHLSNNLLEGPFPNLTGMVSLNYLDMSNNSFDPTDVPTWFSDQQNLTTLLAERTQLQGRIPSSLFGLRRLESVVLSNNNLSGTLDLGSGYSSNLTLTLNNNSITGFQQEAQYNLNLTLDDNPICRGTGARDDYCTKIQFVIPLDFCFPAVCVSDNINKICQSVNSKLKPYTGTLNFLAVSFSNLQSPVYYESLSASIMSAFNRSNLPVNSVVFCTPSFDLSSHFQVRIQIFPSGQDSFNRTGVSDVSNTLTRQLFLLSPFFRPFTFIHDLYEFEGEKKSSNAGLIVGATMGSLLLVLVICAGIYIFFLKGKVKNAIKNSSPFASWNPDNRSGGVPQLQGAKWVSFEELKKCTNNFSDESIIGSGGYGKVYRGKLTSGELVAIKRAQHGSLQGDQEFKTEIELLSRIHHKNVVSLVGFCYEQGEQILIYEFISNGTLKRNLSGESGIRLDWVRRIKITLDSARGLAYMHELANPPIIHRDIKSTNILLDDKLNAKVADFGISKPVSDIAKGYITTQVKGTMDLYHPYDDDDFDRSRTSVPFETELR >KZM85623 pep chromosome:ASM162521v1:8:27290168:27291849:-1 gene:DCAR_026955 transcript:KZM85623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSSFSLSFSSSLLPQPKPIKPKAHSLHPSRARCPPISCAFTAPSETKKKRHWKQGEYPGFSESSGPSRSVDKKKTPIKNIKKKIDKKKEAKAWANTVTEALSDCIDKKQWLQALEVFEMLKEQPFYQPKEGTYMRLIVLMGKNRQPELARKLFDGMIDEGLEPTAELYTALLGAYCRSNDIDEAMLILEQMKTLPLCQPDVFTFSILIKACIDATRFDLVESLYEQMAEREISPNTVTQNTVLSGYGKAGRFDQMEKVLLGMLESTTCRPDVWTMNTIISVFGNMGDIEMMERWYEKFRNFGIEPETRTFNILIGAYGKKRMYDKMSTVMEYMRKLSFPWTTSTYNNVIEAFSDAGDAKNMEYTFDQMRSEGMKADTKTFCCLIRGFANAGIFHKVISSVQLAGKLEIPENTSFFNAVIYACAKADDLMEMERVFKRMKDKECKPDSTTYTLMMEAYRKEGMTDKAYDLELEKQILDGSNSKEDEQIEVSDESM >KZM85211 pep chromosome:ASM162521v1:8:23745659:23747066:-1 gene:DCAR_027367 transcript:KZM85211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLGNPPFLLMDGDDIFNFPLDGEDGIDPGRRFSELEFAEEELEWLSNKDAFPAVETGLDIFTPHQNLQSPISVLENSSSSSNSNGSGTMSCCGALPVPISFPVHYPVRARSKRRRRRRREFPEFPVAVQQAGWWNQVNVRNVKQDFPKALPITGGSSIGRRCQHCQAEKTPQWRAGPMGPKTLCNACGVRYKSGRLVPEYRPANSPTFSSQVHSNSHRKIMEMRRHTLGDNMMVK >KZM85252 pep chromosome:ASM162521v1:8:24104242:24107349:-1 gene:DCAR_027326 transcript:KZM85252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNDDIAVGIDLGTTYSCVGVWQHNRVEIIANDLGNRTTPSCIAFTKTQRFIGEAAAHQAALNPVNTIFENVVVTIPAHFSDSQRQATKDAATIAGLNVLRILVEPTAAAVAYGLDKELTSRSAREKIVLIFDLGGGTFDVSLLNIKNGRFEVLATAGDTHLGGEDFDNRLVNHLVDEFKMKHGKDISQNAKSLRRLRNACEKAKRFLSQNVVATINVDYLYEGEDFSSDITRARFEDLNLDLFKSCLETVAKCLKDAKMDKSRVDDVVLVGGSSRIPKVQQLLQEFFDGKPLCRSINPDEAVAYGAAVQAAVLNGAGNHHIRDLVLLDVTPLSLGVQLLGKKMSIVIPRNTRIPASKEMTYYTSEDDQISVWFPVYEGERTRSTDNNLLGEITLCGLPPAPRGEVEVVIRFNIDANGVLHVTAVCKVSGVKTDTTITGDKRRHSKDEIDMMIQEARMYRAEDENFKKNIQAMNDFEDYAYNMRSAIKDKRMEGRKERAIEEAIVWVKANRSAEANEYEAKKQELRAICSSRFGYFIANLKLPV >KZM85995 pep chromosome:ASM162521v1:8:30581092:30582266:-1 gene:DCAR_026583 transcript:KZM85995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTSSVTLLGAFFSPFVNRVQIALNLKSVEFDYIEETLSSKSKLLLESNPVHGKVPVMIHDDKIICESLIIVQYIDEVWTDSGYSILPSDPCDRAVARFWAAYVDDKLIPNLKELLLAKEEEQIAVKERIDEGMVALEEVFVKCSEGKAYFGGEKIGYIDIVLGSCLEFFKAMEQISGLKMLDEARTPELAGWAERFMSNDAVKNVLPETEKFVDVLRRIQSNTFFNPGPV >KZM85547 pep chromosome:ASM162521v1:8:26693933:26694855:-1 gene:DCAR_027031 transcript:KZM85547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQKSEVVIASPVPAAKLFKGICLDIDTLLPQVLPGAIKGAEILEGDGGAGTVKLVTLGDAFTFSYSIIDGDILLGYIDSITTEFTFTPTADGGCTAKSVSTFNTKGDAVVPEENINFANEQNGIIFKAIEAYLIAN >KZM84994 pep chromosome:ASM162521v1:8:21537622:21538374:1 gene:DCAR_027584 transcript:KZM84994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFRWQINDQTGKGKEIELEDAAEDEHKLVQKMIIVAVWCIQMKPSERPSMSKVIEMLEGDADLVMPPKPLICKQEAPIKEHEVCQILQTDDLRL >KZM84731 pep chromosome:ASM162521v1:8:18435260:18440834:-1 gene:DCAR_027847 transcript:KZM84731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRTYSTVWMIGGFIFIIYMGHLYIWAMVVIIQIFMAKELFSLLRKTHEDSHLPGFRQLNWHFFFTAMLFVYGRILSQRLVNTVTSDKFLYQIVGRLNKYHMVTCYFLYIAGPLFKPEYFAVPGWFPDWFPWKEIQVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGMTDRMDCQMVMAVFAYIYHQSFVTPQSVSVEMILDQILMNLSFEEQRALFTKLAQIIQESQFGGS >KZM84571 pep chromosome:ASM162521v1:8:16369168:16369365:-1 gene:DCAR_028007 transcript:KZM84571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVRMLPLNSSRSFSNDNMRRRSIPRRGQVKAGIVIGLAQSVASVFSPNARTKASSATSTSHF >KZM85554 pep chromosome:ASM162521v1:8:26745689:26747324:1 gene:DCAR_027024 transcript:KZM85554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDWTRIMPVEPVNGLKETVNYAALERYKWIINRARSYAMKVMLTLFRHSLPPWAGECGGWRSENCRLCHGLHQIRYKKEPPHNLIGFLNLPINEVVKIEKAKEKAFAMNLVLADKEVNKGTEIANNTTIAAEDKAEEKSGLLKFGSLSINPNKAGVKPVDYVAANSPAIIPAAETANAAKRVDIVTVGSLPVKVIGFAKSAASLTVGTISLDPGVQGSKVWFEERLIISINSFYDWECILQANARLFLITRISFEVN >KZM84478 pep chromosome:ASM162521v1:8:15117321:15117722:-1 gene:DCAR_028100 transcript:KZM84478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSQCPQREDNLPTFIRRNNLYLSSKTTLLISGSVSDVLDVYMNKYISSGADAIEDEATEKRRIRMTEKRVRVFPPVLSSLNRNGRPRFGLETVRKEGRLQIWVVPNRFSEVVRTLNCGDRVSMELLETGVH >KZM85064 pep chromosome:ASM162521v1:8:22365744:22369018:-1 gene:DCAR_027514 transcript:KZM85064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSSEEETDISDSELEDYSEKVYQQLLDGKLAVKISGDVYRCPYCSQKKRKQQYGFRDLLQHASAIGEGSGSKRDTKEKGKHLGLMKYLENLGQRKPPERNSPEDLRPVQNGDNNELLVYPWVGIVANLPLTFENGRYVGGSGTKLRNEFIAQGFNPKRVNPLWNYKGHTGFAVVEFGKDWPGFHCAMKFGNSFEAAGKGKQDYLNMQHRGTEIYGWIGREDDFYSEKAIGDFLRKFGDLKTVHEIEAEEKRKSNKLLSNLSNVIEEKAMHLKEIEVKYHETTLSLGSLMNEMDKMFQACDEARQKMQQNTRAQIQNIVNEHQKMKLELEQREKDLQKREARNDSERKKLALEKEMNERATLEQKKADENVIKLAEDHKFLKGKPKGPKQWLGVKGMGELDGSPFHAACNKKYGGPDAEEKAAELISLWEDNLRDPNWYPFKIVTLQGGNDHKEIINLEDEKLKKLGAEWGNKVQTAVTTALKEMNQYNPSGRYIIWELWNYKEERKATLAEGASYLLDLRAKKRSRT >KZM84752 pep chromosome:ASM162521v1:8:18826584:18831852:1 gene:DCAR_027826 transcript:KZM84752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSFLEISPDSHFPMENLPYGVFKPDESSAPRPGVAIGDYVLDLQVIASAAAEPSLRDNASLRQNGLLPAAQVQMLLPMAIGDYTDFFSSMHHARNCGLMFRGPENAINPNWFHLPIAYHGRASSIVVSGTDIIRPRGQGHPSGNSPYFGPSRKLDFELEMAAVVGPGNRLGEPVDVNEAAEHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALQPFGCDAPQQNPSPLPYLAEKNSKNYDISLEVQIRPAGEETSYVVTRSNFNHLYWTVTQQLAHHTINGCNLRPGDLLGTGTISGPEPESYGCLLELTWNGQKNVSLGGTSRKFLEDGDEVIFTGCCKGNGYNVGFGTCSGKIVPSIP >KZM84112 pep chromosome:ASM162521v1:8:8097837:8099651:1 gene:DCAR_028466 transcript:KZM84112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSTWLLALALVISSLCSFSSATQTTAFLNCLALSSDSSTISGLVYTQANSSFNATLQYSINNLRFGQASKPKPLVIITPTTESHIQTVIYCTKLTGLEMRIRSGGHSFEGFSYVSSIPFVLLDLRNINKVVADVATATAWIDSGATNGELYYYLSRATSEYGFPSGLWANVGVGGILSGGGYGMLRRKYGLAGDHVIDARLINADGVILTRATMGEDLFWAIRGGGGGSFGVVVSWKVDLVPVPPVVTIFQVFRTIEQDMTNIFYKWQSVAPLFPKELDIRCNGNVILSENSTRADNKTVRMSFDSLYLGPASEVLAIMGEQFPELGLVQEDLIEVSYIQAMVFFSQFPYNAPPELLLNKTILPRPAFKGRSDFFKQPMPVEGLLGVWDYMFQLPENQAFLQYTPYGGRMNEISESALPFPYRAGYLYMFNFFAVTCPGLEECAEEAARMDWVRRLVAYLTPYVTSNPRSAYVNYVNVWMGQNNPTGSTSYAQASQWGKRYFGVNFDRLVAVKTVADPLNFFRHEQSIPVFSLVSDM >KZM85861 pep chromosome:ASM162521v1:8:29403229:29404908:-1 gene:DCAR_026717 transcript:KZM85861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDHKSAVAAELAASSRGSREHLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLQHGNKGVLLNFEDSGGKVWRFRYSSWTSSQSNVLTKGWNRFVKEKGLNAGDIVSFYRSNGVDRQLYIATESGIGSGSGENVESEVHPVQAVEPVRLFGVNIFRVPQVEVDNYGNRNRNIEISNSGKKIRVSNAL >KZM85951 pep chromosome:ASM162521v1:8:30241968:30243619:-1 gene:DCAR_026627 transcript:KZM85951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRQYDKQVREVRKEYMQEMEVMRAEKARKDEAKREALRVANEERKAAKLEAKKVRAAERKLEEEEFRRMLLKEKEEKLEYWRKRENLVQEKKEKKKEDLRRQSSMWIDENKLEARTLEALVDGSNYL >KZM84298 pep chromosome:ASM162521v1:8:11789867:11791289:1 gene:DCAR_028408 transcript:KZM84298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLKLNNSVSSKVYLTKACCRYGGTPYCYIKVASKCGTRNGILKSGSRRRLGGTHIYFACCSVSYSTCSSAAAEEQVDQLVSSKPYDEARKEELGKLWDEYGWQVRRMVKKEDEMRRVAQVQAEAFYEPVFFLTDLFFDFFKAEVYQGLIYRLRNSAADRYACLVAEASNESLGNLVGVVDVTFLRDDDVLEHLPGETDEYLYVSGIAVSTDFRRQKVATALLKACDIVAVEWGAPYLVLRAYEDDLGARTLYRNAGYTVVSGDPPWTSTWIGRKRRVLMIKKCSSH >KZM85256 pep chromosome:ASM162521v1:8:24131419:24135879:-1 gene:DCAR_027322 transcript:KZM85256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSDGSQKKRLLTSLGVVAIILLCMYLYFGSRSDGESALEYGSRSLKKFGSSYLGGDEDTDLGNKLDESSIKLGLDDGEDGVVPKSFPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPNPERRFNCLIPPPPGYKVPIKWPVSRDKVWQANIPHTHLAHEKSDQNWMVVQGDKIVFPGGGTHFHYGADKYIKSLANMLNFSADEINNEGRLRTVLDIGCGVASFGGYLLQHDVLTMSVAPNDVHQNQIQFALERGIPAYLGVLGTQRLPYPSRSFELAHCSRCRIDWLQRGGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWREMSTLVERMCWKIAAKQNQTVIWVKPLNNNCYMDREPGTQPPLCKSDEDPDATWGVKMETCITPYSDHDHRTGGSGLAPWPARLTAPPPRLADFGYSNDMFEKDTEIWQHRVENYWNLLSPKISTDTIRNVMDMKANMGSFGAALKNKDVWVMNIISEEGPNTLKLVCDRGLIGSIHNWCEAYSTYPRTYDLLHAWTVFSDIEKKGCSGEDLLIEMDRIVRPTGFIIIRDKQHVIDFVKKYLTALHWEAVTTADTSTEVDQDGDDIVFIVQKKLWLTTESLRTTE >KZM84784 pep chromosome:ASM162521v1:8:19117272:19120128:1 gene:DCAR_027794 transcript:KZM84784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHELHRIYITQKTLMKDCYSRELNWLQSENAASTQLNRHPFSDQLGQEPHSTEKTHSTIFLGGSTQSFQRSATQEGGDFCHRLYQRPNFSTNCMSYTDTDILVAGCNQDFIGKSNERKNCFSEDGSCCPDEVKLSLSIGGSSKKKAARRNFLTDKNRCVSSQEIIDLEEPAEMSGYDNQPGFPIHDQVTSGPRKDSQSSSKFYQKINDITVKDPADSFSTPHSGIGSNISQERYSFKIGLNERDDDRPGDSMFTKDKLLSNVALSLDLNKIQLDESSTRSNNSLLGYTSAGSSTGDAILGLRDPNGKNSANVSKTIFKSKGKDKNIPCSTDLESISKLLSCDQPSNHLLNIDTRINLHCGKIRDIAKFEENVRKLNEDVNLVPSNRSQSATGDTDCCRRSSPCDFDCVVNDTLDAARTMHSGTDLERGLSSSCLIQNAESSQVAENACQLDLSSSSISKTELQVRDKMKRESTDIDTFIQEAAASLISISLESRTENHHSPAKFRSDRINGDEADRNIMRDQPESSSDSYESMVMKLTETGADDYCVSSNPFEVHELCNKDTGIKLRRGRRLKDFRKDILPGMATLSRHEIREDINIMEGVLRSREYKRLRSKAGNTGNWFTPVKSRRSRRNHGGQKNYQ >KZM84410 pep chromosome:ASM162521v1:8:14049418:14051955:-1 gene:DCAR_028168 transcript:KZM84410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSLMHSSPSFQVIPKWGLAKNPKFVVHNHVDWSKAGVLRQKPLNLVSGFDFVSLSGSKKGDFVCEAYEADHSKPLDINIDLPAQVGPSEAAQKLKIGVYFATWWALNVVFNVYNKKVLNAFPYPWLTSTLSLAAGSLIMLVSWAARIAEAPKTDLEFWKTLFPVALAHTIGHVAATISMSKVAVSFTHIIKSGEPAFSVLVSRFILGEHFPMPVYLSLLPIIGGCALSAATELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILAPFAIAVEGPQMWAAGWQTAISQIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSVGNTMKRISVIVSSIIIFRNPVQPVNALGAAIAVAGTFLYSQVQECCI >KZM85249 pep chromosome:ASM162521v1:8:24094559:24098513:1 gene:DCAR_027329 transcript:KZM85249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPKSHIISTLTGSSKSNQTHVHSHSKTPNSKHRLNFNQTKPPQNPKETPPIDHPVEVIGRIRDHPDQKEKPNSALVIGSESHSVRVRTDIGYRDFTLDGVSLSEEEDLDVFYKKFVKSRINGVKLGDKCTVMMYGPTGSGKSHTMFGSVKQQGIVYKALRDILGGAGDEENDEEKVGFGTFVQVTVLEIYNEEIYDLLSTNGGGGGGFSIGWSKNNASKVKLEVMGKKAKNATFISGNEAGKISKEIQKVEKRRIIKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIEQAGQTGMEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKDMHKTISTLEYGAKAKCIIRGPHTPIKEKLAEDSSSAVILGSRIAAMDEFIYKLQMENKQRERERNEAQKELMKKEEEISALRSKLAVVGDKKSGINEEEINMKVNERTQMLKSELDKKMQECQKMAEALVEMERRKMEERISQQHEELELLRQRLKAFESQLDNPRDRSRSSTDTDGSNFAKKLLSMYADEDPGMEKSMDLDKSMDLDMGKRDGVLQKADNSCIQTILGYPHVKDYSEEDDQDSHALNFSKKPFLSTVYEEDEEDEDDKEKPVDDEVKKEVIEEKIVRPARTPLSIRDLVKEEDHDESVIPFDGLDKSSRLERIQNIFTLCGNYRELYQHSSTPYPTKNSGANSPSSSPVKKVANVPAVNLFSADLVASGKKQNATEKITEENFLDKENCNPLVTPL >KZM85413 pep chromosome:ASM162521v1:8:25553084:25555459:-1 gene:DCAR_027165 transcript:KZM85413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFSSSIVSPPDELVAAGSRTPSPKITSTALLKRFLGSDASAVSMQIGDDAQLAYTHNNQSALRPRSFAVKDEIFCLFEGALDNLGSLKQQYGLGKSANEVVLVIEAYKTLRDRAPYPPNHVVSHLDGNFAFIVFDKSTSTLFVAADQSGKVPLYWGITADGYVAIANDSDLLKGACGKSLASFPQGCFFSTDNGELRSYENPKNKITAVPATEEEIWGAKYMVEGVPVLAAINK >KZM85369 pep chromosome:ASM162521v1:8:25211536:25214044:1 gene:DCAR_027209 transcript:KZM85369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPFLYLVVLLQFFLTSYGLNHPLDPLTPTEINQVRLVIQKSHLAPYFPNITFHFLDLDEPEKHDVLKWMSSRKGNETFPHRRAKVVVRAKGETHELIVDLGTSSLTSDHVYTGHGYPPWTAIELFQAARLPSKYPKFKDSISRRGLNLSEVTCLPFTIGWFGEHVRSRAVKVSCFYRGGTTSIFARPIGGLTILVGMEPLQITQYVDRYRTPLPKAEGTDFRASGQGSTSVPCNATDAGFTINEHEVRWANWKFHVGFNARAGLIISTASILDLETKKFRNVLYRGHVSETFVPYMDPTIEWYYRTFMDIGEFGFGRSASSLIPLTDCPSNAVYMDGYMAGGDGLPQQVPNAICIFQRYAGDIAWRHTEIGVPGKVITSGQPEVTLVVRMVATVGNYDYILDWEFKQNGAIKLNVGLSGVVEMKGVPYTNQNQIFEEIYGNLVADNTIANNHDHFLTYYLDLDIDGSKNSFVKGNLKRMRAKHSPRKSYWRVVTETAKTEAEARINLGSHPTEFAIVNPNKKTKLGNNVGYGLITGQPAASLLSDDDYPQIRAAYTKYQIWVTSYNKSERWAGGFYADRSQGDDGLAAWSSKDRKITNEDIVLWYTIGLHHSPVQEDFPVMSTLNSGFELRPANFFERNPMHKQ >KZM85261 pep chromosome:ASM162521v1:8:24171406:24173057:1 gene:DCAR_027317 transcript:KZM85261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLDQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNYAVMVGGFPRKEGMERKDVMTKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLNVQVSDVKNAIIWGNHSSTQYPDVNHATVGGKPVRELVADDEWLNGEFIKTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCANGEWKIVQGLSIDEFSRKKLDLTAEELSEEKALAYSCLS >KZM84022 pep chromosome:ASM162521v1:8:5565735:5566763:1 gene:DCAR_028556 transcript:KZM84022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTKQPQSCISVAMGKAVELLPPRALEIFVTTEGKNVPHLTIDFILDIKVQSDVRCWCHAKIKEILNGNGWCYTCCLKCARAVHPVEGISYCLACAEPADPVSQRFIIVVSVDDTTGNTSLTLFNKEAEQLAGVPLANILQEDGDMSKIPAAVNNIIRKVCVFQIKVTPHNTSHGCEKFTVIRVMEEPATEASKVGAHTDVEASKLGAHREAEASKTNAHTTTGREVQAAGNLNKSMKIA >KZM86130 pep chromosome:ASM162521v1:8:31620198:31621689:-1 gene:DCAR_026448 transcript:KZM86130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKLRQTSGETLKEFSTGQPSVDEHHYYTKPNYCSRTKIRRENVRRKSFAGLELAGAEDDELGEEPSAFSELFHGFLAIGTLGSDPIIGDPSTPKFSISVDNITEKQSEVTESDLRLINEELEKVLGAEGNDDSSGRNSHASGGRNSHASAARSSHASTITLSGKPLEGTDSSVNGTIICPLQGYLLGTAVATAETTVPKKENRTSLGELFEKTKMPEEYSGIKHDRGEKKADKESDKSAVQLMKKILKKKIIHASSRSWTASSGGSTDSVSADKKLPKILHMFHRKVHPENSVPRGKSDKLQKDDDDLKNDMNEEGDNDANQRLSEEDIVIYPRRGLSKKSHSYPHQGILDSSVNKEHWIKTDTDCMYMLPMQLI >KZM85440 pep chromosome:ASM162521v1:8:25784561:25802777:-1 gene:DCAR_027138 transcript:KZM85440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVDKYRTYVLSLFLVCLALIIWTCNARLSGNERDRQALLAFRAKITHDPQGALGSWNSSLFFCNWEGILCSKVKQRVTSINLSSKGLGGSMSPYIGNMSFLSEIILYNNNLQGTIPQEVGRLFRLKVLSLGRNALEGSIPDTLGLLDRLVSLELFSNKLSGMIPPIFNLSLLNVFNLANNLLQGSIPSDFGFALPNLQKIQLSNNKFTGNIPISLSNASRLQVIDLQFNNFSGPVSIDFGRLLHLQNLVLESNNLGLGGQSDLSFLNSLINCSSLKILKLGGNNFRGSLPHSVANLSNELTMISLADNRISGSIPLEIGKFINLIFLSLEGNRFTGIIPPEIAKLRKLQRVLLSNNRLSGNIPASIGNLSMLDEVHLENNELNGTIPPSFGHCPMLVLLELSQNNLSGSIPSKLFDISPFSLKLNLSQNHLVGSLPAGVGALKTLVELDISENQLSGLIPTQLADCIALNSLNMQGNFIQGNISQSMKKLRGLQYLDLSRNKLSGKIPDFLETLSLKYLNLSRNNLEGEVLKKGVFANASAFSIVGNKGLCGGIPELQLPRCSSHGSHKHKMSWVQVLIVISSIPVLAIGYYIWLRRRKEKPPSFLGSKTYTSPIKLSYKLLHEATEEFSPNNLVSEGNSGLVYKGKISSPPDNETFIAVKVFKPQASNQFITECEALRNIRHRNIIKIKSTCTGFTDINKKFTAIVYDFMEHKSLDRWKHLTSTSSHNEHCMPQILNLGTRINIAIDVANALDYIHNQVDNPLIHCNLKLSNILLDTDMSAHVSNFGMAKFLTDLGSTSQSNFDGFAGTLEYAPPEYYQGSMVSTKGDVYSYGIILLEMLTGKKITDPMFHGSFTLQNFVSNALSERVYDIIDLFILHELNRYDPARAKDCLKMLLDIGVRCAQEFPQFRPDIRDVLSVLETINNIFKASRVDTEAYPQGSFQAAFFMAQRFKRSLLRNRHNFLIEVPFQSPGVENFNRADWTIDRAGQSINPFPEIISDSVTVSYMDLHKATNGFSSTNLVGAGGFGSVYKGMFRQKKFHHLIRHGNIEAGTEAAVAIKMNSSWFTEEEMNNFFNNLSSEKLHTDQMQASEDIIVVKEKNLSSNMEVDGQNVDNEIAAEEIDKLSKDEEEAEMVVQCEPIRSLVVYTPESSQEQQEPNQPTPPASETIATHEKDGKEKLAAAAPSVRKSPRLQPIKEDEEDFSIHIVDPEGGENAEKGPAEKAQRKVRATKNEGVNKRKAVEEKLHTDQMQASEDIIVVKEKNLSSNMEVDGQNVDNEIAAEEIEKLSKDEEEAEMVVQCEPIRSLVVYTPESSQEQQEPNQPTPPASETIATHEKDGKEKLAAAAPSVRKSPRLQPIKEDEEDFSIHIVDPEGGENADKGPAEKAQRKVRATKNEGVNKRKAVEEVPEFETPDESKQNDVNSEQYKGGNSNFTTPKETLKGVGPSRLFSPQDNVDASILSIARDVEQNHNSTEVLTEDEISSRLQHHLSQMEKLKKEFGETLDKGKQLFPESDKMKEYEQRFEEMTTGSIDKGWDFFTYKDWKTFDILMLPENERAFNKMHDIDDFLDDLKLGGQVVDSNIYTKHITRNEPSYAAYGLNNHLKRVLKPTDHQKSPFKIRGIDLNTQRFSKDEEEVWS >KZM85957 pep chromosome:ASM162521v1:8:30272804:30276831:-1 gene:DCAR_026621 transcript:KZM85957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHFNTVVGLIKVLNTCIILFDRLNIIAASAMVMWAFSVYLEAIAILPQLVLVQRTRNNENLIGQYVFLLGAYRAFYILNWIYRYFTEPHFVDWIRWISGLIELSLYAGIFYYYFRSDSCGCPTPCPGGEGCRFDTASSGDIEHKQCSCGSHCACNPCSCSSAVTGGSGKAFCKCGDSCTCATCASVT >KZM84524 pep chromosome:ASM162521v1:8:15786270:15790417:-1 gene:DCAR_028054 transcript:KZM84524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRVLSGSATISGLPRLRPQASRLNATVTFAAATPNTAVSAGGNLLWGRQLRPAVLLETSPARNLKSVLASAASSSDSSGEAMAPVGFFQKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLAVGVVYCLGSWTVGLPKRAPIDADLLKLLIPVAVCHALGHVTSNISFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVLGVSMASLTELSFNWLGFISAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIVCIPPALIIEGPVLLKTGFSDAIAKVGLTKFVTDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTAIGTAIAIAGVAMYSFIKAGIEEKKRQAKAA >KZM84341 pep chromosome:ASM162521v1:8:12754659:12761758:1 gene:DCAR_028365 transcript:KZM84341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFISAPSFSPAISFPPPRLFKPPPLTSLLFHRPPPSLRLRNSSLSHSHSHTTLLFNNHGGGGFFGGGGDDGGSNPDNHGDSDENSPNHNYSIFFICSSIQLASAYAAQAADNNDDQEDDEFMLYQVKGGNRVRLIPDYFKDVFLIPATKFTTSVSLGNVWLQCRSLFMRLMLPEGFPVSVTSDYLDYSLWRSVQGVAAQVSSVLATQALLYAVGLGKGAIPTAAAVNWVLKDGIGYLSKIFLSKFGRHFDVNPKGWRLFADLLENAAYGLEILTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKFVGIMLGIAIANCIQSSTSLSLASFGVITWIHMFCNLKSYQSIQLRTLNPYRASINGENLLSASCFAQTLTVDSQDVKSFPVSVDLVFGEYLLSGLVPSVKEVNAEEPFFPAVPVLNVKSEYKAQPTVLSAEAKLAAADIERRLHLGSKLSGLVRNKEDALALFDLYKEEGYILTEHEGKFCVVLKEISSSHDMLKSLFHVNYLYWLEKNVGIKSLGASDDCRPGGKLQISLEYVQREFNHVKCDGELAGWVTEGLIARPAPHRIRPGYLSSAVKC >KZM83959 pep chromosome:ASM162521v1:8:3994157:3994579:1 gene:DCAR_028619 transcript:KZM83959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRNAPESFGAFTLRKGGIIPGKVGRGKPRPIWVESNPAVHIIRCGCMKTPEFKFAPAPNPRAFGMFGLIEVPMPQFGPNLQFPPPCPLGHLPLPKPLPKSPVGSFVPQVIMTALSFRALLPCGQCHCTSSPIKIVTIH >KZM85021 pep chromosome:ASM162521v1:8:21759583:21761073:1 gene:DCAR_027557 transcript:KZM85021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVLYCKPHFEQLFKESGNYNKNFQSPAKSADKLTPELTRSPSKAAGMFSGTQEKCATCGKTAYPLEKVTVENQSYHKTCFKCSHGGCSITPSTYAALEGILYCKHHFSQLFKEKGSYNHLLKSASIKRPVASVPEA >KZM85135 pep chromosome:ASM162521v1:8:23001510:23002040:1 gene:DCAR_027443 transcript:KZM85135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGSKVMKRRGTQLEIRVRKANHHPLSHPTCQESENIIHLKFVTNTLKNYLHSPTSSLIGEELVTTGTRTLFVDRRDFFQESNELKSYLTISESALEPLNVKADIVHDKIIRQVMRCGERMNKKKDFKGLVVAMTFIKTFVGDRASVHEALMELDQQRDEYLRRRGGFTVVHVC >KZM83866 pep chromosome:ASM162521v1:8:2416236:2426997:1 gene:DCAR_028712 transcript:KZM83866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFCSATSLISPPIISKSSAPSVSSSCSSPVLSFPARRPANCAGRGRVNVRRPAAMIRMGEEEKLTRRNPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPPPMPYDPPEEDEEEEEKKEEEEDPEKEEGENPESIGDYGGSSGVFLDDSSSESSDGDLELYKNIRARFSGGKDDQEPLNLKPICVLPPVSLDSDDDDCDGDFEILRDIQRRFSDDCKENCDGSVVTDLDKESLDCNVLDSGVCGQEIEADLVEPEVIDDCSPGREEETGLPKSAQAFLDAIKKNRLCQKYLRSKLMLVESRIEENVKLRNRVKALKGLQFACRRRTGLEVSQKKDALLQLVQGTKLRVNAKVNEKSTRVMRFAPCENDHVMNYKAMVEKFWESVNRNKWTKQDDVNLVKGIKQQFQDMLLQKTYENAEGFSDSNEFDSIVASISDHDITDENLNEFLPKVNWEQLASMYTKGRSGTECEARWLNCTNPLINKNSWTKSEEKKLLYIIQHKGISNWIDIASSLRTNRTPFQCLAHYQRSLNPSLLKQNWTEDEDEKLRAAVATYGESSWESVASVFEGRTGTQCSNRWTKALHPTRKRIGKWIPNEDKRLKVAVTFFGAKSWRKVAHYVPGRDHVQYYWTSEEDQRLEEAYEEFGPSWNKIASYVTQRTDNQCLRRWKVLFPEEFPKLQVARKIKKIALPSNFVDREARRPALGPSDFVHLQLLDSALEAKKTDSSKKRKRKSRQPVSSAKRTDSCERKSRKTFSSANNYVSKLRPAKQKKDAQQSCEGDKPPIPEVHPASKKSVWSRKKKTRSESSESFAAFSNSESKRKPCENESIDIKHKKVRNRMGIDATKKRKTLIPSLEKNILTEKVKEVQVHTESHETDVLSEHLDISIFGDCLQSPSRSPERMLLNGDVAEPFFRDNNDERPLRCSTPEILENLNPRASTVCRKRQRRLNSKQMRNVGYRDDMTVSSVSNQLRRSQRIEKLKLRPNKCYKYQSGKYDHMDYLTKTRICLCKGLSDVSEQTDEKFGDVKGEEGENAMKRTLSRSEEKVEDGIGTESNLYSCSDLFISTGLLQ >KZM83714 pep chromosome:ASM162521v1:8:725061:729398:-1 gene:DCAR_028864 transcript:KZM83714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEREEVELDLSSPEVVTKYKLAAETVNKALQLVLSECRPNAKIVDICEKGDSYIRTQTSNMYKNVKKKVEKGIAFPTCLSVNNTVCHFSPLASDETVLEEGDVLKIDMGCHIDGFIAVVAHTHVLQQGKVTGRVADVLAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKVVEGVLSHQLKQFVIDGNKVVLSVPNADTRVDEAEFEENEVYAVDIVASSGDGKPKMLDEKQTTVYKRAVDQNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARFGLVECVNHDLLQPYPVLHEKPGTIVAHIKFTVLLMPNGSDRVTSHPVQELEPTKTIDDPEIKAWLALGTKTKKKGGGKKKKGKKDKVEGAAEEPMDVTNGGAQE >KZM84258 pep chromosome:ASM162521v1:8:11149405:11149644:-1 gene:DCAR_028448 transcript:KZM84258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPVMGFPAYQTAPPPPPMYYQQPPQQQAAGGIYNSRFPPNAIFGDPKGIPIHQTIFRDTPAPFQCPHCSNSALTDIR >KZM84051 pep chromosome:ASM162521v1:8:6163760:6167727:-1 gene:DCAR_028527 transcript:KZM84051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPVLTRVHSLRERMDSTLANHRNEILMFLSRIESHGKGILKPHQLLAEYEAISKEDKLKLDDGHGAFAEVIKSTQEAIVSPPWVALAIRLRPGVWEYVRVNVHHLVVEELSVPQYLQFKEELVIGSSDANFVLELDFAPFTASFPRPTLSKHLSAKMFHGKDSMHPLLEFLRLHNYNGKTLMLNNRVQNVNGLQSMLRKAGDYLSTLPSDTPYSEFEHKFQEIGFERGWGDTAERVTEMFHMLLDLLEAPDASTLETFLGKIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMIKRIKEQGLDIKPRILIVTRLLPDAVGTTCNQRLEKVFGAEHAHILRVPFRTEKGILRKWISRFEVWPYIETFTEDVAKEIALELQAKPDLIIGNYSEGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWEKFDKKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTMPGLYRVVHGIDVFDPKFNIVSPGADTSVYFSYKEKEKRLTTLHPEIEELLYSSVENEEHLCIIKDKNKPILFTMARLDNVKNLTGFVEWYAKSPKLRELVNLVVVGGDRRKESKDLEEQAQMKKMYELIDTYKLNGQFRWISSQMNRVRNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIVHGKSGFHIDPYHGEQVAELLVNFFEKCKTDPSQWDAISAGGLKRIQEKYTWQIYSERLLTLAGVYGFWKHVSKLDRLEIRRYLEMFYALKYRKLAESVPLAKDE >KZM84043 pep chromosome:ASM162521v1:8:5827970:5829832:1 gene:DCAR_028535 transcript:KZM84043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDMFKRELEEHALRKKARKSLIIISVSVILLVIIIIAALVGGLKRNDNSNKSPPSSSSTPTTESIKAICEVTLYPDSCSSSISSLKSATNSTSNNPEDLFVLSLQAAVNALVEFSSFTQMLADSNSINSPLSKNALSSCESIISDAIDYVNMSVVRFAETENKLSPSTSTINDIRTWLSAAITYQETCIDGLQEFSQGNMKLTEEVRTAMRNSTEFTSNSLAIVTKILSKLRFPMNRKLLNSEVDGSPSWFYPNRRLQDIEKNLPKPNVTVAKDGSGDFETIGEAVKNIPERSRYRFVIYIKEGVYVENATIGKRQWNVTMYGDGIGKTIITNNLNVVDLTPTFISGTLIAEGRGFVAMHMTFRNTAGPEKGQAVAMRSSSDHSIFYKCSFEAYQDTLYVHANRQYYRDCQITGTVDFIFGNAAVVLQNCTIQPREPLPKQFIAITAQSKSDPNQNTGICIQGCDLSTTFNNQNVTADTYLGRPWKDYSTTVVMHSKIGPLLNPQGWTEWVRDITPPETIFYGEYQNSGLGSLLDQRVEWDGYKRNLTDQQASKFTVEGLIQGSEWLVDHARLYNYDLNM >KZM85143 pep chromosome:ASM162521v1:8:23075301:23079948:1 gene:DCAR_027435 transcript:KZM85143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKELKSQMVPEWQEAYMDYEFLKNLLKDILHHKKDTTPAPNSDHPSGLTRTLSHYRAFSGLTSVQRSLSMRSTTSSHHEDIENHPIVVNNVKGLDGEDGYVTTYRGAGEDDGEQELIFFNRLDIEFNKVNKFYRAKVEEVMKQADELDKQMNALIAFRIKVDNPQHAWFETAVDIDKFASTTSLSTSNSSSGRGPSKRILPEQMDKIEEDRSLRGLRSDDSDDLSSRDHRISDEIRHSSGRVVPEVTMSKSSRSSTDSDSVSGRPPSLEILKRVTVNKAADTPLSTIKNVLNVPVDTNLKFTKENLSKVEDQLKKAFAEFYHKLRHLKSYSFLNILAFSKIMKKHDKITSRNASKSYLKMVDNSYIGSSDEGSDLKKYICLRFLAGCTVALLFALVMSVRTRKIVEKDGHGQYMETMFPLYSFFGFIVLHMLFYAGNIYFWKKYKINYQFIFGFKAGTELGYREVLLLSFGLSVLALASIHANLDMDIDPKTNDYQQFTELIPLILVILIFLIMICPFNIIYRSSRYFFLTCSIHTFFSLLYKCVRRFFEEKDAIQGWNSLKYFAIVVSFATRTAYGKNNSNECLRSRALVGIQSICVQRVSSKMHCCHLFNCLVCAEMHLTFPLIITKFTKPRKTSEGLGN >KZM84184 pep chromosome:ASM162521v1:8:9915489:9916195:-1 gene:DCAR_028269 transcript:KZM84184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRKSCIFLLMATLQIISWFIAAAQPFSPLDHRALPLQKQGTSHSIHSAWHSKQFEGMKMEKVEEEKAYKLGRSRLGSRPPNCDHRCGECSPCIAIQVPTNTQQLHLHFANYEPEGWKCKCGSVFFTP >KZM85225 pep chromosome:ASM162521v1:8:23912782:23919959:1 gene:DCAR_027353 transcript:KZM85225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAIASSSSSFINQRRNDVGLSSFSPNTSFYLSNAKKKMVSKNIVSVMAPQSQRTPSTTGSVKTGMTMTEKILARASEKPQLSPGENVWVNVDILMTHDVCGPGSIGIFKKEFGQNAKVWDREKLVIIPDHYIFTKDERANRNVDILRDFCNEQNIKYFYDINDLGNFKAGTFFPHHDLTPHANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVMDGEMPDYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLSMEERMTLCNMVVEAGGKNGVIPADATTYKYLEDKTSLPYEALYSDEKARFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEAQASGTFLQIRQEINTSSSLVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLQ >KZM83924 pep chromosome:ASM162521v1:8:3416380:3417848:1 gene:DCAR_028654 transcript:KZM83924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWLKGLFGMKKDKDPAENSTSGDRKNKNGQNVGHSGRGSTGLLHNHATIPPNITPAEVSWLKSNFFNDSDSEQTKHAIAVAAATAAAADAAVAAAQAAAEVVRLTSRANMLGGGRERTAAIKIQSLFRGYLSRKALRALRGLVKLQALVRGFLVRKQATATLHSMQALIRAQATVRAQRGSAFIRKDSERFQSLFRARKSIEKCDETRSEHATSVHSRRLSGTFDNTIDESPKIVEVDTGRPKSRSRRSNTWVSDCIDDPHSQTLSSPLPCRVPSRIWIPDCRNCQESDWGLTGDECRFSTAQSTPRFANSAGSNAPLTPSKSVFLDGFFRQCTNSPSYMASTQSFKAKSRSQSAPKQRPEAGQGSRKKLSLHDMMESRSSLSGVKMQRSCSQVQDVVTFKNAIMGKLDKSADLSKF >KZM84191 pep chromosome:ASM162521v1:8:10015268:10021477:1 gene:DCAR_028262 transcript:KZM84191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPCSHSSYILHTYSPLRSHRPQFLQLPTRTISVCLLTTSSHGHLSPPSVELRKPLLHLLGPPVVFLLGLAISTRSASASTPISPNHAPYPQHQTIQANNDVDVVFEDEETRAAFESWKSRTYSLTVPLRIVALRSSVPPLWIKNFMQAQGKRAKLRMEFRGSINEIFSELSMAFSKRDIAPKSAAAADIVALGDSWLSLAISKALIEPIPKIEDQEWFSGLSEKWKAYLRRNGEGKLDNEGKIWAVPYRWGSMVIAFKRSKFQKNNLAPIEDWADLWRPELSGKISMVDSPREVIGAVLKYMGASYNTSNFDTEVVGGRNAVLQKLSLLEKQVRLFDSMHYIKAFEVGDVWVTVGWSSDIVPAAKRMSDVAVIVPKSGASIWSDVWAIPAASEIKNEKIGGRVRGPSPLVHQWLEFCLQSERALPFKEEVVPGASPSALEGTPVESTVELHKNKPKLDSNLIGGAPPEEILKRCEFLEPLSDATLSDYQWLIDHMQKPNHGLVEKIQHYVSGIFHRFQQKSS >KZM85076 pep chromosome:ASM162521v1:8:22473452:22475267:-1 gene:DCAR_027502 transcript:KZM85076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWYLLLIVSFIFLINSSSAARVSINVQRLGARADGKTDVSKILLRAWNSACASRNPAQVYLPRGRYLIRSPIVFSGRNCRKSMAMRIDGTIVASTNYNLIGSAENWIKFERVNGLTVSGGTIDAKGTGLWNCKKSGKRCPNGATTLGFYSSTNVVVSGLTLVNSQMFHMIIYKCNNANIRGIKISARASSPNTDGIHVQLSSGTNIVNSRISTGDDCVSIGPGTTNTWIENVSCGPGHGISIGSLGWDAQEPGVQNLTVKSVTFRNTDNGVRIKTWARSSTGFVRNVLFQHIVMNNVRNPVLIDQDYCPNARNCPSKVSGVRISNVRYQDIRGSSATPVAVQLQCRSASGVMKPSSCL >KZM85062 pep chromosome:ASM162521v1:8:22337204:22346094:-1 gene:DCAR_027516 transcript:KZM85062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMNKPLSFTYNTKSDLILQRNNFKPKLLNVNLKKPSVSPIVCKAVTASPDSQLKALNIADDVTQLIGKTPMVYLNSIAKGSGANIAAKLEIMEPCCSVKDRIGYSMIVDAEQKGLITPGKSILVEPTSGNTGIGLAFIAASRGYKLILTMPASMSLERRVLLKAFGAELILTDAAKGMKGALSKAEEILNSTPGAYMLQQFDNPANPKIHYETTGPEIWEDTNGKVDIFVAGIGTGGTITGVGRFLKQQNPSIKVVGIEPTESNILSGGKPGPHKIQGIGAGFVPGNLDQDLIDEIIQIHFWKELFKEKAILSLATSLSSDLLLSYSINSIYWFNHQGKRARVHIDGKVWHLDVGSSPPGAVVCSKGWAVPLGVILHLGE >KZM85083 pep chromosome:ASM162521v1:8:22560705:22566866:-1 gene:DCAR_027495 transcript:KZM85083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDRAEASDPSLSRNLSSSRLNAKAAEFVPRSAEFVYHKAPGSGYFPAQAHVRGNQRGFTQQYVPVVQYHQPQQAPQPLVQAVSAKKSAGDGTKTEITDEATQKLINQVEYYFSDVNLATTDHLMRFINKDPDGYVPLSVVFSFKKIKAFVSSTTQLAGILRNSTKLVVSEDGKKVRRLLPLTDSDMEELQSRIVIAENLPEDHCHQNLMKIFSAVGSVKSIRTCQPQPSNGGSSSGSKVAKADGVNYYSSKVTELNEEGTWRNGLKVRLLNKPAATSAHVRGKKVGQGGELQRKEADTSTREEDPNEKHIEDPSQMYDGQSAQVNEQKIKALAVVYYPSGEIKQGGLIAVDGETNEEQDGVFNAYNYVWQGEEHASEKEGGRGKGRGRGRGKGRGRPQHHNHNQNHRGNHVGGSSSVHPVNSEHPTVFKPPPGPRMPDGTRGFSMGRGKPVVV >KZM85176 pep chromosome:ASM162521v1:8:23459234:23459425:1 gene:DCAR_027402 transcript:KZM85176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSVVCDNPLDVSITVRKGLISNPNLNFYRLLPYSQIFDKERMICTGMLWFVTVCNLLIMI >KZM85719 pep chromosome:ASM162521v1:8:28091844:28095283:-1 gene:DCAR_026859 transcript:KZM85719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKIGTSSSYFVLNTGAKIPAIGLGTWQSGGDLCVEAVKTALSVGYRHIDCAHLYGNEVEVGEALAEAFKGSLKREDLFLTSKLYCTNSINKIEKAVRVSLKNLGVSYLDLYLMHWPESFAFGDATDPPAQSGSEYRQFLNRLKSTWKAMEDLIQLGLVRAIGVSNFSLQQMKELLKFAKIVPAVNQMELHPFWRQEELVKFCQSKGIHVSAHTPLGVPATSPTLSESGSGEEDEPGTPRLCFRRSRSVHGPMLKLSVVAEIADKHKKTPEQIILRWGLQRGTSVLPCSVKPDRIRKNIDIFSWSLSDSEWNRLNQIEPQVCLFGNGFVTNFSDSAFVHGSGPLQAVNEMDDDAESILDI >KZM85095 pep chromosome:ASM162521v1:8:22688070:22689479:1 gene:DCAR_027483 transcript:KZM85095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLSQNLSLFSFQKQQNLAPTQFIWPKEDLIQAQEELNAPVIDLAGFLSNDKKVIDATIELLRAACMEHGFFQVINHGVDEAVIQAAHDEIDTLFDLPTEEKLRLRRQRGSVWGYSGAHADRFAKNLPWKETYSFRFDHASKPEEKIVVDYFDSVLGDSFKKTGMVYQIYCEQMNKLAMTIFKLLETSLGVEAEEYYSKLFKEGTSIMRCNYYPQCEQPELVLGTGPHADPTSITILHQDQVGGLEVFSDNKWQSVRPCPNALVINIGDTLMALSNGKYKSCVHRAMVNSERTRRSMVYFVNPKEDLVLRAPEKIVEADGVERKYPDFKWADLYEFTQRHHRVDGATLENFFRWLHPSPSN >KZM85108 pep chromosome:ASM162521v1:8:22795492:22796019:1 gene:DCAR_027470 transcript:KZM85108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTSSPKPLLTLFFSVRTIATESTQLTPTPSLGRVNPEHLLRVCTILYQQQNSPDPKLHSSLKASNFHLTHEFFLQAKNVDALWDLIREMGRRRLVNDQTYRIALKALASSRELKRCVEFIHEGDILLSKSAL >KZM84392 pep chromosome:ASM162521v1:8:13839568:13841630:1 gene:DCAR_028186 transcript:KZM84392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGREFFVTPSDSVAIIAANAQSAIPYFQSGPKGLARSMPTSGALDRVAQKLNLPFYEVPTGWKFFGNLMDAGVLSICGEESFGTGSDHIREKDGIWAVLAWLSILAYRNKDKKSGDRLISVSDVVKEHWATYGRNYFSRYDYEECESEGANKMVEYLRDLISKSKEGDIYGDYVLQFADDFTYTDPVDGSVVSKQGVRFVFTDGSRIIFRLSGTGSAGATVRVYIEQYEPDVSKHDMDAQIALKPLIDLALSVSKLKDFTGREKPTVIT >KZM85396 pep chromosome:ASM162521v1:8:25450978:25456266:-1 gene:DCAR_027182 transcript:KZM85396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSAAPRQLTPPLQPNPIDTNIKTKLLKNNITPTPKITHTLRKKHLHKTLRKAQKNPPISPQPIDQELAHQAHFNAIKNEYRSFRKAISRKRVEEGVRVCGKPWEGLERIELRELSSFGDGEVGDKLKFEHLRELGDIIEVERDKFRWLLDDDVEFEEGKGGLFDGSKGKGVRPKRPDSEAISFLVDKLSDTKLTVKDWKFGKMMKQSGLLFTEVQLVKILEMLGDRGQSSHALSVVEWVYNSKDHKQYKSRYVYTKLLAVLGKARRPDDALQIFSLMCGDYYIYPDMAAYHSIAVTLGQAGYLKELVSIVEHMKQKPSRKIKNMRWKNWDPVKQPDVVVYNAILNACVTSRQWKGVSWVFEQMRKGGLKPNGATFGLAMEVMLQSKKYDLVHEYFWKMKRSGEAIKALTAFKEEGKVNEAVQAVREMEQRGLVGTALVYYELACCLCTNGRWLEAMEEIEKLKKLPRTKSLEVTFTGMILAAKDGGYIDHCICIFERSKDHCDPGIGIINAMLKIYGQNDMFFEARELFEHLKRTAPGPDTCQSNHSSSLNPDMYTYFAMLEASAAALQWEYFENVYKEMVLSGYQLDQNKHAHLLVKASRYGKCYVLEHAFDTILGAGEIPHLSFFTEMVCQASSQRDYGRAVAIVNTLAYAPFQVSEMQWKRLIEDNAERINEDILKELFDSLCHHELSTEVSVSNLIRVLQSLCGLTKTASNLNCIHQGEETRTDSLSEDYSKKFEVDSDVERLTVSANATRLSTCDDLLVNENAVTSNVPGDNIEEFTNLELVSEHKNVGSQWNEMDKHNIIDGFSSDFAYKESVGKRLDINSEDLGDSDLYHMLDHNFPATEVGDSHRSNAPSANEILKNWNESRKKDGILFSFQLFDQM >KZM84197 pep chromosome:ASM162521v1:8:10090134:10095020:1 gene:DCAR_028256 transcript:KZM84197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSPRAPHDKGEGTSVVTYVRRTSSGRNVNYSRDDLDSEISGEFNNYTVHIPPTPDNQPMDPSITQRVEEQYVTSSIFTGGFNSVTRAHLMDKVIESETNHPQMAGTKGSMCAIPGCDGKVMSDERGEDILPCECDFKICRDCYIDAVKSGGNVCPGCKELYKSTDLHEDVMENGRAMLQLPFNVESKLERRLSSKMDRRLSLVRSTSNSALVRSQTGDFDHTKWLFETGGTYGYGNAMWSKDGLNNEKHDDASEPKEFLSKPWRPLTRKLKIPAAVISPYRLLIFVRMVVLALFLQWRITNPNEDARWLWLMSIICELWFAFSWLLDQLPKLSPVNRSTDLNVLKEKFESPSPGNPTGRSDLPGVDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGSLLTFEAMAEAASFADLWVPFCRKHDIEPRNPESYFSLKRDPLKNKVRQDFVRDRRRVKREYDEFKVRINGLPDVIRRRSDAYNAREEMKAVKLQKERVGEEMPETLKIPKATWMADATHWPGTWMASNPDHSKGDHVGIIQVMLKPPSDESLHGTDDDANPINFTEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSQAIREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKEYNSGFWSCFSGRKKRSASVSSAPEINGSLRMGDLDDEEEMALPLFPKKFGNSSFLLESIPVAEFQGRPLADHPSVKHGRPPGALTVPRELLDASAVAEAISVVSCWFEDKTEWGGRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASSKMKLLQRIAYLNVGIYPFTSFFLIVYCFLPALSLLSGEFIVQSLNITFLVYLLVITITLCMLAILEIKWSGIDLEEWWRNEQFWLIGGTSAHFAAVLQGLLKVIAGIEISFTLTSKSSGDENDEDFADLYIIKWSSLMIPPITIIFINMIAIAVGVSRTIYSTIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIAFVWSGLIAITISLLWVAIDPPSGSTEIGGSFQFP >KZM84577 pep chromosome:ASM162521v1:8:16404521:16409194:-1 gene:DCAR_028001 transcript:KZM84577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCSQWHAHIQSERNHFIHSDLPLFVMDSYEECRGPPRLHLLDKFDTGGPGSCLKRYSDPNFFKRASAGYDDAYAEKLTRDKKARRRKKGKQKKRTWIQNVDGPPHVNHPTSSHSGRMHYASQSLEETSPFQTFSTYDAASKLEMGNHSKSFDSRTVSGYEECVFSPKFDGHEFEDISSPLTMNHSDPIDTSSPYEQSRAVADSIQEPTGARSSGVSWDEKVEIVEPTGQHYRYEETPDVPPNFDPDSLGEATNFKSEYCSNDSTPKSVPGINQLDDIDSETDNYMDALNTIESEPETDLECQTKRELEQFSALSIMAVDESINGLKPQHMNSASSNVDSSFPSQFSTNVASSEDNHNSIPLESDCFVSEKTSIVNPSSSTSECYVKVQSPCDTGKSSVPDSLPSSDLIEGDDINCPNTETVVRNLSSSDSTKPVVQPLKGDMVLSSSIKSEKSPSLPSGVAGINFWTNGGLLGLQPSKPPDFSALDSVHDDSVVPSTQSNIVDGEANASETSIFQNLKLSKQVRSAKLTSFHNDQEDSISIMKTSLRSSQPHSNSMHEFTTTLHNSFSSSQSNNLLTSETGTMVLGTKKQDYLDVEAAKSLESSSRIFEVGNNIFSNGQGKASSFSDDNRLSSSTTGISERQSMNQAFQNFSGRAFIEQFGSGYPFISPSSSPPLENMKISFQPIEGFEMSKLKLKFPDGSGCHESSRDMFPSFQLVPEESISLQDVGSDSDDDTFCESSPHGSEDCLGRYSESDSEEWETRESTRCNDDAIYDAFCENSTESVSSNLDIGKIDDGLVTNISGQNLSNETVMEHTQTDLPSFEILNNSFRKDLHDDFEMMSELDSEFSKDPTPPPPLPPVEWRGMKPNPDMSSEKQVPSFQGPTHEYYNKLSTSTISQQPKPAPFNHENFEAGVCTTNSKHQDGQKLNVQKVANQSINSKVVDEKEDFLQQIRTKSFSLRPTITERPNLAANVPAKVSVTAILEKANAIRQAVASDDDSWSED >KZM84023 pep chromosome:ASM162521v1:8:5587212:5587538:-1 gene:DCAR_028555 transcript:KZM84023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLLTGTDKTTSDTFHFKPQSIKAFGSTVLVEGCDQDRSISWVHAWTVTDGIITQVREYFNTSLTVTRFGNGSDFSSKVTSLHCPSLWESSVSNRVGKSVPGLVLAI >KZM85980 pep chromosome:ASM162521v1:8:30423115:30435480:-1 gene:DCAR_026598 transcript:KZM85980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKLWLGFVKLVLYSWWRRAKNSIKSCAKQCVLFCNTKRVTLDSDSAAPPKQAWRRKLNSHASILKEFSVTFMEAIKMVRLGIRLWSYVREEASQGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFKNFQIVPGTCDTSPIMANQFSIFISREGGSKKYASVLSPGKHENLGESSYEGLSSWGWNLTGQHSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQDSSLPTTVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFITSKGNHPVTFAVAACETQNVSVTVLPSFGLSEGSYPTAKAMWGKMAQDGYFDRDGFDAGPSMPSSPGETRCAAISASAWIEPHGKCTMAFALAWSSPKVKFNKGKSYHRRYTRYYGTSERAASNLVHDALTNYKRWEEDIERWQNPILNDDRLPEWYKFTLFNELYFLVSGGTVWIDSALPDAHFSSNHPQTRKTMKYRSDAVVENIKSSGSDSNVENGSTGDVESSHTGFSDEDGSVISQGEQKDNYIQYPSHENNSDDVGKFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELSIQREFAKAVLFEDKRKVKFLSEGNWGIRKVKGAIPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDLSFGTDVWPAVCAAMEYMEQFDRDNDCLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQATAAMALQLGDNISAERYKRKFLKAKSVLEAKLWNGSYFNYDSGSSGNSKSIQADQLAGQWYTASSALPNLFDDLKIQSSLQKIYDFNVMKVRGGRMGAVNGMHPNGKVDDCCMQSREVWTGVTYAVAATMIYAGMEEQAFTTAEGIFYAGWSEDGFGYAFQTPEGWTMDGHFRSLTYMRPLSIWGMQWALSLPKVILDAPRVNIMDRIQLSPQSARSHHAENGVRKIAEKAKCFSNSMFRCAC >KZM83944 pep chromosome:ASM162521v1:8:3810651:3813608:-1 gene:DCAR_028634 transcript:KZM83944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLRLEDPLLVTSGNSSTTRWLRALCFFLALTAITFAGLTLSGYLEFGIVRGGGNYGNEGLSFGNEEVVKSDKAVVAADDARCSETGVSVLGQGGHAVDAAVATALCLGVVNAMASGIGGGGFMVVRSSATSRTEAINMRETAPLASSQTMYDNNPETKYKGALSMGVPGELAGLYEAWSRHGRLPWKTLFQPAIKLARDGFVIAPYLGNYIADYSDSIMRDPGLRQVYAPGGKLLKAGEKCYNVELGHSLEAIGELGPKAFYDGSIGERFVQDVRKAGGILTMEDLRSYRVDVTEAVSVNAMGYTILGMPSPSSGTLGISLVLNILESYGSTYAAMGSLGLHRLIEALKHMFAVRMDLGDPNFVNITKTVADMLSPAFAKRIQQRIFDNTTFPSEYYLHRWSQLRDHGTSHFCIVDADRNAVSLTTTVNYPFGAKVLSPSTGIVLNNEMDDFSTPAEVSPDQLPPAPANFIEPKKRPLSSMTPVVVLRDNQLVGVIGGSGGLYIIPAILQVFLNHFALGMEPLSAVQGPRVYHKLIPNVVLYEDWTCLDGEHIEVVPEVRRFLEERGHELEAKSGGAISQLIVQDLRNAIPMDRENGKGTSDQVLCGILTGVSDPRKDGKPAAMR >KZM84618 pep chromosome:ASM162521v1:8:16957313:16964866:-1 gene:DCAR_027960 transcript:KZM84618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNESGENRVRRGDEKVAFYKLFVFADRLDVLLMITGVIGAVANGLTQPLMLVVMSQLINTFGKTNGDDITREISKVCLLYVYLAIGAGIASVLQVSCWMVSGERQAARIRGLYLKTILRQDIAFFDTETTTGEVIGRMSGDTILIQDAMGEKVGKFIQLMSTFMGGFVIAFVRGWLLALVLTSCIPAVLIAGGFMGLIMAKMSSRSQVAYAEAGNVVEQTIGAIRTVVSFTGEKNAMEKYDSKILIAYATAKHNGIASGLGIGAVILSVFSTYGLAIWYGSKLIIEKGYDGGKVMNVIMSIITGGISLSQTLPSLSAFSAGQAAAYKMFETINRKPNIDAYDTSGVVLSDIKGAIELKDVYFRYPARPDVQIFSGLSLSISSGVTAALVGQSGSGKSTVISLLERFYDPDSGQVLIDGVDLKRFQLKWIRGNMGLVSQEPVLFATTIKSNIAYGKENATEEEIRMAIELANAATFIDKLPEGLDTMVGEHGTQMSGGQKQRIAIARAILKNPKIILLDEATSALDAESESVVQNALEKVMKNRTTVVVAHRLTTIRNADMIAVVHAGKLVEKGTHDELIKDPEGAYTQLIRMQGGGTNQGEATRDVEAEEDDKNISSSSSQGFSTMRSLSRGSSRSSYLASVPGFNHIDESLLGDGENSTTRRENNETDKQKKEDFIWWRLAYLNKPELSVLILGSVAACINGVVFPLFGLLISKAIKIFFEPHAKLRKDSRFWALMYVGLGCVTIVVIPVQNYFFGVAGGKLIQRIRSLSFQKIVHQEISWFDDPANSSGAVGARLSTDATTVRSLVGDALALIVQNMATIVAGVVISFTANWMLALIIVAVLPLMLLQGLLQTKFNKGFSADAKVMYEQASQVANDAVGSIRTVASFCAEDKVMAMYQKKCDGPMKSGIRLGIVSGVSFGMGSSVIFLVNSFIFYIGSVLEKHGKATFAEIFRVFFALTMTAIGVSQTIGMAPDLEKVKNSAASIFKILDSKPKIDSSNDEGLMIDTVKGDVELRNVSFKYPTRPNIPIFVDLCLIIPSGKTVALVGESGSGKSTVISLIERFYDPDGGHIYLDGVELKTLKLNWLRQQIGLVSQEPVLFNETIRENIAYGKNGEATEEEIIAATRSSNAHDFISALPQGYDTNVGERGVQLSGGQKQRIAIARAIIKDPKILLLDEATSALDTESERIVQDALDRVMVNRTTVVVAHRLGTIKGADIIAVVKNGVIAERGNHNALVSIPNGVYASLVALHMTST >KZM85382 pep chromosome:ASM162521v1:8:25271349:25272115:-1 gene:DCAR_027196 transcript:KZM85382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPRKRRRPRIAVTEEVAEARRLATQQRNARRQRYLGSIILSESKSVDLAAQVIDMANIGKRAIRYLHKLSAANIRADLIENG >KZM85562 pep chromosome:ASM162521v1:8:26776806:26777312:-1 gene:DCAR_027016 transcript:KZM85562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKKPLISKNHERAFFDSADWALCKQAAGVDPISSVYVENLQPKLQRTPHQQLPPRRPACSSGRDGLISSST >KZM85622 pep chromosome:ASM162521v1:8:27278728:27288325:1 gene:DCAR_026956 transcript:KZM85622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAEMTRADEVELQWAAIERLPTMKRVRMSLFDAQEGDDEGKTNEKVMVDVAKLSAVEKHVFIGKLISNVQEDNARLLLKMKQRMDRVGLTLPAVEVRFRNVNVEARCEVVHGKPLPTLWNSFKSIFPVVRLPWCKSQVAQIHIIKGVSGNIRPSSDKYLCSFRMTLLLGPPGCGKSTLLKALAGKLDKSLKLTLTNQPLSAELLAEVSRREKQAGFIPEAEVDAYMKAISINGLEKTLQTDYILKILGLETCADTFVGSAMRRGISGGQKRRLATGEMIVSTRRVLFMDEISNGLDSSTTFQIVTCLQQLVHITDATALISLLQPAPETFDLFDDILLLAEGKIVYHGARADVLKFFENFGFRCPERKGVSDYLQEVTSRKDQGQYWFKSEIPYRYVTVDEFSEKSEMWHLDGKIWSDNELPRTAKDFQCDENLPQKDKNNKYSMTKWQLFKACMDREVLLTRRNLSTNIIKSMQLLVIAFIVMTTYIRTMMDIDSKHANYYKGCMFFGIMRITTTGIVEMLMTISRLAVFYKERDFSFYPAWAYAIPASLIKIPLSLLDSFLWTAPTYYVVGFSPGIDSVLKLVSYDCINISKRGHINFLHQLVHTVNDIFYLIESIPVWLRWGFWLSPVSYAEIGLSLNEFQSPRWGKVTSGNLTIGEQVLSKSGLNFKSYYYWISVGILFGFTLVFNIGFILALTYLKSLGTARAIISYDKFRELQQDQDCKDEAKRDNKIHMSPQQHSMENTDTVMPEIQEKKKMVLPFEPLTLTFENVQYFVDTPLEMRKKGFKDKRLQLIHDMTGVFRPGILTALMGVTGAGKTTLMDVLSGRKDSGHTEGDIRIGGSPRVQQTFARVSGYCEQSDIHSPNITVEESVAFSAFLRLSSEIDAKTRAEFVRDVLERVELDGIRNHLVGVPGRSGLSNEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVKNVADTGRTVVCTIHQPSIDIFEAFDELILMKKGGEIIYSGPLGQHSCNLIDYFKDLSNILSSIFISLQILGVTNCSSIIPVVVTERTVLYREMYSGMYSSWAYSLAQVTIEFPYILAQTLTFVVITYPAGMLMVSISPDGTVASTLAGTSYALLNLFSGFLIPRPAIPKWWIWLYWITPSSWMLYGAITSQYGDNTEEITSFGKTEEVKSFLEDYYGYRYDFLGVVAVVVATFPILAAFLFAYFISKLNFQRRFTKLKEMKLVKSNERATEKENNTAPSCSVSNKRMRAVKTREHIHGNITCRLP >KZM85482 pep chromosome:ASM162521v1:8:26129330:26139713:-1 gene:DCAR_027096 transcript:KZM85482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPKDQMSTLLDLGLYSSAEMLGSFLVSSSSVNAETSPHLKAENLTLLGDALFREKEYKRAIMLSPSLRLPELEGWHTYKQSLQYYKNFPKQIATRTSLSAVNRSSSPNSYNIPPINENEVKFKVASCYAALSENRAALAEMEGIPSKARNLQMNLLMGKLYRHSRQTRPSITCYRECLRHYPCTIEAIIALAELGVAAKDIILLFPQTPSRSGKPPSDHLDLSRWLQRYAEAQCCIASNDYKGGLELFTELLQRFPNNIHILLEIAKVEAIIGKNDEAMINFEKVRSIDPYVITYMDEYAMLLKLKSDNSKLNKLVHDLLNIDPTRPEVFVALSVLWERKDERGALSYAEKSIRIDDRHIPGYIMKGNLYLSMNRPEIAVVAFRCAQELRADLRSYQGLVRCYLANSKVKEALHAAREAMKAMPQSAKALKLVGDVHASNSSGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVLLLERYLKDWADDSLHVKLAQVFAATNLLQEALTHYEAALRICPQNEAAKKGLDRLEKLMKGVDPDAPEEDEENEVDDADGDADEADLL >KZM85916 pep chromosome:ASM162521v1:8:29903727:29904074:-1 gene:DCAR_026662 transcript:KZM85916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYMSVPFTAKKLVISIALILLIVSPVSESVSSQKFKLPTCYGKCADLPTDCDAFCRAKMAKGGVCFPPSKFCCCFY >KZM84840 pep chromosome:ASM162521v1:8:19979259:19979501:1 gene:DCAR_027738 transcript:KZM84840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCLLCFSAIPEHEVIKKRLMVYWWIGEGFIFPNKLEKSETPGDKKRDLEQLGAKVFDDLLKQQFLYTADGKHSQEVNI >KZM84607 pep chromosome:ASM162521v1:8:16759248:16761383:1 gene:DCAR_027971 transcript:KZM84607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAIFSSLRRRIFPPVNAFLAPVDLTNAALLNALRGVTSELESSFSGLNLLFQRENSRSLVRKIEVIHVLLENLSESGLSLPPSAVLCLGEMYVMLYRCKMLLEYCVRSSGLWLLIQCLSVSGQFHDLNLEMSTLLDVFPLDEVAMNDDVREQVELLRKQCRKAEYFVDIKDEILRFELFSYLDEFEHGNVPLAEELSCFFIEKLRIMDVECIMSEIEFLEEQIVNSEGDIEPTASVLYGFVAMCRYCRFLLFGIKNVELGLENDKREKRMTSQETEESYVSVPVDFTCPITLDLMMDPVIICTGQTYDRSSITRWVKDGNYTCPKTGQDLIHTHLVPNRAMRHFIMHWCNAYGISYDSPIDSPGKFSASSASKAAIEANKATAQLLIHQLANGSQGAKTVAAQEIRLLAKTGRENRAYIADAGAIPHLKALLSSLNAVAQENSVTAILNLSIYGKNKKHIMDEAGCLGSIVEVLRFGHTTEARENAAATLFSLSAVHDYKKRIAQEDGAVEALAWLLMQGTERGKKDAVTALFNLSTHMDNCAKMIDSGAVAALIWALSHDSVSEEAAGAIAILVRHPTVAKAVGNEETAVAGLIDMMRCGSPKGKENAVAALLELCRGGGEAATQRVLEVPAMLVLLQSLLFTGTKRARRKAASLARVFQSCESASLHLNGSGVGYAFAGNSAANADPSFAEDILLPVPISIFLPVV >KZM84620 pep chromosome:ASM162521v1:8:17081228:17082837:1 gene:DCAR_027958 transcript:KZM84620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPMLTKKIVKKRVKKFKRPWSDRLVTVKPNWRRPKGIDSRVRRKFKGVTLMPNIGYGTDKKTRHYLPNGFKKFVVHNTKDLELLMMHNRKYCAEIAHNVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >KZM84071 pep chromosome:ASM162521v1:8:6820101:6823855:-1 gene:DCAR_028507 transcript:KZM84071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLTLKAIEKQDWKIRVRVSRKWRHLRQNKQAVGVSMILVDENEIRIHAWMNSRVMLRLDESIVEGDVFDIDNFMVKPYGTNERNQCFTGDKRIFFTDSTVVKPSIGPHAFIPKHVFNCIPLNMVGKHASQDTYLIDVCGIVMDLEPIQHVMTITGKEQIFVRFLLADNSNNTVRATMWNEHAIFVHICVAITTQRPLIVIISSCKPLLWQGKLIVTNMQATRILYNSTHHAATILRAEQHTQGMETQNFKELEICPKKDWAVFVTVSREWRRIPINNQTVGLNLILIDQTNDRIHAWMNSTLMHRLEDKFVKDHTLLLKNFIVKKYRKSDNFKCFKADHYICLTPCTTVFRKESLLPGAYPHFFDFVPFSSFPEHGRQRKYLIDVVGIVLSVKPISYVIDRSAYRKDIIRFVIRDNNNATVNVAFENGLAHAFNVALMEAIEKPPIVVVGSCRVRMLGASPKIYQLPPTRFYLNPKHPAAEEIRGTIKT >KZM84408 pep chromosome:ASM162521v1:8:14039082:14040532:-1 gene:DCAR_028170 transcript:KZM84408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKLLASVAVCLLGWLYIALIPPPPKAVGSPGGPPVTSPRVRLSDGRHLSYKEAGVPREIAKHKVIMVHGFGGNKNFELPISQEIIDKLQIYLLTYDRSGYGESDANPKRTVKSEAYDLQELADELQLGTKLSGASLVVPVANYWWPSVPTSVSREAFSTQLLQDKWTYSVAHYTPWLLNWWMTQKWFPSFSVLDYNVAAFSKSDLEMMSTLLNALGTNQKRQQGEYVSVVLDMMNSFGKWEFDPTEVTNPFPNNQGSVSIWQGFEDKIVPYQLNRHVSQKLPWIRYHEVANEGHFFVFKRNYADAIITELIVGSTDTTA >KZM84283 pep chromosome:ASM162521v1:8:11552338:11552664:-1 gene:DCAR_028423 transcript:KZM84283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPQKISNQNQQSDDGSTKIPAPPVFGYAAMPVLVTHSLRPPIYVPKILNPQTPRHAELFHNLQQKPGGGLSTSAPKPQQNEHQESSVPMKPKPEPEPMQEVDDEDL >KZM84154 pep chromosome:ASM162521v1:8:9283263:9284529:1 gene:DCAR_028299 transcript:KZM84154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLDTEVVYPYLFFTFLTTQWILHLVFPFYLCLQDFHVHAFFISEAWESLDISVVEGNMYIIENFITRRAIGYLRPMTSDICIILNESSTVAPVPLELGRIPSHKFEITELGDIYSIVRNLAPDQDPTYALDIVGVILDLGDVKVDVSDSGTRVYVCFNLYDGRNMIKVVCCHEKIALIHPIIYGEFQTDPVVILSSMKPQFYKGILQVSSTSASKAYANIGYSAVRQIWRRLLDQL >KZM84644 pep chromosome:ASM162521v1:8:17313948:17314139:1 gene:DCAR_027934 transcript:KZM84644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKIAKVFTICIMVLVAFSNLGASAALEDVAAPAPSPTSAGTALYVPAALAVVASLVACIF >KZM85491 pep chromosome:ASM162521v1:8:26239105:26255942:1 gene:DCAR_027087 transcript:KZM85491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPRKSRSVNKRYSDSYVVSPIKHDEAADRRTPRKRKLVDMLGPQWSKEELERFYEAYRKHGKDWKKVGAVLRSRSVEMVEALYTMNRAYLSLPEGTASVAGLIAMMTDHYCNMAGSDSEHESIEGSGTSRKYQKRSQAKVPPKSSKGSEGRVTSNSQAIASSYDYLPSTKKKRSGGSRPRVVGKRTPRFPVSYSHENVNGDTYFSPNRQGLRFDVDGNDSDVGHENLIALAEASQRGGSPRLLRSPKKMVAEGDIDGEGSMEADNGDYTRPKRYMMKSGNKSPFSQKGQRPYGKRLELDNDKNSQDEIREACSGTEGQKLGAALEKFEIEVTNGKTAKYSQGQWKRSRKVLFDRDESSALTGLEALANAILMPESTNDNDSSVLIKEESNEADEPESFEAIHTNHQSDKRWTVETKGYQSNSGLKYXGKIPLIVLVVVLRLSWTLLVLLVSCQEKNRRLLHLKLHLHYISFIYIEIQLAEGHSDTHMSESQIVEGKELGMKSTSKGKRSSQSASPMLNKHPENSSSSTGPRKEAGDSAMSSVHLPGADQFDVTNKRKSRRKTKIRKVNTYADSEVSDKTKKLLNCFSNDGVRRWCAYEWFYSAIDYPWFAKREFVEYLLHVGLGHVPRLTHVEWGVIRSSLGKPRRFSEQFLKEEKQKLNQYRDSVRTHYTELRSGTREGLPADLARPLSVGQRVIAIHPKTREIHDGSVLTVDHNRCRVQFDRPELGVEFVMDIDCMPLNPLENMPTSLTIHMSAADPNNLIKFKMNGQMGSVVVDSQAKMGPKDNVSSQQISYSQPSSLAQIQAKEADVQAIADLTRALEKKQVLVSELREMNNDVLENQKDGISSLKDSELFKKQYAAILVQLRDADEQVTSALSCLKQRNTYQENVSLAWPRSMANPVASVGVLNASYCSEVQTEKSGSHVNEIVESSKTRAGIMVNAAIQAFSSMKGGENTLEKIEEAIDYVYGQLPSDDSYVLSEKCFTAMERGDMASQEQEKCGTFGPLQVPPTPLLNSSGIPSELITQCVATLLMIQKCTERQFPPADVAQILDSAVASLRPCSVQNLPVYADIEKCMIMIRNQIMALVPS >KZM84032 pep chromosome:ASM162521v1:8:5737537:5740391:1 gene:DCAR_028546 transcript:KZM84032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQKETTSLAPLLPKITQTPNEGASVSSAVFNISTCMIGAGIMSIPATLKVLGIVPGFLLIVVMALLVDLTVEFLLRYTHSGNSNSYAGVMAESFGKPGSWALQFCIILSNLGGLIIYFIVIGDVLTGSQSSETIHLGILQEWFGIHWWNSRPFALLFVVLFVLLPLLLQPRIESLGHASAVSILLALVFVAISLAMAIYAIWAGTTMKLRLFPDISSTDSFLTLFTTIPVLASGLVCHIIIHPVKAELSKTSDMISAVRMSLLLTVSIYFAVGFIGYLLFGDTIMSDMLVNFDKNSDSPLGLLVNDVVRLSYSIHLLLVFPVINFSLRANIDELLFPKKNPLESDTPRFVCLTCAILSIAYLAAIVIPDIWYFFQFVGSTTIACLAFVFPGAIVLR >KZM85226 pep chromosome:ASM162521v1:8:23921943:23922915:-1 gene:DCAR_027352 transcript:KZM85226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIINQTKSTNGDQEEKEMDVNDTSKDSSKKINHGRDNNDTTTKERGLVKFVKVNMDGLQIGRKIDLSVHACYETLARTIEEMFFKSSTTMNSIGLAGMKKQTVQPSKLLDGSSEFVLTYEDKEGDWMLVGDVPWGMFLNTAKRLRIMKTSEAGSLAPRLQERPDTQKTKPPQHH >KZM84126 pep chromosome:ASM162521v1:8:8508601:8509230:-1 gene:DCAR_028327 transcript:KZM84126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPVLLTITLLFSSAYASVNDFCVADMQQPDTPSGFPCKKVTSLTEKDFAYSGLGVAGNTTNIISAAVTPAFVAQFPAVNGLGISMARLDLAKGGVIPMHTHPGASEVLVVIKGTICAGFISSANTVYFKTLFKGDIMVFPQGLLHFQINSDDGPSLAFVSFSSANPGLQILDYALFGNELPTELVTKTTFLDPAVVKKLKSVLGGSG >KZM85723 pep chromosome:ASM162521v1:8:28111125:28112726:-1 gene:DCAR_026855 transcript:KZM85723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWMWNYVLWSILITLMPLAWHLRRKNSYRRSKLPPGPRGWPVIGNIFDLGASPHRSLAALKQKYGPVVWLNLGPVKTMVILSASAAEEFFKNHDLSFVDRFNNDITRSHDYYKSSIALGAYSPYWRTMRRICNKEVFSNKRSNETVLVKKKCVDEMLKWIEKEVEESASGEIEVIEFVFPAIFNMIGNLTLSRDLMSPKSEMASEFYTALSGFSECVTSPNISDLFPWLGWLDLQGLRARTDRDLGKAIKIISGFVDDRVKQRQHREGKATDHKDFLDVVLDFEGTAKDEPEILSHHQITIFLLDIFVAATDTISATIEWAMCELLRNPESLKKIKAELGRVVGANKELEESDINNLPYLQASVEESLRLHASIPLLLPRKAVQDTTFMGYNIPKNTQVFVNAWAIGRDEESWEDALSFKPERFLESSIGYKGQSFKFIPFGAGRRICPGLPLAHRVLPLILGSLLHHFDWKLCDSVSGGIKMDMREAMGVSARKLVPLRGVPKRMDA >KZM84588 pep chromosome:ASM162521v1:8:16570450:16571049:-1 gene:DCAR_027990 transcript:KZM84588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPEIMNNRWFKKGFKHIKFYIEDDKLCSVEDNVDDETNSMSDTSVSETESEVESRKRFPRLLRPASLNAFDLISMSSGFDLSGLFEEEGKHSRFVSDAPVPDIISRLEDVAKVVSFTVRKKDCRMSFDGSKEGVKGPLSIGAEIFELTPSLRVVEVKKKGGDQREYEEFFDQELRPALQNLKTLESAEPSRVPSDTE >KZM83733 pep chromosome:ASM162521v1:8:907711:910293:1 gene:DCAR_028845 transcript:KZM83733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSIEQVTEPWQMENGNVKVLSKEKHGRTAHNISASSLRKKSDIDLASKVRCSVLKKFFGNVQEVLFGTKLCILLPFVPLAIFAEWYGFDRPWVFALSLLGLTMLAERISFLTEQIAFYTGPTVGGLLNATCGNATELIIAILALWQDKVDVVKYSLLGSILSNLLLVLGTSLFCGGLVNLHKEQNYDRKQADVNSALLLLALLCHALPLMFRYAGDAAAYSPKATLQLSRASSIIMLIAYMAYIFFQLCTHSKLFAAQEEEQDDDIAGSEETPVIGFWSGFVWLVGMTILIALLSEYVVGTIEEASESWGISVSFISIILLPIVGNAAEHAGAVIFAFKNKLDITLGVALGSATQIAMFVVPASVLFGWVLGIDMDLDFSLLETGSLALSIIVTAFTLQDGTSHYMKGLILLLCYIVIGACFFVSSGTTTDHQQNNIHLGIRSSTKGIFRA >KZM85555 pep chromosome:ASM162521v1:8:26756769:26757248:1 gene:DCAR_027023 transcript:KZM85555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEILQGDSREERLKNFIAWFPEEEKVEWDADYYVDESGRHSMTFEEYVSHRLDMIETDDYSNVGDPIVLLQVYDPPEKPADQKCVAILEDCSRRAITHYNRENVWLLIYA >KZM85152 pep chromosome:ASM162521v1:8:23228382:23228564:1 gene:DCAR_027426 transcript:KZM85152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGKIKERWCEIKEKNSSTKDWPNKQHMYQYIDFVAMISSIESKVLFKIKQSMTSHYEN >KZM85051 pep chromosome:ASM162521v1:8:22178028:22179789:1 gene:DCAR_027527 transcript:KZM85051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQLEISVREPGGLTIWTGPPFNNSIPSVKLEKIPCSSASFCENGARIMVINPGSGICIYDATSFEQVRTFDVVDTLAATLSPCGTYLQTFQKPVNPKNVTLWDIGSGDAVYQLFQKNMTKSTWPCIRFSSDEAVACRLATNEVQLFDAMDFTKGIVKRIRIPGIAGVEISKRPGSHVAAFVPESKGMPASVQIFTCGKDTLSEPVARRSFFRCSTVQLNWNHGSTGLLVLVQSDVDKTNQSYYGETKLNYLTTDGTHDGLVPLRKEGPVHDVRWSFSGKEFAVVYGCILHCLSGTLVVIIDIRKIQ >KZM85058 pep chromosome:ASM162521v1:8:22296761:22299927:1 gene:DCAR_027520 transcript:KZM85058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSWFSAIKRVFVPNSKEKLEKGSEKRSSKEKKGRGILKHAETKSFIPLFREPSSIEKILGEVDQQQLQFRPPTPYELPKPPPSLPARPASPRSASLRVTSPRNASQRITSPRAATSRRVTSPRAASPSVTSPRAATPRAASPRGYRPRPEPTLRNHHLSATKIQAAYRGYMARRSFRALRGLVRLQGVVRGQNVKRQTSNAMKHMQLLVRVQTQIQSRRIQMLENQAIQRQANKNERETESTLGKWNSEAGDNENWDDSLLTKEEVEARMQKKVEAIVKRERAMAYAYSHQLWKGNSKPGQSPPGDIVSGGFPWWWTWLERQAPSSKPPENQTMKTFLQAPMRMTPEHKPSPRSHTSNYKPQNFGYDNLETPTPKSSRSAAPVRTRQMFTPTRTPPSTSSNMTKYSRSRNFAANSPYDVPLKDDDSLVSCPPFSVPNYMSPTVSAIAKVRPTSNLKDSAPGTPGSQTSKRRFSFPLAPSMGSFKWNKGSSKDSASQKVMEKPRAPPSIGDRSVDSTVSMPAAFGRKPFNRFV >KZM85073 pep chromosome:ASM162521v1:8:22452826:22454214:-1 gene:DCAR_027505 transcript:KZM85073 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MEQRHAHIILITYPAQGHINPSLHLAERLVDMGMHVTFTTSLSGRRCMPQKYRDVNPSSTSDKVRKGLHIKLFSDGYDEGIKNTADSTDFYAKLKHNGAKCLEETIASSAQGNPVSCVVYTIFYPWVAEVARGFHLPSMLYWIQPAAVLDIYYYYFNGYERSFQNMDEPSWSIKLPGLPLLKKRDLPSFILPSTEDVYTKALAAVKQHFDEFNSDPGARVLVNTCEDLEHSALKSIEKLNLVAVGPVIQSGNFSDGRNDSVENSGNYIDWLNSKAESSVIYISFGTMLKLPKAEMEEIAKGLLKSGRPFLWVVKRNEDDREEDNLSCTEELKKQGLIVPWCAQIEVLCHKALGCFMTHCGWNSTLESLASGVPVVAYPKWTDQGTIAKMIEDVWKTGVRVSGSEVGNVDGEEIYGCLETVMGGGERAEELRRNAKKWKDLAREAVKEGGSSYNNLKALVQDI >KZM85922 pep chromosome:ASM162521v1:8:29937678:29937857:-1 gene:DCAR_026656 transcript:KZM85922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVWALEGFGVAYILQEMLTYKSDHIRARQEVLGTTIIGGAIPNPEDAPESFRLLVREL >KZM84366 pep chromosome:ASM162521v1:8:13092515:13095641:-1 gene:DCAR_028340 transcript:KZM84366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYPHRLGYNVVDAFVEDSCSLVVAAGQIKITEEIVQKTIGLPRGSEKILYRNAKGAFDLWGKQFPDVKVCKVTPKMVRGELVSSDKADENFKFNFLVMVYNFFIENNQGRYVLRDMVRFAGDIDNCGKYNWCGLLVDKLKKTHRYWVEAKKRNFTGPLPFLIYLYVSRVVNKETTRVPLKTPAYYGWSDMLLKERQNYELKNSCFGYGEILEISDDKIGGNENVMKSLRDKNREQMLFGEKCQQKQDVAERKELKLQDKLCQSDQLLQDNVDGHVRMELDNIEVDVNVRDDIVPDSEDIVIDEAGVSADSTMKSNQQDQKILKSLVQDKCYSIPNEEAGLTEIALGEAANRDNTKVSKVDPVIERGTQIRVGEEIDRHFAEEPYVEGFLSSLNQLDVLYKKCLTECVTTFALYPDNKRLANAKEEFPFFFKLFEETSPMTKGLCSASETRKKAVETEEDNAEFVPSFSLGLSQMPLKNLDIEMEGMLPGNLKIQMDKDEGKNGNTHVEGDTDRKEMVKGKSVECITERPRRGFVPAQVCRSPFVTRVVDVNAHKIGADEKDAWDWVMQNKRNKEEYLFEWNGRYCTKAHFQSLHDSKMVETTLIDTWSYILNENEILRSENSPLRLFLTTETTYGPLQLQYDPLSRYCAFDDHVDLALAMANEVHNKQYDVNDFDL >KZM84510 pep chromosome:ASM162521v1:8:15515401:15521063:1 gene:DCAR_028068 transcript:KZM84510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRQRPVPTRGRGTPHAPPRPRFEEVYNIIPVHNLIADHPSLRYPEIRAAAASLRAVGGIRKPPFAQWTDDMDLMDLLGAYFGFQRDNVRNQREHLVLHLANSQMSLEPPPSAADVLDPGVLRNFRKKLLKNYTSWCSYLRLKSEVRLHLGRQNPEVYRRELLYVCLYLLIWGEAGNLRFMPECLCYLYHNMARELNQILDDDFIDENTGRPFVPSVHGENAFLCHVIIPIYETIKGEVDRSRNGTAPHSAWRNYDDLNEFFWSRKCFKSLKWPIDLSSNFFLHGEDDKRVGVRTRRIGKTGFVEQRTFWNLFRSFDRLWVMLILMFQASMIVAWEGTRYPWQALKKDHVQVEVLTIFITWAGLRVIQAILDAGTHVFSVFYGLIWYQKNSDGWWSDAANEKIYMFLKAALVYIIPELLALLLFIIPFVRNFVEKTNWRIFNLVTWWFQSRTFVGRGLREGLVDNIKYTMFWILVLASKFSFSYFLQIKPLVDPTKTLLKMKGVHYNWHEFFSNTNRIAVVLLWAPVILIYLVDLQIWYAVFSSIYGAAVGLFSHLGEIRNFGQLRLRFQFFASALQFNLMPDDQPMTLKDTLLHKLRDAVERVKLRYGLGQPYKKMESSQVEAARFALIWNEIIITLREEDLISDRELELMELPPNCWDINVIRWPCCFLCNELLLALLQASELGDAPDRWIWFKICRNEYRRSAVIEAYDSIKYILLEIVKYGSEEHTIVTKFFMEIENCIQIEKFTSAFKTTVLPKIHKQLTSLIELLIKPEKNINKVVDVLQALYEISIREFPKQKKSMLQLRQEGLACSHQGNDVGLPFENAVDLSNVEDALFIRQLRRLHTILTSRDWMLNVPKNIEARRRVAFFSNSLFMNIPRAPQVEKMMAFSVLTPYYDEEVIYGKENLRSPNEDGISTLFYLQKIYEDEWVHFMERMQREGMQNAEEIWTEKSRDLRLWVSYRGQTLSRTVRGMMYYYRALKMLAYLDGASEIDIRQGSQEIANWGSRRQTGSLGGLGSGMPPASRNLNRAASGVSVLLKGNEFGCAMIKFTYVIACQMYGVHKKMKNSRAEDILYLMKNNEALRVAYVDEVVLRRDEVEYYSVLVKFDQQLKKEVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDALQTIDMNQDSYFEEALKMRNLLEEFKKCYGVRKPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFITRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQILSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVVVMVYTFLWGRLYLALSGVEGSAKDDVTNNKSLGAILDQQFIIQMGIFTALPMVVENSLEHGFLPAVWDFITMQLQLGSVFYTFSMGTRTHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAIELGVILIVYATHSPLATNTFVYIVLNISSWFLVVSWIMAPFVFNPSGFDWLKTVYDFGDFMKWIWCERGLLSKADESWETWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLKITGSNTSIGVYLLSWVYVVAVVAVYIAIAYAQDKYAAKDHIYYRLIQLLVILVVVLVITLMLQLTPFNLNDFLTSLLAILPTGWGIILIAQVLRPFLQSTVAWDTVVSLARVYEVLLGVIIMAPLAFLSWMPGFQAMQTRILFNEAFSRGLQISRILTGKKLNLDN >KZM84496 pep chromosome:ASM162521v1:8:15414561:15420570:-1 gene:DCAR_028082 transcript:KZM84496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFFGFAVQNSRIDAFASAKYCDEVLKKIKEGAIYIVSNIKVKEYLGSEKFRAVRNKKHVFFTPHTKFELDETMGLKIEKFAFDLFHFDEIEKLENDERFLIDMVGKVKNIQELIKTTKNDEEKSRLKFDICNGRSTVPVTLFDTFGEDVEKEFFKRDINNIFVIICCAKVGRYEGVPHLSNYPATRVYINPEHYSVDELKKRFRICTLCSDSTGSISIIFSDCEINKLIGKTVTDLHAECADEAEEEKSPNILNSIVKVKYTIQLYMAEENIKNGSTIFEAKEVKQAQEKADNFDHNIAAADEIQELSMNNATEGDSNLNHTPNTENSTNTKFRARKITEVVTFNAADTTKWKRCETLLQSKRVHAFVPTKCADEFEDKITVGKTYVIKNFAVQLYSATEKFRLLRNDSQLVFSMDTKIQEVADDGLSIGQEAFDFYDHSQLEELSKQTTYLTGFDDNMNWGYDACTDCGRETKMKNPCPLCESCNRFVPYPDKETSAKVIFYDEMAQSFNEEVHNAGQHPVILILASVKAALIQGEAKLTNYPSTRFFINPHHEAVEDLRDAFRLPLM >KZM85320 pep chromosome:ASM162521v1:8:24677695:24680872:1 gene:DCAR_027258 transcript:KZM85320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTKEQVESSLRSKLSPSHLDVVDTSGGCGASFAVEIVSDQFEGKRLLERHRMVNAALVEEMKDIHALSITKALTPEQQLKKQQESEKSQVAI >KZM84202 pep chromosome:ASM162521v1:8:10135232:10139631:1 gene:DCAR_028251 transcript:KZM84202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGLINANPIVYEKKERRPRTGSVVLEDEYAVESIDQDIKDPEHPYSLEDLKVITEDAIEVDDKRSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPSRYKVDIRVAPGTHATEAAVNKQLNDKERVAAALENPNLVDMVDDCLAPSFESLKNPVAQLLDTGNLVLRDGNQSGEDVFIWQSFYFLVHNILPAGLKVEVDLVSGINRYFTSWRSDDDPSLVNSIYRLDLRVLRSYFCGEISVLWYRSGPWIGSTSSGIPTLKPVATQKEICYTINLINTCVSVLTRFILPPSGEMQRRMWNKQKQEWTVY >KZM85119 pep chromosome:ASM162521v1:8:22888031:22889407:1 gene:DCAR_027459 transcript:KZM85119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALGDESNEVGGGSGYGLRENPKRTWRAVDATFALPQERVCKQCGKGFQSLKALCGHMACHSEKEKVLRDTNFWTSENSKLGDSYSDTEEELMRQTRSKTRTYKKSVVKSSEFGRGSSSVCEVQQQEMEDVAMCLMMLSRDSWNWGGVNSVVESSDNNSVVLETKSSSIDMKAGRNDGVYFGDEIIDKKKLGDRKLKTSGLDTESFQFENCSSGYLRNGVKKVDSDISIDELLRNGECKDAELGMIYNRGKHYDAEACRSMKFMMYDSKKRARDDYEAAEPSKNAQKKRKYNCVNCNKSFNSFQALGGHRPCNKRTTPTFHLEYESDDYSLEDEDMALDSTPIQRYGYKKPTSRDYSVDAKKRIRPKKVKGHQCPFCPKIFKSGQALGGHKRTHFINAPIDFHPPSPDINQEAAVQEATTCRNLQEATATRSLIDLNLPAPEQDDELDESNKFICLD >KZM85716 pep chromosome:ASM162521v1:8:28070626:28072312:1 gene:DCAR_026862 transcript:KZM85716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIWTYIFWSILMSLAAILWHLRSSGHNAKLPPGPRGWPIFGNVFDLGNLPHRSLAALKPQYGPVVWTNLGSVKTMTVLSAAAAEELFKNHDLSFINRTIVETMRSHDFGKCSTGSVPYGDYWRTLRRICAVELFTAKKLNETKVIRQKWVDKMVLWIEKEIKERGSAGFELTDYVFPALYNMMGNILISRDLVDPHSKIASEFYIAMAGFLECMGRPNVSDIFPWLRWLDLQGLRKRMDRDLGKTIEITSGFVEDRVRQRRKKEGDLSESKDFLDVLLNSKDTESDEREMFFGGTETFSATIEWAMSELLQNPEAMIKIKSELSRVLGNHKKLEDSDIDDLPYLQATVEETLRLHPPLPLLIPRKAIRETTFMGYSIPKNTQVLVNAWAIGRDEERWEDALSFKPERFLGSDVGYKGQNFEFIPFGAGRRICPGIPLAHRLLPLILGSLLHHFDWEACKNVHSEVVAIDMMETMGTTAKKLEHLIVVATRSL >KZM85899 pep chromosome:ASM162521v1:8:29752232:29752833:-1 gene:DCAR_026679 transcript:KZM85899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGGSCGGGQSSLGYLFGSGEAPKASSEKITATPEEVPIETPVVKPDPPPEPVDITKQIPAGINSAALNSTAANNYFRADGQNTGNFLTERPSTKVHAAPGGESSLDYLFGGVAK >KZM86079 pep chromosome:ASM162521v1:8:31226970:31229847:-1 gene:DCAR_026499 transcript:KZM86079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATAVSKPTRKLVGDLDFFSAGGAEAPAADSLSSFGAAAPSSGLENKLFAILSHESTQMQSFLEHSDYSEELLEVHSSIPVGMASTASATKIEYRKFATGAAEFFTGTGGASAPSPGPSAAEAPSLGPSAAEAPSPDSEPSTQVLGTSVTEPSKEAGKPESEPSTEPGITDHADHPGHSKHSKHPKHSGSHSKSHPSSSIPSGGDQAATKVMSDSTGSLPEPQGPSSADAPSTTQASDTLGALGPSSTILGALGPSSADASSPGLAPAQPPK >KZM86111 pep chromosome:ASM162521v1:8:31421553:31423981:1 gene:DCAR_026467 transcript:KZM86111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSVLTIFSSLLFSLGSALYYDHWPFLANFVLVCSLFSSFVSLISGLWLIPGGFAWRGFQGGKNISGPMGWPVLGILPQMGPLAHQKLAAMALAFRAKGLMAYSLGSTRVIISSRPETAKEILSGSSFSDRPVKESARLLMFERAIGFSPSGDYWRNLRRLAANHMFSPRRIAGLEGLRQSIASEMIDGVSETMNLKNVVEVKGILQKGALRNMIESVFGSWLNSEAEELGFLVKEGYELIAEFNWSDYFPLGFLDFYGVKRRCHALGIKVSSLVGEIIKKRRSDGEFIGRNDFLSVLLSLPKEDQLSDADLVAVLWEMIFRGTDTVAIVLEWTLARMVMYPDIQEKARQEINTCTKSDRHVRDGDMANLPYLQSIVKEVLRLHPPGPLLSWARLAIHDVHVGKFFVPAGTTAMVNMWAITHDPTIWKDPWAFKPERYIEEEVSIMGSDLRLAPFGSGRRICPGRVLGLSTVHLWLARLLQEFKWLPAQPVDLSECLKLSLELKKPLSCYAISCRDNQASFSSP >KZM83953 pep chromosome:ASM162521v1:8:3933523:3934503:1 gene:DCAR_028625 transcript:KZM83953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICPDLFLHIRWEANSYGYHGDDGYLYRGQGKGEKFGPTPTYTANDTVGGGINYASQKFFFTKNGEMVGEVCKEVKGRLYPNSYHCCTQPERRVPNLI >KZM85412 pep chromosome:ASM162521v1:8:25545698:25550670:-1 gene:DCAR_027166 transcript:KZM85412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLSNSRSRDLDKLLLRPGNLVGPTFEPGADLRNDLQEYAKVLVVGAGGLGCELLKDLAFSGFKNLEVIDMDRIDVSNLNRQFLFRFEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKELEFYSDFSIIALGLDSVEARSYINSVACSFLEYDADDNPREDTLKPMVDGGTEGFKGHARVIMPGVTPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHGGKAFDPDDPEHMQWIYSEAVKRAELFGIPGVTYTLTQGVVKNIIPAIASTNAIISAACALETLKIASGCSKTLSNYLTYNGAEGLHTKVTEFVRDKDCLVCGPGILIQLDTSVTLKKFIDQLEDHPKLHLSRVSVTYRGKNLYMQVPAVLEEMTRSNLDKPLYELMDKCGKDVVHVTGAAGKSGAKQSCLRKLRIVFKGIDGVVDMDVANGA >KZM85687 pep chromosome:ASM162521v1:8:27873738:27875889:-1 gene:DCAR_026891 transcript:KZM85687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQHESGGFGGNIGHDPHLVTTLNAVQILALFDSINVLDIDKVSNYIVGLQNEDGSFSGDIWGEIDTRFSYVAICCISLLRCLDKINVEKAVNYIVSCKNLDGGFGCIPGAESHAGQIFCCVGALAITGCLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLTMIDRVHWIDKEKLLRFILDCQDKENGGISDRPDDAVDVFHTYFGVAGLSLLEYPKLKAIDPAYALPVDVVNRIFFK >KZM85999 pep chromosome:ASM162521v1:8:30601093:30601398:1 gene:DCAR_026579 transcript:KZM85999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWRNTHRSRNGAAYNTLTPSRTIQLGRVTPQAPGNRTVFCNDREANALASFKICLVCESVCEVN >KZM85290 pep chromosome:ASM162521v1:8:24441790:24444657:-1 gene:DCAR_027288 transcript:KZM85290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSLQTCKLELPRTIINRIHIIFHFLAILSLLYYRVSHIFGNDGTVPVFVWGLMAIAEIMFTFIWILMQAFRWRPVARTVFPENLPADGELPGVDVFICTADPTKEPTVEVMNTVLSAMALDYPSDRLSVYLSDDGGAASTLYAIKEACLFAESWLPFCREYDVKTRCPEAFFSKLGDDERVLRSDEFKAEEAKLKSAYEVFKVNVEKAALEDSVVHDRSAYIEVIHDDKSKDRENDKKHKMPLLVYVSREKRPTRPHRFKAGALNALLRVSGIMSNAPYILVLDCDMYCNDPASARQSMCFHLDPQMSRDLSYVQYPQIFYNVSDNDIYDGQARAAYKTKYQGMDGQRGTVCAGTGYYLNKKALYCSPYQEEKILQESEKQFGSSTEFIASLKGSNKDNSGKMKNLSEAVMKEAEALACCDYEKDTQWGKEASITTCFFLKRVLGLIRQYSTFTGYRLHNRGWRSVYLYPKRPCFLGCTTIDMKDALVQLIKWSSGLLQVGLSKFSPMTYGLSNTTMLQSLCYGYFTFMPLFSVPCLLYGIISPLCFLTGIPLFPKVSSPWFAAFAGVYTSSLIQHLYEVLSSGGSIRTWWNEQRIFMIKSVTALLFGSLDVLMKWLGMTKASFRLTNKAVDQEKLEKYMKGKFDFSGAKMFMIPLTLLVILNTVCFLGGMRRLMTKHNWEEMFGQAFLCSFNLVLSLPILQGLIPKRFK >KZM85988 pep chromosome:ASM162521v1:8:30507097:30507739:-1 gene:DCAR_026590 transcript:KZM85988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLSIEEEFEPSTSEDTFLDLNENSTSVASDKIKAELRGNATNPTHELITLSLPAILGQAIDPFAQLMETAFIGRLGN >KZM84518 pep chromosome:ASM162521v1:8:15688919:15689719:-1 gene:DCAR_028060 transcript:KZM84518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLTQEQIIQQQQQQPPLKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRKVPVGGGCRKNKRVKKTMMTPATESSNSPSLSAPVTTAGTNTNPSLARIDRVSPLVYGVHSNNASSSDLNLSFQRFNSVTDSAPVYDYNLQQNLLGFSSAGLMANHISSSKSFLSNYVPSPLYASSTSSTVSPSLITTSCFNPEPEKSIGAQNNFFGIIPNYPDMQMQANNNKYGIEGSTDPNSLFSVGASWFDPANFGSSVPSLI >KZM86049 pep chromosome:ASM162521v1:8:31048338:31048859:1 gene:DCAR_026529 transcript:KZM86049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNMYQHLARVWLVMAMIGLFASATEAFGNNRFCKTSDYKQICNLMVKDANNWHDATRNAIQSSIDVAVTLQKMTPTLDKALGRLPGNSKDSTTSTCKETFDNTVDDLKQCLKFLDNNDTASLNIHLSAATSVTDCQDAFQESGAELPPRVAKITEELSKYVSNCLAVSEQT >KZM84125 pep chromosome:ASM162521v1:8:8497882:8501897:-1 gene:DCAR_028328 transcript:KZM84125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNQKTFRPKKSAPSGSKGAQLQKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLTEFCTASTCPTMTAGTKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIETQLDDESIFPQKLGTPFPPNFADVVKTILKRLFRVYAHIYHTHFQKIISLKEEAHLNTCFKHFVLFTNEFRLIDKGELAPLSELVDSILQQ >KZM85948 pep chromosome:ASM162521v1:8:30217127:30230341:-1 gene:DCAR_026630 transcript:KZM85948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLRLLKLNNVQLSGGYKNFPKNLKWLCWHKYPLRSLPGDFPLSSLVAIDMQSSKLQTFNQGNMLLGSLKFLNLSHCDGIVKTPDFAKLCALEQLLLEDCANLVEIDESIGMVGGLVLINLKDCKLLKKLPEGVCMLKLLETLNISGCSNLGILPAKMRKMESLKIFHADGLDFGNSRHTTYQNDSWREFIWGLVSRPSTSLSLTSLPCNSITRLSLVDCNLQDSSFPKDFRVSSSLEYLNLSQNPIRFLPDCFKGLEEIKCLELKRCNQLQSLEELPEIGNVSFAECQLLERVTWKTGQHFKHLHSPSHCVKLLEIDFIFKIAPLDEIDAELIDNCGICDMESMRAIQILLYNEFTHAYSRCTIQGIHEFPLWCKSFNIFYPGSSVPTWFTSQSHGPSLSFTVSHSKLRYLNTCIVYKLGPGRSRDFDLVFHNMTKDKVIVYQPFCYGIPEGDEYMTWICHWKLGIHEVGPGDEVKISIFHYIDAHNFEVKEIGVYLVYEEEEQEGLHLAKRQKIQQTCEEISQYVIPAERKPSAYHGTTQLYFANIDFTTIDRWMERYFGNYVTVGTVFSSVHYSWQVPRRQTRKMVVYSQKIVAEKIAEHLFLNPKLLGSLKFLYLSHCHGLVKTPDFAKLCALEQLVLEDCASLVEIDESIGMVGGLVLINLKDCKLLKKLPENFCMLKLLETLKVSGCSNLGMLPGEMRQMESLKVFHADGLDFGNSSYTTQQNVSWGELLWGLVAKQKVSLQLSLTSLPCNSITSLSSVNCNLHDSSFPWDFRVSPTLEKLNLSKNPIRFLPDCFKGLEEVKNLIIYDCNQLQTLEDLPKIKKLHALRCPLLEKISLKPGLFLEGYAFPHKCEKLLEMESVFKVVPIGEIDSELINNFGIYDVESMKTTQRRLYNGYTSSVKRCPIQGTGAIEGLALEMKTTKAYQAELGTKAFSMMHKLRLLKLNNVQLSGGYKNFPKNLKWLCWHKYPLRSLPVDFPLSSLVAIDMQSSKLQTFNQGNVLLGSLKFLNLSHCNGLVKTPDFARLCALEQLLLEDCASLIEIDESIGMAEGLVLINLKDCKLLKKLPENLCMLKLLETLIISGCSNVGMFPADMRKMESLKNFHADGLNFGNSSDGLESYTNQNNSWREFIWGLVSRPSASPKLSLTSLPFNSITRLSLVDCNLQDSSFPKDFGVSTSLEYLNLSQNPIRFLPDCFKGLEVIKSLKLWKCNQLQTLEGLPKIKLLKVRWCQLLEKITWKPGQCINTFYAPLNCEKLLEMDYYFKIVPIDEIDPELISNCGICDVESMKGIHIRLYNELTAAETRLSIQGVHEFPFWCKSLNIFYPGSSVPTWFTSQSYGPSLSFMISHSKLRYLNTCIVYKMSPGRRMRTFCLVFHNMTKDKVIVYHPICWGIPEGDGYMTWICHWKLGTHEVGPGDDVKISIVKYDDDSSFKLKEIGVYLVYEEQEQEGFRLAKRQKVQQTCEQISQYVIPSERKPSAYHGTTQVYIAGIESAIKDRWLERYFGNYMAVENDNLPSNRELHPICVMGSVFVYVYYLMSLVLDYCENSHHLTLIISGCSNLCMLPVEMSKMESLKVFHADGLNFGNSSSTTQQNVSWEEFFCGLVSKQRN >KZM84684 pep chromosome:ASM162521v1:8:17750154:17750420:1 gene:DCAR_027894 transcript:KZM84684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFETGAEAEDESCNDLPCDDLFTKRDKESPNEEDSFSSSWIVSNTFDMQCRLMEVTNSGGATSETYLAMREVAVCLIFLTEEWISR >KZM85416 pep chromosome:ASM162521v1:8:25578862:25579071:1 gene:DCAR_027162 transcript:KZM85416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLILAIYHSSKKLRESTVPPAHGVSTAAGTSKKSEFLRLKAQLDQARLQSSILAGCCHFLAFFFYLY >KZM85769 pep chromosome:ASM162521v1:8:28531513:28533924:1 gene:DCAR_026809 transcript:KZM85769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMSPLFLSILLIIFLKPHSLSAQRQQNITSFSSSDKPWLPDQNQVLVSPNSTFAAGFRPLTSPPGSYSFSVWYFSISNKTIVWSASVKKPIERTFPLVITGSGELRINGSSGENLWPNSTKGGNGTELILKDDGNLVYGSWESFDFPTNTILPGQLINGTGIRLASNNGKYQLIDSKGLYYNSNESYWNASQVLISFDTNGTITKGNGETSITSDLGEARLRRMTLDDDGNLIVYSFDPELNQWTSVWQAMFELCKIQGRCGPNFICTNFDNSNSTSCVCPPGYSGRGEDSCERRIPINEPRNSKFLRLDYVLFRGSSNQTDLKARNYAACEAQCMRARNCLGFSFKYDGSGYCVLQLDRLIYGYWSPGTEAVTFLRVASSENETSDFVGMTNLLETTCPIRISLPEPPEESSSTTRNIVIISTLFAAELISGVIFFWAFLKKYVKYRDMAQTFGLEFLPAGGPKRFSYAELKVATNNFSNIIGRGGFGDVYRGELTDHRVVAVKRLKNITAAEEKKGEFREFWAEVTIIARMHHLNLVRLWGFCAEKGHRILVYEYVPNGSLDKYLFRVGENRSSGDEPETDAKADTERKPVLDWNIRYRIALGVARAIAYLHEECLEWVLHRDIKPENILLGDDFCPKVADFGLAKLKKKEKENVVNISRIQGTPGYMAPEWAKSDTITPKADVYSFGMVLLEIVSGVRNREIQGSRMDSEDWYFPMWAFDKVFKEMNVEDILDSQIKHTYDSRSHFDMVNRMVKTAMWCLQDRPEMRPSMGKVAKMLEGTVEIIEPKKPTIFFISDDQ >KZM84897 pep chromosome:ASM162521v1:8:20635645:20639639:-1 gene:DCAR_027681 transcript:KZM84897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEQTPSVIARLMGFYETRHQRPIHKKYRVLSEDYLRKSASIDLLLKKSSCNGRSFRMSRVKMPEFKDSFEGQEQQRQYIPTILQGRETSQAAKESIKFEKQIPKDKRYVELEERILDSWNFGYKLENVHSRKNRSLKYSDKRDNLFPHHSHNQLCTHSSFGQSTVSKLYDVPSYRNDENSWKPEKTTLRKNVLRSPQKLEYDSDALEDLHNDLQNISKMRVKLKNRIPVINEASDTGKPLINLEPCKPSFSTKKTVMETEVSRSALGADDNSVRETKTITPFRSSFSNLENQEKASYPHSNWSTFTRAGKDIDAGWQKWHVGRKTKVSAVSVSLYSFDQKRAESTYKLSKTGCSNFSQNSEYSKLSRPFGVNSNRGVKKDVVTKPSRFRSSPSFPNSTGNFNSNKNDGFGSDEYLRLEEAVTEAGNRFGNQKFSDKGMSKPGDSTFNSETSPFSGYTDLQNHQSTEECLLNELGNKLEDGTFSKKIRKKSAFLEVSHTPVGQESPTESFEDGLVFSNCFEFEPELTSSWRDTHQPSPNSVLNPPYEDEILSDIECFDGAEPAGANLIGLWKQLQLLKSDVEDTLSDGPGMMISSDEGTRDVSDDFLKDNGKSGVFKAKETRDFSYLVDVLDEAGFYDANWEINIEKWYSPESAVNPAVFEALEKKYGDQISWEKYERRLIFDRINSGLIEILQPCLDIPEWANSRSTWLGTLPKRDVIEEKLWNLLVSQEEEVNKGLSEKAVGGDTSWLKVGGDIDIIVREIENLLFDDLVAELGNV >KZM85280 pep chromosome:ASM162521v1:8:24342372:24343976:-1 gene:DCAR_027298 transcript:KZM85280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQGYDRHSDLKAFDDTKAGVKGLVDSGVTKIPRIFVHNQAQISQIPSSRHSKSGFPSIDLKDIDIDESSRGRVVKEVRDACENWGFFRVVNHGVPESVLDEMIDGVCKFHEQDTELKKLFYSRDFTKKFLYNSNFDLYKAPAANWRDTIFCNMAPEPPQLEEMPEVCRSGSKDSNRLFGSQIIGVD >KZM84946 pep chromosome:ASM162521v1:8:21064250:21065723:-1 gene:DCAR_027632 transcript:KZM84946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLHEFKRKTSFFFKEQIKVARLAFTDVTPAEILTEEATDGSLMTLDSRTVRFLSRAAFEVDEYWRIVDVLHKRLWMYDKKQWQCSYKALILLEGLLTHGPKRVAEDFQQDKDIIWEMGSLKFVDEKGFNWGLSVRNKSERILKLLKDESYLKQERDKARKITSGIKGSGSFCQRSISADSNSKQYGRCNSHYNTSQNNKDDPNNRYFFDERVQNLPEFSEGYRFIPDYAREKTDSGFSSRHGYTEDHPFCEDRYHQIRESLISPNF >KZM85630 pep chromosome:ASM162521v1:8:27364718:27367215:1 gene:DCAR_026948 transcript:KZM85630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNKDKKEDEMLDKMPQSPGDKLKALCHIGFDWRSPATTIGVAVNITLPKNVAKNHLEGPIPDNLSSCTNLNSFNVFWNKLNGAIPSAFERLESMTYLNLKGPIPIELSRIGNLDKLDLSHTNLSGAIPEELSQLQNIFSLQVSLV >KZM85400 pep chromosome:ASM162521v1:8:25467820:25469159:-1 gene:DCAR_027178 transcript:KZM85400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNQISIFPEANNRIRLNKIGILPETNNIMRFNKIGYVPQAKGGMVTANLRMFTFAELGSATRGFSPDMMLEVDLCGRFYHPNIVKLLGFCSEGEEFMLVYEYTPKGNLERYTYKDSGKSLSWVVWLKILIGAARYLAFLHSSEDRIVYGDFRPSNILLDGDFNPKMCDSGHARCAPDDADSCSDVRMRVVDFNARNKKMNLVDKARPVLACERKFKTVVNPKLLEQKYCPKGVESILSDVPALALQCLDLDPEKRPSMKQVLKILEDVNAVTR >KZM84782 pep chromosome:ASM162521v1:8:19097768:19104161:-1 gene:DCAR_027796 transcript:KZM84782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAYGFFTPSVQSVRGRINPSPSVGVYGSGFCSNKGIATRVLCSSSVEGVEKLGSGVSASESRVPRFVSKGCKLVGCGSAVPSLKVSNDDLAKFVETNDEWISTRTGIRNRRVISGADSLTGLAAEAARKALEMAEVHPDDVDLVLLCTSTPEDLFGSAPQACDIEDDGLFGFDLHSDGDGQRHLHATMKQEELDDAAGSNGSVSGFPPNRPSYSCIKMSGNEVFRFAVRAVPQSIEYALQNAGLTGSSIDWLLLHQANQRILDAVANRLEVPLERVISNLANYGNTSAASIPLALDEAVRSGQVQPGHTIATAGFGAGLTWGSAIIRWG >KZM84114 pep chromosome:ASM162521v1:8:8198685:8200405:1 gene:DCAR_028339 transcript:KZM84114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKVKNVQELIKIKKNDVEKSLFKFEISNGSSTVPVTFFDEFGKLVEKQFGGLDAKNLYVIISCAKVGRYEGTSHLSNYPATRVFVNPKHYSVAELKRSWTEKKKEPVKPYVEQEKVVVHIPRKISTVKEIKNLPANHGEGNVFCEVTVKRISDPKNWFFRKCSGCNLELEHEGGKFKCSRANGCGRIIPYPEKRFRLCTLCSDERGSIAIIFPDHEITKIIDKTVIDLHADCADEAEEDKFPEILDTFLKKKRSTSASTRIIYRRARLFMMHRKFFLDRKKGITLIQLDNSTDGNGNQTPQTGNSTNMKTKARKGIEPVAFSTADNSMPPPLKNIKVEKIGK >KZM86013 pep chromosome:ASM162521v1:8:30752788:30752958:-1 gene:DCAR_026565 transcript:KZM86013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKAVWTAMEECQSLGLTKSIGVCNFSCKKLADILAFAKIPPAINQVSLHSQTRN >KZM85213 pep chromosome:ASM162521v1:8:23758338:23759075:-1 gene:DCAR_027365 transcript:KZM85213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQLSASILVAALLAVVQVATLVAAIDPPVAEVPVIEIYMHDILGGNSPTARPITGLLGNIYSGQVPFARPVGFLPPKGVAIPNSNGAIPTVNGNGIPLGTGLSGTRFAGNPNNNGVQTQLGPDNLGLGFGTITVIDDILTTAPELGSQAVGKAQGVYVASSADGSTQMMAFTAMLEGGEYGDSLNFFGVFRIGSTMSRLSVTGGTGKFKHACGFAEVRSLIPAGQHVTDGVETLLRITIHLNY >KZM86086 pep chromosome:ASM162521v1:8:31273730:31275322:-1 gene:DCAR_026492 transcript:KZM86086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAQAFLLVVFLVQAPGFIQCFRNVEDPELVVEEVHRSINASRRNLGFLSCGTGNPIDDCWRCDKNWEKNRQRLADCAIGFGKHAIGGRDGKIYVVTDNKDDDPVNPRPGTLRYAVIQDEPLWIIFKRDMVITLKEELMMNSFKTIDGRGADVHIAGGPCITIQYVTNIIIHGVNIHDCKRGGNANVRDSPDHFGWRTVSDGDGVSIFGGSHVWVDHCSLSNCRDGLIDAIRGSTAITISNNYLTHHNKVMLLGHSDTYTQDRNMQVTKHEDAPKTEWSRWNWRSEGDLLLNGAFFTTSGGGTSSKYAKASSLGARSSSLVGTITGGAGVLSCRKGSHC >KZM83907 pep chromosome:ASM162521v1:8:3147214:3151505:-1 gene:DCAR_028671 transcript:KZM83907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGAQDVYGEDCASEDQLVTPWTISVASGYTLLRDPHHNKGLAFTEKERDSHYLRGLLPPAIISQQQQEKKLMQNLRSYDVPLHRYMAMMELQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPEKNIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNQSLLDNEFYIGLKQRRATGKEYADLLEEFMTAVKQNYGEKVLVQFEDFANHNAFELLSRYSTTHLVFNDDIQGTASVVLSGIVSSLKLIGGTLADHTFLFLGAGEAGTGIAELIALEMSKQTKIPLEETRKKIWLVDSKGLIVSHRKESLQHFKKPWAHEHEPCTTLIDAVKAIKPTVLIGTSGQGKTFTKEVVEAMASFNKKPLIMALSNPTSQAECTAEEAYTWSEGRAVFASGSPFDPVKYNDKLYIPGQANNAYIFPGLGLGLVMSGAIRMHDEMLLAASEALACQVTQEHYDKGMTFPPFSNIRTISANIAAKVAAKVYDLGLATRLPRPDDLVKFAEGCMYSPNYRIYR >KZM83757 pep chromosome:ASM162521v1:8:1166106:1174070:1 gene:DCAR_028821 transcript:KZM83757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFDIFKGVRHVVDLCAAPGSWSQVLSRKLYLPAKLSPDSRECDLPLIVAIDLQPMAPIDGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILKEGGKFIAKIFRGKDTSLLYCQLKLFFTEVTFAKPKSSRNSSIEAFAVCENYSPPEGFNEKDLHRLLEKVGSPSGVDDLGIPQSDFTRNLFASEYMTDCSSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPRGADGAYQSLDPVQPPIAPPYKRALEMKKASNQGTRDLEKLSLDP >KZM84613 pep chromosome:ASM162521v1:8:16833632:16840255:1 gene:DCAR_027965 transcript:KZM84613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFDNRNSGFILTLVLFILAGFVEAQSGYLPQEEVNALGEIADQLGKKDWNLSVNSCNQNNSNFFKWNATNLPEGSKYNNSVLCNCSNPIGICHIQSMFLKGQDLDGILPPSIAKLPHIKQIDFTRNYLHGTIPREWATTKLESLAVTVNRLSGPIPEYLGNISTLTNVSLDNNMFTGSIPPELGRLVNLKSLHLDANYLNGHLPLELNNLINLQDIRLSNNNFTGNLPDFQMWKNLNKIDIQAGGFEGPLPSSFSLISNLTDLLISNLNGGASEFPQLERMVQLKNLVLRSCNISGEIPKYLSQLTKLQRIDLSFNKLEGELQTDLSGLESLRILYLTNNSLTGKIPEWIKSKGPKGEHNNCLGSPSCSKDLYAVHINCGGNQVTIGNRTFEADEDLSGQAKYYYLQGHWGYSSTGFFYKIDESLSLYTAKNNSILRMNDSELYTSARLSPLSLTYYGRCLANGNYTVTLHFAEIVFRDNSSYQSLGRRVFDIYVQDELRLKDYDIEHEAHGVDKAVKPKIKAVVMDKTLQVRFVYSGKGTTAVPVRGTYGPLISAISMESDNPPQDSSASHRKQKIIISVSVVVLGLFLLFTSLGIAWWQGYIGNRILKEEVLRGLDLQTGVFTFQQIKAATDNFAAANKIGEGGFGSVYKGVLLDGTIIAVKQLSSKSNQGSREFVNEIGMISGLGHPNLVRLHGCCTEHKQLLLVYEFMENNSLARALFDDNYICLLDWACNLKENGNLMELIDPRLGSEYNIEEAIRMIKVALLCTNTTPALRPTMSCVVSMLSGDISI >KZM85022 pep chromosome:ASM162521v1:8:21763733:21764890:1 gene:DCAR_027556 transcript:KZM85022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLNPSSHTFTSSWLKQGFQRAKWTTEDNKMFESCLAFIDTETPDRWLMVASMIPGKSVLDVIEQYKKLEDDISDIEAGLFLIEENLQNDSSTAKNLELNEFGKRPLACRSSDHEKKKGVPWTEEEHRQFLMGLRKHGKGDWRNISRNFVITKTSTQVASHAQKYYLRQLSEGKEKKRPSIHDITTVHLTNATQSEKHKLSADNKSTVVPQPEKSISMPRYTLDRNNTDYGSSMMFNSMHTNAFMPFKYEYGGHGADINPLNSGFQFQAPRHQIW >KZM85085 pep chromosome:ASM162521v1:8:22574281:22574586:1 gene:DCAR_027493 transcript:KZM85085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPTIYRSLKRSKSLRQRKHPAAQASPIHNIQDFYPDGYNFVYDDRPRIESSFDMKTKSMQIPQKWCYDDHAGGKKWPKKFVRFGSQRRIFSCITGAA >KZM85873 pep chromosome:ASM162521v1:8:29505206:29505529:1 gene:DCAR_026705 transcript:KZM85873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAATSSLVMKLLLVLVGLLFISHMVQLTSHETSFSPSLAARSRRHSPSPRERRERDCEDRTLICGSSSPPGGHG >KZM85118 pep chromosome:ASM162521v1:8:22881096:22882538:1 gene:DCAR_027460 transcript:KZM85118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGGGYVGGPTMAVIAYKCPSVVVTVVDISVQRITAWNSNQLPIYEPGLDAVVKHCRGKNLFFSTEVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSSKIVVEKSTVPVKTAEAIEKILTHNSKGMDFQILSNPEFLAEGTAINDLFTPDRVLIGGRETPGGQKAIKVLKDIYGQWVPEERIICTNLWSAELSKLAANAFLAQRISSINAMSALCEATGADVLQVSHAIGKDSRIGSKFLNASVGFGGSCFQKDILNLVYICECNGLSEVANYWKQVIEVNDYQKSRFVNRIVTSMFNTISGKRIAILGFSFKKDTGDTRETPAIDVCRGLLGDQAQLNIYDPQVPEQQIHKDLSMPKYNWNYPIHPVSMCSDDLKQVRVVRTAYEATKDAHGICILTEWDEFRSLNFQKIYDNMQKPAYVFDGRNVVDVEKLRQIGFIVYSIGKPLDPWLKNMPAVM >KZM85934 pep chromosome:ASM162521v1:8:30049316:30051452:-1 gene:DCAR_026644 transcript:KZM85934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIWYHGVSRKVGVILPIMMYNTTMAFYNKVGSIARQSNAHISSVPSMLNAIRAIVTFLCIDSGLSFQINEQCLRDAFSSFGDVDEAKIITDRDSGRSKGFGFVTFADSESASSAVSAMDGQPLNGRNIRVSFANDKPRNTFGDNSGGGGGYGNAGF >KZM86089 pep chromosome:ASM162521v1:8:31290976:31295523:-1 gene:DCAR_026489 transcript:KZM86089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSCYAILFLGLVPALMCQVTEFVSIDCGGTKNYTDLNTGLEWISDTGFINHGKSVRLENPDATSSQYQTRRDFPIDDKKYCYTLRTEERRRYLVRATFLYGNSAENTYPKFWLYLDATKWSTVTIMDASKVHVKEMIIRAPSSSIDVCLCHATTGSPFISTLELRPLNLSMYATEFEDDFYLKVAARVNFGAASVQAIRYPDDPYDRIWSSDLEKRQNFLVGVAPGTRRINTTKYINTNTREYPPNKVMQTAVVGTRGRLTYRLNLEDFPANARAYAYFAEIEDLGTLDSRKFRIEQPYMPDYYNAVVNIAENANGSYTLYEPSFMNATLDFVLSFSFVKTQDSTRGPLLNAIEISKYVQIAKKTNMQDVNILNSLRAKSKDSNWVDEDGGDPCLPTPWEWVTCSSDIQPRITKIALSGKDVEGNIPPELNNMDGLIELWLDGNSFQGPIPDISNLINLQVLHLENNKLTGPLPPYLGSLPSLRELYVDNNSLTGDIPSALLKGKVKLGYEGNPGLRNVTKHKRNRRVIVGVAIGVLAILLLLLIGSLLLLCRLQKKRSHQNNAKSDSLRTSTKRSSAFSIARGGSLMEEGVAYHITFCEIEEATKNFSKQIGKGSFGPVYYGKMKDGKEIAVKIMADSSSHGTRQFVTEKQLDWLARLRIAEDAAKGLEYLHSGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQTEEDQTHISSVAQGTVGYLDPGYYANHQLTEKSDIYSFGVVLLELISGRKPISAEEFGLDWSIVHWARSMIRKGDIISIIDPSLAEKVKIESIWRVAEVAIQCVEQHSSSRPRMQEIILAIQDAIKIEKGCDKITSGTSKSESSRRTLLTSFLDDIDSPELSDESLAPSAR >KZM83926 pep chromosome:ASM162521v1:8:3500562:3501305:-1 gene:DCAR_028652 transcript:KZM83926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPDQGPPLTQQSQDFEATEAEEEGEAASEESEDDETPLAVAAKKIRQMKLRTLGLTSTKDSPVMVASAKLKTLKQIMDSEKQQEKTVAEQQALEMEDARRKTVKKEMQQRIDKGKMPMQTSQVEPRRSTRLFQKTAFSKFTNTEDNPVVLDEPEAEAEEDTLQTKEHSPPVQINSYTGPMKLGKKKPPPVKQFKTIVGQTELKSAPFLSHGRNVITKSSLAKALSNLKKTYLPGSTTKEKDTQQP >KZM85857 pep chromosome:ASM162521v1:8:29337355:29341103:-1 gene:DCAR_026721 transcript:KZM85857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSRSPSSVSSSDDSASVATTQQNAGRSLRSADGSNSLSSGQALEVTRRWRDVFWSVVFVAHLICLGVVLGVLGLNRFRKKDRLNIDRYTSRILENQAGLTEDYWPLYAVACAVATVLGWTWLLLLGSRANQMMKFSVHILTTYLAVISVFCFWTEQFFWGVVFAIGSGLQFLYVMAVIDRFPFTMLVLLKAVKLVWNYPEVMRVACAFMMVMLLWLGLWSFGAAGVVASSMGDGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLFHGGREAASMPHKPLMKCLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGFRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAIFGKNFNKSARDAWELFQSTGVEALVAYDCSGSVLLMGALLGGLLSGTCAGVWTRIKYPDRVIMVGSTAMLMGMILVGVAMVVVESAVTSIYICFAEDPLLIQRWDSDFFDQMSETLHQRLQHRSARAREVLNHRRVEERIQETLPI >KZM83704 pep chromosome:ASM162521v1:8:640719:641411:-1 gene:DCAR_028874 transcript:KZM83704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKSSCPRKLDLNAPFLSTRRIELPRLSSRRPSWDTCNRVPFSWEQTPGKPKGGLKTYDEEHCDVLPPKLPPCRWHPAPELALTRDDSHESDTKNDQERRCDQGDFSDAIDIFSLSESVDFFDRDVDDFDTRESSSTQSPSFIIQRYLSDAKALAAASSAVIPGSTSNNNYHSSRTVRQSYSSPKSCGLDIFFPWRMKHKLCGVKTPVNPTRRKHSCSLPQTQFNSCRY >KZM84829 pep chromosome:ASM162521v1:8:19858168:19860338:-1 gene:DCAR_027749 transcript:KZM84829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKKGSSTNSESCEINIPNIQKTKIPETMKKPRDVRYSCSSWMSDVWEFCKQDRNRVIFSLKVGLAVLLVSLLILFQAPYQIFGTNIIWSILTVAIMFEYTVGATFNRGFNRALGSVLAGILAIIVAQVALSSGLVAEPYIIGVSIFLIGTVTSFMKLWPSLAPYEYGFRVILFTYCLIIISGYRMGSPVVTAMDRLYSIAIGAIVAVLVNVLVFPIWAGEQLHKELVTSFFSVADSLEECVGKYIEDEGSDDPDFFKSVIDEFPDEPAYKKCRSTLNSSAKLESLATSAKWEPPHGRFRHFFYPWSEYVKVGAVLRYCAYEVMALHGVLHSEIQAPYNLRLTFQKEIQEATSQAAELVRCLGNDIQDMKKTLKASLLNGLHSSTERLQRAIDMHSYLLASTYDPMKDRSCRTVDKLSRAFTPTLSDLNEQLDNLESGEELSTTSIAQSTPSESLASQILDSYHDTMRKQCKRLHSWPSREVDTAFDHEELEGGFEANFIPRMKALESTAALSLATFTSLIIEFVARLDHLVEAVDELSKLAKFKDEIL >KZM85662 pep chromosome:ASM162521v1:8:27640983:27646377:-1 gene:DCAR_026916 transcript:KZM85662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINHPHHHPSTAPPLRSHFSLGPLDHDPTAQLIARVRLSDILAYDGVATSSYSKAVQRLSESLTRRNAAIIELNCEDAAVVRCGLEASRLFFRTRSRNGGGGSGVYVYRAGRALEDTDSSPPCMADVFKCMGKAARAALSAVARHLRLRSDVFNYLLDDNPLPANEASSSVLVATYSHAALQNGKGVITGGMLTSNVETEKGLLTLIAADAPGIQVCDPNGQWYLADDGSSPGDLLLLSGRSLSHATAGLRPVTSYRAATDSTLIANSGGRTSLAFRLMPQSNAILDCSPVSAAGHVIPQSYVPISVSQFMDDLSAEENVPCHRTDNANVASNNLNKEPSLRSILSDPLSGAFLEDAMFVSCGHSFGGMMLRKVIEISKCALCNADIDSGSLIPNHALRAAATAVKYEDDKRLFHNSTLRKRRKEVGNHRENGDVAADYGVQRVIQYPFAVNEKVVIKGNRRTPDKFVGKEAIITSQCLNGWYLLKIIESGENVRLQYRSLRKPIISQSSEDRCPSQPVQNSG >KZM83950 pep chromosome:ASM162521v1:8:3915053:3920674:-1 gene:DCAR_028628 transcript:KZM83950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKSFKPAKCKTSLKLATARIKLMKNKKGVQMNQMKNDLAALLQSGQDRTARIRVEHFIREEKMVAAYDLIELYCELIAARLPIIESQKNCPIDLKEAIASVIFAAPRCADVPELLDVKKHFTAKYGKEFVTTALELRPNCGVGRMLVEKLSAVAPDGQAKFKILNAIAEERNIEWDSKSFEEKETKPTNDLLNGPSTFEKAGEMAVEATKIGVSDVQATSSHDRHTRPLNSTETNTVSSVDVHTVLPVDHGGRNTNDITHSDPRHSGNETKVGSHSFARDENYSRRQDWNMEFKDARSAAQAAAESAERASMAARAAAEFSRREDDSRHLPSESRNSTGNNTRQDMPGTYSSSELPGENFGNVPVKNSSFHSGNSKVQNDQIVRGENQNRAEARDRLQMYDHGNTKESSHPTSVRPGESTSDDMNSVHSMQRPHEYSGKTSFEETTKQVREPSDSRTGMMQQYIESRDESASRWKDDFRAEHFDQYGEGRPEKQDHLGSFHSHSSTETDDVAYKESGSNAAQDLFIVNGQESIYRDTTKMNSFDDKSVAFDEYDSSDVSHNLDIGPRYDEGESTTYVSPLGSNSSFSSTIDIWNPRKDTIKSPERATLKPHHFSEYQPTPVFSESTSKSLDPLGPDNVSVTPHDLDGPKFDSEDEVEMSIDEEKKNVLSLDPESAHAESYDSTETLFVNRKDTDNYRKPGVGSSSDDDLVTMEAHRQSDSMIVLNADSPKFDSDKYMQNISQSSNLLSTHTDGDRFLITESPEALKDEELKHQSDSEEEGKLKFGTLTGGLRNKGYRHPPSIRKLTGDSSSRNPKTTDESLMKIEQQISPISLESSVIATLSNDANTKSSFSTSTLHSDSDSQTDDSGDEAQQNTIKGRQASLGKKPNKEVKYKSSFRPPVATYFDLDNEDYNDDVPKQNLPNKGHLGSAISRRTKPSPSGPGTKSYSKIQAEHEESAKPNPSKDRTSTRDRPSNEIPTKMKSEVKSVDYFYREEQLNPAKSEASKSTVSRIPPHERPLNSSTAEESSSGLQKTEASSSLQNPKTLKFTGEPPTREDSTKRASHVHPKLPDYDSIASRLQLLRKDQQ >KZM85059 pep chromosome:ASM162521v1:8:22301932:22303259:1 gene:DCAR_027519 transcript:KZM85059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEKIEMFKSLEPWAERNILPLLKPVESCWQPQDFLPNPASEGFDDQVEELRQRAKELPDDYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASTTPWGIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGTGMNPKTENNPYLGFIFTSFQERATFISHGNTARHAKEHGNLKLAQLCGMIASDEKRHETAYTKIVEKLFEVDPDGTIQALADMMQKKISMPAHLMYDGRNENLFDHFSAVAQRLQVYTAKDYADILEFLVGRWKVADLTTGLTGEGRKAQDYVCGLATRIRRIQERAEGRAKEAGTARISWIFDKEVKL >KZM86081 pep chromosome:ASM162521v1:8:31245108:31247586:1 gene:DCAR_026497 transcript:KZM86081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSYSFLFLTILHVLLPSLITNHHHSAAALPLSTDTRWIVNESGQRVKLSCVNWVTHLETMLAEGLSKQPVDVISKQIVSTGFNCVRLTWPLFLFTNDSLANLTVRKSFRSLGLLESVAGIQANNPKIIDLPLIDAYQAVVSNLDSNNVMIILDNHISKPGWCCSDFDGNGFFGDVYFKPEMWIKGLIKVATMFNSTKNVVGMSLRNELRGPRQNWNDWYRYVQQGAEAVHSANPNLLVIVSGLSYDRDLSSLLKKPLNLTFTGKVVFEAHWYSFSDGSAWIDGNPNQVCGRAVKYMMEKAGGFLLAQGYPLFVSEFGIDQRGTNDNDNRYFNCFLGWAAENDLDSALWTLGGSYYLREGVVGLEEFYGMYDWNWGKIRNPSFLQRIAALQSPLQGPGVSNSRPHKIIYHPATGLCVLRKSLFEPLKLGPCSMSESWEYSARNVLTIKGTYFCLQADKLGKPATLSIFCNGENTKWEAVSDSKMHLASKLNNGSVVCLDVSSDNSVITSTCKCQGRDKNCDPGKQWFKIVNSTRDATATNSLLAIKEATNLLKEVMLTSF >KZM84674 pep chromosome:ASM162521v1:8:17569603:17570169:-1 gene:DCAR_027904 transcript:KZM84674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELERSSSEVGKKLWKILKIAFYMLMEALSKSKSLVDLQKTKLAGKAFGNLILYHYYSVFTCRSINDVSFISPQDHEFSCSNTPFYASYFAKRKSHHQQKSCDDINIARKVFELFKDHEKPEGSPFIALPGFGQSPVVRQLRISDSPFPLKVEKDPQGDKAAEDFIRKFYKELKQQKRSLSPYSIWAR >KZM84713 pep chromosome:ASM162521v1:8:18162870:18168705:1 gene:DCAR_027865 transcript:KZM84713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESQMDCSKYVRYTAEQVEALERVYAECPKPSSSRRQQLIRDIPILSNIEPKQIKVWFQNRRAALASPTTTDTGCGSMVAISQQQQQTSTSQHPHRDANSPTGLLAIAEETLAEFLRKAIGTAVNWVQIVGMKPGPDSIGSVAISRNCRGIAARACGLVGLEPRKVAEILKDRISWFHDCRCLDVSSVFPTGNGGTLELLYMQTYAPTTLASARDFWTLRYTTTLEDGSLVVCERSLTSSTGGPMRPPTACFVRADMLPSGYLIRPCDGGGSIINIVDHMDLNPLTVPEVLRPLYESSTILAQKMTMASLRHIRQIAQETSGEIKYTGGHRPAVLRAFRQRLCRGFNDAINGFVDDGWSTMNSDGLEDVTVAINMSAGKFLSSQYNAFSMLPTFGGVLCAKASMLIQDVPPPLLVRFLREHRSEWADSMIDAYNAASLKASPYAVPCARPGAPPSTQVILPLAHSMENEELLEVVRLENRAPEDLTLTSNMYLLQLCSGIDEKTAGGCAQLVFAPIDESFADDAPLLPSGFRVIPLDPESDKSSANRTLDLASALEVRHGGAHPHGEDNAGNYNLRSVLTIAFQFPFENHFRDNVASMARQYVRSVVGSVQRVAMAISPSRANFNLEPKQLPGSPEAFTLARWISRSYRVHTGAELLKTESQVGNAVLEHLWNHSDAILCCSVKTNISPVFSFANQAGLDMLETTLVALQDIMLHKILDDAGQKVLLSEFSKIMQQGFAYLPAGVCFSSMGRSISYDQAIVWKVLKDDNSDHCLAFMFLNWSFI >KZM83644 pep chromosome:ASM162521v1:8:10784:10996:-1 gene:DCAR_028934 transcript:KZM83644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPERVYHLAKQSFDEAIVELDVLSEESYKDKLQFMYFVDI >KZM85397 pep chromosome:ASM162521v1:8:25459359:25459927:1 gene:DCAR_027181 transcript:KZM85397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVADQDDILFADLSKQISLLIMDDEDHLPYPPVTYQVYSRVVQPMTQPAQVLNYEQSKGTGVFIPRSTHRRRKSKQGRSNTDYNAAAASKNTTECSQNNSKGLLAPQQGQLAYNNYTFYSSFNHKRI >KZM83758 pep chromosome:ASM162521v1:8:1178500:1178859:-1 gene:DCAR_028820 transcript:KZM83758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLTLSSDTTVKQTTTLNKPTIYTNKNRFTTGSRTTNPKTHNAFPAEFLRRIAEKMAKTLSLVTIKRSSRKVSSSATLARSRSCVDTTLDTHRAEAVSDCIEFLNSSSVLRKCNSVS >KZM83896 pep chromosome:ASM162521v1:8:2944874:2947360:1 gene:DCAR_028682 transcript:KZM83896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMRREMNYTWQRLLMTMLPVFLVMFLSVSVSVSADHDDIEEVSLMQSFGKSPWLSSDTVMKLKKPKLKMKGTKEEAAKDPGAAAAGAVPGAAGPGAGAVPGAAGPGAAGAGAAGAAAGEPAGAPAGAAEGPTDALAVEVAGPDGQPITGPDKPRFECESLGKWRITTPNVGVSAMQLQTMPFDKLVWFDTTFLGPSALQWTPPGNCPPNFETNQPDCFVHSLEYDAIKDTTRPLHIKSDPWCSTGSLSGSGTLVGSGGYYKGRAAVRLLKPGGDCDFTEKSEVLGSERWYASQHILDDDNLIIVGGRKSFNYEIIPPDTLEFPIKKFDFPFLQQTTDPVENNLYPFLYLTPEGNCFLFANNRSIVFDYKTAKIIKELPPLPGGSRNYPASGMSAVLPIRINPKTPEQISFDIMVCGGASPYAFWPVDSVTIRPKPKNVYWPALEDCNKLRLMDPNPHWEKDMMLSPRVMGDMLILPTGDLLILNGAKKGAAGWWNGDEPNLTPEIYNPRAKLGGRFKQLTPTTIPRMYHSSSAVLSTGEIFVAGSNENDRYVFEGVPFPTDLRVEKFTPPYLDASLDKYRPKIVGEIKKMTHNQPFTFTMAFDAKPADLEASDLKVTMLYPPFTTHGYSQQQRMLVLEVQLAGDSVTAVAPPNKKIAPTGYYLLFLVYRGVPSTGVWSRLSMEP >KZM85458 pep chromosome:ASM162521v1:8:25933344:25941520:1 gene:DCAR_027120 transcript:KZM85458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLFTGLNVVLVSTITPVYDFVCFHPYWERRAISNTLAQDDHPATVSLSLSLPPSPSLPFLGIYYYHYIWIIWATWQVGPQNDESTSEVGSSGTSDFQSVPSLQIPPRPAGFGSNHSGKSLLHQAPGYLNGSSSSGSLLRGLSFNRKVITFDGERSCLLTSGSSTSPGSPLAKFMTKFSFHRCTSLPVTQTSNLSPSTPVPASTRTCDEQSKPQASKTIVSRSLSVPGRNIVIVRSASFSNRRENLQSDAVDDQISDSVEDEDEEIPEEDAVCRICLDKCEESNTLKMECSCKGALRLLHEDCAVKWFSIKGNKNCDVCGQEVTNLPVTLLRLPTSSRSNNRTEHNQQGVNTRTISVWQDFVVLVLVSTVCYFFFLEHLLIQDLKTEAVVIAAPFSFTLGLLSSSFAVILAIREYIWTYAALEFALLAVILHLAYSVVSNDSDIFDITHLFLKSFLEVVNNLFTFLPANWTVSVVDYLCITTAKI >KZM84587 pep chromosome:ASM162521v1:8:16567555:16567756:-1 gene:DCAR_027991 transcript:KZM84587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRGALYDDMSELLTVCKLILYYAAGMSVVVVICPAVVVAEKVNALSSVFALR >KZM84605 pep chromosome:ASM162521v1:8:16722958:16726388:-1 gene:DCAR_027973 transcript:KZM84605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQEIEDDDDYVEYVPVAKRRALEAQKILQRKGNATAPESELETTKVVEAKPSLLVQASQLKRDLPEVSPTEQMVQQEKEMMEHLSDRKTLMSVRELAKGITYSEPLPTGWKPPLPIRKMSRKACEGIRKQWHIIVDGEDTPPPIKNFKDMRFPEPILKKLAQKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMMAVQEELMMPIAPGEGPFGLIVCPSRELARQTYDVVEEFLTSMREYNYPEIRPLLCIGGIDMRSQLEVVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVSIHGGKDQEEREYAISSFKACKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELSDPMEEAKEAERVANASGEKGCGYCGGLGHRIRDCPKLEHQKSMQIASSRRDYFGAGGYRAEI >KZM85697 pep chromosome:ASM162521v1:8:27952604:27958861:1 gene:DCAR_026881 transcript:KZM85697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWARVGVKFMRQNLGQKLNLGSRFYSAAARPKLPQSSRKGRLLTGATIGLLIGGGAYASTVDEATFCGWLFSATKVVNPFFALLDPEVAHRLAVAAASRGWVPREKRPDPSILGLEVWGRRFSNPIGLSAGFDKNAEAVDGLLGIGFGFVEVGSVTPVPQDGNPKPRIFRLKSEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETSNTSSSSSDEVKQGGKAGPGILGVNLGKNKTSEDPDADYVQGVHTLSQYADYLVINVSSPNTPGLRQLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTISRPDSVSKDPLASESGGLSGKPLFNLSTDILKETYILTKGRVPLIGCGGISSGVDAYKKIRAGASLVQLYTAFAYGGPALIPQIKAELAECLEKDGFKSIYEAVGADCR >KZM84477 pep chromosome:ASM162521v1:8:15113184:15115175:1 gene:DCAR_028101 transcript:KZM84477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEIAEARQGRNTRETSDKKKKQQDAETRYAGVIWKRSNRCEESPEDTRIVKKAKTSFARRDETFCSGDHEAQDPGWLARRYETTTPETSDSDRQNETPTPEPLYETSDSDEPGRLNCSNRWYETSDSERQNDTHAPGRLARRYETPTPETSDSDRQNETRAPGRLARRYETPTPVTLHETSDSDEPGRLNCSNRWYETPPPKTTWDKTPESERDSASSGGLGLSDWRYYPTPDWRDETPTTEDGAIFDLDELNIPAILPFLKAVCQSKDSWQARHKGIGFVQQIAILMGQAVLPHLPSIVEILEPGLSDKYEVVRTSTVLSLAALAKASAPHGIISFEPVLKPLLKGIRTHHAYDLDAFMKAIGCIIPLMDAVNASYYTKKVMVLLKRDFNQSCNEARRKILLKVVKRCVSTEGVEADYIQRHIVPELFRCFWNGEAAYVHSKQLVETTFEIAKKVGVADVVGRIAEDLKNESEPYRMVVMEAIEKIIANLGVTDIDAHLEELLIDGILYAFEEQDRYDSKNVVLNGFGTVVNLLGWRMKPYLPQVCDTITRCLQKDSADVRQNAADLISQIAVVLKHCEAQEFMGDLGVVLYENLAEGCPEVLGSILGALKSIVNVSDATNLQPTIKNLLRRLALILKNRHEKEVLENCVELVYLVADML >KZM83961 pep chromosome:ASM162521v1:8:4078779:4079538:1 gene:DCAR_028617 transcript:KZM83961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRNMSVFQSDSFAAVIDKGTLDSLLCGHNSRENAAKMLREVARVLKANGVYILASLLRRLRMEHQHIDYIC >KZM85318 pep chromosome:ASM162521v1:8:24653799:24655123:-1 gene:DCAR_027260 transcript:KZM85318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTKILPFDSQTIREVPIRSDAVKPVLKSRLMRLFDRQFRVSSTEKPSTGGEAQFSKAEVASAELFEPSSVCLTKMVQNFIEESNEKQLTQKCGRNRCNCFNGIGNDSSDDEFDVSSGFGDDLKPKAWCGDFSETIKNLIQCASVSERNLLADTSNIVEKNKICKQKDDLRRILTDELVFHGYNASICKSRWEKSALYPAGEYEYIDVLVDGEERILIDIDFKSEFEIARSTSNYKTILQSLPYIYVGKADRLKQVVSIISEAAKQSLKKRGMHIPPWRKAEYMRAKWLSPYTRTTASPESGEELAKEESVECDCGELELIFGKSDSFSSEKSDGGDEKTTAVTSPWQPPAVKPKSCDRGGKAVVTGLASLFKEKA >KZM85045 pep chromosome:ASM162521v1:8:22112686:22113982:-1 gene:DCAR_027533 transcript:KZM85045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWDLFSFKVEIFQITDLLVNITKHELKPKHRVLTNEEKEELLKKYSVDKKQLSRRTVKDAIARYYALEKGQVLEITYNGEIS >KZM85355 pep chromosome:ASM162521v1:8:25085596:25088336:-1 gene:DCAR_027223 transcript:KZM85355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTIGAEYYCRCCDTRTDLLPHVKIFLQICESISSHDDIKKILNLGVYVLQGSQRSAAERLLLVFEIAMGKQYDSRLEIVPVLDNGWNVNDKSTGVMVCHNGNHAVKSAIDEEHVDIRQGSPKKVSTDIDPEVESLKLEYKVEQTLQALKKSQELEFKIAKERLHDQNNCIQNLYQQFGKEKSEIEHHLAYVADPGSSLLRRSAAKRLLHIFEIAMGKQYDSRLRIEPTLDDVWNVDDRSTGVMCHNGNDAVKSAIDEEHVDIRQGSPKEVSTNFDPEVESLKLDIVLNRHCKL >KZM84148 pep chromosome:ASM162521v1:8:9184376:9184708:1 gene:DCAR_028305 transcript:KZM84148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQIEARINQITEENQLIEENIRNLEENAKLQHKIKLMEIQQNHDEGVIDVLKKHIEERRAFNRFIADDSNFEPSKVAERESIREAFEAEAKRRKAAKTSQTEPKNEKK >KZM85820 pep chromosome:ASM162521v1:8:28957222:28957596:1 gene:DCAR_026758 transcript:KZM85820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFYIFLATFIILTFFSAKIKSESTSLRLSLTNATVNRPCGPVAATAVNCPVSCFRTDPVCGADGVTYWCGCADAECAGAQVAKIGFCEIGDGVNGTISGQALLLVHIVWLILLGFFVVFGHL >KZM84861 pep chromosome:ASM162521v1:8:20237082:20239988:1 gene:DCAR_027717 transcript:KZM84861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAISGEPNFSDLVPTKKGRSRKALKPKTPSSNETNILPVPVSQASPVKKAMTESTGKENEKKKTKKKAAKEAKPQEGDFEKMFEEMQKKLEQMTLEKAKTEEMLKEREEALRRKEEELETRGREQEKLQIEIKKLGKMKEFKPTVNFPLVQAPKEKELGKKEKKKVCPEKKKPSPPYALWLKDQWTEVKKENPDAEFKEISTMLAAKWKTVTAEEKKPYEEKYQAEKEAYLKIVGAEKRENEAMKLLEEEQKQRTAMELLEQYMQFKEETENDKKKKTKKEKDPLKPKHPVLFMNERRADLVAEKKNVLEVGKITGEEWKNMTEKEKAPYEEMAKKNKNQYLQQMEVYKKKKDEEAASLQKEEEELSKIQKQEAMQLLKKKEKTENLIKKTKEERQKKQKGEKKIVDPNKPKRPASSFLLPASSFLLISKEERTTISEERPGINNSTLNALISVKWKEISHEEKQLWNEKAAGAMEAYKKEMEEYNKTTAAEESSSSCSGPQ >KZM85018 pep chromosome:ASM162521v1:8:21747043:21748916:1 gene:DCAR_027560 transcript:KZM85018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLVQIEGVNTKEEVSWYQGKRVAYIYKAKVKVKGSHYRCIWGKVIRPHGIEGEGHDVPEQYMRLYVRGTILGYKRSKSNQYPNTSLVQIEGVNTKEEVSWYQGKRVAYIYKAKVKVKGSHYRCIWGKVIRPHGNTGIVRAKFTSNLPPKSMGSRVRVMMFPSNI >KZM85574 pep chromosome:ASM162521v1:8:26859746:26861224:-1 gene:DCAR_027004 transcript:KZM85574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIYARTLEQCEEATGESDNVKARTKSLPLASIELLKKCGSKRNHFGFEENVELNLHVPGNTGNRVLSVEDVMMIAKEKYIQYISHTPDDLSMLFHPLNNHYSGLSGEAAEDIELVVFLLASAETFVNRQFHQMINLLSMCYQLATPDGNPIKRLVFYFAEALKERIQQETGNALLGGMGHQRRKTMQLQDALTMLHPAAIACHQQLPVSQVIQFASMQAILDNVSTSSRIHLVDIGIKNGLYWVIFMQALSVRHECPLELLKITAVISSSRESTEVIGKRLSSFAQSINLPFSFNIVVTSMEDLMEEVFSFETEETVAFFSHVYLSTMLAWPNRLAALMGVMKKIRPRVMVVVEIEAHTNSPALMDRFIEALFLYGSLFDCLENCMDRCSQFRKTIEAVYLWEGIQNLVTSEGELWIHRHEKIDYWRDVFGRFGLVEMELSKSSLSQADLVLKKNPCGKFCTLAMNGKSLICGWKGAPISSVSAWEFRQE >KZM85419 pep chromosome:ASM162521v1:8:25594246:25596086:1 gene:DCAR_027159 transcript:KZM85419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYLSYLFLSSIFLLVGLYCSFLFQQRKLPPGSRGLPILGNLLQIGPNPHQSLARLAKKYGPLMTIYQGSVTTIVASSAAMAQLILQKHDADTSGRIIPDAITTLDHPSYSMAWLHAGEEWRLIRRILATFLTNSHKLDSLCELRHGSETSTNTTEWAMTELILHPDKMMRLRNEIAESVSQKGRIEESELLRMPFLQAVVKETMRLHLAVPFLLPHKTETNVSLKGYEIPKNTQVLVNAWAIARDSDSWENPTTFMPERFLDSEVDFRGQHFSYLPFGSGRRMCPGMPLAHRVVSLMIASLVYHFEWKLPHDMNPKEHDMTERFGLTLARAVPLVAVPITLI >KZM83670 pep chromosome:ASM162521v1:8:327633:328190:1 gene:DCAR_028908 transcript:KZM83670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSRSNPNLGSRLKEEDKLFSSLFSKESSAANPSFRVYYADHVPSAVPFVWETQPGTPKHDFSSKNHENNPPTLRPPPSFYFTPTRDHKPTKTHSMSRSTQIIINNLKNIVPPYKKNQLSSSPSSSSSSSSSYSSASEKARVIHSRRRLLSIGSAFDDRDIYEFSVTKKRSLHNSMLVCFGIHL >KZM85327 pep chromosome:ASM162521v1:8:24737982:24741017:1 gene:DCAR_027251 transcript:KZM85327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAILDLNLGCMDSIMQLKSITNLSRKRWHLAFAAIYSSRAFSHLKRSSKSRRYDPVPKENSAVDIFPLSFGNVSQSSLKRLVKDKDLEHPESLGGVEGLVSALETDMENGIHADSDDISRRQEAFGVNTYPRMPAKSFFSFVVEALKDPTILILLVCAALSLGFGMKEDGPKEGWYDGGSIFVAVFLVISVSAISNFRQSRQFDKLSKVSNNIQVEVVRKGRRQQISVFDIVVGDVVCLKIGDQVPADGLFVEGHSLQIDEASMTGESDHVEVNLDKNPFLISGTKVADGYAKFVVTSVGMNTTWGEMMSSISQDSSEETPLQARLNKLTSSIGKIGLAVAFLVLLVLLIRFFTGNTKDDDGKTEFNGSKTKADDVINGVVGIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTMNQMKVTKFFLGHDSMGDRSFTSIASGIIELFYQGVGLNTTGSVYKPNAGSELEFSGSPTEKAILSWAVMELNMDMEELKRSTKLIHVEAFNSEKKRSGILMKKSGDNTMHVHWKGAAEMIIAMCTHYYDSLGNIKVIDDTQREKFDLLVQGMAASSLRCIAFAHTQVSEHEWSHGNGKLKENSLTLLGLVGIKDPCRPGVWKAVQDCQHAGVHVKMITGDNVFTAKAIATECGILKIDQDMDGAVVEGVEFRNYTQEERMEKVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAISSGEVPLTAVQLLWVNLIMDTLGALALATEQPTKELMDKRPVGRTEPLISNIMWRNLIAQALYQIVVLLTLQFGGEKIFNVNEKVKDTLIFNTFVLCQVFNEFNARKLEKKNVFEGIHKNKLFLGIIGITIVLQVVMVEFLKKFADTERLNWGQWGACIGIAILSWPIGFLVKYIPVPEKPFFSFLKGRT >KZM83980 pep chromosome:ASM162521v1:8:4791179:4791726:-1 gene:DCAR_028598 transcript:KZM83980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSPQVVRNPYCVKFIHEGKFGTFYLDHQHLLKYDVSLLVLVSTILRTKGFATKAKADADIEIVNYCTRRNIHQYFRKMKYINQSQPADFIEDPVDIEVQYHLSLARERKKRGESTAAEEPTQNEPSTPIIHCSDAEEGEVTRSE >KZM84793 pep chromosome:ASM162521v1:8:19315105:19316659:-1 gene:DCAR_027785 transcript:KZM84793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAPSSSSLGPGGLDLTPSFFKPIQNTSPPSPTKRHTKISVVGVGNVGMAIAQTILTQDLVDELALVDANSDKLQGEMLDLQHAAAFLPRTKIQASLDYSITAGSDLCIVTAGARQNPGESRLNLLQRNVAMFKSIIPPLAKYSPESILLIVSNPVDVLSYIAWKLSGFPANRVIGSGTNLDSSRFRFLIADHLDVSAQDVQAYIVGEHGDSSVALWSSISVGGVPILSFLERQQIAYEKETLVKIHKEVVESAYEVISLKGYTSWAIGYSVASLARTILRNQRRIHPVSVLATSFYGIDEGGVFLSLPAQLGRSGVLGVTNVHLSDEEVQQLRESAKTIMEVQDQLGI >KZM85775 pep chromosome:ASM162521v1:8:28563954:28573149:1 gene:DCAR_026803 transcript:KZM85775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDCLVLGAGQEVGKSCVVVNMNGKKIMFDCGMHMGHHDHQRYPDFSRISRTGDFDSSLTCIIITHFHLDHIGALPYFTEVCGYKGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEEQFTSENIEECMKKVTAVDLKQTVQVDKDLQIRAYYAGHVLGAAMFYAKVGDSAIVYTGDYNMTPDRHLGAAQIDRLHLDLLISESTYGTTIRDSKYAREREFLDAVHKCVTNGGKVLIPTFALGRAQELCILLDDYWERMSLKVPIYFSAGLTLQANLYYKVLINWTSQKVKDAYTTRNAFDFKHVCSFDRSLINAPGPCVLFATPGMISGGFSLEVFKHWAPYEKNLITLPGYCVAGTVGHKLMSGKPTKVNVDRDTQVDVRCKIHQLSFSPHTDAKGIMDLVNFLSPKHVILVHGEKPRMIALQGRIQSELGIQSFCPANNETVSIPSTHYVKADISDTLRRHSKCPNFKFSKTDSGENSDTGFMPTPLNPLQVFDDRVTEGILCLDRSKKAKVVHQDEFLGMLGAEKHELQFAYCCQVPIGNLGNAIETEVANVLPVIDNGSWLHLLTGKLAIELNDVNIQECGEHIQVESISISVCLNDDCPHRISETNLNKSEAVYFCCTWLAADEPLARNVISTLRKLELSTNLTTAG >KZM85328 pep chromosome:ASM162521v1:8:24745559:24748538:1 gene:DCAR_027250 transcript:KZM85328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQQLKSISPLSRKRWNLAFATIYSSRAFSHANNTSKPHHNYVKIARQDHVLDVSSASFPKANQTSLGRLVKDKDLDHLESLGGVDGLVAALKTDVEHAFKDPTILILLVCAALSLGFGMKEDGPKEGWYDGGSIFVAVFLVISVSAISNFRQSRQFDKLSKVSNNIQVEVVRKGRRQQISVFDIVVGDVVCLKIGDQVPADGLFVEGHSLQIDEASMTGESDHVEVNLDKNPFLISGTKVADGYAKFVVTSVGMNTTWGEMMSSISQDSSEETPLQARLNKLTSSIGKIGLAVAFLVLVVLLIRFFTGNTKDDDGKTEFNGSKTKADDVINGVVGIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTMNQMKVTKFCLGKESVKDISYNTIAASIVELFHQGVGLNTTGSVYKANLASELEFSGSPTEKAILSWAVLELNMDMEELKRSYKLLHVEAFNSEKKRSGILMRKNGDNTMHVHWKGAAEMIIAMCTHYYDSLGNMKVIDDTEREEINQLVQGMAASSLRCIAFAHTQVSEDEYDEGKAKLKDSSLTLLGLVGLKDPCRPGVRKAVQDCQHAGVNVKMITGDNVFTAKAIATECGILRHDQDMDGAVVEGVEFRNYTQEERMEKVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAISSGEVPLTAVQLLWVNLIMDTLGALALATEQPTKELMDKRPVGRTEPLISNIMWRNLIAQAFYQIVVLLTLQFGGEKIFNVNEKVKDTLIFNTFVLCQVFNEFNARKLEKKNVFEGIHKNKLFLGIIGITIVLQVVMVEFLKKFADTERLNWGQWGACIGIAILSWPIGFLVKYIPVSEKPFFSFLKGRT >KZM85660 pep chromosome:ASM162521v1:8:27625077:27630948:1 gene:DCAR_026918 transcript:KZM85660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTNGGNHNLDIEFANSASRVAKSFVANTLSNEMDERDSSRDSVRMGTSNFANFEEDPMVGKLRTQLGVVHPIPSPPVNKSIFGFFVFFFFVGVLFDKLWTSRKKNRSSNEGRLGMWPQVPTSLSSFLEKDLQRKESVEWVNMVLAKLWKVYKPGLESWLVGLLQPVIDDLKKPNYVERVEIKQFSLGDEPLSVRNVERKTSRRVNDLQYQIGLRYTGGARMLLMLSLKFGIVPIVVPVGIRDFDIDGELWVKLRLIPTEPWVGAAQWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGKAVGPLPTDFRSGEVQEGNKDYVGELSVTLVDARKLFYVFPGKTDPYVILKLGDQVIRSKKNSQTTVIGSPGEPIWNQDFSMLVTNPGKQKLYIQVNDSLGFADLSIGTGEVDLGSLKDTVPADRIVTLQGGWGPFGKGSAGELLLRLTYKAYVEDEEDEVIRARSTHADASDDDMA >KZM85366 pep chromosome:ASM162521v1:8:25170500:25170840:-1 gene:DCAR_027212 transcript:KZM85366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLLSLFCPLKKLWTRLRSAHKKSKGIHILYEDVKSCPYEDVHVLWSILVESHTPALPPIVTQVQNPRSTGFCQSVV >KZM85442 pep chromosome:ASM162521v1:8:25845527:25846558:1 gene:DCAR_027136 transcript:KZM85442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGFEGIEKRLELHFSGDDPMTGIGLRQLDFQTLEEILHAVQCTVVSSVGNHFFDSYVLSESSLFVYPHKIIIKTCGTTQLLKSVRPLIHYSSILGLSLSCCKYSRGSFIFPKSQPYPHTSFEQEVVYLQNVLPKKLCYKKASLMPSKLATHAWHVFSATDEAHLIFMQEPSPCTNFTFEICMTELDSVLAGKFFRGPNDGKTGDSAGKLMTEMTGIGKINPRAHICDFAFDPCGYSMNGLDGEKYSTIHVTPEEGFSYASFECVGSVCEGGGYIGDVLKKVVQVFRPGTMSVSTTCNSREVAARVAKAVEQVGMNCRSCTVDEFPAAGTVVFQTFTTRRT >KZM85066 pep chromosome:ASM162521v1:8:22379033:22379491:-1 gene:DCAR_027512 transcript:KZM85066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPVAPKVLANLDGNSPGGSNSLAVVNEGKMKCKGKMFQGYAFNDNGFISSKAVGILNSGCLSSFAPTFANEVVNLEAPVGKGLFAKDVLHHVCLDSLNVISAILNPPVSPPPLPEAAGILMPVDQMLICMGFDSSSPLHAPKKARRAASV >KZM84488 pep chromosome:ASM162521v1:8:15297375:15306752:-1 gene:DCAR_028090 transcript:KZM84488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIIEPIVTTSMEAWVAAEGMSKHRVAIDKLVQLRLFTETVDRKRETTYRLNPTFQKNLQNHILHGGVLPREPMKINVRLPSSADLDAYASGQWESFLLHLISSTEAEATRNISSSMMKIFQRGLLSQRDREAPMLTESGFQFLLMDTNAQLWYVIREYITHCEERGVDTSDLISFLLELSFHVSGEAYNMNTLSHSQGSIVKDLADLGLVKLQQGMKESWFIPTKLAINLSISLSDASSRKQGYVVVETNFRLYAYTTSKLHCEILRLFARIEYQLPNLIVGAITKENLYKAFQNGITSDQIICFLQQNAHPRVAEKVPSVPENVTDQIRLWESDLNRVEMTPSHLYDEFPSRDAFEAACDFAREYGGLLWEDSVKMRVIVKAETYDHMKRFLRQNAFDLFKRPRQREITEEEQEPVELLMMSLRNLF >KZM84867 pep chromosome:ASM162521v1:8:20286510:20287601:-1 gene:DCAR_027711 transcript:KZM84867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRAFTTPRKSFRYGETSCRSASIHEYEDCIIGFMDDPPLKNCNDVPGAIHLTLREVLRGSVGVVGQSRLGMIEKVVLLGGQVCSLKRFREVSVKRIEFGRRIQRLAEISKQCKYLVPVTSYLYTRRIKFVVSHYFPMGSLADLLAGARELGHTALDWKQRLKIVFHMARAIAFIHGQSPSQEKHLILNVHGHTSSESKNEIIEKKEEIKNGKCQFFEFPTTGKARKQALKVLDIALACTNNSPDARPSMEQILLYLGDIIK >KZM85656 pep chromosome:ASM162521v1:8:27605649:27610239:-1 gene:DCAR_026922 transcript:KZM85656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLPVTHRNGEESVVIVAEGYTPKWRRKCSDSGGGGSRVSIVARAAYTRRKLETAGAYELIDDETGEKVIVWGDEKDDDDVDDSGVSKDVLKWKPEKKISVSASRRSGSDSESRAGNKSLAGNFGRLKVQKVRKLARATSSSQQTVRGREYVDKASKGTFSDTSADSPDENEKLAVRRNFDALRDKLNVTRAGRSQDKQDTTQRKAMTREDMEDYEDVDEDEVDEVPKHQTRSPKYQRTDSRASDTRTSASSFRGWSRGVPMEDEYEYRPTVYPKKGKKGNADSDFFSRKSFKDMGCTEYMMEALKSRLFNRPSHIQAMAFAPVFEKKSCIIADQSGSGKTLAYLLPVIQRIRQEELEGIGKSLPQNPRVVILVPTAELASQVLTNCRLMSKFGVPFRSMVATGGFKQKTQLESLRQELDVLIVTPGRLTYLVKEGFLQLTNLTCTVLDEVDILYSHEDFEPALQILMNSSPYTTQYLFVTATLPVNIYNKLVEVFPDCEVIMGPGMHRTSPGLEEILVDCSGDDGAEKTPETAFLNKKTALLRLVAERQVAKTIIFCNKIETCRKVENALQRFDRNEYRVRALPFHAALAQETRLANMEEFRSSQRKDSLFLVCTDRASRGIDFTGVNHVILFDFPRDPSEYVRRVGRTARGAGGVGKAFVFVVGKQVSLARRIIERNLKGHPLHDVPSSYEQMR >KZM85266 pep chromosome:ASM162521v1:8:24221971:24222882:1 gene:DCAR_027312 transcript:KZM85266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GGPS-2 description:geranylgeranyl pyrophosphate synthase MDSSPTPSTFDFKSYMLEKAISVNKALDASVSLQEPVKIHESMRYSLLAGGKRVRPLLSIAACELFGGHEAVAMPSACAVEMIHTMSLMHDDLPFMDNDDLRRGKPTNHKVYGESVAVLAGDAMLALAFHLVATETRGVSSDQVVRVIVELAKAIGAEGLVAGQIVDVCSEGDPNLGLEDLEFIHRSKTAALLEGSVVMGAILGGANDEEVEKVRKYARCIGLLFQVVDDILDVTKSSKELGKTAGKDLVADKTTYPKLIGIEKSREFSDELKREAIDQLAGFNSDKTAPLIAMANYIANRDN >KZM85315 pep chromosome:ASM162521v1:8:24605466:24605711:-1 gene:DCAR_027263 transcript:KZM85315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLVMRLYDEIEHSKAMADMCVRNKKTGVLKEVVREFWIGETCLNEQLKELEEHINLCFLTINRSRRLVLQEVMHGATTL >KZM85722 pep chromosome:ASM162521v1:8:28108921:28109880:-1 gene:DCAR_026856 transcript:KZM85722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKWNYVIWSILFTLMPLIWHLRRKNSYRRSKLPPGPRGWPVIGNIFDLGALPHRSLAALKEKYGPVVWLDLGAVKTMVILSAGAAEELFKNHDLSFIDRFNNDVMRANDYYKSSMVLGKCSPYWRTLRRICTVELFSNKRINETVLVRQRCVNKMLSWIEKELVESATGEIEVKSFLFPAIFNMIGNLTLSKDLMHPNSEMASEFYSALSGFSVCLTSPNISDLFPWLRWLDLQGLRRRTDRELKKAMKIISGFMRERVKQQQHREGRATEHKDFLDVVLDYEGNGKDEPAKLSDHQITIFLMVSPSRNVYGKLKGK >KZM85751 pep chromosome:ASM162521v1:8:28341275:28341457:-1 gene:DCAR_026827 transcript:KZM85751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSPESPILLAAENVPERSSRYCDIDVDVEDGYHHGKNDLQFFEDHRDDGDYDQLIKN >KZM84576 pep chromosome:ASM162521v1:8:16395922:16396392:-1 gene:DCAR_028002 transcript:KZM84576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHKNKELPKIKLFGKTILQGAQVLKNEEDVNKEKDQLIDKKPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCRGCQRYWTAGGALRNVPVGAGRRKNKPPCGVLDGFSECSMFNFNWTVEEWHRAAVAGANEDPGSIIPAKRRRSILGGESC >KZM84632 pep chromosome:ASM162521v1:8:17198775:17198951:-1 gene:DCAR_027946 transcript:KZM84632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNQYRYSKYPNCNKIQRPKVQQRHKSHLQATSQKIRSPAWTWYNNLKINLRKKHTL >KZM86094 pep chromosome:ASM162521v1:8:31321904:31324038:1 gene:DCAR_026484 transcript:KZM86094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTYFPLRWESTGDQWWYASPIDWAAANGHYDIVRELLHFDTNLLIRLTSLRRIRRLETVWDDEAQFDDVAKCRSQVAQKLLLECENKKGQNSLIRAGYGGWLLYTAASAGDVGFVKELLERDSLLVFGEGEYGVTDILYAAARSKNSEIFRFLFEHSVSLRSSSHGGEWEVNRDEISSVFKGEMMNRAVHAAARGGNLDILREFLRDCLDISEFRDDQGCTLLHTASGRGQIEVVKDLLASYDMIDSKDKHGNTALHVAAYRGYLAVVDIIISLHPSTTFITNNDGDTFLHMTVAGFRVPGFQRADRQIELMKQLISGQVVDMQSIINARNNEGKTALHMAVIENINSELVELLLTSEAIDLNIRDDDGMTPLDLLKQRPQSASSQLLVKQLKSLGGISHCHDEKSKCTVISHLKLQGIGGSPGTSFRIPDADIVSFTDTESSNVFNHDPSTATYNTCSGELSHYDSLDKPNSLGNKKFGSVNYATRHLKILLHWPKKKITKAHNTATEDDDSLDSYSRINAGSDYNQCSLRQRFSRNTSVLNKKRILPFQSTLPSPSAKQKFTTGLTHGVLQITPKFGLESLSSRYSDSSKSSPISFNQLNRHDSEKDGCISSSNSIVNGEKSQRYSRHGSFNKRLMNQYLCFGAQGINVDDSVSCTRQAHSALSFST >KZM84616 pep chromosome:ASM162521v1:8:16877042:16880013:1 gene:DCAR_027962 transcript:KZM84616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKDQQQRQLFKQSTWPEFEGYFILFPHQLSSEAGELPGELSSILHVIGQIFPSHQKVWVRSKSLLDSGRGSFTLSSRISGGRRSRKNGSESSGTLGGQHWKKRHHKVTSQRQFNLKTEVGRHDKLDMQLIPLKLLVPHERKEFATNLLKNMNPKDTQ >KZM85080 pep chromosome:ASM162521v1:8:22515485:22522851:-1 gene:DCAR_027498 transcript:KZM85080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQSRDDDDNAPPPRDKKVESSGDNDNNLRQSANVDGPVCPLQNNAPPPNVATKDESMDQHNKPMASSENDTARQQSVNEGKTSRPSSPKRSPLACNRTNEDDKASITLDNAPLPQNNIPGQKETKNQASISPQKNTIQSASPPHRNAIQDDNKPRASSASQNENETADNDYPACVLDNATKDKDSSENKNERLGDDDPPMCVLDNAPPTGHTQTMPDQTNSIAQHNAPPDQTNVTALNAQQLNKTCTQQIVINGTDPKATKHNNLHTPQLRTNTGTNIRHRPQLQNKYSLDERGGGANYDNDENYDSSDGAGAPVICSNTGYEYSTPADGQSLPEFVGNDANAAEIFKAPRRAAVHPNRPPFLELRPHPLRETQVGKFLRTIASTDTQLWAGEECGVRVWRLSDAYKPGIGIGGRARRGDEDAAPFYESVKTSPTMCLIIDCGSNLVWSGHKDGKIRSWNMDEDLNDNPFPEAFSWQAHRGPVLSMVISSYGDLWSGSEGGCIHVWPWEAIAKSLSLKLEERHMASLLVEKSSVNLRSQVTMNGVCNISSQDVKVLLCDKVVAKVWAFGSSSISLWDARTRELLKVYNVDGHIENRADMPSVQDQGVEDEMSQKVVLKPKKEKPQKEKTQKEQKEKPQKEKPQKDQKEKPQKDQKEKPQKEKTQGFLKRSRHAIMGAAGAVRRAASKSGNEESKLKTEAVVIASNGMIYSGCTNGLIVQWDANGNRIQEFNHHPCAVLCFCTYGSRIWVGYVSGIVQVLDVDGVLVAGWVAHNGPVIKMVVGNGHVYSLATHGGIRGWSITSPGPLDQIIRPELSKREQIYKRRENIKILVGTWNVGQGKPSHDALMTWLGSAVSDASILVVGLQEVEMGAGFLAMSAAKETVGLEGSAIGNLWQEAIGKAVDEGSTFERVGSRQLAGLLIAIWVRTTVRTYVGDLDAGAVACGLGRTFGNKGGVGLRLRVYDRIMCFVNCHFAAHLEAVNRRNADFSHIYRTMAFSRSSHLLNNASAGVSSAAQGPRGTNAVESNPGKGKPDLAEADLVIFCGDFNYRLFGISYDDARDLVSQRSFDWLRERDQLRAEMKAGKVFQGMREAIVTFTPTYKFDRGKPGLGGYDSGEKKRIPAWCDRILYRDNRSSPAHECNLECPVVGSILQYDSCMEVLESDHKPVRCKLNVEIANVDRSVRREELGKILKSNKVKNCVHNELHFVPKTDVNTNRVVLQNQETRSFKIINKSAIDIAIFQIICEGQAIIEDNNQDLVFSPRSDFGFPRWLEVIPASGVIGADQVADVLVRHEEFHTTEQLLDTVPQTWWTEDTHDKEVILKVVLRGNWSSDTRSHIVHVRHCYSAKSTQTDPSKGGGSGKRSDDSSSRKHSEGSSSRKHSERKHSEGSGSRKHRGNRRGGKHGNKHSDSDDSRSSKHGNKHSDNEDSRSSPGSERDSKS >KZM85447 pep chromosome:ASM162521v1:8:25872890:25874443:-1 gene:DCAR_027131 transcript:KZM85447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKYEYVKVDYEVNDEVMFPNFIVVNLHCCNSTTFYEFEQPNDERAVNLMNACATAVLKEYPDIVFAYGFGDEYSFVFSKETRFYQRRASKILSLVVSCFSSNYVRSWKDYFPGLDLAGVPVFKSRVLCCANVEVVQTYLAWRQRECHAGNQYNTCLWNLVKGGKTEVEAREVLKGSGKQDKNEMLFQQFGINYKSINRMFRQGSCVVKTEIEAIVKHRDGVPVKRLRKDVIVEHHESIASRSFWNKHSCVVKEIGEFREDINKIKPEFVKSFEFENKLMPSTWIVVRIDGCHFHKFSEMHEFEKPNDEQALNLMNSCAVAVLREFSDIVFAYGVSDEYSFVLKKDSQLYQRRGSHIVSAIVSLFSAAYILEWMLFFPNKELKYIPSFDGRAVCYPSSKILQDYLAWRQVDCHINNQYNTCFWELVKSGRSKREAQKYLKGTQTNEKYELLAQKFNIEYNKLPNMFRRGSSVFREEKDTVNTIGETQKRVVIKHCNIIDSCFWEANPNILNEEPL >KZM84869 pep chromosome:ASM162521v1:8:20296533:20298296:-1 gene:DCAR_027709 transcript:KZM84869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHPGLLRFSFQRVIPFMELPGTPVRDEKKNGHLGKLENASENLQLFKTDLLDCEGLSAAIVGCMGVFHVASPVPDKNVHVANPEAKLVEPAVTGTRNVLQACLKAGVNKVVVVSSAAANMVNPKWPMDQDIDETSWTDIEYCRSTKNWYCLSKTIAEKEAWCHAKESGLDIVTICPSIVIGPMLQSTANASSLHILRYMKGGREGVENIEFPLVDVRDLAKGIVLLYEKDEAKGRYICSSFGLRVKELVEKLQCLFPDYEYPTSFNEVQHINSWKLNSQKLQSLGWVFKPLEETLKDVVKDYEEHDLFAS >KZM84906 pep chromosome:ASM162521v1:8:20720249:20720511:1 gene:DCAR_027672 transcript:KZM84906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARNIEWKKVLHEKKFWFASFLIAWAAGLQGHMMWLKRQDSFKEKFGNLDQTTEIEENS >KZM84546 pep chromosome:ASM162521v1:8:16090621:16091964:-1 gene:DCAR_028032 transcript:KZM84546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADIFLLAFSLISKASYENIYKKWIPELRHYAPNVPIVLVGTKLDLREDRQFADDHPGVTIISTAQGEELRKMINGVAYIECSSKTQRNVKSVFDTAIRVALMPPKQKKKPQKHKRCTFL >KZM85422 pep chromosome:ASM162521v1:8:25619673:25622038:1 gene:DCAR_027156 transcript:KZM85422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHLSYLFLSSIFLLVGYFSFLFQQRKLPPGPRGLPILGNLLQIGPNPHQSLAKLAKKYGPLMTIYQGSVTTIVASSAEMAQLILQKHDADMSGRIIPDAITTLEHPSHSMAWLHAGQEWRLIRRVLATFLTNSHKLDSLCELRHGVMGLCDKYIDQRLQHRENKSPRHGDLLDSLIDFSEENESDFTLKHIQVLLVELFIAGSETSTNTTEWAMTELILHPDKMMRLRNDIAESVSQKGRIEESELLRMPYLQAVVKETMRLHLAVPFLLPHKTETNVSLKGYEIPKNTQVLVNAWAIARDSDSWENPMSFMPERFLDSEVDFKGQHFSYLPFGSGRRMCPGIPLGHRVVSLMIASLVYHFEWKLPHDMNPKELDMTERFGLTLARAVPLVAVPITLI >KZM84553 pep chromosome:ASM162521v1:8:16169154:16171063:-1 gene:DCAR_028025 transcript:KZM84553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIIQETTTVHPSSPPFHHHHVLPLSHLDTDRNLDITFRYFRAYTNKTNKTSDPLHIITTALSTTLSHYYPLTGTLRRRDTDNRLELCCIPGRGVPVIHATVESSLSSLNYLDNPDDKNLEKLVPEWDPQDVLKNPFALQITVFGCGGFVVGAALHHAMNDGMGGSLIFNAMAEVARGGESISVEPVWERSTLLGPREPARLGFPVGEFLRLDKGFSAYAQSDGPVGKWCFDMKEEWLNRFKGLLAERSGSKFTTFEALGAYIWRARVKASGVAGDEMVKFAYSINIRRLVKPALPAGYWGNGCVPMFAQTLAKDLVEQPIWETAELIKKSKYNATDEYVRSYIDFQELHYHEGITAGKGVSGFTDWRHLGHSTVDFGWGGPVTVLPLTRHLLGSTEPCYFLPYSSAKEEKVDGFKVLVHLQEKAMPEFKAEMEKFCRMEFGLSSL >KZM85374 pep chromosome:ASM162521v1:8:25241206:25243506:1 gene:DCAR_027204 transcript:KZM85374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDISYSNNSGISNGNSHANAMLVQRKGCWYEEEIEENLRWCFALNSILHTGASQYQDIQLLDTKPFGKALVIDGKLQSAETDEFIYHECLVHPALVHHQNPTNVFIMGGGEGSTARELLRHNTIAKVVMCDIDQEVVDFCKSYLVVNRVAFCDPRLELVINDARAELERREESYDVIIGDLADPIEGGPCYKLYTKTFYELTVKPRLNKGGIFVTQAGPAGVFSHAEVFSCIYNTLRQVFKYVVPYSAHIPSYADIWGWVMASDTPFVLGADDLDLRMKHRIKGENRYLDGKTFTSASTFCKAVRKSLDNETHVYTEGTARFIYGHGHGHNSATKHN >KZM83837 pep chromosome:ASM162521v1:8:2007874:2010063:-1 gene:DCAR_028741 transcript:KZM83837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASTAFFSVSPASDASSGKPGGNVGGLPASVDARGIRSKSVSSKTLQVKASAQAPTKVNGSRVGVMEGLKSDDQISSSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWTVVDWKPKRLDMLGDPFGLGRIVHDGLAFRQNFSIRSYEIGADRTASVETLMNHLQDTALNHVKQAGLLGDGFGSTPEMCKKNLIWVVTKMQVMFDRYPTWGDVVQVDTWVAAAGKNGMRRDWVLRDYNTGEILTRASSCWVMMNKETRKLSKLPDEVRAEIGSFFVDAPPVVDEDSRKLPKLTDNSADYIQTGLTPRWGDLDVNQHVNNVKYVDWILESAPQPIMESHELASMTLEYRKECNRDSVLDSLTSILNKGQGDLADHGHVECQHSLRLNDGAEIMKGRTEWRPKRGHGVSFDQFPSESA >KZM84964 pep chromosome:ASM162521v1:8:21171806:21172963:1 gene:DCAR_027614 transcript:KZM84964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGRMPVNTDQQKPVDQKLIRRVPHQKPPFTISDIKKAIPPHCFNRSIIRSSSYLVFDLIACFLLYHVATSYIPLIPAPLSYLAWTAYVYVQGCFMFAVWVVAHECGHQAFSDYHWLNDSLGFVLHSLLLVPYFSWKISHRRHHANTNSLDRDENHVPRFKNTIRSYYHHFNNPLGRVFIIAFTLILGWPLYLIVNIAGRSYDRFASHFDPYSPIYSQRERAQIILSDIGVLAAGYGLYRIAAIKGLSWVFLVYGAPLHVANGFLVMITLLHHTHLSLPHYDSSEWDWLRGALATVDRNYGILNIVFHHIADTHVLHHLISSIPHYHSQEASEAIKPVLGDYYHYDDTPFYKAMWREAKECLYVEAEEDGDKASGVYWYKNKL >KZM84228 pep chromosome:ASM162521v1:8:10617569:10618786:1 gene:DCAR_028225 transcript:KZM84228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPPRKTPRLPENEPTYREPPQLPEDIILTKILTRLPAKIVGRLRSVCKPWRSLLSKPSFTKDHLNCTTQNPDEDNLIINKFVTNSNRKYYEIDVLSLSDLSETKLFDQYEFQRDYPVIKLIGSIYGIVCLYINVRDRAQFVLWNPVIKQAKEIESPECDIDLCGFCWDEVEADFKVIACSYRSEGRFYSVGLVYVYSCKSDSWTMQADARSWQPGIRFCDDYDEVPHSGVPAAIVNGVPYWQYSQRFEAGKPVFKFEVGSGEFREVPKPDVVGVSDKHEFLIVNWKERLSALVTQSYFSLTVVDVYCFDEGSGVWSKMHNIGPNMSNEYKLLGCFKYGGEIVTDINGNYVCYDYRTGETKGLGNRKGRLLTGFSHKDSLVFLEGMKRYRNATPYLLEKGKNS >KZM85342 pep chromosome:ASM162521v1:8:24979599:24984496:-1 gene:DCAR_027236 transcript:KZM85342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPERKHQLAPPVSKFEDSPVFNYLNSLSPITSVKSVHITQTFNSLTFSSPPSVFTSPHVSTLRDSRVLRRHQFSDPSNSEFSSSHGDKEDKSGEATDIPHNVEQQQNDNHVDVAGKSSAEPLYETNVAIELPQTSSYECVSPDCAKASHCGLETNCIPELAGSSKELIPYVQIRPGKESIEKEVHLEGPSHIQENKESAGCDWENLFSDEGDLLLFDTPNDSKTLIDPSQRSIEPGMGFCSSLTNDLQNPLAVNAVSADNNSQLECGRDMSVVTDQDILVSQSKPVAGDNGDNVDDEIASNLQRGMRRRCLVFEMVGSRRKRVDDGSNCSSSMLLQSNENTASGDKCVVPLKPASNAPKRRLPGIGLHLNTLAATSVDHKIINHDAFPPGGQPISVCGSAAYFNLSNSQQIVNSDLVVTSSDIDTCPLEDGILNAENAALGSGCMVNEVLDHSSPKKKKRRSDSGGESEACKRCNCKKSKCLKLYCECFAAGVYCVEPCSCQECFNKPIYEDTVLATRKQIESRNPLAFAPKVIRNSDVMIEVGEESSKTPASARHKRGCNCKKSGCLKKYCECYQGGVGCSINCRCEGCKNAFGIKDGSTSTETEVEIEGDAEQEKRAVNRSLQITTVHNDAEQKTSFALPATPLQICGSSIQPFSSKSKKPPRSSLLSIGGSSSGLYGTPRFGKLNSIQPLANIHDRKEEMPEILQRNASPNSGVKSGSPNSKRVSPPQSIGLSPGQRSSRKLILQSIPSFPSLTPKH >KZM85994 pep chromosome:ASM162521v1:8:30579944:30580174:-1 gene:DCAR_026584 transcript:KZM85994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKSELLVGCNPVHKKVPVLIHDNKIICESLIIVQYINQVWKNNGCSILPSDPYDRAVSDFWVAYYDVKVHLIIG >KZM85967 pep chromosome:ASM162521v1:8:30348520:30351018:1 gene:DCAR_026611 transcript:KZM85967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMNQHHLCFVLPDEPEDEDEYVDVTPSVTPSREPPPHRRRAFLEVLVHSSVYRFFESKFIRMVRFRQPKKLPSSVYLDTEGVQILEKFGGNSNPRNFSYSELYIGSKGFSKEEILGSGGFGRVYKAVLPSDDSVVAVKCLAERGDKFEKTFAAELLAMAHLRHRNLVSLRGWCLYDDQLFLVYDYMPNSSLDRLLFRQKGFKPLDWDMRVKIVNGLGAALFYLHEQLETQIIHRDVKASNVMLDSDYNARLGDFGLARWLEHEIDYKISAPSVKHEKQFLLPPDKFRLVDTTRIGGTIGYLPPENFQRHGAATAKGDVFSFGIVVLEIVAGRKAVDITHQDDRIVLLDWVRKLSDEGSVLVAGDRRIADGSYKDSDMEHLIHLGLLCTLHEPQSRPNMKWVMEVLSGNLCGKLPALPSFRHYPQYISVSSKDTDSSHITATGPSSATFTITTNTTDSVIGDSDAYFTAKGETLYASAETSPSRNGRSRSKAMPLINIPREVSFKEIISITNNFSESHKVAEVGFGTAYHGFLDKKKHVLVKRLGTTICPQVRSRFSYELENLGKLRHRNLVQLRGWCIENGEMLALYDHNATSLLSHSLFHPAHKRHRVLQWHQRYSIVKSLASAICYLHEEWDEQVLHKNISSSSIFLDSDSNPRLGNFALAQFLTRNEELQQPAPQKACGNDGMFGHMSPEYIITSKATTMSDIYSFGVVVIEVISGQMAVDFSRPEPLLIQRVNEFETRKRRYEELADHRLEGQYNHKELVRLVKLGMACTRSDPKERPSIKTILSILNGYDRCFLEKGLKKERKEEWKHNNMDSISLVKRLQALGIQ >KZM84397 pep chromosome:ASM162521v1:8:13894869:13895156:1 gene:DCAR_028181 transcript:KZM84397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINLQPMRRTDWRVKVRVKRMWRQINHNVETVGISLIFSRRIGKFNLLILQILHTYIFVVLNGSVSLTGRTHSYLDSCSLHQSPEESAYRWRNL >KZM84447 pep chromosome:ASM162521v1:8:14711919:14715106:-1 gene:DCAR_028131 transcript:KZM84447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNPQLFPNGMPVPFMNEMFILIRDGVEFDIDKIPGTQGGHVKVKGTIYLSNIRIVFVASKPVGNLYAFDMPLLYIHGEKFNQPIFHCNNISGSVEPVVPDNEHRALYSTHSFKILFKDGGCGTFVPLFFNLMTSVRQFNQRPNVGTETRVDPLQAAQTPVDEMMRHAYVDPNDPTKIFLQQPTPASQLRRRTYHSQSDEHAT >KZM84649 pep chromosome:ASM162521v1:8:17327702:17329786:-1 gene:DCAR_027929 transcript:KZM84649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFSSAIDVNYTKFSHGFTQIKRLPTKRFTISCNVSDPQKQNPSLAEQLKPLSDTTLSEDPKRDAQFLSKHKSTWVNPARRKPSVLNLQRHKKASYSYTPEVRDLKLFAKKINECDASEEAFMAVLEEIPKVPTRENALLVLNSLKPWEKAVLFFNWLKAQKLFPMETIFYNVVMKSLRFGRQFQIVEDLANEMIDNEVELDNITYSTIISCAKKCGRFDKAVEWFERMYKTGLMPDEVTYSAVLDVYAKLGKVEEAMSLYERGRASGWEPDSIAFAVLGKMYGEAGDYDGIRFVFEEMKALGVRPNLVVYNTLLEALGKAGKPALARSLFEDMIDSGITPDAKTLTALVKIYGKKRWARDALELWGRMKSNAWPMDFYLYNTLLNMCADLGLEEEAEGLFKEMKGLQNCRPDSWSYTAMLNIYGSGGNVDKALELFEEMAQSNVSLNVMGCTCLIQCLGRAKKIDDLVRVYEFAMARGVRPDDKLCGCLLSVASYFEGGDLDKVLSCLERASPKLFAFIKLLDKEDTVYGSVKETFKSILNNTAVEARRPFCNCLIDICRKRNLHVRAHELLYIGSEHGLYPGLHTKTSEEWCLNVRSLSVGAAQTALEEWVESLTKIVERQEALPELLSANTGAGTHKYSQGLATSFDLHVKELAAPFTQSEDKAGLFVATKEDIISWVQSKSPAVAVVA >KZM83992 pep chromosome:ASM162521v1:8:5093477:5094528:1 gene:DCAR_028586 transcript:KZM83992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCIHMLNNSTLEWRITVRVTRVWPRISELTEVVRGYNYILLDRHNHCIHARVNNDIWQSLDCLIVEGGLYEISTFALTNSSAFLRPVSSTRSIRFLNVTTVQPYMDTNLSFPEHGFEFVSVDEVQHVIGVVENPGQVSMIRTINGDRHVHKFRVTDGHMFVRVTLFGSILQTSNMLITANLQTPIAFYHEGDEGVDNYHLTACPWTRIYINMDTDDSRDMRNELVGITH >KZM85608 pep chromosome:ASM162521v1:8:27115448:27116865:-1 gene:DCAR_026970 transcript:KZM85608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIKGEEEMMIKEEVRRGPWSVEEDFTLMNYISLHGEGRWNSLARSAGLNRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILQLHSRYGNRWSKIAQCLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDAVHYLWMPRLVERIEAASVTSARSSTASVTSARSSTASVSANTYSTNNIGSSTDQVKMMPGTVVPNNSLQFLQPNNSGFTPENSCTTTTASSDSFGISQVSTVSDLTEYSCYNNYPPPPATNQQDFTESLISPSGYLNKGLYVDAIEQNNPLMACSEDLLDSFWDVEDIWDVYRSI >KZM85906 pep chromosome:ASM162521v1:8:29817992:29822755:1 gene:DCAR_026672 transcript:KZM85906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQGHVISPVGVRGKQTKVHSPPANGLVQRHVIFPVAVSAKQTKVHTPPANALLRKDEISSGFWGVKGVSCGKTNVGDRLVNCSLSSSSDGRGSMAGNYDDYAVEYVYCSVMNAVEVKSSEKDGFVIKLISGRLLRCAHINPLAGKPPELSPHPAIVLRMEDGTGLLLPVLAMEMESKLAVLALRNAEKSRPTIYGDMMTMVEKMGYKLGDESQNMTFDVRPPDAINIALRCKVSLHIIIKVPILVNKNLAYRHGMRVIKTLYHAALPESVIPKRDWRREAFEAALINSMLFALGQGRYEEAERSLQRKKMKITTKNTENSPLPLKIMHSVRDTKVIKRESCSKKAFLEETRAMILREETGDNFEMMSYVEPNYYKNAHYDIVMDYYLSG >KZM85483 pep chromosome:ASM162521v1:8:26147082:26149762:1 gene:DCAR_027095 transcript:KZM85483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSFAVTLGGTGGAVVLLGILIGVVWFYMLQRRKHLNKNSDTGSSDPSAIVEVKGRGPHSSSVTPPLMGQHEARQFMTEELEQATNYFDEKNLIGCGRLGQVYKGLLQDGTIVAIKRRAGIPQQEFVEEVAYLSGISHRNLVSLLGYCQDCGYQMIVSEYLPNGSMCSHLYATGKDSASKLEFKQRLSIALGAAKGLSHLHSQQTPMVHGSFKTSNVLVDENFIAKVADAGVSRLLRKIEDAGPSHSARTNVYEDPETAQMGICTKMSDVYSFGVFLLELLIGQEASHIDSFGSDGSILQWVETHLNSNDMMDHRLGGSFTTEGIKDFIRLTLRCMAPLGKGRPNMQVVVLELDRILEKEITLTTVTGEGSAQVTLGSQLFTAI >KZM83981 pep chromosome:ASM162521v1:8:4829433:4833620:1 gene:DCAR_028597 transcript:KZM83981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVDKKLITDGIKNGEKYQIYPEDVDISRVPRIFHSSCANGHVFYNFPQDDREQLKMLGQPRSFRGPRVQRHFGDDDESSRGEVSASKRREVYRVVVEANRVTVEDCLKLLEGIDCKSIACSVQKANLFFAELNKDDATRLKGLFCPLSLLSRADQIGENKKK >KZM86059 pep chromosome:ASM162521v1:8:31124131:31128757:-1 gene:DCAR_026519 transcript:KZM86059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAFFYEDGRPVEGKGIKTQVLDCVHDTYKAEVEGKDFAYDGEKSLFTVGALPKNKLEFTVVLKDNSSNRNNQSKSYKVEISFAAKIPMQAIAQALRGQDSKNSQEAIRVLNIIVRQHAAKQGCRFERQLCFNVNEFSDLGGGVLGYHGFNSSFRTTQGGLSLNIDSIMVIKPGPVVDFLIANQNAKDSFSLDWATANQVLKGLRVKTSSTNTAYKITGLSDEICKKLMFSMKRRGEKDENGEPLMTELSVYDYFVNIRNIPLCYSGDLPCIIVGNPKRPTYIPLELCSLVSLQHYPKALTTLQPGSLVEKSKQKPQDRMTTLTNGPQINNYADEPLLRACGVSIINKFTEVDGRMLAAPRIRVGHLETIAPHNGRWNFSEKKLLQPTTISEWAVVNFSAKCNMQSLINDLIKCGELKGMNFLSSPVVIEESPQHRRDSPLVRVEKMFKFLFSKLPYAPQFLLCVLPERKSPLYGHWKRNCQVVHGIYTQCIGPKIYTRKGLSYVVDQRLMSVLLKINAKLGGLNSKFAIGYARSISQKLKAGSLIIGMTLCRGSSDVPSIAAVVSSGEGPLSAKYRASVHTQSPKVKMIASLFTRVADNKDDGIMSEILDDYYMRSGSRRPEQIIIFRNGVSESQFNQVLNFELNQIIEACKFKDGKWCPKFVVIIAQKSRHTKFFQPTSADNVPAGTVVDNKICHPRNNDFYLCAQAGMVGTTRPTHYHVLLDEIGFSPDEMQKFVHFLCYQYQGSTTATSVVAPIHYARLAATQTRKFTMYDDTSETCSNHGGGTVHEKLRNSMFFV >KZM84892 pep chromosome:ASM162521v1:8:20593496:20593810:-1 gene:DCAR_027686 transcript:KZM84892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQIGPSMTATVTLENPSPSPSPSQQAPPQTLVLTLNRKKKKVSWKEGTVDNEFLQKKSSKKCCIFHKDKPFDEDSSDDDEADHDHCHDHGDKDHACSSKSSD >KZM83792 pep chromosome:ASM162521v1:8:1640336:1641786:1 gene:DCAR_028786 transcript:KZM83792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSFLCCNDLLFEIFSQCSLKLVDKSKTVSKVCKDIMYEPMFMQYHKKKTGAIYGYLIQSLYKNNHFSSVVSLDDVISTVSLDFLPRKSQILASTDQGIMCCISRENGWRNERFYVCKLMTKQIQMLPNPKLRYMTDKVAMVVLESNPLRYKIIRLSGLETRLWSDHHTYLCEIFDSKTWLWEQSKIMLPLRVLISRDSIFVSGSVHWLLSDNRILAFDVKTTTHTIFSLPHDARVKENEFHTIRLVKYKGKLGLIKGLGSEFEIWELENSRTRVWKRIKEINAEAVEKKEPYCVPQAVGLYSRDVALMKGRDYIFYNFEDASLSVAKLDHHLTHPNDVFPFRSDLEPVDLRGLSFADVLDDIAIA >KZM84942 pep chromosome:ASM162521v1:8:21037210:21038103:1 gene:DCAR_027636 transcript:KZM84942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLNTVGLALSVVFGCLLLALVAEIYYLLWWKRRFRSRDLEDGYNSSPATREFFYMFCWKKPSSLSSTALNPGELTETSSTHVQESLSNGQSSKDFWFRPFGEDSVEAELLGLQNLSGPPRFLFTIKEETKEDLESEEGGGKSRKSSRTRSLSDLVLNPETPFLTPLTSPSYFTPPRTPMDTLYNHNGFNPLYESTSDAEFNKIKSSPPPTFKFLRDAEDKLQKKKLLEEAENCSHKKERFVTEDEDSSFITLIVPRQNKERDTFYSSSSSQVLPLSASPPSYKHSSNKKPSLHYN >KZM85909 pep chromosome:ASM162521v1:8:29852635:29860701:1 gene:DCAR_026669 transcript:KZM85909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTEFCMGRKKQKVVLPPDLPPDVAEDDVEVSDEDLAFVKENIEHVGFLNTLDTQSITRHVTRVADVKEDALESLYEQRLRKKTLLKEKDENLLEVDPVDALPVKTPDGKIVFRTVPKVPKVAVDTATEGEATSDNEDNVADKSLVRLSKAEKRAKFKKLRKEAKKQGKEVTEIEEVNETSQADVLEEVKKDLTAEEASESKKYRLAEIGTALLTDPEANIKSLSEMLQISKSEDHAIVTLGLKSLLAVFKDIIPGYRIRLPTEKEQAMVVSKAVKKMRFYESTLLSVYKAYLLKLFYLEGKPAFQRVAIRCICSLLEAVPHFNFRERLLAVVVKNISSADDVVRKLCCATIKSLFMNEGKHGGEVTVEAVRSIAALVKAHDCQLHPDSIEVFMSLLFDEDLGRAQRAPVDKRSRSKKFKKRKNQEEQPNQLLNNDKKKARKEMISQTQEEVDAEYKAASFAQDIAERRRMQTETLSAVFEIYFRILKHTFQTRTDATSGSYVSSGGHPLLVSCLNGIGKFSHLVDLDFMADLMSYLRKLARGSGDGNGSPEDCSGCLTVSERLQCCIVAFKVMKSNLDALNIDLQDFFAQLYQLILEYRPGRDKGEVLAEALKVMLCDDRQHDMQRAAAFIKRLATFSLCFGAAESMAALVTLKHLLQKNVKCRNLLENDAGGGSVSGPVANYHPYSSDPNLSGALASVLWELNLLSKHYHPSVSTIALNIANLTNTTNQVYHANVSPQQAFAELSLEKESFNANIDINKSGNKRKRVNVQQAVPTVDDAEIASRTDEVEVRKKLSEHFFLLRDISENGRLKSELDRTTKSLQLYQQYKKQKKKQSANMYIVVTQVPCWMDTVMLCYAI >KZM85190 pep chromosome:ASM162521v1:8:23553443:23562025:-1 gene:DCAR_027388 transcript:KZM85190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMVALGPLVHHQIPSLPTLFTKTPLTSSLNYPHRAPLSVPTTSVSFKSSSYRPVVTARATETDATTRTQTDQLADVVFTDTFPVRRAQKVEGKLIIKLDKGNSEGKWELMVGCTLPGKWILHWGVNYVDDTGSEWDQPPIEMRPPDSIPVKDYAIETPLKISSKEKEVENLQTVKIGFDSKSLIAAINFVLKDEETGAWYQHKGRDFKISVIDCLPGALGQLSSMILQSEGVDSDGVKSSNDPKGTSPRSSLKSFCEEHPIVKETLFDNAVKVSVSTCPETAKKLLYMETDLPGDIVVHWGVCKDESKKWEIPAEPHPVKTTNFKNKALRTILQRKGEEGAGGLFTMEQGLVGFLFVLKLNDDTWLNCMGNDFYVPLSTSSDLEKLSVKNQSEDSIQKVLTETVESDSSPISKKDGLSDPTISEYTDEIINEIRSLVTGISSEKKRKTKSKETQETILQEIEKLAAEAYSIFRSPIPAFTESDVIEKIEPEAPVKICSGTGSGFEILCQGFNWESNKSGRWYMELQEKAAELASLGFTVVWLPPPTESISPEGYMPKDLYNLNSRYGTVDELKILIEKFHEFDIKVLGDAVLNHRCAHHQNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLKEWLCWLRKDIGYDGWRLDYVRGFWGGYVKDYMEVTEPYFAVGEYWDSLSYSYGEMDHNQDAHRQRIIDWINATSGTAGAFDVTTKGILHAALERCEYWRLSDEKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGREMQGYAYILTHPGTPSVFYDHIFSHLNSEISALISIRTRNKINCRSTVNITKAERDVYAAIIDEKVAVKIGPGHYEPQHQHGNQNWSLAREGRDYKVWEAS >KZM84885 pep chromosome:ASM162521v1:8:20536192:20537253:1 gene:DCAR_027693 transcript:KZM84885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANIVSCDHADEDYIDMAVSSNDSIFCHAMSSPPHPMEFEFQMFPSFSESDTTTSPADELFYKGKLLPLHLPPRLQMVEKLLQNANCYDKYADSFDEFFSTPLENTTPASTTPFESCNISPYESCQVSRELNPDEYFFEYSTEASDFIADPCKKSWTRKLKLIKQSSIGSKMKASHSYLKSLFNKSGCSNECSAAAAIRERTTPLAKECADNYTKVEREAFGKIHTSKHKISTKRSLKNGQIPQIGGGHHRRSFTGAIKRLSTTKSSSLSSSVGSLSSSSSSTSNGSQESQSFKLNGSSYSDIENSIQSAIAHCKSSQQLNSRKDTSTIEVYSFPSSRIMYEDRERFELCQV >KZM84006 pep chromosome:ASM162521v1:8:5272939:5273540:1 gene:DCAR_028572 transcript:KZM84006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVLPHPILEVVQNMGDGEVDGVLSVAAPGSLLSHDLSLVRGSSGSEFVRNEKGKAKLMHDSSISSSGLLSNAAQRLSDLGFNLDFILGGGAVPKAVLQSALMEALADLIPQEGLSRSSLEIGDAGTSLGSHGAVQVLEQQGSKKGKDQVKGMDSGASTSGRHFD >KZM85889 pep chromosome:ASM162521v1:8:29660036:29665247:1 gene:DCAR_026689 transcript:KZM85889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKGHHEEEDEAYDDFGSKKDATPSTNTKDGKNSDKASATRSKHSVTEQRRRSKINERFQILRELIPNSDQKRDTASFLLEVIEYVQYLQEKMQKYEGSYQGWSSEPTKLMPWRNSHWRVQSLVGNPQAVKNDPVPGSTFSGRFDENNVTILPPMHTNPQIPVDSDLSRDVSCKLIDQQPELANKGMVMPMPLHSNIGIPIQGDGVFSHPLQRPVSDASISECGINGDAPNNQEDLTIEGGTISISSVYSQGLLNTLTQALESAGVDLTQATISVQVDLGKRANRGLASGIPGAKDHDDPSDDQPVGRFQDSIAGEVSDQDYAVSKSLCILKSTRLWTTRFKRQNRVNMIE >KZM85926 pep chromosome:ASM162521v1:8:29969204:29970538:1 gene:DCAR_026652 transcript:KZM85926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSDTSKTTKLERYNSYIKRVNSTKLLTASSKLIFRASLLISIVLIFFFTLNYHPLASHTATASPHRHLSAFYGTGADWEKQVRHSSTPRRASGFSILVTGAAGFVGSHCSLALKKRGDGVLGLDNFNKYYDPSLKRARQKLLSSHDIFIVEADLNDAELLAKLFSIVPFTHVLHLAAQAGVRYAMQNPQSYIKSNIAGFVTLLEVVKAADPQPAIVWASSSSVYGLNTENPFSESDRTDQPASLYAATKKAGEEIAHTYNHIYGLSMTGLRFFTVYGPWGRPDMAYFFFTKDLLQSKAINVYKTQDNKEVARDFTYIDDIVKGCVGALDTAEKSTGSGGKKRGPAQLRIYNLGNTSPVSVGKLVSILESLLNLKAKKNVIRMPRNGDVPYTHANVSLAYNDFGYKPSTDLSTGLRKFVKWYVSYYGIQPRVKSEAHKKNQA >KZM85964 pep chromosome:ASM162521v1:8:30319985:30321043:1 gene:DCAR_026614 transcript:KZM85964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQYPKLETFLPKELMLPSYFQEMVPGSQNFTDHSGWNFLQSSSESDSPVDDKSSVATLSTCNVADLEKTKFSSGSSCIPLTFLESFPQLHQVSHDHASEQGSSSSSTDMKFQNLGLFLNDPSVLNDVPSFQRNDQASSECLKINENLLASYTPEGLSDYWLSTTKTQAMKSSGRRMQKPSFTKLFRGVRQRHWGKWVAEIRLPKNRTRVWLGTFDTAEEAAVAYDTAAYLLRGDYAHLNFPEQKHQLKANSLNGSTAALLEAKLQAMSGNKKASDCRSATSKKTCEETRTINNCQVEEFKGKSGMISHEKNKKPQEVFCAENINGVDQLSRVPSLDMDMIWDALLVSSDS >KZM85393 pep chromosome:ASM162521v1:8:25427636:25428661:1 gene:DCAR_027185 transcript:KZM85393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSSRNHHKIPRSQTLPSSPTHSSSSSDFEFTVSISPRKSTTNLIPADQLFYKGQLLPLHLSPRLSMVRTLLLASSSTSSSDTTTTASRHSTASSSSDFPDSRDSSRRPSSVTDDDTSNSQPLTRLLNTSPTSAKKPKYFSLSRFSSVFRKEPKLPSSTTTTITYDTIANTSSQNSVKRVSSTAKDVIRKYLKKVKPLYEKLSQKQGASTKPPTASSGSVSEHNSRLKDKSANTISHSFSGNLRYPRRRSCVASCPSSMRSSPNHSGVLSRTGATLMSKQFNGAATTSYSSEASSMEELHSAIQGAIAHCKNSMNFSNNNNGCSTSGSSSATLVVSNEI >KZM83818 pep chromosome:ASM162521v1:8:1867746:1869392:1 gene:DCAR_028760 transcript:KZM83818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLFCTEEHVWLMMSPAAAVPDQTSFNTSETNINTTCVYSSGSQDFEEAFELYLQKEQTYMPQPGYVDRLELDRFISFCRRRAIHWLIQTRRRLNLSPETVSNAVNYLDRFISLNQCHGWKYWMVALLSVACLSIASKFGETSPPLLLDIQVEGLDHTFQPKLIQEMELKLLQTVHWRLNCKTPYSYVELMIRDFDFFTDELLTRVTDLLLLALCLDCKLLTFRPSVIALCMLRCLPEKLIPSAYSSHLAHFVNLIPQDQMESLIKCHKIMDSRLIDHLYNILSSEPPYGSSSPVTVLNMAPLVERCDPQVDELLCVSKMACRKRKRIDLHE >KZM84007 pep chromosome:ASM162521v1:8:5298286:5304782:1 gene:DCAR_028571 transcript:KZM84007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSREKSAFNWPPPHCSANFGTDEMQTSDGKSNRITTLNASAPGSSKYSRVNTSTPGSSKYRQQAFEDTVKNLNFEMDASHQTKKYHHHNNDNFEDIEYSTIRDTEVPDADCYSNDENEDNVNWCSEEKQKGPRKVWKGVNKKTKEFRGYNIIFTDDSNCRIHAFVSAQLCSKLQTELVEGQVFVVRNFAVKKYNGDETHRAVRNETHIYFTQDTKFVKDSEQGLEIMPQTIDLFKLSDVDRLKQDNRYLIDVVGVLEGTPSKIEYKKDELHHFYVKFSITDGREKEAGFYVVDIDDSDEDELPHVTVKELLELKHDYIKQCVQSRVILRKVEQHMNWYYKICTACDVELDFDDKKNQCPQRKKILPYPDKRFRLFTLCSDNTGTVPITLPNEEVVQLIGRTVYDILADDNEVGDGDKFPGALTGLQKKYYDITISISEENVKQGSQVFNATKISKPLEISATNSPGMQTSIQINSTAVPTESAASKSSPPTGNSRTKTRARKRKNVKVEKNCRMQAYVPAYLSDKWKRLLRLGNMYTITNFNVKTLTADDKWRTVNIDRQISFTNQTRAREIIENEYFIPQNTFDFYSFNELDALSNQKVLLADIVGIVIKLNQLHPVHTSTGKDQLQVKLKMTDGRNKLNVTFWDEMAVDFQNQIDTEKFERPLIVIVSSAKVSKFRNEIDVCNYAQTAFYINYNHHNVADLRKMTQETDFTEESYQTKKKRRLEFLSVKEINNLSAEYIEFKGKFPTTFKKLENAQSTVKLKIHKDNIGNSEELYLATDIYPGFEREEVNEDEETTIRPTEHSISELSTSASYHLDNISQLKGN >KZM85982 pep chromosome:ASM162521v1:8:30447799:30449271:1 gene:DCAR_026596 transcript:KZM85982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSTFDGSDTTLLLKSGNLNCPSGVLESFWMSNSSPSFQDCPSKVSASIVDFQNPPQDDGRKGRLFSSLDVDEDNGEAFDPSFHRSEKKRRLSKDQVQFLEKSFEKDNKLEPDRKVQLAKEIGLQPRQVAIWYQNRRARCKSKQLEKDYDVLKESYDKLKVDYENLLKENESLRIEVESMKDKMPVSEKREVNLEPVNARDVGAKPTEDLIVQNENTPQLMIRKPEYVNSSESPAVDSDSLYSVDGNLKSVFESVDSSSVFEPDYSDFSQDGEEGFSRMLLLPDLPMLETECFDDMYVDHSNLAESSVGDQHLLLWTNVFDV >KZM83824 pep chromosome:ASM162521v1:8:1885622:1886759:1 gene:DCAR_028754 transcript:KZM83824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHCTWIDNCVGHANYKIFFVFVTYAVIACIYSLILLLGSLTVVPHNNEQKLEGYLQFVYVTSFILLVAISVALSYLWSWHVQLILRNQTTIEQLEGVRALWLADKGGDVYIHPYNIGSYENLISVLGPNVFCWLCPTTGHVGSGLHFHTSYDKATSA >KZM84198 pep chromosome:ASM162521v1:8:10101431:10101580:-1 gene:DCAR_028255 transcript:KZM84198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKGQPHKLLTWMGSMYDQEGPWPRLVTSIALRRGACLRSTQVVEPTS >KZM84339 pep chromosome:ASM162521v1:8:12731385:12731687:1 gene:DCAR_028367 transcript:KZM84339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFAKFEKSKERRLATELGYGFPIGDPWITDGISPWPFASVPKDSQNRNSEILGSSLTSMKFINEVVNGGVTVIRSSCRVEPCSTRHEIDLGVKTWSLR >KZM85975 pep chromosome:ASM162521v1:8:30394622:30395785:-1 gene:DCAR_026603 transcript:KZM85975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLNYQWPPAPPPKPAPPPKPEPPPAPPPPPPPKPPPAPAPAPKPTPNPAPAPPPTPPPPPPPNPPPPPPPSPPPAPPPAPAPPPKPAPAPPPAPPPPPSPPPAPPPAPPPSPPPAPAPPPNPPPPPAPPPSPPPPPAPPPSPPPPPAPPPSPPPPPAPPPSPPPPPAPPPSPPPPPAPPPSPPPPPAPPPSPPPPPAPPPSPPPPPAPPPSPPPPPAPPPSPPPAPPPSPPPPPAPPPSPPPAPPPSPPPPPAPPPSPPPXTTTTSTSTKPSSCTPTKSTTTTCTSTKPSSCTPTKSTTTTCTSTKPSSCTPTKSTTTTCTSTKPSSCTPTKSTTTTCTSTTCTSTKPTTRTSTKSTPTTYTTSQPSTKPPTSPMLKPFPINPMP >KZM84225 pep chromosome:ASM162521v1:8:10572131:10577044:-1 gene:DCAR_028228 transcript:KZM84225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEATENKEESQSSEMAAAAMDGAAATALRSVLHRVNNAAVKSGRNADQIRVVAVSKTKPVPLLRQVYDAGHRFFGENYVQEIIEKAPQLPEDIEWHFIGNLQSNKVKPLLNGVPNLFMVETVDDEKIANLLDRVAGSIGRKPLKVLVQVNTSGETSKFGVDPSGCVALAKHVSLGCPNLEFCGLMTIGMPDYTSTPENFKTLANCRSEVCKALEMGEEKCALSMGMSGDFELAIEMGSTNVRIGSTIFGAREYPKKQ >KZM86135 pep chromosome:ASM162521v1:8:31678557:31680890:-1 gene:DCAR_026443 transcript:KZM86135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTGSLSISLNSSQVVMVSASLNKLKTGSYNNSSGMMIPSWVSLKTKTTPSSSTASTKLHNNGGGDLENMHLVSLAKQGKLSEANLFVHEMDQAGIAVSYRSYLSLFESCAVSRSLFYANLFHAHLSAKTDENNNVPAFVFNCILRMYCECGRVFHAHNLFDQMSDKTFSSWDIIISAYAQRGDLRNALLLFSNMQFSGLHPQIYFSLFKSLSNTSCLEVGRQLHSHVLRAGYADNDSMKTAIANMYAKCGFLKGAKLLIDRMCQKNVVAMTGLMVAYVQGGNNYDAFRLFATMVESGAQLDEFVFSITLKACAQLEDMEMGQQIHAYIMKTGLEQEVSVGTPLVDFYAKCASMESCRKAFLRIIEPNDVSWHALISGYCQIGEFGECIRTFTSLRRSNVVLLNSFMYTTILQCCSAVADFSLGTQAHGDAIKRGLVSYLHGESAMVTMYAKCGRLDYAYQVFESIVQPDVVAWTAIIAGCACHGHASEALRFFRRMQACGVGPNAVTFIALLTACSHSGLVREAEQYLKAMSSTYGVEPTVDHYDCMIDIYARAGLLDKAYQLIKTMPFQPDSMSWKCLLGGCSVHCNFELGKIAAEELLQLDPEDIAAYILMFNLYVSSGKLKEAADVRRRMAERDLQKEVGCSWITIKGQVHRFIVGDKYHPQTEAIYSKLNELKVPRTKNEHVILNEDDVGDKLPERNEQLLDHSERLAIAYGLISTPIDTAIMIYKNLRACKDCHDFAKHVSGITGREIVVRDSNRFHHFKSGECSCGDYW >KZM84726 pep chromosome:ASM162521v1:8:18382891:18385218:-1 gene:DCAR_027852 transcript:KZM84726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGGKKKKGGVSQNPNKVLADSNPLTVSNGGVNLDSPIFLKRAHELKEEGNKKFQAKEYVSALEQYDNALKLIPKTHPDRAVFHSNRAACLMQMKPIDYETVIAECTMALQVQPQFVRALLRRARAYEAIGKYEMALQDVQELLGADPNHGDGLDISRRLKTALGTRLEAQQDLQSRPSPAALGASAVRGAPVAGLGPCLPARPVPKKQAASTAGSVTPTNKPDNKSYSAQPAENGHKSGHDVKFQIPKLVPKASNGSSKSNVNMVNDNQKERTSFSSVSLPSHGQTSKVTLQWRPLKLIYGHDIRLAEMPVNCSFKVLREIVGKRFPPAKSVLMKYKDHDGDLVTITCSAELRLAEVFVDSLMAKEPDTDKSDSFGLLRLHIVEVSPEQEPLIVEEEEEEKQLENEVNKGEYESGSSQSESVVETVETETDGKTEKTTLDEKPSASQDPEGKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQSLFDKAAIKFQEVAALAYFNWGNVHMCAARKKIPIDDSAAKDLVATQLQTAYDWVKEKYSLARQKYEEALSIKPDFYEGLLALGQQQFEMAKLHWSYVLAKKEDLSNWDPTETIDLFDSAQQKMQSATEMWEKLEEQRAKELKDPSSSKIEELLKRKKKQVGGTEGESSQREISPDEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMTGWDKNLDTAVERFKLAGASEADISTVLKNHCSKADAVEGSEKKEIETSSAKPGNDNHAT >KZM85157 pep chromosome:ASM162521v1:8:23275827:23277233:1 gene:DCAR_027421 transcript:KZM85157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIFADMGALSISNSKASHVAENNVGANAMDHFPYFTSGHSHDSSSTSDGFRFGFGGENTHETSFDLNNSSGKSLDQTDSCGQSKLCARGHWRPAEDAKLRELVALYGPQNWNLIADKLQGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMAAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKMAQTSNYHTIEDQETSFLMCKPEPVTHYKAATISNTFVPPHYTGPSSYIYPFHQMQQNHYAPLMSENIAMQQSYLQFYPNGSGCSFTSDFKAEPPAHPQVSSLTQQLTTSSSAATQEEDRNRIGKESDIINAPSFIDFLGVGAT >KZM84822 pep chromosome:ASM162521v1:8:19784444:19790485:-1 gene:DCAR_027756 transcript:KZM84822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTESMEVLKLAVIDLSSTDRLATAKSIHQACINYGFFYLINHGVENELIQKVLEESRNFFSLPLEEKLKLPWKERRGYTPLYAEKLDTSLRSQGDLKETFYIGTLDEDKHHLNQWPSREILPSWRFTMELYYEKVLAVGRRLLSLIALALDLEEDFFLKDGPLDPLLRLLHYPAEQEFLDEKVLGASAHSDYGMITLLASDSAPGLQACVCREKSKEPQVWEDVHHMSGAFVVNIGDLMERWTNCLYRSTLHRVIRTGQERYSMALFLDPCPDSIVECLRSCYSEASPPRFPPIRSGDYLNERFRLTYI >KZM85578 pep chromosome:ASM162521v1:8:26877124:26877882:-1 gene:DCAR_027000 transcript:KZM85578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRLIIISFSLFFVSSAEAICVPRNKTTPSPSPDTPPNLRPVSIPLPFSAKAPTPSLLPSPLRSPRFPIPLSPAPKAPSPAAKAPSTILGTITSPLPRPGGGGNNPALQKICGGTDYPDVCSSTLGPVAGPASDVSAVLGVAIKAGSDFAKTAVDAAGKLASRPGLPPDDASPIKDCKDSYDDAVYNFQNAADALTKKDVGTMNTMLSAALTDVGDCQDAFEGQSSPLAAFSDTLKKMASNCLAIVDQMNK >KZM85772 pep chromosome:ASM162521v1:8:28540922:28542759:1 gene:DCAR_026806 transcript:KZM85772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAAAQIPTSFGHELRACLRCKLVKTYDQFRESGCENCPFFKMDEDNERVVDCTTPNFTGVISVMDPPRSWAARWLRIGRFRPGCYTLAVSESLPEDLQVFLDLN >KZM85240 pep chromosome:ASM162521v1:8:24012888:24016638:1 gene:DCAR_027338 transcript:KZM85240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFTNYFQILCSCVLIALLSNLCVSEAQDYPPVAEGLSLTFYESSCPDLEATVRKQLEEEFTQDIGQAAGLLRLHFHDCFVQESYKLALIPENFLYVYAGVAFLIECMMTGEAVVGYGEAMYGWLGGLGFVCAACCLFLSIRPSAFFAEFLLCFGMILKGTWVLQVGLSLYTDTFLFSGCERLPFDVANGRNFIKCELDEYKYRSEALVNLMFIGHVIVVLIISFLLSGLLSHFHNRKSFQSSGQLLAGFDAENSVVRPVTEFELD >KZM85523 pep chromosome:ASM162521v1:8:26503216:26508154:-1 gene:DCAR_027055 transcript:KZM85523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDKGKSSNSNHVNEFRHREKEFDSVLNVIRDANIDPRLICLKKTIGETDNGDSEFHSTGEFQKRLTEMCNKEINEDSVNFDFDPLSMCGKDIITENYGSINFDKAGILLESSAQVYSDKVDHLHALVLKTAKSIREGGLTEGPKYVPEQEEEDEEDMEETDLEDEGLDDIGDGEKLKSSKDSPLAKMGGSEKEAEPDARVSVEKQQIKQDLEDETNDGKVDLKKSDTADGESPSEESFAVLFLRKLGKRQHEEELEASKRRAVSQVTEVESKDFIGSSGKENLKPLSSTPTSKNDNSPLSD >KZM84842 pep chromosome:ASM162521v1:8:20056571:20057163:-1 gene:DCAR_027736 transcript:KZM84842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHDLVVSMNVDGLDLHSRTAEHLQRTMDMVTSIKLHARRQKFLKDHSSGQEGIKPKKAGKRRRRKKA >KZM83823 pep chromosome:ASM162521v1:8:1883561:1883836:1 gene:DCAR_028755 transcript:KZM83823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSFPIAIVSTGIAFIYFSTVFVFINRWFGLGSSPGLMNVVIYSALAVMCVYNYALAVFTDPGRVPSNFQPDIEDSSSSVHEVKRKVYV >KZM84406 pep chromosome:ASM162521v1:8:14028007:14032004:-1 gene:DCAR_028172 transcript:KZM84406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAQYQQQQQWYLQQQQQQQSLYQQPPQYQQPPPPAMYYPQAAVPPQAAVPPPQQQPAGGNEIRTLWIGDLQYFMDENYLLSCFAHSGEVVSAKVIRNKSTNQSDGYGFIEFVSRDAAERNLQTYNGTLMPNVQQNFRLNWASLGAGEKRADDGVAYTIFVGDLAADVSDYTLQETFRVHYPSVKGAKVVTDRETSRSKGYGFVKFGDESEQLRAMTEMNGSMCSSRPMRIGPASNKKSVDAPQYPKATYQNNQGAQSEDDPTNTTIFVGGLDSSVSEEYLTEVFSTCGQVVHVKIPVGKRCGFVQYADRSCAEEALNRLNGSQLGGQSVRLSWGRTPSNRQPQVDTNQGNGGSGGYYGYGQGYETYGYAPPAQAPSLYYGGYPGYGNYPQNQQQPQQPQVG >KZM84629 pep chromosome:ASM162521v1:8:17145511:17146366:1 gene:DCAR_027949 transcript:KZM84629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKLWSDLVKEAFDKTLIVTKFFCALHLTTTYVFTPAYVFGPSMLPTFNISGDYVLAEKISTRLGKVGRNDIVLIRSPEDPRKIVTKRIVGVEGDDVRYSLNPERDDTFETIVVPKGHVWIEGDNKYMSRDSKNFGPVPYGMIQGRIFWKVSAFFDVYIYVSQVIRMFNVGCVFEISCLMALGCFLLTSACCHIFH >KZM86064 pep chromosome:ASM162521v1:8:31154207:31156751:1 gene:DCAR_026514 transcript:KZM86064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYIFAARILRLIGQTEDISREAGKVAVWMIPQLYAYAIYFPISKFLQSQSKIMVMAYIAGVALVLHTILSWLFMMKLGWGLAAGTAVLDFSWWFIVGAQMIYIFMGYCGEAWSGFSWRAFENLWGFVKLSVASAVMLCMNLVGWTIMAAIGCNSAIRKQYPAVFSSSEEVQKVVYSLTPLLAACIVINNIQPALSGMLTGTVVQTLVLFLIVYRTNWNKESSIAGERIREWGGESKDDKITDLIA >KZM84641 pep chromosome:ASM162521v1:8:17281218:17283789:-1 gene:DCAR_027937 transcript:KZM84641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMDNSDGNQTNGSEVAANDGSATIEDSSAAMIPPTGDKNLQTADNNSRNWIAQTPGNNASQNNLGNNLDATQTTGSEVAANEGYGTTVDSSAALIPQAGDGNLQTGDDGLWNWDADQTSQENFYLKDLDIPTPHKQPDQLGNNASENILSNNLDATQTTGYVTAVDSSAAMIPPAGDENLETVGDDFWNWVDQTPLESFDLEDLDIPTDPHKQPDQLGNNASQLPQEEIVHGTSSKLPVAQFIRPSALDTLSPELRSLITWQSENVASKGKAPVMPDNDIMNINSPVGGGMSNNRKRKGGEVDAENNDRFPRRVAGSRASQPVTYHNYHYQKSTASINDNLMALHELVPGSQKKDMATTLGETADHLLQVWIRDQTLREEIKQLRQAMVMMHQSIQNFRQSPLGTPANMGGYTQLGGMGFRPPVQPSMNLFDSSISARMNMPVLLQWNSPAAASRLFVPPTVPGPSQQHRIGRDQTDDTQPTI >KZM85774 pep chromosome:ASM162521v1:8:28554705:28558890:-1 gene:DCAR_026804 transcript:KZM85774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNYGDEGGVSVAARESSGGNARYPASEVTPTPLSSASFREGRSSSRRRAPVRPPSLDADDFMSLMHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALKLSERLREKAVEELTEELEKVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVHELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKGEMQQLRDKLAITERAARSEAQLKEKYQLRLKVLEETLRSPNVSSRNPFDLQSASHGRRQSLGGADNISKLTSNGFLPKRSPSFQLRSSGSSTMLKHAKGTSKSFDGGTRSLDRGKVLNGSGPSFNLRDSSEETKASATCNNNWKADSDEKPNDFTAAPEKEDTVPGLLYDLLQKEVVALRKAGHEKDQSLKDKDDAIEMLARKVDTLTKAMEVEAKKMRREVAAMEKEVASMRVDKEHENRAKRFGGGARGPANSSQLPARYFFFLLFFLLFDIYIYIGKCSNTN >KZM84992 pep chromosome:ASM162521v1:8:21530524:21534271:-1 gene:DCAR_027586 transcript:KZM84992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHLIVIALFISVGSCDDYSKSDNLPSSSSCGDIHNISCPFYLRGLEPNCSDFSYELFCHNNRTSLSLDTYTKHVFYVEAINYETSLIRIVDSVLGGDNYLCSSNNIRSTYPFRRNSYRFSRGLLIYPSTASRQFNTPITYIDCPAPVNSSARYIPSPPCSSPSSVSSYIIFGPLNSSEVENNCRIRWTTLVSSAWQKIHQTSLFESQDTPENFYGIELPFHYFYCLNCSAPYKSYCARVKEELSYYVCPTTVYTYCDDIRGISFKCGLGDNLQAFSRWFEDNDSSVYKNTGIALGIRFLCGIALLFVFLVYKLRRRHLSVDDTIENFLQGQNDLMPIRYTYSHIKKITNGFNDKLGEGGFGTVYKGKLRSGLVVAVKVLGNSNASSHDFINEVGTIGRIHHVNIVKLVGFCFENRKRALIYEFMPNGSLDKYIFPEAGDTITLRNGVFLGARGFADEYARRVVGAR >KZM85907 pep chromosome:ASM162521v1:8:29828107:29830283:-1 gene:DCAR_026671 transcript:KZM85907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDKMWNSLQFGMEIQANDHNSIPNCYYNPNWDNSMDQGDPFESALSSLVSSPTSNLGTQGKNGEQQNVVLRELIGRLGSICNSGDIYNNNNSTNTSCYNTPLNSPPRLNLSVMDHQIRGGNLQISSDPGFAERAARFSCFGNGIDGKLPKVGGSQRIQENKDGCLGMLSRSSSPEKGEIGDSMEESSISEQIPGGEIGLKGQKDVNVKKRKAVPRGKAKETLSAKEAKAGAENDGPNSKRSKADEGNQKQGKEDSKLPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQHQVEFLSMKLSTVNPRTGLNMEDIISKDIFQSYASMPQNIYPVDASAQTFPYAFQSQQGSNLQSNMPNGTKNPLVMNSLTAAICRNPGMLQPPTDGYNTGIPSQMSFWDDDLQNVVQMGFGQNQHENFHASDATNHMKVEL >KZM84922 pep chromosome:ASM162521v1:8:20870373:20870617:1 gene:DCAR_027656 transcript:KZM84922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFDPWPVFFKREWNRNWPFLVGFAITGTIITKFSLGLTDEDAKNSPFVQRHKK >KZM84771 pep chromosome:ASM162521v1:8:19020536:19023467:-1 gene:DCAR_027807 transcript:KZM84771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGKIKTLILRVVFVIALTAIMSAEAQDVPTCASALVPCAVFLNATTKPPASCCDPLKDAVANQLECLCGNRTSPNVPASGSPRYNDSSSKNHAGRLTSAGIFSGLLIWASLTLF >KZM84716 pep chromosome:ASM162521v1:8:18181675:18185293:-1 gene:DCAR_027862 transcript:KZM84716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDNNKPLRKIAEAFKSLSNSINKDDDTNNTTSETLDSCTMELAPFSNACSLVSPLFRCLGIAFRFAEMDYVAKVDDLVEASKSISTLQAMMDGDIESNCVRKGGSHTRNLLRVKRGLDMVRVLFEQIIATDENSLKSPASTAYAQVFAPHHNWAIRKAVAAGMYALPTKAQLLMKLNEDEVSARTQMQIYIGASAAVILYIDKLFHSKDLGIDW >KZM84519 pep chromosome:ASM162521v1:8:15698659:15699880:-1 gene:DCAR_028059 transcript:KZM84519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFESSLIFGIYSQSKKALQGGNQSDKPQPSVIIPAAAFGGTIISFVLCPSELVKCRMQVQGTDSLVPNSSKYNGPLDCVAKTFKSDGVTGIFRGGFTTLLRESIGNAVFFCTYEHLRYYIHAKLKDSSHDPSNLIDIGVGVVTGGLSGIAFWSVVLPLDVAKTVIQTTQCKSSTRNPFSVLKSIHARAGLKGCYAGFGPTVVRAFPANAAAIVSWELAVKLLGIKRD >KZM84972 pep chromosome:ASM162521v1:8:21265165:21267854:1 gene:DCAR_027606 transcript:KZM84972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSCKLGFTDGQVRPYCTSSVNPMSFNEVSSQRFLDQKLVNGARIDGKFIDTARVDRPVMPADPNSSGFTPFYGVQHTTDLHEDFDFSDVVLGYINQMLMEEDMEEKTCMFQESAALLAAEKSFYEVLGEKYPPPHEEQPVAYSDQSSESLDENHVEAYNSDGGNNHLINSLLTPGCICDSSDYSLCNSQGGSVRLVAETSQSIGSLTSNGSPVDGLADSPVSTLGVTDIFTDSQSALLMKKGYEEASKFLPSGNNFFADMGTNELLANGQKKKDETVLVKLEDKNQNEKSHNGSRSRKNPYTEEDGLDESRSNKHSALFCESTVRSEMFDMVLLCSEGKYDSALRESLMNDAKKSVQQNNQPKGSNSGKARGKKQGGKKDVVDLRTLLTLCAQAVAANDQRNASDLLKQIRQHSSPSGDGMQRLAHYFSNGLEARMAGSGTQIYKQLIAFPLTAADVLKAYHLLLATSPFRKIPNFFANKTIIKEHLIQVL >KZM84137 pep chromosome:ASM162521v1:8:8769494:8771418:1 gene:DCAR_028316 transcript:KZM84137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDNKVLRFFWRASVIEVKVDIDKCNIMDVVIDYEDAAKKRGDAQVFEPGPSKIKGKKAKKTPKRQPKTIAKSTNVTLPAPITPRTAFKSLPVRKSPRFFPIQTNAATARPTVVTEHRLLNKKVPKTTARRKGFSTSQVDDSAGQMGNEENANEEEVNADRVESSKKRKSIKRKVSFDDFDEQASDGDTSDHDLDRVETSSDDEQDDDSYFERLYKNGEMYDAKEFGKIQLRPWMLFMDKAHLKSVVRDYCIQEGFAIVVDAAHNIRVRDQSYAAKAAKALKDTATQASQTNTTHCTPS >KZM85193 pep chromosome:ASM162521v1:8:23575843:23575995:1 gene:DCAR_027385 transcript:KZM85193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQTKLTGSLNIMPKEYHLPRQIISSRQHVSNKRRVLNHHICRQAYNYY >KZM83753 pep chromosome:ASM162521v1:8:1147537:1149392:1 gene:DCAR_028825 transcript:KZM83753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSRLCSTKPIVTVNGRFPGPTLTAREGDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYIYNFTITGQRGTLLWHAHILWLRATVHGAIVILPKRGVPYPFPKPDKEAVVILAEYWKADTELVINQAQKSGLAPNVSDAHTINGKPGPVPNCSAQDAFKLDVEAGKSYMLRIINAALNEELFFKIAGHKLTVVEVDATYVKPFKTDTIVIAPGQTINVLVTADKSSGKYLMAASPFMDSPIAVDNVTATATLHYSGTLSSSATTLTNPPPQNATSISDNFTNSLRSLNSKKFPAKVPLTVDHALLITVGLGINPCPSCKAGNGSRVVASMNNVTFIMPTTALLQAHYFNIKGVFTTDFPSNPPFAFNYTSTTPPKNLGTTSGTKLYRLPYNSTVQLVLQDTGIIAPENHPIHLHGFNFFAVGRGLGNFNPKKDPKKFNLVDPVERNTIGVPSGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPNESVLPPPSDLPKC >KZM85046 pep chromosome:ASM162521v1:8:22114858:22115224:1 gene:DCAR_027532 transcript:KZM85046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVNVAVDAVFKPYYAVDVEPCWDPARKKVDNEYRSIDFPFEHVEGLDDTGVELLNDRVIEEFARAWNEDGTQSKTVVFPVHLRIGKVESLDS >KZM85360 pep chromosome:ASM162521v1:8:25107297:25111268:-1 gene:DCAR_027218 transcript:KZM85360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIADPGEVTHPPQASFDDFQRQTSLMTSCTLLWKELSDHFTTLENDLKMKSAAIKSKLDKLDSETSLSLKNLETRELSLVKSMSVAFETVEKRKAAALSLEEDSGDDVAAVDDSEGLLLRLRRFCTRMDSLGFWRFITTRKKELDSIRAKIPAALSDCIDPARFVLEAISGVFPEDKRENKSERLNDLGWACVMVLESLIPVVVDPILGDKRMLVTPKVKENAGKIAEEWKKSLEQRGGIENVKTPDVHTFLQHLVTFGIVKNEDLDLYRKLVVGSAWRKQMPKLAVSLGLGDKMPDMIEELISRGQQVDAVHFTYEVGLVDRFPPVPLLKAFLKDAKKAATTILEDPNNSSRAAQLAARKEQSALRAVVKCIEEYKLEAEFPSESLKKRLEQLEKVKTEKKRPAAVPANKRTRTNNGGPMPPAKAGRLTNAYVSSFPAPPTFVRSPSHTQYPATGVPQYQVAPPMYGHGSRSPQASHYVYSPEAASPPLAASYPGVPMSYPAYGGYGNGMTPVYQQAYYR >KZM84759 pep chromosome:ASM162521v1:8:18872805:18874514:1 gene:DCAR_027819 transcript:KZM84759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEPGKLFIGGISWDTNEDRLKEYFQTFGEVVEAVIMKDRTTGRARGFGFVVFADPAVAEGVVKDKHMIDGRTVEAKKAVPRDDQQTPNRISGSVQGSPVPIRTKKIFVGGLASTVTESDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLLRTFHELNGKMVEVKRAVPKELSPGSIRSQLSGQNYGLSRVSNLLNAYTQGYSPSPGGGYGLRMDGRFSPAAVGRSGYSSLSSGYGLGSYFEPGLGSNLEGSAHLGSNISYARGLDAFQNGSPNRYGSPVGHGIAGTGSVLNTMNWNTWGDGGLNYTKNLTNSAEFMGSGSANTGLVNALGNIGAIWGSSPISGQDRGIGSAFSNENINYGNAENSFELGGLGYARNTRGNMAPSPISSFGAANSAYEGSFGNFHGSGSVFGDTTWRSASPDLEGPRTFDYDLRTAVSEVTPKNAVGYVGGYSVTNRANRGM >KZM85750 pep chromosome:ASM162521v1:8:28327706:28337133:-1 gene:DCAR_026828 transcript:KZM85750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSCEDFIRFSAVSKSWQSVAFKMMQEGSVFLLSPESSLLLLAEEVPEGSLRCCDINDSEEEYQDEDEDEDEEGQEEEGEEAPQENEEGQEGQEEEGEEAPQEDEEGQEEEYEDEDEDEENEDQEGEEEDEGQEEGGNNIFDQLILSVGNARGIYSLSTGNTYTIDLPQAAGKWILGTSKGWVLTMGTDSQTNLLHPLLRHQIPLPSMTKCSKVALSSRVSGNDTPQPTIMVIYGEVGSLGFARFGDQEWKQVESPSVGPFVDITYHKGKFYGINHAGEIFECNIDDDYTSGATGEPITFCPSNPDDSGSMYLVDSENDLWFLARTRRVKHFKPPNNMRVKYRTTNFLVWRLEPTVSEDGHETIGTWVQKHDLGGKAFFVGLNASVSLSSSDCSVTFKTRQDGSTFLLSSESPLLLLAEEVPEGSLRCCDINVDEDGLEEEEPNDDENMEEEYEDEDADEDQNGQEEEDEEGDHFPDEEGHDNENGGFGGKPVYSLSW >KZM85645 pep chromosome:ASM162521v1:8:27531096:27534817:-1 gene:DCAR_026933 transcript:KZM85645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMVVCEDLYEDDGYGSKAIVCNQSKLSIRAAGVGLTLTAASAVIFAELSWTPGDIIQAEDRAHRIGQRDVFYSKLSASQLKTIPTQSRDQNSPQAAKCWSPVAAEEMFQAGGEINRVGVSSLFYVFLALCRGTVYTSFTTTFTIQLPNYKSRTRI >KZM85898 pep chromosome:ASM162521v1:8:29750559:29751652:1 gene:DCAR_026680 transcript:KZM85898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRPHVRLNGLHQAAVALGSAVGALLDPRRADLIAALGETTGKPAFERVLERMKRSPEGRAVLLERPRVISEKVGHAWDLPPNTFGAAYASFMGSRNFSPDDRPPVRFLDTEELAYVAMRAREVHDFWHTLFGLPTNLIGESALKVIEFEQMLLPMCLMSVVGGTARFSEKQRSLFYQQYFPWALRAGMKSTDLMCIYYEMNFDEDLEEVRRRWGIIPAPQLQK >KZM84118 pep chromosome:ASM162521v1:8:8303865:8310480:1 gene:DCAR_028335 transcript:KZM84118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIFLVGPRVSAFLGYVHRYNSLDSQPDVLKSLYSPLQPSSSLEGLRYHDGSRLSDQQMALLQYQRENIHFLSEEILRLQECLSKYEKSSDGITPQVDLAHLLAARDQELRTLSAEMNQSQSELTLARSLIAERDSEIQRFYTTNNQYVEENERLRGILAEWSARAAKLERALEVERMSNMELQKKILRTKKKTKDEFVEPT >KZM85505 pep chromosome:ASM162521v1:8:26361649:26370058:1 gene:DCAR_027073 transcript:KZM85505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARQQHSDNDRSNDCNLTSLCDHVQLEGFNNGTFSDVVVHAMGSTYHLHRLILSRSSYFRNMLHGPWREANAPVLSLHVDDNNVNGEAIATALAYLYGHHPKLNDDNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGIHGERVRSACWGYLCQSGALELREVLPKLSSQTLHALLTSDELWVPSEEKRFELALYTLVAKGAPCKAEHGEEGSANPDIVPHTSFEYQKSKGKEVVSDSSSIILESDMGRLRVKDQLEGESTPGNDLVELAKGVIHSCREQLEPSKQLQQASACTQSTLEPRSQCNNERTSSKNSCSYTAEMRSNCSYLEVPTSARANLLCNDGVAMEGPSEEGSCYQSNNNNWLTGEQRPCNAMNSSCDGIMSSEWGRCSMPPLSWGGRIVGRRDLKTCVKGICGLSGEDYDAFVNIFEGGSLLYSNMTFEALLNVRKQLEELGFPGKAVNDSLWLQMLLSQRVLEIGADTCKNCCLVSMVCACRQPYGYSRGIPSSGYYIQEHDQHISPSNIGNLYVTDSAQGEGSGLFRPVRVHVNRGGPNDGLAGIGRGTTFVPAAAWPPTRFVFSRVPFGAGNRNCQQTLGNDDSDNRADHNADGVGDGLTALVGLSQGVSSMSSVHGEQTERGYETDLQGGLIGHSVSASTTPGISVQMLDSPEQAIGVEWENANSSISLDMKTPLSHFPPFRFSVEFDDVHRLSDGQVKHSPEVFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEINDPLKKVHMYVDTREKVTARYQLICPSKREVMVFGSLKQRGTLLPKAPKGWGWRTALLFDELSDLLQNGALRIAAIVQLI >KZM84315 pep chromosome:ASM162521v1:8:12006134:12008230:-1 gene:DCAR_028391 transcript:KZM84315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIEDIYKVIVATTPLYVALILGYGSVKWWHIFTNEQCDAINLLVCYITLPLFTFEFTANVDPFAWNFVLIGADAISKVIIVGVLAAWVRFSSKGSYGWAITSFSLSTLTNSLVVGVPLLQAMYGPSAVDLVVQLSVVQAIIWLTLLLFVLEFRRTRIGCMESDQEMEVREKDLEGDDEIGVADPSFCYVMLKVWMKLAMNPNSYACIVGITWACVANRWHLEMPSILEGSILIMSRAGTGTAMFSMGLFMARQKKVVACGSSLTGLGMVLKFVAGPAAMAIACLAVGLHGDVLRVAIIQAALPQSITSFIYAKEYGLHADVLSTAVIFGMLVSLPLLIGYYAILAFLH >KZM85074 pep chromosome:ASM162521v1:8:22459376:22460860:1 gene:DCAR_027504 transcript:KZM85074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNKPILFISALILIIYSQAQAKPRGVTFDVVQLGAKPDGKTDSSKAFMDAWKAACGSVVSASIYVPPGRFLVNGLFFGDRCENKNNVLFRIDGVLVAPEDFQVTGTAANWIEFREVDGVTISGGILDGQGIGLWDCKLAGESCPPGATTLGFTASKNIRIKGLTSLNSQMFHIVVNGCENVKMDGLEVSAPGNSPNTDGIHVQFSSGVRISNTKISTGDDCISIGAGSTNLWIENVACGPGHGISIGSLGKELNESGVENVTVKTVTFSDTQNGARIKTWGRPSSGFVKNILFQHIIMNNVQNPLVIDQNYCPSKKGCPGQISGVKISDVTYQDIHGTSATDVAVKFDCSKTEPCEGIKLEKVELTYRSRPAEASCTNAAGTESGIVVPSSCL >KZM85158 pep chromosome:ASM162521v1:8:23284552:23286318:-1 gene:DCAR_027420 transcript:KZM85158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLFGMTIRSIPNEEDDIQLSSSTTTATSVNTKDPAQVNNEESISVDEVVEQAKEEPTTPNFDEEITIPEGDGTTRSSERSEDPERSTVDKETSVLNTNEKEDPSDTSNSDEKSLGKPDKLLPCPRCCSMDTKFCYYNNYNVSQPRHFCKKCQRYWTAGGTMRSVPVGSGRRKSKCSPASKYHQMIITEALQAARVASANGIYQPNGSLLAFGSDSAQCENTSSAPIHKRKSENCVRNNSFEETDDGNSSGSSSTVLNPNEKVLCVRPKLKNNQQSPSQLSCFPVPPWASPWNTAEWRSATQQPAFCPPGFPTSFYPTPSYWACTAPNPWNMPWLSPQCSSGELSNPEKLPLEDQDLRRGVLTPKTLRIHDPIEAAQSSIWSTLGIKNEHTKQANDASLHEASAAKGVQRYHMTKAAMVLQANPAALHRSYNFLETA >KZM85000 pep chromosome:ASM162521v1:8:21556341:21571867:1 gene:DCAR_027578 transcript:KZM85000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKFYGIEELLDPGIYTQRIKVKVSRKWKDSPPQTQSLGGISVFLTDNSNTRIYCWIPSNLAEFYDIIGILGKKASLDIFINKHGEEEVYLDFEIMDNMETPNNNIRHLSDMEMTYLHDLHLHQDSNLNIQVAVTRKWTETDSAERVQGINLIVVDKYDNRLHCWIPSELVNTFIDKFVEGCHYVINNFSVEQFNQKDRCFEAEIHIVLHSETAITEMPTSYSQIPKDIFCFKNLKTILESTQAHDYLIDLVGIVEDLKPMEPVNGPAFEKHFYIEFVLTDLIDRVRVRLWDRCALQFQKQVNDNRGKPSIITISSCKIIKNQYNGETTIRTVKATRTFLNYQTEREVVLRKRCVRFDKHIFFSHYTKMEQCASTTLTIPNPVVDLFSLKDLQTMEEDKRFLCDVCGVLKDPQDFRDYMNDSDEAKQQKKFTLTDGSTDVGVTLFDDLAKMFEEAIKNITTGNIVVILSSAKIGKFQGDVNLTNYPATRFYINADHPAVKRLQTRSKDKTFLVEKEVTLTQLEKVVIEDMSIAAIKKMNKEAKVNCKVTVKKIEEHLNWFFYICIKCNLELELIDGRYKCSGCSRFFPWPQKRFRVFALCCDKTGVLPLMLADREIRRLTGKMVFDVELDLTEEPGGNFPLILKNMLNKEYNLTLSINQDNISKMSEVYEVCDILMDAQDNVGNIKHMEQMSEEAEDDQNLQDMDAETEGSHNVSKQQKNSAKTDRQKNDNAGVISSQKSSFNREKAIKIKKEKNPIKEKKTVRAREQSKLAPKKKGRKLIEVISESEDEDMTLNSYQLKNKKQNFRMHAFVPGKVFEEQETKLKDGNICIISNFTIKEYDSSEKFRCVNHDKQIILTNFTQIEKVDLEDGLIQRNMFDFYDLIQLENIADQNLYLTDVVGIIERDTPIGDLVNRFGKKQKQVKFNIVDGRYAQGKFSKYNFVNHVKQKDETLTLADVKKLPINYAEKEIICKVKIKKVLETGVWFRYHCTSCYKIIEMKNGTLKCYRCSDRNVPEPDLRWEIHVQAEDKTGEIDILLLDREIRTIFNLAVIDFDEEVIQNTKVPQIIKALENQHFAVKLEIKDSNILKQLGTYYATGIYACPTNDTIAEEELLTPQSTFPTTVTQDSGPSYHIEDFSDPNIKSPEVDKRPKRKKKLTKKYCE >KZM84824 pep chromosome:ASM162521v1:8:19806698:19813061:-1 gene:DCAR_027754 transcript:KZM84824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFVFNDFANSIPISFHWSSDFKRIGDKCTHKGISSFTEQLSDWSKSDGYCLEIGDGSWDSWFMPLQDQAQIVCDKVKENKKLSKGYNIVGLSQGNLIGRAVVEFCEGGPSVKNLISLGGPHAGTASVPLCGTGIFCILADNLIKSEVYSDYVQAHLAPAGYLKLPNDIPDYLEHCTFLPRLNNEIPEDRNSTYKERFSSLQNLVLIMHEDDTVLIPKETAWFGYYPDGAFKPVLPPQQTQLYQEDWIGLRALDEAGRVKYISVSGNHLAISYEDMKKYIVPYLEEGASTQSVGTGSNMKKTAMHQRLREAW >KZM85077 pep chromosome:ASM162521v1:8:22480923:22481570:-1 gene:DCAR_027501 transcript:KZM85077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGAVRRAASKSGNEESKLKTEAVVIASNGMIYSGCTNGLIVQWDANGNRIQEFNHHPCAVLCFCTYGSRIWVGYVSGIVQVLDVDGVLVAGWVAHNGPVIKMVVGNGHVYSLATHGGIRGWSITSPGPLDQIIRPELSKREQIYKRRENIKILVGTWNVGQGKPSHDALMTWLGSAVSDASILVVGLQEVEMGAGFLAMSAAKENMRFHKLS >KZM85727 pep chromosome:ASM162521v1:8:28125545:28131742:-1 gene:DCAR_026851 transcript:KZM85727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSFYLGQDFARVVLSWSLGDILKEFEVNAIPLSFSSLKEYRSSYCPPLLEETRSELCSKIEYLSSAPFAKINTFCSSERFIDRHYDITFENWTESSNSLNKVPYEAKSGDVFVFTQAKPDQNFDIRRLGKSWCFGYTRKSKMVKQHRLDGSYIWVPHFEVSTSVELQEAVDVSQPFYAVFWVNLTTNNRIWNALLTCRSDHFIKEVLHINPTVQEDCKNCPAMKQTLEEKLSMTSYLNKSQCEAVAKCISKSVCDHKPSLELIWGPPGTGKTRTLSTLLVSLLRVKCRTLVCAPTNVAISEVASRVMKLVKESAKKLDEYGTQFCSFGDILIYGNINTSAYGFEDIYMNHRIKRLTDCFAPKNGWKHWFTSMQEFLENCVLLYKIFCAENDASDGNNHVENDTSFLQYARDRFIAISVPLRNCISTFCTHLPKTYVTEESFQDLLLLNASLNSLETFLFQANLVGKSLKAAFLLEEEGDSHPQVDTDMSPFLHFKSECIRHLKILLVSLYRLSLVAVNEKFCFNMASLIFCTASSSYKLSNVGIEPMRLLVIDEASQLKECESLIPLQVSAEAGFGKSLFQRLSILGHHRHLLDTQYRMHPNISNFPNAKFYQNRLIDSQLVKSETYEKCYIPSPLYGSYSFMNISCGKEVLSESHSWKNMEEVAVLLKILQLLYKACDAAKLKVTIGVISPYSAQVSEIRREIGQKYDNHEDFQVHIRSIDGFQGAEEDIIIISTVRSNQDGSIGFAFSPQRINVALTRARHCLWILGNEKTLSNSDSIWEELILNAKDRQCFFNADEDRDLQTVIMEAKKNKDKLDDTLNLDSAFMSRGGSRKTTWKPLDELKGIHVPTTKLKEPHYFHNDDHFRRIRGCDGRKLYPLNDYDMGHPPEYHKMGEIDRYRLNEMRYDSNEHLEGPYRWEEHELSSDRNSNDSRDYNSYESLEGYYGWEQHEVSSGSMYDDSSDYDPTECLQGNHGWENHRVSPKRMCNELRDYDCNERLKGHYGWEDQGFSSQTMGNDSRDYDFYECLQGHRGGKEHRFPSEKMLGKTAHVERRQDRVNDSADHLYESDLRHHLIEKRLGKDFSSNYSHDSTHGNHNDFKYWTPQRDYLPAGGSAISYRFGRKTENPRRKLRASREQILGGSTSLERIQYSRAHSTDRRHWTPRKASFLLDARESVLGSQLQGTIMVPRLASSYSNTDRGSQGGGWSPEPQGMLGDGNIVYNDLDYEGRTTRGLRMRSASRQIMNENSSKSAVPKSTAIPKRRKRGSYEEHASDQALLGKRKRAKRKGVQQKGEGSTSFEGPKPLSEILKGKRSGRGLL >KZM84106 pep chromosome:ASM162521v1:8:7844825:7849903:-1 gene:DCAR_028472 transcript:KZM84106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLRPVVFNLTDFGGVGDGVSLNTEAFERAVFAISKLGKKGGGQLNVPAGKWLTAPFNLTSHMTLFLAEGAVILGIDDEKYWPLMPPLPSYGCGREHPGPRYGSLIHGQNLRDIVITGHNGTINGQGQAWWKKYRRKLLNYTRGPLVQIMWSSDILISNITLRDSPFWTLHPYDCKNITIRDMTILAPISEAPNTDGIDPDSCEDMLIEDSYISVGDDAIAIKSGWDQYGISYGRPSKNIHIRNLVVRSMVSAGISIGSEMSGGVSNVTVENVLIWDSRRAVRIKTAAGRGGYVKDITYRNLTFENVRVGIVIKTDYNEHPNGDFDPKAVPVLQDISYTCIHGEGVRVPVRIHGSSDIPVRNVTFRDMSVGITYKKKHIFQCAYVEGRVIGSVYPAPCENLDLYDEHEQLVTKSAFQNASDIDYDF >KZM85437 pep chromosome:ASM162521v1:8:25745817:25746610:1 gene:DCAR_027141 transcript:KZM85437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAGKSAALLFLVTNLILYLVVIILAAWDVDHAMEKTHETASVLTIPARIFPIYFPKGNMATGFFVIFSLIAGVVGFMNSVTGIYNVMAWNPSSLHAAAASSLATWTLTLLSMGLACKEINIGYADSSLRALEIIVIILSGSQLICLGAINAGVQEVENEKAYTGRV >KZM84594 pep chromosome:ASM162521v1:8:16636363:16646350:-1 gene:DCAR_027984 transcript:KZM84594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCCFAAITSSSATILNFQGGSFSANRRYNCRTASGPQVQCSSLANPFLQQKNNFQSPILRQSSSCLTLRSYKGIISAEADKKGWDFGRFVKTLYFFNGPPSPAKFFDFLVEKLTGPAPSEPVKPMDTSGIVLVAGATATGGVGRRVVDVLRKKGLPVRVLVRNEEKAKRMLGPDVDMIVGDITKSSTLLPEYFKGVKKVINAVSVIVGPKEGDTPERAKYNQGIKFFEPEIKGDSPEMVEYIGMKNLINAIKESVAQRSGKLVFGFDGDSSRDLPWGALDDVVMGGVSESTFRIDPTGGENGKPTGIFTGIVSTSNNGGFTSIRTKNFTIPEDVSAYDGFELRIKGDGRRYKLIVRTSRDWDTVGYTSGFDTVEGWQWLMFSKFEYDGKLNPTFVEGPFQLPLSVIRAYIKEPITPRFIHVGSAGVTRVERPGLDLSKQPPAVRMNKELGSILTFKLKGEDLIRESGIPYTIIRPCALTEEPAGADLIFEQGDNITGKISREEVALICVAALGSSNACDKTFEVKSVVPFSEPFTVDPENLPPEKDYDVYFSTLKDGITGKEALEKSPVPV >KZM85960 pep chromosome:ASM162521v1:8:30284479:30290511:-1 gene:DCAR_026618 transcript:KZM85960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDIKETLLEKDYNENCPGCKVDRRKAVQQGVPYKEILVIWVVVLASVPHYDFQVRDFNIAKEEEDISYYAGYVVSCIVNFSQAYAIELVRCEYQALALSTVSTAWGAGLIIGPALGGFFAQPADKFPVLFSQESVFGRFPYFLPCLVISLLALVVAIACLWLPETLHMPEFHKLQRHDSLEKLESAYGSEEKYMSDNIKTDSSESLYKNWPLMSSILVYCVFSLHDMAYTEIFSLWAVSPRKLGGLNFSTDGVGKTLAFSGLGLLVFQLFVFPQLERRVGFIILARVSGLATIPLLTSYSYIALLSGVTLTVLLTCASVLKNILSVSIITGIFILQNRAVDQHQRGAANGIAMTAMSLFKAIGPAGGGAILSWSQGRQDAAFLPGTQIVFFILNVVEAIGIALTFEPFLVLRQP >KZM85514 pep chromosome:ASM162521v1:8:26429210:26430036:1 gene:DCAR_027064 transcript:KZM85514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKYAVAAALVLLFVGLMAPPAAAARNQDGLLSGILGSGGRLLNIQGILYCTLNGTTGLLGSATPVFSGAVVQLKCAAGNIVSSTTTDANGAFSILLNPLQFVLSNVLQQCKIVVPTPLSNCDSTLPAVGGLVSQLQIVGSILLGLLNVLNVIPSGFSFYQVL >KZM85585 pep chromosome:ASM162521v1:8:26899429:26907528:-1 gene:DCAR_026993 transcript:KZM85585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLEELPVPIYSKLEPVYGTGSQLEEAQLRFDTLNSKFTEFFASSPDLYARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHDVSEPEKLIRIANVNDKYEMCTYPADPTQEIDLKNHRWGHYFICGYKGYYEYAKIKGLKVSPPVGLDILVDGTVPTGSGLSSSAAFVCSSTIAIMAASDVSLPKKELAQLTCDCERHIGTQSGGMDQAISVMARTGFAELIDFNPIRATDVQLPTGGSFVIAHSLAESQKAVTAATNYNNRVVECRLAAIVLAIKLGQKPQEAISEVKTLSDVEGLCLAFADSRGSTDPGLAVQVELLNEEPYTSGDIENIIGENLHVVFANSPSSLDVLKAARHFKLFQRAFHVYSEAKRVYNFKNAVSSDSSEEDKLKKLGDLMNESHYSCSVLYECRISVHKTILNKQGTSVLPECSCPELEELVKVCRDNGAFGARLTGAGWGGCAVALVKESIVPQFILNLKEQFFKPRIDKGVINHNDLGLYVFASKPSSGAAIFKF >KZM84465 pep chromosome:ASM162521v1:8:14991766:14994882:1 gene:DCAR_028113 transcript:KZM84465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEHTFIEANGLKHHVAQVGSSSGSPITLLFLHGFPELWYTWRFQMIAAANAGYRAIAPDFRGYGSSDPPAQPEKAAFDDFVSDMAAILDALHISKVFVIGQDFGAIVAYEFALRYEERIHGMITLGLPFMAPGSLDFKNTLPEGFYVTRWQEPGRAEADFGRLDAKTVLRNIYILFSSSEIPIAAENQEIMDLVKPSNPLPSWFTEEDLAVYGGAYDKSGFQTALQVPYRDFLSKKYTQKPSTPDPRIDAPALFVVGEKDYVFKLPNMENYIRSGAVKMYVPNLEIEYIPEGSHFVSEQFPDKVNELILSFISKSIQK >KZM85296 pep chromosome:ASM162521v1:8:24486028:24491934:-1 gene:DCAR_027282 transcript:KZM85296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRHTCLKLDIGPDSGPVFVKGTWYNSHFELLITDGLNAWSCNGSEEEVKDRAFQWDQSVSEYIQLAERYLGFQHPESVYGFNDAANGHKRLSWTFEREGTKLEWRWKCRPSSNSKQSTALILDFLMDSNIRLSEEVVKKTQLSEKLKEEAEKCLAQSEKFCSEKAEFETAVYEKFLRVLNSKKAKLRELRDRLSKQGASGKLEEEDEEVSTDKTESFDERSDDEKGEDELSKDLPSSSKNILASRPHGAFPDSYAAPQPAGYPMRDVVDAVNVVNAVDAADVVGRVLGKSSVFSAAMSVAKNNTILWSLDRQYYYLSDDHASSM >KZM83971 pep chromosome:ASM162521v1:8:4405940:4409886:-1 gene:DCAR_028607 transcript:KZM83971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYVLPRKARGQMASSGNKQQSQGTTHRHDAAAVAKQKKSKVGSLTPKISETRKRAVKAISTRAHLAVRATTPKRDQANQRRSYEGYIQKKFSPRILADVMRNLSEAQAQWVKSAGFGSLLGFRMEIYTHRLAYRIADAFCSRTCELRLKAGTVVVTESLVNKILGLPQGPLDIELQEGKVGKTTWDEQYRSTSISPGKFCYVTCLETPNLVRPSLTYPTYLCWTKTMLRERQNRESSEDTFGVGSIVILDDELEAADKSPIPQGMDSVADQTNFERASSDNVVPDSISGDSEEVIDAGCEGMDVEQDRNGCPLDELLAEADLMQEANAIEIEHTQQALTESRNTNHIVEGSAAHYGRTEEEIMMTEKAAIPTARESAGPVVQKSKDTS >KZM84630 pep chromosome:ASM162521v1:8:17157962:17158574:-1 gene:DCAR_027948 transcript:KZM84630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLVPIVYYWLCGISSKYECKDVAVLCFASTVMLIMEVLARRGAITKFLIRIHEWHGVTIMLGVLTDLVHEGVMKHPKALGAYYYLMFVISELLFIALYATEEISYKVSQVLTMLQSGYLLVHLITGDDSSAIACGITLLPCWIVKVLRLAELRTSLS >KZM83817 pep chromosome:ASM162521v1:8:1857483:1863335:-1 gene:DCAR_028761 transcript:KZM83817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTITGSGGDKRWSLDGATALVTGGTRGIGHAIVEELAGFGASIYTCSRNQQDIDKCIEEWRIKGFTVTGSVCDLKSRSQREELMNSVASAFDGKLNILVNNAAIVVVKETTEFTAEDMSNMMSINFEASYHLCQIAQPLLKASGTGNIVFISSVAGVVALPMLSIYSSSKGAINQLTKSLACEWAKDNIRVNCVAPWVIKTSILADIELQSEMDLQCKSINPSRKAFLETTMYTRMECNFEIKGPYSPAAAVENKQTESLSTWVQVHCDLNVTIIGSGGDKRWSLDGATALVTGGTHGIGGASRVWSFNLYMFSPSRTQKDVEKCLEEWKMKGFKVTGSVCDLKSRSQREDLMKSVASAFSGKLDILVNNAAIVLSKENVDVTAEDISNIMSINFEAPYHLSQLAQPLLKASEGGNIVFISSVAGLVAFPGKSIYSSSKGAINQLTKNLACEWAKDNIRVNCVAPWVTLTPMLEKLQDETPGLEESINAMVSRTPFPRAAEPTEVSPLVVFLCLPAASYITGQIICVDGGHTVSGY >KZM85850 pep chromosome:ASM162521v1:8:29297134:29297512:1 gene:DCAR_026728 transcript:KZM85850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRINVDCNACCRKMRRVLLNIKEVETHLIEKQHLRVSACGRFQPADVAIKIRKKMNRRVEILEIQEFSMNDGSAEQQPDQVMDNTGQQQHA >KZM85082 pep chromosome:ASM162521v1:8:22552380:22558927:-1 gene:DCAR_027496 transcript:KZM85082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKVLVSRLWRGGRTILVNLNNSCFPPTTPPSLWLHRKQVIITAAAMKSNKDAWEKSWREGVTPWDLGQPTPVLLHLLETASLPKGRVLVPGCGSGHDVIAIASADHYVVGLDISDCAIKKATELSSSSSNADYHKFLQRDFFTWQPTELFDVIFDYTFFCAIEPDLRYAWASRIQKFLKPDGVLITLMFPISDHIGGPPYKVSVADYEEVLHPIGFRAISILENELAIGPRKSCFYEVVIMEVRVMGENYYNFYLLKEEYEGKKKKSDELAEEVKXRAGAVMGWGGGERARVAATGQYGWVAMGWGAVGDVGEAGNG >KZM85862 pep chromosome:ASM162521v1:8:29408251:29413187:1 gene:DCAR_026716 transcript:KZM85862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAASGAGLGTLKLHFLNSKPPSQFLGKKIKITTLLHGKLASVPRSTLPRSNELTITVPGVILALGTLSYLWATPGVAPGFWDMFVLAFVERFFRPTYRKDDFVLGKKLGEGAFGTVYRVSLKNDPSKAGDLVLKKATEYGAVEIWMNERARRACSNSCADFVYGFLENSSKKESEYWLIWRFEGEATLYDLMQSKDFPYNVEALILGEVQDLPKGIERENRIIQTILRQILFALDSLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYVPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPLNLPDRFDIYSTGLIFLQMAFPALRSDSSLIQFNRQLKRAEYDLVSWRKTVEPRASPDLRRGFELLALDNEIGWELLISMVRYKARQRTSAKTALAHPYFVREGLLALSVMQNLRLQFFRATQRDYSEAANWIIQLMAKSGTEKEGGFTEAQLQNLREIKPKKKEKAQRNALASALRFQKKILKTLNESMSELNQQRKSLWWSRWIPKED >KZM84018 pep chromosome:ASM162521v1:8:5507094:5507264:1 gene:DCAR_028560 transcript:KZM84018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPAFSNRSFSAKNCCSGKLFLDLPNNFSPAFQQKAAVAVSNSNPKQYLSGVNTN >KZM84539 pep chromosome:ASM162521v1:8:16017922:16019022:-1 gene:DCAR_028039 transcript:KZM84539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVVLRTNRFEVKLEGVNFKAKDFEQLCNIDFLFPKGKVWVRPPIVGLDVMHHPRNPKIILLLLCFGVGCVILRFRSGKSIPKPIINFLTDERIRFVGFGIPEKKDLFPFHELGMTKSKTDIGYLAAKIRDDPKYAQCELSELALDVLEVTEIVGLTDSSSFKRQEEIKCAICQLFISTVIAMSLLRSANSKNLNNGHGKIKFRRFLSSLPLLNEGWYQLAKGKQEYRESSEVLESLYDLHDEADHNFVIFSDQAKSKEDYSGDNCFLTTSKGSDSSDEFICVKKGCHASVTNDNGFPSDESGKEELYTSKKPLKGILKCLSKHMEACTSSSPPTSPYSPLIEQIGGPYLKCSNSKGCNVTFKQ >KZM83930 pep chromosome:ASM162521v1:8:3579364:3580407:-1 gene:DCAR_028648 transcript:KZM83930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCDIDGTGHHLTAAAIVGHDGSVWAQSSSFPQVKPEEMKGIMTDFDEPGHLAPTGLLLGGTKYMVIQGEPNAVIRGKKGSGGVTIKKTAQALLFGVYEEPVTPGQCNLVVERLGDYLSEQGL >KZM84507 pep chromosome:ASM162521v1:8:15507258:15507638:1 gene:DCAR_028071 transcript:KZM84507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNTASFAFLLSLNLLCFSLVSATSPTPYIPGTRPSTYYSTGTCDGLKLGVCANVLNLVDVVVGSPPTLPCCSLIQGLVDLEAALCICIAIRANILGLDLNVPVALSLVLNNCGKKVPTGFECY >KZM84567 pep chromosome:ASM162521v1:8:16309370:16318239:-1 gene:DCAR_028011 transcript:KZM84567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHYTLVFFKLLTLFILLQALVVKTEDTVFNETSLRGKKLGGGKCNFFQGKWVVDASYPLYQSSNCPFIDQEFDCIKNGRPDRQYLKYSWQPNSCNLPRFNGLDFLRRWRGKKIMFVGDSLSLNEWQSLCCMLHASVPKSRTTYVRRELVSSVTFEEYGLSIVLYHTVYLVDIVREKIGRVLKLNSINGGNAWRGTDMLIFNTWHWWTHTGKSQPWDYVQDGTTVRKDMDRLTAFYKGLNTWARWVDSNIDTSKTKVFFQGISPTHYQGREWNSKSKTCYGELGPLTGSKYPAGEPAASLILKKVLSSMTKQVYLLDITTLSQLRKDGHPSAYSNDHSGMDCSHWCLPGLPDTWNQILYAAS >KZM84995 pep chromosome:ASM162521v1:8:21538657:21539808:-1 gene:DCAR_027583 transcript:KZM84995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSDPPTAKKTETEALRRAPHEKPPFTIGDLKKAIPAHCFEKSLITSFRYLIQDLLMAYALYFVATNYIDQYLPHPINYLGWAAYIAVQGCVLTGAWVVGHECDHDAFSDYGWVNDLVGLIVHSSLMVPYFSWKISHRRHHANTQSLENDEVYVPRFKSNIRNYYKILNNPPGRVLVWVTTLLIGFPLYLMFNVSGHKYERWTSHYDPHSPLYTERERKQIIVSDLAILAGIYGLCNLVLAKGFVWVFCVYGGPLLVVNGWFTLITILNHTHPSVPYYDSTEWDWLRGALCTVDRDYGILNKVFHNVCNAHVCHHIFSMIPHYHGLEATEAMKPVLGDYYQYDGTPILKAMYREMKECIYVEKDEGETKGVYWYRKDI >KZM84201 pep chromosome:ASM162521v1:8:10116187:10118731:-1 gene:DCAR_028252 transcript:KZM84201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSINQADFLKQGDDVKRYKEICSMDVPLNSPGLSEVSFQDFLGYLLPRVELQLALMFTVAQALHALFKRFSFPRNFSEILSGIILGKTLLGHLVGFDKHRLLFPDDDIILDTLIKLAFIFYMFLVGVKMDPSMVTKAGRKGWSIGLVAAVGPLILGSFIGSDKMLEYYLPIYRRPAVRAVIRIITLSPFPVIVALLIDLKIMNSELGRLALAAGLIVDMFYVCQNAVSTTFRIYTSDYGAPVISLTLIESIMIMVASVGCRLLFLKIIQWTPEGKPVKNYYISLICCGVIVGALACDNVGLPFNFAPFVIGLAVPSGPPLGSGIADRMDTFISGLLGPFILTYSATKMDLAVFFDFSFMYTILFVLGSITVGKLVFVFGTAMLNKMPVRDAVNLSIIMATQGLVQGALYESVYKLQSIDNETFTVVLLSILVMALAAHLSVGILYDYSRAYSGYQKRNVQHTPYNAELRVLACADRQDDAIAAVKLLEISSPTKESPIAIYALHLVELVGRATPVLINHGLGQKNAATTSRSHHLIDLFEKFGQQNIGVANVQVFTAMSLPKFMHHDICSLAFDKLVSLIIIPFHRKWSPQGKIVYDSNVQRTINRQVLEMSPCSVGILIDRRKIRVHESSMENEKDSLYHICVIFLGGADDREALAYAKRMMVNSLGTCLTVIRIVTGNAGQENQWDTILDTETLRDIKMQGAHQRNIEYREEKSKDGPETAMLINSMLENGDLDMIMVGRTHNENSPLLTGLTEWCDLPELGAIGDILAAADVSRPISVLVMQQQIVAKK >KZM84226 pep chromosome:ASM162521v1:8:10607801:10613001:1 gene:DCAR_028227 transcript:KZM84226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKLYEVAVSLFFSSLLLPLVFCESNDGLLRIGLKKLKYDQNSHIARRFDSKEGKSLAQSLGRGKFGNKLGDSEDTDIVALKNYMDAQYFGEIAVGTPPQKFTVIFDTGSSNLWVPSAKCHFSVACFLHAKYKAAQSSTYKKNGTSAAIHYGTGAISGFFSQDNVKVGHLTVKNQDFIEATREPGITFLAAKFDGILGLGFQEISVGKAVPVWYNMVEQGLVEEPVFSFWLNRHTEEEEGGEIVFGGVDSKHYEGEHTYVPVTQKGYWQFKMGDVLIDGKETGYCKEGCSAIADSGTSLLAGPTTVITMINHAIGAVGVVSQECKNVVDQYGQKIMDMLLAEAQPKKICSQIGLCTFDGTRGVSMGIESVVDEGNGMWSGRNDAMCSTCEMTVVWMQNQLRQNQTQDRILNYVNELCERLPSPMGESSVDCSKISTMPSVSFTIGDKVFNLPAKQYILKVGEGKAAQCISGFTALDIPPPRGPLWILGDVFMGYYHTVFDHGKARVGFAKAA >KZM85274 pep chromosome:ASM162521v1:8:24309029:24309625:-1 gene:DCAR_027304 transcript:KZM85274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLSPLGNQLWAIFSGMRRAFLGDSRRVIVETDNIEAFGAIKFPAANATTEISSIVQQILVLKNNQTWNCAVRFVYASRNKVATYLALLGSELFRRVFLFSEPLGRAAELMDLDIGLGPHDPEFLEVELVEAEMEDLEAIMANEVDGPLVIGPAATFLRDAGFQLAPPAQAHQEEDLHDFVYEDDLDAEEGGMVMQA >KZM84364 pep chromosome:ASM162521v1:8:13072732:13073800:1 gene:DCAR_028342 transcript:KZM84364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNILFVSGVMLTIGLQSSLQFFMKRSNYKGTISFGVGFFLVVIGWPILGMIGFWPTLAVFVQKIPIIGWVFQQPFIRSFFDRYRGKRVPV >KZM84706 pep chromosome:ASM162521v1:8:17949090:17965136:1 gene:DCAR_027872 transcript:KZM84706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYNYVVTAHKPTNVTHSCVGNFTSPQELNLIIAKCTRIEIHLLTAQGLQPMLDVPIYGRIATLELFRPHGETQDLLFIATERYKFCVLQWDPEAGEVITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCPKPTIVVLYQDNKDARHVKTYEVALKDKDFIEGPWSQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSASAFKAIPIRPAITRSYGRVDADGSRYLLGDHNGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVYVGSSYGDSQLIKLNLQPDAKGSYVEALERYVNLGPIVDFCVVDLERQGQGQVVTCSGAFKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSATDDPFDTFLVVSFISETRILAMNIEDELEETEIEGFSSQVQTLFCHDAVYDQLVQVTSSSVRLVSSTSRELRNEWKAPPGYSVNVATANSTQVLLAAGGGHLVYLEIGDGILNEVKHAQLEYDVSCLDINPIGGNSSYSSLAAVGMWTDISVRIFSLPDLNLVTKEHLGGEIIPRSVLLCTFEGISYLLCALGDGHLLNFLLNLTTGQLTDRKKVSLGTQPITLRTFSSKSTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFALCSVKYNQSSAEDTEMHIIRLLDDQTFEFISSYSLDQFEYGCSILSCSFSDDNNVYYCVGTAYVMPEENEPSKGRILVFLVEDGKLQLVAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMTAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERSRLEVVGEYHLGEFVNRFRHGSLVMRMPDSDVGQIPTCIFGTVNGVIGVVASLPQDQYSFLDKLQSNLRKVIKGVGGLSHEQWRSYSSEKKTADAKNFLDGDLIESFLDLSRSKMEEVSKAMTVPVEELMKRVEELTRSVIYFVKHATSLHYCTLCERLNSKLIANSRGADPMGPAQQRPSGEIHVIVAPCLPAKPPLFSVVSNLKAPMADWAYFNHRGDLC >KZM84902 pep chromosome:ASM162521v1:8:20701573:20706760:1 gene:DCAR_027676 transcript:KZM84902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGLPTPPTTIDVMEHLKSLEYQGLIDNMLRYYYEMKTEDDMNFFENMIQVFLQDMSHTIDKMSEILNEDIVDFDGLKPFVMKVKGSVACLGASKMIPASVTLLHELEMASKSGK >KZM85626 pep chromosome:ASM162521v1:8:27315850:27318451:-1 gene:DCAR_026952 transcript:KZM85626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVNDLTRETPIFHIPVWGLVLIFVLVPVILLVLPICISRRKSRVGSEKLPVSQNKVMSSEIRDIRVDHNSGNQYAYEGDPKILMDKYSGRDPDKYLMHLDRKKNADNSSGSGSFITTENGDYGSGSGEKGTSGKNKAYTPSRLLNNSSPLSGLSDFSQLSWGHWFTLRDLQVATNRFATDNVIGEGGYGVVYHGHLANGSSVAVKKLFNNQGQAEKDFKVEVEAIGNVRHKNLVRLLGYCIEGTQRMLVYEFVSNGTLDQWLHGDMSHQGYLTWEARMKVVLGTAKAYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPVDHGRPADEVNMVDWLKSMVANRRSEEVADPTMETKPPRTALKRALLVALRCVDPDSETRPSMSKVVRMLESDEYPLPAEGRRRHKNKVAATETDCRTSNTAKYKVYNLRPENRDNQRG >KZM85690 pep chromosome:ASM162521v1:8:27888825:27890968:1 gene:DCAR_026888 transcript:KZM85690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFVAESSVKQYEGRVTAYVIISCIVAATGGLMFGYDIGISGGVTSMEEFLKKFFPSVYRKSEASSSNTNQYCKFDSQLLTLFTSSLYLAALVASFAASASNKLFGRKITMLVGGIIFLVGAFINGFAQDVAMLIIGRILLGVGVGFANTTVPVYLSEMAPPKIRGALNICFQMAITVGILIANLLNYGFEKMKHNGWRWSLGLAAAPALIMTFGAIFLPDTPNSFIQRGKHDKAKHVLQSIRGTQNVDEEFQDLLEASEVARQIKDPWKNIRKRQYRPQLIITLLIPFFQQVTGINVIMFYAPVLFKTIGFGDDSSLMAAVITGVVNVFSTLVSIFTVDKFGRRFLFLEGGGQMLICQITVALLIASQFGVSGRGDFSKPVGNATIAFICLYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMFFTFVIGQVFLTLLCKLKYGLFFFFGGFVVIMTIFIFYFVPETKNVPIEEMNRVWKAHWFWHRFIPDDAVGLAHDTDHVQNGNGSGSGTSNGRSNGSDKGKDVELASSSV >KZM85856 pep chromosome:ASM162521v1:8:29335937:29336429:1 gene:DCAR_026722 transcript:KZM85856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCNIVDHDLDRSDNESEGDDTFYAELERRIIVLINTPGENDLKDSRTMRRITNNYSSHRDKKYFDWTEAQIPAGSVPTTILNLWKSNVGGTGVFIPHSVKSDGKNKRRGRKVRMEGVTRQKQWQIRIK >KZM84024 pep chromosome:ASM162521v1:8:5603450:5606029:1 gene:DCAR_028554 transcript:KZM84024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHTERGVRVSKIKEVKSDNGATNQHHQDDSQGNRVTEEVKKVLAHWFLLESDWVEGINGGNHKLVSAALARVDYLRNLLQPSLLQEGLNGEEEALWTIHRFLHNNGHWERARNLQVGAFQRSDCNGSGSKVENSLVNFIEEYKNFVHPNTLPKVLLGDREGIRMALNQIHYGTIAHRRNKENRVKKHPKGGSATQQGKVTSDFIQAHKHLIEPSVLIDALKGHDKSISLALGQIHHHTLPKDQNTGPTQHSHSYKAALQIPVVNSPPKVVPTRKSRNVSSAIAHEQSKLFFTGIADNIHLKDLWFIFKKEGNIKDVILPRKRDKYGNRYGFLLTINQEEANRISKALNGTNIGNRTFYIVKAKTHNSPSVARESPKKKHSYNVDTTKGGSQNVPIDAANPVDSKAQSNRGSVDGKFNKVNHLQSNKRHRDEEFTQIMKQSLFLRTVKNETTQTVGMIAAGLGAPNARIRGLSSRTFMAYFPSEMDLVNTDKDFLAIGFEEVREARIEDMIPARKVWLELRGLPIPGWTVDNFQKILRNHGTILHYCKTRDIENFYQTPKFLVETTLMENIDEFQSVKLMKKFWKVRILETVGESSELHDNSAESEDELPDYEGEPLGGEFFSSSPIPIKTSSDEHSDAHSEPSEVQINLSQKLCDGADEKITEEEVGISEEEVVDADLNPLTPRYSPPPILDFPDKALMGHLATNLDASFINTVITPEEIPDNNILLLNNWKPREEISSPSFHSNTLEDKGPDSDEGDHEFNSAIQTKLLKDLEKLRVKSNRGRPRKNNPKAMNKHFKLPRRKKLRGEGLQQTSHFFLNNAFDEAESIYETGVLMGLLPTSSKSETIQLIKENLSH >KZM85309 pep chromosome:ASM162521v1:8:24568887:24570427:-1 gene:DCAR_027269 transcript:KZM85309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKETELCLGLPGGVEEADQSSKITGKRGFSETVNLELNLHQNDHPAANIDLNHKLKTLPQHSLSKPPAKAQVVGWPPVRSYRKNVMAVQKSNAEETTTKALPGGGAAFVKVSMDGAPYLRKVDLKMYTSYQQLSDALAKMFSSFTLGKYGSEGLIDFMNESKLMDVLNSSEYVPSYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMQKCKSRC >KZM83842 pep chromosome:ASM162521v1:8:2085860:2090587:1 gene:DCAR_028736 transcript:KZM83842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQTDADLQLFGIKHLRQILYLEPCPVEEIISSEIVPRFVEFLHLNDHQELQFEAVSCFAIISSERPKVIDLYNILPVLVSLVSSPCAKISNEAIYTLGNVAGNHLNWNCSGAFDAVVARLNALLGQEEPFMLKVCSWTLANFCKGVLTSDQRAEAITIFKQLLNEQDYVVVTFACWAVSDISNGQVHSLIENGIGSQLADLLKNNYRNYTPSVLIPMLLTLLNILKEDDSEFLTSPKIMSDILMYVLSRNNHKGLHNIIYKIISDLAVTSEILIQCMLDSKIIDALLYYLDLVAERNTEAWNAAKVPKKVFVIRVDDIKEGEDDETDEAALALAHLISNVRFDLVRELVERGCIKQLCYLLSKKNPRQHPGVVAACLDGILNMLNVAKSFDKSFVIEAFEDDDYSSSIMDLAMEECNCVIVEGITTKATTIFNMLP >KZM85206 pep chromosome:ASM162521v1:8:23712421:23716507:1 gene:DCAR_027372 transcript:KZM85206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKVIVSLSALLLLLLFVGATAVLNNSDHLSSIKSDEKAELQNSVNSSMAARLEDVDGEGLNEHAVSNPDEVASMVDMSIRNSTERRKLGFFSCGTGNPIDDCWRCDSNWHRNRKRLADCGIGFGRNAIGGRDGRFYVVTDSRDDDPVNPRPGTLRHAVIQDRPLWIVFKRDMVIQLKQELIMNSFKTIDGRGVNVHIANGGCITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPTHFGWRTMADGDAISIFGSSHVWVDHNSLSNCADGLVDAVMGSTAITVSNNHFTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPANPFAKEVTKRVETPVGHWKGWNWRSEGDLLLNGAYFTPSGAGATSSYARASSLGAKSSSMVGTITSGAGVLGCRRGHQC >KZM84389 pep chromosome:ASM162521v1:8:13718668:13719054:-1 gene:DCAR_028189 transcript:KZM84389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDALKARFSSMLFEFSSKEEILASELNCINNFTSVIAMLLRFFNDFLGLIGAAFFYPSTVYIPIEMVIGRAKTPKFSFTWVWLKILSWVCLIVSIVAAAGSVEGLITDLKTYRPKTYNSVKVNVIH >KZM84336 pep chromosome:ASM162521v1:8:12712476:12714393:1 gene:DCAR_028370 transcript:KZM84336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSSTSSRVSEIEEDEWYSRSEELFEIKHLESINEESGKEVEEDVVYVALLGTGSHDMDALVWTLNHLLHPFSSSLVNLIHVFPQLHYIPTPLGKLPISQVNPEQKERYMIQERGKRREFLQKFLDICSVSKVKVETILIESDMEAKALLDLIPVLYVKKLVLGAPKSSLRKLRSGKGNETVDQVVQNVPEFCELHIICEGKQVVLEKLTLESPSSGVIHNINTVDNKNNNNDVSENFMEDQMQNNASFACSCFTPKARA >KZM85121 pep chromosome:ASM162521v1:8:22897884:22899704:-1 gene:DCAR_027457 transcript:KZM85121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQNLSTVAADRLPKSDSNTAGNQEEAEKTSKEILQYWFLLDYDLVNAISKGNFSLLKLAQAKVQFLKPKINPDLLAEGLKGEEEALCTIHRQLLNNGLWEKASNLKIRKARKPEYPSLEEKETLRFIEANKHLVHPNTLKVLNIKNNESVRMALNHIHYGSLEERRETIPKKATRISRISEERRKEAISRLNLLAKKSNTPGKNGKHPHTNQVLLDFISAHKGKIEASILKEALGGDERALSFALGQIHHKSLEDIALKSHKPGPRKSFIEVLTKSPVKPLPRRGVPNVQGKKVSTDKKSNTIYIIGFSDSLQLRDLWLLFRRVVNVKDIILPRKTGISGNRFGFIITENAIQASKLIEKFHKKVVNNNTLLLEFAKPRKVSSSPSNTFKLNLDKSSPKSVKSDKADNLKKETQNKQSEARLNGSMSSNDHSSKKQVRECKLEADRDFLSELNSCLLLRTAINMSVGKVESIMAGLGFGDATIRGLSQNSFIAHFPDTVSMNDLDLDFMGIGFSAINKVEWDNNIPSRIVDVELRGLPLVAWTPQNCKLLVDKWGEILNCYPIIDCEGIYQVPRIRMETNSASCINENVSIKVEDKTWTIKIVE >KZM84935 pep chromosome:ASM162521v1:8:20952789:20952881:1 gene:DCAR_027643 transcript:KZM84935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGMTWTGTLVGTPIGDVGVLLGCQVSWL >KZM85468 pep chromosome:ASM162521v1:8:26022965:26027160:1 gene:DCAR_027110 transcript:KZM85468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLQVNAVTGYEETSSSGVIGANDLLIVGPGILGRLVANQWRKEHEKCEITGQTVTADHHEELLNLGIVPCLKGTKLPRKYPFVIFCAPPYQTSDYPGDVREAASYWNGEGSFLFTSSSAPYDRYDNGYCNEDSPVVPIGRSPRTDVLLKAEKEVIAADRGAHVYWLEKGTVDARPDHVLNFIHYEDAASLSIAILKKKLRSQIFLGCDNHPLSRQGVMDIIEKSGKFSKKFGGFTGTNDPLGKKLDNSKTRKEIGWEPKYQSYAHFLGVYE >KZM85478 pep chromosome:ASM162521v1:8:26106618:26109217:-1 gene:DCAR_027100 transcript:KZM85478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPILQDSPAKKNSRDWLDLPRDLMVSILQRICCYDILTNVKEVCKSWRSLCKDPLTWQVVTIPCDLVLYKLTEDKGMPSSHDEQKVKILRKMIMHVIDLSCGQMLDFCILGFGSDHHLLESGQLRRLRQHDYELHISTQRLTQILRHVPFLEELKLSNIPDFSPEHVEIIARRCPRLASLAINNLRAYEICCQPVCNQLASAIAKYMPQLCCLQLCGNRMTNAGLSAILHNCQHLEYLDIRQCYNIFVVLDPNLMTKVRKQIKHMRFSKLSWRTINLRTKVLLQPDQYIKQQHSTIR >KZM84690 pep chromosome:ASM162521v1:8:17776135:17781019:1 gene:DCAR_027888 transcript:KZM84690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVGGNATENGVVSPRSALIFLGTGCSSAVPNAMCLLQPSDPPCQLCFKSISLPAEQNPNYRCNTSLLIDFCPNDGHHKYILIDVGKTFREQVLRWFTFHKIPRVDSILLTHEHADAILGLDDIRAVQPFSPTNDIDPTPIYLTQFAMDSVGVKFPYLLPKQLKPGQEVRRVAQLDWKIIENDCMKPFVASGLKFSPLPVMHGEDYICLGFLFGEKYRIAYISDVSRFLPETEHFEILSFLLWGAIKANSSLLRLLFQIVSVSSGRGREREWEVVSRTRDEEVELQRLTNDSGDAVKAISNCGLCPNGLRPDGLRPNDQDTWACGDWPLPWTTLHFFTDKNNAIMRVVLDLFLKVDILYCYADISKDGGGQLDLLILDTLYKNGSHNTHFCFPQTLEAVKKLKPKQAFFIGMTHEFDQQRDNEFLADWSQREGIPVQLAHDGLKIPVDLS >KZM84393 pep chromosome:ASM162521v1:8:13844961:13845155:-1 gene:DCAR_028185 transcript:KZM84393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHIIPVKDHKRVKLSTNNKYQQIHGYENDFDNTTKVQSKNPPTTMAYERKTKTKDVKTKDVKE >KZM85743 pep chromosome:ASM162521v1:8:28256786:28258407:1 gene:DCAR_026835 transcript:KZM85743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEAAPIPMDTDDVVMTTSDPRHVRSTPWVEKYRPQSLADVAAHRDIVDTIDRLTSENKLPHLLLYGPPGTGKTSTILAVARKIFGVQMHNMVLELNASDDRGIDVVRQQIQDFASTQSFSFGAKSSVKLVLLDEADAMTKDAQFALRRVIEKYTKTTRFALICNHVNKVIPALQSRCTRFRFAPLDAIHVSDRLKHVIAAEGLDVTDSGLAALVRLCNGDMRKALNILQSTHMASQQITEEAVYLCTGNPLPKDIEKITHWLLNESFANIEAISSLLVAKLVICLWINMLIVSYVVFVLIPAV >KZM85664 pep chromosome:ASM162521v1:8:27654002:27656173:1 gene:DCAR_026914 transcript:KZM85664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSGATALAGPMNTFNLTKSFNSRTHLRKYSPFVVKAVQSQNKSYDAQGSTKTSFSKRERRPHNVPGDFFVDHTCIDCDTCRWMAPEIFSRADGMSAVSKQPDCKEERVKALQALLSCPTSSIRTEKPPADILEVQKTFPIPVNEQRIPVFASIIMFNKIKD >KZM86070 pep chromosome:ASM162521v1:8:31189523:31189909:-1 gene:DCAR_026508 transcript:KZM86070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNFHGPNDGVLPLLVMNTVLSVTLLKNLLRSVLQVVGADTYSPDQEEDIHTEEPSSTRLCTTKYASLTSQKNTSMEECCCVCLSKFKQDEDVSELCYCQHLFHKVCLEKWFDNQHSTCPLCRSVL >KZM85138 pep chromosome:ASM162521v1:8:23013835:23015364:1 gene:DCAR_027440 transcript:KZM85138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSGDGNNAAAAAKKKGKAKVSRTSMILWHAHQNDASAVRKLLQEDRMLVLARDYDHRTPLHVASLHGWIEVAKCLLEFGADVNCQDRWKNTPLADAEGAKKHQMIELLKSYGGLSYGQNGSHFEPKPVAPPLPNKCDWEVEPTELDFSSAIIIGKLMAVF >KZM83777 pep chromosome:ASM162521v1:8:1479737:1482150:-1 gene:DCAR_028801 transcript:KZM83777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDEERWKKFKEEQRKRLRDIEEQRVPKMTDLENLAGKRRIKLQAAVPVMSETLEKMASEITKKLDQHTQKARARSKAQKKAAEKDDLNLNTSLSNSEALRKIEAEAREKQDERNKKRREKYKAQAREKQDERNKKRREKYKAQKEACKKDGLNLDFEAREKQDQLNQKRRARYKAHKEATLRKIELEAKEKHDQLNQKQREVKNKKRRSRYQNGTFICEGFRVK >KZM84305 pep chromosome:ASM162521v1:8:11893523:11897983:-1 gene:DCAR_028401 transcript:KZM84305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSLLSSPPTSISITHKLNHWVFTPNITYHPKLIKYNSSSSFPQNKTLFCSTIVNAISTQLASNASRSTHQRHWTVVMDTPPTMLNSRPEIIDYYVQTILTVLGSKKDAEMCIYDASCDTHFGFCCDVDEELAREIARLPGVLSVRPDLDFHIVRKDSSYYKVQLNPRSGSFNESSCLVPLNNPKHWLVRTEKPLVGVMEKPQVVDYYVQILANILGNVKDAQMCMYNVFWQSNFGFCSVLDGACAHELAGVPGVLSVEPDEKWDDDNIYSGGESVLQSSDSADVLSTGQMISVGTKKLFVTGLSFYTSEKTLREAFQGFGELVDVKIIMDKISKRSKGYAFIEYTTEAAASTALKEMNGKIINGWMIVVDVAKTNPPKYSRDRR >KZM84377 pep chromosome:ASM162521v1:8:13388286:13393966:-1 gene:DCAR_028201 transcript:KZM84377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTWKNKNKKRPLSFTNLPFEQEDEDDYHHLDNSSSSSKLSDTSCSHDEASAANLADSFREQGNNLAENGKYHEALGKWETALTLVPDRAELHEQKAQVLLEIGEAWNALKAATRATILEPSWAEGWITLGRAQLNFGEPDNAIESFDKALAIKPDSADARDDKQTALHLVKKRRQLQSSGLRATENRYMVGEKTEYTRHDTEEFIPFISNQQKQQSE >KZM85961 pep chromosome:ASM162521v1:8:30295725:30298281:1 gene:DCAR_026617 transcript:KZM85961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFKDFLLLIILELAVAKFALGEIPTTLDGPFDPVSIPLDDTFRGHAVDLPDSDERLKRTVHGFEPEQISVSLSSTHDSVSISWITGEFQIGDDIKPLDPKSVASVVHYGRVSFVLNKKAMGHSLIYSQLYPFEGLKNYTSGIIHHVKLTGLKPQTRYYYKCGDPYMAAMSKVLSFVTMPASGPQSYPGRIAIMGDLGLTYNSTSTVDHMKSNNPDLVLLVGDVSYANLYPTNGTGSDCYSCSFSDTPIHETYQPRWDYWGRYMQPLISRVPVMVVEGNHEIELQAGNLTFAAYSSRFAFPSRESKSASTMYYSFNAGGIHFLMLGSYAPYNKSDPQYKWLRRDLAKVDREETPWLVALWHAPWYSSYKPHYREAECMKVAMEEMLYKAGVDIVFNGHVHAYERSNRVYNYALDPCGPVYITVGDGGNREKMAIKHADEPGNCPDPSKSPDEFMGGFCAYNFTSGPAAGKFCWDRQPEYSAYRESSFGHGILEVKNETHALWTWHRNQDMYDEAGDQIYIVRQPDRCLVE >KZM85228 pep chromosome:ASM162521v1:8:23932039:23934707:1 gene:DCAR_027350 transcript:KZM85228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTEPSFCIYNSEDGIGDDKDGESRVRNDGLERCVTIGDKIGSGDFSFGKNGMGLIEEDDDDKEQDEENEGLFYGLEKMKIEEIERPVSPPMYLATGFGMDGNGVGGGGGFGVDFNTSCFDQVEDVEEFYKSLVSEDPSNPLFLRNYAQLLQSKGDLVGAEDLYFQATLADPNDSEIMLLYAKLVWELHHDKDRALSYFERAACAAPEDSHVLAAYASFLWEVDDHKDFASTNVIQLEDNIPADIPKSVNQEKPVSTDHIDIPTDEGADIEDYYKKMVEANSSNPLFLKNYAQFLYQSKGDLKGAENYYSRAILASPRDGEIISQYANLLWELYHDHDKALCYYERAVEAAPEDSHILAAYARFLWETDDGDEDSTE >KZM84005 pep chromosome:ASM162521v1:8:5265007:5267871:1 gene:DCAR_028573 transcript:KZM84005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTKPRNSNGPPGNNKSNSNSDEKSVIRSKGTSNRGNGSSPSGFKKAQVGNNSEQEAKKQQQLEIINKYWFLLDHDLVAVINNGNHTLLPMAEARVDFLLGVVSRELLEEGVKGEEEALWSIHEFLYNNGWWEKASNLVIERNDYEGASITMDPLLLFLSAYEHLIHPNTREPTKGGLKPSTTRAKKKGSSKASGERVDEKRANVSEHQAQAGMPLSDSDPLFQFLQSYDRFVHPNTRPLVLKGDRYGIQMALNQIHYGSIEEARRLKKISSPPVEVNLNESNPLATQNSPTKIDPSMVLKNFIRSFRHLVEPSVYSDALQGFDKAISLALGQIHHKTLPTGIENPPHNSYIEVLTRGKGLVTKDMTEPKQNKSSAGGKDVTRTIFFTGMDQSTQVIEIWQHFKKAGRIKDIILPRKRDRFGNRIGFVIAHSASEAVNIIRTLNGSKIGPCELYLAHAKNPNRSSPPRMAISRGSTQAVAKPETVDSVEFIVQWLGFRDVVIRGISSTKFLAYLDSLALIEEEDLDFLKIGFMEVRKVVNADLIPPRKVWLELRGLPIIGWTEGNLVQLVRKWGSIISFGQILDKGDCYCLPKLQIETDFLSSIEEDVEVLISAKKWPIKIKESYCQEIHYDSLSPPSPIIEMESKGRDLEGDAMDDRASKNSDIASDKISEVNNDERLTPKSDVVGDITAGGTDISEAEVEDSLLNPLTPRTSGHLNQPVSSDHSVEEVQIFQTANWKPREKDSSFSMIQLQSEKEDNDSDYNPLEDLSDICNSHPNILNDLKNLKVQRKRGRPRKFNPKQLNKHFKLPRKKKTKGEGLKSCSHHFLHPAYDEAEAIFETGALMGLLPINSREDSLKMIKANLV >KZM84359 pep chromosome:ASM162521v1:8:12969325:12972448:1 gene:DCAR_028347 transcript:KZM84359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKAQIFELNNGTMKACISNYGCTITSLFVPDKNGKLADVVLGFDSVEPYLKGAAPYFGCIVGRVANRIKEGKFTLDGVNYSLPINNGPNSLHGGLKGFDKVLWEVVDYKKGENPSITFKYHCRDGEEGYPGDVSVTATYTLTASTSMRLDMEAIPENKPTPINLAQHTYWNLAGHNSGNILDHSAQIWAMHITPVDQNTIPTGEIMPVKGTAFDFSAEKKIGSSISEVPGLGYDHNYVLDCGDEKLGLKHAAKLKDPSSARVLNLWTNAPGMQFYTGNYVSGVVGKGGAVYGKHAGVCMETQGFPNAINQPNFPSVVVKPGEKYQHSMLFEFSAE >KZM83664 pep chromosome:ASM162521v1:8:261004:261564:1 gene:DCAR_028914 transcript:KZM83664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLRRFLDSPPSMAPTGRGRRASHADINETDFDTNMVIILAALLCALICALGLNSMVSCALRCSRRYGQGQAAGARVASSGLKKRTVRKIPVTVYGSSTGVNFEASECPICLGEFLDGEKVRVLPDCLHNFHVKCIDKWLVSHSSCPNCRNLLIDIPNSGVADQNRSFSDNNSGHRISVAVGVSC >KZM83945 pep chromosome:ASM162521v1:8:3820123:3821124:-1 gene:DCAR_028633 transcript:KZM83945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTWETPDPGYKKINVHCEIMQDPLLNETHVSVGTLARDSGGVQLWGALGLLPNLTEEQAIMSGIQTALIHAQKKGWELIYIETTNLQVYDTIRHQEHVFLNEEQLEIYSSFNTVYANHYNGNKMKRVITSVPPRINSTAAYMANYGLTRKVAFGEISGTVGDMDYFLARDMGMALPVPSVEIQTNLGEGEVIDGPPPPKKRKLDEFFRDEIPRQAYKDKGKSKVMEHFSFYENGLFAKKAIRIMEDGKLSRYSPAFDNQVVNLNAAVGKEIYARDILHHALLGNLKALIPKLYVSNPGFPQDDVDHLMSVDRVLELMGFSTDKASSSKNPV >KZM84351 pep chromosome:ASM162521v1:8:12889974:12896575:-1 gene:DCAR_028355 transcript:KZM84351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPIDKQKRLSRYTSIEKHNSPTNLSTVACGRKNLAFAEFNEVTRKNNVESFPESSNCLEKGATRIGKIKRFRARRDLSAHGKRIVEKESSSGCEDEEDREGSGCSLDIKIHDLNEQDSLCDIKITKKCRDTHNKKIYEGGFDYGYWKGSDDDEIITSVKLKKVNNDTKKIKVKGVDGLNSIKTKSSDADEIKMKKFGDANVVQKKGIPEKSTVGQSVKFGKSSLCNLSSSSRDSCFPTSIVEGDRDAMGKNIMNITMKSCHQCMRPVRRIIIPCQRCRRKYYCIQCIKNWYPQLSEEEIAEACPFCRGNCNCNTCLHSSGMIKMSKLCYTDREKLQHLQYLINALLPFVKDICEDQDNEITLESVSRGVLASLVKPEQAYCHNEERVYCNLCATSIIDFHRSCPKCSYELCLHCCWEIRNGEILGGQRKVSFQYVYKGTDYIHGGDPLSESCYVNTSKDQTEKLTKWVAEQDGSIRCPPKDIGGCGCCLLELKRLLPEDCISSLERRAEMIRSKYGTVSDICKAVCDKTGSEPSCRAAMRRGTNDNFLYCPSMRDIQMKEHIMRFRSHWALGEPVIVRNVLEQTSGLSWEPMVMWRALCENSNSEVNTDMSKLKAIDCLAGCEVEINTREFFEGYIRGRTYANFWPEMLKLKDWPPSDKFENLLPRHCDEFISALPFQIYTNPIDGFLNLAVKLPPTLLKPDLGPKTYIAYGIAEELGRGDSVTKLHCDMADAVNILTHTADIELSDEKRYAMERLKDIHKAQDERERLQRENFIFTHSSGFSTSTHDCMIPETEQHKNDADVISPISAVCWSNDMSNQSKNPFDGNVNELSKEMNHSELHSSHLQSVETGGALWDIFRRVDVPILEEYLVKHSKEFRHTYCCPVDQVYHPIHDQSFYLTSEHKRKLKDEYGIEPWTFVQNLGDAVFIPAGCPHQVRNLKGVAGNLFDTIQHTSNQLN >KZM86076 pep chromosome:ASM162521v1:8:31221508:31224120:-1 gene:DCAR_026502 transcript:KZM86076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVEENDRPSCGSRKTYSRSASWSDRSPTNPLPRPQLNNKVRACLPPLQPLAISRPKAEEWPRAGSDDLGVWPNPTTPGVRVGGKVAWNNSEQSPREFEFRRDKIAFFEKDCSRIADHVYLGSDAVAKNREILRQNGITHVLNCVGFVCPEYFKSDLVYKTLWLRDSPTEDITSILYDVFDYFEDVREQGGRVLVHCCQGVSRSTSLVIAYLMWRKGQSFEDAFQFVKAARGVANPNMGFACQLLQCQKRVHAVPASPNSMLRMYRMAPHSPYDPLHLVPKLLSHPHADALDSRGAFIVHVPSVIYLWIGQDCVSVMSDNARTAALQVIRYEKAQGFISIIREGEESSEFWSALQNGADTQEDRPSSGSDKGSSLIHRVVGQRKVNDYDLDFEIFHQAFSGGVVPPSALFRAGAETSLPARENGWGRLRRKFANGIMKEFIASSKLSSDTTESSDTSDMAMDTGVEVESSLSSFATRSLNQISDNHTETSTTIPGPLYSPSPRHISSFPVNSSKFSAFSPSPSPSTSDYSSPFTFSPSSSNWSDLSYPSAQPSPSGLGSTDLCEAKKYSLEENAFSSSYAFKSTYLPCKGTSPSIAERRGTYPPPRMMLPSDDKMPQAQANLPRSWSFSLSSSENELTSAAECIHLEQKGYVDTEEPMTDVDITVADVFQNVRHDEGESGLFHTPSGDMVDDIAETTSSVLYQWPGMNEVQRESFEPNSESVYFICAPDMGFSLNTRDVLYIWIGQDVSSTEKLQRMDCDGVCEDDHIQWEAVGRNFITNKNLSAHSIVQIVKEGEEPEQLLKHLNLSSFHKAKELSNN >KZM85821 pep chromosome:ASM162521v1:8:28959421:28961441:-1 gene:DCAR_026757 transcript:KZM85821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYFDIDDILAEDELVPAIFREATNGVGLFDSTDDTNKVEPGSKVELPFWLARELHLRQAVTVNVPPCFNKRTREEIDADAAHVDLRSRCPYFYELGYKIAPLVGDKTIGLVLLIAFRTRYKEVLIKAHTAFAVAPTFLSLLTEEEIKLYKAAQSSAVAFKKWRVGGPRFQKASVLGRKRKPAE >KZM85154 pep chromosome:ASM162521v1:8:23252254:23253250:1 gene:DCAR_027424 transcript:KZM85154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVGFVALMASSVQGYGGWSGAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIKCVNDNQWCLPGSIMVTATNFCPPNSDGGWCNPPNQHFDLSQPIFQHIAQYKAGIVPVSYRRVPCQRRGGIRFQINGHSYFNLVLVTNVGGAGDVHAVSIKGSRTGWQPMSRNWGQNWQSNNYLNGQSLSFKVTTSDGRTVX >KZM84984 pep chromosome:ASM162521v1:8:21427596:21430045:-1 gene:DCAR_027594 transcript:KZM84984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLQIFPQGWVFCHIPLSEVISLESYQPYCTSLPDNPLLECFEMTDESFVQVHPSHSDAVKKAISNHQAAVKGGHLLKLPFCTIFEYLQAMHKIQSASGPLDMRLAQVPKMLPVLRNDWAPMAFFISFKLETDRDILLKKADMALKKYKMHVVVANELLTRKEEVTVVTPTGNISVRRDKTKGVTDVEGPLIKLLVEKHSAHIEDSIKCS >KZM83955 pep chromosome:ASM162521v1:8:3939755:3940402:-1 gene:DCAR_028623 transcript:KZM83955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSSDGRDDSAAVKPDMPRVRSTSSKIPEARRRAARCIANRARLAVRATPPKQEQEKKKRNYEGYLQKKFTPSIITNVLLTLSNAQTEWVKKAGFGLLLDFRMKTYQHRLGYKIVDSFCSRTCGLRLKAGDVLITDTLVHKIIGLPLGDLEIELKEGKIAKTD >KZM85740 pep chromosome:ASM162521v1:8:28237110:28239876:1 gene:DCAR_026838 transcript:KZM85740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKIGSESDVSVHSTFASRYVRHSLPRSKMGENSMPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECNKLMMDSINKNYVDMDEYPVTTDLQNRCVNIIANLFNAPLEDGEAGVGVGTVGSSEAIMLAGLAFKRKWQNRRRAEGKPFDKPNIVTGANVQVCWEKFANYFEVELKEVKLREGYYVMDPVKAVELVDENTICVAAILGSTLNGEFEDVKLLNDLLLEKNKLTGWDTSIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWIIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGYEGYKNIMENCHENAMVLKEGLESTGRFNIVSKDNGVPLVAFSLKDNLRYNEFEISDMLKRFGWIVPAYTMPPDAQHVTVLRVVIRQDFSRTLAERLVIDINKVIKQLDALPRNSLLIKVELSGEEKPKRTPLEIQKDITDTWKKFVMAKKPKLVC >KZM85700 pep chromosome:ASM162521v1:8:27982881:27985512:-1 gene:DCAR_026878 transcript:KZM85700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELLVLLMRWGYLVLLSTRPLMKTHLMLKLAEFVLVKHQVSSCSECSICCCNLWMYDSAFRIWMNALFVEMCREHGINFIGPNVVGNESGFLLPDRICVMDDKSTAGDTMKNSGVPTVPGSNGLYFVKGISTKEAIRLAKEIGYPVMIKAAKSEATAAFSNDGVYLEKYIQNPRHVEFQDFKNGKVDTDFIPEHEHESA >KZM84481 pep chromosome:ASM162521v1:8:15160612:15178227:1 gene:DCAR_028097 transcript:KZM84481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVNDSQQPPTEPSPSAILPVSAADSALVEASDNAELIAQDEAKLESQGGGEEEAAQMKSDDPMEEDAEAKAAVVFCIRLKQPRSNLLHKMSVPELCRKFSAVAWCGKLNAIACASETCARIPSSTANAPFWIPIHIVIPERPTECTVFNVIAVNLSDSPRDSVQFIEWSPAACPRALLIANFHGRITIWTHPTQGTANLVKDASCWQRDYEWRQDIAVVTKWLSGMSPYRWLSSRSGSNTPTTLEEKFLSQQPQASAGWPNFLCVCSVFSSGSVQLHWSQWPPTQSGAASKWFCTSKGLLGAGPSGIMAADAIVTDSGAMHVAGVPIVNPSTVVIWEVTPGPGNGFQTIPKASVGSGVPPSLNPPSWDGFSPLAAYLFSWQEYLLLEAKQGKKQTEQEYSDMVALHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVITIVIVEGQYMSPYDPDEGPSITGWRVQRWESSVEHVVLHQIFGNPTSSLGGQAPMQTVWVTKVNKCIPTTSDIKTRQVAASGLCSDGRHTYDAGVDDENRVSFDPFDLPSDVRTLARIVYSAHGGEIAVAFLRGGVHIFSGPSFTPVDNYQINVGSAIASPAFSSTSCCSASVWHDTSKDSTILKIIRVLPPAVPSHQVKANTSTWERAIAERFWWSLMVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTPHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVPEPWQASGETLSGIDPEAMAVDPALVLSIQAYVDAILDLASHFITRLRRYASFCRTLASHAVTTGTGVGRSMVTSPTQSSASPAATPGSQGGTANSTGSTQMQAWVQGAIAKISSASDGVPTSNSTPINGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLPRFIGGAQRNADSGSQKNQPNGPGKVEEISSVPSKPASAMVRTEEGQASRVNQGVPGAKGAEEGPAGRSRLGSGNAGQGYAFEEVDDGPPVKVLFLILMDLCRRTSGLAHPLPISQVGSNNIQVRLHYIDGNYTVLPEVVEAALGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMFGGPWCDPEDMGPLDDTAKMCNSSCSLDMQLPESCEVYHGAQGLWPRKRRMSERDAAFGLNTSLGLGAYLGIMGSRRDVVTALWKTGLEGAWFKCIRCLRQTSAFASPGANTPASQHEKETWWISRWVCGCPMCGGTWVRVV >KZM83683 pep chromosome:ASM162521v1:8:438833:446294:1 gene:DCAR_028895 transcript:KZM83683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSRYEIRNEYSLADPELFGAADKDDPEALLEAVSMAGLVGLLRQLGDLAEFAAEIFHDLHEEVMATATRGHGLIVRVEQLEAEVPLIEKAFLSQTSPSVFFSNAGTDWHPSLHTNQNLITGGDLPRFIMDSCEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKLEASSYGLSGAEVQKEKRSRKSKKKSSRWRNAGTPEVLPASHSKLQQLLLEDRVENGITDPARLVKLKRGLNRYPFDSETGRSFMEKFINTSSPEDKVVHEIAYGSSPLDLPSKSTSEVTSLKESMSEGKTLVKPFVDEVGEGLSGREFSLGTRQYPLQLADEKEIAIDAKSRDGNEDGYQSDSVVSEVDKYMDAVATMETEIETDTEYRSKYDSFVDMEKHGTDYDANDELLQGQFSDSQSARNSNASDDRNNSIKKGSSSFSYSDTGSMGSKNTPVDDVATEKPTPTEVCEADAVVMAMEKVSPSEDLSMSEAYQPPKIGVPGYTSNEVIDTPSSESESGEMCSGLHHSDSSSTHKPLDEGEILARGISRGPLMLEIWSNHDEPDTRFIKTEENSRTRGNNIPWISRHSSITSQTIVDEQPAETAPAENYILNNLNDNSSDLSTASIHISENNCEVISKEYDDESSLDNLLAAKQEKDDCTENLVDAQVMLHQTVDSHAEVETSVPSSWDSETSKTVLQPVVNSVDNIYSSAKETTLDSELVSDSSNNSSTVEQQEAVPPITIGSDIDTCHEEEICNRLLPEADSGGMDISTCSTGLTGIEATVVDQNSSYMDSGSPRSFTGFSVPSKEHDVEVGEISSNKNLIGSEDIGVAISLGSLNFTAPGSLIDDKQSKEVQSENIIGESIVEIHDSDADKVNSTHEKNLSQEEFNCVQKPDQYGFEVSDKFHPQSIDESVEQTTMVNQEIALDFAQCETASGHHSDSEMLNYVPDSSLQPVRANELSSSETSSQHEESVPSVQSKLHEHSGDSLLSTHHYPEEIPEPKLTLQSDQNDLEHLHLDNSNPKEVSQSEQSCCLDHPDEGSTESQSEPCLAASMPVVQANIQADSFGIESLSGDKEIYSPSSKLHLNDADRGGSFITSPKSSLIFSPTEAMNSDISQPENNEVDIPAHASDEASEVSSSYPLLPAVPQIDLAEMPPLPPLPPAQWRIGRTQQHAFLPSDRDTVQNTFGLFPPSFPLTTSNDTRTGNQTSTVDLLPPVPILQLPTVKDEDQTSTEDLLPPVPILQPPTVIEEPQHIYQNLLQDTAHSNQLSVQMQLTSNDGSSNVPTSDSTSYMDPCLTLPSTINEDPGHAMHAGEAGSTQSSYTPSLTTATIVNSSSGSVPNHPSSQMQPEVCLEPEDTSSRSEVRLIKFADTRVPQPTLADEHLKDVSTISEGEPASSTSNSLYPALEDSTMNGNRPMKLHRPRTPLIDAVAAHDRSMLKKVKERPRPEIQKVDDRDSLLQQIRTKSFNLKPAVATRPSIQGPKTNLRFAAILEKANAIRQALADSDEEDDDTWSDS >KZM84256 pep chromosome:ASM162521v1:8:11113066:11120443:-1 gene:DCAR_028450 transcript:KZM84256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQEPKSLHKENLVRGIFAGNIFDLGSAQLAELFSKDGVSFLASCQNLVPRPWVIDDLDNFVQKWTRKTWKKAVIFVDNSGADIVLGILPFARELLRRGTKVVLAANDLPSINDITYTELIEILSELKDEHGQLAGVDASNLLIVNSGNDLPVIDLASISQELEYVAGDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHLEVAQFLGGRLYDCVFKYNDISS >KZM84370 pep chromosome:ASM162521v1:8:13229760:13233537:1 gene:DCAR_028208 transcript:KZM84370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVQRGKMTGLDGEVHSEGKDDATKNNQDGTSQXDNFPRLFINKSSCSEEHSVYNDPSTQQGSGTINIDKSQENLDTSLPIKSSRHSLPNQQQLEEFASPSGRASSSSKVRSSSSTSASAASSSSNRSCLLQPRLPRPYPHLSFSLGFRGLIFIDSASSLLHPRLSRPHFHRLGLVFFSLGFCGLIFSDLVIMSIKQTFPSPPSSKHCLHIHQENVASTSSEHRLRLRQAESSSSSNNFLKIGFRGISFTRLSFTFQTQLLSVNKVLMVGNIWRA >KZM84399 pep chromosome:ASM162521v1:8:13898882:13903307:-1 gene:DCAR_028179 transcript:KZM84399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTRKNVLVYFVVLLVIQPCICLDIITRTQPLKDGDVLISEGEYFELGFFSPGSSSNRYVGVWYHQIPEKNVVWVANRDSPVKNTSGVLSVDGTGQLVLFYSRTPQILIWSSNVSGSGDGRFSAKILDTGNLVLFKDEYSEKNVIWQGFDHPTDTHLPGMKIGWNKKTGENKFITSWKSPENPGTGQYSLKFDVNESNPQLFIYNGAERLMRVGPWNGVTFSGYPEFTVSGPDQVAKQVYIDNEEEVSWYYTINNPANVSRFVMNETRSVAQRFNWDPVTQKWYPFWTGPDDSCDFYRHCGAFSTCNPANVGAQGCECLPGYESQGNPLRDRYQCLRKSEALVCGKGEGFVEVSGVKVPDTSTAHLESDITLKACNNLCLKNCSCTGYTIANISNGVGCLTWYGDLLDIRQYSDGGEVLYVRVDHHELVGSKKRVLRVLLPVLVAILLIIIAFGYWLLRKKKKRGGSKRLELFNSLENSDERGTSSTGVHCFPLSIIIAATNNFAFSEKLGQGGFGTVYKIWDRWLQGTPLEVVDRSLGESYVVDEVLRCIHVGLLCVQESAVVRPTMSEVVTMLCNERTPSSPPEQPAFINRATGYFGPVRSSSSGNGAIAVAEMTISMIEGR >KZM85799 pep chromosome:ASM162521v1:8:28774486:28784149:1 gene:DCAR_026779 transcript:KZM85799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAVISYTNRCFRENYPPFLPLNSALADSFLVNRLCRFLFASVLDHGRDLQGTYWWWVWSMGGLLISKGLWVRSSLLMEGEVILVVSMKKLNKDGFRPSVKKLNNDGFQNHGSNCATSTGHPSFSVNFTFGINVTAPQNHETGTKRSYRELLKSGVGIIKIQTHGWERVPNLIICIKFESEAVVSNCRGLASKLIGQGYELVSDGSDHHLVLVNLWPFQLQESSRENVNGAQVEKILNMASIMLNKKSVADDKSEIFTEGARIGTPGHDYKRFHTERFHVSCRLDERIIYILRKVINRRIKICLHTDITPDPIQTLTLKQPNQKTNTEHLDSGVREIFPLKIKDIACKALTLKTQIKHDNLLLKSTIFFAPYAFVFGLSSSASLDGSATAMTILSNGDVSCAEYFSIPKQLLRMEEPNGSMMMSVDIGLEMHKVEAPPYRSTLRKLQTRLKETFFPDDPLRQFKGQSVKRKWILGAQYAFPILKWGPEYSLQVFKSDIVAGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLVMGSMLRQQVNPVTDHVLFLQLAFTSTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKGLLGITHFTKKMGLIPVITSVFRETDESIKKPKLFWVSAGAPLLSVILSTLFVFLFKAQDHGVSVIGKLEEGLNPPSWNMLQFHGSHLGLVVKTGLITGILSLTEGIAVGRTFAALKNYQVDGNKEMIAIGLMNVVGSSTSCYVTTGAFSRSAVNHNAGAKTAASNIVMSVTVMVTLLFLMPLFRYTPNVVLGAIIVTAVVGLIDIPAAYQIWKIDKFDFIVLLCAFLGVILISVQEGLAIAVGISILKVLLQITRPKIVMLGNIPGSDIFRNIHQYKEAVKIPGFLVLSIEAPINFANITYLNDRISRWIEDCEAEDETQKYSALGFVILDLSAVSAIDTSGTSFFKDLKRLILVNPIGEVMEKLQRSDDTRDLVKPNSLFLTVGEAVTMLMSRKNHV >KZM83730 pep chromosome:ASM162521v1:8:876390:890434:-1 gene:DCAR_028848 transcript:KZM83730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDCCGRLEIREFVRGETPLKKRCECLQSDDELVPSFPALVARLWSSDGDSQLEATTQFRKLLSTVRTPPNVEISSGVVRQFVYFLTQHYHQRLQFEAAWALTNIAFESSENTIMVIEQGALPDLIRLLHSPSDTVREQAVWVLGNLAGDSPKSRDLVLASGALLPLLALLNGNEKHSMLGVATWTLLNFCRGKPRPPFHQIRPALPALLRLVHSTDVAILTDACLALSHLSDGSYERIQAVIEAGFCPRLVHLLGHFSPWVLIPALRTVGNIAAGDAVQTQALRLCVLVVLEDLQANSLNSFKRAWIVYNLYLVRQNCIKPLCDLLDCPESRIVTACLEGLANILEVGEAEKNLKRKVSYYAQLIDEAKGLGKIKNLQNHDNNEIREKAIEIVETYWLPYSDGTRRSSLQSKLKLLMPEPSSSSSPQPGGLEYSGNASASSSLSHSHAQHDEEEEEEEHAESEPVRVRDETVRFRISEATCCCISLLITSWFLVSVTLMFGLYGSSTLQLGPNCSLRIRANPLFVEYVKVKQLDGTKEGPRLHGFSKDPPLEVLVASSASEKLYLPERSQKARSQMNISMTVMSSSSSPLELAIGEGIEGLTQWLDNPMYPNNIFSSDIIHGNGTINHSVTKSSNYYVSVINLNSEIVEMQLNLTLKALLYNTTQAYYKCSLSHGECTFRTSYSDGYTVVLTTPSTKQASLNRSPPLGILYDDWYVELSYGPRWITYTVGLGGMAVLVLLAFRLIKCGQHIDYRTEAQFRGTRSQRAPLLSHKDDDQSSCTSSSSDFVSQDDEHLLEMLDGHLKDGGTDINTSRLCAICFDAPKDCFFLPCGHCVACLACGMRIKETSETCPICRKKMKKVRKLFIV >KZM85933 pep chromosome:ASM162521v1:8:30041115:30043715:-1 gene:DCAR_026645 transcript:KZM85933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVISFAIEKLGDFLVHQVNIRIGVRDDIRWLKEELGLLQATGELAESRQEEKLVRLWLNTVKDVANDAVDILKRFQDGQASLEQGHMDRFLNCLCMCKKEAQLYDIGNDIESLKKRIEDINKRRLQYGIDNILAGPEVKQNDRSLIRASAIDKHVDVVGFKEDIKKLMKKLNSQDPALKIISIHGLGGLGKTSLATKLYNSNELKHFGTRAKVSVSSDYNIKDVLKRIIKSFKGPEHEQYMSNMDEHDLLRYLPKLLQKKGCYLALIDDIWDINAWNQIKIAFPNKNNGSRIIITTRNKKVAETVDKNVLAYQLRFLREEESWELFCKTAQPTQNLKNLGREMVGKCRGLPLAIVILGGLLLKNKSYDYWSKVKEHIWRNLRDDSVDIEEILSLSYKDLSPQMKDCFLYLARYPEDQIIVVERLKHLWIAEQFISEDEEGDGKLMEDLAEGCLNELIDRNLIQTESLLLNEKVERCRVHDLVRELVIKKAKEQKLLVNFDSSKHQPNLIHLLEGQRRHAISDGIGEYLKLLDQRRFDALYLHSLLLEEYTGRVKLKEMKLMYTRFKNLKVLDMSSVESERIPEEIGDLVLLKFLGFVGSEGKTIAVPASIGKLKKLQSLWGGFSTSYAVPREIWELPELRHMYFLFIKISGRLKIGSRQTKLHSLSGIDFKEWVKIDTVNFANLRALSIEKNLAAEEDEEGEGGASCSLESLTNLTNLQTFRLWLPPGAVIPTMKSLSSFKFLKSVDLWGVIEDLSELRFLPDSITDLTLYGSGLTEDPMPCLGNLPNLTALQLEEVYDGNKMVCGKNAFSSLQILILRYFTSLRELQVEDGALPCLKSFQALECKKLKKIPVQLERFLTQAN >KZM84903 pep chromosome:ASM162521v1:8:20712326:20714076:1 gene:DCAR_027675 transcript:KZM84903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRTVVFLLIGLLAWAYQEMCPPPPKLCGSPGGPPVTGPRIKLRDGRHLAYMELGAPKDVAKYKIILVHGFGSNRFDTVVSKDLLEEMGATLVTFDRPGYGESDPDPKRTSKSSALDIQELADQLGLGAKFYVVGFSMGGQVAWGCLEHIPERLAGVALLAPVVNYWWPGFPANLSTEAYYEQRVEDQWALRVSHYTPWLTYWWNTQKLFPSSSVASGRPNFSRQDIEILTKLAVAGKPKDKPLQGYSTQQGKFESEYRDMIIGFGKSELNPMDLKNPFAGKDGSVHLWQGDEDGLVPVTLQRYIAGKLPWIRYHELAGAGHVFPLDKHMGETIFKTLLLGENEPTADK >KZM85963 pep chromosome:ASM162521v1:8:30305779:30308778:-1 gene:DCAR_026615 transcript:KZM85963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKKKARAGNKEKRVAKSATASEKAAPANDTPVLETEAVDAVVPAVEDRRICPHFDKGFNLVKVSLKLGSPGPLKCEDCREESYDRRASKAKGKGKKKGSGSVDSNSGEKAIWMCLECGHFSCGGLGFPTTPQSHAVRHARQNRHHLAIQFKNTQLRWCFPCSTLIPVGNLEENGHTKDVLFDIVKLMKVRPTEGASIDVEDVWFGSGSVLSDIKTENATLNNIYAGGGYKVRGLTNLGNTCFFNSVLQNLLAMQKLRDHFLQMEESIGPLTVSLKKLFVEIYSGSGVRNVITPKALLGCVCAKAPQFRGFQQHDSHELLRCLLDGLCTEESSARKQAKSLNEDGTSPNVAPTFVDAIFGGMLCSTVTCLKCSHSSIVHEPFLDLSLPIPSKRPPSKKAKPVTQPKKTKLPPKRSQRIRSKVNRNADPVHRSSTPSVEDITPSAVVSTSEFTGQVVSGNESNSVLENNSATQESNNLLHKNVVEKTVPADDDASWLDYLEPDTVSSDYELAAQDQNMDAGNEDASENIVSLQNVGSSMVMESSNQDPLPCTEQTEPLGDSFASMDYLNTDGVSNDPTVDSHSNDISSVPDSVIKHATMNGEIPKHDSEFSDLILPEDSSRKMDWGENSWEDEPLLQVQSSEVLLLPYKEDTSTADGASNSIAGISPSPVADEQDSMDFGGFGDLFNEPEVVEGPVMGPMNRNEVVGTGTSFGNSSGSDLDEIDNSDAPISVERCVSFFTKPELLLKDEHAWHCENCSKIVLEQRKLKKADKLRSNVLLNGDAARVQDPLLCSGQLEPLPIGSKNHCNGNNKREVLDALSEKLTSSNGSIDGELNDTTESNQKCDEHLPVDPLLEEEKYKISVALQKLTINGENSNDSFNINESVGAGCYPVSAQQSKLDSPPGERESGSEDVEVDSESIKVKRDATKRILIDRAPPILTIHLKRFSQDARGRISKLQGHVDFSDMVDLGPYMHPRFDFVLHLTSKCTANIFLVMLN >KZM84373 pep chromosome:ASM162521v1:8:13315145:13328429:1 gene:DCAR_028205 transcript:KZM84373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYTLGRAACVCRKWKYTIRNPVYWRNACLKAWQLYGVVENYKLLQSKYDGLYVSRNTYIRAGVAEWKVTNPVHVVCYFRYMRFYPSGRFLYKNSSQKVKDVAKFMNFRSAKVEGVYSGSYTLSEDKVEAAVLYPGLRPTVWRIRLRLRGTIVGANNRMDLLSLVTSGVNDTDMIGLDENILEIIEGWEEDETHNPDVPAISHKRGLTPFVFIPFEEVETSVLNLPVERMDYFVPG >KZM85255 pep chromosome:ASM162521v1:8:24119755:24127881:-1 gene:DCAR_027323 transcript:KZM85255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVVVGGKFKLGRKIGSGSFGELYLGVNVQSGEEVAVKLEPAKTKHPQLHYESKLYMYLHGGAGVPNLKWYGVEGEYNAMVIDLLGPSLEDLFNYCNRKLMLKTVLMLADQLITRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKIQTSIEVLCKSYPSEFMSYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRGQQSAGKLALNPGPSADKAEKTPVRREMRDRFSGAVEAFTRRNGSPAVVHGDPPRHRSTENVPLSKVVQVDTERGRVSRASSASKRVVVSSSRPSSSAEFVENRSNRLSSGGGRLSTTQRVQPGFESKSSSFTRTATSRGGRDDALRSFELLTIGSGKRK >KZM85581 pep chromosome:ASM162521v1:8:26884480:26885270:1 gene:DCAR_026997 transcript:KZM85581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIGPSGGTGVGRAWDHESKTEIAQLIISRSATCINYIHFVYVEDGGNKLVMSEKIGVDKGGSRSLNTIMLDYPHEVITGVTGKYRVFYNDEKQLTSLTFVTNKRKYGPFEVSEPYPQEFDYHVGEKQFGGFFGTSSSDGIESIGIYLKPLKKLPVISSIKTE >KZM84456 pep chromosome:ASM162521v1:8:14883893:14892219:-1 gene:DCAR_028122 transcript:KZM84456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNRETISDLVKIDGVTTTLTLTYAGKLSWNDGDSQRSLTIEKEVLGFSIQGSRIFLKTIVEKSDGSCCVGGKGALVRKSFAFEPLSESSLQLWSNMIRQKLDSLGRPKKLLVLVNPFGGRKSALKIFAADVKPLLDDANILFTMQETTHQLHAKQIASKIDLSMYDGIVCVSGDGILVEVVNGLMERDDWESAIRMPLGVVPAGTGNGMAKSLLDTVGQPCTALYAVLAIIRGHRRSLDVATILQGDTRFYSVLMLAWGLVADIDIESEKFRWMGSARMDFYALLRIIHLRTYNGQLYFLPAPGYEAFGNKIGGQSFECVGDSCTHRPNQGVPIKIQQHGNRHSSIDLQNLNWKKIDGPFVSVWLHNVPWASEDTMAAPDAKFADGYLDLIIMRTCSKLSLLALMIELNNGNHVKSPDVLYLKVKAFILEPGSRTADPSREGIIDSDGEVLARGKGAYKCKQKSLMTYGKLQITVDQGYCGGESSWLDTLLHSFSAFWIPCGAVVVESAELHRVSS >KZM84487 pep chromosome:ASM162521v1:8:15278479:15278831:-1 gene:DCAR_028091 transcript:KZM84487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQRRVNSLDTHAEGMPITRLFPDDTEQTDEMVEDMEISPVDSDAEMEDDDIVYDGYLYGSDSDVDDVTCDDFSVGPFEGMLHHS >KZM84738 pep chromosome:ASM162521v1:8:18534344:18552942:1 gene:DCAR_027840 transcript:KZM84738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQFANENQDRVILPRVEVKDIEDISEDKVTATLRRALSFHSTLQANDGHWPGDHYGPLIFMPGLILGVFEWSGNNPVQPEIWMLPYILPTHPGIGSSYIVFMSRSARFEHYYNAHEDLFHPRPLIQDILWGTLDKVVEPLLMHWPGSKLREKSLRTVMEHIHYEDENTQYICIGPINKVVDDCSGDLDLWYRHISKGGWTFSTGDQGWPVTDCTAEGIKNSGGGYGTYELTRSYSWMEILNPSEIFGDHSIDYPYVECTSAVIQALSTFKKLHPGHRGDEIDICIQKAALFIEKLQAQDGSWYGSWGVCFTYGTWFGIKGLVAAGRSFATCSAIRKACAFLLSKQVASGGWGESYLSSQNKVYTNLEGEKSHIVNTGWAMLALIDAGQFAHENRDRVVLPRVDVKDIDDISEEKVTATLRRALSFHSTLQAHDGHWPGDYCGPQLFMPGLILGVFEWSGNNPVQPEIWMLPYILPTHPGKMWCHSRLIHLPMSYLYGRRFVGPISSTVLALREEIFNVPYHEIDWNQARNLCAKEDLFYPRPRLQNILWGTLDKVVEPLLMHWPGTKLREKSLRTVMEHIHYEDENTRYICIAPINKVLNMLCCWVEDPNSEAYKLHIARVYDYLWVAEDGMKMKVVDDCPGDLDLWYRHISKGGWTFSTADQGWPVTDCTAEGVEATLLLSKLSPELVGEPIDVNRLYDAVNLLLSLQNSGGGYGTYELTRSYSWIEILNPSEIFIDNSLDYPWVECTSSACAFLLSKQVASGGWGESYLSSQDKVYTNLEGDKSHVVNTGWAMLALIDAGQIDPQYILLISELELEEVVEDIRLKCARLSTVVSVNVVKIQNLIIAQETNEVDGIVCYG >KZM85287 pep chromosome:ASM162521v1:8:24409329:24411035:1 gene:DCAR_027291 transcript:KZM85287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVETWLLKMTSQVSANFKHALLESSKKSNAKKQEVKESTGILCFEVANLMSKTVLLHKSLGDKEISKLKSELLNCQGIKNLISCDEAFIFELALCEKMEELNRVAGVVSRLGKKCNLPALQGFEHVYGDIVSGVLGVKDLGFLVKDMEAMVRKMERFVNLTGALYGEIAVLRELEITTKKFQQSHLEETRKAFEQKLMWQEQDVKHLKDVSLWNQSFDKVVEMLARTVCTLYVRICNVLGSESGQRNDGLRQLKADCGVKFGRIDVKLENSVVIRRGLRKKNGGDMSGLTERNRMEKRKSNVRPKVEEQLFRAEHLDILCGMGRGGLFKECLNLGSPGTKVDDDNECSGLEDRSVAGGEKRNNLKHSGGVVSSQTSSTFSFSEANCSRSTLKSSFMDYATPSTIGGSGLALRYANVVIVVEKLLMYPHLVGEEARDDLYFLLPTNLRMSLKTGLKSFKEDFAIYDASLAHDWKERLDQILQWLAPLAHNMIRWLNERNIEQQQVIKRTNVLLLQTLYFADRVKTEEALCEVLIGLNYICHYEHQHDALLDCSSSFDLDDCVGDWQLP >KZM85544 pep chromosome:ASM162521v1:8:26675766:26676343:-1 gene:DCAR_027034 transcript:KZM85544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQSHSLEITSSVSAEKIFSGIVLDVDTVIPKAAPGAYKSVDVKGDGGAGTIRTITLPEGSPITTMTVRTDAVNKEALTYDSTVIDGDILLGFIESIETHMVVVPTADGGSITKTTAIFHTKGDAVVPEENIKFADEQNTALFKAIEAYLIAN >KZM86008 pep chromosome:ASM162521v1:8:30681782:30682885:1 gene:DCAR_026570 transcript:KZM86008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLRVALFLICLLWSPLICLGIRAFPTPEKKASVSSGFGFNEAPEYRNGVHCKISSVHVAMTLDSEYLRGTIAAVHSVLRHASCPENVFFHFISAEFDSASPRVLSQLVRSTFPSLAFKIYIFREDIVINLISSSIRQALENPLNYARNYLGDILDSGIDRVIYLDSDIVLVDDITKLWNTRLTESRVIGAPEYCHANFTKYFTDTFWSDPTLTRTFGSKKPCYFNTGVMVMDLKKWREGDYKAQIEKWMDLQKAQRIYELGSLPPFLLVFGGNIEPIDHKWNQHGLGGDNVKGSCRSLHPGPVSLLHWSGKGKPWVRLDENRPCPLDYLWKPYDLYKINQRLRSVHDHQPLGLSSNFIGYSNYFI >KZM83740 pep chromosome:ASM162521v1:8:1001672:1006858:1 gene:DCAR_028838 transcript:KZM83740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMLLTPAATFDSDNTNRLDKAGAITKPGCKSKCGNLIVPYPFGIGLGSGCSTDNSLSSWFDVNCDTSFDPPRAFLGTTNIQIYKISESHMHIATSIVSKCYGPTGDLTALTSIYIDLKTSPYTFSNLNTFTVIGCDDPAMMVGPDEKLYGFSCVSVCPQDNAYDCSGNGCCQIQLSKGLQYYRAEFDSGNHTEAMSFDHCSYAFLGEKASFKFFGASDLSDPTFLNRTVESVPILLDWVIRNQSCSETQKSNTSKCQSNAFCVDSDSGRGGYTCSCNQGFEGNPYLSPGCQDMDECADPNKNVCEEICINTLGSYKCSCPHGYYGDGKKNSQGCIAKTSEFPVIKFGLGIGFGFLAIMMGTGILYITIKRRKLIKQRERFFRKNGGVLLAQQMASSSRGVESSTKIFTVEELEKATNNYAADKIVGRGGFGMVYKGVLPDDRVVAVKKARIVDEKQVGQFINEVVILSQVNHRHVVKLLGCCLENEIPLLVYEFVPNGTLFHHIHNNRGLEWLSLENRLRIATESAGALAYLHGAASIPVIHRDVKSTNILLDENYVSKISDFGASRLVPIDQTQVTTLVQGTLGYLDPEYLHTSRLTPKSDVYSFGVVLAELLTGRMPLLLESEEDKTLATQFVMAAQENQLFHILEPRVMREGSSEQLKAAGELVRRCLSLNGEKRPTMYEVAVELEVIRNNGK >KZM85235 pep chromosome:ASM162521v1:8:23981776:23983143:-1 gene:DCAR_027343 transcript:KZM85235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDDSMATEAHLAPVTTRRRIGSNLEDSLSEPYQARALTASDTDHPIGTEGPKNRGMSVLQQHVAFFDQNNDGIVYPWETYAGFKAIGFNLILSVILAFGFNLINSYPTLPGWFPSLLLPVYIDNIHKDKHGSDTGVYDAQGRFMPVNFENMFSRYAKKDPEKMTYKELWNMTEGNRVAHDIVGWLQSKGSWTLFYIIAKDEDGFVSKDFMRGLFDGSLFEKLAQNYRTGI >KZM85897 pep chromosome:ASM162521v1:8:29746713:29748137:-1 gene:DCAR_026681 transcript:KZM85897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEPLCANTSSLLDETDEDIVPIDEWEEEDEAEPEIGDGGDGGGIVLQNCFWGARALSIAQEILAQFGDDIELFSFKTTPRGYIYVRLDKLPNKYGCPDVEVIESYNRAFKKRLDEVGQEGELPEDLAIEVSSPGAERLLKVPNDLQRFQDMPMRVSYIEDVDTKCPEKTSIFYLDSLETESGSCVWRLADVKENRDPAAKGRPFSRKQKDWRLKLSYAMVKKVTLYLDC >KZM85825 pep chromosome:ASM162521v1:8:29036910:29039406:1 gene:DCAR_026753 transcript:KZM85825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIRRLDEHESKENTRRRWKEQGRNCLLLGISGPDFSQTGWNKADEQYCPPKQREIKYHI >KZM84323 pep chromosome:ASM162521v1:8:12420979:12424534:1 gene:DCAR_028383 transcript:KZM84323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHLMQMHPMMAAYYPNNVTTDHIQQYLDENKSLILRIVESQNSGKLSECAENQAKLQRNLMYLAAIADSQPQPPTMHSQFQQSAMMQPGGGHYLQQHQQAHQMTPQALMAARSSMLYSQQPYSSLQQQQQVMHSQLGMSSGGSSGLHMLHGESGGGSGSLGGGFPDFGRGVGGEGLSMAGRGGNKQDMGSSEGRGGSSGGRGGDGSETHYLKSADD >KZM84707 pep chromosome:ASM162521v1:8:17970015:17970550:1 gene:DCAR_027871 transcript:KZM84707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSCLIPWPRRLGLRSGDLPQPVILVLGQELTLTIRRGVGTTNCESVIYDDFVNDVDSGDMLLVDVSQLSM >KZM84127 pep chromosome:ASM162521v1:8:8512110:8515563:-1 gene:DCAR_028326 transcript:KZM84127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGDNPSLFSPYKMGKFNLSHRVVLAPMTRCRAINGIPNEALVEYYTQRSTQGGLLITEGTMTSPSAAGFPHVPGIYNKEQVEAWKKVVDAVHKTGAIIFCQLWHVGRASHQVYQADGAAPISSTSNPISNRWKILMPDGSHASYPKPRALSIYEIAEEVEHYRQAATNAIEAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLANRCKFVMQVVKAVVSAIGIDRVALRISPAIDHLDAKDSDPRSLGLAVIERLNEFQIELGSELAYLHITQPRYTAYGQTESGRHVSEREEAELIRTLRRAYNGTFIGSGGYTRALGMEAVAQGDADLVSYGRLFISNPDLVLRLKNNAPLNRYVRATFYTQDPVKGYTDYPSLGEGRNYSAPISRL >KZM85624 pep chromosome:ASM162521v1:8:27292345:27292650:-1 gene:DCAR_026954 transcript:KZM85624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYHTMNLANWDLNSSSNYVLITAWRNVVQDNKLEEAVIQKNGKEETIVVMKEDDKLKSDVVVEVWCFRSEARMWFALNIQAMSECSVIGKTKDGLDIVA >KZM85047 pep chromosome:ASM162521v1:8:22115911:22118348:-1 gene:DCAR_027531 transcript:KZM85047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPAASDPTRIAEVKAWLSSQFDAVGKDVPEFEYTPRAVAYLHNLATLSQSKTHASSIVANDFRIKASEYRSQAARIREILENAGLAQESLQANVVASAQVLANVANLLNVRDTESSRWWMVSFLVAMGDISLRKTGVEEKRAKVQKEFKVLLDFTRKAIARLTYLKRTLAQLEDDVAPCEAQMENWNTNLHVIASKEQQYLQQHANYKDKALAALAIEDKKRQYAAAEKYLEDVLQSALATSE >KZM85137 pep chromosome:ASM162521v1:8:23010805:23013096:1 gene:DCAR_027441 transcript:KZM85137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSRKCNSAALLDGEQKNKQILELQAGLDEADVVIRKMDLEARSLQPSLKAMLLAKLREYKSDLNKLKREVRKLTSAKSDIAAHEELLDSGMVDAHAVSVDQRERLAMSTERLNQSSDRLRESRRAVLETEQLGVSILEDLHQQRETLLHSHTKLHGVDDAIDKSKKILSAMSKRMSRNKWIVGSVIAALVFAILLILYFKLSH >KZM83804 pep chromosome:ASM162521v1:8:1750989:1753397:-1 gene:DCAR_028774 transcript:KZM83804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLQDHKPWFLLVLVITSSLVQLSCSKNQNVLDRGASLSVKDADSNSLISPDGSFSCGFYGVGTNAYWFSIWFTDSREKTIVWMANRDKPVNARGSELALKKNGVMVLKDVDGTNVWESNSTSSGAVKAEILDTGNLVLRDHRGFIVWQSFDYPTDTLLPSQSLTKGKKLVSSLKKGGFATGYYNLYFDSDNVLRLLYDGPEITSLYWPNPSFDVFQNGRTKYNSSRIAELDNMGRFLSSDQFQFNASDAGSGIKRRLTMDYDGNLRLYSLDNLTKVWNITWEAMAQMCNVHGLCGRNGICTYTPYPKCYCPPGYEISDQSDWNSGCKAKFDLSICLKPEEVKFLEVPYVDYYGFDLNYTRSVTFKDCRKLCLEDCRCYAFSYRLAGEGVCFTKGVLFNGVQTTSFPGKIYLKLPKNLTLSGSVLPLESGANCKASEADVKVGTASMYDIEFKKVKWAYLYSFVSTIGVIELLILASGWWFLFRKDDTPARVEDGYLLISSQFRGFTFSELKKATKKFKVELGRGGSGTVYKGILADEREVAVKRLGDIFTGNEEFLAELSTIGKINHMNLVRMWGFCSEGKHRLLVYEYIENLSLNTHLFSSNFLGWKERFKVALGTAKGLAYLHDECLEWVIHCDVKPENILLDSEFEPKIADFGLAKLSQRGMPNSEFSRIRGTKGYMAPEWALNLPITAKVDVYSYGVVILEIVKGIRLSNWVVDADNQEPEVELARFVRVVRRKILCGEESWLEETVDPRLQGNYSKSQARKLVEVGLSCVEEDRNKRPTMATVVQILLDCEDDRQ >KZM85684 pep chromosome:ASM162521v1:8:27848976:27849590:-1 gene:DCAR_026894 transcript:KZM85684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSKTSKSSISSYKKFETRFRDPNPDTSVLLVIDMQNHFSAIAGPILPSITTTIDLCRRASIPVIFTRHSHDPAARSRNMLAEWWIDDLIFDGTPEAEIMPEVGRRDGETVVGKDTYSAFRGTRLEERLREMGVEEVIITGVMTNLCCETTAREAFVRGFRVFFSTDATATSSEELHEATLKNMAYGFAYLVDCKRLSKCLSV >KZM84940 pep chromosome:ASM162521v1:8:21017789:21019447:1 gene:DCAR_027638 transcript:KZM84940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDNSNSLIPKLVIVLVAAACMALVIALYHCIRVGWTTRYHHNETPQQHHLGQEEQQSFENSIAELIPIHKFKKSCLDLEGRNDHTCSICLSEFEEGEELRTLPECSHSFHVSCIDMWFHSHTSCPMCRIDATPSWHGLMHYLESDSERPATRQVASMAFFVTIYHCIMVSCQNRIERHNSDQVSQSTVIRIQNFLLWRNGQELNSAQDRGATVLGLENSKIELIPRHKFEKGKGILDAECAVCLSEFAEDEEIRTLPECLHSFHVECIDMWLHSHPNCPTCRANASPPSSSLLLHPCPK >KZM85577 pep chromosome:ASM162521v1:8:26874176:26876624:1 gene:DCAR_027001 transcript:KZM85577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKYNRKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPSETEFEGGIYHGRIQLPSEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKEERRVLAVKSREAAPKFGNPERQQLIDEIHAYMLSKAPPVPQISSGEAGEENSLNGEGEANGSAKDAGAQVADGGTSNPTEDDRILENRHEAPLNANPIPEELAVARPVIDGPLGQPQLLQRPDTRMQKPADDRLLTLAAVGLTIAIAFLLLKKFLKASEYGAVFMDGS >KZM85814 pep chromosome:ASM162521v1:8:28910879:28911673:1 gene:DCAR_026764 transcript:KZM85814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAHPRFIGTNKPKVDSCQMASPQSPVILTQDELKKIAAYKAVEFVESGMVLGLGTGSTACHAVARIGELLQQGKLKNIVGIPTSKKTHEQAVSVGIPLSDLDNYPVLDLAIDGADEVDPYLNLVKGRGGSLLREKMVEGACKKFVVIVDETKLVKHIGGSGLAMPVEIVPFCWKFTLERLQMMFMDAGCVAKLRRFIENGEPFVTDNGNYIIDLYFEKDIGDLKVASDEILRLAGVVEHGMFLDMATTLIVAGELGVTVKNK >KZM84312 pep chromosome:ASM162521v1:8:11949208:11952317:-1 gene:DCAR_028394 transcript:KZM84312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCSASSVSVCTLYKHQPLLLHHPPQPKTPPRRPFQLGISVKKTTSISYITKPHHHNSSRFRCTSLLTSEIAPVASAAYGVLLLGGGLFAYTRTQSKGSLFGGLTGAALMGTAYFLMQAHDTQELGEALAFGSALLFASVFGIRLAATRKIVPAGPLLALSLCALVLFLSAYLQGTV >KZM84087 pep chromosome:ASM162521v1:8:7054316:7056628:1 gene:DCAR_028491 transcript:KZM84087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKYDPLAAVDPGRYNWRVKVRISRKWESIQKNTGNIKGCNIILVDDQNTRKHAFIGEAYLKKFDGSLEEGKIYIIQNFNVKPYTEKEKHMCFKDETHIYFSSYTQEFKYPSDDTLIPANVFGFYYIIELSGIANQNTYLIDVVGVVQNVEPPRHFINKNNEEQSYVKFDITDGSHCVKVTLWDGFGHTFYDDYTQFKEDPVILILSSCKANVWEKILSLSNYPATRYFFNYSHHSVNMLRARFRVCTLASDHTGTIGIILYDREVRRVIGHSVFEIECMQIQEGTSGKFPPLLLTQEKLSCIITLCLKRSQSQKKTNIFHAADLSITPKLECSSPTSGGDTYIKEQPSVSEGTVTQTSKQRGKNTPDTLRSTNKPKGKKKLVKKVRMVNLATDEDEDNEDIPLGMWNTQTDPE >KZM86090 pep chromosome:ASM162521v1:8:31297245:31298429:1 gene:DCAR_026488 transcript:KZM86090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQKENSQKSSFKDSVKTLESHIQHANNLAFALQQDYGGDSLYMKLHYGSFAPLLLFLVEWMDYSCTDSVISYLGLLQILIYQVYVDGVPTESSRNRIATLREFYAIIYPSLKQLEDDVTLLKEKKNDTQSVEVSVDEKEKLSAEDPWGDEECGICMEACTDAVLPNCGHSMCITCFEDWNARSQSCPFCRGNLKKVGSGDLWFLMNRNDVLDMVTLAKENIRHFYLYIENLPLMVPDTHLLLYEYLI >KZM84260 pep chromosome:ASM162521v1:8:11172965:11175510:1 gene:DCAR_028446 transcript:KZM84260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKAAFVGKLGDDEFGHMLAGILKENGVSGDGIKFDQGARTALAFVTLRADGEREFMFYRNPSADMLLTPEELNLDLIKSAKVFHYGSISLIVEPCRSAHLKAMEAAKQAGCLLSYDPNLRLPLWPSAKEAREKIMSIWDKADVIKVSDNELEFLTGKEVSDEAALSLWHPNLKLLLVTLGEKGCSYYTKNFHGTIPGFHVKTVDTTGAGDSFIGALLCKMVKDQSVITDEAKLKEVLKFACACGAITTTKKGAIPALPTESEALTLLKGN >KZM84701 pep chromosome:ASM162521v1:8:17873464:17879350:1 gene:DCAR_027877 transcript:KZM84701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSHLEKMGRELKCPICLSLLNSAVSLTCNHVFCNECIQKSMKLVSDCPVCKVPYRRREVRPAPHMDNLVNIYKSMEVASGANIFVSQSAPSKKLSGEENQLEADEVVGKGSKPVNQKKPKGKRSKGHSTNSSSDTMRPSFPSNKRIQVPQHPLPETPTQPIRLEIDLGKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGKETLTEPQRSADVKEAGSHLDKKENQGFCPFFWLQNEEDAEKCTALTVEDTVMDTPPDIPCFSDLKDADDEVQCNMTPKKGTSVTSHNPDLYDSEMFEWTQRACSPELCSSPTKLQVEETDRHNDMGEETIAENIFINMDNKSYNAEITNSQKCANNMIAETGALACSDVDYNGNNARATRHKKRSKKARKCNQIKRAKLKESNKILESVAEDSGQRENVDTVGNHANMSHVEGKFNIRTNKICPSLSATASMPVNDSTLAKVVKSSKNISSNMLVVDLFPPLHDIDGSSESKRNKQRKKATGTCIDPKDLAEHSSQNQNLDTVIKPINTSNSCKPKRSIEKIFRVPVATKTLLGNACISMEGVEATHQADKRKAVADAFVPLNEKEGISTSRNLKRSAKQNTSNKKLERNFNRRSKVPKTTPNLDATVRQIETDLGSGGGTVASGSMVDSKTRDQLNGTKVNCVPADNPKVIVPNDCQHNHAKDTQSSGKLYGNLPETNTGFLGMNGVLRKCDKGPNNVQCSFCHSVEDSKASGVMVHYLNGKRVKVGYSEAGNVIHVHQNCAEWAPNVYFEEDVAVNLEAELTRSKRIKCGCCGLKGAALGCYEKSCRRSFHVPCAKLTPQCRWDHENFVMLCPLHASSKLPNEISKYRAEQKEKSLSRRQLPISQPKSAIKQDDTTHSQWNSNGLSAKLVLCCSALTIAEKEIVSEFEMLSGVKVIKNWDSSVTHIIASTDENGACRRTLKFLMGILEGKWILNIEWVKACLKANENVDEQQYEIDVDIHGIRGGPRQGRLRHLNKQAKIFDGYKFYFIGDFQPSYRGFLHDLLIAAGGRILHRKPIAGDNEAVSSTFIIYSLELPDKCNPSNRISIVNRRRTDAVNLASSCQAVVATNSWILNSIAACKWQNLAE >KZM84003 pep chromosome:ASM162521v1:8:5236135:5236305:-1 gene:DCAR_028575 transcript:KZM84003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFDSKAIKIFVVTNGAGQLEMACPYLAHEHGQGQQGRGGVQEGQGQQGHGGS >KZM84455 pep chromosome:ASM162521v1:8:14848052:14871381:-1 gene:DCAR_028123 transcript:KZM84455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPVRVCNFYIYILSVSDCNQEEVVEGNVYVFQNFQVRDSTGKLKPVSTKLCIRLLSSTTIEEQSNDHLIPRYKFEFMDFGDINEESKKVVGDENPEYAIDNVSVGTLPSTRVFFNLDIEPVVEFRNRFRILVLADDKTHACNVLLFDRIVKRLLGTTVTNILSEMKKSPDSGSVKEMYKRIIGKEISAKILLSEGNKSGESNIYEAVDLFDPTMLASSSGEKSPETNTSAFSHPGVVPGIELFQTPGSASSVSKKIKTQIHCGCIVSFHMFVLLDAGGIECITCTKKSFILTPNPAWPPPSLLLPGLPERIKDLSAKNWSSIAASGPVPWRQAPSLFNPELHPKMPSEFNIPTSKKLSDSMDKKENLVFSGRLMNGGLKGDASQISENGSKCEDQQSPYVNDNPHSAILKNEPSSPNPDLTLNTTSKSEIAEHSSISVSQAQPPAPLPAAANYNGVDSFGEGQAQIGRPQDRNQLLPRYWPKITEQELQQISGDSNSVITPLFEKVLTASDAGKIGRLVLPKKCAEVYLPPISNPEGIPLPVQDLKGEEWVFQFRFWPNNNSRMYVLEGKKGPVNTRDKASAHGDSTAKKSKPGEVTAQHNSKRNSVSYSPLTMNKANLADSGRKSNKVVENKCDAKVKPAAKPDIPIKRKNSILGSKSKRLRIENEDMMELKLTWEQAQGLFHPPPNNVPKIVLIDGCEIEEFEEPPIIGRPTIVAKNNLGEIIQWAQCEDCFKWRKVPGYAILPSRWTCSENLWDPKRSLCSADQELTLDELEDLLPSAGAKKMKAEKEDPDSLEALEGLDALANVAIQEDGDAYASSSLHTTTTKHPRHRPGCSCIVCIQPPSGKGHKHKQTCTCNLCMTVKRRFKTLMLRREIKQSEKAETARQKLQQPEQSGDDDIQMFCDAGNSSSSHNLVNGEGSNNDQSKKKLSNQLDLNIHPEREEDLSPVLNSGSITRMIQDGTGGPQKVKKISRSGGNLVVSQPPPPEVGVENYSTDVA >KZM85674 pep chromosome:ASM162521v1:8:27769631:27770258:1 gene:DCAR_026904 transcript:KZM85674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFNLHLTGDIHAITAVNNLLTGAIDARMFHESTQSDKALFNRLCPADKEDDFKGIEGSCC >KZM84808 pep chromosome:ASM162521v1:8:19538509:19548761:-1 gene:DCAR_027770 transcript:KZM84808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDKISEGHKSANAENSKSSPVVPPFALPKYDFDDSLQGDLRFEGLVENEVFLGISSQEDSQWIEDFSRGNNGIEFSSSATEPCSISRHNNVWSEATSSESVAMLLKSVGQEERIQGETLIQELDTGNELGNLATEMEPNLKKDDKVESITTSQPTATPDSFLDRRNVNAVESKKDNVPVDGSSGVVSIVSSVILSEADEGPDDKCDDTTHLKVNIIDQPVDNLEGCNIDQGSAVTSQLGNVEVGACNKGEKTSEPIQNPLGDTSSVACVDAHTFEVVNKDAEYSACTGVEVSIVELKENSFGNQPNSLERQNVEAVDIKTVNSSCPEHDMDSVVENENSENCSGGVAVAEASSLLAADHKFTEQVEGSGIEYAENPDTSVSCIVSGSQCGIQLSSKDSSDLRTSGVLEDNNSPEAQTSSFVVGGHVQMSKSHISSGQEDVHLFDKDVSSLGTEDAKSLTTSLPPDESNKANIVQGAELLNSASNERGSEVTDEMNLSSCGMPIDPPLLHGSKTTADGATFGEQEHKSQVANLLGTESSPQVSADLEPFSFPESGQASRINQEAGPEGSKKVEACPSLISSEIKLVDDAALAAHKSNEEIYLERSAELASSEVADAVLPSSQTLVPVESVPVSMLESSTISQKNDDVTATSGTELTENPSTKVDSPEKNEDSVSLACPSKCISDIGNMDGDASANSFEENVYTPAIISCAKAPLSEKEQKTRTIEVSIGDVQHPEATDGAAEMVQSVPLDATFNNGEISTSQVNMSTGLTEGKIMKDMQLNPVQVHERSTDVKEYPSDGNMGPPSVVSCVKIPISETGQTSRGKDASVSTVHHSEGTAGAGENVQSAALHMEHDNSNEGTNISMEVSSSTGLSESEIIKGSPSYPSIPVNKISTDVEGYPLAADCSRIDLTMPEHSLENSEGPGPMSGKKRAGARGTSERKTRLGSVKTTARTNSRKRGMQETPSKQFDEDKSMMISSLPGNSNAELSGIKVCGALPTPMSTIPDLNTSVTPAYYQQPFTDTQQVQLRAQILVYGSLMQNSVPDEACMIAAFGPSDGSGGCLWECAWRACSERIQTQKSDASNLETPVRSFSGNCDIMIAGSKGSDQPVKKSKSSRQSKSTLPADRASSKDIPSPVVNPIIPLSSPLWNVSTPSGVGLQSSGTYVDYHQPFGPLQPCQPQGTKGFGEQNPSWLSQINFPGQWVATPQTSSLDVNASVSSKFCTEPVKLTPSKVSSVPSDPARKQTQFSVSTGGSAGLSSGIHFQTDVTKTSVLPGQICDNTKHKKRKKVSVSKSPGQIPSLTLDQAASLSDTSNHISKKGTAPQAIFQNSLLAPSLPEMRSPAVNNASSMPNAATATFLGSTGRSSNNLPAVYPLVSSDQPTRVDQIVDKNSIMSEESLNAVEAARLQAEGAAAHAAAAVGNCNGVWSQLSKLKNSGLRFDDETKLSSAAMAIAAAASVAKAAAAAAKLASNIAIGAKLMADEVSTASVTRKDPHSTGMSMACSSNSSLLAGEGNVSTSSIITAAKETVKKRVEAATAASKHAENLDAMVKAAELAAEAVSQAGKIVSIGDPLPLSELLGSGPMDYWRTPQVFPEQGVIAGIDQRKTFVPEKLVPAQPPKDGAIVTGEAVDRGTIASVGKNSLSTQRGHRASDPALDSYGGTNSEIVKSRDAQRISPLTSLENVMVEGCFVEVFKEGGKYKAAWYPAKILNSDDEKAFVCYTELQADDGSGKLKEWVPLKGDNSKAPIIRIPHPATSMCFERSRKRRRAAVMDYTWCVGDRVDAWMQDCWLEGVVSEKKNDETTFKISIPALGGTSAVKTWNLRPTVTWQDGKWIECPSAVQQSPTQGDTPQEKRVKLGHPAVEAAKVDKSLRGNDLMESKEHDESRQLPLLASEKEFNIGNNTGDIKLDAPRIARTGLQKEGSRVVFGVPKPGKKRKFMDVSKHLDSDQCNKNAKTSDLVKTARYLPQGRGGGRGWNNNTKDDIKEKQAKEDKVKVIRSGVAQKTNALLSAKSMGKTVSKEQNNPALRDLMEFGSVSNSPSEGPTSSKGPRVPNKRVPSSSTKTVLLNKGKHAAGGEVDKKVDPHVTEIRRSNRKIQPTSRLLEGLQSSLIGSKMPSVPHEKVQKNLNRGNNIKI >KZM84356 pep chromosome:ASM162521v1:8:12942027:12942659:1 gene:DCAR_028350 transcript:KZM84356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENARSSLRRRNYEVMKNDLSVELTNLTNDSRRLQNDLRSSNEDFFMMCKSMSDRQADFLTRLMFLEEKNGELIAMFDGKPKEVDGEASGENVILPTAYAASFNRFSSDASYSLKDHAVLMEQEQKEMAWLFASYNAIWIENMKVFGERARAISTVRTQLAARLTKFNSCVHGAIKSD >KZM85762 pep chromosome:ASM162521v1:8:28427175:28428170:1 gene:DCAR_026816 transcript:KZM85762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSSSSFRLSATPVTVFAHLLVIAVTTLVLVWLLHFREGLAFESRIKDKIFNLHPLFMAIGFVLVAGEAIMSYKTVTAARETQKIVHLILHTIALVSGIIGIYAVFKFHNELSIPNMYTLHSWIGMSTFCLFGLQFLFAFFSFVFPGADSGARSRMAPYHVFFGIVIFLMAIISAETGLTERFIFLGLRRSQEALIMNFTGLLILLFGIAVGFSVILPRR >KZM85768 pep chromosome:ASM162521v1:8:28507079:28508835:1 gene:DCAR_026810 transcript:KZM85768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHLVPLFTFMIFVIMLFSLLKSLFRKTKLPPGPFKLPILGNLLQVTGALPHRSLYNLSEIHGPLMHLQLGEVSAVVISNPRVAKEVLRTHDLCFADRPTLLLGNIVLSNCRDIVLARYGDHWRQFRKICTLELLSANKVRSFRSIREEEVKDMIESMHSKIGSPVNVSEKVSNIANSITCRSTIGQRCKYQHELIEATENIAYWGAGFFMADLFPSALVFPVLSGMKPALQKVRRDLDHIFEYIINEHKEKMATRKAQGTKLEAEEEDLVDILLRINDTLQLEFPVTANDIQGIVLDMFTAGTDTSSAVLEWAMSELMKKPSAMKKAQDELRNALKGKTNVGEADIQGLNYLKLVIKETLRLHPPVPLLLPRECRKECEIDGYTIPVGTKVMVNAWAIGRDPECWVDANSFIPERFEVGSVDYIGANFEYIPFGAGRRMCAGISFGIASVELPLAKMLYHFDWTLPNGMKPEDLDMDETFGATTKRKNSLFLNASPYVPVIET >KZM85914 pep chromosome:ASM162521v1:8:29899225:29902259:1 gene:DCAR_026664 transcript:KZM85914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKMNGCCKKGPGYATPLDAMAGPKESLIYVTCIYSGTGIQKPDYLGTIDVDPSSKTYSQVIHRLPMPNIGDELHHTGWNSCSSCFGDPAAARRFLVLPSLVSGRIYAVDTAKDPRAPSLHKAVEPEEILEKTGLAYPHTSHCLATGEILVSCLGDKDGNAKQLGFLLLDSDFNVKGRWEKEGQNPLFGYDFWYQPRHKTMISTSWGAPAAFTKGFNLQHVSDGLYGRHLHVYSWPGGEIKQTIDLGSEGLLPLEIRFLHDPSKDIGYVGCALSSNMISFSKTADGSWSHQLAVSVKPLIVQNWILPEMPGLITDFLISLDDRYLYFVNWLHGDIRQYNIEDPKNPVLAGQVWVGGLIQKGSSVVVEAEDGSTYQVEVPQVKGNRLRGGPQMIQLSLDGKRLYVTNSLFSKWDNQFYPEVIEKGSHMLQIDVDTEKGGLKINPDFFVDFGKEPEGPALAHEMRYPGGDCTSDIWI >KZM85944 pep chromosome:ASM162521v1:8:30171708:30179691:-1 gene:DCAR_026634 transcript:KZM85944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTKSPQNQQAELLKTLGDFTSKQNWDDFFTIRGTDDSFEWYAEWPQLSPALLSHLSDFLSLSPPPQILVPGCGNSKLSEHLYDSGFRDVTNVDFSKVVISDMLRRNVRERPGMRWRVMDMTHLQFADETFNVVLDKGGLDALMEPELGTDLGNRYLSEVKRVLKDGGKFICLTLAEAHVLGLLFPKFRYGWSLSLQAIPQKPSKKPSLTTFMLIAKKESSPELQKVSSSIHSATGLYGDQARGLFEALEIENNFRTAHANGSDMLYSLEDLCLGAKGDLSELRPGRRVQLTLGEPGRSQFLYKAVLLDAQKQSDSFLYHCGVFLVPKTRAHEWIFSSEEGQWTIIESSKAARLIMVLLDSSHASASTEDIQKDLSPLVKQLEPGKNDSGALIPFMAASDGIEKRNVVYQVDSALTGPVIVDDVVYENVDDDISRLFPSKELIFRRLTFERSMGLVQSEALLTGEKSKTIVNVKEQKKSRSSSKSRKKGNQSGSSSNVSLINENSAPEIDHDYLASSYHTGIVSGLMLISSFLETVSSNGGMVKAVVIGLGAGLLPMFLHRSLPCLSIEVHITDGIQFLRDVAASKIAADGVNPNDRSCSYSSDANAEEKWIDKIGLLIVDVDSSDSSSGMTCPAADFVEESFLLTVRESLSNTGLFVVNLVSRSASIKDLVLARMKTVFGKLFSLQLEEDVNEVIFARKTEDGIEEGSFHEASQQLGKLLNLKHAELSQNITDVAKKIKHLK >KZM84028 pep chromosome:ASM162521v1:8:5628721:5631084:-1 gene:DCAR_028550 transcript:KZM84028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQIGKGEEFEKKAEKKLTGWGLFGSKHEDAAELFEKAANCFKLAKSWDQAGAVYVKLAQCHIKLDSKHEAAGSYADAAHSYKKTNTKGIKL >KZM85421 pep chromosome:ASM162521v1:8:25609720:25612579:1 gene:DCAR_027157 transcript:KZM85421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAYGFDQIEIPVKPYWTAFYFSKESFPPGPRGLPILGNLLQIGPNPHQSLARLAKKYGPLMTIYQGSVTTIVASSAEMAQLILQKHDADMSGRIIPDAITTLEHPSHSMAWLHAGEEWRLIRRVLATFLTNTHKLDSLCELRHGVMELFLAGSETSTNTTEWAMTELILHPDKMTKLRNEIAESVSQKGRIEESELLRLPYLQAVVKETMRLHLAVPFLLPHKTETNVKLKGYEIPKNTQVLVNAWAIARDLDSWENPTSFMPERFLDSEVDFRGQHFSYLPFGSGRRMCPGIPLAHRVVSLMIASLVYHFEWKLPHDMNPKEHDMTERFGLTLARAVPLVAVPISL >KZM85663 pep chromosome:ASM162521v1:8:27647706:27652470:-1 gene:DCAR_026915 transcript:KZM85663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIDICKGSTCLIKPQIKLTFGHSDRPNCCSFERSQKSSWVSCMASTVPLNDVKEGQKQHLTGDSFIRPHLRKLSPYQSILPFEVLSTRLGRKPEDIIKLDANENPYGPPPEVFEALGAMKFPYIYPDPESRRLRAALAEDSGLEDKYILVGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRKSDFSLDVEMIAKVVEQEKPKCIFLTSPNNPDGSVIHDDALLKILHLPILVVLDEAYIEFSGMDSRMQWVKNHENLIILRTFSKRAALAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALSNPTYLETVKVALLQERERLFNLLEKVPFLNPYPSHSNFILCEVTGGKDAKKLKEDLSKMGVMIRHYNNKELSGYVRVSVGKPEQTDVLMNCLNTMS >KZM86098 pep chromosome:ASM162521v1:8:31333100:31336409:-1 gene:DCAR_026480 transcript:KZM86098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIAGSAVVAFKSTGITSRLSGEPKLRRFGTSVQLHNQQSFSTECRFTSSFASSGVRAQVATIEQASVEGTKTVEAPVVVVTGASRGIGKAIALALGKAGCKVLVNYARSSKEAEEVCKEIEASGGQALTFGGDVSKEADVESMIKTAVDAWGTVDVLINNAGITRDGLLMRMKTVQWQEVIDLNLTGVFICTQAAAKIMMKKRKGRIINIASVVGLVGNAGQANYSAAKAGVIGFTKAVAKEYSSRNINVNAVAPGFIASDMTAKLGEDIEKKILGTIPLGRYGQPEEVAGLVEFLALNPAAAYMTGQPVIDHCAALQVLTIDGGMVM >KZM84851 pep chromosome:ASM162521v1:8:20164466:20166684:-1 gene:DCAR_027727 transcript:KZM84851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTHSREDLELSDSESESETESQSSPDSQAFSDRKDPETPASVDDIDAKLKALKLKYQSGGANLQNALKLYLHVGGNTPNSKWVVSDKIASYVFDKSSRIDEEGEEEEDDEELEGKDSWWVLRVGSKIRVKVSAEMQLKTLGDQLRVDLVSKGVWAMKFFRKEDYELFLSKYEECLFENTYGVEFNDGNKVKVYGKDFLGWANPEKADDSVWEDAEESFEKSPKTVTPVRRGHDLNEEFEDVADGGSIQSLALGALDNSFLVGDVGIQVVRNYNHGISGKGVCVNYENGRGSVARSTPRKAILMKAETNMLLMSPVAEGKPHTRGLHQFDIETGRVVTEWKFEKDGTDITMRDVTNDSKGAQIDQGSTFLGLDDNRLCRWDMRDRHGMVQDLTEANTPVLNWAQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSGNSMRQAKTAFPGLGLPITHVDVTYDGKWILGTTDTYLILICTMFTDKDGRSKTGFAGRMGNRISAPRLLKLTPLDSHMAGLNNKFRGAQFSWVLLPNHTYNLISIICTQYACMTALSCWMQHLSELNYEQQSL >KZM83701 pep chromosome:ASM162521v1:8:623644:624630:1 gene:DCAR_028877 transcript:KZM83701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQERARDLDIIGVIKESFNLVISWKTIFGQITLFHILPLSITFMSHIWISEWLFGEITYDEDLLLFTRAGSPTYNDIIDLIQTEKMLFILFTILYYIFAIIFSLISTSAVVYTIACIYTEKDLTFRKLMTVVPRVWQRLMVTFMWSSFIVFAYNIASCFVLVTAINIEAITDAWGLLIFLITYLIGFAYIGIVWQLACVTSVLEDLSGIRAISKSKDLIKGKTLVAGTIYVLVNTSFAGIQVETIMLGPDPMQEWGNISGYAILCVALVVLILFGLVSQTIIYFVCKSYHQENIDKPSLADHLEEFTGEYMPLLDKDVQLGKIPA >KZM85282 pep chromosome:ASM162521v1:8:24353196:24366824:1 gene:DCAR_027296 transcript:KZM85282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTVIPSQLFAERGSNLVVINPGSANIRIGLAQQDTPITIPHCVARRTTGANSTPRRNVQDQMLNSQVTTAQHMEREKAYDEIASLLKIPFLDEELGNNSIPRKMGRVDGVVSQGNKKETAYTWTDVLERNPEPSSVLESSSDKGETSASPAQLENTSISELHSGQRKYRECIYGEEALKISPTEPYCLRRPIRRGHLNVSQYYPMQQVLEDLHAIWDWILVEKLHISQNDRDMYSALLVVPETFDNREVKEMLTIVLRDLGFNTAVVHQEGLAAVFGHGLSTACVVSMGAQVTSVICVEDGVALPNTQIILNYGGEDISRCLLWTQRHHQTWPPIRTDTLMKPVDLLMLNRLKESYCEIREGEVEAVSTVHSYEDGMPAGSHKTKLTALNVAPMGLFYPTLLVPDVYPSPPRSWLSDHEDMLEDTWHMELPRRTDMSDGFFPGMSGGMPMWDNYPIFQNKSKKEYKIGLADAISKSILSAGRIDLQRRLFCSMQLIGGVALTSGLISAVEERVLHAIPSNEAIDTVEVLQTRTNPSYVSWKGGAILGILDFVRDAWIHRVDWIKNGIHPGSGRKYKDSYYLQAQVMCYMNN >KZM83762 pep chromosome:ASM162521v1:8:1238589:1239809:-1 gene:DCAR_028816 transcript:KZM83762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESRRPKLDIFLNLTFVATCLLLIFTVNIASKVYLQPELQSRDTRHSVEFDIEVRYRPYEKRVIDEEESHMEDYGNIVPPINVTLWERMVWFKRNLPRFEFMKSTPVSMKFEERVEEFLGGDQCRIQFFMTWIAPISLFGDREFLTMDSLFKAHPNGCLVIISRTLDSSQGLRILSPLVDRGFKVLAVTPDLPQLFKDTPAESWFDDMKSGVKDPGEISLAQNLSNLIRLAVLYKYGGVYLDTDFIVLKDFSGLRNSIGAQSMNKSGNWTRLNNAVLIFDKNHPLLYKFIEEFALSFNGNIWGHNGPYLVSRVVSNLTSAQDYNFTILPPMAFYPVYWSRVDGFFMGPEQVRRRWIEHKLQQLTDMTYGMHLWNKQSSRLTIEQGSIVQKLISEHCTICKHEYTS >KZM83983 pep chromosome:ASM162521v1:8:4882475:4882713:1 gene:DCAR_028595 transcript:KZM83983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVDKKLITDGIKNGEKYQIYPEDVDISRVPRIFRSSYANGHVFYTLQLSSR >KZM84603 pep chromosome:ASM162521v1:8:16713522:16716584:-1 gene:DCAR_027975 transcript:KZM84603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIVQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFLVNLLGKWQESEYSGQSVPVGGLAYYVTAPSSLADMAANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGLFGF >KZM85819 pep chromosome:ASM162521v1:8:28953900:28955554:1 gene:DCAR_026759 transcript:KZM85819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFVSYHACYNISYSLAKDIHYLSKLKLPKFNIRGNGSTSAVTKIRASTVSTVPHVIENVDMDLKQTRQNIPTTKQFVDPHRQGSIIENGVRYRQLVVIRSYEVGPDKTATLESILNLLQETALNHVWMSGLLGDGFGATHGMVRNDLMWVVSRLQLQVEDYPIWGEVLQLDTWVGASGKNGMRRDWELRSQATGVVFARATSNGATLSSTWVMMNKRTRRLSKMPDEVRAELSPWFIEKQAIMEDTPEKMKKLDDTAKHGTSSLKAKRSDLDMNHHVNNVKYVGWMLETMPDEFMEQYQLCNIILEYRKECGSSDIVQSLSEPDEENRTTDESLRSLNGMQIKYTHLLQTKGEVKNEEIVRGRTTWRKKHSKMPFPM >KZM84094 pep chromosome:ASM162521v1:8:7209795:7221234:-1 gene:DCAR_028484 transcript:KZM84094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFVKAVEDGVRLSKRIYFGKDRSITPPRPMAAMEKSSEAYLPTALMVYAVIPDPVVVDNPDIPSYQPHVHGRCDPPALIPLQMNGIVVEVDCVLDTAFVKMSGEWRVHCVMGSRKCQCRVAIPMGEQGSILGVEVEVPEKSYYSKLVTMDDNDLEKVPKYDAGGFLLPHHIYVLTIPEVDGGSNLSITVRWSQKLSYQDGEFTLNIPYSFPEFVTPVGKRMSKKEKIQLNVNAGPGTEVLCKTISHPLKELRRHGEKLSFLYDAEVLTWSNSDFVITYGVSSSLSGSVILQSPMKFDIDQREMFCVSLFAGSKQNKKVFRKEVIFVVDISESMQGKPIEGTKNALAAALSKLDHGDSFNVIAFNDEIHLFSLSLELATKKAIDKVTEWMSMNMVARGGTNILLPLNQALEMISNTQNCIPAIFLITDGAVENERNICDVMKNHLTNQESLSPRFYTFGIGSFCNHYFLRMLATIGRGCYDAAYHADLVEVRLEGFFTKTLYPILANISINNIDNLDLDDLEVYPSSIPDLLFESPLIITGRYRGDFPSMIQLNGVLADMSNFTLNLKVQEAKDIPLDKIIAKQQIEFYTAQAWFSENKALEEKIVKLSMQSSVISEYTRMILLETNKTTDSAENGEGKKGTSESAEKKKKKVSKDTDYGKILVLHNFGFGFGNLIATVENTPPGADEVKLPDPGELFVQAASNCCGKMCDRCCCMCCIQICSKLNDRCAIVFIQFCGALSCLGCYYCCELCCGSEPCQTVILSIPDTFDNSNVLYQQRTKNLMLNLKTPHPQLQVQYSSGACKRMFKDHRDHLAGEITDDWLLLLVPNGTILESSK >KZM84573 pep chromosome:ASM162521v1:8:16377232:16377417:-1 gene:DCAR_028005 transcript:KZM84573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVNVVRMFSMSSSSGNLSNDAVRRRSIPKRGQVKAGIVLGLAQSVASVFSPRARAKSIF >KZM86129 pep chromosome:ASM162521v1:8:31609609:31611414:-1 gene:DCAR_026449 transcript:KZM86129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPPGSSKKVKALGSMTQVVSCLVDGCNADLSRCRDYHRRHKVCELHSKTPQVTVRGQEQRFCQQCSRFHSLVEFDEEKRSCRKRLDGHNRRRRKPQSELLHRTSAIYHSDQPAGSTILSFSNPTKQVPTAGGSSSWIKDVKTEDDTWMSNANLNFINKPPPGSAAQNYKVPLTCSSNLALETSSFCQQFLEPDPARGMNQIEFLDRFQMGTSHSCGAPSLLSSEQLETSVAASSHMVHPNPVHFSLSQSFMQDTHFTGLEQPVVDNLSNNMNNSTLHFGRACDQHGLDLDGSSQHNLSFRLD >KZM84287 pep chromosome:ASM162521v1:8:11590135:11592899:-1 gene:DCAR_028419 transcript:KZM84287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTKMVVSLSVVVILFLSFSSSTAQPLSSGAVADNHSVDTQNGTQPVKQKDDTVRVDPLNHLRKYRGGYEIRDLHYWSSTVYTGVPGYALGVLWLLCGISYGLYLLVTKFCFKCYDKRRLRKRSLYTSQYYIWPVLLAVIFTILAIIGCGIVLGGDAKFHSRAKTVVDIIIDTAEEASTTIFNTTDAMRLMRSNLDSAYGGTAEAASFLNSTSVRLDSTASDIEREAKKNRHLIDLGLKIVYIVTMSIISLTLAASIALLVFGILKRRRALYLFVVICWLLAVFCWLFFGLYFFLENFAQDTCTALESFQRDPYNNTLSSILPCDELVSTQSDLKDVSAGVYNLVNQVNANLSAQEADSFVQICNPFSAPPEYNYQPENCPTSSIRIGDIPAAVKQIACTDSDDKNQTCVGGIYISNTEFRRLEAYTTSIQNLLNAYPGMDSLVECQTVKNAFAEILEKHCKPLKRDTHMVWASMAYLSSVMVILVLLWTYQASRWRKDHYSDSSVKPHFAAYVNEFETVEAVNKQSDDPSSVV >KZM84479 pep chromosome:ASM162521v1:8:15142688:15144175:1 gene:DCAR_028099 transcript:KZM84479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHVDIISTLPDDLLCCIISLLPSKDAIGTSILSKRWKNLMDFVPVLNLTCRIPTPGFINTLDKLFSSTSSSSNLQKLRLQISGCHWYIVLNHVNSWIRSAINRNVAELDVCLPDDVFNTVENDEEFALRVVPSDSLQTLKVRGGLGLRMPYTIGSFKNLKTFWLWIYDPDKELLAKLFCSLPQLETLFIDAYFSKIVKGDTNMCINIIAPALKWLNLSIDQEDYYDVDLKVLIDTPMLEDIILEDGYLAAYLVMSGPSLVTATLDVGMDYYHLINTHRDNRYVRAVELLRGLSNVKSLEINYSASAALDRAFQDRLPILNNLTCLNLDDLPLTGLSLIPRFLESAPNLKEIAVTIQPQVKSIMGWSWKSPTALPTSLLHLEEIEIQGAKIIESDPSFSVMVNYIGQNAKVLKKFIIDEDRLV >KZM83963 pep chromosome:ASM162521v1:8:4095258:4107601:1 gene:DCAR_028615 transcript:KZM83963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVGPDVPHDWTARINSGLYVININEKELQKKLQTTFCSESQGVRKLLLFVNFYQPELRLTSSLTFAIQPNVNPLRITAPEALVIGVVALLFFGRKGLAEKCVLEIKMSTEVQQASRVDHGIQTNMTFTSSTAPTVSPKISRFAAKSGFVIPKNKFSGSLVPAYRGSKKPEETAPISDESSKQTRRKTK >KZM85305 pep chromosome:ASM162521v1:8:24546184:24551378:-1 gene:DCAR_027273 transcript:KZM85305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVPSASLSPTTTIFMDSFQTSLYKLHLSTPSRFLRNKGANFGLNNARFCSRSSWLDNWNDTHKKNWPKTRQEVLNYRNGESSSCSDYEGGSDGESGGGSGSTMDKIVRRLKKFGYVDDDEEKQEIGDRVIEKGSIEDIFYVEEGMLPNSRGGFSPDSPLGMEHVSGGSRDVRFPWEKLMPSDERGSLVREKSKTSLAELTLPDSELRRLRNLAMRTKNKTRIGGAGVTQLVVDSIRDKWKSVEVVRLKIEGPPALNMKRMHEILERKTGGLVIWRSGSAVALYRGVSYVDPSVQRRKQLQNKKANSQGPSPTETGKRVTYELGPSKDVWVPQAEAVVAPREESSKSLPETRYEDEVDVLLNGLGPRYEDWAGCDPLPVDADLLPGIVPGYKPPFRLLPYGVRSTVGGKEATSLRRLARVLPPHFALGRSRQHQGLAVAMIKLWERSSIAKIALKRGVQLTTSERMAEDIKKLTGGMLLSRNKDFLVFYRGKNFLTPEVSEALLEKERLAKSLQDEEEQARLRSSTFYKPMIEPADDFGTAGTLGETLDAKARWGKILNDEDKEKVMKEAEVARHANLVKKLEKKLAFADRKLMKAERNLSKVEAFLNPLDRPADPGSITDEERFMFRKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKIIVNSKNFEHVKKIALSLEAESGGVLVSVDKVSKGYAVVVFRGKEYKRPPALRPKNLLTKRKALARSIEIQRREALLSHISTLQTKVDALRSEIDHMSIVKDHGDEEFYNKLESAYPTEDEDSEEEEDDAFLEIYDSDDDVDDDEEYESNNMTHTAQMETNFPYGFEDKDFEVVESNDPSSVSVALRERADESETEKAQEDELITACSEELFADL >KZM83913 pep chromosome:ASM162521v1:8:3217845:3223085:-1 gene:DCAR_028665 transcript:KZM83913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSNDDNTNLSSPFGQMGQGLSESELRETCYEILIGACRSAGGSRPLTYVSSSVKRNVEKQPSISLQRSVSSVAASKMKRALGLKKAVRGDSSRGGDREVTIGELMRVQMRVSEQTDSRVRRGLLRIAATQLGRRIESVVLPLELLQQFNSSDFPTEKEYAAWQQRNLKVLEAGLLKPPSLPLDKNNTSAQQLRRIVHKSLKRPIETGKHSESMQILRDIVMSLACRSNDGTHSEICHWADGIPLNLRLYQILLEACFDINDATSVIEEVDEVLELIKKTWVILGINQTFHNLCFSWVFFHHYVATGQVENDLLFAANNLLLDVEKDARVTKDPVYSKTLSSTMTTILNWAEKKLLLYQNNFFRGNIDVMESVLSFGVLTANILEDISHNYKKRSEIDVALDRVDAYIRCKFSRSYYCHEYDSVMCLSTIKQARDRIYLSRRSAKHQQNSPPFLCILAQEIIDLAYNEKEIYSPILKRWHPLATGVAVATLHACYGNELKQFVSVNSELTPDNLQVLIAADKLEKDLVHMAVEDSVDSEDGGKSIIQEMTPYEAEGVIANLIKSWTRTRIESLKESVDRNLQQETWNLHSNKDQIASSAAAILRTANETLEGFFMLPIPQHSASLSDLINGLDRCFQQYILTAKFGCGSRSDFIPALPVLTRCTAGSKLPGLFRKKDKLIQRRKSQGETTDENDYFGIRELCVRINSFHHIRKCVDVLEKKTVDHLKNNGSTHLDNTIVTKFDLSRATCVEGVQSLCMATAYKIVFQDLSHVLWDGLYIGEVSSSRIQPFLKELEQYLEIIASTVHDRVRTRLITDVMKAAFDGFLLVLLAGGPSRAFNVHDSAVIEEDFRLLTDLFWSGGDGLPTDLIEKFASTVRFVLPLFRTETESLIEEFKSSIMEEFGTSAKSRLPLPPTSGQWDPNESNTILRVLCHRNDKLATNFLKKAYNLPKEL >KZM84149 pep chromosome:ASM162521v1:8:9186608:9186880:-1 gene:DCAR_028304 transcript:KZM84149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSPSKTIRIIADKSVLEERIKCLEEDTSNLQENIRLMENQLAHHQTMIDLLKKHIVERSAREASIPVEVESRKASELIQADRKEDNN >KZM84325 pep chromosome:ASM162521v1:8:12499563:12500361:-1 gene:DCAR_028381 transcript:KZM84325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFAIEPPVRLLLREELNLCKPYGMVEIYGDSSLTNAAIEGDKGDNVNGEKAGEAGYDIEFEEDKDSEDPAYEYNLDGEEIEELDSGASDVDDASDEELVRNKKNYRNVKNEMKEWDYEESDFNFDELRSISSSSEDENSKIGSVGPPMITKKKDM >KZM83805 pep chromosome:ASM162521v1:8:1764140:1765186:1 gene:DCAR_028773 transcript:KZM83805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTSTPLIPTIALLISLIIILLFAPSFLPITHRKSPPIPLADELDDLSLFTRATRRAAAKSRLGTTNQNPKIAFLFLTNTDLYFSPLWQLFFNNHSDLYNIYIHADPTVQIAPPSGVFEGRVIGNLHRTYRGTATLISAARRLLATAILDDSSNFYFALISQQCIPLHSFKYVYNALFGTDSGSIVLDKISFIEIKSNESILWDRYNARGKRVMVPEVPFEKFRVGSQFFILTRRHSLMVLRDQRLWKKFKIPCINVHSCYPEEHYFPTLLSMLDPKGCSSYTLTRVNWTGSVNGHPHTYYPSEVSAQLIYTLRESNSSHSYLFARKFSPDCLKPLLDLSEEVIFKD >KZM84744 pep chromosome:ASM162521v1:8:18630047:18631423:-1 gene:DCAR_027834 transcript:KZM84744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSQVCVVMVPFLAQGHLGQLLDLSRLITSYNIPVHYVSSSTHIHQARTRHQSWDLDSVKNIHIHDFPIQPFYSPPPDPKSNTKYPHHLTPAFEGSVHLREPICKLLTDLSKTVRRLIIILDNLMAYVVQDFASLPNAEAYSFQNSSAFFYFSYYWDLAGRPIESDNKILQELPGVEGCFSSDLLAFVEKQQLFLKNISGHLYNTSTLIEGHYFNLLEELQLCQRQWALGPFIPVEICRKTDQQHKCLDWLDSQALNSVIYVSFGTTTSLSDEEVQALAVGIENSCQKFLWVLRDADTVDLFTGEVRKPALPEGFEDRILKANQGMIVRDWAPQLEILAHTSVGGFMSHCGWNSCLESITMGVPMAAWPMHSDQPRNAVLITKILQTGTIVKEWEEGNALLESSIITNAVKKLMASREGDEMRKRSAELSETIKKSVAEGGVRGTELDSFVAHITR >KZM85568 pep chromosome:ASM162521v1:8:26815125:26817419:-1 gene:DCAR_027010 transcript:KZM85568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNGVAVGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSCVAFTDTERFIGDAAKNQSALNPTSTIFDAKRLIGRRFSDSTVQSDMKLWPFKVVGDFGDKPKIVVNYRGVEKEFSPEELSSMVLNKMKDVAEEYLGKEVKDVVITVPAHFNDSQRQATKDAAKIAGLDVLRVLVEPTAAAVAYGLEHKLTSNPMAQKNVLIFDLGGGTFDVSLLKIRKDNIEVQATAGNTHLGGEDFDNRLLNHFVEEFKRKHKKDICRNAKSLRRLKIACEKAKRVLSYNNKTTIDVDALYEGIDYSAKITRARFENLNMDLFLSCIETVKKCLEDARMDKIEGGAMSVIIPRNTTIPNSVEKQFSTAEDDQTSATIAVFEGERTRITDNSLLGHFELSDLPPGPRGSAQISVMFTIDANGVLSVFAENKATGVRNSIKIIRRGTLKEDEIERMIKEAEQFKIEDEEFTRKNNAMLAFKDCIYKMRDFFKRNNKLEASVKKMIKYSFEDAIEWLDANKNAEVYEYEFKKKQFEAICNHLVAGIADLKIEEV >KZM84251 pep chromosome:ASM162521v1:8:11011364:11011597:1 gene:DCAR_028455 transcript:KZM84251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSLRRCHVGTGSNPPASTPPNAPPKARGCSQLGSSQSQGPVTRTKAVNLSPVTRKKAASLKFPVKPFSAPRKNI >KZM85353 pep chromosome:ASM162521v1:8:25078262:25080100:-1 gene:DCAR_027225 transcript:KZM85353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQRSAAKRLLHIYELAMGKQHDSRLEIEPVIDDVWNVDDSTTVNPAYEDHGFIRCESTVENSVSCGHVAHLECGLKANMAGTVGGLDVEYCCLRCDSRTDLLPHDKKFLQICESISSHDDIKEILNLGVRVLHGLQRSAAKRLLHIFEIAMGKQYDSRLKIEPTLDDVWNVDDRSTGVMCHNGNVAVKSAIDEENVDIRQDTASSKKSQELEFKIAKERLHDQKNCIQNLYYQLGKEKSEMERHLAYVADTGVLLQLTESKVDQIKEELHKLEDMKAVSEGFAKTSKEILEILFRPSHLGEAP >KZM84187 pep chromosome:ASM162521v1:8:9964102:9965394:1 gene:DCAR_028266 transcript:KZM84187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQAGMGFSKIIILVGAGYTGTLLLNNGKLSDLLGDIQSLVKGYENKGEDGESDAIATQVRRLAMEVRQLASARQITILNGGSGGLSYCP >KZM85735 pep chromosome:ASM162521v1:8:28220107:28220775:1 gene:DCAR_026843 transcript:KZM85735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETVHVLSYKKLEGKVAIITGGASGIGEATARLFAQHGARAIVIADIQDALGQSVAKSIGHGQCTYMHCDVTDEFQVKSLIDSTVETFGSLDIMFSNAGVGRIGASRQGILDLSLEASEKLFAINTRGMAACVKHSARAMVEGRVKGGCIVCTGSLIGSVGMEEFIDYVMSKHAVLGLVRCASKGLGEYGIRVNCVSPGGCGDSDDLQGSEVRREREREEF >KZM84982 pep chromosome:ASM162521v1:8:21410586:21412299:1 gene:DCAR_027596 transcript:KZM84982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCYGGETKTSTPVEPSSKSARKRRMEIHQFNFVPADVAVMSPESGRKSQKREASSLDANSREAEAEEFQKKCLNVLESDSEGSKSDPEVKSAGPKFGLTSVCGQRRDMEDAVAIHPSFSGGSHFYGVYDGHGCSHVAMKCKERMHEIVKHEVENRTNEEEWLETMTKSFSKMDEEVTEWSRNSGQLSPNCRCELQTPQCDAVGSTAVVALVTEDKIIVSNCGDSRAVICRGGVVTPLSNDHKPDRPDELKRIEDSGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVISEPEVTVIDRTPEDECLVLASDGLWDVVSNETACGVARMCLSAKKPKSPPRTPGNEISVSAACESSDKACSDASILLTKLALARHTADNVSVVVVDLRKDL >KZM86006 pep chromosome:ASM162521v1:8:30652761:30660907:1 gene:DCAR_026572 transcript:KZM86006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKGSNMKLTPNVAFSFLNQVKKRFKDEEGKYEDFVKIMKSFMIERINIADVIARVKELFQGDRELILGFNAFLPKDYVITLPSELPSEDEPDPPRKRVRFVDAIDFVEKIKERFEGKENVYKTFLYILDMYGKQTKTLAEVNQEVVDLFGDQVDLIEEFGRFLPGPSGQPLNNSPHSKRSPIPYHNYQSSSASAMRIGRAEKAAASFDVRHFNVDEPDPKHDRFATGINKDFHKKSSKEMERRDSSKCRKRRVDDRDYDNGAPHHASPICKTAANGEQSERFYKGGSWSNEHVPISVKVYSRDRDQKGEKHYKDKNHEDREIERSHRIASRGKDESVCNISQLPNKDELLVKPINELDLSTCERCTPSYRLLPKNYPIPSVSWRTDADAKVLNDYWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDKFELDMLLESVKATTRSLENLLEKINDNTINESSIHIEDHLTALNLRCIERVYGDHGLDVMDILRNNPPLALDVILHRLKQKEEEWTRCRSDYSKVWAEIYAKNHHRSLDHRSFYFKQEESKRLSSKALLAEIKEMSKNQQEEDDVLASIATGSRQPVIPNLKFQLPNSDIHFYVYWLISKSCQYMYPAERSDQVLKVYTTLLAPMLGVSSQLQHAENTEGDFVKAVVVANGVAPCTGETDGSPIGVTGNNGGVGKDKFHDPDHISVNGDSKYEKPHLEKVKNNITPMDEMPRIRKTEQPDCSSISTAEVEGNYERIHEENTPGILTTLESKKAAASGRLLENLPSSAEGDSKPISDLIGAMTESINGEQCKEESRVQSTTVKVENELSPSGEYEDHNLQGHGEANHIPEDSATSMQYQTRDSEEDEVENDATMDDDKGDIRLNSVKPLKVHVPSLLLDKQKENTQIFYGNDSFYILFRLYETLYDRIKAVKSSAEKNCKTSNDATPSDPCVSLMDEFFKLLDGSSDNTEFEDHCRTLMGAESYVLFTLDKLVKKLVNQLETIAMNDLDDKLIQLYAYEKLRRGISSDKLYHDNARALLRDEYMYRIECSSKPLQLSVQLMDYGYDKLEPGAVFMDSILQSYMEDNPEEKSGSGVILRRNKRKFASGNGLSHTDQAIEGLQIYNGLECRINISSAKVSYVLGTEDYLCRKRKKVSHCNGHTNRSHVSFTRAQGLDRLLKPCTEE >KZM86038 pep chromosome:ASM162521v1:8:30961460:30963137:1 gene:DCAR_026540 transcript:KZM86038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAWLYDEYGGVEVLKFDSSVSVPEVKDDQVLIKVAAAALNPVDFKRRLGKFKATDSPLPTVPGYDVAGVVVKVGSSVSSLKEGDEVYGNISEFALEGPKQSGSLAEYTAVEERLLALKPKNLSFVEAASLPLAIETAHEGLERSAFSAGKSILVLNGSGGVGTLVIQVAKQVFGASRVAATSSTGKLELLKSLGADLAIDYTKENYEELDEKFDVVYDAIGQGDKSLKVLKEGGTVVALTGAVPTPGFRFVVTSKGSVLTKLNPYLESGKVKPVVDSKGPFPFDKVVEAFSYIETNRATGKVVIAPIP >KZM85156 pep chromosome:ASM162521v1:8:23261360:23261956:1 gene:DCAR_027422 transcript:KZM85156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPQLLTCEPYTDLYPVLDFLLNDVKIPFPAVRKAVIRCPRLLICDVGMQLRPTLWFLRKIGFVGSNSITWQTTLLLVSNVEGTLMPKLDYLMSLGLKYEEVVNMVLRSPALLTYSVENNLKPKVEYFLDEMNGDLADLKRFPQYFSFSLERKIKRRHQLLCEHCLSLPLSDMLKPSDGEFSAHLVERRLRLFVGRIL >KZM84977 pep chromosome:ASM162521v1:8:21355790:21363040:1 gene:DCAR_027601 transcript:KZM84977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSGSAPPTVEGSLNAVGGLLSGGGSGVSEEEIRSDPAYISYYYANVNMNPRLPPPLLSKEDGRFAQRMQGAGSSAAIGDRRKIGREDGGDGSRSLFSVQMEKGQQQGSNGEWSGDGLIGLPGLGLGGRQKSIAELIQDDTTHQTSASGHPSRPTSRNAFDNGVEPSESHFAQFKQDLASLDAVHASANIQGLSSTQNVNSSVSHTYAAALGASLSRSTTPDPQLLARAPSPRIPSARGGRSSLDLQNVNGSISFNGASGRVSQQADLVAALSEITLSNNLLDEENHARSRIQQEIDDHQNLFHLQGDQYNNKQHPYLNRSEPGHLQSISQSGKGSYGYGVRAELNNSSLLSDVQVNLHKPSLASANSYLKGPSTPTHNSGGSSPSQYRNVGSPNSSFLNYGLNGHNINPGSPSMLGNQFASGSLPPLFENVAAATAMGDSRRMGGLALGPNLIAAAAELQNLSRAGNQNALNGLQVPLVDPLYLQYLQSNEFAAGEGLNNYMDLAGIQKAYLGGVLSPPKSQYGLPYLGSPSSLTHGYYGNQAYGLGMSYPGSPLGGSLLPNSPFGPGSPIRHGDRSLRFPSGIRNFGGGVMGPWHPEAGGMLDETFASSLLDEFKNNKARCFELSEIAGHVVEFSADQYGSRFIQQKLETATSEEKNMVFDEITPQALSLMTDVFGNYVIQKFFEHGTATQIRELADQLNGHVLNLSLQMYGCRVIQKAIEVVDLDQQTKMVAELDGHIMRCVRDQNGNHVIQKCIECIPEDAIQFIISIFYDQVVTLSTHPYGCRVIQRVLEHCSDPKTQQVVMDEIMRSVCMLAQDQYGNYVVQHVLEHGKPHERTCIINKLIGQIVQMSQQKFASNVVEKCLTFGTPEERQSLVDEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIGVLASYSAAAAAAAAAAT >KZM85344 pep chromosome:ASM162521v1:8:25017382:25020489:1 gene:DCAR_027234 transcript:KZM85344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGNSKKSKLSWSKSLVRKWFNIKCKTEEFEADDVNEGVNVECRKSFSEREPCTIKKSKTDNFSKSSDRVRKGRVDLDHPQVINVQNYSIFSATWNVGGKSPSSSMNLDDWLLASPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLALIRKTLNNRPGTSGGSGRYTPSPIIDPIVESDADFEGSTRQKNSSFFHRRSFQAPRYWRNDCDYTSSQPQLDRRFSVCDRVMFGHRPSNYDPNFRWGQRPSDYSSSHRPSDYSSSHRPSDYSSSRRPSDYSSVQRSSDYSSSRRASDYSWGQRPSDYSRWGSSDDDYGPGDSPSTVLSSPMFNGVSAPVEDGYCLVASKQMVGVFLTIWVRSDLREHVRNLKVSCVGRGLMGYLGNKGSISVSMMLHQTSFCFVCSHLTSGQKEGDELRRNSDVMEILKKTRFPQVRSVCIDKSPETILQHDRIIWLGDLNYRIALSHRTAKALVEMQNWRALLENDQLRIEQRRGRVFEGWKEGKIYFPPTYKYSNNSDRYTGENMHPKEKRRTPAWCDRILWFGGGLHQMSYVRGESRFSDHRPVYSIFWAEVESSSSGLRRSTSCSTSRIEAEELLPYSNGYTELCFF >KZM85234 pep chromosome:ASM162521v1:8:23979256:23981051:1 gene:DCAR_027344 transcript:KZM85234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KZM83747 pep chromosome:ASM162521v1:8:1094179:1095375:-1 gene:DCAR_028831 transcript:KZM83747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKSGAELVGFEFETDDLDYLMKRKASNNITEKEDDHSLLPEMYEEILVKLPAQYLYQDLRLVCKSWNNIISRKNFILDNFSRTKPVFLVQCDTLCRRSYCMEIDEQDLSYRMPEFGFDCVGRFKASCYGMLLMESRIAWNVREPELQVLNLVTKCCLTLPECPSGCAHKECGSGLGFDPCTNFFKVVHIYDMKVGFEIFTIGGSSNEWKTVPGPWKDLSKRSLLSSGWDDPVSVNGRFLHWNVLSYEYIVSMDVSDEKCIKIKLPYSVESFTDESEFNLVELGGYLACIYSASRTQMEIWILQDFQRKLWLKKHSVHAKMIISSINPVPSFTQICPVGCTSNGVVVFTHTKRQRRMYIYDMKRKAMKKHAAKTKIDKLIVHRSSLFRLQNGSCYKS >KZM84128 pep chromosome:ASM162521v1:8:8547649:8548053:1 gene:DCAR_028325 transcript:KZM84128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQNQRFRYYSYLLDAKMLAWFYACKLKEGIWGTDSPEECSACLETPSIGDTMCRLPLGMRPPSVNGNLITESPAMLIWTLEGKILTSYRQLVFWGITV >KZM84294 pep chromosome:ASM162521v1:8:11768169:11772119:1 gene:DCAR_028412 transcript:KZM84294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTESGLQYKDIKVGAGPSPPVGFQVAANCVAMVPSGQIFDSSLEKGQVYIFRVGSGQVIKGLDEGILSMKVGGKRRLYIPGSLAFPKGLTSAPGRPRVAPNSPVIFDVSLEYIPGLEIDDE >KZM83909 pep chromosome:ASM162521v1:8:3189400:3189570:-1 gene:DCAR_028669 transcript:KZM83909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLRTLDLGNHKGKAFLCQWVKSGRSPYHVFDTALTSDLKLLMLVPYNRLPQEVA >KZM85005 pep chromosome:ASM162521v1:8:21594123:21596404:1 gene:DCAR_027573 transcript:KZM85005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIMVIVLFISVSCSSSYSKSDNSSSSSSSCGNIHNISCPFSLKGHQHNCSYLIRELSCENDLASMILEQYTNSGYYTNRVFYVEAINYEKSSIRIVDSELVQQIFLCSPNIAPYRFSRGWDFLGSKFYTESPIPEFNTPVTYLDCKAPVNSSARYLPVRSCSSSASSYVVYGSMDLSEVENDCRIRSTTWVSSEWPSLNENSFLDDQDSHSSIYGTELPFDYLYCLGCSVPVSLYSYCSKLHNENLNVNCHFYGDCVFLDRKLRLKCGSLLGARQLFGISLILFMVYELRRRHFLAYGVIEDFLQTQNNLMPIRYSYSEIKKIANGFGDKLGEGGFGTVYKGKLRSGFVVAVKILSNSNASDHDFINEVGTIGRIYHVNIVKLVGFCFEGQKRALIYEFMPNGSLDKYIFSDGGTTTLSCEKIYEIACKVACGIEYLHRGCDIQILHFDIKPHNILLDENFNPKISDFGLAKLRATDDSIVTMTAARGTIGYMAPELFYKNIGGVSNKADVYSFGMLLLEMAGQRKNLKPMVEQISQIYYPSWIYDQISKGKEIEMEDATEDERKLAKKMIIVAMWCIQMKPSERPTMNKVIEMLEGDSELLVMPPKPLICP >KZM86068 pep chromosome:ASM162521v1:8:31173165:31175676:-1 gene:DCAR_026510 transcript:KZM86068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSRPDTFHTTDGVRSVSSEIVTDLIVQVEGNRYLLHKFPLLSKCLHLQQQCFEAPESSSQQEIIFPEFPGGIEAFELCAKFCYGITITISAYNIVSARCAAEYLQMTEDVEKGNLINKLEVFLNSCVLHGWKDSIVTLQSTKTFHAWAEDLGITSRCIESIVSKVISNPSKVNLSHSYSRRGKDDALSCNGSESRSKNMSTGWWAEDIAELGIEIYWRTMMAIKSSGRIPSNIVGDALRIYAHRWLPKISRAFNNEKQVESSLESDSVAEVASMPRLFLKSIITLLPVDRTAVSCSFLLKLLKAANILRVSSSTKIELAKRIGTQLEEATVGDLLIPNMSVSCKEQYDVDIVITILEQYMLQGQSPPTSPPRSKGDIHRRSRSAENISELHENDQWSVSGLKTPSSNVSTLRMKLEEDDDLDESYVSGFGKSSQVKATRSHPNRPRRMFSKLWSINRQGSEKR >KZM85493 pep chromosome:ASM162521v1:8:26267784:26268136:-1 gene:DCAR_027085 transcript:KZM85493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSGMDFARETGVAASGTVLIPTRFVWPYGGRSVYLSGSFTGWTEHFQMSPVEGCPTVFQTICSLPPGFHQVLF >KZM84376 pep chromosome:ASM162521v1:8:13384840:13385700:1 gene:DCAR_028202 transcript:KZM84376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETNGLSKVGQRDGCCSGACTCRHCEGKTEGRDCLVCDNCKDIYHVSCIEPVQESSWKIWCCAKCRSCGVGSRHEFCVLCESINAPRSSCTGVDGLAASGKELEESSYDLEQNGLESDEATLPCCKICKIDIGVEDFRICGHPFCPNKYYHTRCLTVEQLNSYGNRWYCPSCLCRECLTDQDDDKIVLCDGCDQAFHIYCMQPPRASIPKGNWFCTNCDEGIQRIREAKKAYANSQCKMIKKAERENGAYENGQVGVSKEMEGEVDTSGGVDMLLTAAKTLNNED >KZM83743 pep chromosome:ASM162521v1:8:1071465:1072445:1 gene:DCAR_028835 transcript:KZM83743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLKLVIQKGPRQGETLEFRPGSIIRLGRVVRGNSVAIKDSGISTKHLEIQFESDLGKWVITDLGSSNGTVLNGSVIDVKVPVCLSNNDVVKLGEVTLILVKICDEVAVGKGIEGFGEVENVVEKPKPRRGRKKEIGGGKGNENVVAVEVNQGRQLRSRVTGNAINKEGGKFEDFGKQLESLAAVERKMHKGRGKKKVVKEEPEIEVEEDLVKALPELVLDRAVKESTINEFADMSRVDDVLEMKDIEQKEGSGVKNGDFNLQVEPDLEKMTLGEWFDFLEVYLPKKIHDETEELIFEMKERAKQFHEYSVQQKRAMGKGKSLMA >KZM84163 pep chromosome:ASM162521v1:8:9409859:9410164:1 gene:DCAR_028290 transcript:KZM84163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHLTANAISAIHAGDLNAKPLVQVLDIKARTIANQERYRLVISDGELTQDAMIATQLNDRVKTGQIVTGSVVQLIDYVCNSVHSRKYVVHFSLALISPR >KZM85731 pep chromosome:ASM162521v1:8:28176252:28180381:1 gene:DCAR_026847 transcript:KZM85731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHENISYQQLLEQNVSAVSSVSEKHEAEASNELENILSNMNVSTWDRYRAATWIEMKLLVHLAAPAVAVYMINYLMSMSTQIFCGHLGNLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGASKYELLGIYLQRSSVLLTATGVLLTFAYIYSKPLLLLLGESAEISAAAALFVYGLIPQIFAYALNFPIQKFLQAQSIVAPSAYISTVTLVLHLIISYFAVYKIGLGLLGASLTLSLSWWIIVVAQFVYILKSEKCKYTWTGFSLTGAFSGLPAFFRLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSVCMTISGWVFMISVGFNAAASVRVGNELGAAHPKSAAFSVVIVNTVSFIISVIASIIVLLLRDVISYAFTDGEAVAEAVSDLCPLLALTLLLNGVQPVLSGVAVGCGWQTFVAYVNVGCYYVVGIPLGAVFGFYFNFGAKGIWLGMIGGTVMQTFILLWVTFRTDWKKEVKEAKKRLDNWDTKKQPLLLE >KZM83702 pep chromosome:ASM162521v1:8:625763:631731:1 gene:DCAR_028876 transcript:KZM83702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTSSSSDFMLTGKRVSEGEVVVEESSSLIKKQKIESVFPTMGGKNVSNGKDMIERSSPDIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEIVKNLVLAGVKSVTLHDEGDVELWDLSSSFVFSEDDVGKNRALASVPKLQELNNSVVVSALTNELTKEHLAGFQAITDISLEKAIEFDDFCHNHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVIFSEVHGMAELSDGKPRKVKNVRPYSFSIEEDTTNYAPYVKGGMVTQVKQPKVFKFKTLREALVDPGEFLLSDFSKFDRPPLLHLAFQSLDKFLSEVGRFPVAGSDEDAQKLISLATGINDSLTNGKIEDIDKKLLRNFAYGARAVLNPMAAMFGGIVGQEVVKACSGKFQPLYQFFYFDSVESLPVEPLEADDLKPLNSRYDAQISVFGSKLQKKLENAKVFMVGSGALGCEFLKNVALMGVSCGNDAKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAASGINPSLHIEALQNRASPESENVFDDTFWENLSVVINALDNVNARLYIDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFDGLLEKTPAEVNAYLTNPSEYTNAIRNAGDAQARDNVERVLECLDKERCETLLDCISWARLKFEDYFASRVKQLTFTFPEDATTSNGTPFWSAPKRFPWPLKFSSDDPSHLYFVMAGSILRAETFGIPIPEWVKSPSKLADAINKVVVPEFQPKKDVKIVTDEKATTLSAASVDDAAVINDLIMKLETCHKKLPPGFKMNPIQFEKDDDTNYHMELIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLSGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQGMSWTVWDRWILRDNPSLRDLLQWLKDKGLNAYSISYGSCLLYNSMFPRHRDRMDRKMMVDLAKEVGKAELPSHRCHFDVVVACEDDEDNDVDIPQVSIYFS >KZM84836 pep chromosome:ASM162521v1:8:19909411:19915439:1 gene:DCAR_027742 transcript:KZM84836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDICTCNFEFAYNDPKFSDRVLSIEIIPDPLQIQPSAGDDKKMHREEHTFNSSIPDVGDGAVVDDLSSGCLSKFRAKATRRHDAARIRDISTVLYVNTIHINSAVLAVKSPFFYKLFSNGMMESEQRVATLQIHASEVPALMELLKFMYTNTLTTATHAGLLDILLVADKFEVASCMRYCSQQLSKLPMTCDFAHRYMDLPYSILHVDALQQLTDSVKQFIAVQFREIDKRFEDQVLNLPLAGIEAILSSDDIQVPSEDVLYDLVLKWSELHYPQLEERREVLETHLVHLIRFPYMTSPKLKEVVTGTNFSPEVASEIVLEALFFQTGTRYRQRQLAPGRQVADETAVNTYRPYCNMDQQNVSQCFGLFLGMKQIGSASLAVDFEFAARSYEEEEEEEEEEYKIEHRGSETLTGENCVGRRNIFGRTWSEFIAGDSPYFIKGNLFLRAKVTINNVDMRYSADRGACWYATELLVFLVFCASVDAASSSNATLSESLDVVLHKHAFGALVHHRPLTGALYVAPLPTDLAGIQVAVLRLRSKTLWRKGANFSNFQIPPRTLSVPYVRRLLLVYQDLGNQSSHYYNITGYSLVSSVVGFMVYDASNFTINNTTKLNLSTTGTHISIQFPNLTFESGTNPKTSCAIFGADGKVSITARSLNNLCYTRNAGHFSIVTPMERKENRRKKWVIGTGIGLILLISGSFLGVVFVKMFKLKKTHEMERAASEGVILDTIWIHNSKMPCAIVTRTHPILENSDFP >KZM83835 pep chromosome:ASM162521v1:8:1988272:1988640:-1 gene:DCAR_028743 transcript:KZM83835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLFMEGHLTTKSDVYSFGVVLLEILSGKKAIDKNRPKGEHNLVDWAKPYLNKKSKVFRIFDPRLEGQYSPKRGLQAANIAHQCLSVDSRLRPSMDEVVTALEQLQHRKDRAKNDQKDAH >KZM83851 pep chromosome:ASM162521v1:8:2231223:2236258:1 gene:DCAR_028727 transcript:KZM83851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCIHMLNNSTLDWTIRVRVTRVWPRISSFTDAVRGYNLILLDRHNHRIHAWVHNEVWQSLDGLIVEGGLYEITTFALSNCSAFLRPLSSTRLIRFLNVTTVQPYLDTSLSFPQHDVIGVVENPGQVSTIRTRHGDRRVHKFQVTDGHIFVRVTLLGSILDSSNTLFTANLQAPIVVVLAGVRVRKIPGDRSLDNYHLTASPWSQIFINMESDAARDMRDVSEVNAMFDHLEDLEKSRTDWKIKVRVTRLWPTSNAESGVVKGFNLILLDDDDDIMIPLHKFEILEVGDLIEPGGQSEVDENPEYALEELQIGALPSTRIYLNLNIEAVELFRKRFRLLVLADDNSFASTVLLTDRVVKRLEQTTVTNLMNSSKEAPISEMPSVLKNIVGKTVTVKISLSKSNVDGDSNIYRAVDLCEGSVSGKKAAEYSPITKFPSFDQSQTDDYVVCLETPTSSDSVSKKIKMVTYFCTILMHMNA >KZM83956 pep chromosome:ASM162521v1:8:3949733:3951329:1 gene:DCAR_028622 transcript:KZM83956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQLGEANLEKAIFYGRSAFEKFYEFEEDKDLLKDCSALLVYAQLEKSSVGYLLEDSQREIVVDAVNAFVLSVNPNLEDRKSCSQSHLEKLLRQLTACFLEGRSLNGTKVKHSISTEY >KZM84144 pep chromosome:ASM162521v1:8:9051086:9052243:1 gene:DCAR_028309 transcript:KZM84144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWATNSDDGIPNGLNMILLDDQNYDMHAHISTSIWDYMKNSLGSEKVYDIATFKVVDVAGNERPVQSPLCIMFTPETTVHEVPDINSSIPKMKFNLLQLQQIYEDYRHYVEDATFANDIIGVLENMQSLIFDNQISGPEPMIKFWIGDGSKSFIVHLTGELAASVFNIYQADLETSVILVLASMKVQVSEATGGIIFVNTPASKVYANPSLSMVYGMRHRLDRDGYVS >KZM85350 pep chromosome:ASM162521v1:8:25051647:25055068:-1 gene:DCAR_027228 transcript:KZM85350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEFVNLSRSLSALTIVMIVLILPVHGQINTACSPSALTSFTSCINFITNSTANGTSPTTDCCNSLRTITTSSTDCLCLIVTGSVPFQIPINRTLAIALPRACNMPGVPLQCKASVAPLPAPGPSALGPTLSPGFSPSASPEASSVPEAHSPAMTPESNATPALTPPSDTAGAGAGAPTTNSGIRPVLTPSAATSATGGCFLQGINLEPCRDQDLSSLSESCCRAVNQALEIGLHCLCMLLPVSTTIPLLHFPSDLPLSNCYISVPSLAQCKAPIPGLFTPAIPASEPFSPGETRQPAIPPTLPSINSTLEDSAAIAGPQNPSSKDAQSLSLSHTGNVRSHCDKVKNQLHHNVRLLVITHKMKSQITLLLIFSSLLLVSAAPPPPQTIRSPPPPPPRPLQSPPPPPRRRLRSPPPPLPPARPPPPPPALGCTTQVLAFSVCLPYISDPPNNLTTSPSPLCCNAYDSAFRAGEANCLCYLARTAMMFGFPVNTIKMYSLSSFCPLSDSGSEGLGTLQSICSGSASSFLVNLS >KZM85564 pep chromosome:ASM162521v1:8:26795354:26795713:1 gene:DCAR_027014 transcript:KZM85564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSAKAHKNGIKKPRKQRNISTRGMDPKFLRNQRYARKHNNKAAEGSAEE >KZM85559 pep chromosome:ASM162521v1:8:26771214:26771723:1 gene:DCAR_027019 transcript:KZM85559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKDLHYNVQSDHKLSSSVKLFGIVVTNREKSPVTEQPDLDNKRYECQYCHREYSNSQALGGHQNAHRKERQRSKRAQFLSDPRYRPLGLTLPLISAPAAPSGQMVYSGTPSVQSRVLLSGVPLRNRRKVFVGQPCFNTVVPLNVESSRSRSADGRPALDGLDVDLHL >KZM85996 pep chromosome:ASM162521v1:8:30584994:30587246:-1 gene:DCAR_026582 transcript:KZM85996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSSLVFFSACYYRVLSHHPRWSSGTNGLPFQLRKSSAVRNFSSFNLDRSRPFPDYSPKKPTIKDSELIHRISTAIKQRQFEPLPRILKPFESRFRPDHLIWVLMNIRDNYKVVVDFFDWWCMRREPTLEARCIVIHIAVAAKDRKMAHEFIRDFWTKPTLDVSLTFPKFFEKIIYTYKNWSSNPLVFDIVFQVLVESGNLEEAHIFFGRMLSYGVVLSVDSCNVFLSSLSKNVDTHKKTVEVFTEFPDLGICWNTMSHNIMISFLCRIGKVKEAHNLLLQMEYRGCISDVISYSSVIDGYCHCGELQIVLKILEEMQFKGLNPNSFTLNSLILLLCKTGKVLDAERVLREMTCQGMVPDSVIYTTLIDGFCKAGSITSAYRLYEEMCSLYIHVDLIAYTALICGLCQGGNIVEATKLFREMPSKGLDPDEVIFTALIDAYCKAGEIKEAFSLHNEMAHELLGEMLDKGVQPSVVTFNVLMNGFCMSGMVEDGERLLKWMLEKNILPNTTTYNSLLKQYCIGNNMCSATEMYRGMNNQGVMPNENTYNILIRGHSKARNMKEAGYLYKEMIEKGYKLTVDSYNAIIKGYIKRKKFAEAAELFREMRRNGLVADRELYNIFMELNFHEGNVAMTLELCDETLENCLVDKTDS >KZM84250 pep chromosome:ASM162521v1:8:10982328:10983462:-1 gene:DCAR_028456 transcript:KZM84250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTAIAQRATALLVVSQTETPIADALIRHQKRMRYKRWLWWTRAAMFITVLQFIAAIYLFFRLWQHFSQPPTANTCTSSHKRLLVVFMIVVCLIVVLQCLAGSDVLRWRSFYTTQDHAWKTHYQEVFDYGLREALCCMGRAKYLTVLEEDEVYSVAQLLGDLVAYRASGTGHLELLAARVKTLAF >KZM84993 pep chromosome:ASM162521v1:8:21534893:21537254:1 gene:DCAR_027585 transcript:KZM84993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMECKEVQNDVCSRVTRCGATEIRFPFNLRDADEEQHKKDHCVFPPGFQLTCHDPSEFSPVNDFLPMLEFEYQVNTSLPGLYLSFSVKAVVISIDYKSQQLQFIYSSAFVSSYRVIRQHYHYSHSNQHDDNLPNYPFKPFTLTHSSLYPIQHNGPIDFYYHFTSYMNDYTFYNCSSTSEIDIGIYVIEPVTSMRGHGYQVYAVYSYAEILEVLITSCTKMYNISDVPFIMGGLTWFEPNCNDCEDEGQYCKFKPNSTTLTQCYPKGTSPLNVPLIGKVGGITFVLLSFVALYYATKSYKQKKRYHLKIETFLEDYRALKPSRYSYADIKKMSNQFKVKLGEEGYGSVYKGQLSNDVVVAVKVLNDKVDAKESGEDFINEVSTIGLIHHVNVVRLVGYCADGCRRALVYEFLPNNSLDKFVYSREKKNKRFLGWEKMQDIALGTARGIEYLHQGCAQPILHFDIKPHNILLDQNFNPKVSDFGLAKLCTRGQSMVSLTMARGTIGYIAPEVFSRNFGKVSAKSDVYSFGMLLLEMVGVRNHSSVGREGASEVYFPEWIYHQLEQERETGSQIEQEANSTIAKKLTIVGLWCINWHPAERPSMKHVIQMLQEENCPAIPPNPFFSANSKNASTFSNKVEVISESD >KZM84886 pep chromosome:ASM162521v1:8:20538289:20541024:-1 gene:DCAR_027692 transcript:KZM84886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPALVLSNSGKRIDQGRKKYVKQVTGRHNDTELHLAAQRGDLVAVKNILGDIVNQMAGTFSGEDLETEVAEIRASIVTEVNELGETAIFTAADRGHLDVVKELLKYSNKESLTKKNKSNLDPLHVAASQGHHAIVQVLLDHDPELSKTIGPQHATPLISAASRGHTAVVNELLSKDCNLLEIARSNGKNALHLAARGGHVDIVKSLLNKDPQLARRTDKKGQTALHMAVKGHSCEAVKLLLEADAAIVMLPDKFGNTALHVATRKKRAEIVNELLCLPDINVNAPTRDHKTALDIAEGLPLSEESSDIMACLIRRGAVRANELNQPRDELRNTVTQIKKDVHTQLEQTKRTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDNNQGMAVVVSRASFKIFFIFNAIALFTSLAVVVIQITLVRGETKAERRVVEVINKLMWLASVCTSVAFMASSYIVVGRKYEWAAILVTVVGGVIMAGVLGTMTYYVVKSKRVRSMRKRQKHARSGSNSWHHSDFSDSEVHHMYAL >KZM84917 pep chromosome:ASM162521v1:8:20835847:20841131:1 gene:DCAR_027661 transcript:KZM84917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGGSSVGERWYYSRKEIEENSPSRRDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRIIATVCMFLAGKVEETPRPIKDVIIVSYEIIHKKDPAASQRIKLKEVYEQQKELILLGERVVLATLGFDFNVHHPYKPLVEAIKKLGGQNSLAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAGKFLKVKLNDTEKVWWHEFDIIPHQLEEVSNQMLELYEQNKVPPSQTSEVEGSVGGGVAPRATTKAPAANEEHVANSSNLQGGITTSKSGTLKLAPSRPDQSYLDNHGAPSKATQNQSDDHASSDMTSIPSHKRDSDYDPESSNHSEEHGDEDLESKTVRSKIKERGDLKEKNHDRNLNYKESIDTDKVKAALEKRKARGATTRKMDFTDEDDLIERELEDGVELAVESEKIKQERKQSLSKHPDEEEDGPQGVERNSLSGQDFNNVEGELDTFDDADRGYHSPNPSNRKRKVGSPPDETLEGKQRVDYMPASHHDSQHVFLEDRERDHKRHVQGNHV >KZM84944 pep chromosome:ASM162521v1:8:21057699:21058875:1 gene:DCAR_027634 transcript:KZM84944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVPAFQVLDPLNWQYQPPGGSVSDNNNDDSNHNHLTLPPMPPSHGLPIGPNSMAERPKLTKLPPQETAYKCPRCASTNTKFCYYNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGFRRNKKSKRIRSKSPITCNSSASGVSSGSCTTNNILGHSAPSILPQFPLLPSMKFTEILGAEDINSLIFRGIQSPAAASGEYSDQWRLQKSAVAPGVLEPLSTGVTYQFRGEGLGEATTSQHDFKTADYSSGPSQAELIKFGEDQGFMSLPKNVLDFPGNEQQEYWSSVYAWNEPNGLNPSTGHLL >KZM86133 pep chromosome:ASM162521v1:8:31665733:31669748:1 gene:DCAR_026445 transcript:KZM86133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCFDSKEEESLNPQKHSNHHKETHTHPALSNNISRLASGADRFKSRSNVGGKREQPGLKELADAQIAAQTFTFRELAAATNNFRPESFIGEGGFGRVYKGRLQTTGQVVAVKQLDRDGLQGNREFLVEVLMLSLLHHSNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGDFKSSNILLEEEFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTQPQGQQNLVTWARPLFNDRRRFAKLADPRLQGQYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYDSSSVPGHGYRLNGDRDEKNNRGSGRFLRNDMGGGSGLKWDLEGSEKDDSPKETARTLNRDLERERAVAEAKLWGENLREKRRQEDNFDTNNE >KZM84396 pep chromosome:ASM162521v1:8:13871680:13880386:1 gene:DCAR_028182 transcript:KZM84396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDKRKTATLSLINTPPQDYSDKSPKGTLDLPILPLLNSINSHPCFFTTSSCSGRISILSTPTETTSITKKKARGGKWVLISHDPVDPTSVLDLLYNPTSVVDELDELVFRFEPLIVAVECRDVGSAQSLVSIAISCGFRESGITSVSKRVIVAIRCSIRLEVPLGGSGRLLVSEEYVRFLVGIANGKMEVNRKRTDQFFAALLRNGFGGVENGVGSGDCDGLECEEVKKMESYFGALSESRQIDDCGSAEVPVNSLSTDQLVVAGEAIEKVYLWGHSACEIEDATKKKVLIFGGFGGMGRHARRNNCFLLDPFSGELEDFNVQGCPSPRLGHTSSLIGHLMYVIGGRADPSSILNDVWLLNTENRQWKLLECTGTYFPSRHRHAAATVGPKIYVFGGIHNDLIYPSLHVLDTQNLEWSEICMPGEQPCPRHSHSMVSYGTKLFIFGGYDGEKALGDLYSFDVLTCLWKKEEMAGRTPYARFSHSMFVYKHFVGIIGGCPVRQHYQDLSLLDLRDCSWKHIRVNSFGKELLVRSSGSVIGDDLVMIGGGASCYAFGTKFSDPMKMNLRPLVSLTDSPAQILTTQVNHTKEDPPQTEIGFTQSPASIYETLSKETSGLKLGDEGIDINGGHQGVASHFVLKIKKKYAKLGKDLLKRFAWLDLERKVYSLEKGLHICFPVTGQFCALYDNSQHDAHTADIEPLDPCLLEKVTAKGLLPDDTISSALNFFITSGATKILDEVVRVKKTSSSPLKMMNDSVTLLINEQGLPAELLEQLPTRWERLGDIVVLPSTSFKDPLWDTISDKLWPVVAASLGTQRLARQGRVANTGTRDSSLEMLVGDSGWVSHRENGIYYSFDATKCMFSWGNLSEKLRMAHLDCTDEVVVDLFAGIGYFALPFLVRASAKMLYACEWNPHAIEALRRNLQANSVADRCVVLEGDNRLTAPKGVADRVCLGLLPTSEGSWVTAIRALRSRGGILHVHANVKDSEEVEWSKYVSNSISDISLSEGYRWKVSVEHVERVKWYAPHIRHLVADVKCEQIDR >KZM85357 pep chromosome:ASM162521v1:8:25094703:25095146:1 gene:DCAR_027221 transcript:KZM85357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMKVHPLSTKRNTTFLGNRDSPIDQTAPRKLRRLPHVFGKVLELPFPSDADVAVDESPNSISFVAGIENSGFSGAGKRVRAHAVEIYPGITKVVVRNGKVGDLLGEKIRDDVWRCRLPAAALTGLATAAIVDGELVVTVPKSRGF >KZM83898 pep chromosome:ASM162521v1:8:2951383:2953335:-1 gene:DCAR_028680 transcript:KZM83898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLKPLLLFPLLLVSVVAKNSSETEGRALFGLFGPLFGFAPATKNAGKDARADFKTEWNGKWRVTVENVGVSAMQLQLMPNNKVVWFDTTALGDSARKLTPEGNCPINFEKGYPDCFAHALAYDADTDETKALDIKSDPWCSTGSLSAEGSLIGVGGYFKGRRAVRIHRPCEDCDFEERSDLLGSDRWYASQHILEDGRLVIVGGRKSFSYEIIPPDTLNFPVKQFDFPFLQETTDPVENNLYPFLYLTPDGNLFLFANDRAIIFNPDTAEIIRELPRLPGGARNYPASGMSALLPIQLDPQDPLNVHAEVLICGGNAKDAFNHVDKVQKPKPKGVFLPALQDCGRISITAENPKWETEMMKSPRVMGDMLILPTGDLILLSGAKRGTSGWWDADVPNLTPELYMPHKENGHRFKSLKATKIPRMYHSSSALLPNGEVLVAGSNENNRYVFPGDGERFPTELRVEKFTPPYLDPKLEQHRPIISEEITPSKLRYGQRFTMPFHFNADSDANTDLRDTDLKVTMLSPPFTTHGYSQQQRMLVLGTVQVTDTYITVAAPENGRIAPPGYYMLFLVHRGVPSRGVWVQIKN >KZM84535 pep chromosome:ASM162521v1:8:15978526:15980292:-1 gene:DCAR_028043 transcript:KZM84535 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase MDSWARAAEKQAHVVCIPIPAQSHIKAMLKTAKMLHSKGIFITFVNTEFNHKRFLKSGGLKVLGDLPGFEFETISDGLPPSDPNVTQDVSAIARSIAGLMAQPFQNLILKLNAGIHPVTSILSDGFLPFTSDVAHSFGIPIVLLWTIAACAFMAYYQFKYVLERGLVPLKDESYLTNGYLDTNIDWIPGMPDIRLRDIPSDVRIMDPDDFMFNLYIKCFEKAATCTALVIHTFDDLEQEVLNAVSSMFINVYTIGPQQMLFDQINSDQEKPLGSIGSLWEEEKTCLEWLDSKEADSVVYVNFGSITVLSAEQLVEFGWGLANSNYSFVWIIRPDLIVGESANTLGVEFMDAIKDRGFISSWCPQEDVLNHVAVGGFLTHGGWNSIMESLSAGVPMLYWPFFADQPINCKFLRDKWECGLEIPNNVKRDDVEKLVRLLMDGAEGKKMRNKAIEWKKLAEKACGPGGSSSLNFDKLVLLLKN >KZM85379 pep chromosome:ASM162521v1:8:25261644:25262834:-1 gene:DCAR_027199 transcript:KZM85379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESNKSKRASKPWEMVMSNFTDDLWTEIFLRFPLESLLRFKSVSKTWLSIISSHRFALSYLAIAPKDDQILIVHHDSLQPEEGDDGHFSLYHLDSSRILENLHFPYSQDEYPFKPAYSQLIGSECGIVCVSVWVSKWRAAKNDNDLYLWNPATKQSKLIPPYTLPDDNPTDAALGFGFDHIDLDFKLVRFIFRTRSAEVYSSNINNWRKIKQKLSDISGYISFHTCFHGFLFALQHYFSTGSKGMVAFDLNKEVFIRDINLPVGSFDYGSSSSEIAQYKDTIAFIVSYSIADSAKINLWTLDNEACLSGGGVEASWTKVLSLDVGVPFNFVEGLFNDTQFLLYGVSGGRLLYNSNDKLTTEVPGYPNIAPCAIFKYTKSLFSLTGFKRIKWASPS >KZM85627 pep chromosome:ASM162521v1:8:27329684:27330049:-1 gene:DCAR_026951 transcript:KZM85627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQCLCGSWAVEKTSWTEYNPGRKFLTCVNGRCNFFKWSEPEFDARSKSIINGLLRRLKGKDDEHFAEMIRAKEEYRDFYKQEMNDAKKEARNWKCFAVLMLLYVCQRWFASIGGDENNV >KZM83872 pep chromosome:ASM162521v1:8:2478119:2482417:1 gene:DCAR_028706 transcript:KZM83872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYVNRKFSSQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVHDVNVMKSFDNLNNWREEFLIQASPHDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCSSKGNIPYFETSAKEGFNVEAAFQCIAKNALKNEPEEEVYLPDTIDVGGGRQQRSSGCEC >KZM84801 pep chromosome:ASM162521v1:8:19474269:19474733:-1 gene:DCAR_027777 transcript:KZM84801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFFGGRRSNVFDPFSLDIWDPFQGLPLINSEYGKETAAFANTRIDWKETPEAHVFIADLPGLRKEEVKVEVEEGKVLQISGERKRDKEEKNEKWHRVERSSGRFLRRFRLPEDAKVEEVKAGMENGVLTVTVPKVEVKKPEVKSIDISG >KZM84873 pep chromosome:ASM162521v1:8:20349407:20352466:1 gene:DCAR_027705 transcript:KZM84873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVLRLCLVGPLFLVLGLLPLVMGGHNYGDALSKSILFFEAQRSGYLPSSQRIKWRGHSGLNDGKSVGVNLVGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGNQMASSGELGHAIDAIKWGTDYLIKAHPEPHVFYGEEYFIVWEMVTLTIIVGKGRKIXGDGNTDHYCWQRPEDMTTSRQAYRIDPSNPGSDLAGETAAAMAAASMVFRRSNPGYANTLLSHAYQLFDFADKYRGKYDSSITVAQKYYRSVSGYADELLWGAAWLYKATNNQYYLNYLGKNGDALGGTGWAMTEFGWDVKYAGVQTLVAKN >KZM85593 pep chromosome:ASM162521v1:8:26981900:26982885:-1 gene:DCAR_026985 transcript:KZM85593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLQNPSGISKKFPQFETVTDASDHTYVNSNNTLLTTTNTPFHKTIMKEWKILKKNLPPSIYVRTYENRIDLLRAVIVGASGTPYHDGLFFFDFFFPLSPSWQPNESTILQVLVSLQGLVLNEKPYYNEPMLIPPIFDRLSRKYNEDVFVLSCKTMVHLLRRPPKNFEEFVVEHFRDRARSILAACKAYMNGFVIVGEYRDCGGFLFDEKVKVSRNFSSLMRDQYCRLFNSFVKNDSSLREFCTELDQLVQISEMRTLEKAMSKEKKFMSTLSGIVSGLFWCVKGIADS >KZM85294 pep chromosome:ASM162521v1:8:24481957:24482345:1 gene:DCAR_027284 transcript:KZM85294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSCSGGKSSWSELNGINGDLAAQIIERENTSVNAVVVSENTLGTTDFNCFRVRVKVDSNGIVTSTPRIG >KZM84528 pep chromosome:ASM162521v1:8:15864161:15866197:1 gene:DCAR_028050 transcript:KZM84528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLARAEEKQAHVVCVPCPVQSHMKAMLKMAKLLHSKGCLITFVNTEFNHRRLLKAGALQSLERLPGFRFETIPDGLPPSDPDATQDIPALCHGIIENNMLPPFQTLLSKLNAEIDPVTSILSDGFMPFTADAAHSLGIPIVLLWTIAACAFMAFYQFKNLLDRGLVPLKDESYLTNGYLETIVDWIPGMPDIRLRDLPSHIRIVEADDFMFKYFMECTQRAKNGTALVIHTFDDLEQELVNVLSSMFPKLHESRAP >KZM86014 pep chromosome:ASM162521v1:8:30781197:30781472:1 gene:DCAR_026564 transcript:KZM86014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFVVVWLFSLMWIGLCISIELHFDLSISGRQSIVSVCFVIVFPQLNTRSQNLSLLVFPNLTLYDRDSVTVVVEGGGNGGSRISMRSMKM >KZM85323 pep chromosome:ASM162521v1:8:24688557:24692155:1 gene:DCAR_027255 transcript:KZM85323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLMSRLWFMMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIAVIDSTDRARISIMKDELFRLLPHEDLQSAVILVYANKQDLKDAMTPAEITDALSLHSIKNHDWHIQACSALTGDGLYDGLGWVAQQVGGKTTTS >KZM84823 pep chromosome:ASM162521v1:8:19799679:19802351:1 gene:DCAR_027755 transcript:KZM84823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVQQRDDLGLSLSLSLPGPSQNTNKISDHNQMAYATKPLELNLMPSQPLNLLPNTTTWTHPASYDRKMEACRVDRRSFLTGIDVNRAPAATVEMEEENGMSSPNSTISSLSGNKRSLVNEKSELANGDELLEFSRSDDEDGDNSRKKLRLTKEQSAILEESFKEHNTLNPKQKIALAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCQSLTEENRKLQKEVQELRALKSSPQFYMQMTPPTTLTMCPSCERVGGPQSATTSSLAPSVTTAEPLRSYPMSTNHHRLPFNPWASSHRPLDDVHPRT >KZM85580 pep chromosome:ASM162521v1:8:26881002:26882057:-1 gene:DCAR_026998 transcript:KZM85580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTYMQEVEEELEAMEAIDVEDKEEDFISTARSTNEWTEFRDQLAKTILFPHAKVFMHIPEYYYLSNLDQNIASIIQTVYCFKPDGDKKNTEGVGLVIRESEMVYKAFNFDQEKLMRLKKLIMEDGTIKNCSTFVALTALVWRARTEALQMKPDQQLKLLFAVDGRSRLVNPPLPKGYFGNGIVLACSVSNAGDLVNKPLC >KZM85717 pep chromosome:ASM162521v1:8:28072582:28075539:-1 gene:DCAR_026861 transcript:KZM85717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKSVNDDIEALLALKSSIDPLNSLKWSGGNVCNWDGVKECLRGRVTKLVLEHLSLSGTLDERSLNRLDQLRVLSFKNNSLSGQIPNLSGLANLKAIFLNENNFSGEFPGSIAVLHRLKVVVFSQNQISGEIPGSVVNVRRLYVLYVQDNLLTGPIPGFNQTGLRFFNVSNNQLSGEIPVTPALVRFNLSSFSGNVNLCGDQIGTPCNGSLIGAPRSINVSSGHEPKRGKNKKIVWVVVGSVGGVLLLGILIGLLVFCMKKRGKNEPREDRSKAIGQAVAVAPVVEENEGGGKGGGFSWEGDGGMGSLVFCGPGDQEMNYSLEDLLKASAETLGRGTMGSTYKAVMESGYIVTVKRLKDARYPRVEEFQRQMAVVGKLRHPNLVPIRAYFQAKEERLLVFDYFPNGSLFSLIHGSRTSSGGKPLHWTSCLKIAEDLATGLNYIHQNPGLTHGNLKSSNVLLGSDFESCLTDYCLTTFRNPDSTEESSASALFYRAPECRDTRRLLTQQADVYSFGIVLLELLTGKTAFQDIVQEHGSDIPSWVRSVREEETESGDDPASGNEGSEEKLAALLNIAMACVALAPDNRPGMREVLKMIKDARAEAQGSSNSSDHSPGRWSDTVQSLPRDDHLSI >KZM85526 pep chromosome:ASM162521v1:8:26527273:26530903:-1 gene:DCAR_027052 transcript:KZM85526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYTSEDVKALMSHFTEQENRLRVKRRWLAGLSLTKKEQTVIFGSESKHKRTLPESLLREDDVYYETIKSFVERGLDGFKEEWEEQAHTKNMLPLDLSNDVRYILPLLDYMTNKCLCSVADILTQGSLDFEKIRWRMKKVIKDCLQKTILSPNDDLFEKLSSLLKDPRNFRRSPVKYLDCSSQSYEAAVLNMLDLLDDLPTCALLAMHRKVRGIRGYIPQVIPPKQGRRRDQLISLVRKTCIDMLRTTGEEDDSRELLNKAMGVAVLALKLIKGNQYVADLRKFSPDLEVLHMEITKAIWYLNKRVRFPELKKLQLLLDPGDISNTRKNGKNLYLAIQGASDETSLFAYRLLGYMMSDFAQFEGLPLDLDDVLAYLRCGDRNRGDDQGHAKSKKRAFSGIIIIISIQGLMFGIPSNQ >KZM84904 pep chromosome:ASM162521v1:8:20715910:20717043:1 gene:DCAR_027674 transcript:KZM84904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKARSSTELLIPNKKHYYGHTNHSSRSSSVDQESSKNIWVETEQVNKSKRISRSGGSKLVEVVYYISSPNGNLQHPHFMEVSLPHSSHELHFKDVINRLNELRGEGMASMYSWSSKRSYKNGYVWQDLSENDIINPTNGKDEYIIKGSELIQHSSSSTITSSESASQNMPEKCNEGRKITASPAKIVKRRNQSWSSFDNPPTTNENKVYKCESSRGFAGVVTGKENALEVCGEDVSPTASHCESEVLESASGCSEMEYRSGDRTVKNLRGRMKVTKMLMQFIRCGSVPMKGL >KZM83756 pep chromosome:ASM162521v1:8:1160902:1164049:1 gene:DCAR_028822 transcript:KZM83756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKSQQISSRPMEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEDDKDPSIWFLDHNYHEAMFSMFKRINAKEHVVGWYSTGPKLRENDLDVHALFNDYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKIFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLREIRGYLDLVVDGKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDQMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDVKPTPVAVAPVAGS >KZM84129 pep chromosome:ASM162521v1:8:8551750:8552573:-1 gene:DCAR_028324 transcript:KZM84129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNLIWVTPRMHIEVYMYPSCDVVEIETWGHNEGRIGTRRDWIIRDYYTGEVIGRATRFTFPEEDNYSLKKILKLEDPATFSSLSLAPRRVDLDINQHVNNVAYIGWILEVG >KZM85149 pep chromosome:ASM162521v1:8:23208593:23210774:-1 gene:DCAR_027429 transcript:KZM85149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLAMLNSSKGSKGYGSFSISRLTHSIAMPSPTDVLLSPTTKSPQCVLPESDQISSSESNGAGLGFPCSPFSGGSMELMAVPRKKLSQTPFDYDVEKITMEYHKLFVVVLPNFIIIVDFASTGSLVYLLWEKVSRHKRGIRNGPKALKPIPVIIRCKACGRVKLPHFFCCSGERGNPGAQNGTTN >KZM85956 pep chromosome:ASM162521v1:8:30267705:30268725:-1 gene:DCAR_026622 transcript:KZM85956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATFSFDIRDYSVTAVVVRCRALVVKDAKDASLTLLVQVILSISSALVAHTVAATLVVALTLFQVVPPRPSASVVILALVLLVPLKYESLVEMYISV >KZM85133 pep chromosome:ASM162521v1:8:22988812:22989362:1 gene:DCAR_027445 transcript:KZM85133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGVTKHGVGKWRIILSDPEFSIWRTILSDPEFSTVLVQASVTMATIVRKQLSTNIVSKYRTVVVEFKYHKVQVVTLAIAGSLSIKNEEVQVSVTLATIVPNQGLAGSSKHLRNSWKALNQEPTGVFGTALYGFGNAGNMGDRHQLY >KZM85414 pep chromosome:ASM162521v1:8:25572639:25574280:-1 gene:DCAR_027164 transcript:KZM85414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQLIDDDNTYPNLPNDASSSGESKYDQESGASSGLKNADIVSKRNAIFSCTLPFRIDPTLARIIAAEALGSFILIFCICGIVAITQIMHGQVGLLEYAVTAALTVIVVVFSIGGISGAHINPAVTIAFAASGPFPWSKVPLYILAQIVGSVAAAFIGKLVYGIKAELMMTRPMQGYVSAFFVELIVTFIILFVTCAIINEAQTVGPLAGIVAGVAIGLGVLITGPVSGASMNPARSLAPAIISWKFDDIWIYILAPTIGAVTGALCYRVLCLHCKVNYRIAASPAPTLPTNVTS >KZM83936 pep chromosome:ASM162521v1:8:3675115:3676273:-1 gene:DCAR_028642 transcript:KZM83936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTSSHDDIKEEAPITNPHEAEWLKYSTFWPDPHDNSDHHG >KZM85620 pep chromosome:ASM162521v1:8:27255854:27263150:-1 gene:DCAR_026958 transcript:KZM85620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAESAAAADGPRYAPDDPTLPKPWKGLIDGKSGDLYYWNPETNVTQYEKPAALPPPLPAGPQPVPNTPSLAPIPGARMIQPNGASAQYEQQMAWGSSRGEVPYSSQTDAQHVAQQGAQVGSSMQHQGHVTPQHGRTQTMGQPSQQMFPYSDQQKPPQQGNQIAQATHQMPQQMGNQTPMYQGSNSVEPQNFHYPNQQMQYNAYQPNIPHQDQQRLPQQTQQTQVQQFSYQQEPKAGFSQRGDTDFQHGRQSGFPASHAKQGGMPIAQSPTSGTNSDSKPLMGVQPGQGTQFGGPSFNMQQPPLAHQTQSGTDLAYQQHVPRFQNQMGPAMMHGQHSNVPTAGFKKAYEESPPGRVDNEYFTSVNKAVHGMPPQQPKLAAIPLARNHMDSRMAPPLQNALHAQAIAPNPGPASQDIYNHALNVPPYARNPMSGPPSMVMGSPDANNISAAEVYRQKHEITATGDNVPAPFMSFEATRFPQEILKEIYAAGFTSPTPIQAQTWPIALQNKDIVAVAKTGSGKTLGYLMPAFMLLRHCRNNPLNGPTVLVLAPTRELATQIQDEVIKFGRASRVSCTCLYGGAPKGLQLKELDRGADIVVATPGRLNDILEMKKIDFRQISLLVLDEADRMLDMGFEPQIRKIVNEIPARRQTLMYTATWPKEVRKIAGDLLVNPVQVNIGKVDELAANKSITQYVEVVPQMEKQRRLEQILMSQERGSKIIIFCSTKKMCDQLTRIIGRSFGAAAIHGDKSQNDRDWVLNEFRSGKCPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFSEQDWKHAPDLIKVLEGANQPVPGEIRDIALRGGPGFGRDRGGMTRPDSAGGRWDSGGRGGMRDGGFPGRGGMRDGGFDGRGGMRDGGFGGRGGMRDGGFGGRGGMRDGGFGGRGGMRDGNFGDRSGMRDNNFGDRGSMRDGNFGGRGGARGGGFGGPGGGRGGWDRNDRSGPPDRYNNIDGRGRGRGRGRYDNRSDPAGRSRDRNYSPSPDRGVRTWIGRGRSRTRSRSRSRSWSRSYSRSRSWSRSRSPRRNRSRSRSPRRNRSRSRSRSWSPRKERRRESKFDQMEVPVAEARPEPGTSQFPQVPQNMASLGTENVDQSQVIDSINSDPPMSTFDNSGPAGEP >KZM84382 pep chromosome:ASM162521v1:8:13531766:13533631:1 gene:DCAR_028196 transcript:KZM84382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSAYKKEHPFEKRHAEATRIREKYSDRIPVVVEKAGKSEIPDIDKKKYLVPGDLTVGQFVYVIRKRIKLGSEKAIFIFVKNLLPPNAALMSKIYEENKDEDGFLYMTYSGESTFGSLELQ >KZM83770 pep chromosome:ASM162521v1:8:1401437:1402240:1 gene:DCAR_028808 transcript:KZM83770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQEVKLLGVWASPFSKRVEIALKMKGVSYEYAEEDLSNKSPELLKYNPVHKKCYPDIWKIGGSKGEEEREKAIEEATEHLVTLENELRGKKFFGGDEIGLVDITANTIALWLVVVLEMVGIKFLLKDKFPVIDEWINNYLDNSIVRETLPVRQDLLAYCRSVFQCSN >KZM84606 pep chromosome:ASM162521v1:8:16747564:16755569:1 gene:DCAR_027972 transcript:KZM84606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKQSPILSDRSIVRDLVVLQRSRSLRDPSMSPPSWNSPSASGLVSKRGDNNVLVGNGRWSVGIDRHMDGRGSFESSPPLPNIPMSDAVHRELEMHMDEVPNVSGRSRKGGVRERRRSKREESVGRYLSNDLLHGKDDTPVDQNVLDHDTFLGNAGLQSQKHNQKGRRKQVDHPENVHKVQDDSDDVASSHNHHYGRHAHYGGPFEEAQISTHDHFNGRNRGKRRKFRGARKTRNAVAAREAIAQYEMSVASNSLAHCAERAKYQMEESGEEYGDPNVTKAPGNGCGPPWNWSRIHHRGKSFLDIAGKSLSCGLSDSKSRKGGSFTQGAFPNMPVGSDPSSSSGKFDGEALPLLIDDQDSADNAAWVHDYSGELGIYADNLLKQDIDSDLASEARSGNRQKFQLNQVRHQNLTQKYMPRTFKDLVGQNLISQALSNAVVKKKVGSLYVFYGPHGTGKTSCARIFARALNCQSLEHPKPCGVCVSCTAHDKGRNQFIQEVGAVSAFDYQSIVDILNSVLAHRHTLPYKILIFDDCDTLSTECWSAISKVMDRGPRRVVFVLVCSSLDVLPHMIISRCQKFFFPKLKDADIIYTLQWIATKEDLEIDKDAVKLIASRSDGSLRDAEMTLDQLSLLGQKISILLVQELVGLVSDEKLVDLLDLAFSADTVNIVKNLREIMESGVEPLALMSQLATVITDILAGSYDIMKGGSRRKFFRRQPLSKEDMKKLRHALKTLSEAEKQLRMSNDRLTWLTAALLQLAPDQQYNLPSSSAETSFNQSPLALNTADGSHRLRSSYVQQTDIPNNSQDREENDGVGMIPQRTFSVAGRNNSVKNRHPSEKFHREIEDIWLEVLEKIHIKSIREFLYQEGQLVGVSFGAGSTVHLTFSSHLMQSKAEKYMAHILQAFESVLGSPVKIEIKCESRIVTPTGISVPLVLPASQETSRQVYANQGGLGINRMPMERFDDTTGRSLKDRDNVTHAKPLHIDSTGMGKSEIVEIEASPRQRKDNGHFGNMQSAKKIQLSESNQNKSIVKRKVSLAHIIQQTQRGSQRTGWSKRKAISIAEKLEQENLRLEPRSRSYLCWKASRLPSRRLLHLKVRPRKPKALLKFVSCGKCMSARSPR >KZM85410 pep chromosome:ASM162521v1:8:25533808:25538245:1 gene:DCAR_027168 transcript:KZM85410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVNEEETQQPDASSIEGIEETKTLICALNLLSRNLPLPSHILQAVSRIYSDPLPGDADGGSRVAADENVDAPGDSSVGGDLISELEDTLAKHRLDCMSGFELTALKENRLKSNIQHRISELEELPTSRGEDLQSKCLLELYGLKLADFQSKVRSDLCKEYGLRMNCASPEKQLFDWGMMRLRRPLYGVGNAFLMEADDTMKKKWEAERLSRLEEEEKNRIESRQRKFFAEILDAARELQLQIQATHKRRKQRNDGIQACSF >KZM84714 pep chromosome:ASM162521v1:8:18171421:18176553:-1 gene:DCAR_027864 transcript:KZM84714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLYGDLPPPSSTTDETKSQSNPTSNVWSSTVKMAPPTLRKPSSVFASPSVLQKAQAKSKPSASKPAMSVSVSTPVVAVVGREQALVGVTASVVEEYDPARPNDYEEYRREKREREKEIRVKRELDRRRVEEEERERREREEREREREREVGVSGEEAWKRRAAMSGGSVGREREREREDSPTGSGDGFLIGKSETGGLGVGAGGQMTAAQRMMAKMGWKQGQGLGKQEQGITTPLVAKKTDRRAGVIVNASEKQEKEKKVKSVNINGTPTRVVLLRNMVGPGEVDDDLEGEVASECAKYGNVTRVLIFEITEPNFPTDEAVRIFVQFEREGEATKALIDLEGRFFGGRVVRASFYEEDRFNKNELAPMPGEIPGFT >KZM85254 pep chromosome:ASM162521v1:8:24117002:24118589:-1 gene:DCAR_027324 transcript:KZM85254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLLDHLKKQTTTFLHEKYNIARMTFTDVTPAEVLTEEATNNDPCSPDARTMTRIAMASFHVDNSWRIADVLRKRLYNFDWKQWRQSYKALVLLEFLLAHGPDNLAREYLGDTEIIQELSTFKYIDEKGFDWGLMMRKRSERVLELLKGGDMLKQERLHALKISNQIQGFGNKAHSPCSSSSSSSPSSSRVSICSFGSFSTTSSTWNEADDFSNIDLLKDKIPAKPKSEASHLWDPSAPIEEKDSLLSQDDEEEAEDGLHNCNDFECNDNNNQYGDQMLSGFLGGIRSKLSTVTPLGRSDHSERVAFRCLSDVGRNKVKRMERNLSTKY >KZM85837 pep chromosome:ASM162521v1:8:29188916:29189593:1 gene:DCAR_026741 transcript:KZM85837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVPESETRQNYTRNKQSHLKIFGFNVKEVEEDEAGEAEGVLALSASSEVGGFGATDARKYECQYCCREFGNSQALGGHQNAHKKERQQLKRAQMQATRNAAVSYMHNPIIGAFSPPHHLLSPAGPTFLPTSPSWVYVPRAAAPFQVSHGCVFPSYPVGRGAGKLMYAGGAGDPGGMTNGGAQQSGVYQDGPSLSRFSRGDSGPSFDDALGLDLHLSLAPASLD >KZM83767 pep chromosome:ASM162521v1:8:1374777:1378219:1 gene:DCAR_028811 transcript:KZM83767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQGVIAVIRASRPTFRGPHDKVAYAIHSAFLAAGYILVATGTSAFDDAVLASPSTDEVGVDGWDQFEDNYAFVYSSPDKGSKKILVKCLAMNDALLVDVLKNGDEEPLHLEINVNDFTVENGGSNYSTQFKDLGKLVTSINNGILGKLSAASSSSLETAKSSSANKGSTADTYQSSEPQGDPYNPSRVVIPPVYPGIGGDDRFPGAGAGFYPSRDYYGIGGGNVVGPNNPIFDMQFDGQRPVFPGGMPPGVPPGARFDPYGPPGVPGFEPNRFARNPPRPGGGAHPDLQQFGRDDYI >KZM84124 pep chromosome:ASM162521v1:8:8491073:8496307:1 gene:DCAR_028329 transcript:KZM84124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHINHHLQSSTANDGGSISQRLNSPRFSGPMTRRAQSFKRTNNNTGAQNNSFSTTIDLPVNSPRSELSGSSGSADGFDSNGEKKQAHVQFLSQRGQSKKHSKKLGQWMFFAFCGVLLFLGVFKICAIGWFGSDVDRVGSDQDSFYSMDTGINRMDKQSHEYSFKEHEHKHEHDHEAESEGSDVERTLKTVGSAFVGTTDNMADHSGIWSKPNSDNFTQCIERPKSHQQLGASTNGFLLINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADQSGFKDLFDWQYFIETLKDDIHIVETLPPAYAGLEPFSKTPISWSKASYYKSEVMPLLKQHKVIYFTHTDSRIANNALPNSIQKLRCRVNYRALKYSAPIEDLGKILVSRMRLNGSPYLALHLRYEKDMLAFTGCSHSLTAEEDDELRKMRYEVSHWKEKEIDGSERRKLGGCPLTPRETSLLLKGLGFPSSTRIYLVAGEAFGNGSMQHLVEDYPNIFSHSTLSTEDELRPFRNHQNMLAGLDYAVALQSDVFVYTYDGNMAKAVQGHRRFEDFKKTINPDRMNFVKIVDDFDQGKISWKKFSSKVKKLHEDRTGAPYMREPGEFPKLEESFYANPMPGCLCEKNR >KZM85675 pep chromosome:ASM162521v1:8:27773989:27774459:1 gene:DCAR_026903 transcript:KZM85675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHEAPVGTQGSQTSAAESNVEWPQVVGTPPETVWPAWPGVELVSQVVKGKQTLRGGKGNNKNKLPPPTNYTGPSLHGCSTEEPVQQFKTHVGGTEVESATFTKNGSYVYTQGALTKALAAAKRKVGEGNSIASQLDDTMHTDGTGDEAGGEDAT >KZM84912 pep chromosome:ASM162521v1:8:20786252:20792734:-1 gene:DCAR_027666 transcript:KZM84912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLKLSTELTSILELQSENETIQFAAFDIEKNRLFFASSTNFIYTAQLPSIHDGGRSKTSLPVVVQPIDLDHEDFITSMDFLMEKEALVAGTSNGHLLLYNVDDNATEIVGHVEGGVKCISPSPDGDLLAVVTGFGQILVMTHDWDLLYETSIEDPAEEIDVGQLGTSISWRGDGKYFSTISKAHHSVPMNKKIKIWERDTGALHAYSEAKSFMGYILEWMPSGAKIAAVYDNKDKHNCPSIVFFERNGLERSSFSINERVDATIENIKWNCNSELLAAVVRSENHDALKIWFFNNNHWYLKQEIRYLRRDGLKFSWDPTNPFQLICWTLGGIVTTYNFVWVTAVMDNSTALVIDDSKILVTPLSISLIPPPMFLFELRFPRAIREMAFWSNSSKTLLAVSLSDNALCVVELPVVESWEDLEGKEFIVEPVSGKPLGSSVHLLWLDSHVLLNVPHFGYNQSSDLVKSSSRKDCLPCIQEIEITCLENHIPGTVTCSGWNARIFGQISLEGMVIGLARNPVLDGSAFVQFIDGKIFQYRKQAGAVVPSIGNHTDISMSFSSSCPWMSVVPVGDSDPSNPFLLFGLDNFGRLHVGGRILCNNCSSFSFYSNSPDQMITHLILTTKQDLLFVIEISDILFGQLDAKYDNFLPVIKKRRGEEESKNITLWEKGAKVIGVLHGDESAVILQTIRGNLECIYPRKLVVASIINALGQKRFKDALHMVRRHRIDFNIILDHCGWQNFLHSAAEFIRQVDNLAYVTEFVCSIKNENVMETLYKEFTSLHKEEFSLVDNNKVSSVLLAVRKALEDQIVECPARELCILTTLARNEPPALEEALKRIKVIRDMELSGSNDPRKISYPSAEESLKHLLWLSDPDAVYEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELEQLPTLLMKYNIDLKLKRYENALRHIISAGDAYSEDCMNLLKNNPQLFPLGLQLTTDTVRRRLVTEAWADHLSDIKCYEDAATTYLSCSNLEKALKAFRASGNWAGVLTVAGLIKLGKEEVIQLAHELCEELQALGKPGEAAKIAVEYCGDVNSGISLLISARDWEEALRIAYLYRRDDLVSEIKDASLECASVLIGEFEENLEKVGKYLARYLAVRQRRLLLAAKLKADEQSVNDLDDDTASEASSNLSGMSAYTLGTRKGSAASVASSTTSKARGIRRQRNRGKIRAGSPDEEMALVEHLKGMSLAVGSRKELKSLLVSLVMLNKEDIARKLQRVGENFQLCQMAAVSLAADATSSEIIDEHAFSQELYMKKVRKELLHSEAFSWQVKMFLSL >KZM85038 pep chromosome:ASM162521v1:8:22023276:22027154:-1 gene:DCAR_027540 transcript:KZM85038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGKQHSKTGKQNKHPVAPKKPKFKKPNKNQTKPAHKNNGAAPQPEAITPPQQLSFFINEYQSTNRIQLSSLELESIKDTCILELPQGLAQDASTICEHMKAAFGQSWRHQLCEKQILEGKIEPGNPALLVISLSALRSLELYRGLRPFSKECPIVKLFSKHMKVEDQVSTLKNRVNIATGTPSRIKKLIDMEVLGLSRLKVIVLDMHTDVKGYSLLSLPQIRDEFWDLYKSYFHQRVLEGDLRICLYGPTPVIPRGKKKIINDH >KZM85233 pep chromosome:ASM162521v1:8:23968302:23977475:-1 gene:DCAR_027345 transcript:KZM85233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPDEPVVSKSGLLFEKRLIECHISDYGKCPVTGETLTMEDLVPIKTSKIVKPRPVQAASIPGMLGMFQIEWDGLMLSNFALEKQLHTAREELSHALYQHDAACRVIARLKKERDEARSLLAQAERQIPMSTFTAVPENGSTLSNGKRASEDEELGPDGKKLRPGISSKIIEELTDCNAALSQQRKRRQISSSLALVDAVERYTQLYSYPLHRTNKPGILSVDIHNSKDIIATGGVDTNAVLFDRTSGEILSTLSGHSKKVTSVRFVADGELVVTGSADKVQAVTVHATNNYFVTASLDSTWCFYDIASGACLAQVSDTTNSDGYTSAAFHPDGLILGTGTSGAVVKIWDVKSQANVARFDGHIGAVNAISFSENGYFLATAAQDGVKLWDLRKLKNFRTFSPYDEDTPTQSVDFDHSGSYLAIGGSDIRVYQVANVKSEWNCIKVLPDLSGTGKVSSVKFGPDAKYLAVGSMDRNLRIFGLPGEDSVMES >KZM84209 pep chromosome:ASM162521v1:8:10276512:10278907:1 gene:DCAR_028244 transcript:KZM84209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFPGHALPGTLFLCVGLWHIWCSIVRYISNPQTFRVRVWNPVSGLDGRLRYLELYLIAIGAFADMCVELLYSTHLKFFTNGILNPHHMNDFEHGGMLLMFFIYSVIILLSEQTSYLPLPEGALCFIASTAFCAEYLLFYFHSTTHKGLEGHYHLLLVLLVAFCILSSVAGALMPTSFAADLSNGIALALQGLWFYQSAFTLYGPSMPNGCRLKENEVSCISPDHEIRGQLLANFQLFSIVFGLMVGVVGSYGFAAKKYGQAETISSQG >KZM84938 pep chromosome:ASM162521v1:8:21009959:21013021:-1 gene:DCAR_027640 transcript:KZM84938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINMASTVTKPNNGPLGNNNSKGTSGEKSSKGSEIQSKGATNLGNGSFSSGFKEAQLGNNSEQEIKKQQQLETINKFWFLLDHELVAAVNKGNHALLPMAEAKVDFLLGVVSRELLEEGVKGDEEALWSIHEFLYNNGWWEKASNLEIERNDKEGDSATMDPLLLFLSAYEHLIHPNTRIEALQGQREAVRMALNQIHYGSIELARQEQKGISMTKGERADNKGANVSELQAQAGVMAKRGKQGSRVRPVNLQQSQPHSQDSALYINGFRQLSQGQYSEANNDTTLLNFIRANADLVHPNTIAKASNGDQEGVRMALHQIHFGSLSARPIQKKPKFISPNKKDYMVEEQKIITYDFLKVHASMVEPGVLKDALGGNDKALSLALGQIHHHSLTGDHASTKPLPQSPSQTFREALLKNSARPSPTVKRSPPPKSQSSRKKGDMAPKSSIFFTELDDSLHMKDLWSLFKKEGKIRDIILPRKRDKFGNRFGFLLVSDEKQADNIISALNGKLIGSRKLYLAKAKGAQKPPSQPPKSASSTRAPKVHITPPESPKSVSHSLPRDLPSPPASVELLPDEDFIHIMENSLFLRTVKHETTDTVGMIAEGLGAVNALIRGLSGDRFIAYFPHYMDLQDTDREFLKIGFAEVRDLHLDDLLPSRKTWVEVRGLPIVGWKEDNFKNILSSFGTILQFGKTRDEEDFYQTPKFLLETQSVSEIDEIKYINLMGKIWKVRFLETTGALSQLNDAIPNDFFSYSRDSPANDFIQSRRSCESTKKSEGECMSISSNPAGSTALPEHNHFNDHELVDDEEEVQEILAEDEEEILDEEVILEENRTGNVVLIDSEIETHTLTDEGCGDITIPEEENLAGEVSNSDINPLTPFSEESPITLVTTNWLPRDRDTSPSDPLNASDSNGSVVDEESLDEFISIQDRSMDILQDLDKLKVKGRRGRPRKLNSNKINKSFKLPKRRRKKGEGLQQISHFFLNNSTDEAESILETGLLMGLLPNNSRQESLELIRQNLAA >KZM84286 pep chromosome:ASM162521v1:8:11582113:11585759:1 gene:DCAR_028420 transcript:KZM84286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFHRPFKKTVVPAAFAHLNSKGNRGKGDGKGKAVEENVKRRVEAMERAAEEFASQTDPDEPPPSPATCRKRNILISLRARKLNKGKIFMNPNKTVQDVLGPEEAAKWTTLSTPARIPNHAYDMMGRALNEVTDMVQAMDGMNEIPRSRLDDELKKLADGAYPSKDDPVQRLLWDQYIKVAASLACSQFERFKKVIIEDTQEDGTENGHDMEDDEGNENGQNMDDEAGNMDDDGGSMDGHYSDEDGSFNNTQLSP >KZM83694 pep chromosome:ASM162521v1:8:505664:508297:1 gene:DCAR_028884 transcript:KZM83694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILCRKSGWRKSFDGVIVTQGDHQALKAIKHELVDFRGVLRSWNDSGNGACSGSWQGIKCVNGQVIAIQLPWKGLGGRISEKIGQLQGLRRLSLHDNFLSGPVPNSLGFLPNLRGVYLFNNRLSGSVPASIGNCPYLQNLDLSNNQLIGTIPPSLVNSTRIYRLNLSYNAISGSIPNRFTYFPSLTFLALEHNNLSGSIPNTWGSNTNDSYQLQSLTLDHNLLSGNIPSSLSRLNNLQELSLNHNQIVGTIPSELGSLSKLQMLDLSNNAINGSLPASFSNLTSLVSLNLKANHLKNEIPEALFKLHNLTVLNLKNNEFGGPIPASIGNLSSISELDLSDNIFGGEIPNSIADLPKLVSFDVSNNNLSGEVPSKLLDKFNSSSFVGNILLCGFSPSTQCPSPPPQQQSPPPSSSQASNHRKSKGHKTKDIILIAAGALLLVLLVLCCILLCCLIRRKSKAKATKSAGPAGIKSVPAVGTDVESGDTGGKLVHFDGPFVFTADDLLCATAEIMGKSTYGTAYKATLEDGNQVAVKRLREKIAKGQKEFEAEVVTLGKIRHQNILALRAYYMGPKGEKLLVFDYMCNGSLASFLHARGPETAIPWPTRMKIAMGITKGLCFLHSKENIIHGNLTSSNILLDEQNNPAIADVGLSRLMTAAANTNVIATAGTQGYCAPELSKLKNASTKTDVYSLGVIILELLTGKSPSEGTDGVDLPQWVASIVKEEWTNEVFDLELMGDASNTNVSDELLNTLKLALHCVDPSPAARPEAQEVLQKLEEIKPELAAAPSTTTTTDEGPEIPTKTE >KZM83784 pep chromosome:ASM162521v1:8:1587079:1593117:-1 gene:DCAR_028794 transcript:KZM83784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDLEMRDAPPGAGAQNPGAEKVAEKSAEKIATSQKPRQRVKGPWSPDEDVSLCEIVGNFGARNWSLIAKGIPGRSGKSCRLRWCNQLDPGLKHNPFTDEEDRIILEAQAVHGNKWASIARLLPGRTDNAVKNHWNSTLKRRGYEFGKSKLEPGDWPEDASVEKSKASSEETLSCEDVNSIKSLEKNVVNSVEVGGQNGVEGQAECQMSSEAKDPPTLFRPVARISAFNMYNPFDGLEPVLPHTRLTSSQEPAFLPSDPDAGVGKYLKGAYGERLVPHQCGYGCCSSTEKMSKSSLLGPDFSDYSEPPSFSNLELAALAADISKIAWHKSGFESSNLKAPPDYVSGRLMITGGSHVKMGYSDESRKSVHSHT >KZM84402 pep chromosome:ASM162521v1:8:13965395:13969855:-1 gene:DCAR_028176 transcript:KZM84402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNMPFDRVTRNHIHSWSPQPYFLFCLVSFELFLKMTESMIEIHPIVAMPCHFDMGLQFPDHYSITRNQPLKDGDSLISEKERFELGFFSPGNYSSSRYVGVWYYKFPERIIVWVANRDSPMKNTSGVLSVDKTGQLVLFYSGTPNVSIWSSNISVSGDNNNSAKLLDTGNFVLYKDAFSQKNFIWQGFDHPTDTYLPEMKLGWNKRTGKNKFITSWKSPEDPGTGQYSYRFDVNQTVPQLFIYKGLEPIMRIGSWNGITYSGISEYTVKAVGDVSKLLYTDNEEEVSTYYIINSTSVITRFVLDDRGVAKRLNWNPELRKWDAFWTGPYSQCDNYAYCGEFSNCNPVKVADQGCECLPGYKDKSEGDSPTNGFEGCVTKPGAVMCRNGEGFRKMSGLKLPDTTNALLDSDLEIEACRTFCLQNCSCTAYTPSNITSNSTGCLTWYGKLVDVREFSGGGQVLYIRVDHDELGKDTEAEDSKRRGHRVFLVIRVLLPVVIIAILLLLVLAYWLLLKKKRREREHRYLEPFQDVLGMNGSGTTSTEVRCFLLRTIIEATDNFSLSNKLGEGGFGAVYKVWNCWLEDKPLDIVDPLLGESYEASEVLRCIQIGLLCVQESAAVRPTMSEVASMLCNERSPPSLPDQPAFINRTKAYAGPVRGSSSAGNETTTDTEMTVSIIEGR >KZM85238 pep chromosome:ASM162521v1:8:23994626:23997742:1 gene:DCAR_027340 transcript:KZM85238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVSGGAATEQPSETTEIQTQPQQQPNLLDNKWAFWFDNQAKPKSGAAWGSSLRKAFTFDSIQDFWCLYDHVFKPSRLPEKADFHLFRAGVEPKWEDPACASGGKWTVISSNKDGLETMWLETLMALIGEQFEEAEEICGVVVSVRQRQDKIALWTRNAANEAVQMSIGRKWKEILDITDKISYSFHDDSKRERSAKSRYAV >KZM84559 pep chromosome:ASM162521v1:8:16232129:16235262:1 gene:DCAR_028019 transcript:KZM84559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRCIPTRQLPILSQLCIFSDAHNPPTLSHPCSSLHRYNPLTKSLTHTLSLSASPSPRFHSLQSSPPKLLAVERRSNSVQVKAAADSITESSDDEALVSKFKDTLLIAILPLAVVHALGNLSTNMSLGKVSVSFTHTIKAMEPFFSVVLSAMFLGEVPNIWIISSLIPIVGGVGLASMTEVSFNWAGFWSAMASNLTNQSRNVLSKKLMVKKESAGLNVNQVYTWSFIAAVCYHAYQQYDHYEHDIWKAEARVH >KZM84554 pep chromosome:ASM162521v1:8:16177179:16179439:1 gene:DCAR_028024 transcript:KZM84554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSRIRVYPDNRDKPTTRAGYQPLGLSNASFGAVISTKKYDSIFSFGDSLADTGNFIRTGALAFPVIADLPYGETFFRRATGRCSNGRLIVDFIAEAYGLPYLPPYLAIEEGLTHKNGVNFAVAGATALDAKFYADKKLGHVLWTNNSLSVQLGWFKKLKPTICHTKQECDNYFKKSLFLVGEIGGNDYNYPAFGGGSPEELKALVPLVLEKIISTAGMLIAEGAVELLVPGNLPIGCSSVYLTIFQTPDKSAYDQYGCLKAHNSFAQYHNNQLKLALGRLRKKYPHTKIMYADYYNAAKQYVHTPRHHGFTNGVLVACCGGGGPFNFNNTARCGHTGSEACTNPSTYANWDGIHLTEAAYGYIAKGLINGPFTIPPI >KZM84901 pep chromosome:ASM162521v1:8:20695506:20696714:1 gene:DCAR_027677 transcript:KZM84901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNRIAVGTPGEASHPDVLKAGLAEFFSMIIFVFAGQGSGMAFSKITNDGSSSPSGLIAAALSHGFALMVAVSVGANISGGHVNPAVTFGAFVGGNITLLRAIIYWIGQLLGAVVACLLLSSATGGMETSAFALSSGVSVWNAVIFEIVMTFGLVYTVYATAVDPRKGNIGTIAPLAIGFIVAANILVGGAFDGASMNPAVCFGPAVVSWTWNHHWVYWIGPFIGAAIAAIIYDNIFMDENAHEQLPVTDF >KZM84961 pep chromosome:ASM162521v1:8:21150130:21152898:-1 gene:DCAR_027617 transcript:KZM84961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEPASAIQRNQVDLVDFIDWTGVECLNQTSTQAYANALKKGYREDDGLNLESDADEQLLIYIPFTQVIKLHSIAIQGLDEEGPKTVKLYTNREHMGFSNVNDYAPNDTIVLSSEDNKGKPVALKYVKFQNVRSLTIFIEDNQSECETTKVQKIVLYGTT >KZM84839 pep chromosome:ASM162521v1:8:19938183:19946425:-1 gene:DCAR_027739 transcript:KZM84839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNDCIVNIQSLAGEYFCAVCRTLVYPTEALQSQCTHLFCKPCLTYVVGTTKACPYDGYLVTDKDSKPLVESDKALAERIGKTPVHCLFHRSGCSWQGTLSECTSHRSDCAFGYSPVVCNRCGMLLLHRQVQNHAQICAGAKSHLQQTAQNSKDAATAVAVNTANSGQASSQPVAHTSQAAVPQITVAPPTTQEANPYVQAIASSAGMTAEQWQQYQQYYQQYPGCVPYQQYYYPYQQPAQPVHQQAPYAGQPQVYPQPLTGMQGHHQPLPQVQGQGPAQTQRQPQGQPQAHSFVQNQGAKSHLQQTAQNSKDAATAVAVNTANSGQASSQPVAHTSQAAVPQITMAPPTTQEANPYVQAIASSAGMTAEQWQQYQQYYQQYPGCVPYQQYYYPYQQPAQPVHQQAPYAGQPQVYPQPLTGMQGHHQPLPQVQGQGPAQTQRQPQGQPQAHSFVQNQVNPKQQSHIQVDTQTAAQSQILPQQPPHQAQPHMIPNSLQPPAQTNLQVPYQQPPYQGNLVQLQSQPQPVLQSQSKSHHYPHPLQPNNPPSQQPAPGVLPGYQSHPLVQPNYQMLQASQQHYSMPMQPSSGPLPPSAQFPQQSPHIRPPQTNASLPSQEQSQSQTQGFPPVHHPQHLLQGYIGHQRPAAPGGQPIQQHGQQSTLSQASISVPSALKPMQPQGPIQSQQNARPPPPSHGSVQAHGMAPQQPPPSGSRPAVPNQTATSHPFPQCAPHSRPLPLSSVQPSEQQQQVASGLQFSQSDREITHKLGGGSAAAQVGSTLNKTAGNDVSFPGEDSVRIKAFDSEIRGKSGDVEHNIRIVGENKGNQSQVAEAVVDALKSGSSEPLMEKTVKEKAATPNEMHGSVFAVKDSTSRQRETYVGHKKDNSNVLAHENKLSHGQVSQQGPAMTQYSGFHDKGRPKSSNPTPLTDQGRYQMPSGPYGLSSQQQRLAISSHSQSGSYIGAPPNALPGQGPAHLNLQGPGLSGPLHPIEHFRQSSSSHTHESLQGVQRGQYYQNNSSSQPLFSRTNKAEPTGPLHGSNNAGPLQNPRLRHLEGRYPDPNVSGSFNRGLHEETLANENRVHGAALGLHVKNVNDDHMNQFRTGPAGRNGQGEYEQALKQFPKPANIGNGSSEAGDYPHEHISEFRSKFLPPYTSNAPPEFHGSGPGFGVDHLPPRSPRREFYGIPSHGFGGQSGGPHNQPGLDNVNGWGPNAFPEGPRSFHISSDPIGRHFNDHFKSGDMAGQDFIPNHMRVGFGTFPDPHMVELNGNGGFPYAQSYLGNLRLGEPGFRSSFYHDKIPRPGGFYEGNVESSDSFRHRMPKSTVRCRICKVNCDGLEGLDLHSRTAEHLQRTMDMVTSIKLHAKRQKIIKDRSSGQEGIKPKKAGKRRRKKA >KZM85836 pep chromosome:ASM162521v1:8:29172831:29180084:1 gene:DCAR_026742 transcript:KZM85836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTKTVGSTTQVHRRDPYEVLNVSKDASEQDIKTAYRKLALKYHPDKNVDNPEAAGLFQEVAYSYSILSDAEKRRQYDNAGFQAIDAEGLEMEVDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPVGTSVSGKVEKQCAHFFGVTINGEQAAAGIVVRVTSAAQSKFKLLYFEQEVSGGYGLALQEDSDKAGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSELKAGTHVFAVYGDNFFKTASYTIEALCTKSYEDTTNKLKDIEAQILSKRNELRQFETEYRKALARYQEVTKRYSQEKQTVEELLKQRDGIHSTFTISKPPSQTSADTASVSNGSSSKIPAENCKGESPREDGSSDGKDKSSKKRWLNFNLKGSADKKSG >KZM84041 pep chromosome:ASM162521v1:8:5814147:5821293:1 gene:DCAR_028537 transcript:KZM84041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWSNSTVCLPVPVSSEAAEDSTAALLKSCRDSEVTELGAWDGIYKEASNGSFGVSNGIVAVCLPVPVSSEAAEDSTAALLKSCSYGDDYKIYQPDCLTIPATEFLSSLKTTIDVVQQVTSIVSEFSRGFLDFRLSNAISDCLDLLELSTDELVSTLLLTQNPHNSQDNSTGNLSADLKTWLSAALSNQDTCVEGFDGTRGFVKSLVASGLEEISSLVRVILANVKSPHDRKSGSRRRHHRRGDMPGWMHKRKLIGGREFPEWVKASDRKKLLQVNGVVADLVVDVNGYGNFTTLSDAIKAVPDYSTNRTVIYVKKGVYKEYVEISKKKWNVMLVGDGMDVTIISGNHSFIGGWTTYRSATFGVKGRGFIARDMTFENTAGPENHQAVAFRSDSDLSVLYRCAMRGYQDTLYAHSQRQFYRECHITGTVDFIFGDAAAVFQKCQILARKGLPNQKNTITAQGRKEAAETTGFSIQFSNISVEPNVVSNSTGNSTLTYLGRPWKLYSRTVVMQSYISNAIRPEGWLEWNTTFALDTLYYGEYMNYGPGAGLGNRVKWPGYRVLNTTSEANAFTVAQFLLGNSWLPSTGVKYTAGLVV >KZM84735 pep chromosome:ASM162521v1:8:18512908:18517658:-1 gene:DCAR_027843 transcript:KZM84735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNLSRSITKIRPPCPKLRPPHFLSPSSWSICSKIVYENQAHTLREVSSVHKRTRNKNKIGQTFCATLKDESVPEPKEDNNKVTAQSDIWRKWDVFRRFCRLHSVTGTINKPHYVLVSGEYSMGQGKAITAAIGIMGLGTAIMFGSPPLLYGAIIHFLVGTAYSVELPYLRWKTNPFLAALSIGLHTFYFQLPVFSHIQKYVLGRPLVHPKSFYFVLIFFSLFATVLGVFKDIPDVAGDEAFGNQTYSVRHGKKKVFVICISVLLINYGFAVVSGAVLSSLLLSKLVTVVGHCTLASLLWRRAKSLDLDDDSAVESLYMFLWKLFTAEYALIQFIR >KZM85927 pep chromosome:ASM162521v1:8:29981804:29985804:-1 gene:DCAR_026651 transcript:KZM85927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDALVSFAIENLGDFLVHQVNLRIEVRDDIRWLKDELGLLQASVRRAESRQEEDEIRLWISTVREVADEAVDILKRFQDGQASLEQGQGHMDRFLNCICICKKEAQLYDIGNNIESLKKKIGVIKERRLEYGIDNILAGPDVKQKERTLIRASAIDNKVDVVGFEEDIDKLMTELNNEDPPLKIISIHGMGGSGKTSLALKLYNSNKLRHFGTRAKVCVSNEYTIKDVLKRIIKSFKGPQHEQYISNMDERDLLQYLPQLLQNDGCYLVLIDDIWDIKAWNQIKIAFPNLDNGSRIMITTRNKKVAETVDKNALAYQLRFLREEESWELFCKTAEPTQNLENLGREMVGRCGGLPLAIVILGGLLFHDKSYDYWSKVTQHIWRNLRDDSVDIVEILSLSYKDLSPQMKDCFLYLARFPEDNIIRVERLKHLWIAEEFISEDEEDGVLMEDLAEDCLNELINRNLIQIECLQLNGKVGTCRVHDLVRELAIKKAKEQKLLVIFDSSKDQPNLIHLLEGQRRHAIYNGFGHMDRFLNCICICKKEAQLYDIGNNIESLKKKIGVIKERRLEYGIDNILAGPDVKQKERTLIRASAIDNKVDVVGFEEDIDKLMTELNNEDPPLKIISIHGMGGSGKTSLALKLYNSNKLRHFGTRAKVCVSNEYTIKDVLKRIIKSFKGPQHEQYISNMDERDLLQYLPQLLQNDGCYLVLIDDIWDIKAWNQIKIAFPNLDNGSRIMITTRNKKVAETVDKNALAYQLRFLREEESWELFCKTAEPTQNLENLGREMVGRCGGLPLAIVILGGLLFHDKSYDYWSKVTQHIWRNLRDDSVDIVEILSLSYKDLSPQMKDCFLYLARFPEDNIIRVERLKHLWIAEEFISEDEEDGVLMEDLAEDCLNELINRNLIQIECLQLNGKVGTCRVHDLVRELAIKKAKEQKLLVIFDSSKDQPNLIHLLEGQRRHAIYNGFGEYFKLLEQRTFDALYLHSLLLEGYNVKAELKQMKLTYTRFKNLKVLDMSSVESERIPEEIGDLVLLKFLGLNGCYNKTAVMPASIGKLKKLQSLWGVLGRSYTVPREIWELPELRHMYNIFIKISGRLNIGSHQTKLHSLYGIELEEWVKIDTANFTNLRTLSIQNNLVVKKDKGGGGGYSLESIANLTNLQTFRLWLSTDAVIPTMKSLSSMNRLKSIELWGVIEDPSELRFLPDSVTDLTLYGSGLTEDPMPSLGNLPNLTALQLDIGVYEGNKMVCSKNAFPSLQILRLENLPNLKELQVEEGALPCLKSFQAVECEELKKIPVQLERFLTWAKARI >KZM86033 pep chromosome:ASM162521v1:8:30928488:30929942:-1 gene:DCAR_026545 transcript:KZM86033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFLLVLFICSFVVAVSSRGPVYKPPPVERLTDRFAHKSVDAAFSNLYGDKNIHQLNNGSSVNIILDKSSGSGLISKNRYYYGFFSAAIKLPAGFTSGVVLAFYLSNSDIYPHNHDEIDFELLGHEKRRDWVLQTNIYGNGSVKTGREEKFYLWFDPTAESHQYSILWNNHHTVFLVDNVPVREVVHNAAIASAYPSKPMSVYATIWDASEWATHGGKYAVNYKYAPFVASLGQIEMGGCIQQKTDSTQSCSTGPSTLDPVEGQEFAKLSKQQTTGLDWARRKHMFYSYCKDTSRYKVLPAECNKA >KZM83759 pep chromosome:ASM162521v1:8:1188487:1198839:1 gene:DCAR_028819 transcript:KZM83759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISEIREDGEEENPPPFVESEISNRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPEEFHGAQLIGSHSFPCPWYQKVPLSLALSPRIISKVSEFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWWVIKFLHRAADLTLVPSAAIAKDLLTARVAASNQIRLWNKGVDSESFNPKYRSHEMRLRLSNGEPDRPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYRAELEEIFSGMPAVFTGMLQGEELSQAYASGDVFIMPSESETLGLVVLEAMSSGLPVVAARAGGIPDIISDDQQGKTGYLYNPGDLEDCLSKLEPLLHDSVLRESIGKSAREEMEKYDWKAATAKIRNQQYNDAIWFWRRKRAQLLSPLQWFTNMFQSPEAKYSQFLGYLTSLRGSFPGKEPLPACECILILLAALYNHGFTIASSGGPQLPGSLNSIQITVSFPCAVGDFICVHPIDLRCEFGFSSNLCPGPHSDQSLSERPPPSISDPRAGVSLFHSFNMADSEGATPNGVLDVSSREMQPPGHSSNQLNAADGGEASNAGSGKTFTMQPLPLKAFEDILRLMHKNDQNQAYQLKLCIREDGKQQVPIVGFQEFGVLHVNTVKDLIERGNSTRSTGTTGANKEFSQSHDEYANYCHLIVQGYKDQVIIGVGFYDHAILQLAIKKLLRKWNQSLLVLLASMYTDVPLLMIARCQGSRRKNLKKSESTLFLGFGLVEHLYAAQVLVKVDISSERMEGADINKSLCALNECIRPLDSDQGHIPFRGSKLAEVLRDSFFSDSHTVMISCISPNSGSCERTLNTLRYADRVKSLRMGTSFRKDTLSSSLNIKSSTALPLSSLSTTAPAYSDKPIDVRSNRFRCSKQTEMEPSESFTHERAPNGRVQSSSTSQAFPDKYKGRPESPDHTVDDYFDHYEETYEQNEQFQTRNASETMPGNRQYLRLRTDIQTKKEENDPVNAHRKLIEENMDIADIASLFALAELE >KZM84284 pep chromosome:ASM162521v1:8:11564740:11564985:-1 gene:DCAR_028422 transcript:KZM84284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEYVRDQHYEVNPSMPTMEAGDQQSEVYMQLLQLKTTVRPFRDWRQQFAPQNQEAGFVPWAPQPQQNTYDCLRAVSCAP >KZM85503 pep chromosome:ASM162521v1:8:26338022:26345315:1 gene:DCAR_027075 transcript:KZM85503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPQAPKQMYNEFTLASITHLSSSSSPPTLSPVARFCSDSGFSELRFEQGHEPVNFNLQKTQLFKLGPTESLCILEGSETVKETIYSRGITIQFRNKEESTSFHYAFDQCKKEVNAQGSNLPNGTALESKSKFDDKIEASSAKMYFHYYGQLLHQQNMMQDYVRTGSYYAAVIENRADFAGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPLLSQKITVIKGKVEDVELPEKADILISEPMGTLLINERMLESYVIARDRFLVPNGKMFPTLGRIHMAPFSDEYLYIEIANKPVVDAFDPRLLVAPAISHVINFTTVKEEDLYEINVPLKYTSPVGTRIHGLACWFDVLFDGSTVQRWLTTAPGAPTTHWYQLRCVLSQPLYVMPGQEIVGHLHMIAHSAQSYTINLTMSVKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYSLTQDQQPQQLLQTQDIHIQPDDEVSELMQQAMKDPVSVLH >KZM85877 pep chromosome:ASM162521v1:8:29523020:29523275:1 gene:DCAR_026701 transcript:KZM85877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISGGGKLISADSQTIIHEDSVSPSSASATTSTCPPHDYEGLDTSLKLGLNY >KZM84959 pep chromosome:ASM162521v1:8:21137216:21137899:-1 gene:DCAR_027619 transcript:KZM84959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEKKKAKKQKYQHPNQDHTTKIATNASDFSFKPSSDVKGLRFGGQFIVKSFTIRQARPLQLLHLLSLDEKSPAGNSRTSTSFRSTSAFLPTNFTILAHHAWHTLTLGLGTKKSKVLIFVFESENLKNAVDRIWPQEIPLGEVNRKIIRGLTGCEMARFKFRKGCLTFYVYAVRRAGNMAFSCADELRFVLEHVVALNDFLDHTFMLAMPNQRSINYAAPVAMAH >KZM84733 pep chromosome:ASM162521v1:8:18483422:18485245:-1 gene:DCAR_027845 transcript:KZM84733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYINSQKYVLGRPLVHPKSFYFVLIFFMLFATVLGVFKDIPDVAGDQAFGIQTYSVRHGKKKVFFICILVLLINYGFAVVTGAVLSSLLLSKLVTVVGHCSLASLLWRRAKSVNLDDDSAVESLYMFLWKLFTAEYVLIQFIR >KZM84947 pep chromosome:ASM162521v1:8:21078673:21080494:1 gene:DCAR_027631 transcript:KZM84947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRYTDVDYFVFSDAAALVVSGVSPYKRSTYRYSPLIAYLLTPNSIVHRSWGKVLFSISDLLVGYFIRSILKLQGVSDEICIYSVMVWLFNPFTFTIGTRGNCEPIICAMVLWIILCLLKGKLLQAAFWYGLVVHLRIYPIIYALPIVLALEPCNFQSGKRLILRKWSSRDIKAAQRSSTKNVSDLVQKLGVIARLLTKERIQFGLLSGTVFFFFTGIFFYLYELEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYEREFSLPEKLVSFLPQVIVQLVLISRFRKDLPFCFFLQTVAFVAFNKSFVSSSYLKES >KZM84380 pep chromosome:ASM162521v1:8:13485442:13487583:-1 gene:DCAR_028198 transcript:KZM84380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFHRPFKKTVVPAAFAHLSSKGNRGKGDGKGKVVEENVKRKVDTQEDGTENGHDMEDDEGNENGQNMDDEAGNMDDDGGSMDGHYSDEDGSFNNTQLSP >KZM84111 pep chromosome:ASM162521v1:8:8029808:8032733:-1 gene:DCAR_028467 transcript:KZM84111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQMTTTSFTGRSLLFPSTVEGTFKPSSVSHFGQFGGGLSLRSFRGLSVKAATVVAPKYTSLKPLGDRVLIKIKTVEEKTTGGILLPSSAQSKPQGGQVVAIGEGRTVGPNKVAVSVKTGTQVVYSKYAGTEVEFNGSNHLILKEDDIVGILDTDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEASKDKPSIGTVIAVGPGSLDEEGKRKALALSAGNTVMYSKYAGNDFKGPDGSDYIALRESDIMAVLS >KZM85773 pep chromosome:ASM162521v1:8:28547356:28550168:1 gene:DCAR_026805 transcript:KZM85773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGSYEDDEEMVSYGYGKRLKCGGDVGEDEEEGEFGRVEDEKENEFAVRLGDFFNPMIPSSIVVSDALDPDSPIIYVNSVFESVTGYRADEVLGRNCRFLQYRDPRAQRRHPLVDPVIVSEIRRCLEEGIDFQGELINFKKDGTPLVNKLKLVPIHGDDGVVTHVIGIQVFTTANIDLNSVSYPVFKETCPQQSDESSKYPSMSGQLHYRHYQDTCGILQLPDEVLAQSILSRLTPRDVASIGSACTRIRQLTKNEHVRKMVCQNAWGREVTGALELMTNKLGWGRLARELTTLEAVCWKKFTVGGAVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLDAAKPVWRRVIVKSSPPGRWGHTLTSLNNSWLVVFGGCGREGLLNDVFVLDLDAKQPTWKEVSGGTPPLPRSWHSSCTMEGSKLVVSGGCTEAGVLLSDTFLLDLTLEKPKWKEIPTSWAPPSRLGHSLSVYGRTKILMFGGLANSGHLRLRSGDAYSIDLEDEKPEWRQLECGAFTGVGSQSAVVPPPRLDHVAVTMPCGRIIIFGGSMAGLHSPAQLFLLDPAEEKPSWRILNVPGNPPKFAWGHSTCVVGGTRVMVLGGHTGAEWVLNELHELCLSSILD >KZM84623 pep chromosome:ASM162521v1:8:17111373:17115528:1 gene:DCAR_027955 transcript:KZM84623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNETVTEDDRVLLLEGGLTKSTSAKQMTSSTKTILIRFLTMDDSFLLEHRASLRAMSEFGGILFYFYICDRTDIFADSTKSYSRDLFLFLFILLIIVSAMTSLKKHQDKSSFSGKALLYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRMFIAAYVWMTGFGNFSYYYIRKDFSVARFAQMMWRLNFFVAFACIVLNNDYMLYYICPMHTLFTLMVYGALGIGNKYNEIRSVMILKICTCFLLVILIWEVPGVFDLLWFPLTFLLEYNDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYCHPNVEKWMEKLEESEIKRRRTIKTCIVTVALSVGYLWYEYIYKLDKVTYNKFHPYTSWIPISVYICLRNCTQEIRNFSLTLFAWLGKITLETYISQFHIWLRSNMPNGQPKWLLCLIPDYPMLNFMLTTAIYILISCRLFELTNTLKSLFIPTRDNRQLMYNFFAGAAISACLYFIAFILVQIIT >KZM84939 pep chromosome:ASM162521v1:8:21015916:21016428:1 gene:DCAR_027639 transcript:KZM84939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDNEGSSSSIVPKLVILLVAIACAGFIIALYHCIRVGWCTQYQQRPPLQPRNRPFPFRREEQQSSVENSIAELIPVRKFSKTNLDLEGGDNHTCSICLCEFEEGEELRTLPECVHSFHVSCIDMWFYSHTSCPLCRTDATPSPRGLIHYLELNSERPAPRQGLESSEV >KZM83884 pep chromosome:ASM162521v1:8:2601211:2602305:-1 gene:DCAR_028694 transcript:KZM83884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNLLWQRYGQGPNDELPLEVNEDYTRTVGYLKFSDFTNSTADGKFTDNYLNNVWYQPEEIFSKNGQPEERQHAYWVSVDPYYFSLAKNLEAMKLNGCVNATTCLPRPPKVTRVQRGASANVFVDNAAYREFLYTKFNTTAVDMESAAVALICLQQNTPFITFRALSDLAGGGSAISNEADLFSPLAAQNSVDVLVQFITLVN >KZM85639 pep chromosome:ASM162521v1:8:27465296:27466831:1 gene:DCAR_026939 transcript:KZM85639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSQLVSLLPLKEALKTSTLSKTWKMIWTTHTDIVCDISSVLGVLRDESGNVSLNVREEHRNQFIERVGHLMQQRLMGPNMRSIVISFPLSRKDGPHVARWVGDAVMKGVQTIILNLKGGSGIVSFPFSILRAPGQASKVRQLGLNSCSLKSLSVRNRTLDSLVNIHLQSVNLTDKQMDVILSKCFFLESLVLRKCCKLARFKLTSRNPRLKFLEIKSCLKLKNIQLYAESLEILEFDGLLDHFSCDHVPNLVQLFACVSGEKFVQFSTYALSRIAVDAPLLQTLNLQIHRVLPLPKGVFTFSNIKYLVLNLNPCDGEDELDWIRYILKAFPFLSRLQLDFSLVVPRNAGSTNQSHRGLAEVSHENLRELEVTGYYGGPGQVEAVKHLVDRAFKLDLLVISSPAKLYIGLREFIRVDLRNDNKSSSEKIEELRPVLPNSLRVDYCNL >KZM86003 pep chromosome:ASM162521v1:8:30626902:30633116:1 gene:DCAR_026575 transcript:KZM86003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSYGDLDRQIEQLMECKPLSEGDVKILCDKARAILVEEWNVQPVKCPVTVCGDIHGQFYDLLELFKIGGSSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGFNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >KZM86010 pep chromosome:ASM162521v1:8:30695299:30695736:1 gene:DCAR_026568 transcript:KZM86010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVLNDQNYQKSKIIVCIDSKVNTCQETLQPSYGEGVRDWSLGTFGKNLSVVCKFDTRAELWVMEECGAEKFWAKLCSIPCMDVLTRGKDLKPVWIFVNGDVLVKFDSKLLVYDSRDQKYKDLLQNDYGEAVDVYIYVESLVSP >KZM83957 pep chromosome:ASM162521v1:8:3956013:3956330:-1 gene:DCAR_028621 transcript:KZM83957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPSITTTSISISTSTSIISLTTQPPHLHLHQAHLNRRFNLLQIRSYFFLHLDSTSKAEPPLFRQRSNPLLQAAQTSATIIFPPSPPSPPPSPPLSPPSESKT >KZM85377 pep chromosome:ASM162521v1:8:25251899:25254710:-1 gene:DCAR_027201 transcript:KZM85377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYYKTLSLKRINDLDRAIGGINSGAPDVTHIESSDSEHSFRDSNDESGEIDEESIDDIVDVGESIYPKVLAPK >KZM84937 pep chromosome:ASM162521v1:8:20998708:21000228:-1 gene:DCAR_027641 transcript:KZM84937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVITTLSMDSHLCTLLSMDSSLSSHEEIERELNRAINVSSPPDINLPLSAEPSPPPPSWNDACDILDVSHAPQHYEAETHINLTKVGKKCAKRLDSVWGAWFFFNFYFKPALNEKSKSKIAVRDTNGVSGYDKTDLKLDVFLVQHDMENMYMWVFKDRPDNALGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEVVPSPNFAGLDEGECKKWMELTGRDLNFSIPLEASDFSSWRTLPVTELEIERLPPLKNNVNQQPKRLLNGCGLKLSTQQPNHVNGEGMDLLANCNKRPKNYFAPENDYDCTLANNSSHSDGVVDAHSIEPHWVNEFTGVMRSTYGPVTAAKTIYEDDKGFLIIVSLPCADLQRVKVTWRNTPSHGIVKISCVSTGCMPIIKRQDRTFKLTDPTPEHCPPGEFVREITLPARIPEDAKLEAYGDETGTMLEIEVPKHRVGPEEHEVHVCLRPSPWREQRAYVDLTEALV >KZM85705 pep chromosome:ASM162521v1:8:28012769:28020396:-1 gene:DCAR_026873 transcript:KZM85705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSSPPVAKKVPHQMEMFGDVRVDNYYWLRDDSRSDPDVLAYLDQENAYTESIMSGTKHLEKQIYAEIRGRIKEDDISAPIRRGPYYYYKKTMEGKEYVQHCRRSAPKESVSVHDVMPTGPDAPPEHIILDENLKAKEHTFYKIGELRVSPNHKLVAYSEDTKGDEIFTVHVIDAETGEPVGKPIVGVTYGLEWAGDEALMYITMDSTLRPDKDVHLFADHLVLYEREKALQRIIVYQLPAIGEPLEGLKGGRAVNFVDPVYSVDPAESQFSSSVLRYVYSSLRTPLSVFDYDMNTGTSVLKKTETVLGGFDSSNYVTERKWATAEDGTQIPISLVYYKNLVKLDGSEPLYLYGYGSYEVSIDPSFNGSRLSLLDRGFVFAIAHIRGGGEMGRQWYENGKLLKKKNTFTDFISCAEYLIENKYGSKEKLCIDGRSAGGLLIGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYYYMKSYSPVDNVKAQNYPEILITAGLNDPRVMYSEPAKFVAKLRDLKTSDNLLLFKCELGAGHFSKSGRFERLELDSFMYTFILKTLNMVPDVGAEKNSCL >KZM86052 pep chromosome:ASM162521v1:8:31064233:31069071:-1 gene:DCAR_026526 transcript:KZM86052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKPIARCGLASKGKKIQLLTNHYKVNVKNVDGHFFQYTVAFFYEDGRPVEGKGIKTQVLDCVHDTYKAEVEGKDFAYDGEKSLFTVGALPKNKLEFTVVLKDNSSNRNNQSKSYKVEISFAAKIPMQAIAQALRGQDSKNSQEAIRVLNIIVRQHAAKQGCRFERQLCFNVNEFSDLGGGVLGYHGFNSSFRTTQGGLSLNIDSIMVIKPGPVVDFLIANQNAKDSFSLDWATANQVLKGLRVKTSSTNTAYKITGLSDEICKKLMFSMKRRGEKDENGEPLMTELSVYDYFVNIRNIPLCYSGDLPCIIVGNPKRPTYIPLELCSLVSLQHYPKALTTLQPGSLVEKSKQKPQDRMTTLTNGPQINNYADEPLLRACGVSIINKFTEVDGRMLAAPRIRVGHLETIAPHNGRWNFSEKKLLQPTTISEWAVVNFSAKCNMQSLINDLIKCGELKGMNFLSSPVVIEESPQHRRDSPLVRVEKMFKFLFSKLPYAPQFLLCVLPERKSPLYGHWKRNCQVVHGIYTQCIGPKIYTRKGLSYVVDQRLMSVLLKINAKLGGLNSKFAIGYARSISQKLKAGSLIIGMTLCRGSSDVPSIAAVVSSGEGPLSAKYRASVHTQSPKVKMIASLFTRVADNKDDGIMSEILDDYYMRSGSRRPEQIIIFRNGVSESQFNQVLNFELNQIIEACKFKDGKWCPKFVVIIAQKSRHTKFFQPTSADNVPAGTVVDNKICHPRNNDFYLCAQAGMVGTTRPTHYHVLLDEIGFSPDEMQKFVHFLCYQYQGSTTATSVVAPIHYARLAATQTRKFTMYDDTSETCSNHGGGTVHEKLRNSMFFV >KZM85677 pep chromosome:ASM162521v1:8:27776401:27801859:1 gene:DCAR_026901 transcript:KZM85677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLFPEPSEPLLLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATKVCLCLDRRVHGVESLLSKKLSEWQGPALLAYNNAVFTEDDFVSISRIGGSGKLGQAWKTGRFGVGFNSVYHLTDLPSFVSGKYMVMFDPQGDYLPNVSTANPGKRIEFVSTSAISLYKDQFSPYCAFGCDMKNSFPGTLFRFPLRNEEQAANSKLSKQAYMEDDISSLFEQLYEEGVFTLLFLKNVLDVEIHVWDDGVATPRKIYSCSVKSANADTVRHRQALLRLSKSANPSVGEIDAFSVDFLREAIHGNQSLKRVDTFYIVQKMAAASSRIGSFAATALKDYDIHLLPWASVAACISNKLSDEDAVNTGRAFCFLPLPVKTGLTVQVNGYFEVSSNRRGIWYGADMDRSGRIRSLWNRLLLEDVVAPTFAQVLLGVQAVLGPTNLYYSLWPIGAFEEPWNILVEHIYRAISNVPVMYSELDGGRWVCPIDAFIHDEKFSKSKELGEALLQLGLPIVHLPSDLYNMLLKCKLNSELKVVTPESVRQLVREHHTVNTLSRSYKLILLEYCLEDLIDIDVGQNATNLPLLPLASGNFGSFSEVLKGIPYFVCCDDLEYTLLQKMKDVVIDRQIPHNLYSRLAAIAEASTTNLLVFNINYLLQLFPKFVPSDWKFRTKVLWNPKTESDHPTSTWFNLFWQYLRRQSEKLSMFGDWPILPSLSGHLYRLCTQSKLLNIEKLSENMQRILVKVGCKILDNSYGVEHPDLVHYICDADGAGVLKSISDVVSLNNGDVRAVLHHLGARERIELRHFLLDSTWYIGNHMADSDIILCKKLPIYKVYGGEPGDIVNYSDLDSPRKYLPPLDCSECLLSSEFISNLLDMEEEVLIRYYGVERMKKAFFYKHYVFNRIKELQPEVRNSTMLSLLTELPQLCAEDSLFRESLSNLEFVQTSNGSLKCPAVLYDPRNEELYDLLEDSGSFPCGVFEDSSILDILQGLGLRTIVSPDTIIQSARQVERIMHDDQLRAQARGKALLSYLEVNASKWLPDQPKADQGVVNRMFSRAANSLRSRHLKSDLEKFWDDLCMICWCPVLLSSPYEALPWPVVSSMVAPPKLVRLYKDLWLVSGTMRILDGECSSTTLSYQLGWSSPPGGSAIAAQLLELGKNNEIVTDSVLRCELALAMPRIYSILMSMIGTDEMDIVKAVLEGCRWVWVGDGFATLDEVVLSGPIHLAPYIRVIPVDLEAFRDLFLELGIREFLKPNDYGNILCRMATRKGSVPLNPQEIRAALLVAQHLAEVQYFEEQIKIFLPDLSCRLVDATDLVYNDAPWLLGSEDNLFGNSSTVALNAKRTVQKFVHGNISNDVAEKLGVRSLRRMLLAESADSMNVSLSGSAEAFGQHEALTTRLKHILEMYADGPGVLFELVQNAEDARASEVTFLLDKTQYGTSSVLSPEMADWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKPLAIGRFGLGFNCVYHFTDIPMFVSGENIVMFDPHACNLPGISPSHPGLRIKYVGRRILEQFPDQFSPFLHFGCDLNGAFPGTLFRFPLRSANVSSRSQIKKEGYTPEDVMSLFSSFSDVVSETLLYLRNVQTISIFVKEGADVDMQLIHRVHKRYISEPNAETSTFHNVFNTMHGSQVGELDKNQFLKKLSKSTDKELPWKCQKVLVTEQRSSGDKSHLWLTSECLGNGRIKNKPINFDDKAHKFVPWACVASLLHSVALDRDSSSVSDPESAVPRDILQLPVSSIQGRDNFEGRAFCFLPLPISTGLPVHVNAYFELSSNRRDIWFGNDMAGGGKKRSDWNIHLLEDVAAPAYGHLLEKVSLEIGPCDLFFSFWPTAQRQKPWDSMVQNLYKFISEFGLRVLYTKARGGQWISTKQGIFPDFTFGKANELIEALSGAGLPVITAPKSIVDKFLEICPSLHYLTPELLRTLLIRRKRGFKDKNAMVLTLEYCLLDLKFPIWPDNLCGLPLVPLANGLFTTFEKRGASERIYVSRGEEYGLLKDSVPQQLVDNGVPDSVYRKLCEIAQSEELNVSYLSSELLEKLFLRILPAEWLHAKQVIWLPGHHGQPTLDWMRLLWEYLKSSCADLAMFSNWPILPVGSNCLLQLVESSYVIVDDGWSENMSSLFQKVGCLLLSRNLQVEHPQLNIYVQPPTASGLLKAFMAVAGIPENITGLFSNVSEGELHELRSFILQSKWFTEDSLDNTHIEIIKQIPMFGSFKSRKLVSLSEPTKWLKPDGVREDLLNDDFVRIESEKEKFILRKYLQIKEPSRVEFYKSYVLNRMPEFILQEGILSTILHDIRLLIEDDNSIKVALSSTPFVLARNGMWQEPSRLYDPRMPELQHVLHREAYFPSDTFSSPEILETLIILGLRQTLRLSGLLDCARSVSMLHDSKASDAVTFGRRLLGCLDKLIVKLCPVDREGTSYESIETTEFKDNFISYTEAKDAFLDNFENSSEDDFNLGSFIGNVIDDKPGDEFWSELKSINWCPVYADPPLRGLPWLASGQEISAPLNVRPKSQMWIVSSKMHILDGECYSAHLQSKLGWMDRLSVDILTTQLIELSRSYTQLKLHSEVEPEFDASLQKNTLSLYAKLQEYVNTDDYMVLNSVLDGVDWIWIGDDFISPKALAFDSPVKYSPYLYVVPSELYEFRTLLSALGVRPSFDVFDYFHVLQRLQQDVKGLPLSADQLNFVHCVLEAIADSYTDRLISDSSNNALLVPDSSGVLFSARDLVFNDAPWMENTLSAKRFVHPSISHELASTLGIQSVRSISLVSEEMTKDMPCMDFSKIHELLGLYRSSDFLLFDLLELADCCKAKKLHIFIDKREHPCQSLLQHNLGEFQGPALVAVLEGASLSREEIASLQFRPPWNLRGDTLNYGLGLLSCYSISHVPSVVSNGYFYMFDPRGLALTVPLGRGPAAKMFSLMGTNLVERFRDQFDPMLIGSNMPWASSESTIIRMPLSSEWMKDGHESGLKGLAMMYDKFMEHASRTLLFLKSVTQVSLSTWEQGNPGPQQDYSIHVDLSYAGARNPFSEKKWKKFQLSSIFGTSNAAIKWHIIDVNLYRGETRIADRWLIVLTLGSGQTRNMALDRRYLAYNLTPVAGVAAHISRNGRPSEASVSSSIMSPLPLTDGISIPVTVLGCFLVRHNQGRYLFKYQDSKALAEAEPDAGNQMIEAWNRELMSCVRDSYIKLVVEMHKLRKEPLTLSLEASLSRRVSAALNAYGDQIYTFWPRSHGHPMLHQSDSSNNLIPAKDLRADWVCLVEQVIRPFYSRLVDLPVWKLYSGNLVKAEEGMFLSQPGNGVGGSLLPATVCAFVKEHYPVFQVPWELVTEIQAVGVKVQEIKPKMVRDLLRVSSTSIVLQSVDTYVDVLEYCLSDIQLPKFPESREPVLFTDNMVNRESDEEGSNFASVSVPPFQRLNGQATHTPSTSGGDAIELVTTLGKALFDFGRGVVEDIGRAGGPLSQRSNIGGSVNVIGQQWERSFLPLAAEMKGLPCPTATNHLTKLGYTEVWVGSAEQQELMTSLAAKFIHPKLLERPILAEIFSNSVLQTLLKLQSFSLHLLANHMRSVFNENWVNHVAVSSMAPWFSWENKSTLSSEGGPSPEWIRLFWRCFNGSMEDLSLFSDWPLIPAFLGRPVLCRVRERNLVFIPAPISETNSGNSVSNMDTDAVQSDLGLVASESGLVESYIMAYEFCKNKYPWLFSLLNKCSIPVVDASFMDCVASCKCIPTSAQSLGQLIASKLVAAKQAGYLPELTSFSDSECDELFSLLVSDFSPNSSEYQREELDVLRDLPIYKTAAGTYTRLAGQDICMISSNTFLKPSNERCLSYTIESVASSLLRALGVPEMQDQQILVRFGLPGFEEKPQAEQEDILIYLYTKWHDLQHDSSIIEALKETDFVRSADELSAKFCKPKDLFDPADTLLASIFSGDRKKFPGERFVADGWINILRKTGLRNASEADVVLECAKKVESIGSETAESIEFLDDFETDVVSSKKEVSIETWSLAETLVKAIFANFAVLYSNNFCSCLGKIACIPAEKGFPNIGGKNGRKRVLTSYSEAILLKDWPLAWSSAPILSKQSVVPPEYSWGALQLRSPPSFSTVLNHLKNIGRNSGEDTLAHWPKPSNERCLSYTIESVASSLLRALGVPEMQDQQILVRFGLPGFEEKPQAEQEDILIYLYTKWHDLQHDSSIIEALKETDFVRSADELSAKFCKPKDLFDPADTLLASIFSGDRKKFPGERFVADGWINILRKTGLRNASEADVVLECAKKVESIGSETAESIEFLDDFETDVVSSKKEVSIETWSLAETLVKAIFANFAVLYSNNFCSCLGKIACIPAEKGFPNIGGKNGRKRVLTSYSEAILLKDWPLAWSSAPILSKQSVVPPEYSWGALQLRSPPSFSTVLNHLKNIGRNSGEDTLAHWPTASSSMTVDKASFEVLKYLEKIWDSLSPSDIMELRNVAFMPAANGTRLVAASSLFARLTINLSPFAFELPANYLPFLKFLKILGLQDILSVSCAKDLLLNLQKSCGYQRLNPNELRAVMEVLHFVSDKSTEAHTSQISNWGSEAIVPDDGCRLVHASSCLYIDSFGSRYIKYIDTSRLKFVHQDIPERICTFLGIKKLSDVVVEELDHREDLQTLESVGSVTLTSIKQKLISKSFQAAVGVVVNSLASDLSSFDNPTPENIQLVLESVSEQLQFVRRLYTRFLFLPQSRDITRVNNGSIIPGWEHESKHRALYFVDKLRTRMLIAQPPTYMSVPDLVAVVVSHVLGSPFPLPIASLFLSPKDSENAIVSILKLPSNERVIEHTSGRSGLLGSDILSQDAVQVQFHPMRPFYTGEIVAWRSQNGEKLKYGRVPEDVRPSAGQALYRLNVETSLGVTEPLLSSHVFSFKSMTAGREASSANMLQSDNNLVENRMEIRQQESSERAETRTSQGEAVKDLQHGQVSAAELVQAVHEMLSAAGISMDVEKQTLLQTTLTLQEQLKESQAALLLEQERSDMAAKEADSAKAAWQCRVCLTNEVDITIIPCGHVLCRRCSSAVTKCPFCRLPVSKTVKIYRP >KZM84762 pep chromosome:ASM162521v1:8:18922291:18926551:-1 gene:DCAR_027816 transcript:KZM84762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWAVQCHYLAFFRYCCETYAFCMYTWPLVQALLQFYVCVNCYSGQAAAYKMFETINRKPNIDAYDTSGVILNDIKGAIELKDVYFSFKWIRGNMGLVSQEPVLFATTVKSNIAYGKENGTEEEIRMAIELANAATFIDKLPEGVDTIVGEHGTQMSGGQKRRIAIARAILKNPKIILLDEATSALDAESESVVQNALGKVMTNRTTVVAHRLTTIRNADIITVVHAGKLVKKGTHDELIKDLEGAYTQLIRMQGFNHIEESLLGDSENSTTRRENNETDKQKKEDFIWWRLAYLNKLELSVLILGSVAACINDVIFPLFGLLISKAINIFFEPHAKIRRDSRFWALMYVGLGCVTIVVIPVQNYFFGVSGGKLIQRIQSLSFQKIVHQEISWFDDPANSSLVGDALALIFQNMATIVAGVVIAFTANWMLALVIVAVFPLMLVQGLLQTKFNKGFSADAKVMYEQASQVANNAVGSIRTVASFCAKDKVMAMTQKKCDSPMKSGIRLGIVSGFSFGMGSSVIFLVNSFIFYIGSVLEKHGKATLLKFSDQTIGMAPDLDKVKNSDASIFKILDSKPKIDSSNDEGLMIDTVKGDVELRNTVALVGESGSGKSTVISLIERFYDPDSGHIYLDGVELQKLKQNWLRQQIGLVSQEPVLFNVTIRENIAYGKNGEATEEEIIAATRSSNTHDFISASPQGYDTNVGERGVQLYGGQKQRIAIARAIIKDPRILFLDEATSVKRA >KZM85802 pep chromosome:ASM162521v1:8:28804120:28804542:-1 gene:DCAR_026776 transcript:KZM85802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNLNQLTLLIASTTLLSCLHTSCSQTECPYPCYPSPPAGPGNYPPPATLPPPSPTGGSFYPPPTGLLPNYPSPPYRMSGVTPPAPDALVPWFPYYYKKPPHGTDQAYSSSVRNRTGGIGSINLLVLLLFVSTFLRTLL >KZM85618 pep chromosome:ASM162521v1:8:27233026:27236601:-1 gene:DCAR_026960 transcript:KZM85618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCYNSQIARMAKDRDAPSGSNASKASLLQMNFPLKEVEFAIDKLGESAPIDELVDFILASQLAGETEEVVSANHSDEEKKEKSMDKRQQLLEMGFTEQEVSAAIEINGPDKSLAELTDSIVSGQATSSNKNFSRSSGQLWSNPVHKPGFIGDPEATSSSMNPHLTAEKRKRVKEEYINEPACLKKPKEVYEDNTSSTYAKRMEASQTFSKVASSAPALQRKLPYKAQKPRPPLPKPKSCRTVDLMVAKPPYFFYAHVVNLSDDSWNRASQFLYAIEPEFADTQFYSALSRKEGYVHNLPTDNRFEIVPKSPMSIQEGIPETRKWWPLWDTRKHITFVNAETTGISQQCNTIEQMLIDSRGSLTVELQQDLLYQIQKSGLVWGGPNKLKPMEPEHIERILGYPVNHTLAGGISLADRLQSLKYCFQTDTLGYHISGLKSRFPQGLTVLSIYSGIGGSEISLHRLGIHMRAVVSIEHCERNRRILKRWWNSSGQRGELVQIDNIRKLSSDKIEMLMKNFGGFDFIICQNPCNLSTKSPGDSESPNLSRDAGSLEGFDFTYFCEFVRVLQRVMDISRK >KZM84303 pep chromosome:ASM162521v1:8:11833954:11847919:-1 gene:DCAR_028403 transcript:KZM84303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSMLSISSPCCSYSSHSPLFGGVKQHTTSVVSNSNNLRRPNNKKATFPPPIPKPNPSKNPLKLLINPPPPPSQPQSPHNSHLWLTTKLSPQPLPKLDPHVNDNDDDDVDNCDNHAAQTVQDEFKQQGKIFVGNLPAWIKKNEFADFFRQFGPIQNVILIKAYNHTDRNLGFGFVIYGGPNAATSAVKAVEFDGVDFHGRILTVKLDDGRKSKARAQERDRWVAGDDKLEFRSKWHQDRETSRVDFKRVIETQPDNWQAVVKAFERIDKPSRKEFGLMVKYYARRGDIHRARESFESMRARGIEPNLYVYTNLIHAYAVGRDMDEALSCVRKMKDEGIAMSLVTYSILVGGFARVADTDAADEWFKEAKETQKHLNAVIYGNIIYAHCQRCNMERAESLVREMEEEGIEVPIDIYHTMMDGYTIIGNEEKCLIVFGRLKECGFTPSVISYGCLINLYTKIGKLSKAFEVSEMMKSTGVKHNIKTYSMLINGFIKVKDWANVFAVFEDVLGDGLKPDVVLYNNIIRAFCGMGKMDRAIRTVEQMQKERHRPTSRTFMPIIHGFARAGEMRRAQEIFDMMRSNGCVPTVHTYNALVLGLVEKRRMDRAVEIVDEMVLAGISPNEHTYTTIMHGYASVGDTGRAFEYFTKLKDEGLQLDVYTYEALLKACCKSGRMQSALAVTKEMAARKIPRNTFVYNILIDGWARRGDVWEASDLIQQMKEEGVQPDIHTYTSFINACCKAGDMLRATKIMQEMEVVGVKPNVRTYTTLIHGWARASLPEKALKCFEEMKLAGLKPDKAVYHCLMTSLLSRATVAEEYMYTGIVTICSEMVKFGLTVDMGTAVHWSKCLRKIESTGGEITETLQKTFPPDWNSQSSFNAISDIEDSTEGNYGDGHDYGRDDTDLYYDSDIDADGHLPGLL >KZM85895 pep chromosome:ASM162521v1:8:29737387:29738680:-1 gene:DCAR_026683 transcript:KZM85895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVQPYEHLCYVRCTYCNIVLEIRIPCKRMLETVTVKCGQCCNLSYLTAVPPPPVQSPSLDSSATLQSSLCSDSKRLQKKKKNKQLHCSSPKHESSSSSSNAPFVVKPPERKHRPPSAYNRFMREEIQRIKAANPNIPHRDAFSAASKNWARCSSITNMPLFTTISESSNNVCTKE >KZM84056 pep chromosome:ASM162521v1:8:6607635:6612147:-1 gene:DCAR_028522 transcript:KZM84056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGEDASIKMSVNDVFKDFRGRRKGLIKAMTTGKENLSLYGYPDGSWEVKLPVDEVPPEYPEPVVGINFSKEGMCQSNWLSLVAIHSDAWLISTAMYLGARFSFDKNDRMRLFEKINGLPNVFEVVIGNFSEQLSTDPSNVKEAFTAKQPEQLAANQSNEKTNISGKMVYISNSDIKSKPSGKMAQTQSFGLQPKRVKMSPPPKADVDSDEEELADELDTSKCGACGEQDDAEGFWIFCDVCEKWFHGECVKITRAKAKQIGHYKCPGCSIRRARVY >KZM84461 pep chromosome:ASM162521v1:8:14955764:14962654:1 gene:DCAR_028117 transcript:KZM84461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRTLLDYALFQLTPTRTRCDLVIFAGGKNEKLASGLVEPFISHLQAAKDQIPKGGYSITLRPPNAHASWFTKATFQRVVRFISTPEILERFVRIEREIVQIEGSIRSNELSTTDAAVNSGEGSLSAANDVTKGSPDPSKVKGETGKKDVPEDENSKICLQRTLETRKVLLRKEQAMAYARALVAGFDMVNNDDLMLFSDAFGAKRLREACLEFKDLCKKKLTDTLWMDELAAMAACPPSELPYLGTSGIILTSEGNNTDGELPVADQTPSTPAKVQVHLPWQNQIPPYMYNYQNSVQGFPYPGMQPVPPYYPGHMQWSPNMDDSGHRHRRRSSSRKKGKSSETSSEEEQVASGDSDDGTEPDAVKKHDGEHSSGEKPITRKHRKKSSKTVVIRNINYITSDKRNGEKGGDSEDDSSVNGELIDEEYLRQKVQDAVGSLEKHRKSRANKNKGTDQHNIENGMDDFTNGDSENVQSSGGKHSAWDALQNLLMREDESAYIGNKEEHFGNSNTIDLGNEKSAMRKKTTANDSFVVSNRAEGNIGRGDSEDFANAENMRSLMSRGETVDAQLLVSRNLDGSGQRTVSDFITEPSTIKKASGDDWFVMNQSTISENQGQRTGQTIFDGDYALSTDKEKSKTVPAIDDSFMVQTRTSADENSQWRTDISMMEGSNVVPQSETNNQDVSHAKSVSNSFEPDDFCVMVGRDSGLSPGASWAPEMDYETEISFTKADKKSAPVELTNDEPKPPASGKKTISKKPVGPGTKSLGRETRSSILRGSLATSRSDILSKSKSRPMINKSKLEKEEEIRKRMEDLVIERQKRIAERSAASPAASKRIPAGTKKTSVSSVKDQKGGSDLSKSSQLKKNKI >KZM84805 pep chromosome:ASM162521v1:8:19517239:19523411:-1 gene:DCAR_027773 transcript:KZM84805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFVVEDTDEDFFDKLVDDDDDFDVNVASSSSVSRVGDGNLRIGDDLGGENGSGDFDGSIGDDLGGLNGSGGFDRFVKAASLISPGVSVGEFKEVKSGAPVISPVVSAGEFKEEKSSVPVISPVVSVREFKEEKSNVPVISPVVSIGEFKEEKRSVPVSTLLDGSDNVFESDKGLVKSSLDFDDSGSGTKGTVMTLDSTSNPGGKGSSGIKEVQWSDFNSDALQNGGTGLEPYSDIFGEFGGVKTGEIFNSEANVIYGNEEHKSAYTDDNLNYSQYQDDQVNSAEPVYSADGQDMNSTEYWESLYPGWKYDQNTGQWYQVDGYDANTRVEGSFDTTVPSETSEVAYLQQTSQSSVGTVSQSGTIESVTNWNQTSHVGDATEMASNWNQVAQTVSSAESVSNWDQVPSTSNGYPSHMYFDPQYPGWYYDTVTQEWCSLDTYISSTQSATQSENLLNQNGYASTVTSQGINENLGVYGQAGHYGSGGYSNQGQEYNWPGSSTNFNQQDLNMWQPNSATSSYRGYQQLENQYDQKTSVSNHISQQSSYQYEGTVPYGERASQSHNEFSNTLRNQSFVTDENYTQQYNQPQTMKDERMNTSSNYYGNQNVASYTQQQYQSYAPAVGRSSDGRPAHALVSFGFGGKLIILKDNNNTLGNSSYGGQVPSGGSISVLNLMEVVSERPDSSNTRPGVCDYFNSLCRQSIPGPLTGGNVSAKELYRWTDERIANCQSPDIDYRKGEVLRLLLSLLKIALMHYGKLRSAFGTDTVLKGTDAPESAVARLFAAAKGNGSDSSNYGAIAHCLQNLPSEGQMRATAAEVQTLLVSGRKKDALFCAQKGQLWGPALVLAAQLGDQFYVDTVKQMALHQLIPGSPLRTLCLLIAGQPAEVFSVNSTTDGNISNATNVSPLPAQLGANGMLDDWEENLAVITANRTKDDELVLIHLGDCLWKERSEVCPRVSASQSTMAMSSLIPSASMEPISEWTADGNRRTMHNRSASEPDFSRTPRQDQNDSSKEASSAQGKASVSGGTSRFGRFGFGSQLLTKILKPRQDKQKN >KZM83698 pep chromosome:ASM162521v1:8:616464:616829:1 gene:DCAR_028880 transcript:KZM83698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPLPELLKEYDLPVGIFPRDATNYEFNEETRKLTVYIPAICEVGYRDSSVLRFLTIVTGYLEKGKLADIEGIKTKVMIWTKVTCISCEGPKLQVTAGMKKTRKREAYEVLRDGIGVDKF >KZM85556 pep chromosome:ASM162521v1:8:26758573:26759052:1 gene:DCAR_027022 transcript:KZM85556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEILQGDSREERLKNFIAWFPEEEKVEWDADYYVDESGRHSMTFEEYVSHRLDMIETDDYSNVGDPIVLLQVYDPPEKPADQKCVAILEDCSRRAITHYNRENVWLLIYA >KZM85185 pep chromosome:ASM162521v1:8:23536296:23540166:-1 gene:DCAR_027393 transcript:KZM85185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSRKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREVVEQSWTAD >KZM85803 pep chromosome:ASM162521v1:8:28825216:28825611:-1 gene:DCAR_026775 transcript:KZM85803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILPIFLVIIVLAASTNASSNPRKLDESTVPVGDPNGEIKCGGCPVCNVPCTQSPPSPPPPSPPPPPKKPPTPSLPGFNCPPPPSYIYITGPPGNLYPVDPYFSGASRGLLVRLPVLLICGLLSLVAFL >KZM83939 pep chromosome:ASM162521v1:8:3751956:3752108:-1 gene:DCAR_028639 transcript:KZM83939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRSEVGDFPANPKTQPKNRTKNTGKLPRKLSMQTQLPHKQSIKQEALL >KZM84794 pep chromosome:ASM162521v1:8:19318956:19325998:1 gene:DCAR_027784 transcript:KZM84794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAALSELERVQIQILRRISDLELRVGLTHSPSPVTTADNDAPAEANLSGHTTEARLSNILLENGVNDFKFKRVSFDYYNWTLDSRRDALGAASIDHLCKSIVMVNTQAPSSITDCSNRDMSKYYVVVVQYTARFNAETVKNFLYTLNNGKIPKKKFNLRLAPEETSRMLTGYEHNGVTCIGMKTDIPVILDEAIVKLNPDFFWLGGGEIDLKLGIRTSEFINYLNPFVVSCSSS >KZM84316 pep chromosome:ASM162521v1:8:12021829:12022056:-1 gene:DCAR_028390 transcript:KZM84316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVPISQMEPGEALVMRIIEEAKQAVGIETDAGGRQRIIHRQLRTTASVSATSRAPAGSVTNAVPYHVYAALG >KZM85971 pep chromosome:ASM162521v1:8:30372455:30374047:-1 gene:DCAR_026607 transcript:KZM85971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRSEGPGGDDNNQMVDYMLNNNAQQQQQMASGFGPSSNSLSFADVMQFADFGPKLALNQSKSSAAEEDEREEDGIDPVYFLKFPVLNDKLQDENHPLMMFPQEGLVGDEDERFKRGNGMTSEESGKARKMMDQEGRIGENASSVQLQFLGEDVEKNSQMGEAGKNKKKRPRTTKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLYGDAPPPPRPIGDSANPMQQTQVPPPPPPFFNPMSASASDDPLKLVSDYENGLIREETAESKSCLADVEVRVLGFDAMIKILCRRSPGQLIKTIAALEDLELNILHTNITTIEQTVLYSFNVKVASESSFTAEDIANAVQQIFSFIHADSANL >KZM84786 pep chromosome:ASM162521v1:8:19159696:19167706:1 gene:DCAR_027792 transcript:KZM84786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKQMHPLCCIPLDDSPIGDHSPDLTLSDVPRHLSDANAGSESASCFAGVLYKWTNYGKGWRSRWFILRNGVISYSKLRVENRNVLTSGEDVRIIGDASSGRLSRLNSTSGGSKVQTKPPGIVHLKISSFRETRLKKRLLEDGISETLVKDCEQIMLSEFSEIEGQVKVLCEERSNLLDTLRQLEAANFEAETSGIQDGEYHLPKHDYGVGREYSTTESSDDVEKQELDEVSDEEEAYFFDSKENFLESTTSSGSISHTTNSGKNESQINDTHKMKNKKDTGASRYPHIERRKKLPDPVEKEKGVSLWSMIKDMVGKDLSRVCLPVYFNEPISSLQKCFEELEYSYLLDRAYEHGKEGNSLLRILNVAAFAVSGYASSDGRHCKPFNPMLGETYEADYPDKGLRFFSEKVSHHPTIIACHSEGKGWKFWGDSNLRSKFWGRSIQLDPEGTLTLEFDDGEIFQWSKVTTTIYNLILGKLYCDHHGTMQISGNRQYSCKLKFKEQSILDRNPRQVNGSVEDVSGKKVASLFGKWDDSMYYTKGDGSIKTKDFSDASLLWKRNETPPNLTRYNLTPFAITLNELTPGLQEMLPCTDSRLRPDQRHLENGEYDKANEEKLRLETRQRMSRKIQENGWKPRWFRRESEDSSFHYAGGYWEAREMGKWNECPDIFGKFSDAAVSSNKVS >KZM83780 pep chromosome:ASM162521v1:8:1533126:1534463:-1 gene:DCAR_028798 transcript:KZM83780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLWHICPLVVIAGSVYLLEESKKQEEKYQKHMNEVRKDLKDSDKRLSSRLAETAKSVEVAQKFCNDKLKELKEALGNRAPVSSQVNEGAGGTNEV >KZM83942 pep chromosome:ASM162521v1:8:3773696:3774050:-1 gene:DCAR_028636 transcript:KZM83942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCTIIDSQLTQSACFGQSNRVDSSLRRRSLPQLGDDTDSESVSEAGDIGDRALSSKRYSGSGRIHFLEDNVSNNNFVLPVQQEAVVHLGSKEQDC >KZM85628 pep chromosome:ASM162521v1:8:27332328:27335344:1 gene:DCAR_026950 transcript:KZM85628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINKSILFTYLYLLIYILLSSGVILFNKWVLSPKYFNFPFPITLTMIHMGFSGAVAFFLIRVFKVVSPVKMTFEIYATCVVPISAFFASSLWFGNTAFLHISVAFIQMLKALMPVATLFVAVLCGTDKLKWDVFLNMMLVSAGVVISSYGEIHFNVIGTTYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFAFLFVPWYFLEQPGMEIPQIQFNYWIFLSNAMCALALNFSIFLVIGRTGAVTFRVAGVLKDWILIGLSTIIFPESTISALNITGYAIALCGVVMYNYIKIKESHSTQLSLEDLQERNTKEWKLEKKLYDIYAPDNSNADTGRTGRGVNFVSDLNVDLESPLISSRLSHIGRTQLSSRDASL >KZM85415 pep chromosome:ASM162521v1:8:25576461:25577672:-1 gene:DCAR_027163 transcript:KZM85415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLTNLIHFFLICTIHFITSSTAKSSTRPKGLILSVYKDPSTLQYITEIKQRTPLVPVKLVVDLGAEFLWVNCEKDFTTSSYKPALCNSAPCKLSKSIACTTECYSPAKPGCTNNTCDLFPENTITLLATSGSLGSDALTVQSGAGSVTVPNFLFVCGSTIILDKLASGVTGMLGLGRTKISMPSQLFSTFGMKRKFGVCLSSTPSKGSIFFGEFDSSTAPLTHTPLLTNPVTTAGVFSKGEASSEYFNGKAVRVNPALLSINNNTGHGGTKISTVDKYTVLEASIYKAVVHAFMNELNVPRVRSVAPFGACFDSKKVGTAYTGPAVPTIDLVLQSKDVYWRIYGANSMVQVSKDVSCLGFVDGGVDSRTSIVIGGHQIEENLLEIDFKASTLGFSPASVP >KZM86071 pep chromosome:ASM162521v1:8:31190995:31195950:-1 gene:DCAR_026507 transcript:KZM86071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQKTIERIRHIGRSVLASKANENMRLTVTTLIGVLFGILIGLSLSSGLLTLSENIHIKNSSLPDQAKVQTNDTSEIWVPSNPRGAERLPPGFVVPQSDLYPRRLYGKPSEDLTVIPKYLVTFTVGYKQMPNIDAAIKKFSGNFTVLLFHYDNRTSDWDAYEWSKKAIHISVQKQTKWWFAKRFLHPDVVAAYDYIFVWDEDLGVEHFIAEEYIKLVKKHGLEISQPGLDPNRGFTWQMTRRRGDREVHKFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFAVRKCVEPAHEKIGVVDAQWIVHQGIPSLGGQGESNNGKPPWQGKHGLEISQPGLDPNRGFTWQMTRRRGDREVHKETEERPGWCPDPHLPPCAGFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFAVRKCVEPAHEKIGVVDAQWIVHQGIPSLGGQGESNNGKPPWQGVRERCRSEWKIFQDRIDNAEKDYYKSLETSSST >KZM85476 pep chromosome:ASM162521v1:8:26096075:26096617:-1 gene:DCAR_027102 transcript:KZM85476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQAYDPNAPVSQSSRGGTPRSRDRRSLADGVDSPGHYGSPSTHRNSPDFRKRDSVTESRNGETSGGSGRRWALEELERSDSLRDSPVSAGRARETRNRDLDRERAVAEAKVWGENLREKKRGGAMDSFDATNE >KZM84565 pep chromosome:ASM162521v1:8:16289882:16291416:-1 gene:DCAR_028013 transcript:KZM84565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLFREVGLDVNNPFKYRVTKYLYATSSTEVPESFIIKPVSREAWSKESNWIGYVAVATDEGKEALGRRDIVIAWRGTIRTLEWVNDFEFVLVSTPKLFGNDVDAKVHKGWHSIYTSDDPRSQFNKSSARDQVIGEVQRLMEEYKNEEISITTTGHSLGAAVATLNAADIVVNGFNKPKDQPNKPCPVTAIVFASPRVGDSKFKDLFSNLSDLRALRVTNNLDVVPNYPIIGYSDVGQELAIDTTKSQYLKSPGNLSSWHNMEGYLHGVAGTQGTKGGFKLEVDRDISLVNKSEDSLKDEYGIPVSWWIEKNKGMVQQDDGSWKLMDHEFEEF >KZM85123 pep chromosome:ASM162521v1:8:22915617:22920947:-1 gene:DCAR_027455 transcript:KZM85123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLTLTLFFLLILELSSSTEISISFSPKKLFKSGDPVTIKWSGIDSPSQLDWLGIYSPPNSESPHFIGYFFLSNSSNWQSGSGQITFPVVNLRSNYQFRIFRWDESEVDPTQQDQDHNPLPGTKHLLGKSEELEFESGRGPEQVHLALTGNEGEMRVMFVTGDGKESFVRYGSGQNRLDMVVGTRVMRYEREHMCDSPANTSIGWRDPGYIHDGVMKNLKKGIKYYYKVGSDSAGWSTTYNFVSHDGGLNETTAFLFGDMGAATPYSTFLRTQEESISTLKWIHRDIEALGDKPTFISHIGDISYARGYAWLWDTFFNQIEPVASKVPYQVCIGNHEYDWPLQPWKPDWASSVYKTDGGGECGVPYSLRFNMPGNSSELTATSAPATRNLYYSFDVGVVHFVYMSTETNFLEGSSQHSFLKNDLESVDRSKTPFVVVQGHRPMYTTSNEIRDAPLRKKMLEHLEPLFVKNKVTLALWGHVHRYERFCPINNFTCGVEALPVHVVIGMAGQDWQPIWEPRPDHPTDPVFPQPKWSMYRTGEFGYTRLHATKEKLTLSFVGNHDGKVHDVVDILASGQVAGYENGYNDHFPNGHVSKVRVNDNISKSRVQARYSGSWYVVGTVVLVVGVLIGYVLGFISHSRREIASLNKWTPVKSEET >KZM85189 pep chromosome:ASM162521v1:8:23549877:23552616:1 gene:DCAR_027389 transcript:KZM85189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLINLVNDSIAIGAFHAKERNILTVNSAGNDGNAAGTVEGVAPWIFAVAASTTDREIINKEIPPMFCTEYGHKLYEGLKLSVGDIFEASIKFNRQSEVLLGMKRVYETFKLKGGETLIFDFSSFIYIIDTNEMEVFYPKLIFPNGSVLCPLDRACKWGLKFVKFMTCMKKVVDTIEPPETFADAFGSVLRHDLTYCLINGDEVHGFYDFNKISLEDLVKFAKDMA >KZM82492 pep chromosome:ASM162521v1:9:20066206:20068148:1 gene:DCAR_030061 transcript:KZM82492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKALATNNLGSSLAVENVQLLASENFKNIPPRYVRPEFDSEEVLDDGSIEIPVIDMNKLGTGQLGYDEELQKLHLACKDWGFFQLINHGGMEIIEKMKAVTEQFFKLPLEEKMEWAQPPDDIEGYGHLFVFSEDQKLDWADVFFLYLLPVSQRKMRLWPEKPASFRSTLDEYSKEIHRISMSLFRLIEINLGVERGNLSRLFDQDCKQGIRLNYYPPCNHANKVIGLSPHSDAVGLTLLVQVNDIQGLQMKKNDKWLPIRPAPGAIIVNIGDMLEIMSNGEYSSIEHRAVVNYEIERISLATFQMPDLATMLGPLPELVNENQPKFVKMSIEEFLRLNLRNTLDGKRLLNEMKIKYDQ >KZM82898 pep chromosome:ASM162521v1:9:25700032:25702400:-1 gene:DCAR_030467 transcript:KZM82898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKYNRKNPSVKRILQELKEMQNDPCDDFMSLPLEENIFEWQFAIRGPSETEFEGGIYHGRIQLPSEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALTALIAFMPTNPNGALGSLDYKKEERRVLALKSREAAPKFGNPERQKLIDEIHECMLSKAPPVPELGSAQDAEEDSTVEEADPQLTAVQAETEEVHNPVVDNIDDRIVEQQDDAPLNANPVSERIVVARQVTTGGPSEQHQLLQRPATRVQRSADDRLFTLAAVGLTIAIAYLLVKKFLKASEFGTVFMDGS >KZM82814 pep chromosome:ASM162521v1:9:24796927:24797976:1 gene:DCAR_030383 transcript:KZM82814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHFKLISCISLFLLLLVPSNAEKPTHKKPLLPSKYENLEIKKPLIVSSNSENPKLLHAPSNSENLATKKPQPFGFLNHLKGGKKGENLAGIRELKKYLNKFGYLNYKSINGHDNDHFDEMLEAAVKTYQANYNLKITGILDSETISKMVMPRCGFPDIINGTNSMTKKHEGHQHHGSNKLHIVAHYSYGSYKWPAGKTHLYYWFETHITYSSIKRAVARAFNRWASYTQHFTFEETLDYQSSDLTVTYYRGDHGDGSAFDGPGGVLAHAFFPTDGRLHFDVDERWSIGAIPNYIDLESVAVHEIGHLLGLAHSTVQDAIMYPSLPYGVVKTNLQPDDIQGIKALYNI >KZM83155 pep chromosome:ASM162521v1:9:29215855:29217629:-1 gene:DCAR_030724 transcript:KZM83155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKVSEVYMKKEPDGSIHPIGVSKFSDHKSGRQSNDLDSCKHSVCSNEAPPKISQVEKKKHGVPNLDCESGLLMEKVKSKSQNTKGDGNKSEIRVKNATKSTLGTIKTKHTVPQPFALATERRAQCVTRPTVDETDTLTPGRRSYHFTSNLNTRCSLKQTEQVSPSLLRKPLQPYYKKQSDEDDSCFVPSFTTASPRAITPRITIASAPAFKSITRAERRKEFYTKLEEKHHALEVERTQYEERTKEEKEAAVKQLRKSLVFKANPMPSFYHDGPPPKHELKKPPPTRAKSPNLSRRKSCSDASPANKEKRDRRRETQYSLVD >KZM81699 pep chromosome:ASM162521v1:9:4615261:4618701:1 gene:DCAR_029312 transcript:KZM81699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKQSKVGSESSSVPKDMDSRKVNEVAVGGGGVAVAVTRFPGEQKRPVGPLVVVGVRDGVLWLIDRYMSTHAISLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDIGNETVGLNLNDIMSLTVKKEDIVEAVDGVVKFGKEFLDLIDAADATAQGEIAREALKRLAAAGSVKGALRGHELRGLALRLANHGELTRLGGLVNNLIAVGAGREAAFAAALLGDNALMEKAWQDTGMLAEAVLHAHAHGRPSLRSLVQAWNKVLQKEMEHGPSTKTDAAAAFLASLEEPKLTSLADAAKKLPIEILPPGMASLYAPNPGQKKSTGAIQGSLQAANKPLLLEGSNSTPATAPQAAESGTSPAAEESGAPTSESGAPPTSESDAPPSSESGATPASESGVSPEPESGVPPEPESGAPTTPESGAPTTSETGVSESVQVPTPETDAHSTSESGSNPPPESTNGATGPTSESSAAVALDTSERCSDNPSYASPVSMSSIVPAETPQTSSNPVPTEAPNQLPEVSKPSVRPELPMDFFT >KZM82234 pep chromosome:ASM162521v1:9:14239310:14242633:1 gene:DCAR_029882 transcript:KZM82234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLMKTTVAVLAAALFMLIETRVVSGEVVTCSGMVPMVYRNDKISIADFGGVGDGQTLNTKAFREAIYRIQHLKRRGGTLLYIPSGVYLTGSFNLTSHMTLYLAKGAVIKATQDTNDWPLIAPLPSYGRGRERPGDRYVSFIHGDGLHDVIITGENGTIDGQGDVWWNMWRQRTLQFTRPNLIELMNSRNIIISNVIFKNSPFWNIHPVYCSNVVIRYVTILAPADSPNTDGIDPDSSSHVCIEDSYISTGDDLVAVKSGWDEYGIAYGRPSKFITIRRLTGSSPFAGIAVGSETSGGVENVLAEHVSLFNMGVGIHLKTNIGRGGVIRNITVSSVYMENVRKGIKIAGDVGDHPDENYNPNALPVLKNVVINNVWGEKVLQAGLIQGLKNAPFTGICLSNIHLNGATGTRNLPWKCSDVSGTASQVSPLPCSELTSTDQAGACFVPF >KZM81799 pep chromosome:ASM162521v1:9:6176679:6181058:1 gene:DCAR_029412 transcript:KZM81799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWSDIYKVLVAMVPLYVPVILGYGSVKWWHMFNPEQCNAINRMNCYFIIPLFIFEFTTHINPFKMNYLFVAGDVIAKCCIGLVLGGWTYFYRGSYEWCITGFSVSALNNSFIVGVPILKAMYGSLGEEIVIQSTVLQLIIWVMILLFMLEVRRARQSFESVSAVEMSGKDLEENSSGVREIDFRVARPSVWIVMKIVLGKLAKNPNCHACAAGLIWALVANRWHFKLPSIVEGSITIMSNAGRGTSMFCMGLFMALNEKIFACGARPTLLTTIARFVVGPLSVGLGCLALGLRGQVLRIATVQAALPPAVLSFIYAKEYGLHADVTSTAVILGTAITLPVVIAYYAIMEWIEMAYKELILGVGSVLGAGVFVLTGQAARDYVGTAVMISYLISGQSTSPVLPAACRQRSANRFVYLGTRLIHRVEVHADNFLDKARSHGYPAHKKNLLNVAKNDEWCLLH >KZM83414 pep chromosome:ASM162521v1:9:31592561:31594153:1 gene:DCAR_030983 transcript:KZM83414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISPDFRVSAALRPFSCTPFRNTLHRSKVDFTGFLDGGMVVSGGTVRWPQSVVGSHSIRCNFKRPIADDGEYKLSSGSISQEAENFLINAINMTFVERLNLAWKIIFPSPASKRKSNANIAKQRLQMILFSDRCAVSNEAKQKIVSNVVRALSDFVEIESQDKVQLNVSTDAAVGTIYSVTVPVRRVKPQYQEDDETGTITNVEYKDYGESSGSVDVKFDFYVPDEKHNELRF >KZM83363 pep chromosome:ASM162521v1:9:31152876:31155897:-1 gene:DCAR_030932 transcript:KZM83363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSAYCTQIWSRQKLDNVLIQIWEASREKHQEGREYWELKAKNEPFYVLSSDDEGFSSYRIHSLASTVTIKEDGEDDDEETSVIAHVFMSNRPEMSPKIEFYSVNEYSHKDFALTCGIRASLCLPLFKTVNFSGRPDGVMELVSTCDQDLEKVKLSTHLSYFLKKLGMYSLGDNIYRFIRTNTRKHAMLEMDHLLEVICQTFRLPLAQYWVIKDANLGGLEVMYQSSHRDFENIIPWCQFKDACLRMGSHVGEGPVGKTYLSQKSLFCRDITELTITNHPLAHYAQNCGSIACFTICLWSLSPQYRECVLEFFLPSQEMDSYYPQTLLNSLLTTMKENLLFDMVASEGQLGEVLLVEVINSSGYEPETFKIDHEDYVFQQGTGSSQLLFEDGTVQDEHVRDIEKSNDVTVSYLDAVVGDMTIATPDIVEIDNSALEIMLEDAIKQKEHTVVPERDDLVEQSPEDSGYAMTPGTDQPSRLEDLLQKQNEGIMVGLEEDDTTVQFKEDTSEREKQLGSKDITFEGISELFGRPLEDAAKSFGVSRSTLKRKCRGLDINDWRRGKQSIKGNMSSDLRRRLNDDEQAGKNFHSGLPSGEKAPAVDHISQTLNEVTVRAMYNGVTIRFDLSDSSGIAELENNVIERLHLERESFSIKYRDDEDIWILIACDKDVRKCIEISRSLKRTSITLLVDPPINHRKQ >KZM83567 pep chromosome:ASM162521v1:9:33033961:33036817:1 gene:DCAR_031136 transcript:KZM83567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLSVAVLQFVELFVSSVVHIAYGFYIFSTAVAGDLSQSLNEWIYNNHGGSNVKEITNVDDDSKVLTSNDDLPPIVLLHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRIYEKGHYPEWDENHPIHFVGHSAGAQVARVLQQMLADKAFKGHESTSDKWVLSITALSGAFNGTTRTYLDGIQPEDGRSLKPLCLLQWCRVGAIIYDWIDIPWIKEYYNFGFDHYNMSWRKMGIRGLFDCLLGNSGPFASGDWILPDLTLQGSLRLNSHLSTFPDTYYFSYATKRTRKIMGVGTVPSGILGIHPLLFIRVLQMSQWRHPSDVAPPFKGYRDEDWWENDGALNTISMTHPRFPVEHPSRLVTNDSDCYPLQPGIWYYKIIEGDHILFIVNRERAGVQFDLIYDSIFERCRKHAFRRIPPTLPNQVHH >KZM81394 pep chromosome:ASM162521v1:9:663959:664195:1 gene:DCAR_029007 transcript:KZM81394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKMVASEKPPSSPLASTDDFTSRKEAVLPSFPCSPGETSVAHLFLNKKDLFTCILSLSFATSLMHALTICDIFYKT >KZM82279 pep chromosome:ASM162521v1:9:15656571:15667374:-1 gene:DCAR_029837 transcript:KZM82279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVLENKKKVEVLGLKKLADGFNLKVIKEKVKDDGEHEYDVEPRTRSTIIASTQKIEKNILPEQLAPKGEIYEKTRKRDPKRKYKKIEKNILPEQLAPKGEIYEKTRKRDPKRKYKTITKEVMAEMDRKMCEKMKRIMEKLGDINPDFKNLDVEELWADDASEDDEEDNGVEENKSEEDDIGEEGDGHEDDNN >KZM81646 pep chromosome:ASM162521v1:9:3951936:3953312:1 gene:DCAR_029259 transcript:KZM81646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERWLGQRRRRQIHRIIRNSKLTLLCLVLTIVVLRGNLGAGKFGTPEQDLKEIRDTFTLYRKRSEPRRVLEQVTTVVETTSFASGKNINSYGDLDITKFFVDDDESDEINDVNVPYSLGPKISDWDEKRGEWLRKNPGFSNFVQPGKPRVLLVTGSAPKPCENPVGDHYLLKSIKNKMDYCRLHGIEVFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEIPWKRYEDRNLVMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDLLDAWAPMGPIGKVRDEAGEVLTRELKDRPVFEADDQSAMVYLLASEKDKWAEKVYLENHYYLHGYWGILVDRYEEMIENHKPGYGDHRWPLVTHFVGCKPCAKFGDYPVERCLKQMDRAFNFADNQIMQMYGFQHKTLASRKVKRTRNETSNPLEEKDELGLLHPPFKVVKAASA >KZM82479 pep chromosome:ASM162521v1:9:19922713:19925737:-1 gene:DCAR_030048 transcript:KZM82479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTKLYPMQEVSLHNSQDDCWVTIDGKVYDVSSYMDEHPGGDDVLLAATGKDATDEFEDAGHSKTARELMESFCIGELEVPTPLIPELEIVPNKQQNDIVEKIKDMSKQYWTVPVAVVGISVVASFLYSRRN >KZM81371 pep chromosome:ASM162521v1:9:477963:479852:1 gene:DCAR_028984 transcript:KZM81371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLECDLMGQILLPQMRGRGSKDNLILKPATNLNPIQLIKEPQNSYFKLVLLAIFFLVSGIVIGLSSSSRVNQHVHFQPGRVDGNDQTLAPEVNYAKNDCPVEELCEDEDCLSIESFVRPKNVSHRMSDEELQWRASLVPMQGEYPYSRIPKVAFMFLTRGPLPLLPLWERFFEGQSTKLYSIYVHALPVFELNVTNSSVFYGRRIPSEKVEWGTASLTGAERRLLANALLDFSNERFILLSESCIPVYNFPTVYRYLIGSEQSFVQSFDDPSRYGRGRYSYRMQPDIQLEDWRKGSQWFELNRDIATKVVSDTKYYSLFQKYCLPACYPDEHYLPTYIHMFYGTLNSNRSVTYVDWTLRGPHPATFTGDNITENFIHYIRNSGLLCEYNGDWTPICFLFARKFAPSALEPLLNLTSTVLGFHEF >KZM82738 pep chromosome:ASM162521v1:9:23203181:23206924:1 gene:DCAR_030307 transcript:KZM82738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVGTTYGGFTSSSCTSLIRELQQIWSDIGETEADKESMLVDLERECLEIYRRKLEEAANTKARLHQSVATKEAELATLVAALGELNISTKKKKATTLKDQLMLISPIVEDLKLKKEERLKQFSNMKTQIDRITAEISGYSNLANSGLTLDMEEQDLSLRKLNEYQTCLRAIQKEKSERLQKIMEYVNEVHILCGVLKIDFSRTVKDVHPSLHTTSLDQSRSISDSTLEGLEQAILKLKTERKVRFQKLKDIVAAVSELWNLMDSTREEKHKFAKIISISSETEFVEPDSLSMEIIEQASAEVERLTKLKASRMKELVVKKRSELEDICRKTHIVPDSNTDADKSLVMIDSGLVDPCELLTSIEGQIDKAKVEALSRKGIIDRIERWLSACEEENWLEDYNLDHSRYSAGRGAHINLKRAERARITVTKIPAMVDNLITKSLTWESERRKQFLYDGSRLVSILNDYKVTRQQKEAAKKQYRDQKKLQDLLLIEKESLYGSTPNPRRSLSFRKSNGYHANGNGSMTPAPRRSSVGCATPGLQTPRSYSGRHIGPFTEMRRLSTGPLNFVATGKEDIISFSSVCGSEPESPHQG >KZM82906 pep chromosome:ASM162521v1:9:25774444:25776812:-1 gene:DCAR_030475 transcript:KZM82906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKYNRKNPSVKRILQELKEMQNDPCDDFMSLPLEENIFEWQFAIRGPSETEFEGGIYHGRIQLPSEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALTALIAFMPTNPNGALGSLDYKKEERRVLALKSREAAPKFGNPERQKLIDEIHECMLSKAPPVPELGSAQDAEEDSTVEEADPQLTAVQAETEEVHNPVVDNIDDRIVEQQDDAPLNANPVSERIVVARQVTTGGPSEQHQLLQRPATRVQRSADDRLFTLAAVGLTIAIAYLLVKKFLKASEFGTVFMDGS >KZM83026 pep chromosome:ASM162521v1:9:27935097:27937604:1 gene:DCAR_030595 transcript:KZM83026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRSDNGETGGSEAIPSKEEKWRNIVRKARVDRQSITEDEVQELRDKVLKFWFLLDFDLLEAIQKGDVRWVDISMARVEYLNKVVDQELVRKCLEGDEEALCQTHRFLMNEGWWERANNLDLSKTIWRRDKKWEAKMEEQERKLRDYVISRQELVHPNVVKMVLQGDREGLRMALNHVHYNSLREHRVVKNMKAVLTRDKKDSGVQSNEEGKSGKDREKSYKNVLVENGEKKRWVRKERQILGNKEDVSQGRNQKNSMVFVHNLPEKSNSLEIWNFMRKWGRVLDCITPMRRDKFGKRFGFIRLQSIIEAEKFIRGVNGKLLAGNAIRAQFAQKQVSQVIDKKGRKEFKQTRIELVDQRREREPLHCISDKAERKIMGTVKLEVADHILSSEIERSLVVSSWKESSIVEILNTIEALGYEGVPVRSLSSTKFLVTFPSSDSFLNLDQDLFGLGFLDCKPVSVDDLILPRRLVLECLGLPITLWKFSNFAKILEGIGNITAISRLLDENLTYRNPMIEVETKEMSEINRELAVEFEGKQIVVMLKEVDKIGIEDNIMEELREMELNNVHREVEDQNISSSDDSESGSLYNIEEKQDKEVEISKQELVSKDKETKGEQTLGNETVSDSEEYRQVTSGKDNYIGKDIVPLNEKDIDKPQSSLEAREEVLVVQETQEELVVQETQEELVVQETSEELVVKETSEELISSENSKSIWSVREVESSSIVSTQSLEVTSGMASSSVSGLEKVQTSSGSVKKAEESLLKLKMGRKRGRPPKRKSRKGRQPFALCSIGGQLKCQGGASEAEKIYESCLLMGLEGKVDRDEAIKRIANRLGDN >KZM83137 pep chromosome:ASM162521v1:9:29098282:29104936:-1 gene:DCAR_030706 transcript:KZM83137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRVVPSMTTPVSFDEEEYASQSMLLQEFSKVSNIEQAWTFKSTTGASQAMFLISQTNLLGNRKRKHILSSNISRESTNTVSFQWATFPIEVTGASVIVPSPSSSKLLVVRNNENQSPTTFEIWNSSHLEKDFSVPQSIHGSVYADGWFEGISWDSSEKFIAYVAEEPAPSKPTFGDMGYTKVGSKDVDSGSWQGQGSWDEDWGETYAGKRQSGLFVIDINSGVIRPVNGIPKSLSVGQVIWAPSSRDSHQNLVFVGWPSSKRKLGIKYCSNRPCFLYAVRSPFHEPEADSTQHMGDANDNSSMIILTDGTTSASRPRFSPDGKLLVFSSAKCSVESGAHNATESLHRIDWPIDGNLCSSMKIIDVVPAVMCAEEGCFPGLYFPSILNKPWLSDGYTMVLSSIWGSTQTILLVNVLSGNVSRISPSNSNFSWDVLALDGDSLISGIICSSPIHIPQIKYGLPIGETLSSAPWSWIDVSSSILRCSEKVSSSLSALQFSIMKIPVKDISGDEKLTEGHKRPFEAIFVSSSLEKHDMCDPLIVSLHGGPHDVSLSTFSKSLAFLASVGFSLLIVNYRGSLGFGEEALQSLPGNVGSQDVNDVLNAIDHVTNMGLVDPSKIALLGNSHGGFLATHLIGQAPDKFVAAAVRNPVCNLSLMVGTTDIPDWCYVGAYGSKGKSLFTEAPSAEHLAELYRKSPISHVSKVKAPTLFLLGAMDVRVPIPDGLQYARALKEKGVEVKIIVFPNDVHAINRPQSDFESFLNIGVWFKKYCNQAAGLSQKN >KZM81376 pep chromosome:ASM162521v1:9:524891:525676:1 gene:DCAR_028989 transcript:KZM81376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNNSVAAKRLIIILQIILAVFLSFSANSEARLMIHDRSSLALFHKLGFKLPVHNTGAAKRLVPSGPNPEKSPGTPPGVVGAGEVKRLVPSGPNPETSPGTPPPGAGEVKRLVPSGPNPETSPGTPPPGASESKRLIPTGPNPKTSPGTPPPGAGEAKRLVPTGPNPKKSPGTPPPGAGEVKRLVPSGPNPKKSPGTPPGVVGAGEVKRLVPTGPNPKKSPGTPPDVVGAGEVKRLVPSGPNPETSPGTPPPGVLGATLP >KZM82596 pep chromosome:ASM162521v1:9:21659977:21661260:1 gene:DCAR_030165 transcript:KZM82596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMESPVEAESLRKLCYKSIKRGLDFFSSPCHDSYATDPVSKKTRTSHKLPTFHDHTQTLRDDSTTTLALSVPRNPLPKKEGFQPNSLGDEAGFAGKSGEILNSDHASCNKFSSDTRKSLVKPPVWKAYRVLSNHLGWVRSVAFSPSNEWFCTGSADRTIKIWDLASGKLQHTLTGHIGQVRSLAVSNRHTYLFSGGDDKQVKCWDLEQNKVIRSFHGHLSGVYCMALHPTIDVLVTGGRDSVCRVWDVRSKVQIHALSGHEDTVCSVFTRSTDPQVVTGSRDATIKFWDLRYGNTMTTLTHHKKSVRAMVPHPVEDNFASASPDSIKKFNLPRGVFMHNMTSRHNTIINAMAVNRDGLMVTGGDDGSLWFWDWKTGQNLQQIKSKVQPGSLDCEAGIYAASFDVTGSRLVTCEADKTVKIWKAETM >KZM83494 pep chromosome:ASM162521v1:9:32434912:32435553:-1 gene:DCAR_031063 transcript:KZM83494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANQSAVYASNEANESFMMKKSASNGANELSVPNKTGQVLIFRSSSKWKSHFQSSKETNKLMVVHFTAAWCGPCRAMEPIIRDFAAKYVAVEFIQIDVDELEGVAREYAVQALPAFVMIKKGKAIDKVVGADKAALQKKIETHMV >KZM82073 pep chromosome:ASM162521v1:9:10785481:10787286:1 gene:DCAR_029686 transcript:KZM82073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFTLAALFSAFIFFTASALAAVPLEGLLANGNFEESPKPSDLKKTVITGKYGLPKWEINGLVEYISGGPQPGGMYFPVAHGIHAIRLGNEASVSQTIPVKKGSHYALTFGASRTCAQNEVLRVSVPPQTGDLPLQTLYDSMGGDVYAWGFVATSDVAKVILHNPGVQEDPSCGPLLDAIAIKELFPAQYTKGNLVKNSGFEEGPHLLINSSHGVLLPPRVEDPSSPLPGWIIESLKAVKFIDAKHFKVPSGRAAIELVAGRESAIAQIIRTVPKKVYSLTFTVGDAENNCHGSMMVEAFAAKEMFKVPFTSQGKGLSKTETFKFTAVSSRTRLTFYSSFYHTKAGDIGSLCGPVLDEVKVTPVA >KZM81561 pep chromosome:ASM162521v1:9:2641462:2644023:-1 gene:DCAR_029174 transcript:KZM81561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEVTEIIENAIRRVIGFGASQVIIPGILPLGCLPAILTTFKSNDTSAYDEYGCLKEFNDVITFHNDYLQRKIIMLQQENPQATIVYSDYFNGFKWLLSNAHQLGFDATSALEGCCGNDGPSHIDSSTDGCGGSNVPVCSNPDRYINWDGVHFTQKAHSVLASWVVADLIPKLNCVAEVQNFRSKNDGGKSGGGGKNSGKLQGEVKVNNGGKNKGGDGHNNGGHYGGGPGGDPYSNGSRGINVKGAAKHDGFHDMKSHNGGAAGRPMGQMGQMGSYPMGQMGNNPMGQMGQMGSYPMGQMGSYPAVEGHPAGAISGGYYQGMGQGNPYNQQQQQYMAAMMINQQQAAGGMYNPAMYGRPQHAMSYGPPPMMPPHVNDNITHYFNDENTDSCSII >KZM81587 pep chromosome:ASM162521v1:9:2960953:2961235:1 gene:DCAR_029200 transcript:KZM81587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSRVMMIARKVWPVQAKPTVAHSRRHEIILAFRHRQRSVISISSTWLLLVGGRTRMLMTLSHSK >KZM82264 pep chromosome:ASM162521v1:9:15106202:15109506:1 gene:DCAR_029852 transcript:KZM82264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIESTPQLGLVATLQYFCTTATIQLLILSAFSWVQFKSATTSLSLSLQRDSSEMSVIPNNHVATSISSSSCTFLGQHKLSTTIRTKNVWLPAKYTGICKCVATPEQTAYTTKVSRNFNIGKLQAGYLFPEVARRKTAHLLKYPDAQVISLGIGDTTEPIPEVITSAMAKKAYALSTREGYSGYGAEQGEKPLRAAICSEYYANLGIEADDVFVSDGAKCDISRLQVVFGSNVTIAVQDPSYPV >KZM81419 pep chromosome:ASM162521v1:9:979119:980444:-1 gene:DCAR_029032 transcript:KZM81419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFKCSISTLFVFILCGAIQVHAQRTYTCYRPDSPCFRKNITCPIECPSFRPAPYPHAKACYINCESPKCEAVCKHRKPDCNSSGAACYDPRFIGGDGIVFYFHGRSNEHFSLVSDHNLQINARFIGLRPAGRTRDYTWIQALGIQYGSQKFSIEATKAAAWNDNIDHLKLVYNDEDVILEETELSVWKSEQGNIKAERISSRNDVVFSIPNVVEISVRVVPVTKEDDRVHNYQIPSNDCFAHLEVQFTFSRLSPEVEGVLGRTYRPDFENPAAKPGVAMPVVGGEDKYKVSSLLSSDCRNCIFSPTTDATEKGALDIEYGTLDCTRGLSKGHGIVCRK >KZM82406 pep chromosome:ASM162521v1:9:18433899:18434624:-1 gene:DCAR_029975 transcript:KZM82406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKRLRFLWMQYEVVLKVDEDKCNMLDLVIEFEDEGKKSGAKLDYKYPVFSYVYNMKHVKLLNDNDLMSMFDRLSKKKVIDIYVGVQDNPNPLYELVLQLREQNNAEVGKMMDNHVNDMLENENEVGDIVEDVNNVDNMVEDCKNQGNEGVDSDSDSEYIGESEFDEENGHSEESVDDEASVHSAGSEDDDKMLFDRNNNGEEIVEKYGVGGNATIIFEDDKYASDDDDRVLLEEIEEGG >KZM83480 pep chromosome:ASM162521v1:9:32347617:32348584:1 gene:DCAR_031049 transcript:KZM83480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLSIQLCTTITIDELAPGLKTILSFVAPDQKSGKVEVQYFHENAGISTSIGLAAKPLVNFSGVAGNNTVALGTDISFDTSTGDFTKYNVGLSISTADLIASFALNNKFDTLSASYMHTVSPLTNTAVGAELTHSFSSNENTLTIGTQHALDPLTSVKARVNNYGIASALIQHAWRPKSLVTISGEVDTKAIEKSAKVGIALALKP >KZM81777 pep chromosome:ASM162521v1:9:5872953:5875022:-1 gene:DCAR_029390 transcript:KZM81777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEKLTLIMVNLAGIMERADESLLPGVYKEVGQAFDIDPTSLGSLTLFRSMVQSACYPLAAYLALHYNRAHVIALGAFLWATATFLVAFSSSFLQVAISRGLNGIGLAIVIPAIQSLIADSTDDSNRGVAFGWLQFTGGLGSIIGGFVSVLIASTSFMGIPGWRIAFHLVGLISVVVGILVRLFAHDPRFSDDKPRIPPQSFWSETKNMYAEAKTVINIPSFQIIVAQGISGSFPWSALSFAPMWLELIGFSHKTTALLWTTFAVATSIGGLCGGKLGDTLAKHFPDSGRIILSQISAGSAIPLAAILLLAIPDNPSTAFTHGLVFFIMGLIISWNGSATNNPIMAEIVPEKSRTSIYALDRSFESVLASFAPPTVGILAQYVFGYKPIPKGSTGSQETKADRENAAPLAKALYAAIFFPMVICVFIYSFLYCTYPRDRDQARMDAMGALEIDNLECEIRDSGKEYLQLSVTRSDELHEKDRDNQVEGSIDYDENDTKTLLPQ >KZM82569 pep chromosome:ASM162521v1:9:21234343:21235164:-1 gene:DCAR_030138 transcript:KZM82569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSADEDFITDLPQSIIEIILTKLPIRDAVRTSILSSKWRYKWATLTQLVFDDNCVSPFNDRIAAENKLVKFITRFLFLHEGPIHKFTLSTYYLQSSPDIDQWLLFLSRKGIKELVLEVGEDEWFRAPSCLFACLKLTSLELLRCELDPPPEFGGFSCLKYLNLQQVLVAPEVIENLISGCPLLESLTLSYFDSLALTVRAPNLKYLKLEGEFKDICLEYTPLLVVISVAMYMTDEKTEHFEQSSGCNFDKFLGGVPCLERLTGQIYFTKVR >KZM83535 pep chromosome:ASM162521v1:9:32758249:32761786:-1 gene:DCAR_031104 transcript:KZM83535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSYEDAIAALQNLLSEKSGLGGIAAAKIKQLTADLEAEFNPVEKIKTGFTHFKTEKYEKNPELFGELAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIANMVPPFDKTKYSGAGAAIEYAVLHLKVENILVIGHSCCGGIKGLMSLADDGTTASDFIEDWVKICAPAKAKVKAEMDHLALADQHTNLEKEAVNVSLANLLSYPFVREAVAKNTLSLKGGHYDFVQGSFELWNLDFSLTSSITI >KZM81982 pep chromosome:ASM162521v1:9:9531894:9539206:1 gene:DCAR_029595 transcript:KZM81982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHGNQGQQASQASRAHEAQGASQLPSGDKVKNEAGGHKSKSEVGRDDMGGDKTKNRDDMGGDKTKNDVDENKIKSQAGGNNIKINLGFFPRLNRLNQGFIFSPPPVAPSVVTQTPGNDHADYVDPQNKAPVVAPSGVTRAPGNDHADYIEPHNKARAEVGLGPLTWNETLAAYARNYANQRIADCELKHSDTKGAYGENIAQSPGPDELTPGVVVKYWVDEEKPFYDPGTKKCCGGECRHYMQVINPNTNMLGCALVQYSKKEGWVVCECEYEYEHDVKESPSPVPNAPPPRKAPKAVDEDLYNIFPDLLYANSKRKRVNLQQ >KZM83273 pep chromosome:ASM162521v1:9:30325127:30325321:-1 gene:DCAR_030842 transcript:KZM83273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFLSFNNLAPKTKNIVVAGGLTSFVFGVYFYTMRAVGGTDELQVAIDKFEAEKGKSETEVKV >KZM81755 pep chromosome:ASM162521v1:9:5470043:5473209:-1 gene:DCAR_029368 transcript:KZM81755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPERGSAYDEIYNEEIFDEDGRPKRTGTLVTTVAHIITTLLGSGVLSLTWAVAQLGWIAGSISLLSFSIITLFTSHLLANCYRCQSGKRSYSYMDAVKSRLGGLQMKLCGIFQYSFIFGLSVGYTFVASTSIRAIKCGGKKPCPALNRLMILYGMVQIILSQIPNFRKLALLSKIAAVMSFAYAFISIALSLTKIFQGHGHFENTLTGVPGGEDNLWKTLSAIGDLAFAFSFSTNLINIQDTIKSSPQEKKVMKKAISVAITATTKFYLLFSLIGYATFGNAVPGNMLAGFSSFKPLWIVDLANICLTVHLFGGYQVACQPIYAFVESWSSHKWPENKFITREYSVVDGCYDFNFFRLVWRTIYVIVTTILAMIFPFFSEFLGLLGAITFWPMTVYFPIEMYIAQWKIARFSRVWNCLQMLNLFCLIVSLLAAIGSVHGLVKSRFRD >KZM82996 pep chromosome:ASM162521v1:9:27463710:27464272:1 gene:DCAR_030565 transcript:KZM82996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAADDPWREERSQGLLGNFCSSFCNSISSCLYLVCCCWLLQDCLPGLRRPAHHRNHPPDPPGHHPRPTDPLVGPSPAPSRFGTPGGLPGVANPLAGPMGGTPGGPPGVPNPLAGPPGEDPVRFEPPGPPEPPGPRRY >KZM82592 pep chromosome:ASM162521v1:9:21645367:21646587:-1 gene:DCAR_030161 transcript:KZM82592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGLDFFSSPCHDSYATSDPVSKKSRMSHKLPTFQDAAGSRTRLDHSQTLPDDCLPKKEGFQPTSLGDEAGFAGKSGETLNSDHASCNKFSSDTRKSLVKHPVWKAYRVLSNHLGWVRSVAFSPSNEWFCTGSADRTIKIWDLASGKLQHTLTGHIGQVRSLAVSNRHTYLFSGGDDKQVKCWDLEQNKVIRSFHGHLSGVYCMALHPTIDVLVTGGRDSVCRVWDVRSKVQIHALSGHDNTVCSVFTRSTDPQLVTGSHDATIKFWDLRYGKTMTTLTHHKKSVRAMVPHPVEDNFASASPDSIKKFNLPGGVFMHNMTSRQNTIINAMAVNGDGLMVTGDDDGSLWFWDWKTGHNFQQIKSKVQPGSLDCEAAIYAASFDVTGSRLVTCEADKTVKIWKEETM >KZM83352 pep chromosome:ASM162521v1:9:31098465:31099520:-1 gene:DCAR_030921 transcript:KZM83352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTYMQEVEEELEAMEAIDVEDKEEDFISTARSTNEWTEFRDQLAKTILFPHAKVFMHIPEYYYLSNLDQNIASIIQTVYCFKPDGDKKNTEGVGLVIRESEMVYKAFNFDQEKLMRLKKLIMEDGTIKNCSTFVALTALVWRARTEALQMKPDQQLKLLFVVDGRSRLVNPPLPKGYFGNGIVLACSVSNAGDLVNKPLC >KZM82171 pep chromosome:ASM162521v1:9:12822404:12826917:-1 gene:DCAR_029740 transcript:KZM82171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVLKNLWVSPCFALSSEKKPRFRVRASSVSGGKSAVVNGVGVNEEKSKVLGVEGNGRVVLNGMEDKSGNDVARKKLEPLWDDGYGKQTVKDYLELAEEFIKPDGGPPRWFCPLECGEPMEDAPVLLFLPGMDGLGMGLILHHEALGDPYSSLSNIGHHHPYQSLNTPIHKLYELPTSPEYLFHEEVEEWPNRVRIIPGRDKLVDQSHVKALAGGQGAGHPKRQCRVKTESGSEPICEKNWEVIWSGQIRLIREFSVLVNS >KZM83606 pep chromosome:ASM162521v1:9:33306091:33313921:-1 gene:DCAR_031175 transcript:KZM83606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNYDSEELLDGSREASSAEGSAEGEDGSVLVNSVDSPNQVERIDQDDGVLVMGVDTLQDDQNEEKVIEDGGKDDMFVDCPDELVSFDGRIAVADNIEATASSEPQQGFEGYSAGLYISDKGSGDDLTGELERLQALLEKAVGEKESFALEYEAERRSLAQGLADLHHQLSALSTQGSLVNESDGGLVSHYDSENWTGEKSVVSDDTPLQDVICDCSKLVKHALDDRSQTQGTISELHSTLHKNNQEIQELTARVAESSVQQDTEAIANRVLSCLASAFNQDELLDISFTQKMCHIESATLFLIENYNSFLYETDLLRQCITNVRSDHNLQDGIGSIFLTAREELIEIRRKEVELTQKVIELEEKNSKQMEELARGKEAIDVAHAEIQKAKMELEHEKARFNNTKEKLSLAVTKGKALVQHRDSLKQSLADKTNELERCRIEIQEKVSAIEAAELCKKELTKCEDLAASLNEELSERNSVIKSCEKILLESNLPEDLQSIDFLGKIQWLANEKNKLMGTLQDTAIITREAANKEIERLTALILVESQEKHYLEEELEDLRCEYAQIVEKEYHHSSEKDRMVRLLHEASGISMYSAESSPSDLGFMIGQCIEKIKDQASASISSHLDGEIFEKMQSLLYTRDQEAMLFEEILEEDILNRSEMNQLVSKVAAVSQELRDIRDENDSLHHNLSRAEEKATLLREKLSMAVKKGKGLVQERENLKQLLERTRETAQNDIDRLAASILTETQQNNYLLDELEDLRYKYKGIVEREQHISLEKNQLIRMLHEASGIKMIDLEEPHSDMDNIVDRCFGKLREESAQVEWELFERIQSLLYTRVQEAMLFEVILEDDMLDRSRVNDLANKISVLSQELNDLKDEKDSLQNLLSHSEEKIIILGEDLSSATKERMELVQEQIDIKQLLDKTREAADIEIDRLTSAILAETQEKHHLEEVVEDLRYKYEGILQKEHQISLDRDRVVRMLQEASGMALNDPEEIQSGMDSIINQCFVKLKEQTKVSVESSQVGEDFIKRMQSLLYIRDFEAMLFKTLLEEEIPNESEVNHLTNKIAVISEELKDLKDEKDSLLNDLSRSEEKATLLREKLSMAVKKGKGLVQERENLKQLLDERNAAIEKLKLELEQQELSLNDYRTEIHKLSSDADCVPKLEIDLKSVKEERDQLEKFLAESNRMLQRLIGTIENITFPDGPAVEEPAEKVQRLAWYVSECEAAKTQAQHELELVKKEVATKSNELALAATKISVLVDEKEDAQGSKVATQVELQKVKEESSCLSSELAEAHKTIKALEDAMSQVQTNLSLLAEEYSTAKVSRTNLESEIEKLKEVAGMQARELADASSSLKSYEEAIWKAENTISELSGDKEDAAHEIQDLKSQLNSCMQELGDKQNAEQEIINLKSQLNACVQELAGTRGAKGIKSPELYGHLSSLQLLLKDESMLSLLRQSFQGIFDGLKKLDHILTDTKLHSNDMEMNIQQTLPISEEDSIALNQFPDDIQSIENIEMDDGELNTADDDNFISRIEKTVDNLQLKHKILADVCVRSSSFMDNLIASLSTKLMATRDEFLDVLEQMKALKKHIEGMEMDKQAQDQYMGTVVESLQNSLKESSTSFKKVVEERDIYQSRVCKLEADLEAFEILCSDMRHKLGEHQAQESRWQEREKELMSLYQKETEDPLLSAFQLKSLFKKIDGINIPFSEFEVDELEPHDSDHVKKLFYIVDCVGGLQREMASISHNSELQQSIIKDQATEIEHLKEEASAFVIYKQDYDKLKHDLAKGLENIIKKLAGNEVVGTLKTADVMEQLPLLEKLVTTIIFESENSKSKAQELDTKILKTQEVVDELSSKVKFFEKKASSGSIQERGSFEAHSLPPRSEISEIDDAGPVVNMPIPPGPSIAQVRSLKKGSSDQLAINIDSESDRLISKKDTTEDKGHVFKSLNTSGLVPRQGRTIADKIDGFWVAGDRALMGRPRARIGVIAYWLFLNIWLLSYIL >KZM82603 pep chromosome:ASM162521v1:9:21745178:21745834:-1 gene:DCAR_030172 transcript:KZM82603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIHFQTKVNNNNSSAPTQGLKLFGFNVVQDHKNVNPTNTHSASSDSSNFPTNHKFECQYCCREFANSQALGGHQNAHKKERQELKRAQMQATRNAAVTYMRNPIVSAFTRPAHLLPPPMESPPWAYMPRAVPPFPVSHGCVFSSTSTSAFGMRGAGSLPYGGNVAESTLMPAGPRIGRAHNNGPSLSRFSRGNGGPGFDDSCGLDLQLSLAPAAHE >KZM82917 pep chromosome:ASM162521v1:9:25856622:25857065:-1 gene:DCAR_030486 transcript:KZM82917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSAEYLANYGLANVTEFGEINHSVGELDHFLARDMGRTLIPASQLLDNGEVVDGPPPLKKQKLAPVLPMYRSDTMQADKDIEEAVNNTVSVGGAGSRAKDSFLHALAGTMNNLTHKLLATLDGIKGLMSFVQASSSSSAAKPGQI >KZM81638 pep chromosome:ASM162521v1:9:3849740:3852309:-1 gene:DCAR_029251 transcript:KZM81638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLTATHKLQRVITYSISTGAFRTTGLKKALASGGDKDEEDSEGRTALHFACGYGEVKCAQVLLEAGAKVDALDKNKNTALHYAAGYGRKECVSLLLDHGAAVTLQNMDGKSPIDVAKLNNQQEVLKLLEKDAFL >KZM81650 pep chromosome:ASM162521v1:9:4016392:4018990:1 gene:DCAR_029263 transcript:KZM81650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRYHNVANQGFDEWTITKALTLSDVDVTHPFLTLPRQPVENYVLVHLTQREQDMLMNKEQVTINAKDEDTGEVHLMKLKWRGSYYNLIGKWGSIVRAKNLEVGREIKIRWFNGCLHFSVPEQQVQAVTPLQDVAPPLLHDHWPITKVLTLSGVDTNHPFLPLARRSVEDHILVYWSHQQRELLRNEEQVNINARDVDTGDTYVMTLRWRGNYYNLFGKWEKILQHKGQGVGKEIKIRWGNGCLNFLVPYERNVVLLQGQDMWPIKKALTLSDVDTNHPFLTLPGKAVEDHILFYWTVQAREQLRNTRQVDISVRDDDTGVLYQMKLKFRGSYYNLIGKWGQIIRGKMLHVGREIRGQKLMMMGTADEIVKAPEKGPVFMEDLPEEEQVVAVMPFLEDIYSFTPFSSLCLSSLLSD >KZM83401 pep chromosome:ASM162521v1:9:31500786:31506011:-1 gene:DCAR_030970 transcript:KZM83401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRNQRLTREFLYRKSLEEKERLLYEKKRKIRQALEEGKPIPTELRNEEAALRQEIDHEDQNTAIPRSTIDDEYASANEKDPKILITTSRNPSAPLTQFVKELKFVFPNAESMNRGGQVISEIIETCRAYDFSDVILVHEHRGVPDGLIITHLPFGPTAYFGLLNVVTRHDLKDKKAIGTMPNAYPHLILNNFSTKLGERTATILKHLFPVPKPDTKRIVTFANQSDYISFRNHIYEKKGGPKSVELKEIGPRFELRLYQVKLGTMDQSEAQNEWVIKPYMNTFKKQKILGD >KZM82496 pep chromosome:ASM162521v1:9:20199622:20200176:1 gene:DCAR_030065 transcript:KZM82496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHGNFTTPLRCFLRHPTPHRTATPTPLAITNYSISDQELTSRGFTLHRTITHLNLDDLNSIFVAVGFPRRHPDKIRTALEHTDSLLWAQYEKTQKPVAFARATGDGVFNAIIWDVVVDPSFQGLGLGKVLMERLVDELVNKGINNIALYSEPRVLGFYRPLGFVADPDGIRGMVYSRKGKKR >KZM81690 pep chromosome:ASM162521v1:9:4516496:4521137:-1 gene:DCAR_029303 transcript:KZM81690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILVLWGLVFVGVLGVSKGYEYDEYLNGTEEVFAQDSGFGVSAKNNPLTVGLTVIHGAAAQRAVCLDGTLPGYHLHRGYGSGANSWLIQLEGGGWCDTVRSCVYRKKTRRGSLNHMEKEVVFAGILSNKVELNPDFFNWNRVKLRYCDGASFAGDGENKAAGLHFRGQRIWWAAMRDLKAKGMGHAKQALLSGCSAGGLASILKCDEFRELFPSSTKVKCLSDGGLFLDAVDVAGGHTLRNLFHGVVKLQGVHRNLPRTCTNHLDPTSVTASLAPPAADPHHYWGACKMNHAHCSTSQIQFLQRFRDYMVHVVKGFANSPKNGVFLNSCFAHCQSERQDTWFSDNSPLIGNKGIALAVGDWYFDRAGCKAIDCAYPCDKTCHNLVFR >KZM81362 pep chromosome:ASM162521v1:9:354011:357310:1 gene:DCAR_028975 transcript:KZM81362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWCVSMKSSPQFSRARSGFVNNGDDGFWGRRITGGVNNTDLSDLLSKRAKIGRRVGMIKPGAAFSVLTSENGKETLIAKDLQVPQIERKSASSNNVAAIILGGGAGTKLFPLTRRSAIPAVPIGGCYRLIDIPMSNCINSRINKILVLTQFNSASLNRHLARTYFGNGVNFGDGFVEVLAATQTPGEAGMNWFQGTADAVRQFMWDAKNKDIENILILSGDQLYRMDYMDLVQNHMDRNADITISCVPVGGSRVSEYGLMKINNRGQVIEFAEKPSGARLKSMQVDTTLLGVSPEDATKSQYIASMGVYVFKKDVLLNLLQCRYPKSNDFGSEIIPSAVMDHNVQAYLFRDYWEDIGTIKSFYDANLALTDEVPKFEFYNPETPFFTSPRFLPPTKFEKSLIKDAIISHGCFLQECSVIHSIVGERSRLDSGVELKDTLMMGADYYQTESEIASLLAEGKVPIGIGQNSKISKCIIDKNAKIGKDVIIMNKNGVQEADRPEDGFYIRSGIPIIMEKATISDGTVI >KZM82489 pep chromosome:ASM162521v1:9:20040376:20042559:1 gene:DCAR_030058 transcript:KZM82489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIMNPAQPESTINDQNGISSHPNGYEKTAPVSAELKTENGYRKSPEHDVHADDFTEYSKEVSKDSVAASGVHAENLLADSVIYTDKNILESDLPELIVCYQDSTFHDVKDICVDEGMPAEDKCLTDNLVSGNSTLPSKNFRHTDLTEEADTDISYEEDFKSSPYKDFRENDSAEGVDKGEDNLDKDTAYNGDSFQQKSSPESNKDQNSASFLMSQIQTDKEEFTAVDKTDEIACNTPTSPIQTGELECNAIDKTTEIVRDSTLSSEDLCGETSLESLLKSAKGGEDKSSQQSDEELDSQISGAHESPVRTEASNRNSLDDLLDSGVISFNVESSKLSPITIDEIDKTAIAQQLKSEKKLINDDGISDSRLVINDKIKRDQGESSFSVAGPLADAVPYSGHVPFSGSISLRSDSSTTSTRSFAFPVLPNEWNSSPVRMAKADRRNYRRQRGCFSRLLCCRF >KZM82060 pep chromosome:ASM162521v1:9:10571698:10578941:-1 gene:DCAR_029673 transcript:KZM82060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGETGGKAMKSLGGQTCQICGDNVGLTVDGELFSACDICSFPVCRPCYEYERKDGNKSCPQCKTRYKRHKGSPAIHGDSEEDGDSDSLFTSENQNDKQKVAERMLSWHMTYGRGDDIVTPNYDKEVSHNHVPLLTHSVEVSGELSAASPEHTSMASPGPGGGKHMHSLPYSSYVNQSPNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMTTSHTASERGGDIDASTDVIVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVVRLVVLCIFLHYRITNPVKNAYPLWLLSVICEIWFAFSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFTKKYNIEPRAPEWYFNQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRINGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVRVSAVLTNGPFLLNLDCDHYINNSKAIREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPFKPKNKKDGFLSSFCGGSRKKTSRSSKKGSDKKKSSKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPEALLKEAIHVISCGYEDKTDWGQEIGWIYGSVTEDILTGFKMHARGWRSIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYINTTIYPITSIPLLIYCTLPAVCLITGKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLLINIVGSVAGISYAVNSGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVLVWSILLASIFSLLWVRIDPFTTRVTGPDVQLCGINC >KZM83020 pep chromosome:ASM162521v1:9:27727157:27732072:1 gene:DCAR_030589 transcript:KZM83020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRVSNHSSTSASLTNTTNASHQFNITGYALSKGMGIGRYIASDTFMVGGHCWAIYFYPDGKSTEDNSTYVSLFIALASEGTDVRALFELSLMDQSGKERHKVHSHFGRVLDSGPYTLKYRGSMWGYKRFLKRTTLETSDYLKNDCLLVKCTVGVVESHTEGPKIYSISAPPSDIGQHFGKLLENGDGADLKIEVDGEFFTAHKLVLAARSPVFKAQLFGPMKDRDTECIKIEEMEASAFKALLHFIYWDSLPDMEDLSDPSSKWVSSLMAQHLLAAADRYGLVRLRSLCEAKLCEDIAINTVATTLALADQHHCFQLKSLCLKFIALPKNLKAVMQTDGFDYLQQSCPSVISELLLCVARVNEHSVISNGHGDEASLDGADINGRRVKQRIY >KZM81515 pep chromosome:ASM162521v1:9:2019838:2021865:-1 gene:DCAR_029128 transcript:KZM81515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVKKLSWIVLAVFMLLMLSSCYIYYVCREQGSYLRHVIIVTDRITALFSMIRSFIKNVSSELNKNRAEYLKFLYKLALLALSKSATGDANLWKVYWMTGFGIVAIGSYCQMLIVERKRAQLTPPSQSVTPPKSEKLLTTTNALDFIVFVSYLQLAFAYFMELNLKNHPWEVFWKNFSNATKVCIDVAGVVIIFMIIIEIANTEQQVEDRSVADQPKAVQWDVENQSAEVGMKDVKN >KZM83440 pep chromosome:ASM162521v1:9:31851146:31853883:-1 gene:DCAR_031009 transcript:KZM83440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLSVDGSCIESEKQALLIFKETLIDDSNYFSSWVGDDCCAWHGIGCDNITNHVTQLKLRNGNIGGKIHPFLLDLKYLTHLDLSYNRFDGIQIPEFFGSFKDLIYLNLGHSNFEGSVPHHLGNLSNLQYLDLSDYEDSWVPKLRMDSIRWLSKLSLAEHLDLSGVNLSNATDWFSSLNMLSKFISVLGLSRCNLPDNIPRRLPFMNLTSLVSFDLSNNYLSSSFPLWVLNNTNLAHLYLGYCNFDGLIPESIGSLSALSVLDLTVNSFQGPIPHSITNLTSLSELYFTDNKLSGSISPEMGNLTELTDLSMWLNSFKGSLPETFCQLKKLKSLNVGKNRLTGNIPECIGKLSNLNELILVENSWEGFVTEHHFINLTKLNILLISSDSELILSISSKWVPRFQLICLYMYSFKVGPKFPHWLLTQRKIEALKLSNASISDTIPIDWFLSLFSNSPGVDLSNNDIYGDQLSLISRAPNGLAALILSNNRLSGEFPAFLCNQTTLRTLALSHNNFSGELPQCLGNLTELIELDLMNNSLSGKIPSLGFLGDLQYINLHNNKFQGKFPLSFQNLTKLFVLDVGKNNLSDVLPTWSAEQLPNLKYLILRSNNFYGEIPLQLCQQSTIEVLNFADNQITGNIPACFGNFSAMVTGDISPNHLDYWGSVQMIDSMKGYEQVYTSTLEFLFSIDLSNNKISGEIPKELMDLQGLLNLNLAGNHLAGKIPDEIGKLKNLIFLDLSRNELHGPIPQSLSYLNFLSQLNLSFNDLSGRIPSGNQLQTLNDPSIYAGNELLCGLPILKPCAADTNSRNVKDGHNEADTDSVSDDELMWFFAALGPGFAVGLLGFFAALRFSDIGIYTSILVNRFMRK >KZM82410 pep chromosome:ASM162521v1:9:18534971:18536555:-1 gene:DCAR_029979 transcript:KZM82410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHEFRQILELFPIVRSRNYRADSESSTQATSRSIQNEQVDDWQDAWDSESNKDIDIKGIEEHGMH >KZM83641 pep chromosome:ASM162521v1:9:33604535:33639637:-1 gene:DCAR_031210 transcript:KZM83641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARRRTGSPVYERQWSSESGASAGSGCSSTSTSISNIKRTQNLAARAAAQRLAQVMATQTSDNGDDDDDDDLENNGMRFHFGAPRNASTNRTINTNHRARSSSPAVGSSARSTSTGRSANSIRAMPPMPPGTMPLRTAVSLPPIDPPTSKNRNKRFSSDMGKINLNDISDLRDASALHDELDMLQEENDLIFEKLRIEEDSCKEAEARVKELEKQVAALGDGVSLEAKLLSRKEAALRQREKVKDEAAAATDQFQKVKYEVRDLHSMTQRMVLNQQEMEEVVLKRCWLARYWCLAARHDIAASKHEYWSSLAPLPFEVVISAGQKAKENCWGKGDENPQWRSKHVQDLSDLTGEGNIESMLSVEMGLKELVALKVEDAIVLALAQQRRPNSVRQSISDMKSPGDTKFMDAFELSTEESEDVLFKEAWLTYFWSRAKVHAIEEGTANKRLQFWISRSGQSPTSHDAVDVEQGLMELRKLNIEHLMWEASRKKVDQDLSTTAHIK >KZM83008 pep chromosome:ASM162521v1:9:27591485:27595513:-1 gene:DCAR_030577 transcript:KZM83008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESLGPSFDLPEEVLDVLPTDPYEQLDVARKITSIALATRISSLETELSALSDAVSEKDDIIGQLQEQVESLDASLSDTADKLSVASQEKERLLEENANLSNAVEKLNRDVVKLEGFRKTLMRSLQDDDGNSGGALQAAAKNAANDAGLSTQSIVRDDTATSSLQSQPHVEHSSTENHETDVSRPPIAQGLLIASQTSTPRFTPPGSPPSKSSSASPKVTSRPLSPRRHSISFAGTRGMFDGRASFSSVPSSHSSMGYDSGRARVDGKEFFRQVRSRLTYEQFGAFLANVKELNSHKQTREETLRKADEIFGPDNNDLYSVFEGLITRKA >KZM81651 pep chromosome:ASM162521v1:9:4027102:4030893:1 gene:DCAR_029264 transcript:KZM81651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGPSSFRSMMHNGKHSLLPPKSPFPSITPSYVDYVPSPAIGVKGMPKPRDGNSYHQRTSSESVLIEDQPSWLDELLNEPETPVGRGHRRSSSDSFAYTDALSAANISYAAQNEYRPKNMTTIHSWKSQDLDFYKDAHNASFYADANSFSKIKNRSWNSPSYVMAHPSGNLCSKDNFIRHNSVSECASHEADQFPSNAEKLNTPESGSHDAKASSERKDLSYARTPASETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLENLTQEQLIKYLEHEVLEREIERLRTLYQQQQQQPHQQPPPSHQRAKSRDLDSQFASLSLKHKDSSSGTDSVAGPLNI >KZM82750 pep chromosome:ASM162521v1:9:23337245:23342707:-1 gene:DCAR_030319 transcript:KZM82750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHQLENHGSSESLSEVVVSNGEFVGGLRRTGDHSISSSADKNGTGRWPTIVLAYKTLGVVFGGLVTSPLYVYPSMNLKSPTEDDYLGIYCIIFWTLSLIGVVKYSWIALKADDQGEGGTFALYTLLCRYMDIGSLSSKRVHSNSTLFHASQYEGSKEQSRLGKFFETSVVARRLLFFIAMLGMCMLIGDGILTPAISVLSAIDGIRAPFPSVSKSLVESISAIVLIVLFLMQKFGTSRVSFLFSPIMGAWTLSTPIVGVYNIIHHYPSIFKAVSPQYIYQFFSRKGKEGWLLLGGTILCITGSEAMFADLGHFNQRSIQMAFVFTIYPSLILTYAGQTAYLIKNPNDHDDGFYKFLPTKVYWPIFVIATSAATVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSSKEGEVYSPEINYILMILCVAVILIFGDGKDIGNAFGVVVSMVMLITTILLTLVMITIWRTPPILVALYFIVFFVMEGVYVTAVLTKIPEGGWIPFAISFILAFIMFVWFYGRQRKIDYEVTHKVDMDRFELLLSDPVVQRVPGLCFFYTDIQDGFTPILGHYIKSMKCLHKVTIFTTLRYLLVPNVLPHERVLVRKLGIKGVYGCVIQYGYADSHSLEGDLVSRVIEKLRTHLLNYSDDAGSVSPDEEAVLDLEEAKRIDVVHIRGRTRFYIGENCGWFDRSMLSFYEIMHSNCRSALPALGVSLTQRIEVGMLYEA >KZM82514 pep chromosome:ASM162521v1:9:20570273:20570773:-1 gene:DCAR_030083 transcript:KZM82514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSVASKTLSRLLHFTHKTPLCQPFCTLTKPQAPTQKLQRIADELLTLSKLEMYDYSILMRHKMGLNKYGPAAAGLQSGSVSAGPAAEVKAATEKMVFDVKLEKFDAAAKIKIIKEVRAFTDLGLKDAKDLVEKVPVVVKKGLSKEEAEAVSEQLKALGATVVLE >KZM82462 pep chromosome:ASM162521v1:9:19728059:19729747:-1 gene:DCAR_030031 transcript:KZM82462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDQELRFVCKWCDKKYPCGKSLGGHMRSHVIAAANSAESDEKLSALMAETGLIKCEAPSVAAVAGAGGGGINGDFGGPSGGYGLRENPKKTWRAVGSTFPIQQQERICKQCGKGFQSLKALCGHMACHSEKERVLKDDHSWTSEDPKLVMDGHSDTEEAEDLRRQTRSKSKRYKRIVVKSSHFNLVNNNSINYGSSSVSEVYEQEQEEVAICLMMLSRDSGNWGGVNSVVESSDNNSVILETKSSSIDMKLGRKGGLSLGHEALEMKKLGGARKLKLSALDAESVQLENSHSGYFKNGVKMVDSDISVDELFRYGEYRKSLKGHEGVEISNADMDMGFNRGKNYETGLSKSFGKEKGFSEFGSSSKSLKYDLKRTRDAPESPELRKESLRRLIYEDSSDGELSKNVYKRSKYECLNCNKTFNSHQALGGHRPCHKKPTAKFGSRYASGENNLDDDDNPPDMTPTRKYESVSNKKQYASNAAKKIRTKKNKGHECPICFRMFKSGQALGGHKRSHFINGAEDFSNQVPLFKQDAAEIHDMIDLNLPAPEEDEADENEKFIY >KZM83036 pep chromosome:ASM162521v1:9:28150929:28151438:1 gene:DCAR_030605 transcript:KZM83036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDDIFFADLDKQISLLIMDDDDDDDDTIKFPVSSYRHPSANSLQPFSRVIHPRPPTQSQMFYEQSCITEQSKGTGVFFPRSTQPRRKNRQGRFTTRPSNTKFQKHSDDNSRDIPHGLPYNNYKFSFNHNRN >KZM83460 pep chromosome:ASM162521v1:9:32112467:32118227:1 gene:DCAR_031029 transcript:KZM83460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSLMKECFRKAKVVARREKDDMKECALQNEIPVVSDIFIHKENVKCDSGSQDKIKAIIPHPHFPPYAVESPKAIYRVRKCFGRGFVKNICGSQQEAPLWIWSARIVGVLTLGGLSISALNWVFTGKSSIRDLFNYEKELQRISKLKKKVARVEEQNKLFVELIETEEAAITKLKGADAEGVTNSKPETREVIGKFEIQPSDMVAEVFKDVKSKGIWYVKP >KZM81383 pep chromosome:ASM162521v1:9:577227:579928:-1 gene:DCAR_028996 transcript:KZM81383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSSLSGGVSGEPKNLSSPLFDSQKTPKPKRNKYAFACAILASMTSILLGYDTGVLSGAAMYIKKDLRFTDVQIEIIVGIINIFSLMGSFLAGKTSDWIGRRYTMVLAGAIFFAGAILMGCATNFAFLLVGRFVAGIGVGYAMMIAPVYTTEVAPTSSRGFLTSFPEVFINAGVLLGYVSNFAFANLPLWLGWRFMLGIGAIPSVGLALGVLYMPESPRWLVMRGRLGEARYVLEKTSDSKEEAQQRLDDIKEAAGIPEECNDDIVEVPKRSKDDAVWKELFLHPTPAVRHAAITGIGIHFFQMASGVDAVVLYSPRIFEKAGIKSDDKKLLATIGVGICKTLFVLISTFLLDKVGRRPLMLSSMGGMVIALLVLSGSLMVINHSSVTVPWAVAVAIVALYSFVSIFSSGMGPIAWVYSSEVFPLRLRAQGCGIGVAVNRGVSGIIGMTFISMYKALTIGGAFFVFACVAAVGWVFMFTLFPETQGRNLEEVELLFGDYFGWRKTLRELKKKEAAEAKNGTLVA >KZM81684 pep chromosome:ASM162521v1:9:4447998:4451754:1 gene:DCAR_029297 transcript:KZM81684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSEFYGTSKGKVEGSRSTDLAFVPNTGLVELVCENGQIVMQDQSINRKAPKMPTNNFHFQTPRFLDKDVEIETGSKVGKYGTIDSIYSDITTSAPLTEPVLTQDDDMAQWFNYPIEDPLQQDYCSDFLPELSGVTVNEPSMRNSFASTEKRDRYNQVVRNSHTVSVQNNEMAPGQGSASKPPPSKYAPSYHWSMPQGQASVSSVGSRVSDIQHEASGNLVSSQTPTGHLPNMKMQKQESGLPRSNSSLLNFSHFSRPAAIARANIQNASSIPPVASSAADRMVVNDTLPLGSGRNPAESTQLDQNCLSRKEMNYHTQPNFVSTKVDSTRAEPIQVEESLPLGRSDAVCREDANNNNKDKSSNPYIGGTRAKGVLENEKAGEPVGASSSVCSGNSVEKTSNDRRTNAKRKKCRDAEESEGQSEDVEEESAGVKKAAPVRGGSGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGMYMPPMMLPTGMQQFHAAHLPHFSPMGVGMGMGMGMGFGMNMLDMNGGPRGCSMIPMSTMQGAHFPSPHMSAAASFQGVAGGNLPVFGQPSQGFPMSAAGAQLAPMSGQIPIKSASGMIGLKMGVNVEVSKSVPAPTMDSKNLMKTGNLQEMQNADARISLNTQSSQFHTPNEEFDQSALVRKTDQGPDGGRTDVGGSTDDNSTRKNDTLPSRDAMNLHLYLQVVIDSNN >KZM81335 pep chromosome:ASM162521v1:9:129788:132616:1 gene:DCAR_028948 transcript:KZM81335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSERKVVAGYMMVEALNKKGYNGFTLSVPSFSELQNIYNLAAPVFITMTSKVGFYSLIVYFATSMGVQSVAAHQVMIQIYCLCTVWGEPLSQTAQSFMPELMYGVNRNLSRARMLLKSLVIIGALTGLILGCIGTSVPWLFPQAFSPDAAVIKEMHTVLVPYFIALSITPSTHSLEGTLLAGRDLKFISMSMSGIFSLGALVLLLLTGGGYGLAGCWWALAAFQWSRFGIALRRLTLRDGILYSDDLTRYKFGLQKTA >KZM82995 pep chromosome:ASM162521v1:9:27451905:27456261:1 gene:DCAR_030564 transcript:KZM82995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYDTTILLDIYRELQPKGGFEVVFVGVEVDYFPDPDLDCLGQKFSTLEDCFEYKFSSMPWPAIPFSDTKSRKLFTRFPLSAGYLSFDVSASVVIDPTGKVLRTNANNLFESYGARAYPFTHERMKCILYEIYEAGKRPSVMKLLASPERDFLINKNNQEVPLRDLEDKVVGLYFCKGDDDHHLTEKILEAYEQLVKVKNFEIVLVYIHYSFLGFQHTSEESYWKCFGEMPWLALPYKDPVCNTLQQVFNHPKYADPDGSEPNPNLVIIGPEGKFVERFGADILLKYGISAYPFTRKKVAKLEAKKMRNSNLFNGGNKNIPLVRTDGSIVQLSQLMGKRIMLIAENGIGLNNPDAKFWRILRARYLQMKGTSDEFEVIHICTKEGYSYGKNIATTPWLTLPAHSKGSSGSSLLGNFTSVGGLFAFDRDGSLVRRTRYPSIKWEKMDFPFYSGVWKEEVPLRDLEDKVVGLYFCKGDDDHHLTEKILEAYEQLVKVKNFEIVLVYIHYSFLGFQHTSEESYWKCFGEMPWLALPYKDPVCNTLQQVFNHPKYADPDGSEPNPNLVIIGPEGKFVERFGADILLKYGISAYPFTRKKVAKLEAKKMRNSNLFNGGNKNIPLVRTDGSIVQLSQLMGKRIMLIAENGIGLNNPDAKFWRILRARYLQMKGTSDEFEVIHICTKEGYSYGKNIATTPWLTLPAHSKGSSGSSLLGNFTSVGGLFAFDRDGSLVRRTRYPSIKWEKMDFPFYSGVWKEVLRDIIGRYQWNSLN >KZM82660 pep chromosome:ASM162521v1:9:22301530:22302973:-1 gene:DCAR_030229 transcript:KZM82660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGSTLKTSNALEGVNGVHLVPHSPFDSQEMNPQGEFHQSRCESAIIGANQIMTVQRIWQQRPSCLRPIHGCCLNGVASSLYHASRGRLRKYLRWADYATIATATVCLSRALRDENPKLLMAASALVLPIQPLMVSAVHTGMMEVAFAKRALKDPKLKMAHNVHKMSSLLGGALFIADDAFPQTPFLHAAWHLAAAIGVSTCNKLLE >KZM82870 pep chromosome:ASM162521v1:9:25387362:25388546:1 gene:DCAR_030439 transcript:KZM82870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAITVTEIQGRGRSVISTRPLKAGEIILKDSPILLYSAQSFVSKTPHYFCSNCFKSINSQTPSVVSCQSCLSFNQSTLFCSPECHSVALGSFHSPWVCEALRRFANPLISLYPDNLEIHLQARFLVAAFCLAVKSPEKFGALMSLQGEGLDSDEVRFLYSVVKEIRPPAEGFVVSLEVVAALLAKDKLNAFGLMEPFEEDKERAVRAYGIYLNASFFNHDCLPNACRFEYVDKNSGDDNTDMVIRMIHDVPSGREICLSYFPVNFKYVDRQKRLLEDYGFNCECDRCKVEANWSDDDEDGAGDGNGMVEEEEEWNEDEQMVEDGMAEEESDDQNNDFPHAYFFVKYMCNRNSCWGTLAPLPPQPLSDSSSDLMECSVCGNLTKDSSEFNDGI >KZM83202 pep chromosome:ASM162521v1:9:29566459:29568351:1 gene:DCAR_030771 transcript:KZM83202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFSALRQLTKFTPTISRNYTSATLQLLQLSITNQSLTQTLQSHARVCYLGLTQHSVIVTKLISAYSLCKNPLAARLVFDSVRVKNVFIWNTLINGYAKNGLCSESFELFREMCRNGDDPDNFTISTMAKVCGDVEDGLLGDLVHGVCVKNGFGVDIVVGNAFIFMYCKCGRFRDAFEVFDEMPERNVSSWNVMIGGVSGLGGDGVSEVVWEFVRCMVVEGFGFDGFTISSLLPFCGRSNGKCDFGRELHSYIVKNDGGFFVESDFYLGCCLIDMYGKSKRVNVGRNVFNCMRDRNIFAWTAMISGYVQNGDFDEAMFLFGEMQSKGRIEPNKVSLISIIPACSSLGGLLGGKQVHGFAVRKELNHEVSLCNALIDMYSKCGSLNYARKVFDFHCISKDAISWSSMISGYGLHGKGSDAVLLYNKMLQSGIKPDFITVVGVLSACGRSEMVEEGLDIYKHINEYGLEPTLEICACIVDMLGRSGQLDKALDFIKTMPLEPGPSIWGSLVNASVLHGNSDMLDLAYKFLIQVEPANPSNYVSLSNLYASSRNWDAVAEVRAKMKERGLKKLPGCSWISVNNETHSFYVADKAHHCSDLIYQMLQELIPVMMGASNSTILEDLALSYLEQA >KZM81574 pep chromosome:ASM162521v1:9:2801580:2801868:1 gene:DCAR_029187 transcript:KZM81574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQAASQTKFRALKHENGISGRVIVRVIACFQHLEDCQAEYFRLLLKPVT >KZM82025 pep chromosome:ASM162521v1:9:10077810:10082715:1 gene:DCAR_029638 transcript:KZM82025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVGKTKQYANVLDRPISKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPVDGQQRPRTTILIKFAEEVL >KZM82292 pep chromosome:ASM162521v1:9:16267127:16270045:-1 gene:DCAR_029790 transcript:KZM82292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLNEAKKDTEHNVTQPKEDNEYVRLVISSEPRTLDADILRPRSQPRIRSFNWWIKVIILSLITIVALLILLKWGVPFLFKKVLVPMMQWEATAFGRPVLALVLVASLALFPVFLIPSGPSMWLAGMIFGYGLGFFIIMVGTTIGMVLPYMIGLLFREQIHQWLKRWPQKAAMIRLAGEGSWLYQFRVVALFRVSPFPYTIFNYAIVVTSMRFWPYLWGSVAGMVPEAYLYIYSGRLIRTLADVQYGNHQLTAVEIIYNVISMIIAIVTTVAFTIYAKRTLNELESAERNRAESSVADHRTAELEKLPLEQHKHLNFPSSV >KZM82942 pep chromosome:ASM162521v1:9:26100938:26108323:1 gene:DCAR_030511 transcript:KZM82942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTVMEVGSDGVAVITLINPPVNSLSVDVLESLHETYRQALQRDDVKAIVVTGANGRFSGGFDITAFRKFQAGNSPAPKLGYVSVEILADTLEDAKKPAVAAIDGLALGGGLEVAMSCHARISTSNAQLGLPELQLGVIPGFGGTQRLPRLVGLAKSLEMILTSKPVKGEEALDLGLVDAIAPPEELLSTARRWALDILERRRPWINSLYRNDKLEPLGEAREILNFARTQARKQAPNLKHPLVLIDVIEEGIVSGPRAGLWKEAEAFEGLLPSDTSRSLVHIFFAQRGTTKVVPGVTDRGLVPRPINKVAILGGGLMGSGIATALVLNNYPVILKEVNEKFLQAGIDRVKANLLSRVKKGRMTQQKFEKTFSLLKGVLDYDSFKDVDLVIEAVIENVSLKQQIFADLEKYCPPHCILASNTSTIDLNLIGKRTKSHDRIIGAHFFSPAHVMPLLEIIRTDKTSSQVIVDLLDVGKKIKKTPVVVGNCTGFAVNRMFFPYTQAALLLVDRGADIYQIDRAITKFGMPMGPFRLCDLVGFGVGVATGKQFIDNFPERTYMSILLPLMMEDKRAGESTRRGFYVYNDRRKASPDPEVKKYIEKARSMSGITIDPKLTKLSDEEIVEMVFFPVVNETCRVYSEGIAVKAADLDIAGVMGMGFPPYRGGIMFWADSIGSKYICSKLEEWSNLYGEFFKPCAYLAERAAKGAPLVSSGTKKPHKDSNLNYF >KZM82782 pep chromosome:ASM162521v1:9:23917100:23918885:-1 gene:DCAR_030351 transcript:KZM82782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKAAKSSSVGTVRKRVEAQAAALKRARDGSAFVRCEECNKDVAAALIGMHSCSSEAKLKTNLESLVVESQTEAIKKKPKTESAAAKKERKPKEPKKPKDSSKPKRHATAFFVFMEDFRKTFKEEHPDNKSVAVVAKEGGEKWKSLTDEEKKVYIDRAAERKAEYERNLKEQNDEAEDNEGANPDEEGENEEAKVETVDDDE >KZM82572 pep chromosome:ASM162521v1:9:21254650:21260232:-1 gene:DCAR_030141 transcript:KZM82572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSVNMQGRMFDHIQSLEKSRTNWRIKARLTRFWPTFSPETSTIKGYNLILLDDDNTHVHAYAYPDNWRAIGKEVAEGKIYTVENFQVRDTIGKLRPVSTKLCIRLLSSTIIECVEDDALIPNHKFKFMDMGDLLEECNRLTENQNPEFAYDVIGVVEHFKHVTRKQTRYGERDQTRFMFSDGRLKFSVTLWGDFASSVNQTYTAELQKPVIGILTSAKLSTFRQENQIGALPSTKIYFNLDIDPVTEFRERLIEEGYKSPPDTDESSSEPAPRAVIERTSFKELIENSLSFVLKRTVVVKFVINKIEEEDSWWFNSCVSCHSEVEKVDKKFKCAECKRNFGYCEKRFRIVVLADDTTLVTNVILLDRVVKRMGATTVANILNLMKKIPKFKFQDSSVTAESAVFKSIVGKEVTALLQLSDANVNGDSNLYNVVDLCDSSMFETAIIQSTPAQATNSFSVDVDSPIVGIELFQTPGSSESVAKKIKMDFHVHAFVIPEALDDLNIPIYEGSMYIVENFVTRRAMGTLRPVTSDMCIILNETSIVTNIPLEIGRFPRYKFEITQLGDIYSIARNLAPDEEPLYALDIVGIIIDVGDTAIEATTYGMSQSVRFYLYDGRNTIRVVLLDEKVSLLGHILDGDYQLEPIVILTSMKPHFRNGVLQVSSTEATKVYVNIYYHAVWQIRRRLLDQF >KZM82463 pep chromosome:ASM162521v1:9:19762079:19763816:-1 gene:DCAR_030032 transcript:KZM82463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKRSFDEEGLQELFFKHPKQLNYTEHATSPPETNHPYGASQKADIPGDVLVNVSGSHSKDNVSNAQSEFNDKEFKPRAPGSWGISSTMEEDMGSRTVLYSSVFPELFEFSFPRRSVIQFEDTYSSLLNSAPRKEVPIGPDHQADVPVWDPTLAENYSIGFTDVNTDYTRKPFVGSSVIPMPELESSDVLGKTIGKKLECSCLDGGSVRCVQQHVNEAREELKEAIGLGKFVDLGFLEMGEEVAYRWTEEEEQMFHEVVYSNPVSHGRNFWVHLSLAFPTRSKKELVSYYFNVFMLRRRAAQNRSSWLDIDSDDDEWRQVGGPFGMEREDEKLAAISFDQDVRVDHGDDSQNEYDDDSSSDGNGDDNDDGDEHDIDDNGDDRLQFGEPDATDNMEGRYHSSKGKSEYSLDDHRVDHIPHNLAKSLGSFNKELLDVREDSCESIQPCVTGSDHPSDERVPLQGTMVNSAGEKILDEIGHGSTDKFGPQYALESCESKVWDVTYPVGLMTSFDLLPTCNMMEEIFGPCNWNKSSNDKSVS >KZM81440 pep chromosome:ASM162521v1:9:1224040:1231076:1 gene:DCAR_029053 transcript:KZM81440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSFKYVLLHEEYDATAPFFDVPAALKKGKIPEHFNFHNQCEKLEGEEGVDETRKSKRRRVTTSNMLEALEDGSDVIVGSNQWSWLTIDSEPNRFIGLECKVYWPLDNDQYYGRIIGYNPSTDRYHVKYNDGVEEHLCLSYERILFYVSSEEMQQLNLSCVQHPDVGSHDIDNMVALKMSNVTDIHGMARDSVKYIPLHEEYMATAPLVDSNGASKKRKIPEKNIDRKDVGDVISKQWSWFIGLWMMTGTMAAFLGTIYRLTEMQQLNLSRVQHPDVDSRDTDDMVALAGMLDHRSQLI >KZM82038 pep chromosome:ASM162521v1:9:10379828:10381772:-1 gene:DCAR_029651 transcript:KZM82038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEELERTRREMAELKALFTASNLGSSNVSDKASCQPFGEEGKHKETVDKEVLDNEDDCVAYDHPPPTEKKWASKTRKSYTPEELDEVRFDAINFIQDKM >KZM83234 pep chromosome:ASM162521v1:9:29848357:29860195:1 gene:DCAR_030803 transcript:KZM83234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDVQETLFKKVYYKNCSGCRVDQYKAVQHGLPIKELFAIWIVVLATVLPISSLFPFLYFMVKDFNIAKQEEDISYYAGFVGLLTMASVNGSITSRPMHVKFFGKNTRPWDYQLDVKTFTLEMVTAAWGTGLIIGPALGGFLAQETLHKHESLRISSVDSVGNLESALLVSEASEYTHEEKGSNPKGSLFTNWPLMSSIIVYCVFSLHDMAYAEIFSLWAVSPRRLGGLSFSTEDVGEVLVISGLALLFFQIFLYPLLEKKLGCIMTSRISAEFIVTGLFTLQNRAVDQHRRGAANGISMALMSLSKAVGPAIGGALRPSSSKFVMISTSDQIKWMKQLFAPLEVTDPEMADLIELEKNKQ >KZM81325 pep chromosome:ASM162521v1:9:70855:74538:-1 gene:DCAR_028938 transcript:KZM81325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLITGFVIAFLLVVVSCQLQTPALAIKKSYIVYLGVHDAPEINLPDAELHQRRAHSHHRFLSSFLGGSLDKAREAIFYSYNKHINGFAALLEENLATQMAKHPNVVSVFLDQGRNLHTTHSWDFLLLEKDGVIRSSSLWEKARFGEDTIIANLDTGIWPESESFSGHGYGSIPAKWKGNCGGIPCNNKLIGAKYYNKAYKRYTGRFNASLNTARDYEGHGTHTLSTAGGNFVSGANVSGHGNGIAKGGSPRARVAAYKVCWPPVNGSECYDSDIMKGIDTAIHDGVDVLSVSLGGKPSDYFSDGIAIGAFHAVKKGMVVVCSAGNDGPAYRTVSNLAPWIITVGASTTDRVFEAYVQLSNGQRLKGTSLSKPMSEGNFYPLIDAADATLANTSAEKGRLCMHGTLDPKKVSGKIVVCLRGQTARVAKGEEARNAGAVGMILCNDVASGNDIIADPHVLPASNVNFTNGLAIYSYLNSTKDLKGYLVPSYTHLDTKPAPFIASFSSKGPNVVTPEILKPDISAPGVSIIAAYSQGTSPTGLALDKRRTAFNSESGTSMSCPHVAGLVGLLKTLYPHWSAAAIRSAIMTTARTRDNTGHPVRGDFNREASPFSYGAGVIRPNRAIDPGLVYDLSMNDYLDFLCDSGYNSTMMKRFSEHKDYKCPPQSGGGFNLLNFNYPSISIPGKHLINNSCMVTVTRTLKNVGKPGTYAARVRQPSGYSVAVEPSVITFQYIGEEKRFKLIVEAKSCNLNSISESEGAYTFGVLIWSDGKHYVRSPLVLAAAPPPPHVVAAAAAPPPHQSQE >KZM81381 pep chromosome:ASM162521v1:9:563030:568067:1 gene:DCAR_028994 transcript:KZM81381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSAISASLSFSVSSSHNPSSLSQWSLKKPIISALSTPYGDSSRTGLSSRSHGLRLKVDEKESLAVASPSYGPIEAKKGNPPVMPAVLTPGGPLDLSTVLFRNRIIFIGQPINAQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYSMPNSRIMIHQPQSGCGGHVEDVRRQVNEAVQTRYKVDNMFAAFTGQPLEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >KZM81674 pep chromosome:ASM162521v1:9:4368596:4372485:-1 gene:DCAR_029287 transcript:KZM81674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPPMQFRRVTTEFESLLLNVLMASVREIDPLLKDLNEKNQSFRRNVVSLATELKDVRSRLASREQSFVRETLTRQEVETKAKNMEEEVCRLRKSLDEKNGQLQATASTADKYLKDLDEIRIQLASTQESADARAVLAQTSQLQCLVLLKELDEKNSSLREHEIRVHKLGEQLNVLQKDLKARESSHTELKDEVLRMEQDIMQAVAKAGSSGDRELRRILDEVAAPRNFDKINKLLSAKDEEILNLKREIHILNTHWELKSKELESQLEKHRRADQELRKRVLKLEFCLQEARAQTRRLQKMGERRDKALKELRDQLATKQQAEVSGVDKQNFWESPGFKIVVTMSMLILVLFSKR >KZM83516 pep chromosome:ASM162521v1:9:32595736:32596769:-1 gene:DCAR_031085 transcript:KZM83516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTGKLEVEVEIKSDAKKYWQNIRDSHTLFPKICADLYKSIEVLEGDGRSAGSIRLVTYADGTPLVTFSKEKAEVFDDEKMAMGYSIIEGELMEYFNKFEAHFTVCSKGKDTEGCLVKWWCDFEKTSHEIPDPCAIQEFTVKNLKEVDEYTLKQA >KZM81973 pep chromosome:ASM162521v1:9:9423275:9423445:-1 gene:DCAR_029586 transcript:KZM81973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVYGAEVNKLVVPAPEFVDDNNPPAYRGMKYEDYFIADQTSTTKGVTSLDLVRI >KZM83546 pep chromosome:ASM162521v1:9:32827330:32829330:-1 gene:DCAR_031115 transcript:KZM83546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKKLVNLTRAISTIACKNNPTTIPLTSLQLDTYIQPSDRDTIYSYNKAIDYQVKYGSLSYAHQLFDEMIVRDVVTWNAMISGYNKCGFFEEAVWLYRQMVVEGVCESSSTFSTVLSVCCNAGWCRRGLEVFSRAVVLGFGLNVFVVSAVISVYMGMNFFDVGFRLFSGLSERKLAVWNLVLRGCCDSGRSRELFGVFRSMGEEGVRGNALTFCYMIRGCGNDELVEEGKQVHCHVVKNGWCEVNIFVKNALVDFYSACGCLLDAEKSFVVISPKDLISWNSMVSAYGNGGLIFEALEIFSKMQYWGKKPSVRSFVGLLTLCREEKNIVLGNQIHCFVKKTGFDVGSAYVQSALIDMYGKCGEIETSVSIFQGDHEISLESCNSMMTSLLQYDMFDDVVELFAMMLAKGIRFDEVSISTTLKALSLSNCASLMGFLMLHCCVIKSGFVINSAVSCSLIDAYSRSGHVKCSHQIFEELPSVNVVSFTSIINAYARNGMGMQGLGMLKEMTEKDVKPDKVTFLCVLNGCNHSGLVEEGKMVFDLMKTVYNIPPDLKHYSCMVDLFGRAGLLEDAEELLKQAPRKDDSVLWSSLLRSCRIHRNEDVGRRVTTILMDLEPEDPEIWLQASNFYAEIGEFETSSHIREVAVARKMRLDIGNSLIETRGHL >KZM82543 pep chromosome:ASM162521v1:9:20898243:20899466:-1 gene:DCAR_030112 transcript:KZM82543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLMLSGNNFSGSIPKSIADLPTLMLLDLSRNRLSGNTLAVFKTNLSPSYVDLSSNELSGDIPASFFTGTSFLALGKNKFSGSFPRNLTDVLNLECLDLHDNNITGYFPEFISQFFNLQFLSLRNNSLHGPLSSKSFYNRSHLQFLDLSSNSLVGRIPSELGNLPGMSGFPSGYIVADGNGEIEVNWIEATLSLISGTYTITIEMNDLTVNWKNAMQGLSSHSRHNYTFLDLSNNKFSGDVPDSLGNLKGLKLLNLSYNELSGYIPQSFGDLESIETLDLSYNNISGTIPLSLKKLDQLSVLDVSNNKLSGKIPRGGQMDTMNDPSYFANNSGLCGMQIRVNCSKGEPTSNEGQEEDDDEKEPLFLWTGVWIGFPLGLISSVLTAFLGGYFVIPTAKYHSIHYRQR >KZM81573 pep chromosome:ASM162521v1:9:2796859:2797167:1 gene:DCAR_029186 transcript:KZM81573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSKVFMVSVLVMVLACSMVDKTTSRPEPYFPRLPNFPTLPTLAPSFPPIPLPSIPTPLLPGSLSPMPSSIPGLPGFNPPVSSVPGLPNFFGPPRAPPAQG >KZM81402 pep chromosome:ASM162521v1:9:805619:808728:-1 gene:DCAR_029015 transcript:KZM81402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPVIPVGPGMDLPIMHDSEKYELVKDIGSGNFGVARLMRDKVSNELVAVKYIERGDKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKLADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILNVQYSIPDYVHISPECRHLISRIFVADSAKRITMDDIRNHEWFLKNLPADLIDENKLNQFNEPDQPMQSIDEIMQIIAEATIPAAGGNNLNQYLTGSLDMDDDMDEDLESDPDLDLESSGEIVYAM >KZM83180 pep chromosome:ASM162521v1:9:29402757:29407411:-1 gene:DCAR_030749 transcript:KZM83180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSDDSRQRRKNKLKTRKQNDANQVSTRVAAIIAAKKRRKTGKRTMCQGMCYSLPTPEDPFNDRYAKEETDKIKEKKQKPRKDDRGKVINSNKEAQKKTTNALHERKGKYLNVDEEKMIDDMQQDGDINVTKIRAHLAGKSGKNGQPFEILECPSKFLILCLNSIQNALRHECASFTDVDKPLFVDTWGVEFWKCFSLGKDMVDVSGACSTTEQIAWITSTAADTIARKEKEGLSIPIPFLLFLVASKEKASMVRSVCKPLKALGIHSVSLHAGASLDHQIQGLKSCEPEFLVSTPERLLELLSLNAVDIAGISLLVVDEGIQPEIIKSVRKHIHGTPQTLIFNNCLDNESSPAVDSLLPQTVCRLGVKDAVKASASCNL >KZM83350 pep chromosome:ASM162521v1:9:31085573:31091467:-1 gene:DCAR_030919 transcript:KZM83350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVTSMIRALYEVVYVKSVCRYMGVSKDSFGGWEAKIRGVSIGNFDTEEEAALAFDHAAFRLRGRNAKLNFPNYSAKGELMSPDRPLTSHEKRVERGADAMDFGSGLCVGADTGELLAQSNKFIAPEENEEANMFQAQDGAHAFQQCPNVEFDWDAITPQEGVAVGNQGDAVKELYGQDDWYAIYAETQSSNFQTEKSPPHQETMEIEEDRLMLDHSHQLPIVEDSMHIKGLIVGAEKVDSYIHVSHKTCIAETSKEGKPSENEYSYDIRSSHFGGLRDDVTNLFNVSRSKFKRICRDDGIKRWRSCKKKLDSRRSSKLVRVSDEDPGEQILIFRASRGVLENQWMMSFHKKLHFAGDAAQELHVQDGYATNAETQSSNFRTEKSPPHQHTMHKYGLVVGAEKVDSCNHVLHKTCIEETSKEGKPSENEYSYDILSAHFGKLQDDVAKHFNVSRSKFKRICRDNGIKRWRSHKKKLDSRRSSKLGRVSDEDPSRTDSYCPGIPPLQDTDMITVKATYNEVAIKFELLDSSRMVDLEDSVIERLKVERDTFSIKYQDDEGDWVLIACDKDLQNCIKTSRLSEKTTIKMLVDRPIKHYAS >KZM82315 pep chromosome:ASM162521v1:9:17185050:17186531:-1 gene:DCAR_029813 transcript:KZM82315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIEGTGIVADKFFIRLNDKDTLSNKLCIVYVVNVLDGGWRFVSNWEFGAGILNEIDPPETFLARCGGSLPNRITYVLGDGKKFVGSYNSQTSRLTGLNQMFDILGIDCLNVVREFLFTYDGTKLIFICGFDYEGNEVPFEGTPLCMGSCCWRLLIRKRDDYHCATIEDD >KZM83351 pep chromosome:ASM162521v1:9:31096278:31096793:1 gene:DCAR_030920 transcript:KZM83351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAPQFIFSNYSTQLNVAFINITPSQARENGNHAIVGIFGIALSVLLTALQLKYQAGTDSAFQDHPIAMAIAIASFLLFCLVCDLEQYFGSTRRNSAFAIVLHHSLRLLGFLSLASLASVIFSTSTSSTSSLIFYLIFLCFFAVRSGLHWIQNRKLDRNRGACNFHNLC >KZM81878 pep chromosome:ASM162521v1:9:7502868:7504163:-1 gene:DCAR_029491 transcript:KZM81878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELESPAVLSKLITFLSSMLEKVAESNDMDALIHPQKVSVFHGLTRPTITIQSYLERIFKYANCSPSCYVVAYVYLDRFTQRQPALPVNSFNVHRLLITSVLVAAKFMDDMYYNNAYYAKVGGISTLEMNFLEVDFLFGIGFQLNVTPTTFHTYCSYLQREMLLNPPLSKSNSVPYITTSSKVHLFDEDNQSSQQQTQQELAV >KZM83572 pep chromosome:ASM162521v1:9:33067293:33067700:-1 gene:DCAR_031141 transcript:KZM83572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTKLNFVVLVYILFVIYGAQNTSASSIHRREKQNSRWFSDCLSGHRKLGLVDCGAHWSASLKSSMSPPPAPAKRVATRSKIASPPSK >KZM82681 pep chromosome:ASM162521v1:9:22464206:22464564:1 gene:DCAR_030250 transcript:KZM82681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLPPSYCGDCLVHTCCEPCALCQEYRELQNRGFNMAIGWDLNMTQVGITIDPPIGQMMNAMETINNIQDEPEYDNQDDTHDQ >KZM82697 pep chromosome:ASM162521v1:9:22608183:22609622:-1 gene:DCAR_030266 transcript:KZM82697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREKENFKNSNFKLSNNHANGDRNLQEGQWFRVMRHQVSGKRIVVPKKDIEVSVQDRVDNRTYAQVLKNTGSKREGIAGNHTDSIKARILKNGCMSVMVNNIPDQIRRRDSWLLFNRKRQIRDIILPKRRDKFNHRFGFLIVGSMTDAQELITSFNGTWIGRFKLTLYVARDFYGQPEHSFKKEVTRTDAKNRQRNRPQRKEALPTQVQSLGEQRVDGISENLVKQPSFRTIQGSISNGCQQLLNRSLVGSTKGSVQPDMLHAKILDRGFTFLTIRGLADKKFLISFISHDDKELDTSGISDLFLDIKVVEDCDLIVPRTTWIICDGLPLSVWNKETWELILADWGSLVTDFSDMSELATMTNGRVCIETHKVIPIDETIKVVINGLGYWVRIKETNLVFPNVRSQTWHSEVSSQCSTKKDTLKEAESIHSQSVNKSCSQEESIHIIDLQSHCLTTRPPLHITNRDHVLHLDKWENNI >KZM83319 pep chromosome:ASM162521v1:9:30742144:30748013:1 gene:DCAR_030888 transcript:KZM83319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLVANGGDISAAKPAVTGRIATVYSEVQNSRLDHALPLPAVIKNSFSVIEGPASSAAGNPDEIAKLFPCLFGQPSAVLVPGDGKGLLSGQNLKIGVVLSGGQAPGGHNVISGIYDYLQDRCKGSTLYGFNGGPAGIMKCKYVTLTSEYIYPYRNQGGFDMICSGRDKIESPEQFKQAQETAKKLDLDGLVVIGGDDSNTNACLLAENFRSNNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNITLVGEEVQHLIAELNEILASDVVDEGGLWKKKLAPQTLELFELLPPAIQDQLMLERDPHGNVQVAKIETEKMLIQMVETELEQRKQAGLYKGQFKGQSHFFGYEGRCGLPSNFDATYCYALGYGAGALLHSGKTGLISSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASLREEWARTNCYISPGPIQFVGQGSDKVNHTLLLELGVEI >KZM82068 pep chromosome:ASM162521v1:9:10684545:10687825:1 gene:DCAR_029681 transcript:KZM82068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREGVLLRGLDSRLSKDLPSDLQKLRCKVAFQALKFAPPILDLGNKLTARIQSKGPYLALHLPFAEAGIVVSKLSVDDSSWQKGAKFFPDRFISRQDLISWKAKLDYEVMPGLNILLFEPFRSVKEAVALFGERVLAGDVYHANRLQQAKALNPDFVRDKDERPKVAYN >KZM82185 pep chromosome:ASM162521v1:9:13130671:13130958:-1 gene:DCAR_029754 transcript:KZM82185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESTTSRAQLISFMLESSYQTINAQVTRRSQYSSRGFTNIKFSYLEAVGGVFSPHSSRIRIKP >KZM82761 pep chromosome:ASM162521v1:9:23699776:23703481:-1 gene:DCAR_030330 transcript:KZM82761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYERIYKKPLHHHQQQQQGSGGGLSPGKLRSMLMGVEKKRKQGRPQEGEEAEEHDSTFSLRSQVLEIHDNGDCGSENFKDVDVVCVLPQCSTSSTADSLALEMDSDHTLKDRPIYSSRVKSQDDISLDYDSGIDSITTPSSVFEFQKAERGLQRVALAPFSKPAPSKWDDAQKWIASPTSNRTKNGQPHGQGGVGLRKNNQFGYGSRQTSMKVVVEVPDLTLIPYEESDTKVMEMSQESKETGGPKLVTWESDTYQSTNSYNNTIENCVKGPAISLSQHDSSMSIHSATALVPPPSTARSVSMRDMGTEMTPMASQEPSRTGTPVRATTPIRSPSSSQPSSPTRASLSPYHPNNMSDTNKRELSEKEMQMKTRREIMLLGTQLGKMNIAAWASKEEEDNNASTSLKNLGHEQQAKSLIETRAAAWEEAEKAKCMARFKQEEIKIHAWENHEKAKNEAEMRKIEAEVEKMSSQAHDKLMNKLAAARLKAEEKRAAAESKRNRQAAKTEKQAEYIRRTGRIPSSFTCCGWWRS >KZM83082 pep chromosome:ASM162521v1:9:28601575:28601961:-1 gene:DCAR_030651 transcript:KZM83082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTQYLRWPSITSRDEALTYIQQVAIPHPWRHSICMDDTCIGYVSVKPEPGDDHHRAHVSYALSAEYWGLGIATDALKKAIAKVFKKFSYLARIEALVEEENKGSQRVLEKVGFRKEGLLTVEEVWV >KZM83098 pep chromosome:ASM162521v1:9:28692565:28692798:1 gene:DCAR_030667 transcript:KZM83098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDLRYEGIGGARIKCIEGHHNPNHIVQLGAPVNNTCETHKNIVVFLKVGGARAPWCPNVAPPLYEGDKVKQMLV >KZM81844 pep chromosome:ASM162521v1:9:6804503:6804979:-1 gene:DCAR_029457 transcript:KZM81844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSIKKHLLFSCIRNRSFSELWGLYDKPLVIKPLEAASQNKGKAILSEDFSAVNGANNHLPYSSIDKGKFSAFAGILNKPVVEAEAPLFAGVSSKEVLHLPVISSLEEFPLSAHVSAYSNFYVLVPVKDVLKALGIISHNPPGDSSITTSMGSSFAV >KZM82022 pep chromosome:ASM162521v1:9:10019049:10031378:-1 gene:DCAR_029635 transcript:KZM82022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSNKGRNKKGSHHPVEPAVSAEVTGSDKFTEPVVSADPIGNDKSSTELLKAVENGGVTVSEVNTTEHEVKESNDQNAENPEKQGESQLYTVPVKTQGREKKLDLQLSPGDSVMDVRLFLLDAPETCFVTCYDLLFHAKDGTVHHLEDYHEISEVADVTSGGCSLEMVAALYDDRSIRAHVHRTRELLSLSTLHSSLSTSLALQHEAAKNAPASAGESNKAEVSELESLGFMEDVSDSLANLLSSPSKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDVVTLEGNTYCITGNTRTFYVNSSSGDRLDPKPSKNASESTTLVELMQKISAKFKTAFDEILKRKGSAHPFENVQSLLPPNSWLGLYPVPDHKRDAARAENALTLSFGSELIGMQRDWNEELQSCWEFPHSTPQERILRDRALYKVTSDFVDAATSGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADIEHLPRKQSLDNNLKSEKTSQSQMTGTVDNMSDGSITINGDKSSGENREDVIPEVAVEAQLAESEQATYASANNDLKGTKSYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEEFHSKVLEASKRLHIKEHTVLDGSGSVFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYTGPGSRFCVLRPELIAAYCQAKEIEQSKSKAKLEDSTAVSEPSKVEDGEAQVEEKPAVSEPSKVEDGEAQVEEKPAVSEPSKVEDGEAQNIPEGEKVAAEECGSGHDAGTKSCTEILFNPNVFTEFKLAGSQEEIQADEESVRKVSLYLKDVVLPKFNKDLCSLEVSPMDGQTLTDALHAHGINVRYIGKVADGAKHLPHLWDLCLNEIVVRSAKHIFKDFMRNSEDHELGPAMARFLNCLFGNCQPISGKGVASGTKARTPNKFHLVHQASGKSTRGHGRLKQGVSAERKPMPYTSVTSDSLWSDILEFAKVKYQFDLPEDARSRVKKISVIRNFCQKVGLAVTSRKYDLAAALPFSPSDIINLQPVVKHSIPVCSVAKDLVETGKIQLAEGMLGEAYSLFSEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDTGKMQTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQACIRYTYLHEKKTYDILVKQLGEEDSRSRDSQNWMNTFKMREVQMTAQKQKGQTLNAASTQKAIDILKAHPDLIHAFQAATASNYASGSVNKNLNASVVGEAFPRGRGVDERAARAAAEARKKAAARGLLIRPHGVSVPVQTLSQLPEIYNLINPGLTPNVPKPEEADKAKKVNGHPPRGSGNAQDGEVKVEKRAETPAGLGAGISALGPEKKKSKAKVAA >KZM82151 pep chromosome:ASM162521v1:9:12324152:12326301:1 gene:DCAR_029720 transcript:KZM82151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLELLLFVILFVSSCELRICSGDGKLRFGKNGEFKILQVADMHFADGKKTPCQDVLPEQMKACSDLNTTAFIRRMIRAEKPDFIVFTGDNIYGSDATNPAASMNAAFAPAISSKIPWAAVLGNHDQESTLLNREGVMKYITGMNHTVSKLNPTRFHTIDGYGNYNLEVHGSEGSRFVNKSVLNLYFLDSGDYSTVPDIPGYGWIKPSQQYWFQQTSAKLQKAYKAKPVPQKNPAPGLAYFHIPLPEYANFDSSNFTGVRQEGISSASINSGFFTTLVEAGDVKAVFTGHDHLNDFCGELTGIHLCYAGGFGYHAYGLAGWSRRTRVVVASLEKTPKGGWGAVKSIKTWKRLDDRHLTTIDSQVIWKKGSSGKISFPFCVDYYAVTRRFALS >KZM82311 pep chromosome:ASM162521v1:9:17047964:17050586:-1 gene:DCAR_029809 transcript:KZM82311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNMSKKMIILLGRVFLIFLMNIGGVLSDPQTKLLKEDCSQFAIGIEMSEFLSNFNKTFGDIRKQLLTDSSIHFATAVEMNVYGMVQCRNYLSSADCVACLDVAWTRIRRNCPSGDGGHVIYQGCFLSTKKSKYDSGIFSPVVEGLLTDLVAATPKIKGFFAATTRPVLNGGATGSVYAVAQCIETISRKDCQSCLTIGYNNIQSCPPASEASSADAGCFLRYSDASFFADKRVTNITPYLGKRNMRSKTAITWGVVGSLCFLLLIFGVFLWYQLVRRPNMADRGDIVGVDKLQGPVIYNFKDLKSATRSFSEDSKIGEGAFGDVYKGIMKNGDIVAVKKLSVTTSKAKTDFENEVRLIRRIFLKKLQLAGEKRGSLSWKHRVDIILGIARGLAYIHEQFHSCIIHRDIKSSNILLDDDFQPKIADFGLARLICDDQSHVSTKFAGTVGYTAPEYAVHGQLSEKVDTYAFGVVVLEIVAGKRCTNLTAEPVAVSLLEHAWNFYENATLSDFVDETLDPKDYVVDDVRKIIEIALMCTQSPTSVRPTMSKVVALLTDDHSIEQKPVGKPTFF >KZM83580 pep chromosome:ASM162521v1:9:33095104:33099285:1 gene:DCAR_031149 transcript:KZM83580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDYETINENVKKAQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLVFPADTIARAKHYLGLTSGGLGAYSDSRGLPGIRKEVAEFIEKRDGYPSDPELIYLTDGASKGVMQILQTIIRGPGDGILVPVPQYPLYSAAITLFGGSLVPYYLEETANWGLDIQNLRQSVAESRAKGITVRAMVIINPGNPTGQCLSVANLQEILRFCNQEKLVLLGDEVYQQNVYQDERPFISSKKVLMDMGQPINKQVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKVASISLSPNVPGQIFMGVMVNPPKPGDISYDRFIRESKGILGSLRKRAQIMTDGFNSCRNVVCNFTEGAMYSFPQVRLPPKAIEAAQKVGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMSSFKKFNDEFMEQYEESRGYSRM >KZM82174 pep chromosome:ASM162521v1:9:12887055:12912728:-1 gene:DCAR_029743 transcript:KZM82174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDDKPSQPSPSISKSPVTYAIWPPSQRTCNAVTKRLLETLSSPSVISKRYDTLPEEKARVIAAKIEGEAFATAFITASPDDDGIEILQGYESKTLLLISHAFEACNHFSSLILLLTSKTGDFRRPLRALVLGMHRVGSSGNTSNSVRPRKEKRLTYVLSDADDTKHCAGINCLALLKSPVPDGHDSLFTGSRDGTLKRWTIADNNATFSTTFESHIDWVNDAVLAGGNTLVSCSSDTTVKTWNSLSNGTCTRTLRQHSDYVTCLAAAERNGNIVASGGLGGEVFIWDVEAALSPVTKSSDTAEDDCSNGNGAGNSQPTTSLRTVGSSNSISLHTTQSQGYVPITAKGHKESVYALAMNDTGSLLVSGGTEKVVRVWDPRNGSKTMKLKGHTDNIRALLLDSTGRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLSTRESILLCTKEHPILQLSLHDDSIWAATTDSSVHRWPAEVHNPQKAFQRGGSFLAGNLSFSRARASLEGSTPVPVYREPSLSIPGTRGIVQHEILNNRRHVLTKDTVGSVKLWEITRGVVIEDYGEVSFEKKKEDLFEMVSIPAWFTVDTRLGSLSIHLDTPQCFSAEMYSADLNIVGKPEDDKINLARETLKGLLAHWLSKRRNRFGTKALANGDVPTGKEITSRSITLSKVEVDGNSENDSLVYPPFEFLASSSPSIITEGSQGGPWRKKITDLDGTEDEKDFPWWVLDCVLNNRLPPRENTKCSFYLQPLEGSAAQMLTQGKLSAPRILRVHKVVNYVVEKMVLDQPLDIVNPEGAKSSGLPGGQIQHTSSGGDASFRSGLKPWQKLKPSIEILCNNQFAINGTGIVPHYTKYWMKGHAADSYYAAQKEMFRAKREAITMNSDVTQSVERKKGAACTALDLNATVDLTKDRTPACAYNNNNLTSSSNIKIDKLKGFGFDLNVEDVSSSADYDLVCPNKHIKQLKLRDDSECESTCNPVEDKDPMKVWKEMKQNGFMSSSHGGIPIPKPRGRKSKADGLKKKMEIARREQVDRFAKIAAPSGLLNGLNPGIINHVRNSKQVHSIIEALVRSERRHNFHTGNNKEKIQMKTERIESSDNRRNLNLSGIEGYNLCQEDCLLNNALTIRQTSHFPVRDNNASSLDTSTLCDQDSTDLSSVSSLSFKAANVASQWLDLLQHDINGRLGALRRSKKRVRSVIQTELPLLISGEFSHNQENDTYVRKIPFSTSSVNATANMHKAKWNVLFNQMENALLSEERQLENWLNQVKEMQTQCGCGLSQLTGKPGMQQLGIPGTEYRIENSEDLEGVVAVMAAAAAFYSTCNFSVENLPCF >KZM83309 pep chromosome:ASM162521v1:9:30693624:30696885:1 gene:DCAR_030878 transcript:KZM83309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSFILWLHGLGDSGPANEPIKSLFTSPQFKNTKWSFPSAPSSPVTCNYGHVMPSWFDLLEIPVTAHSPRDESSVLKAVQKVHSMIDKELAAGTDPSNVFVCGFSQGGALTLASVLLYPKTLGGGAIFSGWVPLNSSFIERMTPEAKKTPILWSHGIVDKTVLFEAGQAGPPFLEQAGMSCEFKAYPGLGHSISNEELRYLESWIKPRMKSSS >KZM81764 pep chromosome:ASM162521v1:9:5756933:5759842:-1 gene:DCAR_029377 transcript:KZM81764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGGAWKNTEDEILKTGVMKYGKNEQNKARWNEWLDPSIVKTEWTREEDEKLLQWTKLMPSQWRTIAPNVGRTASQCLERYERLLDAACAKDGNFEAGDDPRKLHAGEFDPNAESRPARPDPVDMDQDKIEMLSEARARLANTRGKKAMRKAREKQLDEARRLAVLQKRRELKGAGISDGHKKRKRNGIDYNAEIPFEKQPPLGFYDVADERQIVEQLKFPTTVEELEGEKRCDKEARLRRQEAARNFILQRHNAPSATPQANKCNGPEADTKRAKLNLPTLQISEHELEHIAKFRLPSLSKELAEGSGATCDLLIDYVQTPCQGATSIRTPQRTPASKHDAIMIEAENQAKLKVSQTPLLGGENPELQHSDCMGVTPKKREIQASNILTPSVTSLLDLQVSIILQPIPQDNDEPEEKMEEDISDRIASEKAEDEAKMQDLHKRSEVLQRELPRPSPASLQLLKNSLLKTTVGNCSFVPPTLIEQADVMIKKELLSLLEHDILNYSNNGKVQKEKEDATRTAKENSGFLPLTDQFELDELKEADYYLMKECMEMSRESELFDKFVEEHKTRLNEMMYFTTRKGYNLSSVVGNLEKVTALQAEYENVRRWMDTNDKKVEKFEKRINLLTNGYLQRSRNLWSQIEATFKLLNNAETELKCFRALSNQEQLAALHRVSTLCGEVEKQKELEQTLQKRYGYVLSAQVRIQEEYAAKQLAL >KZM82909 pep chromosome:ASM162521v1:9:25785497:25785928:-1 gene:DCAR_030478 transcript:KZM82909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAKRPSSGSEEDDRYMMITEDKKRKRMISNRESARRSRMKRQQHMQDLNNQIMFYKNRRTEINQSISNFTEQFTAIENQNRIMRAQKEELRKRLEAAELVTSYLDAAKGYPVNTAEEPWYYNLWQPSQSMPIMTSAEFFQF >KZM82532 pep chromosome:ASM162521v1:9:20747188:20749743:-1 gene:DCAR_030101 transcript:KZM82532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLSMIKLLVRLPFIFLLVPCLCCPAYQKQSLLHFKSSLLTSYETSNSSSFGLESWDSITDCCKWTRVVCSSHSRDITALHLDHLRMYYVYKPVNVQILDPIYGIRSLRFLNISRTLIEGEISGEGLANLTKLIHLDMSWNLLNGSIPAQLFQLRFLQSLDLSVNSLKNGLSGEIGKLENLRSFKLDKNSMDGNIPVQIGNLTRLQQFSVSRNKFLGRIPESILNLKALEKLDSQNLSVLDLSRNNFSGELPQNIGHALNMTVLMLSGNSFSGSIPKSIGDIPFLMLLDLSRNRLSGNTFPVFSSYRSPQYVDLSSNELSGDIPLSLFEGTSFLALGKNKFTGSLPRNLTHIENLEYLDLHDNNITGNFSDFLKLPNLHVLSLRNNSLHGSLSTNSFYNKSSLQILDLSSNNIVGSIPSEFGNLRGMSGNSSGSRALASGNNEIEVNWIEATVSLFSGMYTTRIEINDLTVNWKNAMQGLSSHNRHIYTLLDLSNNKFSGDVPDSLGNLKGLKLLNLSYNKLSGYIPQSFGDLESIEALDLSNNNISGTIPQSLGKLDQLSVLDVSNNKLSGEIPRGGQMDRMNDPSYFANNSGLCGMQIRVNCSKDEPTPNEGQEEDDDEKEPWFLWTGAWIGFPLGLISTVLTAFLGGYFVIPTAKYHSIHYRQR >KZM82023 pep chromosome:ASM162521v1:9:10061170:10061582:1 gene:DCAR_029636 transcript:KZM82023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSLWLNLNEVPEGENLFMGQELSKVKEDLNELSHAEQLKMSIEKSEDRFLYMIYETYFPSVLGLKEIERCPAENKEFAEEKLVMPEKENIAIKYVVDVMMEIYFPSALWVMCS >KZM83168 pep chromosome:ASM162521v1:9:29311285:29312502:-1 gene:DCAR_030737 transcript:KZM83168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGFDTEHFDQCVGRYIYVHDLPSKFNVDFLKNCSARFRWSEQCDFLSNFGLGLETTDSGDILQNRSWYATYQFVLEIIFHSRMKMYKCLTNESALASAIFVPFYPGLDLLRYLRDDPDFKRDSNSIELVKWLTSKKEWKRMQGQDHFFVSGRTTWDFHRLGDNQSGWGNVLLELSETKNMTILVPESKRWSKNEYAIPYPTYFHPSRDSEVFQWQSKVKKAKRPYLYAFVGASRPKSEQSIRSMIIDQCLVSGEYCNFYNCRVKNCKNPVNVISMLQRSKFCLQPSGDTATRRSTFDSILAGCIPVFFNPKSAYIQYKWHLPQNYSRYSVYIPEEKVRQEPESIERILKGISRSQVRSMREEVIGLIPRIIYADPRSSLDTLEDAFDIAVRGVLERVDRIRKK >KZM81858 pep chromosome:ASM162521v1:9:7018337:7022506:1 gene:DCAR_029471 transcript:KZM81858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANPFDLLGDDDNDDVSHLIALQQQKKAAAEKSAEKAAPADKSAKPAAKLPSKPLPPAQAVKEAKSEGGRGGGRGGNRGGGGGRGYGRRDGGGYDRRDGGGYDRDSSRNENSFGNGGTGGGQGAIRETDSGRTFERRGGYGGPQSGFRGERRGGYSNGEEGEGDRPRRVFERRSGTGRGNEYKREGSGRGNWGSQTDELSQVTEEVTIEGEKKVDTEKPSVEDDALDGNKEKPTNEPEEKEPEEMTLEEYQKILEEKRKALEALKTEERKVEIDKDLAAMQPLSSKKSNDEIFAKLGSDKDKRKEIAEKEERAKKSLSINEFLKPADGEKYYNPGGRGRGRGRGSRGGFGGGSGGSSYAEAPAIGDPSQFPTLGAK >KZM82713 pep chromosome:ASM162521v1:9:22872395:22875076:-1 gene:DCAR_030282 transcript:KZM82713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAVVSSAITMLGEFIVQEVNIRLAVKDNVRWLRDELGFLQSSVRQAESRQEEDLVRDWVNNVRDVANDAIAILRKFSDLQQEHAAPKRGVLDCFRGMCKKEATLYDIGNDIESLKERIVEIKNRRTDYRIDNILATPSKQQKERSLLRTTAIDIEVEVVGFEEDINILTAEIDSTDPFLKVIYIHGMGGLGKTSLATKLHNSHELRHFDTRAKVCISSEYDIKDVLKRIIKSFMGPEHEQHLSNLTEHDLLQYLSKLLQDRGRYFALIDDIWDMKVWEQIKIAFPDVKNGSRIIITTRNKDVTRMADDKCFVHPLRFLMEEESWQLFCKKAEPTTKSMDKLGREMVGKCGGLPLAIVVLSGLLSEKPKYEYWSNVKEHIWRHLKDGGSFRIEDILSLSYNGLTSKKKRDCFLYLARFAEDQIINVDRLKLLWIAEKFISEADELDGVAMEDLAEDYLNELINRNLIQIATLLWNGKVSHCRVHDLVHELAINKAKELKLLGTFDSSQHPKTIALLEGQPRHAIYNGIGEYFNLLEGRSDISLNLCSLALLNNLSVRVEIEEMKLDTKFKNLKVLDLTSLDLDRIPEEIGHLVLLEFLGLTSCSGLELTIPTSIGKLKKLQTICGGSSDCYYKMPRDIWELKELRHLTQGRYKTLPKFDSRNMKIVSHHTNLRTLDTVLYGEWMQIHTIMNITNLHTLSVLTIKAPTGNDYTLQSVGDLKNLQTFTLSSILTEIPTLEPLLSCNRLKLVDLWGTIKGPSELSFLPDSVTDLRLRNSEFTEDPMPSLGSLPNLTALELKKVYDGRQIFSGHNAFPSLQILKLTDFPNLEEWEIEDGALPCLRSYDQLGCVGLKTPSQLQRLWKEQLQQMFMPMYGGDVELTNYVVDGLMGIHN >KZM83249 pep chromosome:ASM162521v1:9:30136388:30139973:-1 gene:DCAR_030818 transcript:KZM83249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGIEEAAADEQTQVPPVGGSPGPNESMQMFPVMYPVLIPPLQQAQDQVNRRGGLYAVPTYPFMGPMSAFPPTTLIPFTYNVPTGAPTSEAGQMGGEQGQVRQQEQQQQQPGQQRQVVVRRFQIAFQIDLFLILKLAAVIFLFNQDGSKQRLFLLVFFAALIYLYQTGALAPLVRWLSQGMQRAAAPPQPPRAAGRPDNINGDAALAGGQPGAENENHAENNGQQAVMNDQEPGIGNDGNRWWGIVKEVQMIVFGFITSLLPGFHNID >KZM82698 pep chromosome:ASM162521v1:9:22654646:22657193:1 gene:DCAR_030267 transcript:KZM82698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLAPVMWSSEGHLDGGHNTHGGLRSTRTSRVRAVVIRSEERKPELCFFQQNSAHPWVVWQLNQRLGDKRFILKKPLIRVSRYRLARFLAKDGASTRTSHVEFRRAFRRVRKSRAWTWCWAGLVIDFELVEEVDYLIQSLVEDEVMGQWLVHDGSKEESCADEVIVIDD >KZM83110 pep chromosome:ASM162521v1:9:28826654:28833186:1 gene:DCAR_030679 transcript:KZM83110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGELRQAHRTMTEAFPNQVPFVLADDSPSGSAHVPEPHTPEMPHPIRALFGPDDLHKGAVGLSASDLHSVKSGSSFGDCDAGINKKGLKQLYEMFGSGEILPHKMKFAEGPGRKGVGNHGIGEKEENLHDDSQESEENQNLKIKIISESDRASKAENEVQNLKKVLNDTLAEKEDVLSLYQQSLEKLSSLEGELTCAEKDSRRLKEEASKAESELFQFKEAIVKLEAEKDAVLIENKNYLEKISSLEVMVSHASEDVKGLDERTFKAELEAQYFKSELAKLESAKEVSLLQYKQCLEKISDLEKKVFLAEEDARRLTRQAERAQTEVEQLKTTLAEVTAEKESTALKYNLSLEKVSALESKISSAEEEVRCLSNEIVLGATKLSLAEGKSDLLEKSNQTLRLEADNLVKTIASKDKELSERHAELEKLQVCIQDEHMRYVQVQGSLHSLQNMYSQSQEEQRSLALKLKDGLQVLKEMEMCKNGLEEEVQKYKDENQSLNELNSTSAISIADLHNEISGLTMLKERLEEEVTSQLGQSKSLLEEICRLKEEIARLNMSYQTLIKELELVGLSPECVVTSVNNLQDENLELRQIHENDKDEKETLFKRLANMQELLVKNASLESLLSDVNGKLEGSHQKAFELQESCNILHAEKSELAAEKALILSQLQVITENMQNLLERNTLLENSLSSANVEIEGLRTKAKSLEDLCQLVGNERDNLVAERSALAVQLETVGQRLDSMERKFTEFEEKYSGLEKEKDSTHSQLEDLRVSFRIEKEERASLLHWRESHLASLENHIHLLQEECRCRNKEYQEELDKGVISQFEIFMLQKFVKDMEEKNCMLWTECQKHIDASKYAETLITELENENLEQQMEGDLLVVEIEKLRLGIYQIFKALEDVPDNGTDEKVKNEQICVHHILEDVANMKLSLAQSNDDKQQLVVENSVLLSLLQQLKLEGLEVMSEKNNLGQALKRMTEKFFVVQSERQDLLEMNSQLRTELSMEKHHSDLLITDVESLHVKQTCMECAYLELQEEYSQALEDNRTMLKKFSVLKEEKLIVEKENNTILLEMLTSDCISTIYEGFKSEDAEELKALIEDLNNLHGVHDELEKEASALREKLDINATENLRMQNVVEKVEMELSGVKYQNCRLKQEISSGADLLSHREKQLSDAEQKIMITENLNSELCRSLEELKKVYKETEIAKENLEVQILQLSHNNMSQHEEIQVLLEVKGELEAKLCKLNEQIVERRIREENLNSELQERHKEFELYDAEASTFYFDLQVSATREVLVEEKVHELTGVCETLQSESASKTEVIEQMKERVNSMEREIGGLKAQLLAYAPAISSLKDDIKSLEQSTLSWGKHVGAVNQSPKDVEMEAPTHGKGAIDVQQYPMQDGLSDLQMLRNRIKEVEKAVVEQMNKNEIHMKDIPLDHVSDRSPYGGSRRGTSSADDQMLVLWETDDADHTDINQKEVYKTFGDNIVYDHSEDAQNRNTHPSSASEMEKELGVDKLLVSTSKSKPDFGGNHKKILERLGSDGQKLATIQLTVQDLRRKLETNKKSKKAKNVDLETVEEQLQEVEETTVQLFNLNGQMTRKIEEILFYADGKSSTELEEAVSVQKKRVAEQVRKGSEKIGRLQLEVQKIQYVLIKLDDEKNSKGKNQASKSKSRTTIILRDFILHRGKRSSGRRKKGRFCGCFKPSVGEVNI >KZM82120 pep chromosome:ASM162521v1:9:11731913:11734719:1 gene:DCAR_031827 transcript:KZM82120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTEEQLEALTSAFSGLGVDEKILISVLGKANADDRKSYRKGIQFFAEDDRSFEKWEDDHVQQLEREFSRFKNAMVYWTMHPWERDARMAKNALLKGAQSYNVIAEIACTRSSEELLGARKAYHSLYDHSIEEDLAVNVSGPECKLLVALVSAYRYEGSKVNEETAKSEAKIFCNAVKDASKNPVEDEEVIRILTTRSKLHISTLYKHYKEICGNSMDEDLDKESLLKVTVQCLSTPEAYFSKALDDALNGEAEEHTKDALTRVIVTQADANLQKITEEYHHKYRVNISDKIDEEVNGNYKDFLLTVLARGR >KZM82381 pep chromosome:ASM162521v1:9:18174619:18178665:1 gene:DCAR_029950 transcript:KZM82381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPLTTSLRLRPLPKQSPPSILHSTRSISLKPHTFNTTPLSLQTPTFSRRRLLVVKAAAAASPSVPVPAKPDPPKSPPVGAAIGPLTASIATGIILWLIPVPAGVTKVAWQLLAIFLATIVGIITQPLPLGAVALMGLGACVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVKLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEEKLGSWLMLTCFQTSVISSAMFLTAMAANPLSANLTFNTIQKTIGWTDWAKAAIVPGLVSLIVVPMLLYVIYPPTVKSSPDAPKLAVERLEKMGPMSKNEIIMAVTLVLTVGLWIFGGVLNVDAVTAAILGLSVLLITGVVSWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVQFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPAYLGAMVLAFLSNLMGGLTHYGIGSAPVFYGAGYVPLAKWWGYGFVVSVVNIIIWLGVGGVWWKFLGLW >KZM81661 pep chromosome:ASM162521v1:9:4246422:4247066:1 gene:DCAR_029274 transcript:KZM81661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKSILHLFMLLVATFATIEIAVAGDPDILTDFIVPANLTSGNGTSIDGNFFTFTGMRVLVGGETPPAFKVLKASMAEFPALNGQSVMYAALQFPAGSTNPPHTHPRASELLFVVDGSLEVGFIDTTNKLYTQTLQTGDMFVFPKGLVHYQFNADAQKSALAISGFGSSNAGTVSIPSTVFATGIDDNILALSFKTDVATIKKIKAGLVPKA >KZM83184 pep chromosome:ASM162521v1:9:29455149:29457036:1 gene:DCAR_030753 transcript:KZM83184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLYVMLSNYLEFHLHMTILIGIIVMFSVRYYMKRVSRNVYLVNFACYKPPASQMCSKQQFMDISRRPGAFREESLAFQKKVLERSGIGEKTYISEGLHRVPAEVSTREARKEAEKAMFGAIDELLSKVKNVKVSDIGILVLNCSMFNPAPSLSSAIVNRYKLRSGILSYNLGGMGCSAGNISIDLAKHLSQVHSNYYALVINLECNNENWYRGNDRSMLVSNCIFRLGGAAILLSSCPVDEFSSKYQLTHTVRTHKGADDLAYNSVVQQEDDDNMVGVKLSKQLIAVAGDAIKTNITTLGPLVLPVSEQLVFLATMLARKVLKMKINPYVPDFKKAIEHFMIHAGGPAVLDAVEKNLMITEWHMEPSRMTLYSFGNTSSCSIWYQLAYAEAKGRIRKGDRVWQIAFGSGFKCSSCVWRALKNVDPALEISPWMDEIDDFPVRVSKAQVFE >KZM82456 pep chromosome:ASM162521v1:9:19623355:19629430:-1 gene:DCAR_030025 transcript:KZM82456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKYFISIAIVVMMVSVAFSDYPSCQNKLYPVAGGPHRICKCLSKLDVECSDVLCDSQENLDSSSVKGLNQAFLCVKDILSLQDTLKDLVNNLGTIARSGTKEFMEALQAGLDVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVNRDTEGEQLGRGTKITLFLKEDQLGVFGREED >KZM83233 pep chromosome:ASM162521v1:9:29843465:29844601:1 gene:DCAR_030802 transcript:KZM83233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHNYVNVYMKWKKDPNFDTIESVNKSIELKPLISLKNCIANSPNGCIPITDVSKRGLQLDVPCKVARFLRLYPSVFEEFVGPNYDLPWFKLTGKAVELDQEERAVYRDFRDDLQGRLKKFILMSGEKRLPLKIIRGMQWYLGLPDEYLDDPEKNLDGCFRIVDIEDGLKGLAVECEEKVLSFVQRNAMRRGGYNGGSMEVVEFPLFPSKGMRLKRKIGDWFHKFQEVPYVSPYDEYWGLDSDSDVAEKRIVGVLHEMHCLFVDHAAERKKLLCLKKYMGLPQKFHKVFERHPYIFYLSLMNKTCTTVLKEPYCDRLSIEAHPLSKIRKRYIGLMKESAVILKSRRSKNQFNHQQNLSLNQDMGCLSDPKTEAVAHT >KZM81933 pep chromosome:ASM162521v1:9:8694145:8695359:1 gene:DCAR_029546 transcript:KZM81933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPLDFRCPISMELMKDPVTISTGVSYERKHIEKWFHSYHKKTCPATMQNLDNFDVTPNHTLKRLILAWSTGCSSSSSSPKSSVKNEEFVALLNAIDSSCPFIVSSLQKLRSIVQMSEEIKRDFRRCGGVKVLVEIVVQILIENSDFMTFRACEEALGVLHELPLSEDDETVLLLSKPECVKAMAIMLQRGSAQSRFCTISIFHKMARVDYDWKYVVEDQGIDFFKALLELASDEMGTKASSCALQVLIDILTSSKKSRLKAIEAGAMCTLIELLPDSSRSKCEKVLELIKLLCKCADGRLAFIEHGIGIAAITKKMLHVSFVSTKNVVKILWLVCSFNPTNIRVIEEMLFYGSVLKLEALLHIDGGSSTKDKVVKLLKLHGSSWMRYPCFPCELKDFLSLSA >KZM83124 pep chromosome:ASM162521v1:9:28979223:28979768:-1 gene:DCAR_030693 transcript:KZM83124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSSLPLVLFLFLNLLFTGLAVSSSGCDSPPKHSSKHKHKHHDHNSNSPPMSNSNPPKLPPRFPLPSSPQSAPNSPELPPVSPPLAFPNSRKSGTCPRNAIRFGACTKVLGGLLGVRAGTAPKKPCCRLFGGLVEVESAVCLCTAIKANVLGSNLNIPISLGLLLNVCDIQTPPGFQCS >KZM82536 pep chromosome:ASM162521v1:9:20791314:20795874:-1 gene:DCAR_030105 transcript:KZM82536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSYNGSESIKSVGDVPSLSDRVLRLLHEIRDDISEQNAVRYKNMNPKYRHHYEVIQESEEDMFMASLICKVDVDVQKILICEMDIGCSKTLQFATQVHVNLHKDYGVPRNLLSNSCMIDSSRIFQTGRSPFPLLDMFVESVGSLGNISVIYVVDLRRAGYYQKCHDPNCRGYRSPLRPVPEEIVPDTTIFFEGVKRHEIYEHNVDNKTIDIVDSCLKDGWWFEAVKFAEKVEKKTLDFDSSLYIIASNPFALRETVVEVPNVSWEDIGGLDNVKRELQELLPMNVKKILLVSRHPELLTMWFGKREANVRELFDKARGSAPCVLFFDELDYIATQRGSNSGDAGGAVDRVLNQLLTEMDGMSTKKTVFIIGAINRLDIIDPELLRPGRLVMPQEITNTSATATAYDPFATSAGGADEDDLYS >KZM83426 pep chromosome:ASM162521v1:9:31704803:31707611:-1 gene:DCAR_030995 transcript:KZM83426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKFLIFLAITQGLLTTESVRFELESGHAKCIAEEIKTNAMTVGKYSIVNPTEPLNSYSEEAHQPLPDSHKLTVKVTATSGNSYHYAENVQSGQFSFQAVEAGDYMACFWALDHKPPTTMTVDFDWKSGVAAKDWSNVAKKGSIDAMEIEVKKLYETVTSIHEEMSYLREREEEMQDLNNATNSKMGWLSLLSLLICLSVSGLQLWHLKSFFEKKKLI >KZM81685 pep chromosome:ASM162521v1:9:4452448:4457682:-1 gene:DCAR_029298 transcript:KZM81685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAALADHPVVPEQVKEEVHEEANTSEEVEKPKPENLSPAAAMPLPESEDKIEAQPIETPTVEALGETVEKDIPKTEIVVTPVVPEAEDRVDENRKTEHAVVVEEKNTVNEEKPIAAVVPTSEETPKYEVLSSLPVVSAESEEKVEVKPPGTDVQQAIEEVQLSAAEVVPSAEPETVEDKNVEPPVVDEVKIADEPLVEEKLLSDTPVAKEADIAAKPVVEANIVIEPPVEGKQPQTPVAEEVKIADEPLVEEKITSDTHNVEEAQIAAEPPVVEENLMTESPQEVKLVETPVIEEVKIADEPLVEEKTASDTHVEGPEIAAEPPVVEVNLMTESPEEVKLLQTPVIEEVKIADEPLVEEKNATDTHVEEPEIAAEPPTVEENIMIEPPSGVQLLQTPVPEEVKIADEPLVEEKITSDTSVVEEPKIASESIVVENNIVTEPPAEVKLLQTPVVEDVKIADEPLVEEKIASDTHVVEEPEIAAEPPGVEENIVIQPPAEVKLLQTPAVEVKIADEHIVKEKIANDAHVVEEPEIAAEPPVVEENVVIEHPAEVKLLQTPVVKEEKIADEPIVEEKIANDTPVVEERELAAEPSVVEENIVIEPPAEVKLLQPPVVEEVKINDEPLVEEKSASDSHVVKEEKNAAEPPVPEENIVIEPPVEVKLLQTPAVEEMKDTMEQLVAEEEVATENPVFEEEKITTKDPLLDGKTVTEPSAVEEKIVEIPGVEENNTDNPIVEEVNKTTESPSVIEEECITVPTLAEEVKNVTEPAVVEEMKQTPVFEEVKNVDDMPDVTEPEAKKVESYFVTEASELPVQEQKAESVEEKLIEKPKAEGIPESSLEPVDKKEEAVDFPVKESEPALAKDVGILEPLSIKDDAVESLTDVLEKPQEYVAVETKECVHGSADKVEDVKFSKEEEFNGKTPLETTSSVEATLPTKEGQADAEETILGGEADLVEELPKEKAVTSMKVEKETEAKDVNTDSAQPETKETEEVVKSISTPAAAENILEAVITSKDAKLVVENEKEDKKSELVSPVETYRNGTVADKTSEQPDETKQEKPEVKVKEDDTVPTDDTKELDDKLTSQDLPKEVVTIKSTQKQSNTILSKVKNTLVKAKKAITGKSSNTKTPPSEPKEFWKDLHLGRFIEIARGQNRPNKRKSSRHQNMAVHNHVK >KZM83043 pep chromosome:ASM162521v1:9:28270043:28274748:-1 gene:DCAR_030612 transcript:KZM83043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTANGRMALPAAGHSAGTLPVRHRGLVKFCNGELMGKKLCDSKFQQRISYNNVKRPFLCKAVATTIAGEAKLRDLDMEKRDPKTVVAIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQYNSASLNRHLTRAYNFGSGTTFGDGFVEALAATQTPGEAGKMWFQGTADAVRQFHWLFEDARSKDIEDVLILSGDHLYRMDYMNFVQNHRESGADITISCLPMDDSRASDFGLMSIDSKGRVLSFSEKPRGAELKTMAVDTTILGLPREEAEKKPYIASMGVYVFKKEILLNLLRWRFPTSNDFGSEIIPASVKEFYIKAYLFNDYWEDIGTIRSFFEANLALTDHPSKFNFYEAGKPMFTSRRNLPPSKIDNSKIVDSIVSHGSFLTDCFIEHSVVGIRSRINSNVHLKDTVMLGADYYETESEVASLLAEGRVPIGIGENTKIKECIIDKNAKIGKNVVIANSEGIQEADRASEGFYIRSGITVVLKNSTIADGLVI >KZM82521 pep chromosome:ASM162521v1:9:20646984:20648174:-1 gene:DCAR_030090 transcript:KZM82521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLFRLTCLWLWRSKKNYSWPDYSSLPDEIQEQILLKLQCFKSIVTCTSVCKSWNALIKSRGFIAMHLSRPQSYAEYFLCSSYIEPHCHSILCYNSQRLESYRDLIFPVRWVKVLGSCNGLICYTNLDDGLCQIYLWNPTIQKLKILPKSNYFRERIAYGFWYDTYSNDYKVVKIYSGEISKVEVYSLSNNSWDLIATSGPSYTKTFFDNVVVHVNGTLYWLASDGKNWWDSYWRINSLNMKDLMFRDALLWPIGKSRSGGKFDMLGAGSRVILVFSSTHHLGIHVYDENLNELYRYESESSDIELEFLSPLGVRNSGNEVLFQKFGTDAPILVFDIRESKFKEFCSSTKSIIQAIPFVETLVLLDDGDSRSLPNARQQSVIRNATGCCFFSII >KZM83051 pep chromosome:ASM162521v1:9:28329918:28331758:1 gene:DCAR_030620 transcript:KZM83051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMMPSQSLLPRMQQYGLSSGSRSLASQNLSDQMFNLGATNPGSMMPLQQQQQQQQHVSQGTFGNMAANAQNMQPGIVPLQNTQQNHPNYQQQRQQNQ >KZM81447 pep chromosome:ASM162521v1:9:1320346:1321177:1 gene:DCAR_029060 transcript:KZM81447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGPVLAQKTLDKTISNYGVRLAAARAAQDSILSGSPEPAVYMYTVLYQIAKYSSSLGGHLFIACAGWPSK >KZM81594 pep chromosome:ASM162521v1:9:3021879:3026356:-1 gene:DCAR_029207 transcript:KZM81594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLILGFSLLLITSQKLSNFLSADLPSENDTVGYIFIHAEGGLNQQRIAICNAVAVAKILNATLILPVLKQDQIWKDQTKFEDIFDVDHFIEYLKNDVRIVRDIPEWFTDKAELFTNIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMSLKPFVDRLGYDNVPPEINKLRCRVNYHALQFLPEIEHMADQLVSRMRNRTNSTNPFMALHLRFEKGMVGLSFCDFVATRLEKVLMAMYRMKEWPRRFKDGSHLWSLALQKRKEGRCPLEPGEVAVMLRAMGYTKETHIYVASGQVYGGQNRMAPLRNMFPNLVTKEELAGKEELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLLSKSLGDPYMGWATFVEDVIVTHQTRTGLPEETFPNYDIWENPLTPCMCRT >KZM82562 pep chromosome:ASM162521v1:9:21159306:21161479:-1 gene:DCAR_030131 transcript:KZM82562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFPLICKNATVLSWLATLATAVYGPCTYRDIQTHTIESASRTSWVLRTKLLGDSTQDDQDNSWRLFTSEDMVQGYKALKRRKKARIVKNNADFQFTETLGEATMSSVRGTTNRHVNSPSTGILDNSGLLGSAAVDMDIPSATPKSTITSLRETWRSDSCNSKFQRHDRSSRTPFADITNMVQRNTSHTKCDRVKGKGKNAEMRSNNGIDQGKGKSVKWEDATKKECSRNLFEEEFSTNPSSNTVLYDEDLEETRYEAQILSDDSETDLESSHEVDYGDELFDDESEVENDTGL >KZM83498 pep chromosome:ASM162521v1:9:32455768:32457660:1 gene:DCAR_031067 transcript:KZM83498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIFLLLLATTTTQGCTAPPVNSCPPFTTTIPYPFSASPGCGHPSFPIKCSAPQSSLSINNLSFSLLHFELNSSSLLLTPRPNHKKHTCLPSLSTIPDLPINLSQTPFKISDSSCSRLSHLHQCSPPSLPNCSLCSWECKLIKNPLNLVHNCAPQPHSMSQQDCQPDVLGYLDNFLKMGLEVEWTQNPNQENQAENQDSYFSSCNTCLTNKGTCGYNTTDPEKPFICFRPKPKISQSLLHQQSFPPHKVTILSLSFIFICIFIAITIGTFVFRAKKITSLQNQEDPTTQFLHQHRSASLLPPVFTYDDLEISTNYFDPKRKIGDGGFGSVFLGQLADGHIVAVKHLHKQNSKTKSFCNEILILSSITHPNLVKLHGYCSDPRGLLLVYDYVSNGTLADHLHGVYKKGSLKWIVRVEIALQIALAIEYLHFSVLPPIVHRDVTSTNIFVEKDMRVKVGDFGLSRLLICQENGVMPGNGLNGPGSGCVWTGPQGTPGYLDPDYYQSFRLTEKSDVYSFGVILLELITGMRAVDSRREKSEMALVDLVVPKIQMGLLEDVVDPVLAGDRVAMEGVGAVAELAFRCVAAEKDDRPNAREVVEELKRIRGCSRGIVRLGSSTNVVVPECGPIVV >KZM82218 pep chromosome:ASM162521v1:9:13984613:13984909:1 gene:DCAR_029898 transcript:KZM82218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGVTESGFVAVSKGCPKLHSVLYFCRQMTNAAVATIVKNFPDITHVRLCIMNPYQLDFMTYEPMDEAFGAVFKTCKNLQRLAVSGLLTDRCFDYIG >KZM82368 pep chromosome:ASM162521v1:9:18002404:18004710:1 gene:DCAR_029937 transcript:KZM82368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPPQNAEFDLKETSPKIAGSGVITGDKLTCAYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGVTKHFEKKPNPEWDYVFAFSQDRLQSSFVEVVVKDKDVVIDDFIGRILFDLVDVPKRVPPDSPLAPQWYRLEDKRGQKMKHGEIMVAVWKGTQADEAFPDAWHSDAASVGREGITKIRGKVYLSPRLWYVRVNVIECQDLLPSDKGKAPEASVKVILGNQALKTRISPVKGVNPMWNEDLVFVAAEPFEEPLVITVEDKANKDDFMGKCVLPLTSLHRRLDNKAVPSRWHNLEKYAVVEGEKKELKFASRIHLRLSLDGGYHVLEESTHYCSDLRPTSKLLWKSSIGLLELGIISATGLSPMKTRDGRATTDAFCVAKYGPKWVRTRTIIDSFGPKWNEQYTWEVFDPCTMITIGVFDNGQLHGGGKDSRIGKVRIRLSTLETERVYTHSYPLIVLQPSGVKKMGEVQLAVRFSCTSYVNMLSKYSQPMLPKMHYVHPLSVIQMDILRHQATQIVSVRLSRAEPALKKEVVEFMLDVGSHIWSVRRSKANFFRVMNVLSSAVAIGKWFDKICNWKNPITTVLIHVLFVILVLFPELILPTTFLYLFFIGLWRYRWKPRHPPHMDIRLSHADAVGSDELDEEFDTFPTSKGSDTVRMRYDRLRSIGGRIQTVVGDLAAQGERLQALLNWRDPRASALFVTFCLMAAIVLYVTPFQVVALLMGFYVLRHPRFRQKLPALPANFFRRLPAKTDCMI >KZM82622 pep chromosome:ASM162521v1:9:21930167:21932272:-1 gene:DCAR_030191 transcript:KZM82622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNYAYWMDALGDAFIDMVKEPEIRARNNNKGMEYIVAMNGGDGPNSYTRNSKLQEKSFNGTKSLLIRCIRDHLDIQKTCKVFRIADLGCSIGPNTFSCVKTIIQEVQLKFDTQFPEFTSLPEFQVFFNDTFSNDFNTLFSALPIDRLYMAAGVPGSFYGQLFPKGSMNFMHSSFSCHWISQVPKEVLIKDSRAWNKGRISYVRSSCEVKQAFAAQFMSDFKAFIGARSEELAPGGLIFISIPCKSNESELCILDSADVLGDAFIDMVKEGLVEEELFDSFNLPVYIPTPSELIKLVSSDEHLNILKVEESYVKVKLSSPEDFMFESSHLRAVMEGIIKRHFGPDILMDDLFHRYCNKLKEFSNQFKNYDKVGIVSVAVEQVIMQDELV >KZM83054 pep chromosome:ASM162521v1:9:28365854:28369357:1 gene:DCAR_030623 transcript:KZM83054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTYDRDICPAEWVEMVKDAQIRDGKRKYQPPPESPETEVPKRSIRDILLNPSHPWGYDKLGKTNLFHLFTAAGTQCLYTRKGDAVRVDQLRDKFVILYLLRDDVRNVATCPEYIPDLIETYQIYGKSELEIVFIWLGDDKEAFSNQFYMPWLAVLPEDERTVNVLTEEFDFIGPVCFLLFDRNGFLCLYDARANINAYGVLGFPFTHEKIEEVDKEAAKLLSEIINGKPVTLPDILGAHVPTSDLINKTVGLYMLNEYPSRIILEELKRICEDKKEDFVLIPIITSYHSSWSWIRAGCSHLERSIPWYTLPAIKCRYLNTVFHNKLKEPYFSYGACDLVILKGDKHIPVSYFALHIFACFGVDAYPFTIENAVQVAKKEQQGNIVLKEILSSKSILRRQDSAGSEEVITVSELDGRHVLLLFGTHGCEWESFLSTIKNWYVDKPHDVDFEIIYIHLDISLESTSFSSTIEKMPWVVHSSKPEVAVSLFECVFPISAHLPAIAAFGADMYDEVYQELKDEHGWDLKNLFPTPAEIEISSLIRSRSLWLQFKPHQLAAGAAYLAAKSMNMDLTSSQHLWQVFQTPPSVLKAWQLTEHHQQEFSCPPFKHLVEGSSMKKECSNHQNAFALGNNS >KZM81346 pep chromosome:ASM162521v1:9:208347:209940:1 gene:DCAR_028959 transcript:KZM81346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQESLVFKVTRQSPELITPAKPTPHEYKLLSDIDDQEGFRFQIPNLQFYRKRSHFADVPGMDPVKVIREALAKTLVFYYPLAGRVREGAGRKLSVDCTGEGVLFIEADADVALEQFGDDLQPPFPCYEELLFDVPGSTGILDCPILHIQVTRLKCGGFILASRINHTLCDAAGLVQFLTALGEIARGATAPSVPPVWQRELLNARNPPRITCTHHEYDDVAEINMPLDDMSHRSFFFGPSEIHALRQSLPPHLNQSSSFELITACLWLCRTRSLQLHPEEEVRIHCVVNARTKFVPPILPLGYYGNAFVYPAALTTAGKLCKSPLAYALELVKKTKEVVTEEYMRSVADLMVLKGRPHYTVARTYIVSDLSRAGFRDVDLGWGKPAYGGPDGGLPVASFYVPYENKKGEHGTLVAVMLPAYAMKIFVVEVDKLLKNNNSSLLLHDSLLHIRSAI >KZM81828 pep chromosome:ASM162521v1:9:6592895:6594175:1 gene:DCAR_029441 transcript:KZM81828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLSSPADQREKDVAIIPVTSAVPSFPCILKRRCIVSDPSSEVLESADLLREILVKLPPKTVFGFTLVSSHWNKTITDPELTCLLKVPRNPSAVFVRRLVLQEDHDVVKYTHIPLEGVTRGGRVRVRRSPLSLNLMEEEEFNQASFVIQHSSNGLMICSSCNGRRYFLFNPTTKKRVKIPLLINFCYWVISMHISFLAPGRHKIIAVYLPTYRGDRLKLLVLEPNQNQLQYWRNTGVEFPVTGTEVVNYWYGVDVDGVIYWPCYKSSGLMFFDVREETVHWLPEVPHPYDKFSGLAYFGECKGNLCMVADVSMRRGTFDMLELKTDRSMWFIKYHIDLTGRVSRDENLQILVLALLPGVEEESDSYLVIHFLREVVSINLRDGTIRKLCDLGLRTTESRLLRSEPWHYVHPYRQNPVYPRLTAS >KZM81531 pep chromosome:ASM162521v1:9:2190615:2193835:-1 gene:DCAR_029144 transcript:KZM81531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANKSSDFHKGSARQHGDYEQRPVAVWSKNWIIGRWFALLFLFFFVFVVDAHCFFLLRRYNPEDTVHGLLIMGFGNLVGFVFLAAQAQELKEDSKTAVDVPWWCYGLTSYRSN >KZM81400 pep chromosome:ASM162521v1:9:785214:787615:1 gene:DCAR_029013 transcript:KZM81400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNLRKSSNLEEKENTQVNINIIVDEEVGFKSTKVCKTPLKASKNRNVDAMLPLKTSEKQGVLAENSYGVGQKNVVSSNVFEMGIDSIRYNKNGNGGFANVITPMPSRANGKGSLSNSTQCTPIKSVIRPPNPKLCLTGGGTGAASVGGGARMANFEALSKGVPISSSSSTVVNSIEVPQFGIKEDPSFWMDHNVQVLIRVRPLSGAEKSMFGYNRCLKQESAQCITWIGQPESRFTFDHIACETLDQETLFTAIGLPMVENCLSGYNSCIFAYGQTGSGKTYTMLGDVNNLGDKSNPDRGMTSRIFEFLFARIQAERESRRDERLVYNLKCSFSEIYNEQITDLLDPSSTNLLLREDTRTGIYVENLSEYEVQTVGDILKLLSQAGL >KZM82541 pep chromosome:ASM162521v1:9:20883014:20885314:-1 gene:DCAR_030110 transcript:KZM82541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNSFYGSIPPQLFHLRFLQFFDISSNYGFEVEISGKGLANLTKLVHLKMSGNNLDGTIPAQLFHLRFLQFLDLSKNSLKGGLSSDVGKLGNLRTLLLERNFLDGHIPLQIGNLTKLQQFSINLNDISGQVPDSIGNLTGLERLDLGNNYLQLQIPSAIGRLSNISTIVLSNNTFTSVIPPSIQNLSKLETLGLEDNMLSGEIPSCLFDIASLKNLYLGGNKFIWNNQVKIVPKCMLSQLSLKSCKMTADIPEWISTLKNLNMLELSDNQLTGNFPLWMAEMEIESLLLSRNKLTGSIPFSLFQSQNLSILDLSRNNFSGELPQNVGHALKMKVLMLSGNYFSGSIPESIVYIPNLLLLDLSRNRLSGNTLPVFNHYISPSYVDLSSNELSGGIPASFFTGISILGISILALGKNKFSGSFPRNLTNIVDLEYLDLHDNNITGDFPDYFISKSPKLQVLSLRNNSLHGSLPSNSFYNRSGLQILDLSSNSLDGSIPSELGNLPGMSGSIDEVYSTALLCDEIKVNWIEATVSVFNGIYTTRIEMNDLTVNWKNAMQGLSSHDRHIYTLLDLSNNKFSGDVPNSFGNLIGLKLLNLSYNELSGHIPQSFGDLESIEALDLSNNNISGTIPQSFGKLDQLSVLDVSNNKLSGKIPRGGQMDTMNDPSYFANNSGLCRMQIRVNCSKDEPTPNEGQEEDDDEKEAWFLWTGVWIGFPLDLISSVLTAYLGGYFVIPTAKYHSIHYRQSAKINAGLITRTSSPWITINI >KZM81965 pep chromosome:ASM162521v1:9:9256531:9257376:1 gene:DCAR_029578 transcript:KZM81965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSKIRRLDCGADRISSLPRNLIEAILRHLPVHDVARTSILSRNWRNIWGTYPKLIFDDKFFSKLVSKEDKEAPLFKAVRVVNQILLLHGGPILTFYLIIPPDLPLHEWLDTDFWIKNISNNGVREFGLCNTQDIPYTMPSYLFSCFELNHLTLASCILEPPPRFGGFSNLESVRLMDVIITADISFGPKLEQLVLESCIGIKHLGSLFENHSNLSRLIIERGDIDWKLFESTQKSYLVSLMLKRVTNPIEKIVNLEKFVASMPRINKLVVDDCFLKVK >KZM82512 pep chromosome:ASM162521v1:9:20556471:20557559:1 gene:DCAR_030081 transcript:KZM82512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRDYSSLPDEIQEQILLKLQCFKSILTCTSVCKSWHALIKSRRFITMHLSRPHSNTEYFLCTYLEPFSHSILCYNSQKLESYRDLIFPVRRVKVLGSCHGLICYTNLDDVFSQIYLWNPTIRKLKILPKSKYLSKWAAYGFLYDTISDDYKVAKISCTGVFRVEVYSLSSNSWDLIATSGPSYSKTFFDNVVHVNGTLYWLASDERNRWHSRRNWRIISLNMKDVMFRETLLWPLEESKSGVKFDILGAGSRVILLFSFFNSGTNHMGIHVYDENLNELHRYDSGSSYKEYLNPLGERNSGNEVLLQKLGTDAPIVVFDVGEFKFKEFCSSTKSIFRAIPFVETLVLLDDGDSRSLPNAR >KZM83432 pep chromosome:ASM162521v1:9:31783329:31784262:1 gene:DCAR_031001 transcript:KZM83432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLSRDKKAEFGENEWYFFSPRDRKYPNGARPNRAAVSGYWKATGTDKAIYSGGKYVGVKKALVFYQGKPPKGTKTDWIMHEYRLNESRSSPNKQHGSSMRLDDWVLCRIYKKKNTARVCEDEPRVDDFRTNNYSVENVCANELPKMACPNDLPKLEFPRTSSLSHLWEMDYFGSISQLLNETTSYDAHNIMTMAPSRTTEMGEMSQAYADSVKFQVNNESSMLNQQSVFVNSIFEF >KZM81730 pep chromosome:ASM162521v1:9:5009950:5010211:1 gene:DCAR_029343 transcript:KZM81730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARKVWPVQAKPIVAHSRRHEIILAFRHRQRSVVSISTTWLLLVGGRTWMLMTLSHSR >KZM83105 pep chromosome:ASM162521v1:9:28743304:28745410:1 gene:DCAR_030674 transcript:KZM83105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYTESAQAKIRSRSAPRQSLNSERPDAVKRYVHGYWDEETISENGWPLQANFSNTAYQSDGPNSSSSTNSSLLEISITDTFW >KZM82778 pep chromosome:ASM162521v1:9:23879631:23884080:1 gene:DCAR_030347 transcript:KZM82778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRPDYLADIKFRRFTFDMMLAWERPTTENEQNTVDDNKTVGLEAFARVAPACTVIADVITVYNLFDVLTSSSGNKLHFPMYDKYLRSLEKVIKVAAIANTSSPESNLQLPDGEIIIEIDGTIPTQPIFEHIGTSAWAVRVMLTSNAMYIKSMKAGTNDKAVKFELAADMKQVIKPDLTGPMGARLYDKAVMYKSTSITEPVYIEFAELRGSSRRDYWLDINLEILNAHKFIRMYNLNKIQQSETLARAALGILRYHAVKDAFQNFPSNYKTLLSFNLAENLPGGYMILETLSSRLSLLDDSTPQPANRQLRHPLYHLTLCRHGIVSKNDVGMDIEEMHQAGDVCVGEINPLEMAVKQSKEDIGRAEAAQATVNQVKVEGLGTNVAIMKDLLFPLILSFNFLQYLASWEDPSFSTAFLVLATYVVIRGFTKYLLPSVLISVAIMMGCNRYANHGKPMEAFNVTAPPSSSAMEQLLALQEAISALEGLIQTGNIFLLKVRALILAALPEATDRTAIALVIGAVVLAIMPVKYLVLLAFYEAYTREIRSNGLGLRRLREWWNSIPAAPVHIIKPDDKKNK >KZM81801 pep chromosome:ASM162521v1:9:6190647:6197746:-1 gene:DCAR_029414 transcript:KZM81801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIFGSVRRSLVLRSPDDDSTAVGTLVDKIKCIRKSRMFSKLSPPPQPLNSPTAPTIRWRKGEMIGCGAFGQVYMGMNLDSGELLAVKQVLIVANNASKEKAQAHIKELEEEVKLLKNLSHPNIVRYLGTVKEEETLNILLEFVSGGSISSLLGKFGSFPEAVVRMYTKQLLLGLDYLHKNGIMHRDIKGANILVDNRGCIKLADFGASKKVVELATITGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTIIEMATGKPPWSEQYQEVAALFHIGSTKSHPPIPDHLSAEAKDFLLQCLQKEPELRPTACDLLQHPFVTKESWQSLPVASTTSMEENLKAPIRLHEKDMDISPTSSDVCNLGTLDFSTVNFVNVAESGHDWQADNSDDMCLIDNKDGFAVHAETKMSPLLCGDINKSFNPMCEPDEWSCKSDGTNELERPTTSDDNQPDGKVDCCSTGKRIDFAFHCGQSLSEDDDELTESKIRAFLDEKAVELKKMQTPLYEEFYNGVNATSSTVFSESTSDGSALNFLKLPPKSRSPSRVPITPTAVESAIYSSPGSGGTHISNISGTSNHSPGEHLSPQLHDQKGIQVDGQHNSISPGMSFSEVQRKWKEELDQELERKREMMRQSGGGGKIISPKDRGLNRKRDRSRFASPGK >KZM83306 pep chromosome:ASM162521v1:9:30679732:30681165:1 gene:DCAR_030875 transcript:KZM83306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRFKRVAEAFDRASRARANCDESSGSERHLSPAPESDLSDLVNSFIDGEEGDSGMKEDKLDVTSDENDVGDYWENCVNSLRDYLEAKCSDGDVKMRIRMEVELACSGLGVDRSSPEFKRGLMTSLRQRGFDAGLCKSKWGKTSQLPPGNYEYIDVNVAGHRYIVEVALASEFEVARSADGFAALLKTLPPIFVGEPESLKQIVRLMCRAIKKSMNIHEMPLPPWRRYAYMQAKWFSSDYKRTINEISSRKGLDLHEKPEKKRAVGFTPLPVINRFYFCREACVSKVGSRVGNLAMVMNGKELLQ >KZM81374 pep chromosome:ASM162521v1:9:511132:513916:1 gene:DCAR_028987 transcript:KZM81374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKGQAEREFKVEVEAIGKVRHKNLVGLIGYCAEGSQRLLVYEYVDNGNLEQWLHGDVGPVSPLSWEIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRKWNPKVSDFGLAKLLGSEISHVTTRVMGTFGNPVQLARQPQSAPLKLMPRKVHKSPGTLCWRR >KZM81378 pep chromosome:ASM162521v1:9:544876:548341:-1 gene:DCAR_028991 transcript:KZM81378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRNGIMNKFHANPNARIELKDLSEIVVGDSDAKQEILEFLDYWGLINYHPFPETNSSILNIDAEEAEKTDSLLEKLYQFDKEESCALAVSKSNVATPTLASRLFPESSIADELMTAEGPSVEYHCNSCSADCSRKRYHCQKQADYDLCTECFTSGKFDSDMSPTDFILMEPGDAAGASSGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDPFMDCDDQPDVSLKDDVGPLSATDDIQDSKDVNGAENKDKNISSSPSSTSIEKSKPVSETQIIQEQGENSILRALTEAFDVVDSSHSPKDKLSFSEAGNPVMALAAFLVRLVEPNVVTASTRTSLKSVSGTASGTQLAARHCFLLEDPTDEGKKTAEPLRAVAEAVGPEGQNNINQVSLKEDEKSNLVSDNSDLSSVHDKDKKENSLRDAEEQLVSPNGECLKDSQALKGPDVVNETVEPGPVKRSDIEKFPRKAEASNAEELGGLNSKVELPPTSLEKAKDATSLSLDAPKGEMLNSESTEALKDEKLSQSPTEQKDQGNLVTSDSIIENGAKDVREAADSKNENKDSADAKGDLCTKKVRQAAITAVSAAAVKAKLLADQEEDQIRLLATLLVEKQLHKLETKLAFFTEMDGLVMRVRELLERSKQRLYQERAQIIAVRLGVSNSSSRPMSQSLPVNRAAMHFANSALRPPGNMTFQRPPIARPMMASNPMAGNSVQSNQGNHSSSGMN >KZM82949 pep chromosome:ASM162521v1:9:26173085:26176823:-1 gene:DCAR_030518 transcript:KZM82949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDEENSDEENDADITENEDEDKSEVEFSSDEQTDANIRENEEEDSEEISEELNEQPPPAKRKFTKWKRPKNDKDQYAKAKLPNGKFTKKKNAKTDKRNWARNSGFENLLDFCLEMLPAKLGYNILNFFDPFTVSLKLKTETIEITEEDVYDTLGLPHGGETVTIGTAEMYDERISEWLSQFATKKDAEQLFYVDRVRPGSIKLVERRFPSYKGWSEEALKLRQSIEIFDRAFGLGTVLKPLRELFSQDTQPIHSPQQWKQQDNDYTDPCEDNSHEHVGNQEWSPCTKSTNKYQQKQDAIPDINMEDACEQGNNDTLNQADGTWNQDDRAWNQDDRAWQTWTPCEKSKHFQFKTIRTNDVPEVHMEDVEQEYERDTSKRNNQTGMLFDTDLKLALAKDPENPEKHMIQNLVNDVFGNNQPAFSQQNTHQTSTQQDPKKSIPSEIDDDFELNSQDIEQLDLIEFLHSAKKDINVNHLFVTDGKKIKDDDHVFTPKPAMREKSQRALKLSRYGKSPYVDRVVDINSKLTNQEFGLWKYMIKKEDPIEQMFKWNDFFCIRDDMQTLKINTNVATSVIDIWTFIMNDGEQYRSDESPLRLFCTIGSVLPSLADHLKLATTYPIFAVNMTEMLTRINRQAIETMHMVFFPVWAYQHYYLVCYNLKTPCYEIIDNIQRALTLYKIPRKQRKFGFGKDYPTTKTHIQEYVMAIKEQLY >KZM81664 pep chromosome:ASM162521v1:9:4288638:4289335:1 gene:DCAR_029277 transcript:KZM81664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLQNLENRLEISLKGVRMQKEQILTNEIEELNKKGSLIHQENIELYKKVNLLQEENKELQKKVNGSVNSNEANISPRTPFCISNGYDLHAPISLQLSQPMPQTNGTPETTVKLG >KZM83207 pep chromosome:ASM162521v1:9:29619847:29621374:1 gene:DCAR_030776 transcript:KZM83207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNLEYNHPFFSRRCVLVTGPVIVGAGPSGLAVAAGLKQQGVPFVILEQADCIASLWQNRTYNRLKLHLPKQFCQLPLFPFPKHYPEYPTKFQFLEYLESYARKFEITPRFNESVQSAKYDETCGMWRLRVVTTSGGVVVSVMNYISRWLVVATGENAEKIVPDIEGLKEFGGSVMHACDYKSGKDFQYQNVLVVGCGNSGMEVSYDLCHHKANPLMVVRSSVHVLPRNIFGASTYGLAATMLNWMPVWMVDIVLVALSRLILGNLEKYGLKRPSIGPLQYKNTEGKTPVLDVGALHKIRSGEIKIVPGIKKLSRRRVEFVDGNVLDIDAVIFATGYCSNVPSWLKENEFFSREGTPVGKYPNGWKGKDGLYAVGFTNRGLAGASSDATRVSIDIGKLWKEETKKMKHSSVVLAGHRRCKSHV >KZM83629 pep chromosome:ASM162521v1:9:33513671:33517174:1 gene:DCAR_031198 transcript:KZM83629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDLPKRFNVGMLIKSPYQDMPPVTLETLPPWPRNGLKQQHSVEYWMMASLLNNSVDDDASREAVRVSDPDLADVFFLPFFSSLSFNTHGHNMTDPDTQFDEQLQAGRDHVIPMHHPNAFRFHREEVNASILIVADFGRYSKVLSNLSKDVVAPYMHVVDSFMDDNSPDPYESRKTLLFFRGRTERKDGGKVRSKLVPILKGIEDVVYESSYITEEGINMSIHGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDHIELPYEDELDYTEFSVVFSVEEALTPDYMVNQLRQIPKEKWLEMWRLLKRIAHHYEFQYPPKKEDAVNMLWRQIRHKVPAAKLAVHRSRRLKVPDWWSRRR >KZM81977 pep chromosome:ASM162521v1:9:9485676:9486638:1 gene:DCAR_029590 transcript:KZM81977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATAHHQTYWCHECDMSVSLITTTAPTPLCPYCRSDFIEQLDADLSNPSSIATPIHSNPIPPETIISTGNNGGIIDESFPFSSVTADDNFLLDSPYLHRLIHQLTDGNSGEINVGPSGSCHNPTAKSAIEAIPSIKITELMIQLETVILCAVCKEQFVVDNEAKQLPCNHIYHEECILPWLKSHNSCPVCRFKMPSESSSGLRVRRRRDRLGNGRFGDYLGDDEFYGFGSTLRHIARRHRLVFPVSRRENGLEVVEGDEDSMLLSPTRVAEAEIGVLDRENSVETVSSGWPAWPLEGEGGDQEVGASSRGNDGSGAAL >KZM81995 pep chromosome:ASM162521v1:9:9677536:9677931:-1 gene:DCAR_029608 transcript:KZM81995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHKRSRKEDEGMEEWAYEQTKGELSIAAERASRKMKFVAEMRLLQYREERKGRHWVPTKDETDTEVMYGVGRALREYHFKKDRDTNTSFLKGQITEEQRVALLEESWAEYDAKVIDIVKSNFDEYAKHP >KZM83594 pep chromosome:ASM162521v1:9:33174025:33179066:-1 gene:DCAR_031163 transcript:KZM83594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLFCYNYLTNSQASLTTFPNKLPRCSAIFAASSVSSINSISQNKYSSISPKPVCDDDERLKDGEMASCAASVAAAIRKASNSPVEFMQRSERKGSGELLLPSSDFVRLCVQQLDLFRRIVHPDAVLSVYVRPAGSYVMDQLELRRVHCFPGHNDADDIIILMANFSVPTGLRAAEASLSNRKAQFVPDFRSVVFPMVKHPFVVGFLVAELPKREQENGGKMKHYPLPEESYALPPYTDPQSWGIETFKQSPTESYLFTADQKLNAINISCSLAMAYVMDQKAMLLQQSTWQNNVRMSNLVEQIRGPLSSIRTLSKMLSVQVKRSEISYDIAEDILVQGDLLKDTLQQLQDAVYLTKANIMRYNEETLMKMNTSSNTPTRPQDSETTSGSTGKESRGTYFNFSSKDLEMPMPPLALAPVQQGISTYWTKSLQLKSVFIDPQTQMHSLAPFGSDLLSEDMVEDNMTWNFVAGLTVAREILESYGCVIRVISPRTMDASLGVGGTRIELWLPTFSNSPSLNGPGQEA >KZM82333 pep chromosome:ASM162521v1:9:17389086:17394441:1 gene:DCAR_029902 transcript:KZM82333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDEDCEVDDSYSSTKQVSGKASWLIGKGIWLGKKAVITGAVITSAPLVLPPLVVFSTLGLACSVPFGFVFATYACTQKIMSKLLPEPDSGSMFEDNMEVDDMEVVDLLQGAGAEEKGYDLEDVELVQELVAEQEYGDFFQREEERENVVEEDDLVQRAEELVYEEDEEAQVNLEQVPEEQEMKQTPAVLKEGDILQEKPVNTMAFGAASIQLDGKNNLQPEGEALSQEENILQEKPVNTMAFGVTSIQLDGKNNLQPEGEALSHEDVFCSQDGIQVYNEEPLEQEKLMVDVLKGTGMKNMLDDAITSINIHKQIPVVQEKQLKEVVEETATMIELNVNDISKPERVLTYQACVYDEKNGFSMLKEGSDEMEREMLMRNDMDGEGRNETQEGSTSQANEIYLRTNVQERPIRIIKHTHEGENKEETTKYLQVEDALSAEGTEVRDVKLSIEDMNEKPVIEMRQVVVSVHCGKKIASKQEIEDEEECNKSQKLVLVARKVTEETVQDIKCVVKRNALDDLNSAREIADECGLDLFDHAAGDHDSYNDYNITQGNDNFRSRETDDVANLPVLRVSTKVAESKHMHLQPETDTLTPPNEVLLDEENIWKQIGALRAIVGYSAPMHTTSLEELKALYIFTGVEPPPSLADNPDLVELNEKLRFLKSVVGVK >KZM82743 pep chromosome:ASM162521v1:9:23259853:23262414:-1 gene:DCAR_030312 transcript:KZM82743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKIPESQLTSDHAATIPLLGFGTAVYPLVASDTMKESIFNAIEVGYRHFDTAALYNSEIFLGQAVAEAVDRGIIKSRDELFVTSKLWCSDAHPDRVLPALQNSLRNLGFEYLDLYLIHYPASIKPGSISLPFPKEDILPLDFKHVWEAMEECQIRGLTKAIGVSNFSCKKLDLILSLAKIPPAVNQVEMNPLWQQKKLREFCKEKNIHVTAYSPLGAKGTFWGTNKVMDSEVLQEIATAKGKSLPQICLRWAYEQGVSVIVKSFSKERMKENMDIFDWKLSSEELERIKQLPQHQGNPALFFTSDDGPYKTANDLWDENN >KZM81859 pep chromosome:ASM162521v1:9:7023734:7028311:-1 gene:DCAR_029472 transcript:KZM81859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISNIRIGVSDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDEDSFNNVKQWLSEIDRYASDNVNKLLVGNKSDLTSKKVVSYERAKAFADEIGIPFMEASAKDATNVEQAFMAMTASIKDRMASQPALIGTKPRTVNIRGQPVAQNSGCCSS >KZM81916 pep chromosome:ASM162521v1:9:8484119:8486190:1 gene:DCAR_029529 transcript:KZM81916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHETSDSLKKMVVIVYASQTGNAMDAAERIGRDAERRGCPVAVVSIDEFDATSLPCEENVIFVVSTTGQGDTPDPMKAFWKHLLQRSLSHDWLKGVRYAVFGLGDSGYQKYNFVAKKLDKRLRDLGAVAIIERGLGDDQHPSGYEGALDPWMSSLWNALYRYNPDLLPRVSTVSDLDSLKMKIGGARSMCPGKFSHEKTRPDYFLKVINNYSLCEDGSETDVRYLKFEAISSSIYYHVGDVLEVLPEQSHVAVDAFLKLCNMDPELYIRFTIEIREMRSRRYL >KZM82307 pep chromosome:ASM162521v1:9:17025606:17028346:-1 gene:DCAR_029805 transcript:KZM82307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIFLWQLVFIAFVWLIKAVKSDPQTYLLDQDCSPRISADVSSYLSSRNNTFKDLRGKLLENSTKFATAQQDGVYAMVQCRNYLSSADCLVCFDAAVSLSLTCLSDGPARVIYEGCFLRYEAEDFYRVATLPGNTWTCSPKQSSIKETLINQVVEGLLRDLEIATPKTNGLFAAATRQVPGAGTDATIYAVAQCAQTVSQSECGNCLSVANRNLENCPPQAGGSSVDAGCFLRYSDTAFFPKNATIDITPFLRASSRTKSSLIAGLVAGVCIFLLILALMFWYRLFRKTAERGFISGVTYYKYKDLKAATKSFSENNKVGEGGFGDVYKGITNDGDVVAVKKLAMTTRKVKEDFESEIRLTSKVNHRNIIRLLGCSGKGPELLLVFEYMRNGSLDKFLYGEKRGTLSWNQRFDIIFGIARGLAYLHDEFHISIIHRDIKSSNILLDDDYQPKIADFGLARLIAEGQSHLTTGFAGTLGYTAPEYAIHGHLSEKVDTYAYGVVVLEIISGQQCTKMMADPVAGSLLAYAWKLYADGMHIDLVDEALDPGEYSIEIVKKIIQLALMCTQSPVSTRPTMSEVVASLTGYSPIEQIPESMPTWSSY >KZM83164 pep chromosome:ASM162521v1:9:29286130:29286921:-1 gene:DCAR_030733 transcript:KZM83164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKRQRELISVTDDEDRDQDNDDELNEGAVVVGSRRPKGRPPGSKNRPKPPIVVTRDSPNSLRSHVMEIASGADVAESIAQFARRRQRGVCVLSGSGSVINVTLRQPAAPGAVVALHGTFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGCVVGSLVAAGPIMIIAATFANATYERLPLEDDEESGQTMPHSAGGSPPAVGSSGPGGQDHHGLPDPSSLFMYSMPPNSMPSMPPNSMPNGGQLSRDAYAWGSERPPY >KZM82239 pep chromosome:ASM162521v1:9:14392484:14392859:-1 gene:DCAR_029877 transcript:KZM82239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEYTRQRYIIPDEAQWWVNRTLADLWRVHKSRIKKKYHTKYNSDEEKLQNRPEDIPLEDFKQLLIYWGDDEIKALKKIFLVTKYLFIQFVQAPLIQ >KZM82777 pep chromosome:ASM162521v1:9:23867227:23869362:1 gene:DCAR_030346 transcript:KZM82777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVQSDSSDANMEESSSAAKPTQESSSPASMESLMAEAAAFGNEDENASLDEKAQKALDCPCLADLRKGPCGSQFSGAFLCFLKSTAEEKGSDCVHPFVALQSCIKANPGAFSKDILEDEEEVKEEKPTQQYKVIPPVWSVEKKQKQKPVPKL >KZM83529 pep chromosome:ASM162521v1:9:32697274:32711339:1 gene:DCAR_031098 transcript:KZM83529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSTKSFLQIDLNEAPPTVSSPRDPPGPAPSGPVLCGSCGNRQVHGEMLVCVNCGRGFHMKCMGTKRRSSDWKCFSCLFVGKGGESCSERFRDGGDGGGEGLLDMNAPPPEEEEEVQFLRAQRTAGGVQAVFDKSGLRHPFNIPVAYSQFQHIGSSIHVHQASQYATKDAMSLCEVPLHCRWNHNGMPSDTDMRFMTGARLQSSHYEPQKLPPTSANYLYLQDLKDFVTERRGVLGDGWHVEFHYCPVRCKTSAIYCAPDGRKFESMSSVADCLGLVPSGHALEDDNRGNGVPPGQKSHKRKEGTRYSGAKYSRENKIARRSILGGKSPPSAEVDGFPVQFEDLYIIHAGKVDLRNLYHDSGHIWPVGYKSCWHDRITGSIFVCEVLDGGDDGPVFKVQRYPCTKHHIPSSATVLRKQNGESREKKDDMALMDFDDDECTSLQMILTECNPPCLDSSISSSSPSIADSVSREANCTMPGIKNSNGFVREDSIGEFSVEARSSLSAWKMVSVVFLDACCEAYKQTGVLGFWCEHDMDKTDVKASENIGLLSKFSYSAGPINTPHLIESDEDYKVFSEVLVKWVQQDRFGLDLEFVQELLEQLPEARSCSDYVSLNKRIPKSMLHTVGSGFLISERICDMPVKKLSNGFVQSCGTPRKKLSEDTETKTPRPSGKPVSSKLPAYLIGDVLQVWEFLRRFSDILGLEEPVSFQELECELLNPWLDDLNPAQENIANRSRDDEDANSCRNSVTAVNGETSAYKLTTDTHGNCTGMILTTAHSSLLNLLIGELLVRVKPYVDPDFDAREIKSKRGRKKDIENSVIAKKSKLDMPTINDITWPELARRYVLAVLSMEGNLDSTEVMSRESGKVFHCLQGDGGILCGSLAGVAAIEADALLLAEATKKIFGSLKSTNDVFSVDQNDSDAADASNLTTNKDTEVPDWAQVLEPVRKLPTNVGARIRKCVNEALGKDPPEWAKKILEHSISKEVYKGNASGPTKRAVVSVLEDPRCERPQPKPETKEKGKTVSIILSDLVMKQCRHVLRHAAAADKDGVFCNLLGRTLLNLNDNDDEGLLGYPAMVSRPLDFRTVDLRLAAGAYGGSHEAFFEDVQEVWYNIRKAYGNHSELIDLAETLSSKFEDMYEEEVLTLVHKIRENANSQSDEDKKELIDVLASATESLLPKAPWEEGVCKVCGMDKDDVSVLLCDTCDSEYHTYCLNPPLVRIPEGNWYCPSCIAGKRISHGVSFGTQISNLHRRKRYQRDLTNNYLDALVNMANTMEMREYWEFSVEERIFLIKLLSDEVLNSAIFRDHLDRCVSVSADLQQKLRSSTSEWNVLKYKEESLAESLAARSMEVQRSELDILSKQKSQSISESLMEKASPSGIQIIKAADASDLFLHQHGKGLPGSHNCSVQEHPTTKSNSGEHGPSMSTWLHNINSTADKAQTNMNLRGQDCGGCNGSSLSTGKVFTSHISSDTIDADPADSISDVNVSKAPDLEASCSLKTEISNLQNTISTLESEMFKASVRKQCLGRDSAGRLYWVFGCGSSPQAFVNGNSVAQRNKVPEYGRETQCGPCLRNPVLVVESPSSSKGISFSNMHPSEQVMHSPESSSWACYQSDSEIQELVAWLGDDARERELRDSILYWQRMKLHDSVNVKNYFQGQYQPISLNSTLNDKLLDSHFLVSKALTVLEKKLGSRLELQTSDNLKQKKHKVETCFPGRIDRCECLELLWTSRQHCLTCHKTFAIPEDLDKHANGTCSMSVAVPESNKNSLKHKRMRMEPLPENNSDLRNVKALKAEKQNIASCFDEQRNFGCPFDFEEIKRKFVTQNSLAGLVKDIGLIDSAGTPSFVPQIAHYLVSPTLSLVPTSSPGLFSEFEDGQRFSKKRINNATGINSSRSSYTSRNPENGMDQEPLKGGKLRFRCMTELDQFSATKNMLWGGKGAILHESSLRPIGGRPSAILRQLKINLLDMDAALPEEALRPSRAKSEKRCVWRGFVKSAESIYEMSQATIVLEDMIKTDYLKKDWWYWSSPSVAAKICTISGLALRIYALDAAIMYEKNLPDRDPSEGCKSMPPQSKDVKSDSLDLPRLRSGKAR >KZM83555 pep chromosome:ASM162521v1:9:32967901:32968601:1 gene:DCAR_031124 transcript:KZM83555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMHDHNSTESNFIMVPDNYPMMTMMVPPEYERFPAHGSDELMSAMSTSSRRDRVNNNFNNYIINSCQPSSLVKAKIASHPYYPRLLQAYIDCQKVGAPPEITCLLDEIQRENEVYDKIDAVSTCVGADPELDEFMETYCSILVKYKSDLSRPFDEATSFLSKIEAQLGDLCKG >KZM83157 pep chromosome:ASM162521v1:9:29226320:29230194:-1 gene:DCAR_030726 transcript:KZM83157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLQVVASNSLARQESGILQESIVKSVNNNPKAGWKASMNDRFSNYTVSQFKHILGVKPTPPGELQSIPVKIHSEILKLPSEFDARTAWPKCSTIGNILDQGHCGSCWAFAAVESLSDRFCIQFDMNISLSVNDLLACCGFLCGDGCDGGYPIAAWRYFKRSGVVTEECDPYFDQTGCSHPGCEPAYPTPKCKRQCVDGNLLWKKSKHFSVSAYKVQSDPSNIMKEVYKNGPVEVSFTVYEDFAHYKSGVYKHLTGEEMGGHAVKLIGWGTSDEGEDYWLMANQWNRSWGDDGYFKIRRGTNECGIEEDVVAGLPSTKNVVQEMTNVGGAFLAAA >KZM83014 pep chromosome:ASM162521v1:9:27687655:27690194:-1 gene:DCAR_030583 transcript:KZM83014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFLAYCTATMLIVLLMSDVRASDINNTFTEARAIAKPGCQSKCGNLTVPYPFGIGVGSGCSIDSSTWFDVNCNTSFSPPKAFIGSGNVQIHEILNSQMRVSNVMATKCYSSTGDLTTDKPAYTNLLDSPYTFSELNTFSVVGCDDVALIIGSDQRNYSGGCITVCSKAEDVLDYGCSGIGCCQTVIPKGLKYYYTSLSTLRNHTEVWSFDPCSYAFLGEKESFKFRGASDFSDPTFINRTMDSVRIVLDWVIGNQTCDQARNSDAYACQSNTFCTTSDSGFGGYSCSCLEGYEGNPYLSPGCQDIDECADPTKNSCEKICINTPGSYNCSCPHGYYGNGEENSQGCIAKSSRFPVIKFSLGMGFGFLALMLGHEPEQQALMSHDAEESSDLYTVSIDAYSSTGNFSGQDSVDTKHLLFAANGPR >KZM83559 pep chromosome:ASM162521v1:9:32981710:32984347:-1 gene:DCAR_031128 transcript:KZM83559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNSRAGPGRFGTSGRFMIPEPARVHLCATSILFDPIPPGFDQFLHNSCRVVLCRTVHMHRLILRKIRISHLSRFYLSHTRLASLRSLPQIISPKGYISEPYFEKYGSLHDSYFQDFLAHEVPLGLCEVFQNKINSAPKLSILHRRLIGEGSHRRLSTSIQFEIYQESVSNLPDHYCEAIIIEKLPSGVFADPFELQDLLYRGVYMKASAFGDTNLELPSIQSNRSLVEIHMDIGSNALTGSKHGMETKIELPIHARYPPLGDRGYSRTEFGLPDLFTRCSIKGKSSSQSCIFMSTDSTAKVIDDSIVWEVPSGNKKHASTVSFLTFVSAILSALSIVLVSIRHSNFETDDSKQS >KZM82701 pep chromosome:ASM162521v1:9:22751293:22762032:-1 gene:DCAR_030270 transcript:KZM82701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSAIPASVLRNLADKLYEKRKNAALEVEGVVKQLTAVGDHDKITAVINLLTNEFTYSSQANHRKGGLIGLAAATVGLTSEASQHLEQIVPPVINSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNILNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTALTWINEFVKLGGDQLVPYYADILGAILPCIADKEEKIRVVACETNEELRSMEADPAEGFDVGAILSIARSQLSSEWEATRIEALHWMSALLNRHRDEVLNFLNDVFDTLLKALSDPSDKVVLLVLEVHAGIAKDSMHFRHLVVFLVHNFRIDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGESDLEFASIMVQALNLILLTSSELSDLRDLLRKSLVNAAGKDLFLALYASWCHSPMAIISLCLLSQAYQHVSSVIQFLAERDVSVKFLVQLDKLVNLLETPIFAYLRLQLLEPGRHVWLLKALYSLLMLLPQQSAAFKVLRTRLKTVPSYSFSGEKINRSSSGNPYSQKYYITSEDHVSEDGDLNEDAQSMHSGMNFPSRLQQFKQMQDQHRMHAKSQAKARHNSMSSKDVQRTEEPIQPSTAPEINRPSRPARRGPGQRQL >KZM81326 pep chromosome:ASM162521v1:9:81601:82158:-1 gene:DCAR_028939 transcript:KZM81326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLHSLLILLVLCSVASSGVARNPQQVEKWFSEVAMAEQKVTRLHFYFHDIFDTTSVNVANAQITKTSPTKFGMSNIMDDPLTVGPEFHSKQVGRVQGLFSSSDMDEFSLLCAMTLVFTEGKYNGSTLSILGRNPTLQKHREMPVVGGTGVFRLARGVALLDFYYFDVPAGNATVEYNVIVQHY >KZM83450 pep chromosome:ASM162521v1:9:31921846:31923423:-1 gene:DCAR_031019 transcript:KZM83450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHAHQLFDKITEPDIVVFNYMARGYARTDDPLAALLLFVEVLWVGACPDYYTFPSLLKACAKGKGLEEGRQLHCFAVKYGLNDDVYVCPALINMYVECGDLCSGGRVFERIVEPCVVSYNAIISGYVRASEPNKALAMFRELQSRRIEPTYVTMLGVLSSCGLLGALELGKWIHGYVKEHGFDQYVKVNTALIDMYAKCGSLDDAVCVFECMAFRDTAAWSAMIMAYALHGQGGRAISLFEGMRKARVRPDGITFLGLINACNHTGLVEEGWKYYNSMRDKYGINPGIKHYGCMLDLLGRAGRLDEAYKFIEELPIQPTLVLWRTLLAACSTHGNVEMAERVIARIFELDDSHSGDYVIFSNMCARAGRLKDVIRLRKLMKDKHVAKLPGCSMIEVDNMVHEFFSGDGTHIEHRELHEALDMLFEKLKLVGYVPETSLVNYADMNEEEKEVALRYHSEKLAIAFGLINTPPGTTIRVVKNIRVCRDCHSAAKLISQISGRKIILRDVQRFHHFQIGKCSCGDYW >KZM81736 pep chromosome:ASM162521v1:9:5189772:5191931:-1 gene:DCAR_029349 transcript:KZM81736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHAGPVEFFYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEMISYFILFFIPMITVALTGTGSIVSFFGYITYIDLMNNLGHCNFELIPRKLFTIFPLLMYIMYTPSYHSLHHTQFRTNYSLFMPFYDYVYGTMDKSTNTVYEASLKREGESPDVVHLTHLTTSESIYHLRLGFASWASKPQTASQWYMKYLMLPVTWWSMMVTWFHSRAFVTERNTFENLKLQTWAIPRYNIHVLHFTQAKRVYYGMIEEAIAEAERKGAMVLTLGLLNQGEEMNRNGELFIRRNPKLKVKLVDGSSLAAAVVLNSIPEGKDQVAIKANSSKVSNFVAVALCQRGVQVSISGESDFRRLRELCAPETRNNLILSKSCSQKIWLVGDKLQETEQVKASKGTLFIPFSLFPPKKFRKDCFYCNTPAMSAPRNFENLDSCENWLP >KZM82625 pep chromosome:ASM162521v1:9:21942826:21944865:1 gene:DCAR_030194 transcript:KZM82625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKPPGKSSRKPLGDVTNVSSPYRIPAALQKASPFSSYCWKKMLHPVLKKQAKVHLPTSGLFSPESTIPSSQFTPLQRKGSLRVDHPAGVQERRRKAGIAYPDCESQHIPKGVTKKARRARPEVVDSITKSFLFEETNPVNKMVIPTHLDDGESSFIGDEELYDDFLEVDDYEEHAEEFNSRYEKNLKPGFILIIFL >KZM82237 pep chromosome:ASM162521v1:9:14314408:14314875:-1 gene:DCAR_029879 transcript:KZM82237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPRRSLRSEAHITSFGYEDTRTQAPPFTEDPSTSQVTTTAITTVKAIPPLNIQGARSSNPVITKSEVMLTLIRGTQIAVSQTSVPLILCGMPALNNINTSMLASAPIKLHYSTVVGTLPLLNQGRNTGPYTDEGSRLSRDNSRGTTYYPYIFA >KZM82980 pep chromosome:ASM162521v1:9:26857896:26858159:-1 gene:DCAR_030549 transcript:KZM82980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSEQPKKQACVPGAKDTLVFLTPGVEKFSRKLVKGNHYFVSVMHVLDKVGSEPELRSRALTENDGEKQAKKPENIQLSQKHHIPDI >KZM82062 pep chromosome:ASM162521v1:9:10593014:10594288:-1 gene:DCAR_029675 transcript:KZM82062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRASSSQEIVLNDEDLLTLILLRVPFKQLLLLKSVSKRWLSLVTNPHFIRLLRRSLPPLRASSRLIQRIFRYPFPDQVFCIPLDNPNAASPLRNSTFFATYNLQQIYLVQSCNGLAMCTNSCFISFEDFKCYVYNPSTDHLDTLPNQPLLYGRGVLYVGLTYDPSKSPHYKVIAFVYTQDQSGNFHIYSSETGNWKASVQSFHQPVGFSFLKDIPNSSVYWNGCLHWLCFLSGFHEDIPDCSVSDCFYLNVDEERLGTFPRPPIGARETSMRSYYFGESEGHLHVTEVCPYAISLNIYEMKSDYSGWFVKYWVDLAPIAKVFSEMNKHMSIFHDKFDYAVSVLSLFRRENFREDSFLVLEIPDFKVCPYTEPVLCAELQHAAGRGARS >KZM81633 pep chromosome:ASM162521v1:9:3710560:3711136:1 gene:DCAR_029246 transcript:KZM81633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEPKSTLASPGESVIVVIDANRNKVMVDALAWAIRNIVQPRDTVVVLGLLSEKVKYSGTHVSAETSGITNGENMPS >KZM81364 pep chromosome:ASM162521v1:9:369802:372787:-1 gene:DCAR_028977 transcript:KZM81364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSKILFVGGTGYIGKFMVEASAKSGHPTFVLVREATLSNASKSELIDSFRSLGVTFLYGDLYDHESLVEAIKQVDVVISTLGHWLLPDQGKLLAAIKEAGNVKRFFPSEFGQDVDRVSAVEPAKEMFASKAQFRREIEASGVPYTYVAANFFAGYFLPTLSQPGATAPPRDKAIILGDGNQKVVINKEEDIGTYTIKAVDDPRTLNKVLYLRPPNNTLSFNELVSLWEKKIGKTLEKDYIPEKEVLKNIHESPFPTNVIIALSHSAFVKGDQTNFEIEPSFGVEASALYPDVKYTSVDESLDQFV >KZM82583 pep chromosome:ASM162521v1:9:21552783:21554273:-1 gene:DCAR_030152 transcript:KZM82583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVHDSSTSVLPKYAKLGFQYLVNHFLTIILITIISTLFIKVLRFGPHGILSIWNPLQLDLIKVQCTFFVVIFVLTLYFMSKPRPVYLVEFACFKQPPHCRLSSAAFIEHSKINMSLDPKGVHFLRRVLERSGLGEETYLPESLHYIPPQLTLTAAREEAEMVIFSAMDSLLEKTGINPEHIDVLVVNCSGCAPTPTLSDMVINKYKMRHDVKSFNLSGMGCSASVIAVDLVRRLFQAHANINAVIVSVEILTPNYYGGKEKAMLVPYCLFRMGSTAILLSNRKSFRHRAKYSLLHTVRTHKGADDKAYGCAVQQEDLEGNLGVLLSKELMMIAGEALKLNMTTLARLVLPASEQLIFAINFIGRKIFSLKWKPYIPDFKLAFEHFCIHAGGRAVIDELQNSLQLSTENVEASRMTLHRFGNTSSTSLWYELSYIESKGRMKKGDRVWQIAFGSGFKCNSAVWRCNKTVETPIDGPWRDCIQNYPVHVPQVVKLG >KZM83070 pep chromosome:ASM162521v1:9:28494805:28495419:-1 gene:DCAR_030639 transcript:KZM83070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFTWKNYLLCFSNIKTLPITALPNTSPSNQIKNFNSLYSLNSTSTSNLTSSADHDFFSNASDVSSEGDDSPPDFATVFASQRFFFSSPGRSNSIIDSPNSLGDPECSNLVPGSVAIQTYSPDPLQDFKKSMQEMVEAHGIIDVKADWEFLHELLLCYLTLNPKHTHKFIIKAFSDLLVSIMSSESCPKIEDCQHGTSSPPLA >KZM81494 pep chromosome:ASM162521v1:9:1808419:1808737:1 gene:DCAR_029107 transcript:KZM81494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKYYWPSDLESIRFPILLCSFCAEHDPYASVLRGTADPDKSILAGEDESIAPTGAEKDDEVMPDGPE >KZM82029 pep chromosome:ASM162521v1:9:10110468:10112716:-1 gene:DCAR_029642 transcript:KZM82029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLTGSDSIPKELNNLFFSSNFNHKPENTSSYQGSSLSRYRSAPSSIFDILLDEVKIDDLKYGGDLKQEVVDLDGSDFFNVPDMGYVISGQDSGVSSGDKAFSGLEELNQVKKNSGLIKQSSSPAGYLSNLVAEIEFGAMSNLGGNYDANKRTRTEVNTTASRLSNHVNFSSGSSSKSRFMPHTTENYNERIVTSCQQNGQLSSGQNRDWSGDSASTGLKRNRDGKVKMLSNINGLNNQSEASQHYSSGLLHQFSLPSTSSEMEKIENLLHFQQDSGVPCRTRAKRGFATHPRSIAERMRRTRISERMKKLQDLFPDMDKQANTADMLDLAVEYIKDLQKEVKTLNETRDRCTCSR >KZM83118 pep chromosome:ASM162521v1:9:28909166:28910359:-1 gene:DCAR_030687 transcript:KZM83118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTVMQSILASPASTAITGRRVNLVPANYAPSLSRSAYSLRTRCMAKDGRKEESSPPPTPKASTSFFDVLAFSGPAPERINGRLAMIGFVAAMAVEVSNGQDVFSQISNGGVPWFLGTSVLLTLASLVPLFKGVSVETKSGGLMTSDAEMWNGRFAMLGLVALALTEYIKGSALV >KZM83218 pep chromosome:ASM162521v1:9:29724078:29726765:-1 gene:DCAR_030787 transcript:KZM83218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSFKWTEEIHTLFVELCASEVVKGNRVETTLNKEGWSNVEQELHRQLKVVCVHKQMKNHWEKMKADFQIFKKLKFGESGLGWNELSKTIEAPESWWIHAIHANPKIKAFRGKDLTLFMNYYDMLFGDIVATGSRARTTNTYSAVNLETGGKFTEAQADDGQEGSGDSGEHLPEPDIQVQPNMPNIQVQPNLFPSPTLKSGHSSGSKRKRSGAEYICDRIDGIFSNMSSRSTQTTAAQMRAAHEEAAMNAVFDVLNSIEQVVPGSQLYNFAGQEFLANKNNRAFFMRAPNNHVRYEAILYAYNKFNNH >KZM82271 pep chromosome:ASM162521v1:9:15242668:15243467:-1 gene:DCAR_029845 transcript:KZM82271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKISSMKKHMLQHDSADRCYGIQELLEPGIYTARIKVNVSRRWNGNSSERHSVSGISMFLTDTSNYRIYCWIPSNLADRYVEDLEEHRSYEIHNFMISTYVSSCKWSEDDEFFMILMDSTIVLPLQIGDYVTSTETFKFKDLS >KZM82298 pep chromosome:ASM162521v1:9:16888219:16889385:1 gene:DCAR_029796 transcript:KZM82298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSCMNFANLAFGDLNRTNPCIKYVDSDGTSSTTVRIIILSNMLPLHAQKDGKTEGFSFIYDESPLWQLKDGFSPDTMVFYVGSLNADIDVNVQEEVVERLMDDFNCVHTFLANELFSEFYHDFCKHHLWPIFHYMLPMCGKHGDQFDQGLWQAYISANKILANKVLEVANPEINYILIHDYHLMAILVFLRNKRYRAKLRFFLQSPFLAFAEIYRTLPVSADILRILLNCDLIGFHTFDFARHLLSCCSRMLGLDYESKRGQIGLDYSGRTVYIKILPLGIHLGKVENVLNIPSTSMKVKEIEGKFKGKHVIVGVDDMNLFKAISLKLLAFKQLLKKYENLRDIVVLVQIINPERSSGEDIEEVRREPSGTAPRINQINKCANYL >KZM81562 pep chromosome:ASM162521v1:9:2658716:2659232:-1 gene:DCAR_029175 transcript:KZM81562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLPVILTDFKSNDTSAYDENGCVKEFNDVITFHNDYLQRKIIMLQQENSLATIVYSDYFNGFKWLLSNAHQLGFDATSALEGCCGNDGPSRIDSSTDGCGGSNVPVCSNPDQYINWDGVHFTQKAHSVLASWVVAGLIPKLNCAAEAKYY >KZM81935 pep chromosome:ASM162521v1:9:8735766:8742484:1 gene:DCAR_029548 transcript:KZM81935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVFGEAEYLACCGSHKFAKQMVESGPFSSLEQAISAATHIWFNQVDVNGWLEAFGAHPQIGQSPSNDHKSPTSAQWSKGEQSTAIATATDSTLQELYDWNTRYRQKFGFVFLICASGRSTPEILAELKRRYSNRPFVEFEIAAQEQMKITELRLDKLFSAAEKASTTKTPFSTAGALTKAEEDRVSIIGSHLTSASHSSTTKPSQVSTRTRPPITTHVLDIARGHPASGIEVRLEMWKTDRPRPLFSDLGTNNWTFQGSSTTDKDGRSGHLMTIVDALNPGIYRISFNTGKYNPDGFFPFVSIVFEIRESQKLEHFHVPLLLSPFSYSTYRGS >KZM81621 pep chromosome:ASM162521v1:9:3607162:3609241:-1 gene:DCAR_029234 transcript:KZM81621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKIKVEEEACTLRFYKIVLSWDYLRLLRESDQKNNKKAGDLGLKEVKHTYKDVNEYISIFEPLLFEEVKAQIVQQKDQEEDIEWKTGIIVECNEADGFHLPVVFGENWDSIQQNDLLLLSRKKLEESEDLSTIYAFGLVEYKQSGSNGGKIGLRMELGGEVKGSNADEVKSCPRLSRMRPLVKESQKTWVIKKICSLSTIVREYVALRSVGSLPFKDLILKAAESQQSSEDRAWDISSSLKDYIKSNHNASQLEAIDVSSLT >KZM81512 pep chromosome:ASM162521v1:9:1978557:1980746:-1 gene:DCAR_029125 transcript:KZM81512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILTFIAISALLPVHHYIEVTTYRRSPTPPSVINSLLGDAQTIDYTEPVVPYEPVRPIDCLLDQALFANSLALSSKVLAEARRWLTGPDPLHVCGTDPSPWPISGSLGALATTVGGVMYMHPFQGGATLLSLGLIFLLYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGFILFIVSEVMFLFALFWASSHSSLAPTVEIGGIWPPKGIGVLDPREIPFLNTLILPSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPSTISDSIYGSSLCMNKY >KZM81595 pep chromosome:ASM162521v1:9:3097284:3098186:1 gene:DCAR_029208 transcript:KZM81595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAAAAALLNGLGSSFLSGGKRSQSLLAATTSARTGGSVAPKRLTVVAAAAKKSWIPAVKGGGNLVDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAYSGIPWFEAGADPGAIAPFSFGTLLGTQLILMGWVESKRWVDFFNPESQSVEWATPWSKTAENFANATGEQGYPGGKFFDPLGFAGTIQNGVYIPDTDKLERLKLAEIKHSRLAMLAMLIFYFEAGQGKTPLGALGL >KZM81678 pep chromosome:ASM162521v1:9:4396474:4397058:1 gene:DCAR_029291 transcript:KZM81678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSNILKGKRTKRQRPQSPLPFSVAHANFSSKYAGGDYVTSSTTSDEDSAGPGTTEEEEDMAKCLLLLAQVDPKNDSHFGLVPYKFTSKKYLETSISTNGKTCIYVYQCKTCNRTFPSFQALGGDRASHRKPKNVNAALENKSRLIISTKISHHHSREVLIRTGLRRSHKAPLWRHHCQPQQCHKYNYSVRE >KZM82362 pep chromosome:ASM162521v1:9:17884370:17904407:-1 gene:DCAR_029931 transcript:KZM82362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEDMEEIGGAPPLKYVPLREVYDATAPARLNTRNSIHDSKLQSNEEFRKSKRRRVKSTKMIESQMSNSAVVVGLASAAPTDCNINKKTKKKKKKKSGDDVDNDKKDVLDVKCKKWTRLRIDADYKRFIGLKCKASTLVHWPLDDDWYYGHIVGYDPVTGRHHIKYKDGDEEHLILPNERISFYVSPKEMQQLKLSYGVPCPDSGNFDICDTIALAATLDDCCDRDPSPGDMIWAQITGHFMWPAVVLDESASSNLKGLNKISGEKSFLVQFFCTHDFARLSRKQVIPFLIGLLSDFHLKCKKSDFMLSLVEAKMYLSIQKLPNRMLSLRKSNTTNNESASGEEEGIAILGDKGSGDEEIHKKLVDIRSCPFEIGDLKIISLDPNKLVSYKMEVLRDVIMLNKPLFRVTSEEEEQFEGPTSSSCWDKVYRKLWKMNFCSDALKENDKSGPEMFGFSDPEILKLIQFVLIMWHEQLSSTSKLPSEFKSVSKRGRDLPVGYRIIHITWKDLDKCNVCHMDEVHTRCYGEHEPVNGILWYCNLCRSGAPESPPPCCLCPVTGGAMKHTTDGRWAHLACAIWIPETCLVDFRKMEPIDGLNKINKCSNNSCYVAYHPLCARAAGFCLEREDEDRLHMIPGEEDDGSQCIRLLSYCKRHRPASNQRTVVNIRIGENARQQSDYIPTPNPSGCARTEPYNYLGRRGRKEPEACGTVSLKRLYVENRPYLVGGFSQHKSLTKVVSSQCVTSKSIFSMAEKYSYMKKTFRKRLAFGKSGIHGYGIFAKQQHFAGDMVIEYIGELVRAPIADRREHLIYNSFVPNCYSRVITVNGDEHIIIFSKRDIKQWEELTYDYRFLSIDEQLACYCGFPRCRGVVNDVDAEERIAKLCVPRRDLTAESTISGKYTCKSSLVTLVFNMDILSCG >KZM83393 pep chromosome:ASM162521v1:9:31422906:31443111:1 gene:DCAR_030962 transcript:KZM83393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTSNSRTSASATRSDNSKVQMVPELGYDEMIKISEETMKRYSLNSMPKRGKGVGIVWFRNDLRVLDNEALFRAWASSEVVLPVYCVDPRIFNGTTHHFGFRKTGDLRTLFLIECLDDLKKKLMQRGLNLLIQHGKPEEVIPLLVRAYGAHTVYAHKETCSEELNVERLVKTRLLEVKLPVKTGTGKNQTATNSAKLELIWGSTMYHVDDLPFDCSSLPDMYTQFRKNVESKSSVRSCIKIPTSLGPPPDIEDWGRVPTISELGSGEEKIRKGMRFVGGESAALTRDLLRMYKETRNGMLGPDYSTKFSPWLASGSISPRVIYEEVKRYEKLRHSNDSTYWVLFELIWRDYFRFISIKYGNRLFHPGGPRELGYTWSQDIGLFEAWRDGRTGYPLIDANMKELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAKNYDPEGEYVAYWLPELRSIPKDKRNFPGHFYMKPVVSLKHGYPELNQSNANSVNELGYQMFQTGSGNPVAVNQSSLLKASAMLGDIISDQGHSMINESNANSLNDSGYQMFRTGSGKPVAVNQSSLSKASAMLSDVVSDQGYSKISGSNANSVNESGYQMFRTGSGKPVVVNQSSLLKASAMMSDAVSDQGFTKLTGNVGRPLRSPSTFRNGFKNSLALKRSPLSKASSILTNEAEPTVVTGSGVTVDTGQVKAIDSACNFGNSMFQTGSGKTVTISTSGLARAQKLLCLKDNHTHQGFEGMMQQLHAIPSNQQSLHHLGLEMGVADKQSKDSISSLRSPLKVRSETSGSELLEVTPDSVHSISRPSSIKFHTAGGRSISVSSNALQRARSLLGDPELGSFMNEGDAGSGKNLIRNFDAEAHESTTCNDVKGCQKYPKNNDPYSSDNTTREDNIAGSGFQKDPHKKQRGWPLVDISNTTDMGDRSNKQAVAVKRVVDRRSSGSFKKPRVSKFITPLNNEIPYTENGSLAIDPEESSYKNKFPGRYPFQATRKYVKEYFGAPPSVNTTLEHLPECIRTMNPDRAEKHCFPSECGSDSVGKEAFSAMLLQSGASMKYISDTWVTNHYKWIVWKLACYERFYSERYLTVSNVMEELKYRYDREVNHGHRSAIKRILEGDAPPYSVLVLCISSIQRNTEAIIKNISNSHGVDKSKVANVELTDGWYAVNAVLDAPLSKKLDSGKLFVGQKLKISGAGLSGWAGPVSPLEVPSTVSLVLHINGTYRSHWAERLGFCKSGCAPLAFDCIKSGGGVIPSTFVGIQRIYPVLYRERLSDGEYTVRSERMEAKMQQLYNQRRSEVAEEVISELQRADADILINSDGSEEAAKIFKILETSAEPEILMAGMSSKQLKSLSIYQAKLEETRQSNIHQSIEKAFENSGLSGRDVTPFMRLSVVGLTTKIGQEKCYPRKGLITIWNPTEEQKLELVEGQAYAVSGLVAFNSGSDTLYLQTRGPTTKWRHLSSSALEQYQPFYKPRKPVSLYNLDEVPLSSEFDIAGFVIFVGEVHATANQRKQWVFVTDCSVHGSHTNRPSDDLLAISFCAPTSGHDLYLPISHNLVGSTHNKSIGRELSPLCVQFHSRILDKQKVWNSNCINPPANRVDQSYIANSEKSRNSHQPQTQADTIDF >KZM81970 pep chromosome:ASM162521v1:9:9374708:9378600:-1 gene:DCAR_029583 transcript:KZM81970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGTLSSLLSPTPSKPTSHHRSSLLQIIAIVPSFNNTDTIFPNKGFFIRVSDSLHSAYVSVSEKDAELILGDKIQLGQFVYVEGLDGGAPVPVVRGLKVVPKRRECVGSPVDLIGSEVLVGKKEKKGGRRVSLGGGKSDGGVEMRRLSLDSSRKGWDRNLLGKYGGAGRPGSSHSSASILASKTASYEKNSTLMQRSFSPLKQALSHRKSFSEKDLIGKAPSPRISPLKQVIPHRKSVSERDLTVKPPSPRISPLKQVLPNRKSLSDKNTFRPPSPRNSPRQHGLTNKNGASERDSASKHSSSNLSQSKHVPPSTNQLSEKKSTHKPLNIGVSPLQNKNVNDSPSPVSKPIRKDIKQSTDGTNLGHLFKVPLSLKTLSDAKILWGSLPSTIHDLGKEVVSRRNSQFVAAVYALQETSATENVLQCMRMFAELCESSEKESSLPLVEEFLDLHQNMHRVATVINGLRFPEESSLQCALPEAYKNFADQNALHWVQAAVQSNLSKFNLYTKEDKRGDINAEKCHFVILENTTTKVDVENHSPDKKQSPRNQRAVVSGSSSKTSSPYNSKPRLSTTRKATNESEVWSKGNGLQVAASLAEKLISASQAWFLDYLDASLQKEFGLQKGEMDAEIACLLGQLKRVNQWLEDSLTNGSGTNERIEGLKKKIYGFLLNNVDAAVVQTGS >KZM83604 pep chromosome:ASM162521v1:9:33290173:33290517:1 gene:DCAR_031173 transcript:KZM83604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLIVLALVLFVIVGLVSAAKPADHDHDDVAEAADAPSDDAREPAEGPSDDIGTDDDNDDAAPVGGPVPAGAFPASSSTGQSTSPGASAASALEVSAIAGIAAGAAGAAFFF >KZM82933 pep chromosome:ASM162521v1:9:25995356:25996135:1 gene:DCAR_030502 transcript:KZM82933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKKVGIIGFCSVLLVAMVVGVVYTHVNSGDSEAEEGKKDQIKESRKAVKSICQPTQYRETCEKNLMPVAKNDTDPKDLIKAGFDFAMQHIHETVKQSKLLQEAEKDPRTASAFKVCKNVLRRALKDLQRSFDRMTDFDFEDLDDRLFDLKVWLSSASKGQNTCCDAFEKTTGEAGEKMKELLRISKELTINGFQMIDELTRVLKDMQIQGTNTRKLLQVPLPPDQTPAWVKPEWKDLLKGDCAKTGRHHIWALYIK >KZM83621 pep chromosome:ASM162521v1:9:33411194:33413691:1 gene:DCAR_031190 transcript:KZM83621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLNNSVLLSLSLLIIYINVCFVSTLSLSSDGLSLLSLKSAVESGDSVFSDWNENDADPCHWSGISCSNITNSSGPRVVAIAISGKNLRGYIPSELGALTYLRRLNLHGNSFHGSIPAQLFNASSLHSIFLYSNNLSGSLPPALCNLPRLQNLDLSNNSLSSSIPRDFRNCRQLQRLILAENKLYGEIPVGVFPELANLVQLDLSSNLLNGSIPEDIGELKSLSGTLNMSFNEFSGKLPKSMGDLPLTVSFDLRSNKLSGEIPQTGSFANQGPTAFLNNPLLCGFPLQKSCRGDNGSDSSSGVQNVSPASDKKDSGKGLKPGLIVLISVADAAGVAFIGLVVVYVYWKKKESNGCSCTGKRKFGSSEKGHLCVFPCIGGNSGNDSDVHSEKGGVGSGSGAGGGEGDLVAIDKGFNFELDELLRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGEGGEQRYKEFVSEIQAIGRVKHPNVVKLRAYYWAPDEKLLISDFISNGNLISALRGRTGHQPSSLSWSVRLGIAKGTARGLAYLHECSPRKFVHGDIKPSNILLDNELQPFISDFGLNRLINITGSNPSSSGGFIGGALPYLNSVQPERANNYRAPEARITGSRPTQKWDVYSFGVVLLELLTGKSPDISPAASTSTEFIDLVRWVRKGFEEETPLSDMVDPLLLQEVRAKKEVLAVFHIALACTEVEPESRPRMKTVSENLERIGS >KZM82652 pep chromosome:ASM162521v1:9:22227168:22228541:-1 gene:DCAR_030221 transcript:KZM82652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSAKLLLFLFILSHYYLQATSSRVLSISPIPNEALPTRSGYLQVNSTTKSAMFYTFYEAKEPNSSLSETPILIWLQGGPGCSSMLGNFYELGPWRVVSSQGHNVEHLKLEPNSGSWNRLFGLVFIDNPIGTGFSVATTTEEIPRDQHAVGKHLFKAIKAFVALDSLFKTRPIFVTGESYAGKYVPAIGYYILKRNARLPKGNQVNLAGVAIGNGLTDPITQVATHAMSAYFSGLINEKQKTRLEEAQDKAIGLIKVGNWSGATNARTKVLNLLQNMTGLATLYDFRRLVAYEDYLVEDYLSSMEVKKALGANASIAFEVCSDKVGDILHEDVMKSVKYMVEFLVKHTKVLLYQGQFDLRDGVVSTEAWMKKMKWDGIEKFLEADRKVWKVKEKLAGYVQIWGSLSHAIVSNAGHLVPTDQAVNSQVMIEDWVLDRGLFANKEIVDPSAPSYDSK >KZM82008 pep chromosome:ASM162521v1:9:9840911:9844610:-1 gene:DCAR_029621 transcript:KZM82008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFTHKEQAGLEGRIVDIGNLKVHVRNVIAEGGFSCVYLARDAINGSKQYAIKHIICNDEESLELVMKEISVMKSLKGHPNVVTLCAHTIMDMGRTKEALLVMEYCEKSLVNVLESRGAGYYEEKQILAIFRDVCNAVFAMHCQSPPIAHRDLKAENLLLGSDGLWKLCDFGSISTNHKRFERAEEMGIEEDNIRKHTTPAYRAPEMWDLFRKDLINEKVDIWVWFRVNGLLPDGLQKSLPDKPPEMKQHGMNTNQENLSTTVHKGSPMPRRSPPPPPTTETHQKAGAGGPMGAFWTTQHGKDSVVTDDKIKPKYDESASNISFGHDKGHPERPVQKGSYNKPTSGPSNDFEINFFPENSGHTSEKSTSLKSDNPPAFQGDAFNAFVAEFDNTKVGPISDSKKSGKEELLEAEIERLKEQLKQANVEKAEVTSKYEKLTAICRSQRQELQELKQALASKTPNTHASKNQTSPGIQKSSTPPQREKVEGTVWELQQGLFDKNSVSPDTNSWKPFVSDPIPLTASTDSTPKSVRTKNGQQNKQDAEVSSGAKTWGFGTEGFTAVPAASSSISGTPKELNSSKRFGDSKAGTKSTTQPAGWAGF >KZM83039 pep chromosome:ASM162521v1:9:28198679:28201252:-1 gene:DCAR_030608 transcript:KZM83039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKKTHNGDLIYIKSGKRGPQKDIEKKSLFELSLPLMISFWFLVFLFYSKLGLTYGNGGNVQSSNRSMTNSSISYDKHSDIAYDFVENRDDDYKQELVFEVKVPLNISKPSGQDHNNTNSRKSPQGTCRRKDLVQSILGYRELMCELNLQDEDRYTKTGKFDTARTYEASFDASLNMTMKEKGSTPNARVNITHRLEPDGTEYNYASDSKGAKVVAHNKEAKGASNILGKDHDKYLRNPCSIGGKYVVIELAEETLVDAVKIANFEHYSSNFKEFNLSGSLTYPTEIWYSLGSFVAANVKHTQSFKLPEPKWVRYLKLNLLSHYGSEFYCTLSLVGVYGDDAIEQMLEDLIVKSEESASTKLSKPNSTITLSAKPESGVNDKKVDGEAKQAVDVSSKSKGVEGADDGNKPTVDAPKVQVTVSNIPDPIVNPTVKVRQQSSGRIHGDVVLKILMQKVRSLETNLSALEEYIKRLNNGQTEVIPDLEKKIADYKKLLEKSKIEVKDILEWKEIMEKEVADIDSWKSGVMSKLESVIRENVNLRLDIEKVAGEQAYLEKKEITIITVCLIVACVLVLKLVSERVLLFSGAILCDMVCWAQKGCELIIYSCGLAVCIGLVIS >KZM81921 pep chromosome:ASM162521v1:9:8527710:8548036:-1 gene:DCAR_029534 transcript:KZM81921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKKPGSGSKKSNPNHQQSEPSKFGIQHFFDRHTQNSQNPKFKPPIVKKPDSRSTEPGKSVSEHREDGTGSNLGVVDRKPGNLGVENEGVVGGSGVGPGVVEMVGVEKEGSLENTPNGKVVRNGDVDEMDVSPEISKAVSAKRFKFSPGMLIKQSQDEGGDEVTWRISPVNERLNAKFKQLPGVMRDSDASTGKLEKWLSSPPLKALENSLILSDQTSLRNANSEHGRTDCGNDKDKSGAGKSQSPFQTPPSLSYCNNKPAEGVNSNGVSDQHETRQHKKALLDLLDQVGDVLSVDELECDGVKKIIPHGLDGEICTGSDTAVELTEAKRKPSSLCFLVLEVSEKRPLSSTGTQFPVKVLRLLNEQSGEEQAVHLYDEWFCSVIEPGDTVQVIGEFDEQGKCDVNRDKNFLIVHADILMSGTRVAGSYNCTRRAVLDERLKCNEHSIAALIGTLLHQIFQAGLIHENPTKEYLEGYARIVLQKNFESLYACEANDADTHKTLIEAIPKMLNWILLFRDSKGSRTPSVDFGTNDGLKKVKISEVVDIEEVAWAPKYGLKGIIDASLRVKFESSAGENFEKIMPLEFKTGKATTGQARFLTAMEHNAQVMLYTLLMSDRYDESVDSGFLYYLHTDQTQGVVVRRSDLVGLIMRRNELADSILKATTTQRLPPMLKNPNMCKSCRHLNVCTVYHKAQGGNADGSGLGSLFDSLVGHLTSSHYAFLKKWDRLIDLEAKELQDAKRDIWSSRCSNSGRSTSCLSPIFLETSVKKASLAKISQGNKFVYCFLRQKSPLLDGQKDEGESASVKDATCSLRSGDYVILSTEPGHLIVSSGVIMEICPSYVSVSFSKRLRLPRSSPSAAGKDLCQEVWRIDKDEIIASFAVMRFNIVQLFLQYEWNSHLRRMIVDLEAPRFDNGCLFTQDPAVSYIRSEETLNDDQRKAILKILAAKDYALILGMPGTGKTSTMVHAVKAFLMRGSTILLTSYTNSAIDNLLIKLKVQDIDFIRIGRYEAVHEEVRGHCITATDMNSIKDIKLRVDAVKVVAVTCLGINSPLLANKRFDVCIMDEAGQTTLPVALGPLMFASKFVLVGDHYQLPPLVQSVEARENGMGQSLFCRLSEAHPQAISALQSQYRMCSAIMELSNALIYGNRLRCGSLDIENAKLKYTSSIRVSSWLQEVLNPDRQVIFINTDLLPALEVKDCKTVNNPVEAHIVSEVTDKLVARGIQGEDIGIITPYNSQADLIRHCISTSVEIHTIDKYQGRDKDCILVSFVRSSKNPRNCNSSLLGDWHRINVALTRAKKKLVMVGSCRTLSNVPLLKLLLEKVEEKSGVLDVSSNDINYKVELRRCSKIL >KZM82121 pep chromosome:ASM162521v1:9:11737815:11738951:-1 gene:DCAR_031828 transcript:KZM82121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPYELMENEILLRLPVKYLLRFRCVSKEWCRLIDSNEFGKKHWRRMIECNKRSVIFSGYDVGKFYLADFEALDGGGDAVEINGPLGALVSGAVFFGAANGLVCVAKDMMNELFIFNPSTRKGRKIPSAPADFPRSRDSSEFVQCGFGYDHVNDDYKIVKIGESCFRFSGMKVIVYSLKSDSWKRIQDVPSNVQLIGDRGIFANGALHWFAVKDPANFSEDFVSFDLGLEQFKEVPLPPNATPRRSMFPVEESLGILYKYRGSRVDLWLMNTSGAGDLWSKALSLKQPGPLGSFTYFRPITFSESRKSVLLEVDSTKLVWYEINRKAAKHVWIRGIPINFESYLYTESLLQFTNDNQDKPLQKPSQDNQDKKQHKNR >KZM82637 pep chromosome:ASM162521v1:9:22010056:22017052:-1 gene:DCAR_030206 transcript:KZM82637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSLILAILLLISCFEASCSITTGSRSLLRAVNTNAQDHTNFAIDLNSTSFDAVLKDTPATFAIVEFFAHWCPACRNYKPQYEKVAKLFNGANAVHPGLILMVRVDCAEKINSNLCDKFSVDHYPLLLWGPPSQFVSGSWEPKKENSKIISIDDGRTADRLLKWINKQMGSSYSLDDGKYENEHVLSNLSDPGQIARAVYDIEEATSMAFNLILEHKMIKSKTRASFIEFLQLIVAHHPSRRCRKGSANILVNFDDLCPSDILSGKKQECMTSGPITLSNSHICGSEVPRGYYMFCRGSNNETRGFSCGLWVLLHSLSVRIEDGESQMAFSATCKFIHNFFICEECRQHFYEMCSSVSNPFNSTRDYALWLWTAHNNVNSRLMKEEASQGTGDPKFPKMMWPPKQLCPSCYLQSPQSDAENQIDWDQNEVFKFLASYYGNTLVKLYKDKELLGEAGSDKAVIDDLATSTNALVPLGAALAIALASCAFGALACFWRSKQKNRKYLYLHSLKSI >KZM82783 pep chromosome:ASM162521v1:9:23981121:23985027:-1 gene:DCAR_030352 transcript:KZM82783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVRARIISSVSKRLDKRSYSAAVNTPKQQMAAAAAAFSEELPPPPRAGVGMKLLKYGAIASIAGLFGATGYATYAYSVDEIEEKTKAYCASANVAGKDDGTTLGKYQAMIYSAAATVPAKLLEAYIDGRRSIEEHVRGFTEPSSDKLLPDLHPMEQGAFTLVLDLNETLIYSDWKRDRGWRTFKRPGVDAFLEHLAKFYEIVVYSDQLSMYVDPSVERLDPNHYIRFRLSRSATKYQDQKHYRDLSMLNRDPSRILYLSGNALETSLQPENCVPIKQWKGETEDTELLDIIPFLEYVATHRPPDIRPVIASYKGRDIAKEFIERSKEEIAGAKATGTAVETLKYKDEILILGAEINGGRII >KZM82635 pep chromosome:ASM162521v1:9:21997939:22002397:-1 gene:DCAR_030204 transcript:KZM82635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVPGSFYGQLFPKESMNLIHSSFSCHWISQVPKEVLTKDSRAWNKGRISYVRSSIEVKQAFAAQFMSDFKAFIGARSAELAPGGLIFISIPCRSNESVLSILEPIDILGDAFTDMVKEGLVAEELLDSFNLPLYIPTPSEVIKLVSGDKHLNILKVEESFLKVKMSSAEDIMYGSSHLRAAMEGIINKHFGPDILMDDLFHRYCNKFTEFSARFKNFDKRLPSEEGAAATLYSMQALIRAQVSIKSQRARRSFNHDQHCQPVTWHKKSITMSTKVFVSQKMEYNVAMNGGDGPNSYTQNSKIQEESFDVTKSLLIGCIRDHLDIQKSCKVVRIADLGCSVGPNTFSCVNTIIQEVKLKFATQFPGFTSPEFQVFFNDTFCNDFNTLFNALPLDRLYMAAGVPGSFYGQLFPKGSMNFMHSSFSCHWISQVPKEVLRKDSRAWNKGRISYVRSSCEVKQAFAAQFMSDFEAFIGARSAELAPGGLIFISIPCKSNLSELSILESTDILGDAFIDMVKEGLVEEELLDSFNLPLYIPTPSEVIKLVSRDEHLNILKVEESFLEVKMSSAEDIMFESSHLRAVMEGIINKHFGPDILMDDLFHRYCNKLKEFSTQFKNYYKVGIVSVAVERVILQDELV >KZM82614 pep chromosome:ASM162521v1:9:21838980:21855728:1 gene:DCAR_030183 transcript:KZM82614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKSRPPPSAAVYVPPHQRLRSVITAAAPSPNLSPVDSNSSTIKKSSDPYPYLFDLHEVQEKKQKQEEMNSQLGSKNVELSTQSGAFTSDNIDAWKWKLMTLIRDKDKQELVSREKKDRRDFEQIAALASQMGLHSCLYAKVVVVSKTPLPNYRFDLDDKRPQREVMLPPGLERRIDVHLKEYLSLKTKCAEGFRHVFFRSNSDVSISSDEGVFEQPEPLLSSKAATERIMWRRSMQLYTEQKSWQESAIEGQKMLEFRKGLPAYREKNAILNSISQNQVVVISGETGCGKTTQIPQFILESEIESVRGALCSIICTQPRRISAISVSERVAAERGEQLGESVGYKVRLEGVKGRDTRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGINEDMLHHRPELRLILMSATLDAELFSSYFGGAPVIHIPGFTYPVQAHFLENILEMTGYRLTPQNQIDDYGLEKTWRINKQTTRKRKSQIASAVEDSLRVADFKEYSTGTRESLSCWNPDYLNFNLIEHLLCNICENEKPGAVLVFMTGWEDISSLKDKLQLHPTLGDTTRVLLLACHGSMATLDQKLIFKKPEGEVRKIVLATNIAETSITIDDVVFVIDCGKAKESSYDALNNTPCLLPCWISKASAQQRRGRAGRVQPGECYHLYPRCVFDAFADYQLPEILRTPLQSLCLQIKSLDVGSITDFLSRALQSPESLAVQNAIEYLKIIGALDENKNLTVLGRYLAKLPLEPKLGKMLILGSIFNCLDPVLTIVAGLSVRDPFLAPVDKKDQAEAAKAQFSRAYSDHLALVRAYEGWKAAEIDLAEYRYCWNNFLSAQSMKTIDSLREEFYSLLRETGLADSNINMHNVWSYDENLLKAVICYGLYPGICSIVHNEKSFSLKSMEDGQVNLYSNSVNARASKIPFPWLVFNEKIKVNSVFLRDSTAISDSALLLFGGSISRGNIDGHLKMMGGYLEFFMEPMTAEMYQTLRSELEELIQNKLLNPRMDLHAHHALLSAMRLLLAEDQCGGRFVFNRAVTQNPSKPMVTVVEPQSMVSRTESGPGGDNSKSQLQTLLTRAGHSAPIYKSNQLDNNQFQSTVEFNGMQIMGRPCNTKKQAEKDAAAEALQLLLGKKQMGREYIDQMSMMLKKSKKDHN >KZM82628 pep chromosome:ASM162521v1:9:21968511:21968939:1 gene:DCAR_030197 transcript:KZM82628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATPKYPTPPPSPRNKKRTRKDKEQSSQTSSPGLSESSEYRAKKKKPGKKAKLEKRLKKLNKKIRKLTFAVEKLTHVFSLSKFDMFN >KZM81539 pep chromosome:ASM162521v1:9:2283780:2286408:-1 gene:DCAR_029152 transcript:KZM81539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNSRMNFANLAFGDVDTGTNSCVKYVDSDGTSSMAVRNIIVSNMLPLHAQKDWETEDWSFNYDEDSPLWELKDGFSPDIVGSTAMDEARGIISHSRQTSVLIISEFVRCSPSLSGAIRINPWDISSVAEAMRSAVSMDDSSRQLRHEKNYSYVQSHDVAYWARRISATGPNLDSFSTVHSLHQRSIEHFLRMLGLDYESKRGQIGLHYSGRTVYNKILPIGIHLGKGENVMNLPSTSVKVKEIEEQFKGKHVILGVDDMDLFKRISLKLLAFEQLLSKYENLGDIVSAYYAASECCIVNAVRDGMNLVPHMYIVCRQGSTAMDEARGIISHSRQTSVLIISEFVRCSPSLSGAIRINPWDISSVAEAMRSAVSMDDSSRQLRHEKNYSYVQSHDVAYWARSFL >KZM83378 pep chromosome:ASM162521v1:9:31321249:31325800:-1 gene:DCAR_030947 transcript:KZM83378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSKSPDSSSSSSSGVIRSKSSKAKARHYFHSSCLGSYHQDQITEHPANENVDDMPCANPNKSEPEIVIPECYEEDKSEQSGEMPSASTNVELDDWGQSNLSDTVSRGGSTSSRAFSSRSLNFSSRFLSRFSFFSGNVSFRLNRASSLGASTPYLMPSTSLTVHNRSVHNNEEELHLGRGSGNNLIDRNGSGQVFDVPPACLINRTSRPHEESDSGLHSPRNHNDAEDTDTRLNDRRSGAREPVERNVRFSRTLSVGRLRDRVLRRSYFPDLSFCPLEQEREVRDPVHGVEAGIVEPEENTYVLSTPSGFAPSNMSSSSNDIPDYGVESSRGREGRYRDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHERACILSGQHRSGHCMCRLNNRNVNSSDDTNARASISRIVMLAEALFEVLDEIHQQSVVLSRPSVSSLGSVPAPIEAVDSLPLKVYSKSHKHLIEEAAQCYICLVEYEEGDSVRILPCHHEFHKSCVDKWLKEIHRYTSLEKSLDCFSIQGFVPSVVVIYANLLHYLLRIEVASFLHLPFVFLDKHESLELTALATCSTDAESSYSSLKSSGLFCR >KZM83455 pep chromosome:ASM162521v1:9:31958352:31958636:1 gene:DCAR_031024 transcript:KZM83455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSAHRIFILLLCIGLLAVHPTKITGLRSMDLALRWTEEHQGGAHTNRRMLEEFGTKASNAEKKPVAVNKNTDPYQASKRTFRRGSDPIHNRS >KZM81505 pep chromosome:ASM162521v1:9:1907855:1908490:-1 gene:DCAR_029118 transcript:KZM81505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDDPYGVLGLPSGDEGAKLSEDEIKKAYRAKLLELHPDKNPDDPNANHNFLNLQASYEILIDKKARLDFHHRIKKQIKRSRTSQQQNSSKPRRRRTVVDEKERAARDAHSAAEAARDEEELIRRRNEQVIARLKRDWLASRAPPPAAASSSTLNKGEAEAEAEAASMRCPCGHCQSSRTNSGGDDESSRTNSGGDDGFEAQCLAKFRKV >KZM81720 pep chromosome:ASM162521v1:9:4798721:4801692:1 gene:DCAR_029333 transcript:KZM81720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLICTLLFLIITNVTSHDVYIVYMGGKGTSKSGSLREDQARLINSVQNRTALLHVYKHGFTGFAVHASEEEAKLLAAQPGVLSVFRDKTVPLHTTRSWTFLEHQHDSLSATSHSGSTANGSDIIIGVIDSGIWPESKSFADEGMGPVPERWRGTCEETKDFPASSCNRKIIGARNFDKLNQTCRDFFGHGSHTASTAAGAAVFGVSYYGLAAGTAIGGSPNSRIAVYRACGQPLGCYGSAILAAMDAAIHDGVDIMSLSLGEADTDIRIDPVAIGGFHAIEHGIMVVCSAGNLGPTNSSVVNSAPWLTTVGASSIDRQFVASVVLGNNQVIKGSAIQFSHLCESPIYPLIDGVLAKINGSKDSASRNCESGSIDFSKIKGKILVCFDQDKGGSFKALEIIDKGGIGVIFISDDMNIAPSNDYLNVTHPISAVGFEDGYEILSYMHAYRNPTATILKSETMLSYKPAPIIADFSSRGPQALAPNILKASTQNIYITAPGVNIIAAWSKVESDSAIPGKELPDYIFASGTSMSCPHISGVAALVKSQHPTWDHSAIRSAIMTTAVQKNSVGAPIRKLPGLQKATPYDFGAGEVNTAQVTNPGLVYETTAIDYYNFLCNYGYNLSTIRLIAKDIPRGFSCPKDADADLISNMNYPSIAVSKFKHGTKRMVTRTVTNVGDEEDTVYTVTVDPPASRYLKVQVMPKKLHFTKENKKHSFKVIFSTDYPYESPVFGWITWSNDQYRVRSPFVLNIN >KZM82103 pep chromosome:ASM162521v1:9:11482568:11485819:-1 gene:DCAR_031810 transcript:KZM82103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREKIAESRDADEHFKQNFMILMANLFIRTDKTSFVCPKILRFSGNFDNARDYNWCKLVIQNLKEAHEQWWNDPKTQYYTGCFVFLLYFYLARTSHPDYFYLARTSHPDVRVKKTWPAFVGWKNSCIDDRAKREGLDNNFGYGDIVPEFETPDESKQNDVNSEQYKGGNSNFTTPKETLKGVGPSRLFSPQDNVDASILSIARDVEQNHNSTEVLTEDEISSRLQHHLSQMEKLKKEFGETLDKGKQLFPESDKMKEYEQRFEEMTTGSIDKGWDFFTYKDWKTFDILMLPENERAFNKMHDIDDFLDDLKLGGQVVDSNIYTKHITRNEPSYAAYGLNNHLKRVLKPTDHQKSPFKIRGIDLNTQRFSKDEEEVWSWINGRKNRAMIEIFLWNNVTCLKHHIQSLQIGKEVLFHVVDAYTSILNEDEKFRAAESPYRFFCSTMVTMGNVVKGSQLVANSTDPNITYMKFKSNMDAILFKHRVDINHVDLIFFPIFSGNHFYLICFNLRKICVDIIDNRSGDRVDIMYDGIPEALGIEQKRQLEKARKIYAAKIVYSEINILKDHMKTEIKFVNQN >KZM81837 pep chromosome:ASM162521v1:9:6699603:6706415:1 gene:DCAR_029450 transcript:KZM81837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVLTYPLPHLGGQGLGGVNIGYGPMAVGSRWLAYASNNPLLSNTGRLSPQSLSPSPGVSPSTSPSSGNLVARYAMESSKHLATGIINLGDMGYRTWSKYYHELLPDGSSSPVPSSSSWKVGRTAAHSNDIDTAGTVVIKDFVSKAVVSQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPLYSRNGSGTHSYDWKSSHVHLYKLHRGITAAVIQDICFSPYSQWIAIVSSRGTGHIFVLSPFGGETGLQMQTSNLNGATLLPVLSVPWWSTSSYTLKQSYPPPPPPITLSVVSRIKNSGWLNTVSNAASSAAGKLSAPSGVVAAVFHNSIGKLQPTTLNVNALEHLMVYTPSGSVIQYELLPYMGGDQGESSLRNETNSLGQVQDEDLKVKVGPVQWWDVCRRADWPEREESVGGSICSSHNAEETLMDASDYEDDGTKLKDMVKQPDRFPWYLSNAEVQMRSGRTPMWQKSQVYVHALSPLPFEGERRGLYCNDGETEIEKLPVREIVIRRKDLLPVTDHFHRIHPEWADRRDLNSGRYLPSSSLSDEGKEKYLDNACSHAKPVTPGSVDDSETGRQSSGTALAEREYRNTVKSHPSFNSILNENNGDEGASSQRKQSYIGEEVNTLISPALSTTILSALEEDYVNNYTSYSNKTSPSVGNIAQEVLSSSSVVTGDVSNTSSNRSDLSMNILEEELVHEDIQGQLDFGHYFQEGYCKSKNLDQSNELTAHITDVDERASHCNKAISDEEADSDDMLGGVFAFSEEGM >KZM81464 pep chromosome:ASM162521v1:9:1506168:1513324:1 gene:DCAR_029077 transcript:KZM81464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRESDSAISKVLRITRNIMESASDEVPATHPSKAPEVPTQSAVDADNTVGSEPSKLSTSGLSTWAKSLKIPDLSGKQDSAAEKSPFARFTSGLGLRLSPKAPQTNDNSEGTSPSAQSNFIGTLTKGLVDSSKSAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLIISFCKSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCFDSKGLVLPSQIRYVKYFERILTYFNGEDQPGRRCMLRGFRIHRCPYWIRPSITVSNHNGVLFSTKKHPRTKDLSPEDYWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDGQGDFYCWLNTTMIENRKVLTTNDLDGFDKRKLPSPGFQLEVVLVDYNGATPNVPVGAQTETETSANGLAGRQGTDHASTDGAIGATAAPQLAKDSGSSEKDDDVFSDNEAEGANSSKTRQARVASEAATTDTNTKSGSHAHTKSDQVSSLSNDTEKLSLGKTDTNESKKAADGAVSGLGTSIPVGEVSEFKAMAADASVFTFGDEDDYGSD >KZM83527 pep chromosome:ASM162521v1:9:32681805:32685047:1 gene:DCAR_031096 transcript:KZM83527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAGETPAETTHLLKPKIPKDTYHLAYIIYFTIGVGYLLPWNAFITAVDYFSYLYPNTSVDRTFAIVYMLVGLICLVFILAYAHKSTSFVRINVGFVMFVGALVVVPLMDVGYVKGRVGVFEGFYVAVGAVAVCGIGDALVQGSIIGAAGEMPERYMQAVVAGTGVSGVLVSLLRLLTKAIYPQDAHGLRKSANLYFLVSIAVMIICIVAYNVVHKLPVIKYYNDLKLQAVDEEKEDKRASTSVLWGSPLWDIVGTVKWYGYGILAIYVMTLCIFPGYITEDVHSYILNDWYPILLITGYNVFDLVGKSLTAIYMLENAKVAIGASFARLVFLPLFYVCLHGPQFFRTEIPVTVLTCLLGLTNGYLTSVLMILGTKTVLVQHAETAGIVLVIFLVTGLFIGSAVSWFWVI >KZM81416 pep chromosome:ASM162521v1:9:959751:962811:1 gene:DCAR_029029 transcript:KZM81416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKSLKALMAIPQDQPSSKNNKALDPKKNNFKNRDGDGIQASKHKKVVSFNLDKSIVSYQPKIGASDSQGFHLNISSPVDRALDIKANLASNFPSFVKKMLTSHVAGCFWLGLPKKFTEMYLPKEDVIVVLVDESEGEHETKYLAEKSGLSGGWRGFSVAHNLQENDVLVFHLIQEFRFKVYIVRANALSEVDGATDLLNLGACERKTESDDHQEGMKTTALAPEEHLKQYSRVHNAQEKDMVALSNNIDAAVDNSGMNFDNSGSDDLYGLWIASSVTNFKDVKNFENFSVTVDRIILDNEIPHDRRVKYYQLCCSQKSYLHDQLIEMLSLHLVVGIILETVSISDAISSSKPSSYRDLKAWDDTLKSFEILGMKVGFLRAKIVKLLTLSSDSEDALQCKIVEKANAEGELKALEIRRSSLKEVIENLAHEIEAMKIKAQNIEAAFQEESKAPW >KZM83131 pep chromosome:ASM162521v1:9:29024877:29025035:-1 gene:DCAR_030700 transcript:KZM83131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCEINIQIQQPNTKYVAICILRLCVDELGRLPSQNRGGKEGTKNKCRIPSS >KZM83151 pep chromosome:ASM162521v1:9:29195282:29197108:-1 gene:DCAR_030720 transcript:KZM83151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLTENNSATYLSSGNPCLDLFFHVVPDTPASQLIERLEASWAHDPLTTLKLICNLRGVRGTGKTDKEGFYTAALWLFKNHPKTLACNVLVYVSFGYFKDLLEIMFRVIQGGDARNVMKGEWESVKAGSKRRFVERRFRDIHVTKSGRKMRDTRSEANEKRRERGEVSREERIEANKARVMEERQRAKDLRRERELERSKKAMEMYNTNVDYQLLFENVSTLFANLLRADIELVNSGEAKKISLAGKWCPTIDSSYDKYTLIYASIAKKVFPRESYPEYEGIAEDQYVYEVRNRLRKEVLVPLHEVLKLPEVYMSAREWNLVAYNRVASVAMKNYTKIFMDHDKERFTEYLQNVKQGKAKIAAGALLPHEIIRSCLNYYSDCEGEKIVAELQWKRMVDDMIMKGKLKNCIAVCDVSGSMSGTPMEVSVSLGLLVSELSEEPWKGQVITFSQNPQLHIIKGDTLQKKANFVMHMDWGMNTDFQKVFDRILEIAVSAQLSQEQMIKTVFVFSDMEFDEASTNPWKTDYMVIQEKFKEKGYQKVPDIVFWNLRNSSATPVAATENGVAMVSGFSKNLLTMFLDGGGEISPEVVMEAAISGTDYQKLVLYD >KZM82165 pep chromosome:ASM162521v1:9:12690812:12694858:-1 gene:DCAR_029734 transcript:KZM82165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTSMHKDFHHDADDQGYKGHGLLPPFTAGWQTNEKPLLIAKSQGCYVYDTNGKKYLDSLAGLWCTALGGSEPRLIDAATKQLSTLPFYHSFWNRSTKPTLDLAKELLEMFTANKMKKVFFTNSGSETNDTQVKLVWYYNNALGRPNKKKIISRLNSYHGSTVISASLSGLTPLHQNFDLPAPFVLYTDCPHYWRYRIEGETEEDFSTRLANNLEELILKEGPETIAAFIAEPVIGAGGVIPPPATYFDKIQAIVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSVAKVKNLSPKFQDGIRAFSDSPIIGEIRGTGLIIVTEFTDNKSPTDLFPQEWGIGAYFGAECEKLGMLVRVVYDHIIMSPPLIITPTELDELISKYGKALKATEEYVKQLKAKQK >KZM82770 pep chromosome:ASM162521v1:9:23786728:23789908:1 gene:DCAR_030339 transcript:KZM82770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLKNDDEDDCPEMLEAIKSVEQILNYKFKDQKLLEKALTHPSYTKSESYERLEIVGDAALGLAIINFAYLAYPHHDPGVLSIIRAANISTEKLARVAISSGFYKYVRHNSPSLDYKVKKFTELVQQEEGIKIYGGEMKAPKVLADIVESVVGAVYEDLCFDLKATWLVVGGLLEPIITPQMLQKKPQPVTQLFELCQKNGQQVDIKQSRENDKTTASIYIDNKLIVSASSDQKDNAKLHAATAALERTIQPTSKMDIRSSFSENGKIGTAKRKLEQFSLKKKWAKPTYRLVREEGPNHAKTYVCSVSLKVGDYLFCQEGEVKSRRKDAEGSAALAMLLGLHDKDLL >KZM82114 pep chromosome:ASM162521v1:9:11644510:11652828:-1 gene:DCAR_031821 transcript:KZM82114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLYAPIRPQPSPQSTSFTSKLLLLLTLLPLSLAAFAFLLQWRGGAVNDPITRWSPDQSHLFPGMDVTSPLPTLSHSSSSDCSLLARGSGGGGSSSGVFPYYRDWKFDFSADLKPKICITTTTSAGLEQILPWMFYHKVIGVATFFLFVEGKAASPEVSKVLESIPGVKVIYRTKELEEQQANSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARNFGMDWIVHLDTDELLHPAGAREYSLRQLLLDVPGDVDMVVFPNYESSVERDDIKDPFTEISMFKKNYDHLPKDTYFGLYKESTRGNPNYFLTYGNGKSVARIQDHLRPNGAHRWHNYMKTPKEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAVIVQGLRESGVFSSVIASAPATLSKDKFLASVESSNSSRGAAMESVARKSGKSKESHATARKALEAVDNAIHEAAVPPLSPPGFDGESQF >KZM82498 pep chromosome:ASM162521v1:9:20289146:20289370:1 gene:DCAR_030067 transcript:KZM82498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSELPKAEGVIKFCEVDVECDYFDPYCKAIGKYPECHKFLHICTCKFLDFKGQLSADKNTVDSKHITKNDHP >KZM83471 pep chromosome:ASM162521v1:9:32232277:32233107:-1 gene:DCAR_031040 transcript:KZM83471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIIRSYIFDAKCINKWLVPTMEENMSNSVVGKAADAACFVDCYMKQHGVSKEYTYSEFGKQRVKAWKDMNSECLRPTAVPLPLLSVVLSIPRLAYILYEYQGLDGFSASDTKTKELINSVLVNPIPM >KZM83539 pep chromosome:ASM162521v1:9:32791314:32791814:-1 gene:DCAR_031108 transcript:KZM83539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDYPLVFPPPDASTMPPPAEEGPENTNLVVLGGAMAALVFFLCCIVYYIYHYRKKNRDDHSMGNGREQDLEKGNARKVEAVRDKEVKMAKNVKRSEQNYGRFKRARDVPDLERIRAREGDVESGFDETCESSDHEFYSVKKPKSEMRSGAFERNVESDSETEYD >KZM81996 pep chromosome:ASM162521v1:9:9688846:9692083:-1 gene:DCAR_029609 transcript:KZM81996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTMNYMKSVLYDAVTNDDNNALAAMQASDLEDQRTPTNSTILHLACQYGSINCVQHILMNHSSLLLKTNSRGETALHLAAKQGQLDVVQELVSTATASMTVQNLMRSADEDLETALHGAVRYNRRAVVELLVVTDPSYPHPQNKYKETPLYLASIRYYTDIITTILVNCNWPNIISGSAGGGTDLHAICGGPEGRTALHAVVLDYRNHGGHECVQRLLDWNIGLLKEVDDYGWTVFHYAAHNDLHTIVELIIGLLVADEDKYVAYHKDKMYGRTPLHIAAYTGNVDVMKTFVKHFPDCWEITDGSGRNILHIAVEEDRKDRRPAEWEVDWEVLDSNNYTPLDVLHLEQETHTLANQLLVRTSLIKANVRKHWWLWRTLREPNADSGKRIVKHTEITNNIEIEEHRKAINTHMVVSALIATVAFSVLFNVPGGFDGSKGSPVLLRKTDFAYFIILDALALMLSVASLLLYFFTSSNKDASQVKRIVRNTAALNFLAICSMMVAFVEGTSVMLADKSTLGAGVNLLILFFVIGATPVYIYNLRGEVRSRTLLPASNSV >KZM83143 pep chromosome:ASM162521v1:9:29134071:29134940:-1 gene:DCAR_030712 transcript:KZM83143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGVEEKGLNLEATELRLGLPGRDKIEKEIISSFNKNKRAAPKSAEDDGSEAKADTKHRDHEAAPAAKAQVIGWPPIRSYRKNNFAPKKAEAEYGNYVKVSMDGAPYLRKIDLKVYTGYKDLLQALENMFKLSIGVYSEREGYKGSEHAPTYEDKDGDWMLVGDVPWDMFMSSCKRLRIMKGSEARGLGCAV >KZM81866 pep chromosome:ASM162521v1:9:7124745:7131571:1 gene:DCAR_029479 transcript:KZM81866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDQIKKHNTNVLPDEIFYETDEDGYTVLEVAVELNQMAVVTLLLDLQHPAASKRKDGAFISLMPVIYKAQEKGYKNIVDLLTQRYNDGSKLSKDFKDQVSLISAIKSGETVTVVSLLLWDAGRGAQRLATFVDKLGWTALHHAVYHESIPIIKHIAGAQKGIKPKSEYKDKVPTPFHVAVQKGLTSIVILLMQLWPSSSSTYTAVDKKGQNILHLAALQSKKDMIEGILKNCPGEHKKEFVNKQNNNGYTALHLLIQRGCLVPELLKYQGLDTSVKNNDNWTPWDMLYVREEIFGDQVPSLAGKEEDREVLMITLQAAKRWSSAEEALDADFKTLFQRSDELGRTPLELAMSHNHVDAVELILLEDPAYQDGRGSRNQGLLGLICQAIENKYKEKIVELLCETFETGIDPNLKGVLGLIIAIQRRDAGPILKLLEGEGNKDFLNFVDSEGWTPLHHAAFHEFDSILNVMMEAQEKVGYQVVCKEGVATPFHVAARCGHTSTVIRLLQLWPNEKGQNIMQAAPSPYTAVDENGQNILHLAALKNKKEMVNGILRCCPPKQKDHLLFKKDGEGNTPLHLLVSEGCFIQDLINYIAGDTPNNGGWTPTDMLYIRHDIVGDQVQIKMALDDMINTDQSRKFWSSSRKKSTCSSLAVLPSRREKKDILFNIGQNVLMEKKNTKMQEDLQRYREGTNSQIVVSALITTITFTVGFTIPGGLHQSGESNQGLAVLSKKAAFKVFMIVDAFALLLSICSLFIYFLENMSEDLKQVTRLHAMTVGLNIASVMLTMFVFMTGTYVVLSESLSLSITICVIGSLFFIFVIFQLLKMAYKWRVRKNDDYKKEA >KZM82053 pep chromosome:ASM162521v1:9:10523319:10524612:1 gene:DCAR_029666 transcript:KZM82053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTCREGNNISNTSSNLSPVFVQAPSEKGFAGFAIDFLMGGVCAAVSKTVAAPIERALNFAFKDYFKRLFNFNKDRDGYWKWFAGNLGSGGAAARTRLANDAKAAKKGGGRQLNGLIDVYKKTLASDGIAGLYRGFNISCVGIIVYRGLYFEMYDSLKPVLLTGKMQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFTQILKNEGAKSLFKGAGANILRAISGAGVLAGYDKLQVLVFGKKYSSGGA >KZM82182 pep chromosome:ASM162521v1:9:13063827:13066560:1 gene:DCAR_029751 transcript:KZM82182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEEQVKTMSELNEGYNIVGLSQGNLVGRGLIEFCDGAPPVKNFISLAGPHAGEASVPFCSTDIICKLVDMLIKSAIYSKYLQDHLAPAGYIKIPTFEKDSILIPKETSLFGYYEDGSWSTILPAQKTRLYIEDWIGLRTLDEAGKVKFITLSGKHLEISLEDMKKYVLPYLKINQTTATLKTMDTSSSINKVTDFSKLEDLLMKTPR >KZM83027 pep chromosome:ASM162521v1:9:28026989:28034034:-1 gene:DCAR_030596 transcript:KZM83027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPVGSTRRSPRLEQLQQDLEKEENQTTATATEKEENVVRKKIYRKSNNKIKETAGRPSTPPSQQESEESEDISVQDEENNDQESEESEEISVQYEENNDQESEEESSSQDMENDDQESEEETSVQDQQEHDKENNEEEVTTQEHETKSQDKQDFQEEDLMNNLYVNENEKAEKKRKFGYKKPRKPIDEEKVAAIAARKLNTTARIRNSPRLLTEMLFFLTEDQKNWVKKSAFRNLLDFKLEMLPSKIASKVLQSFDHYSVSLEIKSGKIYITEKEVFNVLGIPCGGKPVEEVCNDITKKRMKDWLAQFPNEQITTRQVLDKVRKAPVTDVFKINFLTVVSEAQLLIKHLVNAKESWLCTESDFFRGPLVFLTLLYVDRVRHKGLKLVERKFPTYSGWTDELLRERQTMEVPESNFGSGQIIMPLNESFSHESESPKTPSAQKDNDDWDDHVAWQEVDDLENKWKASRQQATDKENLQQNDNNCYDKDDWDYADQENMENETEDDTIKTEQELIENLKSRAQDILDLKFSFDDDMLKARKQFPDSQTLKTIEEVFKENFLFQEEDNSTSEEEDVEDDSPTDNHEDRNNLNENIGNDIQNNKVNNDVEKEDVQNRDGEKKDAEMEDVEQEKIQKMDGENKDAAMDNVEKTDAMNKDTEMENVQKENFQKDTVAEMANVEEVIVQEDNTVNQTNEDSRYCPSFSLGIEEEIDVXFQEEDNSTSEEEDVEDDSPTDNHEDRNNLNENIGNDIQNNKVNNDVEKEDVQNRDGEKKDAEMEDVEQEKIQKMDGENKDAAMDNVEKTDAMNKDTEMENVQKENFQKDTVAEMANVEELLASNKVFKSTIEEPKPIEEPKPVEEHRVAETSTSRQNEKPDSAKKTVQFATNLMITVFNEEANTKNGDPSV >KZM81783 pep chromosome:ASM162521v1:9:5967452:5969592:1 gene:DCAR_029396 transcript:KZM81783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKLQCGECGFLSDTVCKAEEHAEDYCHTNFFLSEQAVIDQHCCSCTTYCNSQMALELHRKRSGHTEYEETEAKLRREKAEEDRRIEEWVARVQEELKNSMEKELRSRQRLLHKQSQMRSCLDVMKQSLKFVDQEQAALNTLVTIVKNVAEQPAEEKFRKIRISKIEERLCFVEAGFKFLKLCKFEIEEGGEFLFLPHFEVEEELLKAANDELKRALSSMEE >KZM82447 pep chromosome:ASM162521v1:9:19416007:19420924:-1 gene:DCAR_030016 transcript:KZM82447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEMLERDVRSWTMIISGLVQVGSCRMALDMFADMHKEGIVPNQFTFSSVLKCCSRLNEVRMGKSVHGWIGFVVVDVGSGTGILSIFCAQAGAKRVYAVEDTEIALQAQKVMEANNLSERDIVLHGYVEDVGINKKVDVIVSDWMDQLLLYNYENMLGSVLTARDRLLKPNGLIMPSSATLYIAPVTLPDRYSSKIDFWRNVYGIDNISSTTNFIHARVNVSNY >KZM82903 pep chromosome:ASM162521v1:9:25750518:25754366:1 gene:DCAR_030472 transcript:KZM82903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFSSSTSSNPSNLTIFLPKQTPSPSKLLIPTPFKPIHSKPPFHTLSAHESSSSLQEPKASTELFSEDKDKLNEEIRASRQSLEELLVVRRPVMDSLVEDEEEEDEEEEGLSSVDASLAKFAKKVAFFEPERVESREERPLVVNLDLALYRAKVLGRNFRYQEAEELLQKCIYKWPEDGRSYVALGKIYNKQSKANEARSVYEKGCQATQGENAYIWQCWAVLENKMGNIRKARDLFDAATVADKKHVAAWHGWAVLELKAGNIKKARNLLGKGLKYCGKNEYIYQTLAMLEAKANRFEQARYLFRQATKCNPKSCASWLAWAQVEAQQGNNIVARKIFEKAVQASPKNRFAWHVWGVFETNQGNVDQGRKLFKIGHALNPRDPVLLQSLALLEYKYSTANLARVLFIRASELDPRHQPVWIAWGVLEQRAGNLSAARRLFRSSLNINSQSYVTWMTWASLEEDQGNATRAEEIRDLYFQQRTEIVDDASWVMGVFDIIDPAIDSIKRLLNIDQNSYYKATNFDDISSIKEESSGFSTDLVSGSGFELDTFIRGKLSLDPSRLDIMLERTFQNRVSGNANSSRIWKRSSKKLANDPRQ >KZM82682 pep chromosome:ASM162521v1:9:22471719:22472398:1 gene:DCAR_030251 transcript:KZM82682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPASAAAPTSWSTGLFGCSSDVPNCCLTCFCPCITFGRIAEIVDKGNTSCAAACAVHALIGYWTGCGWIFSCFYRSKMRKEYMLKKSPCGDCLVHFFCGLCALCQEHRELHIRGYDMSLGWKGNMQKQNRGVTTAPKPERGMSR >KZM81590 pep chromosome:ASM162521v1:9:2990294:2990521:1 gene:DCAR_029203 transcript:KZM81590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRESSKLAQQKLFNLQLEWHQFVDSAADRLMDSLDDAIRRGSIPLHLYLSTVRAVSREQFFHRACVMDYRSQTN >KZM81582 pep chromosome:ASM162521v1:9:2888589:2888762:-1 gene:DCAR_029195 transcript:KZM81582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFKTDSNKSSNQTLTTQVNKTKPDRNSERLGDDSVIKQKKSRADSAKTHKTSKST >KZM83578 pep chromosome:ASM162521v1:9:33089071:33089409:-1 gene:DCAR_031147 transcript:KZM83578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTKLNLAALLFILISICMACSKGDIRNSNWFSDWQSGFRKHDLINFDNAGALTNIESQRGTWSESLRVKLPPPPSPFKRPCGPHMKANPPSPPPSPPAPGLVADPSEAT >KZM82899 pep chromosome:ASM162521v1:9:25707122:25709194:-1 gene:DCAR_030468 transcript:KZM82899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSASGSSSKTRVGLSQQSEPTFKRKRAVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQDIASKRGKLLTEDFLYLVRKVFPK >KZM82974 pep chromosome:ASM162521v1:9:26743071:26743379:-1 gene:DCAR_030543 transcript:KZM82974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVTSMVSERPVVIFSKTNCCISHTVKSLLHDFGVNPVVYELDKIPGGSEIETALAMLGRDPVVPAVFIGGELVGGANEVMSLHLQRNLRPMLTRAGAMWL >KZM83317 pep chromosome:ASM162521v1:9:30729143:30730679:-1 gene:DCAR_030886 transcript:KZM83317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFPIINMEKLNGEERAATMDRIKDACENWGFFEVLNHGISIELMDTVERLTKEHYKKSMEQRFKEMVEKKGLETVQNEIDDLDWESTFFLSHLPQSNMADIPDLEDEYRKAMKEFAKGIEKLAEELLDLLCENLGLEKGYLKKAFCGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWIDVPPMRHSIVINLGDQLEVITNGKYKSVMHRVIAQTDGNRMSLASFYNPGGDAVIYPEPKLLEKEEQNGQVYPKFVFQDYMKLYAGLKFQAKEPRFEAMKAMEITTI >KZM82391 pep chromosome:ASM162521v1:9:18243602:18244093:-1 gene:DCAR_029960 transcript:KZM82391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAKEDFALMCKSMTDRQAEILTSGLFLEEQHRRVIDLLDGKQDVVGDSSTADDETARTSGNEDARKLAREADESLRNHRVYREIELQELEKIFKKYEGMLKQNMSEMRKSAEDIEIQRRELSPKLIQLARSKADESGTT >KZM82136 pep chromosome:ASM162521v1:9:11945415:11947470:-1 gene:DCAR_031843 transcript:KZM82136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGGATPSSQNSTEIDSLARFAVEEHNKKENALLEFAKVVKAKEQVVAGTMHHLTVEVIDAGAKKLYEAKVWVKPWLNFKELQEFKPAGDSA >KZM82570 pep chromosome:ASM162521v1:9:21239404:21241666:-1 gene:DCAR_030139 transcript:KZM82570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPGKGVHILVFPFPAQGHMLPLLDLTHQLLLRGLTITLLVTPKNLPILDPLLSIHPSSSLKTLVLPFPDHPLVPSGVENVKDIGNAGNVLIISALAKLSEEIIQWIRSHPSPPVAVLFDSFLGWTNQLGLPRICFHSTAAIMSSVFDALWQNLDALFPLDAVEFPDLPRSPSLIREHLPSLFRRYIKSDPNWELVKDSMAANSSSWGYVNNTFEALEPEFLEFLRNKLGHNRIFAVGPLSLLGGSDSTSRGSTSSSSVSHDDIWSWLNGCADGSVLYVCFGSQVLLRTAQVEALANGLERSGVKFIWVMKPATAQQVADGFGSIPYGFEARVKGRGLIIQGWAPQVPILSHRAVGGFVSHCGWNSLLEGIVGGTMILGWPMEADQFVNAKLLVDYMGVAVRLCEGKDTVPDPIKLGQKVAESMSGEIAEKVRAKELRSKAMEAVGGGGSSSRDLDRLVRELTQLQSV >KZM82518 pep chromosome:ASM162521v1:9:20624125:20626941:-1 gene:DCAR_030087 transcript:KZM82518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCELAARADNFYYPPEWTPDQGSLNKFNGQHALRERARKLDQGILIIRFEMPYNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKAACCRHEIVIQTDPKNCQYVIISGAQQKIEDYDNEDAETLALPVDEEKSKLSDPFYRLEHQEEDVKKKKAAEPVLVRLQRVSDARHADDYALNKALRSKLRAQKKRVAEEEAASRKMGIGIRLLPPSEEDTATASRIKFPYKFHKNQVEKRALIRSSSIFSNTSASTTKQLELASKRRKIDAAAASNLLVGGFKPSTWARSATSSGKRRI >KZM81463 pep chromosome:ASM162521v1:9:1491223:1497070:-1 gene:DCAR_029076 transcript:KZM81463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVQVQAQGVSGGGNQFVPMTSLYVGDLDWNVTDSQLYDLFNQIAQVVSVRVCRDLATGRSLGYGYVNYSNPQDAARAMELLNFTPLNGKPIRIAKSLRDPTVRRSGSGNIFIKNLDKTIDQKALQDTFSTFGNIISCKIVTDDSGQSKGYGFVQYDSEEGAQKAIEQLNGMLLNDKQVYVGPFLRKQERELATDKSKFTNVYVKNLAEATSEEDLKKIFGEFGEITSVVVMRDGYGNSKCFGFVNFEDADAAARSVEALNGKKFDHKEWYVGKAQKKSEREMELKVKFEQNMKETADKSQGLNLYVKNLDDSIGDDKLKELFTPFGTITSCKVMRDPTGTSKGSGFVAFSTPEEASRALSEMNGKMVFTKPLYVALAQRKDDRRAKLQAQFSQMRPIALAPPAGPRLQMYPPGGPGLGQHMFFGQAPPAFIPPQPGFGYQQQIIPGMRPPAGVPVPNFFMPVQQGQRPSGRRGGAILQHHQQPMPMQQQMPPRGRGYRYQPPRGTPDAGMAYDIGAMHMQQETPLPSVPVGALASLLANASPAEQRTMLGESLYPLVEQLEPESSAKVTGMLLEMDQTEVLHLLESPEALRAKVEEAMEVLRSVSLQQQASNPADQLAELSLNDDHVA >KZM81749 pep chromosome:ASM162521v1:9:5391980:5397183:-1 gene:DCAR_029362 transcript:KZM81749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERRSSKGGFFQLFDWNAKSKKKLFSNKSALPECSNQGKENFSSSEASRLHQMNMHEVGPSPSVQGHDYNCISSVSGEEGHGTKVPGVVARLMGLESLPKKDVSELSYDSSYYDSYSFRDSHFPRTQPDTQSDHHIMDYGNTRNKLDGFSRNPVDFRMHKVQNRSIDRFQTEILPPKSARTISSTHSRLLSPIKSPGFILTKNPASIMEAASRIFEQSPQSTTKGKTSFGSPSVPLRIRDLKERLEAAQKAPQVPVQYQRTKDNNSEKCMKGQPNERGQRGLENTQLRHSVALKQGGSRSLKNKEKTPSSSRTKTNFQMREGPSWSGNRSLNRKGDNGVKPNHLEKKQVYMEKGVQRRTSASGTSDVLKQNNQKQNCASSKETICLKPQTTSCQLDRKVASSKNSSKVNKPVNKIAESSSTGSRKKNIVAADTRKETPSSNSKSFLAKKRPVSAVARNNSNAIKDVLINKDDRSVKCNVSVDGLKNWDAVDAKSGMDVVSFTFTSPIKKSLSGSQASGHFREKDSSLSVVPADDKPANVSYLSSLGLNVIGSDALSILLEEKLKELAYRVEPSDNNLVRHSQFSGPASSLHDSASSLSATDSTYAKHGKNPHLDLHKHNSEKQQDFSHSSVDGMILDAKQKWQESEDFEEISNSRNNKKTEYGQETDSPISSPTSFSGGSCNSLDSKISYTSNGNRQCSSLESYETVSFISSRHPHSLEELEFSDSASSLSVGAMERKNRACTSGYRNFEPSPNWELVYAIEVLSNADFQLDDYVLGQACLTADFFTSWENQKTGSDKAEDEYYKLEQKLVFDFLNECLEFRCEQISVGNHKAWSKLTMLFLKKDLLAEEFHREMSRLTSMKDLPTDEIVDKDMSSHYGKWVDFETEECEEVLDLGDEILSSLVDELMIDLLS >KZM83611 pep chromosome:ASM162521v1:9:33336870:33339454:1 gene:DCAR_031180 transcript:KZM83611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHNELKVKDEKTLLFGQKPVKVFGIRNPEDIPWGEAGADFVVESTGVFTDKDKAAAHLKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKKATYDQIKAAIKEESEGKLKGILGFTEDDVVSTDFVGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVIDLIVHMASVQA >KZM82160 pep chromosome:ASM162521v1:9:12627945:12629911:-1 gene:DCAR_029729 transcript:KZM82160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSFIKTRGELLHEQQSAIEVIDKVCKEIMRDYGEVADVEEEIIYKHLKQTIEEFDKVQSQQFRMLSTASKKYRSDKIIELLKSRFKNLNSDALSFVLSSTSSTDETNLAWRHDILAGKGMEMHKNILIGNKDYLWDWVHSNENEAGLVFMWNQVTCTKKDLSSLNYGNNVSPTVNLCKNSAEKDGDGVFFEFCDKLEQTMQTFNLSISNINLVFFPICSYGHYYTVCYDINEPSMVVLDNSSQDNLSSGLFVGETETLGRYEKTIGEAIANDACAPNVMKGYRTKGIEGIEILLDSYQEMQRKLVVHIIKEKHRAEYIEPLQGVAKKFKDLNNDFNIIVENLLDGSK >KZM81413 pep chromosome:ASM162521v1:9:913699:918966:-1 gene:DCAR_029026 transcript:KZM81413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAYLQSCFAPSGEAISVKLIRNKQTGQSEKFGFIEFLSHAAAEKILQSYNGTVMPNTDQAFRLNWSTFSTGERRQDGGSDLSIFVGDLASDVTDAMLHETFASRYSSVRGAKVVVDASTGRPKGYGFVRFGDENEKSRAMTEMNGQYCSSRPMRISIATPKKPSTPQQQYSSQAVILSGGAASANGAFTQGSQSDGDTSNTTLLASRRFVYLGVGTLGIDSLEVNPAETFMGSKVTMGTGMLRSRIRIMMVLLQLMVHQQMGMETNSWQ >KZM82809 pep chromosome:ASM162521v1:9:24717019:24719939:-1 gene:DCAR_030378 transcript:KZM82809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINLKICHINAARDLLSTHGVKAILGGHAWNEALAIAEVTNEEALDVTVFLSFADSSPSTPTFPWFIQATPSQQVQVNAIAAITESWGLHRVTLIYENIPTISSPELIVSQLSQALQKTGGELSYILTLNSISLNSIPKELIQLKKQKNRVFVIHSTLESCCRLYQNAKLMNMTGDGYAWIATNSITDQFHAIGPKKMSFTARQFVKVETHPKTNVVSYDGFSIKVYEEALKIAYVDTDLTFNYTPFEGEYDDLVEQIALGRFDAVAGDVTILEKRHRYADFSQPYTESGMVLIVPIRSRLPSRLWLFLKPFTKEMWGLIVVITIYNGFTVWLIERKYTPEFRSGSVSNQIGTFFWLAFTTLFTLRGDRLHSNLSRIAMVVWLFVALIITQSYTASLASMLTAQRLEPAIKDVATLKKMNATVGYCRGSFLKLYMINALGFNSANINKYPSTAEYAKALNSKEIAGIFLEVPSAKVFLAQYCKSFIKTEKTFKDGGYGFAFEKEFPRLPDINKAIMNITESGKLLELENKYINSEECVDADSIPEEDASIGLNSFSILFALTGCTSTIALGVYVVRYFLISLPKQRILFRAFAKRWRHYRRQLSARVISVENPRNPPDDPYWEARHSFSTVSDIESLEDNLEP >KZM82990 pep chromosome:ASM162521v1:9:27237503:27238262:1 gene:DCAR_030559 transcript:KZM82990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTLNSQDEISPDHIHLNNSSLNLFQQFDDHNMENENKSLLGSPDEQLNADHRPLNTYGQEDLDTFQKSLINNASASVNAQMINNIMEPMKTRKRRSDDRDSMNRSDSLSDDEDNNNDENDSDGKYRRRNGKGGAQCKNLEAERRRRAKLNERLYHLLVPKITKLGRASILRDAIEYVMELKQQVEDLQSELESNIENDPDDNDQSTLQYIRGCTWK >KZM82352 pep chromosome:ASM162521v1:9:17785478:17793600:1 gene:DCAR_029921 transcript:KZM82352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDEEDMHDAAKSLYSNTSEDDDDDDYAADYVFDNDSDDADEDNDSRSFSANRHQKNYTVMKEEDIRQRQEDDIAKISTVLSIPRVSACMLLRHYKWNVNNVHEAWFADEDRVRKAVGLVDERAVKCQNYRELTCGICFESYPTRKMNGAACGHPYCRTCWAAYISTSINDGPGCLTLRCPDPSCDTAVSQDMINTLVSGEVKERYYRYLLRSYVEDNRKFKWCPAPGCDCAVEFDVGSGSHDCTEEAHRPVDCGTVAKWILKNTAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGVWSDHGERTGGFYACNRYESAKQEGVYDESEKRREMAKNSLERYTHYYERWATNQSSRQKALADLHQMQTVHLEKLSEKQKIPESQLKFVLEAWSQIVECRRVLKWTYAYGFYLPEHELAKRQFFEYLQGEAEANLERLHQCAEKELQNYLGDGACEDFSGFRTKLAGLTSKYNCNSVTRNYFENLVRALENGLSDVSSIGASSKTTSSKFVAGSSKAKSGRGKGSSRTGGSSKGTEDSGSWACDHCTYLNVSRSATVCQMCSQRR >KZM81748 pep chromosome:ASM162521v1:9:5389222:5390019:1 gene:DCAR_029361 transcript:KZM81748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIPKDLSKTRKQVMEEFEISSRKRKREVELEQPGEAVERPCKERNLMKPMFDIKKSLREAPLPLEWQRCLDIKSGQLYFYNTKTHKRTYSDPRMSTEPPPDSGCMSLDLKLNLNYGSAGKKHDDDNHSSSKTSRPSDDLFVDLHGDNFTSSLKRYPSWLTIEGDQREMVTAVCKKCHMLVIMCKSYPTCPNCKFVHPQN >KZM82041 pep chromosome:ASM162521v1:9:10405598:10407165:1 gene:DCAR_029654 transcript:KZM82041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAQACPPSDQAALQAIKAALHDPSLGIFDTWTGDDCNAYYGVSCDPVTDRVAEITLRGESEDIMLQKTGGSGFMSGAISPAICNLDQLNTLAVADWKGVSGEIPACLTSLSGLQFVDLAGNNISGEVPADIGKLNKLTFLSLSNNAISGKIPASIVNLWSLKHLDLSNNRIFGELPGDIGKLKRLNRLLLNQNQLTGSIPSSITNIRSLVDLDLSMNQISGWVPFQLGTMPVLSSLSLNNNLLSGEIPATLLSSFGLNVVNLSRNYFDGFLPDVFHPKTYFLSFDISFNNLKGSIPQTIKSAMFFGQVDLSNNHLCGLIPEGLPFEKLEAASFENNDCLCGSPLHDCKG >KZM82968 pep chromosome:ASM162521v1:9:26617555:26619806:-1 gene:DCAR_030537 transcript:KZM82968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLYFEFMLVLILLLHNYEPVDGWWFSSKEADANNQYRESDQSIPKDMVAEFSMEPLNNQKGIKLVENARRMVVSSNSCWQNAYRNLFAGCSEILAGEEMRSRFAWHLSDCFQRDSGRPDFPYCDVKSSMMSCRKKLDENAHKVYLEFFLETNSICHQLQADAFKRQMERLVNELKKSAENTETTIENIGERADELIERSNQMKESLSLVDLRTNQVAQTLKNVGDHVNLVLTTSEAVYELSAGIATSQGELREGQGKMLETLEEGMIMLNDSHNKLGQDISHLGNKTVEIQSHIIKVGDSMASKMNVLQSTAEDIGNVTEVTLDRQNELLAGQSAAVEGLRRLNDFMSQALQESKVIMQQLAEFGHRQQEELLNRQKQLELAHDHLVENSRTILEAQEAFELKQATMFLAIDKLFALHNAILLESRLIKAFIMYSIATFVIYMFTSTKQTYTMRPQLYMGLCVTFLIEFLVLKYWESGTDYHASITYFVRLGFVLCASAQLLYAIYTYRDYETLNHQMLLTLVEKFNVMQKHKEFSQDMDSDDDWSAFIDSDLPEDVDKLEDPDFMIPEEVGENSIVSSTTTRRYNLRSRRRS >KZM81406 pep chromosome:ASM162521v1:9:854122:855006:-1 gene:DCAR_029019 transcript:KZM81406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTQPSSPRTDQEFNFTSTSTSPYVTAPSSPQRFGTYIFTTAPTTPSRAAPHGTTPSTIPFNWEEKPGTPKSVSSHYDTDVDEDFAFDFSGYLEPPSLLPADELFSGGKIKPLKLKLPPRLNNLETNLINLESPKSPRAKIKEALTLTLSFSPRKKSSDDFDPFAAAMEETRRPEKVPAANWYKKWKLKNLLLFRSASEGHKEKYELLKKSGKNRDSKNWSFRSVDNDGGGSVGSRKMSAHEWHYTVNRSVAEEMRKKTYLPYKQGFFGCLGFRVSGVHEISNRGIVSAMGRE >KZM82524 pep chromosome:ASM162521v1:9:20663191:20669943:1 gene:DCAR_030093 transcript:KZM82524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQKSNTNRSNLIPTTTMSHTNPRSTNKAKSVVFVDDPAPPRALLGGDYVAVERGEEEDWRRFREAGLLDEAAMEQRDRDAVVEKVAKLERELFDYQYNMGLLLMEKTEWTLKYEEMRRAQVELKEVLEQEQTTHLILLSESEKREENLRKALDMEKKCITDLEKALRDSGADNAQTKQSSEAKMVKANALLSGFKEKSMDVETKLHVADAKLEEVYKTSLELERKLQEVETRDSLLQRERMSFIAEREAHEATFSIQKKDLQEWEKKLQEAEERLCEIRRTTSVREVKVNEMEMALNLKKQELNKAQKENDLSTSVLKKEADDINHRLANLTAQEHKAETLRNELEMRDKELLALAEKLTARESVEIQTLLDEQQAVLDAKMQEFEVDMDGKRKSLDEEMRSKLDAVQYKKDEITHIEEKLNRLELSLENKSERIKEKEKDLESKLRTLKDKENLLKSDEKRLDLEKKHMLVDKDTLQTLKDEIEKTRADISQQQSKIQEEIVKLKISEDERAEYIRLRSELKEEIEKCRFEKELLLKAHKNLKEDRKSFEEKWEALDERSNALSREIKLIGEEKEKFEKFRLSMEEKIKNDRLATEDYIRRELETLETEKETFATITRQEQSLISEKAELEYSQMLHEFELRRKDLEVDIQKKRDELESHMSEREREFEEEREKEHNNISRLKEVAQKDMEELRSEKHRIEKDRQEIALKKKELKEHQLEMHKDIDELEVLNKKVKIQREQFIKERDRFLLFVDTLKSCNYCGGCTREYELSDLQLLEKEIDNSPIVELGPGVSYESQDRINLRSSNSGGHISWLQKCTSKIFKYSPGKAAQDSEFQSDMLATVEEDERPSDGHLETRGLNIANDGPEPSFGIANESCEIHLLASNDNKRDADQRHEICTDELSNIDSKAPVAPEDSQQSELSSGRRRPGKKTRGGSVAVGTTKRKRQAQPSRVMKSAVTADHSEEHSESVSEVGRRKRQQSVTSSVQTPGEKRYNLRRNKIVGTSGSALASVDVLKVESEVDVNKTETVQDYALASSQLIASEKDNPTGPLEDMTCRSLEIYDLSTEGDVELKTSKSRDKSIDPAIMGNIEFNEEVNSTIPECSIENGRGSTLHEDRDNEVEVEVLNEDEDLDIDSEGDVSIHKKLWTFLTT >KZM81593 pep chromosome:ASM162521v1:9:3017752:3020316:1 gene:DCAR_029206 transcript:KZM81593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSITTPSYQFQLLGNNPHDLSLSGNEPPQEIPNSGDQPAEILVYGSDPLQEFPISGDPPAEISVCGNNPPPEISVSDYPDENMNNLTSGEDRTEIVALPEDNPAGSGEPQLKKRRRRKKQFPEMISSAAAVSGFRVMRPKSTPTTTTADDEFEELADHSLSKSRRRRISDLAKEVDVEAMIAISVGFTVDSLTEEEIEANVVSQIGGIEQANYIVVRNHILARWRSNVDVWLTKDHALESIRAEHRSLVNSAYAFLLNHGYINFGLSPAIKEVKCRPVEGRYRGDVIVIGAGLSGLVAARQLIYLGFKVAVLEGRARPGGRVRSKKMTGEGVKSDIVAAADLGGSVLTGINGNPLGVLARQLGLPLHKVRDICPLYLPDGKTVNSKMDTRVEISFNKLLDRVCKLRQTMMDEVKSADVSLGTVLEAFRRVYGVADDPQERMLLDWHLANLEYANATLMSNLSMAFWDQDDPYEMGGDHCFIPGGNETFIRALMEGIPIFYDQMVESIHYGSDGVLVYAGGKQYQADMVLCTVPLGVLKKGTIEFVPELPQRKRDAISRLGFGLLNKVAILFPYDFWGGEIDTFGHLTEESSTRGEFFLFYSYSSVSGGPLLIALVAGEAAIKFEQMSPIEAVNKVLEVLKGIFNPKGIAVPEPLQAVCTRWGQDHFTYGSYSYVAIGSSGDDYDVLAESVDGRLFFAGEATNKQYPATMHGAFLSGMREAANMLRVATRRSAVQAEKQNTVTDEHSDLDRLFETPDLRFGSFNCLFDPSSSDPESTILLRVAFEGEKSYSGVLHLYGLIKRRQVMELSNVDGDFDTMRMLRDFGVKLVGRKTLCSTAESLITCIKLGRSSLN >KZM82090 pep chromosome:ASM162521v1:9:11207228:11209654:-1 gene:DCAR_029703 transcript:KZM82090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALFGCGAFLLRGAGCTINDLLDRDIDTKVERTRLRPIASGALTRFQGLCFLGIQLFLGLGILLQLNSYSQMLGASSLLLVFTYPLMKRFTFWPQAYLGLTFNWGALLGWAAIRGSLDPTIVFPLYLSGVCWTLVYDTIYAHQDKEDDMKVGVKSTALRFGDTTKEWISGFKIACIGGLTLSGFNANIGWPYFIFLTAASGQLAWQIWTVDLSSRVDCNRKFVSNKWFGAMIFSGILFGRLMS >KZM81592 pep chromosome:ASM162521v1:9:3005571:3007873:-1 gene:DCAR_029205 transcript:KZM81592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHGFDFVTLQLFSYSDIGVHNSETSTETVTTYRKLARSYHPDVNKEAGAEAKFKEISNAYEVLSDDEKRSIYDRYGEAGLKGSGMGTGDFSNPFDLFESLFEGMGGMGGGGGRGSRSRATEGEDQIYNLVLNFKEAVFGIEKEIEITRLESCGTCNGSGAKPGSSPTRCSTCGGQGQVVQSARTPLGVFQQVMTCSSCGGTGEISSPCNTCSGEGRVRKSKRISLKVPAGVDGGSRLRVRSEGNAGKRGGPPGDLFVLIDVMPDPVLKRDDTNILYTSKISYIDAILGTTMKVPTVDGMVDLKVPAGTQPGTTLVMAKKGVPFLNKSNRRGDQLVRVQVEIPKRLSGEERKLIEELSNLNDAKALNSSSASRR >KZM82193 pep chromosome:ASM162521v1:9:13422870:13423037:1 gene:DCAR_029762 transcript:KZM82193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSRHLLHKSQTNMIGTTCNGQLLGDRDKPRNIPKDYSTADIWTHVGDPTPTGV >KZM81647 pep chromosome:ASM162521v1:9:3955913:3956167:-1 gene:DCAR_029260 transcript:KZM81647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRPDGTFNHDLAEFLDWKASANTILVNGVYSFEEASPIRRLVVYQRRSPACSMVSDVASFVVDQKKRKEKKKRTRLLLFLCI >KZM82631 pep chromosome:ASM162521v1:9:21978317:21979701:-1 gene:DCAR_030200 transcript:KZM82631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDLKVPFMFLKALIFFPRGTLPDKLKLSEDLIEKFGSKLRSGIRFLLSDGSLISGSFDIVNGTIRGLQKVYRFYDVSIGDILLFTYTGGDMFVVHAFGKDCMPKPSCKDAGLFFEVEIKQSHLQDYDFGVTIPVKFKNATKNVVEGEALKIRHGLKSWNVVLKKRTNRVELLSGWSVLWKDLELMTGDICVFNNAGSKRKFNLEVYRKSV >KZM82856 pep chromosome:ASM162521v1:9:25295972:25299582:-1 gene:DCAR_030425 transcript:KZM82856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCAQDNVPKAAASGPYKASQSTGNVGGHRATMTAPRDTIATNIQPITIPELSVDELKDITDNFGTKALIGEGAYGRVYHGVLRSGQAAAIKKLDSSKQPDQEFLAQVSIASRLKHDNVVELLGYCVDGGICVLAYEYASNGSLHDILHGRKGVKGAERGPVLSWPQRVKIAVGAAKGLEYLHEKTQPHIIHRDIKSSNVLLFDADVAKIADFDLSNQAPDQAARLHSTRVLGTFGYHAPDSRQGNTLPMPDGFAKRAQSVSEAKSRILVNQVPVATSRYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDTRLGGEYPPKAVAKMAAVAALCLQYEADFRPNMSIVVKALQPLLNARPVTPSQSHH >KZM82010 pep chromosome:ASM162521v1:9:9881213:9884207:-1 gene:DCAR_029623 transcript:KZM82010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWSNLEGKVVMVTGASSGFGRELCVDLAKAGCKVIAAARRTNRLQSLCEEINRFPDAIELTEAHMSGRISCRAEAVELDVAGEEESIKMAVERAWKCFGRIDALVNNAGIRGGVKSSLELSEEEWNTVVRTNLTGSWLVSKYVGLRMVEADQEGCIINISSSVGLNRTISPRALAYTSSKTGLNALTKIMALELGKHNIRVNSISPDIFLSQITESLMKQKWLKNVAKRAIPLQAFLTPDPALTSLVRYLIHDSSKYVTGNTFIVDAGITLPGLSIFSSL >KZM82693 pep chromosome:ASM162521v1:9:22576294:22576638:-1 gene:DCAR_030262 transcript:KZM82693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLVPDDAPDPINFNVVVGIAGQVNGLSLGQEHVPGDAHAENGVPEAVPAEQMMANGGVPAANPGTANGGVHIQANIPPFVFDEPIGDHEETFQPGAAAGFLFAGDPPLEDID >KZM82985 pep chromosome:ASM162521v1:9:26947672:26948070:1 gene:DCAR_030554 transcript:KZM82985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNSSASVSNGSDVSSGSYGTQMRWMRRVELLTLFNDLPTDPFARRLADMLEDGLPYDDLLEEVYERMLVPGRGRAAVQINGRWYVPIDRPEPAPEATVAERLTAAREERVELQERLEDVQARIADLEAQL >KZM83400 pep chromosome:ASM162521v1:9:31490679:31493464:-1 gene:DCAR_030969 transcript:KZM83400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLLRVSSCTEAYSNQNVHSPEVTSKAITPDEEHDDSVKTLREKLATALQNISAKDDLVKQHSKVAEEAVSGWEKAENEVFSLKQQLESLTRKSSSFEDRAIHLDVALKECMRQLRQEREEQEQKIQEAINKNKIEWDSTKSELQEQLVHLQSQLKSAKSEAVTFLHVDLRSKLRAAENEISSLKQELFSRAEELELRTIERDLSTEAAESASRQNLENVKKVAKLETECRRLKAMARKVSSSNDQRSVTESSVYVESLTDSQSDIGERLSFVESNTRKVSELEPNPSDSSSLLELTNSKNVKAIGKNCETPSLDISIMDDFLEMERFVALPDTHNESLHESRPITAHTNGEDITIISELAIMRNRNTELEEKLVKMEADKNELEESLTKYQDHIRTLRECLEEAEMKLMKMETDKNALETSLTEHKDQLVTVVNHLEAAEKKLMGMEKDKHKLETSLTKHQDQLKKVQDRLERAEMKFVEMEREKHELETSLAKHQDQLGTVRGRLKEEETKLVELQDQLFTANEARQAVEAELHGTNLKKEVAESRLKDVDNELKTMSARISSLEEEVQKERSVSEETISNCRKLEQEISRLQHKSELQRASSPHRRNLKQNQDKELAVAAYKFSECQKTIASLGRQLKSLATLEDFLIESDESSMITGATTAT >KZM81656 pep chromosome:ASM162521v1:9:4176375:4177357:-1 gene:DCAR_029269 transcript:KZM81656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLFTGVYASVPEEVNEDDLLHEKVSLIQQFIRPENLDIQPIYQNETSWLLAQNELQNINMYKAPRDKLAASLTVARFIRKFILIVLAATNRPDVLDSALLRPGRFDKEVTVDRPHIAGRIKILQGVA >KZM83337 pep chromosome:ASM162521v1:9:30994028:30998673:1 gene:DCAR_030906 transcript:KZM83337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEERGPKLSKRFTDNKDGEVDYKTKSGTAWSHNFLNQKPWHPLSYPNQRRKWIAEQTHAQRDRRAEEVAREYAQEQEFFRQTALVSKKEKEKMEMMKAVSFMYIRPPGYDPESAKAAEIAENTKKQEADNPSQDPASNADSAAMPSDLPAAAEEKKKKRVKDIYGRALPTEEQFDILKNAPRMDTGMIARARPFGIEIRNVKCLRCGNYGHQSGDRECPLKDTIMPNEESRLKRDDPLTVILDRTDASEPLKWELKQKPGMSPPRGGFDPDDPNQQIVAEDIFDEYGGFLSEQNIPELLANFSSSKSKNKKSSSKRKHKRLTSPHIAEIRDREEEKVSSDSDGRRKSKKREENKAEKKQKRKLYEADKPEDSGVNRRHRSRSKRRYPSSSESTDSDLKHRHKQSRSRRHSCESSSSDEKHRHKQSRSSRHLSSFESSDSDGKHQHKQSRSKRHHPSNSELLNSGRKHRHKHSRSKRHYSSPETSDSIDESRQ >KZM82941 pep chromosome:ASM162521v1:9:26082894:26083789:1 gene:DCAR_030510 transcript:KZM82941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSIVLESQQATTITSAAKADSALVINKIISIINKPAPPSSPPSTPFKSSLRRCSFLDRCFLCYTALLHNKDIYMYQGDKAFCSVECRFQQILMDEEDELKAMNKTTIPQTNNGRDKCCLAAMRRPATPSPWPRKSRYN >KZM83215 pep chromosome:ASM162521v1:9:29667049:29673455:-1 gene:DCAR_030784 transcript:KZM83215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMDIDQVVEVPDTPDRLASQNINGKNCIRRERYVSSMANLIENADTSAAGLQGVPRNNKRATKSESRSMFIPPRHDSTKNELQGNLININSENALASRGPFRSRRTAVDHTFNHRGKSNFHPEYMGKGKALSSPNSEMAGCQGHVKVLDLTDEDDHLRTYQKFVTGSSQSKEHRKEMADKSVSPLPIVEDSHVGKINDNPRTSHRTSCQKKLVRNGCISPLNVAKAKLTAQRQNNTFLHSHQNDSGASRDSMAEVKDACRVERYGCVSPSNVSKAKQLAQTQNNTFLHPYQDDYGSSREPTAEDKHSHRVKGKGLLTHSGLLMESEAKARPLPGRVLAGKVDGASHANKAACVRSEGSSGWISTREHTRRSNPSLSDEEPLLFHRTDDPCNVNKLPRTVVARRDNKEGNITRKHSVSSQNASAAPISQTVSQKHQGGRLNDHPDSSNTVIKRQRQGSSRSHQGESSSSATSNSEFLVLGSSGEPSNARSVRTQNSHAARSFKPIIDIDEFSPETRSNGPCNIDRSSVSASDIRDRQLEADEILARELQEQLYNESNVAADREIDEHVALALQQEISQHAFASRSQHVPHPRSSSISNSHRENMLRSSQNSSTQRVTQPRGPTTNRMARLRSRFPGQPRTISSRQRNVVFPPNMDVDMRMHILEALEAFNDMGMAGSLLQAQRDFNENDYEMLLALDENNHQHGGASAAQINALPESTVQTDNLEECSICLETPSIGDTIRHLPCLHKFHKDCIDEWVRRRTTCPICKSSVT >KZM83353 pep chromosome:ASM162521v1:9:31101759:31105975:1 gene:DCAR_030922 transcript:KZM83353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINFGHDEVTANDAATRNILIQFWEASTEATEGGRRYCELTIPENSFEILPYEVGGLSLYRMHSISCTHRIQLDDEEDDYIIQSKQQEGVISRVFRYKRPEMTPHISFYSLHEYPHREFALSCGIQPSLCFPLFKTADCSGHPRGVVELVSTCEQDLENFKQYFESCFFVQDLNPALWQMDHVLTLVCQKFPLHLAQFWVLTNPKLGALSVMSQKSNLDSEELAPLCRFKDACLQTHLNIGEGLVGKTCLFRKSFSCRNIKKFNITNYPLAHYARSCVSIACFTIFLRSFFPPFGECVLEFFLPSQELSNYYPQTMSNSLLTTVKEHLPYYTFDLGEELGEVPTLEVINSSSTREIFKRTHKNAVAVINLDELEESSVKLIEPQSLTPKPNRSKEIIDEANTMEINHFSLEITLEEAIRNREYTVAARRVDELLEDVGHDKTTAVGSSSQTRISLPHQEVEEEKLTADTSVQKLILTEDVFRIKNKGIIVGAENVDRPIQFVSKTSLQDELETEKQAEKKFSYESLSQHFGRPLDDVAKRFGISRSTFKRKCRDLGIKRWQYGRRSTDDNVSSKLRERLNAKEPSKRRYTCSGISSVQDKCLNKVATADKRQDPKKMIVEATYYDATIRFELPGFTIAELEDNINERLHLERQSFVIKYEDDEGDWISIACDEDLQECVEISKSSNNTTIKMSLDPRINPQAQCISVYPSIVAGKFEWNSLGVMSPHQFILSNYSMQLNVAFINIAPTRARENNNHAIVGILGIAVTVLLSALQLKYQAGTGSAFQDHPRAMVFAIASFLVFCLVCDLEQYFRSTHK >KZM83575 pep chromosome:ASM162521v1:9:33076779:33077227:-1 gene:DCAR_031144 transcript:KZM83575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVTKPNIFVLVFILLSIYGALSTSASSLHQREKQNLRWFSDCLSGHRKLGLVDCGGGSANMNRANYWSESLKSSLSPPPAPVKRVVTRSGIASPLSK >KZM81328 pep chromosome:ASM162521v1:9:88447:91459:-1 gene:DCAR_028941 transcript:KZM81328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANYSKCFDDDGRLKRTGTFWTASSHIITAVIGSGVLSLAWAIGQLGWIAGPTVMILFAFVILYTSNLLSQCYRSGDPVTGPRNYTYMDAVRANMGGRRVAICGMVQYINLFGVAIGYTIAASVSMMAIKRSNCYHSSHGKDPCHMSSNGYMIAFGVIEILFSQIPDFDQMWWLSIVAAVMSFTYSFVGLGLGIGKVAGNRSFKGSLTGISIGTVTPSGSTVTETQKLWRGMQALGAIAFAYSYSIILIEIQDTLKSPPAEYKTMKKATVLSIAVTTLFYLLCGCMGYAAFGDLAPGNLLTGFGFYNPYWLLDIANVAIVVHLVGAYQVYCQPLFAFVEKWSAKKWSRSHFVTGEYDIRIPFLGVYQINLFRMVWRTCFVVLTTIIAMLLPFFNDVVGILGAMGFWPLTVYFPVEMYISQKNIGKWTHRWLGLQLLSFACLIVSIAAAVGSFAGVVLDLQSYKPFKTTY >KZM83614 pep chromosome:ASM162521v1:9:33358674:33359937:1 gene:DCAR_031183 transcript:KZM83614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIEDKGILNLTPTHEILSNGVANFEFHKANGKGRTSQHRTGLGKPTPSKWDDAQKWLVNLSRGGEKSQSNNAPRNSNADDRRLIAPVPKKEDSSSSDDEELTGCAGGSSSNARYEVETKKVDYDDSRWRVNKPENSTPVVQSICVRDMGTDMTPIVSQEPSRATTPIRAMTPAGQSPVSSRSTTPVRGHSGVQVVDNGQGVINSAENRGEDGRAGFVTTWFSREREAPITNVVPENNSDQAKKLTPLETRAMAWDEAERARYLARYVKAERLKSRAQEKYTNKLASTRRIAEEKRANAESKLSEKAVQTSEKADYIRRTGHLPSSFSFKLPSCCW >KZM82977 pep chromosome:ASM162521v1:9:26820500:26822505:-1 gene:DCAR_030546 transcript:KZM82977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKESKHRAVAEGNTIITPSSSRKSMSRGQSSSIKNNAASLQRSRSTRSSVQKQDSLKKINVGDSKASTVLKQNENAGYDKGTVNVSRVLEGEDRRLKDLNDQIAKSEEKELMTGKNDVGIETNVERKENENVEKANQEKDKLDNVVIPKVNLSSSSRKDDEGIESVITDGLSARSVYFTPTEAHGPFGVNRKTDDDEEDQELIEEKQESSKQKQESNEEKQESTEEKQESIEEIKDTESAEGAAEDNTNRTVHEVAENDADEAEVAEKNPIESDAATPRNQEESEVSEKIKVAEAAEKNPIETDAATPGNQDELEVAEKLKVAEDAISALETMVKEGDKTEDNDEARQDPVEETEEVPVSEGKIAEATLEKPVIEADDSDMIRQTEVESTDATHQNPEQGADRSEESREIEPEATDATLSYPVIESDKTEESLLKDENGVKEIHEVADKVEEIPPEEADSSDPTDHKNQELVSDKTEDVQGKEAEVADGTQEDPVKEVGVADQVENLGTDAKEISINEENPVKNLENTTKDEANAEEDTENSSTANVHQISSKDPDNK >KZM83152 pep chromosome:ASM162521v1:9:29200609:29202318:1 gene:DCAR_030721 transcript:KZM83152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKRVCVTGAGGYLGSWLVKDLLLKGYVVHGTVRDPCDEKKNGHLKKLENASENLHIFKADLLDYEGLYSAVKGCSGVFHVACPVPGTLKLHNPEVEMLEPAIAGTLNILRACTNAEVKKVVLVSSAAAVTCNPKWPKDLAMDESCWSDIEFCRMIEYWYGVGKTTAECKAWDYAKNTGLNLVTVCPSIVIGPMLQSTLNASSSFLLEFMKDTKGTVENRRLPYVYVQDTLNAMILAYEKPEAEGRYICSAFTLSAKEMVEKLKSMYPDYVYPTSFSEVEELNSGKLNCKKLESLGLRFTPLEESLTSAVKNLEVTGLLVPVNKHHD >KZM81347 pep chromosome:ASM162521v1:9:218830:221017:1 gene:DCAR_028960 transcript:KZM81347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEPLVFTVTRKSPELIRPATQTPHEYKLLSDIDDQQGLRLKIANVQIYRTKNEVQNLDPVKVIREALAKTLVFYYPFAGRLREGAGMKLGVDCTGEGALFVEADADVTLEQFGDEIQPPFPCFGEFLADVPGYGITLDCPLLHVQVTRLKCGGFILASQINHTMSDAAGVVQFLTALSEIARGAAAPSTPPVWQRELLSARDSPRITCTHPQYDQNVPVIDDLPVRDLRSYFFGPNEIRAINQLIPPHIGKYSSFDILTAFLWRCRTRALQLGPQEEVRISCFVNTRAKFNPVLPKGYYGNACLNPQAVTTAGKLCDGPFTHALELVKKLKQGITEEYVKSVADLMVLKGRPRFSMPRTYTVSDLTRAGFGDVDFGWGRPAYGGPPGCGVEMGISEVSFYIPHTNKNGEKGMIVPVCLPASALDKFEVELNSMLKNNSQVALIDNTSLPIMSAL >KZM82824 pep chromosome:ASM162521v1:9:24941670:24947262:1 gene:DCAR_030393 transcript:KZM82824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCSHLKLLPVQLGELKGLQRLDASYTAVEQLPDSMAHLKNLVHLDLSYCEKLRKLPEQFGNMEGLEKFDAADSAIEQLPDSFSNLLNLYSLNLTGCSQLKRLPEQLGKMQCLEKLSASYSAIEELPDSIGSLPRIKVLDFSKCEKLTCVPNSIRNLKSLKYLYLNSDEDIIKMELIEAVNNMKLKYLSLSCNIRVWLPFILSFSSLRSLILRDECGSPFPTKPFSFFQLFNLEFLYLTNCTSHGSSFPELPLNLRRLQVDNHASLEQLPDLSYLKHLEFMDIMRCCSLQSLHKLPPHVEFLTVEDCTSLQDFPDVSMLSDLKRLNVLRNGSNLKVSLEENHLQGMCGDNVDGQRKVRPLISIVAWRWVAAAAVGAISVGAITVTFSLCL >KZM81345 pep chromosome:ASM162521v1:9:204384:206576:1 gene:DCAR_028958 transcript:KZM81345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQEPLVFTVTRRAPELIPPAKPTPFEYKLLSDIDDQGSLRFRIPVIYFYRKRNDKMDPVKVIREAISKTLVFYYPLAGRVREGAGRKLGVEFTGEGVMFIEADAEVTIEELGGDAIQPPFPCFEELLFDVPGYSGVLDCPILLFQVTRLKCGGFIIAVRLNHTVSDAGGLAQFVNAVGEIARGADSPSVPPVWQREILNARDPPRVTCTHHEYDDVPDRKGTLISVGNMVHRSFFFGPAEILVLRLLVPSHLIKCSTSELLTACLWRCRTRALQLDPEEEVRLLYNINARGRFNPPLPKGYYGNAFAFPAAVTTAGKLCQNPIGYALELVKKIKKDFSEEYMRSVADLMVLKGRPPSTAVRIFHVSDVTRSGLGDADFGWGKPVYGGPARGGVGNRPVAASFYVSCKNKKGENGIAVTVSLQASVMEKFAAELDGMLKNNDQLIINNTSIPTKSAL >KZM82198 pep chromosome:ASM162521v1:9:13561160:13562738:-1 gene:DCAR_029767 transcript:KZM82198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQVKKKLRIYGDEEDKISSLPDELIHHILSFTDAKEAVQTSVLSNRWKSLWITLPFLNFGEYRYSSPKNNTKFIRHVLSKRNRQSDLFELNFCVYNKGLRRCLIANVVEYAISHNVQSLDFGLLYKHQPFKLSTFNSNSLKKLTLRVRLEEFAMELGCWDLPCLTTLFLKYPDFDLTKGNQISDSWFTCLPSLRDLGLENWDLSISSFSFSIPDLTTLRLSNCILPKMVLDLPSLITLDLHNVNLPYDMSDMISTLISLQNLTISSPFTQDHVISCPRPLLNLNIRTSSSRYDSGSGYIIVFAPKICNFTSYGIFATTFGVPELETVNIRLQGWFQGLQSSKEEIYHRLTNMFPGLGNAKTLTFDLESIKALNEISSLLVWLPSPFYNMKYIKVPKTYKKSNMSGALRNYLLGGSPRSTIVTTLPQNEIRLI >KZM83597 pep chromosome:ASM162521v1:9:33189088:33192334:1 gene:DCAR_031166 transcript:KZM83597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLVNEMAAANKSSGSFQLRMIVMFLYIFSFLKLCVGLDSLSPGRPLYGNETLISEGRKFELGFFSPGNSHKSYVGIWYYGVRGKKTIAWVANREWPIRQITNNSRLLLTKDGRLNLYSSVDEIIWSTNSSVANKGQLLDNARRCNCLNGFIPDSPEQWQSANWSAGCIRSKPLQCSNIDFFNVSGVSMPANSQFMNLESGQVCRFACLANCSCSAYAYNNGRCSLWFGDLVDTRVLSSHQNSGGILYIRSSEVLAIICYGVSGEGNIIEMGNLFGGKSVAVKRLSKRSGQGMEELRNETVLIAKLQHRNLVRLLGCCIEQDEKILIYEYMSNKSLDHFIFDPSKQSLLDWRRRVLIIDGIAQGLLYLHLHSRLRIVHRDLKASNILLDDELNPKIADFGMARIFGGNEVQANTNRIAWELWQADRVLELVDSNLDIPSSFVPLRLIHVGLLCVQDSPADRPTMSDVLLMFSNEHSQLVSPKRPAFTSGGNSGFEIDKADNFSVNTLTASVMDGR >KZM81634 pep chromosome:ASM162521v1:9:3713049:3716606:1 gene:DCAR_029247 transcript:KZM81634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEPKSTLASPGESVIVVIDANRNKVMVDALAWAIRNIVQPRDTVVVLGLLSEVWKKNACIPLFSGTWVRLEFSGQGELSPKEFEEELERKREEYQSAIQPFYRQCKKNGVKLEAKLAVGYDPKTITVENAHKFNPRWIILDSHLKRDKVYIYGHVTCNVAVMKEKGVATLMPSRGLASERFSVDRQDNDTEKGADIEQEECHDLAQDSIWVLPTLSSPRSPCWYPLSWRSGFPKVFSFDELEVMTNGFSKEHLLIADGDKIVYDGICQETPVIIKKFSAEDDQAIALLKILSRVRHRNIFNLVGYCSTHDSLALLFDYPCSGALKMYLRSDESAKNLSWKARWYIALDIGAAIRYLHEECIDGPIVDVIVDSWNIVICHGSSSLLNISHTAKWLKHDSFYDELSQDKCQDTESEKRLSADVSAYGVLLIELITGKSEPTYEERTEGHPSLVDWALPLLENGSLDQVMDPRLARSNDSAMIDNMVRAALLCLKRDSGHKSVISKALAVLRGEEFAVTIKP >KZM83115 pep chromosome:ASM162521v1:9:28869795:28870619:1 gene:DCAR_030684 transcript:KZM83115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQAQLFRPPETPMEPMEFLSRSWSASALELSKTVTPPPPFLPKTNAASGGTIFEDLAGELEEMAMVSGNPFSFASSQTSQLIMERIMSQSQEVSPRTSGRLSHSSGPLNGSLSDSPPVSPSELDDHKVLSPLSLPAHT >KZM82251 pep chromosome:ASM162521v1:9:14612317:14612727:1 gene:DCAR_029865 transcript:KZM82251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAVDDVQDHSSFDLVAQMPAAVLLGEFDEAASSSDSVAQVTQGVRDVSPVNARGAPVLDCARDVREDAPVGARVVPAPVCARGVREAEPVVDLQARSQAIKHQRTMIDIGTFCNAPRSVYPESRPSHYLSIHV >KZM83158 pep chromosome:ASM162521v1:9:29241602:29241652:-1 gene:DCAR_030727 transcript:KZM83158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEQELSQENRYFQP >KZM81359 pep chromosome:ASM162521v1:9:331007:331522:1 gene:DCAR_028972 transcript:KZM81359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVNSALIFSAIFVLFLVASYSVTVAEGARAGAEGEVVYPEALCERASQTWTGKCQHTDHCDNQCIQWENARHGACHKRGGNWKCFCYFDHC >KZM83316 pep chromosome:ASM162521v1:9:30724564:30727327:1 gene:DCAR_030885 transcript:KZM83316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANIAYAVISLIAVLMLSYMMKVVNRFWIRPKKVEKRVRELGFRGNPYRFFYGDAKEMERMRVEAVSKPLEQLSDDIPARKQFFIWIGTKPRLNIMDPLLVKDILSRPNEFQKPKADHMAHVLVGGLFTIEGNTWIKHKKIINPAFHIEKMKNMVPSIVNSSLEMIDKWNKSLASKESGEVDIWPDLEALTYEVMCKTLAVGETSEDIRRIHQLRLKVNEQAAKVAKLMFFPGWWNLPTKDLNTMKALHKEVEGLVRKMVTKRLEETKIGARSEEVFTSLGLKLQHAL >KZM83491 pep chromosome:ASM162521v1:9:32427111:32427717:1 gene:DCAR_031060 transcript:KZM83491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNQSAVHSSSGTDGFIMPKKSGQVLTFRSSSKWRSHFQSSKQTDKLMVIHFTATWCGPCHTMEPVIREFAAKYVGVEFIQIDVDELEAVARAYAVQALPAFILIKKGETVDKVVGAEKAALQKKIEKCML >KZM82173 pep chromosome:ASM162521v1:9:12877800:12878750:1 gene:DCAR_029742 transcript:KZM82173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDTMANIRSKLHQDSPLVASIDALDKRVSDVECQLNQVLINQNTQQRLLLTLLQAQNIPIPSDVLANSKKGEKSSRAATVATTSAQVSQVSTVSTTPSTSAPSTSVIATSSALPSTISENPPATISLPSREQMPTSEGESLSKGEQLALVSGSKAPPAAKKKRPPKKAKTVTVKSIKCQGESSSVNEFKPCLIDPPSPIKPHGKNKLAIDFPMPKSDKSKLPGLAIHMGKFGKKWDETEEEKRESERESERERERRLRRKGLSKKPKGKSSRYKNQNVRRIPRYLIQATGRSSFHMIKPQCQINCVAVQGVRKV >KZM81868 pep chromosome:ASM162521v1:9:7196288:7198798:1 gene:DCAR_029481 transcript:KZM81868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYVFKRRNDGWSYRCVVSSIKDPNERLWLTAKEEEEEAASADYVDYSAPALGGTDQWSSQIPDAQWNNEGVQAAIPAVPRATGWTAYAVPSADGWDVAPEPTLAAAPGLDITQPAVVAPTWE >KZM82253 pep chromosome:ASM162521v1:9:14767033:14769459:1 gene:DCAR_029863 transcript:KZM82253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPAYTKPTCTVKNDTHRYDTHDTHVSRTTVHHLHYSTVHHRAAAPSFRYITASTKKFEAIFGSRNRSSSTAQNHFNICLNRTRGGYIVQCRRSSRLRAHSLSKFTNTADTPVDLESEDQANMNTNNIRDKRPLAKVYRRPDNKVIKATAGRNIKTLVGNKLVDEVEGDEHNCNNLVDEQDGDQDNDKQSDEDMQEGEEDSAQEGSAEDMEQDDSAQEGEEGDEDSAEEEEDDEQDDVLNESEEENEDEEEEDEQEEDETENQAQVNNAQPKIKITKYKRKKVHFLHFLHY >KZM82452 pep chromosome:ASM162521v1:9:19584339:19585753:-1 gene:DCAR_030021 transcript:KZM82452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFESSTSEGAELGKRPASTALENGGKKRASGQMEQTHDIITVVVKSQVKEVHFKVKRNTKMQKIFKMFCDKAQVEFRSMRFLIDGCRVSPTATPEELNMRDGDEVEAMIYAGGGGNVAIV >KZM83083 pep chromosome:ASM162521v1:9:28602622:28605125:1 gene:DCAR_030652 transcript:KZM83083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEMQPKSKDILNDEDDYSDISLRLMDVNDIDDFMVWATDDKVSRYCTWSTYTSKEQAMDFFTNIVAPHPWLRAICLRNRAIGSISVTPFEGSDACRGELGYVLASKYWGKGIVTRAVKKVASVIFAEWPHLERLEALVDVDNAGSQRVLQKAGFQREGVLRKYVIQKGRTRDMGNRSFENSLRGSDIEQWMSHRQIPDDLKAKTRESERYNWLATRGLNELMLLENLPEDLQRAIRRHLFRFDKKLPIVASMDESILDAIRERMEHKTYIEGSRVLVRGGLMDKMVYIVQGKLESASEGENVVPLSAAQNLLHCA >KZM83377 pep chromosome:ASM162521v1:9:31284252:31284863:1 gene:DCAR_030946 transcript:KZM83377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSAIGQERPTNPFIWAAAIVCAIVTLVMIIIGIVVIISYFIIHPKTPSISVSYANLDKLYYDQTGNLQVQITIVMKAKNDNAKAHARFYDIGFILGFSGMEIAKLQNKAFDVNKNHSVEFSYLVNSRAIPLKKLQGDFIQASFRKQVIEFDLTGKAKTKWRVWVIGSVKVLLNLDCNLQFFVPNGSATPYSESHYCSSKSS >KZM81841 pep chromosome:ASM162521v1:9:6784491:6786308:1 gene:DCAR_029454 transcript:KZM81841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKTTHQDQAPQKVEKTHQSDEVSEKIESLKSLNSMLLKETVERRQQVDSLKESTGLLESELKRVEMEKIELGECELMGEIERDLMVVFVGVQVGEILEGFERERGDFERVVREKIDVEKLMKLREDEIEGLKDELSGVVRERDSGLVELKRVCCERDESKRVSDGLGEENGGLKMRIGEMEERERVVRDEVEALRGKCGRLEGVIKVNERNIEGAIRGKSVADKNVEELNKMVDGLKGENVEMSRQMEGVLLDRDLKAARITELESDVSRLNDVVLSLRKEDEKLRSSIGVLEKKCCEGKTKEKELRVEIGKLGKSSESLVAEKGLIEKELGEAVKQSSELKRRVEEILKAKSVVEGAKEKLEGEIHELKIQVTDLKEIICLLEESSRADKEKIKGLESEVGRYRDEVGRIVIERDEARKGLKQEASRMKGLMEKITEKEICIEESIKATEKIKNQMSSLTEQKKELENCQSVLKKDLALAEKKLAETQKELDSVEAKVGLANANSEKMLNMLRSTVTLVSKTKDGNGTVNQVKAGEEFKAYLAALDRIKDAFKSHESEVEDMKLKLESVQHSEAVQKSRFRTFLTSATIVAAAAVAYAARH >KZM81581 pep chromosome:ASM162521v1:9:2844392:2847101:1 gene:DCAR_029194 transcript:KZM81581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWQDDEFFLQDDINIPIWDELGQSEESLYFGFNDITPAKVCGDSAYHNANSGDISSSKRIFADNTEGRKEDMMNCSQAKRRRTLQFECEALPIPYCNEEMSPTSLEEILRGPHCSEEMPPTFLGEKDREDTQKEFSNIAQWVPGGDASSYGYKDLIQSSEGQLANCFHNNEIQFNSDNVVRSGASKAHNAGSSGTLPVQEASAVQKNHPQSRQNVVFKGKKSYMQTPTKATSSVVYPFAFIKPCGVNGVMTLKDINQRICTPPMSKQKEDLSSIYPTSAFSGKPVVGQMKIHTEGGKGCITILRTKG >KZM82183 pep chromosome:ASM162521v1:9:13072664:13072906:1 gene:DCAR_029752 transcript:KZM82183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRGSKVWVQDRDFAWVADEVIEFIGKQVQLLTEFNKKGVLLSLSPPPSPSLSSPPSLFRLLSLSPISSRLSVCWIDD >KZM82445 pep chromosome:ASM162521v1:9:19358959:19361034:-1 gene:DCAR_030014 transcript:KZM82445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSWSTFLNKSIEDFELLNSNGSGLPNPPDPKAPHLENCKLNAEVNQQLDKRMENELLPPWTIWKGTLHNYLLSSAEEQLRNYNFRPVPGASYPPWITGSDEENYPLTRRVQSDIWFRQHPSNCSDPSVKFLVADWERLPGAGFGIGAQFAGMCGLLAIAINERREVVDKSRSYPHWKFYYTNVTRQVGNMTMATYEASLGRQISTNYPLVNFLMAAESDFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >KZM81491 pep chromosome:ASM162521v1:9:1786297:1787198:1 gene:DCAR_029104 transcript:KZM81491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFTLLPALNSIWRGGSELRYLRVYEETYKSLRSRGIRFPDRDGESLAPIFTPPRLTTAPEPNASLAQQMYNEVPVISFSPEHCKKQY >KZM82978 pep chromosome:ASM162521v1:9:26827409:26827729:1 gene:DCAR_030547 transcript:KZM82978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRHRLDAHQQQPGDVQAPVPPPPANNEVPGDDSSDDELVIILDPQPPLGEPVAVPPQGLPQNGPGVDGHAVNGPPQVQQPMENGAQNAAIQQANGHVANGAQD >KZM82526 pep chromosome:ASM162521v1:9:20679910:20682590:-1 gene:DCAR_030095 transcript:KZM82526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNMLGDLASLPQEDQAKMASMIDQLQIRDSLRMYNSLVERCFTDCVDTFRRKSLDKQEETCVRRCAEKFLKHSMRVGMRFAELNQGAPTQD >KZM82181 pep chromosome:ASM162521v1:9:13056559:13058892:1 gene:DCAR_029750 transcript:KZM82181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKNSEAAGSSSKPPQPYERDQLLPIANVARIMKEILPANAEIAKEGKETMLECVSEFISFVTGEASEKCRKERRKTVNGDDIVWAIDRLGFDNYAQPLKRYLDRYREIEGDHRANMNIVHNRVGYDHEENGRFHLYVKDAQQDRIDDNHPNGLPTTQMLSLVMGTLYRKFIEKDIKNLEDFQKAILDIFDTINSALPGKHYDVPPQKDVEACFKEWKEAKKEPERKKLFTEFMTKNVILGKLDETSLVTGLVTPPAAMAAKRAGETLPQLSIIKSIPDVIFVPTATILALISVKVSRRMFVNNVAYP >KZM82608 pep chromosome:ASM162521v1:9:21810898:21815370:1 gene:DCAR_030177 transcript:KZM82608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLNFGRRRGEERFYNPAKARSTRRGQLNQDHLRRALSDVTASQSKAASKEVEVVKEVVLEPVVSPLSNLERFLEAVIPCVPAQYPSKRMSKIRRSCDGELQPYFLLSDLWESFKEWSAYGAGVPLLLNDTESVVQYYVPYLSGMQLYVDRSKPSAEARRPGEDSDVEFSNFSSDGSSDYEHDRSCISYLREQRSYNNSTGELVQRVEGLSLPDQNIALQEGFSSDEGEPRKPQDFLLFEYLERDPPFGRGPLADKISNLALRFPELKSLRSCDLQPSSWISVAWYPIYRIPMGPTLKDLDACFLTFHHLSTPMTDNSSTVPAPAPVVTCPSEIDGIPRISLPIFGFASYKFKSSLWTDNGQLVSLLLQAADNWLTLRQVNHPDFSFFCRR >KZM82900 pep chromosome:ASM162521v1:9:25717248:25718890:-1 gene:DCAR_030469 transcript:KZM82900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAMSGVVLQIFVVFVCLVVYSSAAKFSANFIFGDSLVEAGNNDYIVSLSKANYPPNGIDFGSPTGRYTNGRTIVDIIGQQVGFSEFAPPYLAPTTRGPVILQGVNYASGGGGILNETGKIFGGRINLDAQIDYFANTRQDIIAQIGFPAAMQLLETALFSVTMGSNDFINNYLVPVLSSVKQRLVSPEMFVASLIPRYRAQLTRIYNLGARNFILPNVGPIGCIPYQRDVNPLSGDQCVALPNQLAQSFNIQLKSLLIELEANLKGSRFIYADVYHIVEDIIQNYASYGFQNADSACCSSAGRHGGVIPCGPGPTHVCPDRSKYVFWDAYHPSDATNALIAQRLIEGGTDDVSPINLRQLVES >KZM82965 pep chromosome:ASM162521v1:9:26375459:26378034:-1 gene:DCAR_030534 transcript:KZM82965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALRRASGKLRTSAGDKSPAPPQIKNPVGPTPPAVPFDKVSGGSDGPDKINQDGASKINADNMLEEKDPQYDAMLSHMVGRIQSKSGGKSEMGEAFVVERYSRPTPKLRSTKAGGADRFEERPAPSGTLNISQVRQIVQLHQGKSTEHNGPMNIQQIAEKFRIDAAQVERILQFISLPPEDNSKQTKNQQ >KZM81873 pep chromosome:ASM162521v1:9:7329187:7329336:1 gene:DCAR_029486 transcript:KZM81873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLANPDASYIMAVAECCRSLSSEERRIYGVCVVNVATRKILLGQVCKI >KZM82747 pep chromosome:ASM162521v1:9:23294107:23303645:1 gene:DCAR_030316 transcript:KZM82747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIVNETSSFVSYRLLEEEEDSSSPSDAVIFVGISLVLGIASRQLLKGTRVPYTVALLILGIALGSIEYGTHHHLGKIGDGIRLWANIDPDLLLAVFLPGLLFESSFSMEIIFPYNWSWTTSLLLGGLLSATDPVAVVALLKDLGASKKLSTIIEGESLMNDGTAIVVFQLFFRMATGSSLNWAAVVKFLAQVSLGAVGIGIAFGLASVLWLGFIFNDTVIEITLTLAVSYIAYFTAQEGLDVSGVLTVMTLGMFYAAVARTAFKGESQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLGNHSIFKSEESAWGYLILLYLFVQLSRMVVVAVLYPCLRYFGYGLDRKEATILVWSGLRGAVALSLSLSVKASFP >KZM82006 pep chromosome:ASM162521v1:9:9824423:9825184:-1 gene:DCAR_029619 transcript:KZM82006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHWFPPSPGEVKVNVHGATLPAPAANGNTTGMGSVIRRANGGMASSISGTIPNLTPVENQLAAIHIGMKRAYEEACKKVIVETDNLEAFGMLKFQHNGISTTARNIIQQIKILKKDKVWKCKIRYVYPRRNRVATYLALLGADLFGRLFLSFEPLGRAAELMDMDVGLGFHDPRYQEVQMNGDEMELFDQALDEGWGAPNGPGHAAQFMNSAGLHGMQVGVAQGEMEIHDLIYEDELSEEEEGDDPVVMMG >KZM83431 pep chromosome:ASM162521v1:9:31773433:31775741:-1 gene:DCAR_031000 transcript:KZM83431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQENDQKRDKNNNVMKLTVTSLSAMVAETSTFPLDITKTRLQLHGESLSHSAARRTSAFQIAAKIVRNEGLVGLYKGLSPAIMRHLFYTPTRIVGYEHFRNAFVSSYDQELSFLNKALIGGSSGAVAQVFASPADLVKVRMQADGRLASQGQQPRYSGPYDALSKIIRAEGVRGLWKGVFPNVQRAFLVNMGELACYDHAKRFIIGHQIANDNIYAHTLASIMSGLSATTLSCPADVVKTRMMNQVVGEGGKIKYKNSYDCLVKTVKVEGLRALWKGFLPTWARLGPWQFVFWVSYEKFRQIAGLSSF >KZM81703 pep chromosome:ASM162521v1:9:4649280:4651875:-1 gene:DCAR_029316 transcript:KZM81703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVYAKGGKTIIFTQTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFNVLVATDVASRGLDIPNVDLGKVTLQLTRDPAYARGFLSAGSVIGFLSSIYTTAADEIGKIHMIADERVQGAVFDLPEEVAKELLEKQIPPGNTITKITKLPALQDDGPSNDNYGRYSNRGGGMRGGGSRGGRGYGSSRGRSGGRFSDGDERRGGGWGAGRDGARGGSWGAGRDGARGSGGSSWSRTSRSSGSDWLISDRRSSRSPSYGDSDRSFGGACFTCGQSGHRASECPKKLGF >KZM83452 pep chromosome:ASM162521v1:9:31930116:31931282:1 gene:DCAR_031021 transcript:KZM83452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPCLPDDIISTNILPRLSIKSVTRFRSVCKSWNSLILEPGFAKDHLYFTTQNPQDDTLIFNKLVLDYNKFGCMTGAHYGDIAVLSSSDLPETKQLDVTSAELHMLDSINGLVCLFLDKQDQFIVWNPAIRQAMKFGSPRKQFSLRDMKIKFYGFCWDAVENDFKVVVSYYKLGNLDSLSIYSCKLGSWSSPFNSLFTEVWSDGHRLPCAIVSGVPYWTYSWYSRGTVKLFKFDVVSKDFKKVPELYLFDENKFIVVNLKECLSALVYDYAQSISSLVDVHCFDEGLGVWSKMYSVGPINGDLFCRGWSNMHNVRVITGELLGCFKHGGEIVFSANSKYRCYDHKTDETRDLHKPKGDTEQCFSYIASLFFLEGMKPQHQVEPTLWK >KZM82011 pep chromosome:ASM162521v1:9:9886291:9888497:-1 gene:DCAR_029624 transcript:KZM82011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWSSLEGKVVMVTGASSGFGRELCVDLAKAGCRVIAAARRTNRLQSLCEEINGSPDAIELTKAPISGSISCRAEAVELDVAGDEETIKMAVEKAWKCFGRIDALVNNAGIRGGVNSSLELSEEEWNNVVRTNLTGSWLVSKHVGLLMVEAVQEGCIINISSTGSDEKRVAEEYSRKNSSLKAFLTPDPALSSLVRYLIHDTSKYVTGNIFIVDSGTTLPALPIFSSL >KZM83441 pep chromosome:ASM162521v1:9:31857575:31858517:1 gene:DCAR_031010 transcript:KZM83441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLCKPPARSVLASLDFGCGSITRGYLHGSCIESEKQALLIFKKALIDNSNYLSSWVGDDCCAWQGIGCDNITNHVTRLELQYGFLSGKIHPSLLDLKYLTHLDLSSNSFDGIQIPEFFGSFKNLIYLNLNYSNFEGLVPHHLGNLSNLQYLDLSNYDYSWDLRLKMDSMSCLSKLSWLKYLDLSGVNLSSVIDWFSSVNMLSTSISVLHLSNCHLSNNISSHLPSMNLISLVSLQLRFIDETIFIVVKHIESISHIFQTYYTILLLTSITIHQ >KZM83065 pep chromosome:ASM162521v1:9:28458873:28461228:-1 gene:DCAR_030634 transcript:KZM83065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHTYAWRHILPLHVKYFAGTPADCTALGLSKTLFPSVPDLVISGVNKGNNCGHRIWYSGTVAGARQAFLQGIPSISISYYWFRGMSSVNDFTLAAEACLPILSAFLVEIRKKNHLLNFFLNINVPANVLNHKGYKLAKQSKNIVSMKWEQDTSDAQGGKVLPLMDMETETNASKDPATVSQEKLHFKRVIITVTPLGALTNAETECLEYFKDWLPAVVESTSSSNL >KZM83438 pep chromosome:ASM162521v1:9:31834995:31835624:1 gene:DCAR_031007 transcript:KZM83438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIERGAFGRIFDMHPQLLSCDPYFDLYPVFGFLLNEVKIPFVDIRKAVVRCPRLLVCDVDEKLRPTLRFLRNLGFVRMNAVSCQTTLLLVSSVEVTIMPKLDYLMSLGFEYDEVARMVVRSPALLTYSVANNLMPKIEYFLSVMNGDLGELKRFPQFFSFSLEGKIKRRHRLLAERGLTMPLSEMLKISDGEFNNRLIEMKLRLLSRR >KZM82122 pep chromosome:ASM162521v1:9:11783590:11786504:1 gene:DCAR_031829 transcript:KZM82122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLILENKKKVDQLGLKTLADGLNLKVVKAKVQDDDEHDSDKEYVPDEQENEEIMEKEVAKKKQMKTKKQKVVTNPIRRPRTRSVITASNQMESTAQSSPVAENLPPPPPLTENLPPPPFLPENLPPPPPLPGKFPPPPPLREEIQPPIPSKKKPVKKSSKRGVGTMEDYVLLRKQEKIQEEEKNKMVNDGADQPVQTVAQDEALERKKGRGPTLMNNVYTRRPDEKKIIKLNCELQAIADDDKMESTAQSSPVAENLPPPPPLTENLPPPPFLPENLPPPPPLPEKFPPPPPLREEIQPPIPSKKKPVKKSSKRGTKYDIPEEGRTYTLRTIGSLWRLHKARIKKDHYFKYDNDDDRLKNKPEVIPVEEFKVLLNYWADEEVQKTEKKIPPEQLAPKGEIYEITHKRDPKRKYKTNPDAEDENGEPVKKPSHGPNWLLGRSGKCRKTNKAQEEKLLTTSTANVDELRKTITKEVMAEMDRKMCEKMKRVMAKLGDINPDFKNLDVEELWAGDASEDDEEDNGEDDNNSEEDDIGEEGHGDEDDNN >KZM83210 pep chromosome:ASM162521v1:9:29641672:29642004:-1 gene:DCAR_030779 transcript:KZM83210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTIIMGHACQREIYYPPPQGHGYNLDRVAPPPPPPLIRHVPAARALPAPQSHFHCGPPPPRVEGILPPPHLPPQQPFCPAPPPSPHFELVFQFEEWKICYVSNFCPVL >KZM81653 pep chromosome:ASM162521v1:9:4037877:4038038:1 gene:DCAR_029266 transcript:KZM81653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGFMNMVCKHRSHIGPAARLHKATKTIGPRFFYNAGSSFLWIEDSGLSDHS >KZM81787 pep chromosome:ASM162521v1:9:6001474:6007421:1 gene:DCAR_029400 transcript:KZM81787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIITWEEGSKVVEECCEKARMILDGYQPGPDPYSYAVQLRARFLRALAEGITMRVLPSLWNKIDSSLLLELTNMWARYKKMASCLAGIFHYLERGYNGNSLIQTSAHCFHDLVCAEHYQKFQAAAISLNHKDRDEESPVIRELLQNVSTFFVDMGLGKKFYYNNFEKALLADTASYYSQLASRWRMCNSFTVYVQKTSLCLTKEKARASQFLYQDSVEKLLQVAQSQMLNQVANQLLEMKKAEISNGSDPVEESTSNLSCQKKLYMISKEIGALGYYMLGVNFVNCKFALINCVKDYPVKLSNHILKLVRADSSRTSGIVQSSSNLEVRLLDNSAARNGVVARLLATANWTWQFAMQQDCSL >KZM83445 pep chromosome:ASM162521v1:9:31889483:31889665:-1 gene:DCAR_031014 transcript:KZM83445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRSTSGVNDAGGLKAMDDAGSGAFAGGSDEIPAYGGKHDLRLSHMLALIVFIWHSY >KZM82726 pep chromosome:ASM162521v1:9:23087669:23090362:1 gene:DCAR_030295 transcript:KZM82726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQKHTSLLFLAYVSGVLAALEFARSSVVASYSPNMSCIWSEKQALLRFKRGLFDEFNYLSSWVGDDCCSWRGIHCSTTSHVIELDLRNCALRGDQIINSSLLDLKYLNYLDLSFNNFNEIEIPDFFGSFKELTYLNLSSSNFQGLVPHHLGNLSTLRYLDLSNNYDHDYDYDYAYYNSLRIDSMRWVSGLSFLEHLDLSTVDLSEASTDPFSTKKIFPNSISVLKLAGCQLDNSIFSSVSCKNLTSLVSLDLAYNHFNHSFPLWVVNNSGLVQLNIGSNNFRGPIPKSLESLTALSKLDISDNNFQGYIPQSLVKLSKLAHLYIDSNQFIGSLSESYCHLSNLEILSVSENQLSGNIPKCIGELSNLSELRLHDNYWDGFVSEHHLMNLTRLKWLTISSKSNLVLNISSEWEPPFQLERIAMMSLKVGPCIPLWLQRQREFTGLELRNTSISIIPTDWLVSLVSHAYFVDLSDNDINGEQLLFISTHSNHLHTLSLSNNYLSGGFPLFICNLTSLMILVLSNNNLSGELPKCLGNLSELSELDVMDNNFSGDIPVSLGSLGNLTYLNLHNNKFQGKLPLSFHSLANLVVLDAGKNHLSDILPQWTREQLPFLKYLILRSNSFHGKIPTQLCHRSSIQVLNLAENQITGSIPPCFGNFSSMITGGSTELNKGLENDIGEMIRYNVKGSDLQYTSNLKFLFSINLSNNNISGEIPEELMELHGLTNLNLTGNRLTGRIPDKIGELRKLDSLDLSRNELNGPIPQSLSELNSLSSLNVSFNDLSGRIPTGRQLQTFNDLSIYAGNDQLCGQAILKPCAGDTESRNPQNDSEVETDLCSDDERLWFYAGAGPGILVGFLIFCGSLHFFESWRYSFFHYVEHVFDKIAVTSALWRRKFKN >KZM81893 pep chromosome:ASM162521v1:9:7967129:7969138:1 gene:DCAR_029506 transcript:KZM81893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHYFKDKSNRSQRSEPILKNTSSLNNSSSVSAAERVIKSSGSTSSPRGIPEMYEEKAVNLRVFTYSELKQATNDFSRLLKIGEGGFGSVYKGTIKPVAGKGEGIEVAIKQLNRDGFQIVLGAAQGLAYLHEELEVQVIFRDFKASNVLLDEDFMPKLSDFGLAREGPTGEHTHVSTESDVWSFGVVLYEMLTGRRSLERNRPKSEQQLLEWIKRYPADSKKFGMIMDPRLETKYSLTAAQKIAKLADSCLVKSAKNRPLMSQVVESLKQIIQVSGEGSPFSKKIEFTDCEEVELADKQKNQGVSDSAKRRIAHLAKLSEHVGGISKRGFMLMQRAKVT >KZM81981 pep chromosome:ASM162521v1:9:9527455:9529229:1 gene:DCAR_029594 transcript:KZM81981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPWGRLEGKVVMVTGASSGFGRELCFDLANAGCKVIAAARRMDRLKSLCEEINNCGDASDQQYRAAAAVELDVAGDSEAIKLAVEKAWNCFGHIDALVLEYVGLRMVEAGQEGCIINISSVAGLNRTFARSTLAYSSSKSGLNSVTQVMALELGKHKIRVNSISPDIFESEITESLMKMKWLKNVTARTVPLKSFLASDPALTSLVRYLIHDSSKYVTGNVFVVDAGATLPALSIFSSL >KZM82997 pep chromosome:ASM162521v1:9:27468733:27469191:-1 gene:DCAR_030566 transcript:KZM82997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSYVGFRSKPSRNVQTSAEPAPESSCRWAIMPEELLREVLMKVEASESEWPMRRSGVACAGECRSWRNVLIKEIVRLPEVSGVLTFPISVKQAYYLFMEREPKPGIRDNRAEYKLFSFWKINCRLSK >KZM82952 pep chromosome:ASM162521v1:9:26275097:26275456:1 gene:DCAR_030521 transcript:KZM82952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEDRRASAPYGILFVIVVALLVIIPSILGEQGEALAEILPELLSPLGLLLLPIILLLTIQFLSSGSGACVSSIPGGPESIHRASGSPVGVACFLVLVLLLLYSRISIFGGGGDDSDE >KZM83297 pep chromosome:ASM162521v1:9:30563260:30564704:1 gene:DCAR_030866 transcript:KZM83297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEVDEDSLALTFIVETDKLGCRNIVELYLDGKNIAVNSSNIDNYVSRLVQYHFVDSVKDQVAQFAQGSDDIISSGRLRKSFFQFLELEDFDKLLYGSEKALIVEDWKSYTDYNGYEETDPQISWFWEVYCRKYVSRAEKSSPILLDVTEEFTCRRFQWLKLPAIHLQAERELQSPSNFSDLLLSVVFSSLPIVESHANRLSLITQDHVGCSFGTS >KZM82527 pep chromosome:ASM162521v1:9:20691362:20692153:-1 gene:DCAR_030096 transcript:KZM82527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTPHDSSFSFSRRYFHWKKKVEDDDDMELEDILSYNSSSRSNEEEFKDKELDSSSVQSLNPPVKAPKKKKLSVVTISKLRSAFAFGGKSKSRTSGSGLGSKVICTLFGYRRGHVHVAFQDDSKLAPAFLVELATPTSILVREMASGLVRIALECDKKSVKKGLKLLDEPLWRTYCNGKKCGYAMKRQCGPDEWKVLNAVGPITMGAGVLPGSENGSGSEGELMYMRAKFERVVGSRDSEAFYMMNPDSNGGPELSIYLLRV >KZM81355 pep chromosome:ASM162521v1:9:278643:281554:-1 gene:DCAR_028968 transcript:KZM81355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAAKDRTITNGSSREIVQRNVRNSPSWSFRWDNRRRVAGEETSLTWSSDGISRNDGLDFKSRTTVGSAYASEEGSPINSSQNLMWQKSPVAEGNRGTLTIPPSDPPVSGNSMEVKDSRASPTISDLSTTKMSPSMRSVSSFSASPLSSKSHVLPLSSTPSRWPRRSPGHQLLRQVSDSRIRRLKSPSFSISEEGSPFVLPGWSYESIGMSQGGSSDNWAIPEFTELMPTSHRERWSFDSDSMGMIRHKLSRSSGRSFSSPPTEVKTCGLCAKLITQRSSWGSQKIMANNELAVHAVLICGHVYHAECLEKMTPEVSKYDPACPVCTLGEKQAFKLSEKAMRAEMDLMTRISKKLRSRVVGSDLSSESVVFDRQRSSGLESRGYKLSTSSSMKSSFAKPFFKRRFSFGSKGSKSPSENHSTRKSGLFWSKSSKK >KZM82438 pep chromosome:ASM162521v1:9:19156913:19162584:-1 gene:DCAR_030007 transcript:KZM82438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVHSFLAPPAIFLPQKSSRKFTSHFPIIPTQIFPRNSLFSCSVSPFHFYTLSARFPSTNLFATPEAEVSTETGEWEMQGFYSLRKEVENASQRVEEIRASAGLHQLEADLANLEKAATSTSLWDDRSKAQETLMALTDVKDKIKLLNEFKSQVEDAETIVKLTEEMDSTDTAFLEEASNIIKELNKALDKFELTQLLSGPYDKEGAVISITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSMGEEAGIKSATIELEGRYAYGYMSGEKGTHRIVRQSPFNSKGLRQTSFSGVEVMPLLPEESLDVEIPEEDLEITFTRAGGKGGQNVNKVETAVRITHIPTGVSLKCTEERSQAANKIKALSRLKAKLLIIAEEQRASEIKQIKGDAVKADFGQQIRNYVFHPYKLVKDVRTGHETSDITSVMDGELDPFIKSYLRYKYTESMSN >KZM83364 pep chromosome:ASM162521v1:9:31159146:31159379:1 gene:DCAR_030933 transcript:KZM83364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTGKGNKRKSWNKDGTLQPTTSTPLQPLLGRAKPPVFRKVKPRLSTMDTTVNQALFAVEDHEADTHDNSLSHQVG >KZM82935 pep chromosome:ASM162521v1:9:26005099:26007163:1 gene:DCAR_030504 transcript:KZM82935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKKATVIGLSAVVLVGVVVAVAVIEYKHSAHHGSASGSTATNDVSTSKKAIMTICQPTDYKDACIKSLSSSNSTDPKELIMTGFKAAMSDIADVIGKSKTLQDAAKDSRTKRAYELCSELLKTSADDLGRSVQKLAKFEATKMDGYVADLKTWLSGAIDYQEACIDAFENTTGDAGEKMKSLLKTSSEVTSNGLAMVNELTSMLSSLHIPNVQRRLLVKKDRSEVDPDYVWLRSINKRRLFYDDYGPRLEQPPSEDTGARKLLSTDSLPEWMNAHQRRLLAAKVKPNVVVALDGSGQFKSINEALKKVPAKNVVPFVILVKAGVYREYVDVPRRVDNVVMIGEGASKTKITGNKNFIDGVGTFKTATFAVNGDGFMAKDISFENSAGAAKHQAVALRVSGDRAIFYRCQMDGYQDTLYTHTYRQFYRDCTITGTIDFIFGDAAAVFQNCKMIVRKPLDNQGCMVTAQGRKDHRSTGGLILQNCSITAEPAFLAAQPPIKAYLGRPWKEFSRTIIMQSYIDKNIVPEGWSPWTGTFGMDTCYYVEYQNRGPGSDTSKRVSWKGIQKTVSQQDILEFTAGRFFLGDAWIPVAGIPYDSGMTRL >KZM83514 pep chromosome:ASM162521v1:9:32575437:32580113:-1 gene:DCAR_031083 transcript:KZM83514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLFHAYSATLLLRSSRFVYKSSTCWFSTISFKRRHEYDALKSVNLDFLLTRFGVRCYATRRVKGSKSKSVSEKVELKSKVAMVKEEFFVVRKGDLVGVYKNFSDCQAQVGSSICDPPVSVYKGQAMPKDAETYLLSCGLKNALYSIRAADLTEGLFGTLVACPFLEPSQSRGETPSDVLPRKRLQELLGPEISINLIICSLHLQKESGSLSLSNSSRKHPKLEQHLKTDVLFSGPSCILEFDGASKGNPGQAGAGVVLRADDGTVICRLREGLGIATNNVAEYRAMILGLRFALEKGFTSIRVVGDSKLVCMQVQGLWKVKNQGISRWFEEAKKLKDKFLSFEITHVLRDLNSEADAQANLAVSLAGEAFLSALLTYKEPLLIADGQVQEDPGE >KZM83058 pep chromosome:ASM162521v1:9:28412748:28413365:1 gene:DCAR_030627 transcript:KZM83058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATQASLFTPALTTPNSSWKNSTLISFTKPLKSAVSPSRTAIRAEGQTAEPAVEPKKAPAGFTPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWESPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPSGEVQYLHPKDGVYPEKVNPGRLGANQNMRNIGKNVSPIDVKFTGKQVYDI >KZM81379 pep chromosome:ASM162521v1:9:549710:550171:-1 gene:DCAR_028992 transcript:KZM81379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKHKLAETLAPAVDTPTKLSDPPPEHTPPSRRRGGAQKRKHQSLSNLSGHQTTSSKRQAREKPPVAPLYQIHNGPLTRARLQPYFEAPEVKSDVDLANARILESRAKKAEEELAAAREDYEALEAKIEAECKKLMSRNVGDHVVPVHCGEL >KZM83371 pep chromosome:ASM162521v1:9:31231414:31233121:1 gene:DCAR_030940 transcript:KZM83371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESENHSDEEVVNSWGLRQSLVLFWVPIWDQNHYDFTVDTNRSRFFGCDKEDQRALKLYWAHFDNFPIMIERVFLSRSLEMSPNIKYYSEEEYPQRDFALSCGIRASFCFSIGDGVMVIVSTRNQDIVTLDTFCKSLEDWSGLRCNFRDRQLLQQYANPVLDRLLEAVRQRFHLPLTQYWTYSYYLLRQFSQFSDSDSEKNGSHGAFFCKNISALSITEYPLAHYARNWGSIACFTIYISIMFKDEDDDEKEKERVYVLEFFLPSQETDNDYPHNWLNSIWTTVRESLLNTKLAPREREKLGPVLSFEVINSSIQTEPTAFEIGHPQSSLPHYEGSEFTRTSKWFEKCPDESSNSNSYEEAAVGETSVRTLNEASHQLRRSEEYFKTKRKTLTAERDNLIEVSPGDDEDVEPMTSASKRLKKSKMLVTHSSRDYNMMTVKFIRA >KZM83534 pep chromosome:ASM162521v1:9:32748530:32751005:-1 gene:DCAR_031103 transcript:KZM83534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSREKVLANGAARKLDDSSAKINPFTPKAYLIRYWKKCVTSKSEFPSFLLDKASPLTATESASFVKLADQNTLSSHLSSFCSSAKLFCFPDVSSSLEKHRENANFTSYVGKNFTNYGSVGNADSFKNYSDGENLPINTFRRYGRDSVGHDTNFANYAPDTNVADQRFHTYAAGSTGGATGFDNYNKETNVPNLNFNSYSAQSNGQSQTFSSYTESANSGVESFASYGRDGNGQPNNFISYGEDSNTIGSSFSGYGQNANAGQNNFTSYGGNGNVPENNFRSYGDAGNAGAESFANYRDQANVGDDTFRSYGKHSNAANASFANYGNSSNVGSDSFTGYSKKGSFSDIEFKGYGLNNTFKDYANKSAASFSMYKTNNSLEGAVNKWVEPGKFFREEMLKSGNVMPMPDIRDKMPKRAFLPRVLSSRLPFMSAKVDELKTIFHASENSSMAAILTDTLSECERAPSRGETKKCVNSVEDMLDFANSVLGQNVVVRTTKNTNGSKEDILIGEVKGINGGRITKAVSCHQSLFPYLVYYCHSVPKVRVYEADILDSKSKAKINHGIAICHVDTSDWSASHGAFVALGSGPGKIEVCHWIFENDMNWTVSD >KZM81682 pep chromosome:ASM162521v1:9:4428573:4429676:1 gene:DCAR_029295 transcript:KZM81682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFLFVESNEAAEGLIDRSHFKWLKGAKLIVRRSMRLSSERGEPSHLAKLIPSIRDRRNPPMNNLGTPKPRQYSEGQPDFSKEQGCPKTKNDKKEDELVTHPGFRTMTQKVDEAFKPTLKNCVIGETNEVTWAGIIQEKLIVKGYDFITVSGISHKIFLLEMDSEETIRLNEELGEWVSKFKQNAEKTVKSCHRSPCSKSNLAGQFSTNLNKDWMYNEQDNDPVKFIPRYSLDESRDQVGSLEKSDRGLTRIKTQSRAKNL >KZM81654 pep chromosome:ASM162521v1:9:4045597:4047276:-1 gene:DCAR_029267 transcript:KZM81654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAEATEQGNCSAFKGSDKPHCCEKKPVIIDLLPGALHTVQFQNCCKGGVLTSLTQVPPKHISSFQMIVGGPSSYNSSLPGIPDKFTLGLPGYTCGPPREVEPTTFYEDDGRRKTQAIATWNVSCSYSQFLASPTPKCCVSLSAFYNSTIVPCPTCSCGCTGQPGINCVKPGESPPVLELPTDEVPQPVVKCTRHMCPVTIHWHVKESYKEYWRVKMTIINQNFAQNYSHWNMVVLHPNLRSVTQVFSFDYIPLNQYGSINDSGVFYGVSLYNDMLLQCGPQGNVQSEMLLHKDPDMFTFRQGWTFPRKVSFNGDECVMPQPDGYPSLPNGAQPSFPATLLIIMLLSLLFSLLAL >KZM82490 pep chromosome:ASM162521v1:9:20046840:20048208:1 gene:DCAR_030059 transcript:KZM82490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSYNGSESMKSVGDVPSLSDRVLRLLHEIRDDISEQNAVRNEVWVTFPRQEQAISYQKEHSNVFIFSYQDHVNGQRRFVVSSYKEFWRRYKNMNPKYRHHYEVIQEGLPCHLYFDLEFNKIENSNSNGEEMVDILLSLVFDSINEKYSIEGDKECVVELDSSTEEKFSRHKIICFPNTAFKNNRHAGAFVGEV >KZM83272 pep chromosome:ASM162521v1:9:30320809:30322389:-1 gene:DCAR_030841 transcript:KZM83272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEPAPDFQQSAKDPLVDLNLTDSSELWLIQWPLNQAPDFDGKEVTLKLHHDGHLGSFEGSSGKAYDVVSFASQNSEATVFSSSASKTKIVGKIARRVSFVHYPEPEELEEQNPKDLKQMYEKSSSLTKSSVRFATPSQSSRSKHLQSGSSGLASSHSSGRRSHLSASGGSSKASKRKTVDEPSKSEQHSEERKSKKKK >KZM82483 pep chromosome:ASM162521v1:9:19983098:19984573:1 gene:DCAR_030052 transcript:KZM82483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQILERYERYSYAERQLIANDPESTGNWTLEYTKLKARIDLLQRNHRHYMGEDLDSLTLKEIQNLEQQLDTALKHIRSRKNQLIFESISDLQKKEKAIQEENGKLTKKIKEREKTMVQQAQWEKQNPSPNLSTFLMPQENPFLNIGGTFQGESSQGMTRNDLDLTLEPNHYPCNLRCFA >KZM82587 pep chromosome:ASM162521v1:9:21579898:21580173:-1 gene:DCAR_030156 transcript:KZM82587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWIKEEEVVGGERADFVAVEAGEVELMEWEEWCSSSNVWMVGDEQMSWGTSWWPCWDIEFMGEAYDDLYSDVVWDDDIWDLKGIKEVPN >KZM82663 pep chromosome:ASM162521v1:9:22318303:22320574:-1 gene:DCAR_030232 transcript:KZM82663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEVAVGIDLGTTYSCVGIWQNDRVEIIANDQGNRTTPSVVAFTDIERFIGDAARNQSALNPVNTVFEYLGKKVKNAVVTVPAHFNDSQRQATKDAATIAGLNVLRLLVEPTAAAVAYGLDKNLTSGMEGEKTVLIFDLGGGTFDVSLLKIKKDNIEVIATAGHTHLGGEDFDNRLLDHFVKEFKRKYGKDISQNAKSFRRLRNECEKAKRILSHNATTSIDIDSLYEGIDYSTKITRARFEELNLDLFKSCLDTQFFDGKELCKNINPDEAVAYGAAVQAATLSGEGHEKIKNLVLLDVTPLSLGIAAQGILMAVIIPRNKTIPTSMEKLCCTSRDDQKSVKIRVYEGERTRTEDNNLLGEFELYGLPPGPRGKVKILVTFTIDANGVLSVSAENEDTGVRNSIKIKKSGTLTAAEIERMVRDAEQFKVEDEEFKRKIKAMQAFENYVYNMRDNTEGNYSLKASVKKMISYSIKEAMEWLDANRDAEEYVYEYKKLQFEAMCNQLIPGMGGLSIDEIE >KZM81863 pep chromosome:ASM162521v1:9:7068307:7073587:-1 gene:DCAR_029476 transcript:KZM81863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDQIKKHNTNVLPDEIFYETDEDGYTVLEVAVELNQMPVVTLLLDLQHPAASKRKDGAFISLMPVIYKAQEKDYKKIVDLLNQRYDDGSKLSKDFKDQVSLISAIKSGKTDTVVSLLSDAGRGAQRLVTFVDKLGWTALHHAVYHESIPIIKHIAEAQKGIKPKSGYKDKLKPSQTSPYQTLTNAPSQDAPLLELDTLRISASFASAPPAENTCFPFKTGAFLPKAPVLHIHSRNLQCHIDPALLAVNSPRYQHLSLASDICSALLTLH >KZM81624 pep chromosome:ASM162521v1:9:3622624:3624171:-1 gene:DCAR_029237 transcript:KZM81624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWFIIAITLSLALLLKPLLSLLTASGPHLPPGPTSYPILGNLLWLRHSLSDIEQILAQLKLKYGPIIRLTIGSRTAIFINSHSLAHTSLVENGAVFSDRPKAPPITKFLSSDQHTITSAGYGATWRLFRRNLSSEILHPSRVKAFSHARDWVLNLLVRRFDKSSDKGGVKVVGEFQFAMFGLLVLMCFGDKLEEKEIKEIERVQRGLLLSLGRFRVLDIWPVLGKVLFYRRWLELKQLRRNQEGVLIPLIKSRLEKLQSGFDQDEGVVSYVDTLLKLKLPEEGDRKLSFGEIVGLCGEFLNAGTDTTTTALQWIMANLVKYPEIQGKVYDEIVGVKGNCPGLGGEGKMVVVEEEDLQQMPYLKAVVLESLRAHPPAHFVLTHSVTEEVKLDGYVVPTDARINYMVAEMGRDPKVWDDPLEFKPERFLNKKGDLDAFDITGSRGIKMMPFGAGRRICPGLNLALLHLEYFVANLIWHFEWKAPDGVPVDLSEKAEFTVVMKNPLLAHISPRAKM >KZM81826 pep chromosome:ASM162521v1:9:6553220:6554488:1 gene:DCAR_029439 transcript:KZM81826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAVSSPEDQREKDRAIGPVTSAVPSFPCILKRRCIVSDPSSEVLESADLLREILVKLPPKTVFGFTLVSSHWNKTITDPELTCLLKVPRNPSAVFVRRLVLQEDHDVVKYTHIPLEGVIRGGRVRVRRSPVSLNLMEEEEFNQVSFVIEHSSNGLMICSSCDRRCYFLFNPTTKKRVRIPVLNVCWLISMHISILAPGTLKIIAVYLPRYCGRLQLLVLEPNQNSMQWRNTGAEFPVTGAELVNYWNSVYMDGVIYWPCYKSSGLMFFDVREETVHWLPEVPHPYNKFSGLAYFGECKGNLCMVPDNSMRRGTFDMLELKTDRSMWFIKYHIDLPGRVSRDENLQILVLALLPGEEEESDSYLVIHFLREVVSINLRDGTIRKLCDLGLRTTESRLLRGEPWHSVHPYKQNPVYPRLTAS >KZM81831 pep chromosome:ASM162521v1:9:6626212:6627316:-1 gene:DCAR_029444 transcript:KZM81831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPTDYRLCSPGLVRLVLFLLALCLVGYSVRRQLFWHLSGRGSCPRCDCDCSPKSTLPLPVGISDSSLSDCGKDDPEMNAEMTKDIITLLTEEISLHKSVSEDNLAHTKALIMDARKSSSQYQKEAEKCNTGMETCEEAREKAGAALSEERKLSALWENRARKNGWVD >KZM83016 pep chromosome:ASM162521v1:9:27700657:27703421:1 gene:DCAR_030585 transcript:KZM83016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTILEEQFSDQVLDEFVRVANEAADAAATVIKQFFWEPKLIQTFNKRDDDGFIVEQATSADLAAEDAITAVILQNFPDHLIFGEERGWLPEERLAEFVWVIDPIDGTTSFKNGVPLFGTLIALLHKSKPILGIIDQPILRKRWVGVQGRPTTVDGLPIQTRPCERLSQATLYASSPLHFSDEEREAFNRVTEKVQEPIYGAECFTYGLLASGFVDVIVQSFLGPYDFLAFIPIIEGAGGIITDWAGQKLQWEASPVSKGPTYYRIIAAGDDQVHQDALEYLKECSSITENE >KZM81899 pep chromosome:ASM162521v1:9:8154205:8157424:-1 gene:DCAR_029512 transcript:KZM81899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDISSLTDSRDVAVLRTLKDGWKNTPPSWENSDDPCGGNGWEGVTCYNSRVTRLTLSTMGLVGELSGAIGDLEELTSLDLSFNEGLTGSLPPQLGLLKNLSTLILAGSGFSGTIPTELGNLTQLYFLDLSSNSFTGVIPPSLGSLSKLYWLGLANNKFTGSIPVSTLAKPGMDSLKKAKHFNLNNNQLSGPIPDTLFSSEMALIHVLFDGNQFTGSIPETIGLVQTLEVLRLDRNALSGKVPSNIMNLVNVVELNLANNKLSGPLPNLTGMNSLSYLDLSNNSFDQSEAPAWLSTLSSLTTLIVEYGPFQGIVPSELFSLPQIQQIKLRNNAFNGTLDLGSSVSQQLELIDLQNNLISSFILSSEYHKLMLRGNPICANSTLGNTDFCKLQEESSKPYSTSLEQCGNISCVSGQKLDPASCECAYPYQGTLYFRGPSFRDLSNATIFHTLEMSLWVEFGLTPNSVYLDNPFFNSNDYLQVNMGLFPSNGVSFNTSDIQRFGSAFSNQTYKSPNVFGPYYFIASAYTIGL >KZM83295 pep chromosome:ASM162521v1:9:30540920:30541297:-1 gene:DCAR_030864 transcript:KZM83295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKSCLVLLALLALSLLVLPGQGYLGQWYPVKDLNDPHVQLVAKSAVEKYNAGLNKTAQYNSLDYLKTVKGKYKNVELDDGKDFLLNIVAKKNGDGSVGNYQALIYDDPFFNFTTLLQFKRLDN >KZM82086 pep chromosome:ASM162521v1:9:11132139:11136706:1 gene:DCAR_029699 transcript:KZM82086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLASVHATPLSLISISKHNPFPPVRTTSHYRRLTLSSSSLSSASPSPAESSVHVSSTSSTSTAKLPSSSKTPPDKVVSYAVSSSGGSPVARFLRSTEANIERGCVYIVDAYKVYWSSCSKGIHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNIPNDGPPETDRALKGSSLFGMFALKERPLWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGLDLLSYSVCIFLSSASLYILHNLHKGE >KZM82706 pep chromosome:ASM162521v1:9:22792275:22794659:1 gene:DCAR_030275 transcript:KZM82706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLLVYATCLTFCCGSIVGGPLNGSCIHSEKQALLLLRKSLLVVNDSWLPWDGDDCCSWDGIYCNSITGQVIELDLEMCCHEGSISQSIGNLTALTRVYLSGNSIEGIIPESIGALKSLTYLDLSSNNLGGFFPQSFRNLTSLNCLNLDYNIFTDSIPVEIGNLTKLSDLRLSKNWFSGCLPESLCQLRDLIGLVVDDNQLGGSIPKCIGKLSNLYFLDLDSNSWDGIISEHHFVNLTKLHSFRISSNSNFMVNISSRWVPPFQLHDIYMDSIKVPQFPKWLITQRTLVDITMTNTSISDTTLAIPNSVTHLDLSNNHLFGNIPALLCNNTSLRTMLLSDNKFSGALPPCLGNLTGLNDFSIMNNNLSGDIPISLGSLRFLRYLNLHNNNFQGKLPLSFQNLSSIIGLDVGKNNLSDILPRWTSKLLDLRYLILRSNNFYGEIPTDICHPSIQVLNLAKNDITGNIPLCFGNFTAIITGYNSGKEEGPSYSSLSYEDIIMDDPKGYEVTYSSTLDFLYSIDLSNNNISGEIPEELMNLHGLLSLNIAGNRLSGRIPDTIGKLDLLEFLDLSRNELDGHIPQSLSNLSFLSGLNLSFNDFSGRIPTGNQLRTLDDPSIYVGNNQLCGPSILKPCPGDTDSHDFHNNNEAEFYSDDEHVWFYAGIGPGLLVGFLGFCASLHFIPTWRYFYFHSVERFSDKIALSIALWRRRFQN >KZM81849 pep chromosome:ASM162521v1:9:6865437:6866093:1 gene:DCAR_029462 transcript:KZM81849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSQILSDSDFAVLESIRQHLLEDAVDFGSRNLSDNSVYERNFSFGSTDSGAESGGSEDYTSEWIDMLRTEETKQEVEAASAKKQPEWKRYRGVRRRPWGKFASEIRNPAKRGARIWLGTYDTPEDAALAYDKAAFKIRGSRAKVNFPNLLRPNLSEKSNANCNKSTSQSESSLSLSPSSSSENGSVKNTSTCIDSPVEDIEDFFLLTDEDLFLMDSL >KZM82219 pep chromosome:ASM162521v1:9:14002631:14002890:-1 gene:DCAR_029897 transcript:KZM82219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNLITYTYLLFENDSDEWLALQVERGKKSTVQDDMEKSDTSEYEPTDQEE >KZM82299 pep chromosome:ASM162521v1:9:16947350:16953744:1 gene:DCAR_029797 transcript:KZM82299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKIKSPQISSSDFYQSSDGVELTEVDKKVLEKDEMKDLLDRLVMIPEIHNEGFLLKLKQRLDRFTGEMMVGPVRAYFMDAISNGLDSSTSYQIVNSIRQFIHILKGTAVISLLQPAPETYELFDDIILLSDGKIVYQGPCENVLEFFEFMGFKCPERKGVADFLQEVTSRKEQEQYWMNKDKAYSFVSAKEFAEKFQSFHVGVQLSNDLANPYDKAKGHPLALRNNKYGASKKELLKSCVSREYLLMKRNSFIFIFQITQIIFACSVAMTVFLRTEMRKNTEEDGLLYLGALYYTAITLMFNGLAEVAMSTMRLPVFYKQRDLLFFPVWAYSIPTWILKLPVSLWEVLLWVFMTYYAIGYEQDFGRIIKHILVLLCLHQMASALYRCISAIGRSMIIANTLGSCTLLAVLALGGFVLSKDNIHPWWIWGYWISPLMYAQNAIAVNEFLGKSWRHVLPNSTEPLGVTVLKTRGLMPDAYWYWLGIGALVGYMFFFNFLSAAALAYFNPIGRPHAILSEKTFAERSSSEKGNDVGRNITSGAMLTTNGSIDLTSKKNHGMILPFQPLSISFNDIKYAVDVSQELKAQGFVEDRLEILKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTCGYTEGTIMISGYPKKQETFARIAGYCEQTDIHSPYVTVHESLQYSAWLRLPPEVDNSTKKMFVEEVMELVELTPLKGALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELILLSSGGEEIYVGPLGHQSSELIEYFEAINGVPKKKDGYNPATWMLDVTSKVQEETLGVKFGEVYKKSELYRRNKESIEAISIPTPDSQDLQFATQYSQSFFTQCIACLWKQHWSYWRNTNYSFVRILFALFTGLLFGTIFWNVGPVRGTKQNLFDSMGSMYAAVTFLGMQSASSVQPVVGVERPVFYRERAAGMYSAIPYAIAQVLIEVVYSFIQTFLYGIIVYAMLGFEWTAVKFLWYIFFIYFTLLYFTFYGMVTVAVTPNPNIAAVFSTFFYGLWNLFSGFIIPVTRIPVWWKWCYYLSPFSWTLYGLLGSQFGDIEEKLENGDTVAQFIKSYFDYDHDFVKYVAVIVFGLAFLFGCIFAVSIKAFNFQKR >KZM82405 pep chromosome:ASM162521v1:9:18420854:18422266:-1 gene:DCAR_029974 transcript:KZM82405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQDDGSSSVTSSPFQNSPYNLMSPGLGSPYPWLKELKSEDRGLYLIHLLLTCATHVATGSLENANLIFDQISHLASPDGDTMQRIASYFTEALANRILKVWPGLYKALHSTDISLFSEDIVARKMFFEFFPFLKVAFVITNQAIIEAMEGEKMVHIIDLNAAESMQWRALIQDLSARPEGPPHLRITGVHPVKEVLDKMACVLTEEAEKLDIPFQFNPIVSKLENLDIEKLRVKTGEALAISSALQLHTLLSPADEPHQRTHVTPMNSDGVPLDKTSQMKEGTLGDFLQKDMINGHSPGTDSESSSPQSSTAAVQLDGFLNALWGLSPKIMVVSEQDSNHNGSNLMERLSESLYFYAALFDCLESTLPRTSTERLKLEKFLFGEEIRNIIACEGRGRKERHEKLERWIQRLEFAGFGKVPLSYYGMLQARRLLQSYSCDGYRIKEENGSVVICWQDRPLFSVCAWRCKR >KZM82507 pep chromosome:ASM162521v1:9:20472304:20475080:1 gene:DCAR_030076 transcript:KZM82507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSVVQGFTKSLAMTVLSEIGDKTFFAAAMRFLCVLARSTSGSLNSDIRYSILAMRYPRKLVLVGCLSALLVMTILSVLLGWAAPNLIPRQLTHHITTLLFFGFGLWSLWDAFKEGEAEEFAEVEAELDANFKTNGSAKQNGKPSRYSAALGNKRMMV >KZM82228 pep chromosome:ASM162521v1:9:14103246:14112401:1 gene:DCAR_029888 transcript:KZM82228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNLCSLEKSTFLGRTNLLNHANKPFFSAQRLRSNKSLNTTAKLSISEIFGGRGLCNGEEGLQQELKKTISQETSPSNLKYEEESRIADIPEDGFDKELLGLTGGFPGGEKGLQEFIAKNPPPKKPSPSQKLVESNQKSLTKKSKPPVLPLLMPGMIAIVKNPNNPYYMYCGIVQRITDGMAGVLFEGGNWDRLITFKLDELERREKGPPMVRNTDIQLNGLCSCSDIITDLVLLLKLKQGKITKISRNSTYLAYFTVKATKLWLELEESSGYRIQLRRSSRLRQLSFPKTSIAEPIDLVESDSEIMEQNGNQNTENNKEDNTPVKLWKKMELKFSRKSNNIPKETAKRPAHSISNDQDTESDQCVPETIIIVHEKDIPQNVPETTTEDNQTTVGDNDGDEDIADAVTNYEVAENVAHTTTNNEEDQEALQNKKPKVTKFKRKKEIDDENEAIRKKKIITVCPLVKYTKDNIQKIEGAKHLNVRKDEVKLRVSPRILSEMIFHLKDEQRKWVHRSGFGLLLNFELEMLPAKLAYNVLQIFDHNSVSLKLKSLDIQITEDDVFDVLGLPYGGLKIQLADETKFKQREECWNAQFSTEKEREQITAQMLVQKMRKQGVSDNFKLNFLIVMSNALIGTTSSSYVDKQLLRIDDDLDHLQRYNWSEYLLHYLVIATEAWNRTASTFFRGSLVFLTLLYVDRVRHMGIKLVERTLPSYIGWTHDELKERQRMEVIDGIFGVGSLVPPIREILKETDCCKADQTKNEYEDDWDDPEVWKQMDEVVKIHKEKKNSKTTQQRDDMAEDNTDEEPPTEDVIEKLLTRAQDLVASKLEFDDDLKKALEMYPDNDSLHFIVEVMDEHFHQRKTSDVEDDEQLWAEDPFFNDQQDDAIIQDDQHDQIIPEKDDQIIQDENLESNQDTDIAKSSTKLPVAKNNQDIIQDHQLRRLRIKYNTAILSSGLNAFQRGIVDEAAKLAEKAATYKDFKVAAFEKNPTIIQDHQLRRLRIKYNTAILSSGLNAFQKGIVDEAAKLAEKAATYKDFKVAAFEKNPTVPKSILKNTSTSAKKKVIFATNLNTIFEAAAEEQGTQEEQHNDN >KZM82945 pep chromosome:ASM162521v1:9:26119122:26119886:1 gene:DCAR_030514 transcript:KZM82945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCTKHTYQKNSPAGGICVFCLQEKLGKLAVSSSFPLSNTTVNFNSSSSSSPSPPPFTSSKKSRIPFLSSKKKNDPPSSSAPYTSKNIIFNRSKSSVMPRAFGPNFTETSETDGLKTPQKSRFWKLAHFSQRQKHKQLVCLKKNDFRAIIENESPLNCKITRSRSVGCGSLSFSGDFFGDCVLRRVESQREVKPRERVNKWGGLFSGFGIGTRPEVQLGQKRSRSWSWSWALPSPIMGFRPSYSRKRHSLKKEW >KZM83422 pep chromosome:ASM162521v1:9:31654777:31658516:-1 gene:DCAR_030991 transcript:KZM83422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEDSKTTKKCKPQTRSFRAKNCNPHNYQYHYHHQLYHHHHSNTTRFNGFYYQNQYQNYPALLPLPQTIPFQIAPPPLLSHYQHFSTKPHLQKPLFSNATPPLATSSENKASVLALTNAPAALDQQSLLPPRRKKDGRRVAIVLPQTLVVARRPDCGGVEGPVISLLANHFLVKFDPLQRIFQYDVEISPSPSKDVARLLKQKLVEDNLNLLSGARPAYDGRKNLYSPLEFQANKLEFYIGLPITTGKLGGENGDIKQKNKLFRINIKLVSNLDGKALTKYLSKEGDDWVPIPQDYLHALDVVLRESSTEKCIPVGRSLYSNSMGGTTDLGGGAIGLRGFFQSLRPTQQGLALNLDFSVTAFHESIGVIPYLQKRLESLSDLSQRKTRGLTEEEKKDVEKDLRNIRIFVCHRETVQRYRVFGLTKDTTENLWFPDRDGKILRVVNYFKDQYNYDIQFRNLPCLQISRSKPCYLPMELCMVCEGQKFLGKLSDDQTAKLLKMSCQRPKERKAIIDEVMTGPVGPTSGNQAKEFDLQVSTEMTRLSGRILQPPKLKLGDGGHVRDLTPTRRDRHWNLMDSHVFEGTRIGRWALISFGGTADQKANIPKFINQLTQRCEQLGIFLNKNTVISPQFEPMHVLNNVNILESKLKSIHRAASNSLQLLICVMEKKHRGYGDLKRIAETSVGVVSQCCLYSNLAKLSSQFLANLALKINAKVGGCTVALFNSLPSQIPQLFSLDEPVIFMGADVTHPHPLDDSSPSIAAVVGSVNWPAANKYVSRMRSQTHRQEIIHDLAAMVGEILDDFRCRLSELPKRIIFFRDGVSETQFYKVLEEELQAIKLACSRFPNYKPPITFAVVQKRHHTRLFPNDTIPSTRNQSIDENVPPGTVVDTVITHPKEFDFYLCSHWGVKGTSRPTHYHVLWDENRFTSDEFQKLVYNLCYTFVRCTKPVSLVPPAYYAHLAAYRGRLYLERFDSTISNRHSTTISRAAPPKAAPLPNLSENVKNLMFYC >KZM83280 pep chromosome:ASM162521v1:9:30364310:30364594:-1 gene:DCAR_030849 transcript:KZM83280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMGIDEHSRALAWGPEGPLLEDFWDNMRRYGLYALTVSTGVLYAVFEPIVQLLKNPISAILIIVILGGGVFIVSQVVSAMIGVNEFSYEYSY >KZM82214 pep chromosome:ASM162521v1:9:13797602:13805320:1 gene:DCAR_029783 transcript:KZM82214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTIGSVKNRKSGANARHSSFAPMSSYSSSTVQNTNLCHCGLVPVLKTSWIDNNPRNERKGCGYYRWHDPPVEGRSKGIIPGLLRKLSCRSLGDYQVLKTSWIDNNPRNERKGCGYYRWHDPPVEGRSKGIIPGLLRKLSCRSLGDYQVLKTSWIDNNPRNERKGRGYYRWHDPPVEGRSKGIIPGLLRKLSCRSLGDYQDLD >KZM82035 pep chromosome:ASM162521v1:9:10234295:10240143:1 gene:DCAR_029648 transcript:KZM82035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSQKSFIILQAQCRYTLFPFGLCAHFIMPWPSLINLPFQTRVIPRYQLQTTTCQNNVTEIAACREGWEKSPRAFWAFRRLGHLQVDKSPPKLDSRVPPALSRHALIAAQNAPATTRAVVSVENVNLVDTVSGLAKIAYPKCDSVAKGMYMKSRYPLYNIERNSEFTPRLVYKTNPVIEGGFISIILLPIVGNAAEHTGSVIFALENKDISLGVSLGSATQISAFVIPLCDIVRWIMGVPMNLDFGRLQSASLAFAIVLTSLTDGTQHYLIGVVLCLAYVVLAACFNVSHETSLGSNHDVQEGDISNHDVQEESQRRGYRYALDAYLHQHIVNLHNHGDGYLFSGMTSDPDVNTAIGSGISQTSNYNADIQGSGIEYNKMLNLLKT >KZM82844 pep chromosome:ASM162521v1:9:25181771:25184307:1 gene:DCAR_030413 transcript:KZM82844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLVLACALAFLSTSYLGCQGTDLSEDSRNKTCIAGEKQVLLDLKKILIDQDKWLESWVGDYCCSWQGIGCDKDGHVTELDVGDCSSKGSIPKTIGNLTFLTTLTLSQNNFQGLIPKSIGDLKSLVTLELSFNNLSGPLPESFCRLSKLEKLDVGDNQLSGTIPKCIGQLSTLKWLDLFQNSWEGVLSEQQLVNLTSLTFLSISSKSKLVYHVSSEWIPPFQLQGFTIENMRVGPEFPPWLLTQEELLLLKMSNSSLVGTIPADWFGNLLSHAVHVDLSYNDISMARPSFISAPNNLSTLRLSNNRLSDEFPAYICNFSSLTALLLSDNNFTGELPRCLGNLTQLEELDVMDNHLSGHVPDVFGSLERLSYLNFYNNSFEGKLPLSFQNLTQLLIFDVGNNNFRDALPTWTSQQIPYLTYIGLRANHFYGTIPIQLCNFSSIQIINLARNHITGNIPQCFNNLTAMTTNETGIRYYFGDTLVGGRIPTGNQLQTLDNLSSIYAGNNQLCGRPILKLCSGDAKPHEGHDYEKDNSDNDSDSDEHVWFYAGIGPGLLVGFLGFCSSLHYMKSWRHSYFNFVEMVLDKIAVFIALSWKKFYK >KZM83264 pep chromosome:ASM162521v1:9:30267285:30283023:-1 gene:DCAR_030833 transcript:KZM83264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQICIATKSILICIWIDVQQGATALSACDQSPSKSVLLSMQPFMDAVVKPELLKHQDGDVKLLVATCICEITRITAPEAPYGDDVLKDIFHLIVSTFSGLSDTSEPSFGRRAVILKTLADFRSCVVMLDLECNDLINKMFTTILAGASDGHAESVLNSMQTIMVVLLEESEEIEEDLLLVLLSVLGRNKKDITTAGRRLAMNVIEQCSGKLEPGIRQFLISSISDDNRSLNCQIDYHEVIYDIYQCAPQVLSEVVPYIRGELLTDSIDIRLKAVQLVGDLLSLPKSAIPEIFQPIFSEFLKRLTDRAVEVQMAVLEKVKRCLLSNPSKAEAPQIISALSDRLLDNDKNVCKEVVAVLCDVACCSLNSVSVETIKLVAERLTDKSLLVKKYTMERLAEIYSKSCLECSNRESDDEYDWIPGKILRSDAVEPILCSSLFPNEFSVKDKVRKWVRVFPRFDRIEIKALEKILEQKQRLQQEMLRYLSLRQMHQETAVPEFQKKAMTCFRIISRSFIDPGKAEENFLILDELKDADVWKNITTLLDPSTTCLQACCSRDDVLKILGEKHRLYEFLRALSMKCSYILFNKEHVKEILQEADMQKSAGSAEFTLSCMNILVILACSIPLVLSGIEEDFVHLLEDDNEIIKEGVLHVLAKAGGTIRDQLRISSSSLDLILERICLEGSRRQAKYAVHALAAVTKDEGLMSLSVLYKRLLDMLKEKKNLPAVLQSLGCIAEIAMPVFETRESEIETFIKREILQQKHIMEEKAPESWDERSEICSLKIFACKTLVKSYLPVRDAQLRHGIDDLLTILKSVLSFGEFSKDIESSSVDKAHMKLAGAKSILRLSRYWDQKIPVDLFYSTLRISEVDFPQVEKLFLRKVHQYIKDRLLDPKYACAFLSNIEPEKPDFEEEKHNLYDIIQTCHQVKARDSVSDAASSVAKPEFILPYLVHAIAHHSSCPSIDECKDVKSFEPVYRRLYMFLSMLVHANENVNSEDNIAKEESISTIISIFQSIKLSEDSVDTTKSKNSHGICDLGLSIIKRLAKRDIQQDLSGSTSLPSVLYKKHESDEGEPLVNKGRTWLADDDVVSHFESLNLEANETVLATIAEDEDIKDSDTDENEVPLGKMIKRLRAKSMNAKKISKDKPSRPLSNTKNESSPPSSNMKNDIDIMGMVREMDLDTEKLEPSGHEHMPEKFDDQLHKQSKRKTGGLANVPVPKRQRSSSAQAHHTQSLPRGSSKRVASFSQVGKSTLEFIRMDDKLHSDLEEKNSQENPKVEESDSSASSLQKRSNLSKPKGRGSAKVHNGTHKLEESTDRDLDTPTKLKDTDGRIAGTDRESGSVKKRKRRSIAGLEKCTSKEGEHTTADLVDCRVKIWWPMDKQFYEGVVKSYDQDNDKHVIKYDDGDIEVLCLATERWELVAKGRKTTKRVDISKGRPAKGLSSTVKRKKSSGGSRERKLPIKISSSSKVRGKRTPRKNLRHSQKRVSKSISADFGEDSRHSPGGLDNEPVMTLRGEESETVSKEHQVDAENSGDTKKSKEDPDSEAKDNYASGSHENHAEETQEAAVSREGGTEIATGMQESNSQGIQKDDADTCQMGSDESAKIHSATNASEEAENSDNEPLGAWKSRVGKSVAEK >KZM82885 pep chromosome:ASM162521v1:9:25610815:25612747:1 gene:DCAR_030454 transcript:KZM82885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAQNFDLVVAQDGSGSFTQISEAVAAAPINSLRKFYIKINAGTYNERVQVGYDKKNLAFIGDGMDSTIITFGRSTEAGYILTDTATADILGDGFIAMGITFINSAGSSNEQAVALRSTSHFSAFYQCRFEGFQDTLFVGNGTQFYRSCEIYGSVDFIFGDAAVVFQNCDIYVREPPPGKACYVTAQARDSKKKNTGTVLHNCTITTDNNNRPVFGTKVYLGRPWRSYSTVVVMQSYLDDIIDPQGWLPWENTDTGLDTLYYGEYQNRGPGAVTNQRVTWKGFRVMDFSDAKKFTVRNFLQGEEWLPLINIPFSPDLSQWRSQDTSIYYSSEGAQIHWIGYFLAVVIIIVVYG >KZM83230 pep chromosome:ASM162521v1:9:29800475:29802474:-1 gene:DCAR_030799 transcript:KZM83230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLILQGSRRNLTRRGACPTLWGPVNKKVWTPPPCSSTSSSCSSCCCMHNHPGFYPYNVINGDGVRAQEKLKALRGGPVIYQGAQEDDWIISLAKFGVETRNENEINLNGDLKFVRVVGASHTGLSSMLYHITLEATDGRRPRICHAVVWLQPLKNSMELLVWRRVHDALSAIGVKCGDSKLHEAVMHFMNRRKESSFPKPMS >KZM82336 pep chromosome:ASM162521v1:9:17426116:17427159:1 gene:DCAR_029905 transcript:KZM82336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVMINENEVDIVIGALNPDLTYFMEEWRPVFSRFHLIIVKDPDLKEELKIPEGFNVHVYTKADIDKIAGSTSTSLLFSGYSCRYFGYLVSKRKFIVSIDDDCVPAKDDMGNLIDAVSQHVQNLETPATPFFFNTLYDPYRKGADFVRGYPFSLRSGVTCALSCGLWLNLADYDAPTQALKPTERNSRYVDAVLTVPVKAMMPVSGINIGFNRELVGPALLPALKLEQEGKVRWETVEDLWCGMCVKVVSDHMGIGVKTGLPYVWRNERGDAVASLKKEWEGVKLMEDIVPFFQSLRFSEAAVTAEECVIELAAAVKESLGPSNPVFERAAEAMVQWVKLWKVVKT >KZM81629 pep chromosome:ASM162521v1:9:3670760:3672372:1 gene:DCAR_029242 transcript:KZM81629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGILNLFLAASMPVLKVLLVTAVGSFLALDRIKILTEDALKHLNTVLFSKARVIGLGPKFMSIYIQKLASGFPGDGKDFDISLSFEDENLLESLNKKRPGVHPGDVLEARHNKVLARINQEPNTAETTNDMRCYSKRFLPEQEKEDLNG >KZM82714 pep chromosome:ASM162521v1:9:22894141:22899426:1 gene:DCAR_030283 transcript:KZM82714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTIHVSGFLYLVPAEDLKAHLEKYTGKDTVYAVEVKASKKQGNAPYARVQFITSQSAEYFIALSARQRIYYGSRYLRAYASDIDIIQKPEVRTFVDRMEDVSLHFGCQISEKKYSVFWKKTDVKVKFGSGLHKFYFYLSHESVDYMLQLSSENIWKIELRHPRGQIKKFILIQLSGAPRIFEKLKDSILNYFKETPEDFWVRATDFTPSLALGQSSALCLEIPHGRDTPDFGVSVIYQQNDGQFELESGSTFSNNLDLVPMPTLPRSIQLPYNIYFKICSLVQNGYIPGPAIDGRFYHLMGINEVFTKHTLEKLANKKECCYDPVKWFTEQYIKYSTSRRQWAAPSITLDTGLVHVHRIQITPSRVFCCGPEINVSNRVLRKFSNDIENFLRVSFVDEEWNKLFSTDLYARKRNTGIYKRILSVLQNGIVIGTKKFDFLAFSSSQLRDNSAWLFASTENLSANDIRKWMGDFHEIKNVAKYAARLGQSFSSSTETLTVPKDEIEILPDVENGTKYVFSDGIGKISADFAKKVAVKCGFKDSTPSAFQIRYGGYKGVVAIDPTSSWKLSLRKSMCKYASSNIGLDVLACSKYQPCYLNRQVISLLSTLGVKDNVFEKIQREAVDQLDMILEHPLRAQEALDLMYPGENARVLKEMLKCGYMPKAEPFLLMMLQTFRASKLLDLRTKSRIFIRDGRSMMGCLDETRSLEYGQVFVQYSGYGRRAFYDDTFMMHYDSGHKSIYEGQVLVAKNPCLHPGDIRVLKAVNVPALHHMVDCVVFPQKGSRPHPNECSGSDLDGDIYFVCWDRDLIPPTLRQPMDYTSAASIQLDHEVTIEEVQEYFADYIVNDSLGIIANAHTVFADREPLKAMSKPCLELAKLFSVAVDFPKTGVAAELPSQLRVKEYPDFMEKPDKATYISERVLGKLFRDVKKIAPDIIKSFTKEVAKQSYDYDMQVDGFRDYLDEAFEYKSAYDYELGNLMDYYGIKTEAEILSGNIMKMSKSFDRRKDAEAISLAVKSLRKDARTWFKKNYGPSDGENDSLYAKASAWYHVTYHPDYWGVYNEGMDRPHFLSFPWCVYDKLIHIKKEKMSKTASVTAMLQRFNLR >KZM83439 pep chromosome:ASM162521v1:9:31846140:31847760:1 gene:DCAR_031008 transcript:KZM83439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSAAHVFPIYATNADTTSATYSCSSSKPPLCSPSSMRMIFSDMDALSINTPKSSFEYENGRTSHFSDLLGKKTYTSRNKFEDSHIHITDLHVGSDQGRVVLHESSSSDGTNIDLNDSCGQSKLCSRGHWRPAEDSKLKDLVALYGPQNWNLIAEQLEGRSGKSCRLRWFNQLDPRINRRAFNEEEEERLMAAHRLYGNKWALIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKMGQTAFSTGSEPLASQPCHNNTNVVNNIREFGRNLSASSSSYGSPHMATMSNTPINPPYTAFYSPGHKSTYFYPSQQQCHPPIMMMNSNAVQQSHSNYHKPELEAMAATPRPAFQDFPISHHEQCYTSSASVMEEEDRYRKGNQFEIINAPPFIDFLGVGAT >KZM81560 pep chromosome:ASM162521v1:9:2638479:2639816:-1 gene:DCAR_029173 transcript:KZM81560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGNPYNQQQQQYMAAMMINQQQAAGGMYNPAMYGRPQHAMSYGPPPMMPPHVNDNITHYFNDENTDSHPAGAISGGYYQGMGQGNPYNQQQQQYMAAMMINQQQAAGGMYNPAMYGRPQHAMSYGPPPMMPPHVNDNITHYFNDENTDSCSII >KZM82938 pep chromosome:ASM162521v1:9:26026381:26027310:-1 gene:DCAR_030507 transcript:KZM82938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSKVSNNTFNDTFFNSQDNSNATLRSVYVSAPKSYAISGMIMVGAIVGVIVIVVLMACFHMFSRWYSRRVRRQRRRQRRRLGRRRRASNIVFRVDHIPATVRTGKNRRLEVSVRNSLPNFVYSKVTHKEDLDCAVCLSEFEEDETGRVLPNCHHSFHTECIDMWFHSHSTCPVCRAKVGPFDPTRNKDVLKTVSKPVQSVELAPVQPSSSTYSEVSFTDRRSGLDLEGVKIEMPSEIESIDELMPSSPVSYGFTSLENPSQSLKNMTSTNQKLVDVSPSTEIGTGCSSKTELDIELGIFEQKVREQV >KZM82170 pep chromosome:ASM162521v1:9:12807970:12815610:-1 gene:DCAR_029739 transcript:KZM82170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELVFDYANSIDLVKFVEYSLRSEYAASPNKPIYLVGDSFGGSLALAVASRNPTIDLVLILANPATSFGRSQLQPIFPLLEALPEELQVAIPYLLSGVMGDPMKMAMVNIDTSLPRTVVTGKMSANLTALLPLLSSLSDIIPKDTLLWKLKLLKSAAAYANSRLHAVTAEVLILASGKDNMLPSKDEAQRLSTSLRNCKICYFKDNGHTILLEDDVNLMTIIKGNCKYRRSRKHDFIKDFIPPSMSEYKKAVEKYGSYRYYVSPVMLSTMPDGKIVRGLAGVPNEGPVLLVGYHMLLGTELVPLALEFLREKKVLIRGLTHPTLFSQQAASSSNEFSFFDLLKVFGALPVTPSNLFKLFSTKSHGLLYPGGAREALHRKGEEYKLFWPDQPEFVRMAARFGTTIVPFGVVGEDDIAEIVLDYNDYMRIPVVNDLIKKSNEEGIRLRTEMSGEIANQDLYFPGILPKIPGRFYFLFGKPIQTKGKENVLKNRDSANELYLQIKSEVEQNIAYLIKKRKEDPYRGILDRTVYRALDASIDEAPSFEL >KZM81756 pep chromosome:ASM162521v1:9:5477819:5480743:-1 gene:DCAR_029369 transcript:KZM81756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPVEEGACTEIYDGGAYTEIYDDEDFDEDGRPKRTGTLVTTMAHMITPVMGSGVLSLAWAVAQLGWIAGTCSLIIFSLITLYTSHLLADCYRCPQTGKRNYSYMDAVKSTLGNATPERSVTGVPVGWNGLTREDKDTIKSSPKEKKVMKNAISLATLAITVFYMLCGLIGYAAFGSSAPGNLLAGFGSFKPLWIVDLANISLAVHLFGAYQAASQPIYAFVESWSFKKWPNNEFITREYSITDGCYSFNFFRLVWRTLYVVLATILAMLFPFFNHFVGLLGAITFWPLTVYFPIEMYIAQRKIVRFSRKWYGLQILSLFCVIVSLLAAAGSVRGLVKAVQTSSLLRHVP >KZM82758 pep chromosome:ASM162521v1:9:23683359:23688629:-1 gene:DCAR_030327 transcript:KZM82758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRVPVPSYNLRSNSSYIDGSKSARDLDNGDGRHVGEIDGTEHDGVTGGDLDNDGESSAVDCLHETYGNSLPLPCVGVDEDRSSIENNGPSIDPYGVCTIEDVTPIEAARARFLDILVNHFISSHVTEARDPEADYITQAAEDKASKRKSREILYEGDARYVLPMMYVANMYETLVNEVNMKLSSVNGMREKSIGVPLEAAGGLYRKLAKKFPKKGTCVFKRRELATSMETRSRFPELVIQDEKRVRFVVVNGLAIIERPTDVGIGDAEWFKRLTGRNEVAISAADYKFYAPRHKYRRVSSSNSISNVHGLPSFSNTDSASPMTTSQGYHPITEPQQQQISSNHHIQTLSHSGQFHALHQSQHQSINQSQHVGHFSHNHQCGPSSHLPDVADGQQPTSISQHMACIQPLAHAAGRLHVLPSGPPKYCDECGSPYLRETSKFCSECGFKRLGI >KZM83549 pep chromosome:ASM162521v1:9:32873748:32877661:1 gene:DCAR_031118 transcript:KZM83549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNDTNTLNYWLNWRFFLCGTWVLTAMVAAAVLIWKYEGFTLDKIQRRDDGLESAGCLNKDEAWRTCSKAIHPAWLLALRIIAFTVLLALLAINIITGGADIFYFYTLWTFALVTIYFGIGSSFSIYGCFYCCNGVDDDRADFAGVDTERGSYVAPSYEGNADLSNMPINFPSQEEEYDPRSAGFWGYVFQTSAGAVMLTDSVFWFVIYPFLTPADHKLHFLEVCMHSLNAVFLLADICLNHLRFPFFRIAYFVQFTCIFVVVQWTIHACASMWWPYPFLDLSSPYAPLWYLGIGLVHLPCYGIVLLIIRTKKACLSRFPS >KZM83192 pep chromosome:ASM162521v1:9:29506608:29508666:1 gene:DCAR_030761 transcript:KZM83192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWVKWAGSRYDKLSKKVKVRDEAQDVDEDDYATRFCKALDALSLHNFPSPPGGFMFTTGVGPRCHYLAAKASIVIF >KZM81976 pep chromosome:ASM162521v1:9:9483952:9484380:1 gene:DCAR_029589 transcript:KZM81976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIIDGSTVRDFVEDEEHFNKSVDERFAALDLNKDGVLSRSELRVACESMNVLETHFGVGPQSSQEEITKLYDTIFDNFDCDKNGLIEIEEFRSEMKKILLAIADGLGSSPIQMALEDGEENLLKQAADFELTKLHEASS >KZM82385 pep chromosome:ASM162521v1:9:18206070:18206438:-1 gene:DCAR_029954 transcript:KZM82385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKNMSSSVFGKGEAKEATQEDKVQTLKDKSVETAEAVKEVSGGAVQTTKEKTFEMGENAKEVAGGAKDKSCETAEAAKEGTGGVLQSAKEKTGEVLTSAGEQVKVTAQGATDAVKNTFA >KZM82550 pep chromosome:ASM162521v1:9:20955404:20955982:1 gene:DCAR_030119 transcript:KZM82550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKSVLLSRNKLTGSITSRLFQSASLSILALSKNNYSGELPENIGDANNIKVLMLSANSFSGVIPRSIADIPQLMLLDLSRNKLSGNTFPDFDPADCSLFYVDLSSNELSGDIPVSFCGGTSILALGGYKFSGMLPRNLTNMIMLEYLDLHDNNITGNLPEFLSQLSYLQVLSVRNNYLMAHYQQVVLQPK >KZM83053 pep chromosome:ASM162521v1:9:28360972:28362990:-1 gene:DCAR_030622 transcript:KZM83053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCTTHLGVDSDRFYVISTHLGATEHMHSNGHASALDPVFEPYKDGIVDLLGPKLLYIAFNLAALARGVWKLNTFGLLPTHASDWVSSLPPAQNTPQGAKVMSWWESWDYGYQITAIAIAMGNRTVIVDNNTWNNTHIAQFELGRAMSSYEDEAYEIIRSLDVDYVLVVFGGVRGYSSDGINK >KZM82140 pep chromosome:ASM162521v1:9:12084777:12085136:-1 gene:DCAR_031847 transcript:KZM82140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPITPLSSPPAADMYTKIGDILKISENLSNLMKTSRFVLFVDSDGCDDAGDGGGGHKRGGSMEDAGVGVEMAEVEVDVEYGRGRG >KZM81352 pep chromosome:ASM162521v1:9:268809:269078:-1 gene:DCAR_028965 transcript:KZM81352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCHVLKMTQITNTPTRFIDITHYLKPDQLIYPNPNQSNHNRVKLNRSQFSRRRRSIEAPCKVAEIEEVMEAVRSSTGSWDGKLRARPR >KZM81821 pep chromosome:ASM162521v1:9:6455758:6469315:-1 gene:DCAR_029434 transcript:KZM81821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTELTHLDPPHTHLVSAFLAMESPDWLLSLARVCGGGSVTESVQRFIWDHCISKAVSEQDGNFYRPYLKRFLKKLIAEVESTGAYVLEELYEQYATFMISVKDDDMAKGLSKILKRVSFLFPDDCSKSKKLVVPLQCSLNLLEGDTGCSIWPSSLFLSEFILSFPEIFSGKSCFEVGSGVGLVGICLAHVTLSDGDLSSLANMRLNLDLNQLNLNIGLLQSTTEVKCKYLPWESASEYELQVIMPDLILGADVIYDPLCIPHLIRVLSIVLSQRKAHSHAPDENSEHLHDEKIADCEEQETLDKHLREFDSMNGMSLCTSDRSSDHCFQASKQGPVAYIASVIRNIDTFNYFLTVAEQADLTVTDLSEKIQVLNLLPYMQSYQRSNVKLFSISYSG >KZM82017 pep chromosome:ASM162521v1:9:9938557:9939351:-1 gene:DCAR_029630 transcript:KZM82017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASMALSSPSFAGKAVQVAPSSSELFGNGRVSMRKTVKAPVSNSPWYGPDRVKYLGPFSGEAPAYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >KZM82523 pep chromosome:ASM162521v1:9:20654239:20659965:-1 gene:DCAR_030092 transcript:KZM82523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEISKSKARADAYVGSLISLTSTSEIRYEGILHSLNTDDSSITLSYVRSFGTEGRKKDGPQVLPDEKVYGCIEFRGSNIKDLQVKSTPDVQTPTQTTAINSDPAIVQSHYLRPSLTPPSLPPPITGSSTDSLSHTAPPGSTYQGAMPLYQPGGNLGLLGPPPPHSIANNSGPGYYTPPNGPPQLHQQSLLWPPPGLAMPPSMQYPIFIPSFPTGTSSLSGSSRPQFPSPLLLTTTSPLSATNTSLPSSLFPPASLPSFMQSKAPNAAIPAAPLGTSNSDMNTIVSLLKKPMVSSSSQYSTTSQPFPSVGISTSVQTYTAAPSLITPGQLLQSCKGNVSSDPSSQIAHKDAEVVQVSRQTLPKLPVPVATEAQPPILPLPQQSRAVYKPNGCPQQARYNNYRGHERGRGSGSSGGGVMKFTEEFDFVAMNEKFNKDELWGYFGRSKNSNSKEKEGDENATEEDAESHKSEVKPVYKKDDFFDALSYNTRENQSNNERPGFSEQTKSDTETFGGYTRHQGGRGGRYRGGYNGRGYGYAGRGRGCNMPQRDH >KZM83031 pep chromosome:ASM162521v1:9:28122085:28123588:-1 gene:DCAR_030600 transcript:KZM83031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIAVERNRRRQMNEHLQVLRSLTPCFYIKRGDQASIIGGVIEFIKELHQVLHSLESKKRRMSLSPSPAPSPRVPLQLISTPPSECNSLIRNTIHNENVKELGACCNSVAANVEAKISGSNVVLKTVSQRIPGQIVKIINVLETHFFEVLHLNISSMEDTVLYSFVIKIGLECQLSVEELAAEVQKSFCSDKV >KZM81476 pep chromosome:ASM162521v1:9:1649605:1652313:-1 gene:DCAR_029089 transcript:KZM81476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNFGDPLNRMMFSGEEMMGFQDSAGEIFAAGDMADESQKMLCFGDYENKCDLTLPLQSQISEITCNNNNSSATSCANNGRIKKKRGGDEGDAEGMSSGAGAPAGKRRGGRKNKPEGSSGNVQHARARKGKEKLGDRITALQQLVSPFGKTDTASVLHEAMGYIKFLHEQVQVLCSPYLQAPLSSSQAQLHDGVNLGGNEPKDLRSKGLCLVPVESTLHVADSNGADLWSPAAAMEKNAAPKKY >KZM81486 pep chromosome:ASM162521v1:9:1751959:1754696:-1 gene:DCAR_029099 transcript:KZM81486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPKVQEILEKQVLTVVKAVEDKIDDEISALDRLDLEDIEVLRERRLQQMKKMAEKRRQWVALGHGEYTEIQAEKEFFSVVKASDRVVCHFYRENWPCKVMDKHLSILAKQHIETRFVKLNAEKSPFLTEKLKIVVLPTLALVKKAKVEDYVVGFDELGGTDDFGTEELEDRLAKVQVIILEGESSLRPSKSSAKTRSVRQGTNPDSSDSE >KZM81789 pep chromosome:ASM162521v1:9:6041119:6042233:1 gene:DCAR_029402 transcript:KZM81789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDHFYPLAGRLATKINHDPHSYVVYIDCINSPGAKFVHSRVNLSVSDILSPTHVPGIVERLFDHYKAVNHDGHTLSLLTVQVTELSDGVFIGCSQNHCVTDGTSYWQFINTLSQVFQDEKVNIVACPPYLERWFPDGCGPFYSLPYTHHDQFIHRYEAPELKGRIFHFSAVALARNKAKANALCKDRCVTISSLQALSALIWRCVIRARGLQKDHIIISGELLENDLGWAADDKGAREALANWLQKPFMPQIRNIVNPGLVMFGSSPRFNMYGNEFGLGKAVAVLSGHDNKFDGMGTLYPGHQGGGSIDVDLCLNPHSMRALETDEEFLEVLNFVN >KZM83254 pep chromosome:ASM162521v1:9:30167188:30169921:-1 gene:DCAR_030823 transcript:KZM83254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIKNSEAISDEMPNCNTSAPPKNLCAICLDPLSYNTANSPGQAIFTAQCSHAFHFTCISSNVRHGGVTCPICRAQWTQLPRNLIAPFSLTANQTDPVLQILDDSIASFRVHRRSFVHTARYNDDDPIEPEHSVNHPRLDLTLISVPITHSSPHTCLHQIPMSDMCHLCGVPTQPHMNSSLSLLTTSPHHFDPSGQSPYPHLSSNRTYLCVRLAHQPSTDMVLVISPSGSNLRLMKQYMALVVFSMRPIDRLAIVAYSSAAARVFPLRRMTSCGKRTALQVIDRLYYMGNAEPIEGLKKGVKILADRTHENLESCILHLSGGPTRSYHGFDMEVHIPIHRFHVGYGFGTLHGLVIHEFDNFLARVLGGALRDIQLRINSKIVRLGELRGDEERRIPLNLMEAGHVCVEYSYINNGADECIQTGEIIVGLDCKRERNEDIAGGGAIVDGRFSTTRGWDYRDPYMARRWAKHLHGYRQ >KZM82779 pep chromosome:ASM162521v1:9:23886164:23887549:-1 gene:DCAR_030348 transcript:KZM82779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFKKSHKNADASHGMTKDRRTSSEPLPEDTNNHKSNHKSKEELDSMSVQELEGYAVDKSKETTDSVYNSLRIAEEIRGTATQTLDTLHQQGEQIHRTHQMAADTEKDLAKGEKVLGNLGGMFSRTWKPKKGKKIDGPVSRDDNDYVSKKNKKEQREKLGIAPNPKGKGSRTPPPESANSMQQIENEKMKQDDALDDLSDILGDLKGMAVSMGTEIEKQNKALDHFSDDVDELNNRVKGANQRTRKLLNK >KZM83197 pep chromosome:ASM162521v1:9:29533702:29538093:-1 gene:DCAR_030766 transcript:KZM83197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQDDEGVPSTAIREISLLKEMQHDNIVRLMDVVHSEKRLYLVFEYLDLDLKKHMDTCPEFAKDPRIIKMFLYQMLRGIAYCHSHRVLHRDLKPQNLLIDRRTNVLKLADFGLARAFGIPVRVFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNLRPLFPGDSEIDELYRIFRILGTPNENTWPGVTSLPDFKTSFPKWPAMELSTVVPNLDSDGIDLLSKMLCLDPSKRPSARSALKHVYFSDIALVP >KZM81920 pep chromosome:ASM162521v1:9:8516093:8518841:-1 gene:DCAR_029533 transcript:KZM81920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPGFLSDWPWKPLGNFKYALVAPFVAHSIYSFATKEAEDRNLFNLLVFPFMLWRLIHSQIWISYSRYRTAKLRIVDKSIEFEQVDRERDWDDNILMVSLVYYLVSLTDPAIAKMPLWKPDGAIVTFLLHVGPIEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVVHPFAEHLAYLALFGAPMVILNLTGTASILAIFGYIAIFDFLNNLGHCNFEFIPTWLFRVFPPLKYLLYTPSFHSLHHTQFRTNLSLFMPIYDYVYGTFDKSSETLHQTSLEKKEDKPDVVHLTHLTTAESVYHMRLGFASVSSEPQKSKWYLKLMSPVTWWSMIITSFYGKTVVAERNQFGDLKVQSWAIPRFNVQYFSQWQRKAINGFIENAILEAESRGTKVLSLGLLNQSKELNQNGEFYIQKFPNLKLRVVDGSALTIAIVLNNVPQGTTQVLLRGKVTKVACIVASVLCKKGIQVAADGEEYEKLQKATKQSENLIRASGYEQKVWLVGEGLSDEEQSRADKGTVIIPFSSFPPIKVRDDCLYHHTPAMIIPASLENVDSCENWLPRRVMSAPRVAGMVHALEGWSEHECGSTILDIGKVWEACLKHGFRPLTTPY >KZM83519 pep chromosome:ASM162521v1:9:32617049:32618128:1 gene:DCAR_031088 transcript:KZM83519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIQHRIKQRYVLVGQSIVQSPTYQKEPNSSSGSKISPAVLVVIVILAVIFFICGVIHLFVRFIMRRRSPFQDFQSSRYPEMSNSESFRRQLQQLFNLHDSGLDQAFIDALPVFFYKEIKGLKEPFDCAVCLCEFSEHDKLRLLPLCSHAFHIDCIETWLLSNSTCPLCRGSLFSPGFSVENPVFDFNDSRIDEDGFSGSIRVGVSCGQKPADHENGTNEKRMFPVRLGKFRSTNVEGKNQHTNETGEPSNSNLDARRCYSMGSFQYVVGTSELQVAFCPSASSRDKPVKGKIGLNRSSSIDGEFEGKKINRSKGESFSVSKIWLWPRKDKFSYSADAHMVNSSSNASLPWSIRSPVT >KZM83528 pep chromosome:ASM162521v1:9:32686551:32687135:-1 gene:DCAR_031097 transcript:KZM83528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKISMTTLALFAIILSISAVESIIDQRPEAVEKWFNKLGQKKEKMTKLHFFLHEAAAPGSANQTAFLVAESNISSTSPTLYGQVSVIDDILREGPEPDSPIVGRAQGLSVSSDLREPTIIMSLNFVFTTGKYNGSTLSINARNPLLSKYRELPILGGSGYFRLARGLITTNTAVFNITSQVTIAEYRVIALHY >KZM82955 pep chromosome:ASM162521v1:9:26293464:26303825:1 gene:DCAR_030524 transcript:KZM82955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQMQQSNTAATAMYDQPSTTGGSLHSAGSASDAGDAVMARWLQSAGLQHLASPLATSAANDHRNMLMQGYGAQSAEEKQRLFKLMRNLNLNGEYGSEPYTPTAQNSGGVSAMDGFHSPEFRGDFGAGLLDLHSMDDTELLSDHVMSEPFEPSPFMPSVSEAFDNDHEVLTSRQQRGQTDGDALPILPTNMKENSARENNVAKIKVVVRKRPLNKKEVSRKEEDIVTVEDNALTVHETKLKVDLTAYVEKHEFCFDAVLDEQVTNDEVYRATVEPIIPTIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAEGLVKILHQPPYRSQGFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVLDVQIVKEYIERGNATRSTGSTGANEESSRSHAILQLVVKKHSEVKESRRHNTANEPKVGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCVSPNAGSCEHTLNTLRYADRVKSLSKSGNPKKDQVSSSLSQLPNKESSTMIPAPSPTDAADVYEQYRDVKSTDTYRRVVDKGNLSYHSTADLDTQSASFPINNSSNIREEAGMISSYMDKEKIDVKNTFGGSSSNKVPSASSPQQLVDTEEKVQKVSPPRRKAYRDDKSEKVGNWPKKDTTSSTQPTTTFKQQNPPTSDKDNIVSRQPESPMDGNINDILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSMIDNYVTQLSFVLSRKAASLVSLQARLARFQHRLKEQEILTRKRGPR >KZM82359 pep chromosome:ASM162521v1:9:17862587:17869626:1 gene:DCAR_029928 transcript:KZM82359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPITTILLSGTIAVCPRGTCEFAVKADVAQSGGATNLLLINNDEDGLPLIDCPSNDSLSITIPTVIITKSDGEHLTNFMVGKKKVELLLYAPPRAIVDSSVVFLWIMTVGTVACAALWSEFTAKGNERSYDELSPKKSAEAGADDEDEIVEINLMSAVTFVITASGFLLLLYFFMSAWFVWVLIILFSIGGVQGLHNCITSLVSSKWKKQKVGLPAIGEVSVFSLVTLILCIAFAIFWAITRRASYSWIGQDILGICLMITVLQLAQLPNIKVATALLCCAFCYDIFWVFLSPAIFGNSVMISVAKGDHSGGESIPMLLRTPKFFDPFGGYNMIGFGDILFPGLLVAYSFRFDKAKMKGVRDGYFLWLMIGYSVGLLSTYLGLYLMKGHGQPALLYLVPSTLGTIVVLGLVRGELKDLWSSTLEDISKAKKSSGEA >KZM82470 pep chromosome:ASM162521v1:9:19853493:19856803:1 gene:DCAR_030039 transcript:KZM82470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEQRTQPAAPPRRQQGNAGDSPNNSLPMLLAFIAIFVLVLVQSASDLTSSLSIIHQVPEGHVGVYWKGGALLKTITDPGFHLKLPLITYFEPVQVTLQTDLVRDIPCGTKGGVMTNFEKIEVVNRLKKNYVYETLLNYGVHYDNTWIYDKIHHEINQFCSGHSLQQVYIDMFDQIDEKMKDALQADCTRYAPGIEIISVRVTKPSIPESVRRNFEQMEEERTKVMIAVEKQRVAEKEAETQKKIAISDAQKHAHVSAIQMEQKLAEKDSARKEEEIANAMYLAREKSLADADFYKTMREAEANKLKLTPQFLELKFIKAIANNSKIFFGNKASLISL >KZM81627 pep chromosome:ASM162521v1:9:3663214:3663899:1 gene:DCAR_029240 transcript:KZM81627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGRGSAVAVVLVLFLVALQYEAAQAAFYTVGGAGGWSFSSGSWPKGKRFRAGDVLVFKYNPSIHNVVAVNRGAYGSCSTPRGAKVYTSGNDRIKLVRGQNFFICNFPSHCQAGMKIAVSAV >KZM83142 pep chromosome:ASM162521v1:9:29128792:29131007:1 gene:DCAR_030711 transcript:KZM83142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKVLNLLNRCHTLKDLKSLHSRLVIEGSVNSSDILLNKVLRFYFRYGEINYAHKLFDQVPEPNAFLWTSMIHGYVENKKYGESFGMFRCMLGLSIPPLNFTVMSVLKALARSGGLKEGEAVYGFVRKCGFGFDVMVQNAVIDLFMRCGEVDLARLVFDEMSEKDVVTWNSMISGYGNNGRVDVAHLDAAVNLLEAMPMHEVTTWNIILLGFCKAHDLKSAKSYFGKMRCKNVASWTMMVDGYVKLGDLNEARLVFEEMPEKNLIAWSTMIAGYAKNGQPQRALELFVQFKEQGIKPDAMKGVLSGVNI >KZM83323 pep chromosome:ASM162521v1:9:30767639:30769975:1 gene:DCAR_030892 transcript:KZM83323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGVGQGSTSGLMDTLLEQFSDNQYPNQVHNSSLMLLQADHNNPSKNETVRNPSGYLMDINNSSTDGGISNSSSSSTLVKTKIMSHPHYNRLVAAYISCQKIGAPPEVVARLEEINASLVAMGGGKAPIGDDPALDQFMEAYCEMLTKYEQELCKTFTEAVLSLSRIESQFQALSSSDSASVEDNGMHNNLADPQAEDEELKSQLLRKYSGGYLENLKQEFTKKRKKGKLPNEARQQLLQWWTRNYKWPYPSESQKLALGQATGLDQKQINNWFINQRKRHWRPLEDMQFMVMNAAHPHHYYMNNVAANPIQMDISSPFL >KZM82314 pep chromosome:ASM162521v1:9:17175200:17176538:1 gene:DCAR_029812 transcript:KZM82314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLQEGCPLSSVAASSNKNRKGKSPRTPFSDLTNLTNCSSLKSTFNGMLSNEQVNINVNTKKGLAAACSDCVSRSPVCINLFQKADVHLPSRGLFSSENNNVNIQSTPALSGFNLQPQRFNTKTHSYVHGKRRKPGDEQQDVHLEQMPKQRTKKSRLHVPDDLSCITRNILTNQTKPIHSSALSTHLDDGESSFIADHELYDDFLGDDGIVMSF >KZM81348 pep chromosome:ASM162521v1:9:223395:226246:1 gene:DCAR_028961 transcript:KZM81348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQDPLLFTVTRRSPELVTPAKPTPHEYKLLSDIDDQKSLRFLVPFIHFYEKENNIVDVQEMDPVKVIREALSKALVFYYPLAGRLREGLYGKLGVECTGEGVLFVEADADVTMAQFGDALQPPFPFLEQLLVDAPGFDGVTRLKCGGFIFAMRFNHTVSDAAGVVQFMIALSEIARGASSPSIPPVWQRELLNARIPPRVTCTHHEYDVVGDTEVSMIPPSDMLITACLWRSRTRSLKPPPEEEVRMLCVVNARGKHDPLPLGYYGNAFTYLAALTTAEKLCQNPIGYALELVKKTKEDFTEEYIKSVADLMVLRGRPHFAIARTYLVLDVTRVGFGYIDFGWGKPVYGGPAKCGIGCTPEVENMNSFYIPFRNKMGGKGVMVPVCLPALAMENFAAELDGMLKNNVNTSPLRKSPL >KZM83301 pep chromosome:ASM162521v1:9:30628754:30629161:1 gene:DCAR_030870 transcript:KZM83301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEVDEDSLSLTFTVETNKLGCRNAVELYPDGKNIVVNSTNRDKYVARLVQYHFVDSVKDQVAQFAQGFDDIISSGRLRKSFFQFLELEDFDRLLYGSEKAISVEDWKSHTDYDGYEETDPQIWWFWEVYVSQ >KZM82864 pep chromosome:ASM162521v1:9:25365108:25367200:-1 gene:DCAR_030433 transcript:KZM82864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLLSSLFAKRELRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYYQNTQGLIFVVDCNDRERVMEARDELHRMMNEDELRNAVLLVFANKQDLPNAMNAAEITDKLGLQSLRQRHWYIQSTCATSGEGLYEGLEWLSNNIANKV >KZM81958 pep chromosome:ASM162521v1:9:9149760:9152033:-1 gene:DCAR_029571 transcript:KZM81958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLTLARNPIKKSHRPICPKISNSVEAGIGIMGTKLGMMSFFEEDGTVVPVTVVGFREGNIVTQVKTESTDGYNAVQVGYRRVRDKKLTKPEMGHLEKSGIIPMRHLQEFRLVDVGEFEVKQKLELEELFSEGDLVDVSGTTIGKGFQGGIKRWNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKRKIRKLKIMKIDNDLRIVMIKGALPGKPGNLLRIAPAKIVGKNIPKS >KZM82923 pep chromosome:ASM162521v1:9:25905605:25911089:-1 gene:DCAR_030492 transcript:KZM82923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADIPYCGTEFVLYVFASLGLVLLAGLMAGLTPGLMSLGLVDLKDRGKVNAKIQSERCEDECDEAVLLKCGFRMLGADLLNDTKMLEINNGAKELNIPTSDANRKLVASDNGGLQNPSYLIFNPVWDSKGAPSPNKRFNYPSVPGVQKPISDEDIAFMTVLELGQLIKTKQISSEELTKIFLKRLKRYNPVLEAVITFTEELAYKQAKEADHLLSQGVYLEPEMPRGAGSVRNATISIILLGESAIDKIVGQISRPTPRSPLQNL >KZM81386 pep chromosome:ASM162521v1:9:624855:627672:-1 gene:DCAR_028999 transcript:KZM81386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HDR-3 description:4-Hydroxy-3-methylbut-2-enyl diphosphate reductase MSSLSTDPEFDTKKFRKNLIRRPNYNRQGFGQKQKTLDLISREYGSDIIKTLRANEYEYTWGDITVKLAKSYGFCWGVERAIQLVYEAKNQFPDQKIWITNEIIHNPTFSKKLENLNIEYLPKTKDSKKQYDAIEKGDVVMFPAHGVAVEEMIILSEKNVWTKVEKHKSRQYTSIIHGKYDHQETIGTKSFAGNYVVVKNIAEAIYVCDYILGGELDGSSSTKEEFLEKLRNKVSEDFDPDNHLVKVGIANQTTTLKGETEEIGKLVERTMMQKHGVENINNHFMSFNTICDATQERQDALDELLENKLDVMLVVGGWNSDNTSHLQMVSEKSNVPAYWVDTEERIGPGNRITYKLSHNELVEKENWLADGPLTIGVTAGASTPDKVVEDVLLKVFAMKNGKKLQHA >KZM82531 pep chromosome:ASM162521v1:9:20732632:20734387:-1 gene:DCAR_030100 transcript:KZM82531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSNTFTGVILPFIQNLSKLETLRLEDNMFSGEIPSWLFDIASLKNLYLGGNKSIWNNQVKIVPKCMLAQLSLRSCKMTADIPEWISTQKNLNMLELSDNQLTGNFPLWMAEMEIENLLLSRNKLTGATSILALGKNKFSGSFPRNLTDDAALEYLDLHDNNITGYFPDYFISKLSNLQVLSLRNNSLHGPLWSNSFYGSGLQILDLSSNSLDGSIPSELELSGSSSGYMAGDGKGEIKVNWIEATVSLFSGMYTITIETNDLTVNWKNAVQGLSSHNREMYTLLDLSNNKFSGDVPDSYGNLWGLKLLNLSYNKLSGYIPQSFGDLQSIEALDLSNNNISGTIPQSFSKLDQLSVLDVSNNKLSGEIPRGGQIDTMNDPSYFANNSGLCGMQIRVNCSKDEPPPNDGQDEDDDDEKEPWFLWTGAWIGFPLGLISSVLTAFLGGYFVIPTAKYHSIHYRQR >KZM82703 pep chromosome:ASM162521v1:9:22778619:22780535:-1 gene:DCAR_030272 transcript:KZM82703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDLIETKLLFDTELKLALEKEPANIGLLDIQQLINDVFGQQKTSNIPRNSSPDTVLEDDFELRREEVQQIDLIHFVQSAKSTVKTTTLFGEDDKKDEYPSFSLGIDEDINGNQAEQAAMIEEAVPVEENVPIPATEITPKPALREKSTRALKMGRYGKSPFLERVIDISSKITNQEFGIWRFMIPNKNPIEQLFVFNDYYCIREDMQTLRIGKNLATSVVDTWALILNDAEKFKSDDSPLRLFCTIGCVQPTLDSNTYQLFADNMDDMLIQFNRTRLDIVEMVFFPICAFEHFYLIFYNIKNAAYEIIDNIDREIDAQICYGDKPRMLHGQENQLIKLRIKYNNAILSSQLNQKRKQILKEGKELYIESASRKLVNLVIHSSQSSQEESQSKKRRGQVENKKKVRFARNLSTTFEEADTEMDEV >KZM82281 pep chromosome:ASM162521v1:9:15728454:15728927:1 gene:DCAR_029835 transcript:KZM82281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPKISATLVSALCFLMMIHSSLTQTSPQDFVDAHNAVRAVDGVGPIAWDETVANYAKNYAAKIGPTCLMQHSGGPYGENLFKGGGDATAKQIIDYFASEKKYWNNNTKTCEAPPGEGCGHYLQVVNPNSVQLGCARVKCENDDWYWIVTCNYNPY >KZM81721 pep chromosome:ASM162521v1:9:4820933:4823810:1 gene:DCAR_029334 transcript:KZM81721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGTSKSGSLREDQARLMYSLQNRTVVVHVYKHGFTGFAVHASEEEAKLLAAEPGVLSVFPDKTVPLHTTRSWTFLEHQHYFGDSISATSHSGSTANGSDIIIGVIDSGIWPESKSFADEGMGPVPERWRGTCEETKDFPASSCNRKIIGARNFDKHNQTCRGFFGHGSHTASIAAGAAVAGVSYYGLAAGTAIGGSPNFRIAVYKACQSLDCSESAILAAMDAAIHDGVDIMSLSLGEADTDIRTDPVAIGGFHAVEHGIMVVCSAGNLGPTSSSVVNFAPWLTTVGASSIDRQFVAGVVLGNNEVIKGSAIQFSHLCESPTYPLIDGVLAKINGSKDSASRNCESGSIDFNKIRGKILLCFNEDKGGSFKAVEIIDGGGMGVIFIDDDLNIEPNTDYPNVTHPISAVRFEDGHDILLYMHSYRNPTATILKSETILGYKPALPDITAPGVNIIAAWSKVESRRAIPGKDLPDYVLESGTSMSCPHISGVAALVKSQHPTWDHSAIRSAIMTTAVQKNTAGAPIRKLPGLQKATPYDFGAGEVNTAQVTNPGLVYETTAIDYYNFLCNYGYNLSTIRLIAKDIPRGFSCPKDADADLISNMNYPSIAVSKFKHGTQRIVTRTVTNVGDEEDTVYTVTVDPPASKYLNVQVIPKKLHFTKENKKQSFEVIFSTDYPYESPVFGWITWSNDQHRVRSPFVLTVN >KZM82236 pep chromosome:ASM162521v1:9:14271521:14272195:1 gene:DCAR_029880 transcript:KZM82236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTRFTAEELVDLMIKKWRDQPKVVTFDRNDAHLRTLDDLVNVNSLFTLAVFVGLSQAAPGARSLENRQDCDAGPGVAKMLVLYEVVAFACFLLSSLVAKVLKLHLSLDGLKYDFVRKGFDLKDALLIITACASVSGIILLTLSVVNIIQIRIGLYSCGSAESRRAIWALCSIVCIALIIYVVSMSIGIYASIASDSLYDSSPSHRRAAQKEKEQQRTGDELV >KZM83229 pep chromosome:ASM162521v1:9:29799275:29799751:-1 gene:DCAR_030798 transcript:KZM83229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTINRLSKLSKSPSLYLSLRSTTTAVAAAASTGTKKVSDRIVKLFAIDPSGQKRQIIGLSGHTLLKALTNSGMIDPASHRLEDIDACSAECEVHIAQEWLQKLPEASYDEQYVLKRSQRNRVLNKHARLGCQVVLSQELQGMVVAVPEMKPWDTP >KZM82926 pep chromosome:ASM162521v1:9:25931735:25932619:1 gene:DCAR_030495 transcript:KZM82926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSIDRWSTINSSSYFCWPSVSCKNVATDFSCIRQCNSKAGKIRHASCIVVNARRRIRYDEDDEEDDGEEYGNNEDVAMLEYYSESVKEVALLVKAEVDEQDVQILVFKGFSSSLSHSTNPDPSRSILPARAKIKSIDRIKGPFNPSNIEYIDKGLSLETFKSQFLSK >KZM83299 pep chromosome:ASM162521v1:9:30598362:30599410:1 gene:DCAR_030868 transcript:KZM83299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDEVDQDALALTFIVEADNFGSKNIVELLPDGKNISVNSKNRVNYVARLVQYHFVDSVKDQVAQFTQGFDDIMNSDRLRESFFQCLELEDFDWMLYGSERPLCVEDWKSHTDYNGYEETDPQISWFWETVASMSAGQRKALLFFWTSLKNLPVEGFGGLTSRLYIYKVNETCNRLPTSQTCFYRLCFPPYQSLEVMQDRLSLVTQEHVACSFGTA >KZM81529 pep chromosome:ASM162521v1:9:2165481:2170438:1 gene:DCAR_029142 transcript:KZM81529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNKTSDLNKGYARKHGDSEQRPVLLWSKNWIIGRWIALCFLIFFLLVVDVHCFYLLRKYNPEDTVYGLLVMIFGNLVGFGFLGTQARELIEDSNTVNDLPWWCYGLTSSTSD >KZM83116 pep chromosome:ASM162521v1:9:28878915:28888160:1 gene:DCAR_030685 transcript:KZM83116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKDMLDLCPEKVENYEQKLRNFYTEHIHANEEIRYCLKGNGYFDVRDKDDRWIRIWIRPGDLIILPAGIYHRFTLDTSNYIKLMRLFLGEPVWTAHNRPQDNHPSRREYIKNFTSKISFSHQNLVPRKSLLHQKMQMGSTIKDDREEVLQAWYMDDTDDDQRLPHHRNPKEFVSLEKLAGLGVLSWRLDADNYETDDELKKIRETRGYSYTDFREVCPENLPNYEQTIKTFFREHLHIDEEIRYCVAGSCYYDVRDHDDNWIRLMVKKGGMIILPAGIYHRFTLDTNNHVKGFRKVDPDKLEFASEWFLRGQRHLLKQVRRRKPPSNLHHPLQGPSESCVEVVRCGSEVGTDGLRFDKEVLRAELVRLAQEIQNTKAHLRAMKSRLKETEIKQQDIMAFLAKMIRNPSSMQKLAKSFKRDKLVEAVGKKRSKASGHVYGSVEVRRRFYAESGRKDFGDIKVPVIKTLSLKKQELKETYEESQQRYEGENKGLDKQFWQDLLNNCITEESGLFSVG >KZM81768 pep chromosome:ASM162521v1:9:5802408:5804521:1 gene:DCAR_029381 transcript:KZM81768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRKRFDLKNWSAVFVSMAALVLISIGVISNQRKNNTSVNEKHISLSKKALLDICHGLDFAETCAQHLPFKLFGDDHKPGEFMRMGMDAAMNNLREAGRKAVAMQAEHSDEHTKNALLQCGELADKAVNDLQRSLQHFLDHEIKGLDEIVDDILVWLSGALTYQQTCLNGFLSDDGKPVGPVHENMKVALKNGMELTTNALGMVTRFSSNFDSSAQGQAAAPTNRRRLEAFPGWLDPTRRALLGPAIAPAAPGNVKADVIVAQDGSGKYKTINEALKDIPLNGLKTFTLYIKAGVYNEKVVFSMAHKNVVLIGDGPTKTKITGALSVTDGQTTFMSATVAVEGDVFFAKDIGFENSAGAAKGQAVALRAGADKIIFYNCRIDGYQDTLYSHTYRQFYRDCVISGTIDFLFGDSAAVFQNCTFLIRKPGVGQGPVVMAQSRINIRQPTGVVLQNCTIVEDASLAAEKATTPPAFLGRPWTEFSRTIIMESFISNIINPEGYTLWNGTFGLNTSFYAEFGNRGPGSATDKRVKWQAFKALGPAEIERFTPNKFIQSDTWVPQTKIPYISGFTFPPGRGTPASASAKAPAAGNEDIPFQNQRNCYNSKVTFNGQTCT >KZM82167 pep chromosome:ASM162521v1:9:12726284:12728793:1 gene:DCAR_029736 transcript:KZM82167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMSSQTLPLPITHTISHTISERTYIPSHIYTHPCATLLELCTSLEQLHQIIPLIIKNGLYKETLFQTKLISLFTKFNSLNEAARVFEPIEDKTDAMYHTMLKGYAHASVLNDAVSFFCRMNVENVKYVVYNFTYLLKICGEFRDVRRGKEIQGVLIVNGFGGNLFAMTGVMNLYAKCGLVFEAFKMFERMPVRDLVCWNSMIGGFVHNGLAKEALELVGELHREGLRADHVTIVSVMPCVGSMGALNIGRAMHGFVLRSGLESFVNVSTSLVDMYCKCRCVETARLVFDGIEGKNVVSWNSMIDGYAQSGDGAEEAWSLFQEMLNQGVNPTGVTIMAVLVSCAVLGDLERGKFVHQLANQLGLDNDVSIVNSLISMYCKCKRADIAAGLFKNIQNKTIVSWNAMILGYAQNGYVTEALDYFCKMKLQNLKPDSFTLVSVIPALAELSVTRQAKWIHGVVIRGCFDKNVFVMTALVDMYAKCGAIYTSRKLFDMMDYKHVTTWNVMIDGYGTHGFGKAAIDLFHQMLRGDAKPNSITFLCIISACSHSGFVEEGKQFFTMMKKDFAVEPSMDHYGAMVDLLGRSGRLQEAWDFIQNMPVEPGINVLGAMLGACKIHKNVDLGEVAANRLFAMNPEEGGYHVLLANIYSNAAMWDKVAEVRNIMVNKGIQKTPGCSLVNLKNEVHTYSGTVRHPQSKRIYAFLETLLDDIKAAGSVLQLKMVP >KZM81517 pep chromosome:ASM162521v1:9:2048986:2072840:-1 gene:DCAR_029130 transcript:KZM81517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVKELSWIVLAVFMLLISLSCYIYYVCREQGSYLRHVIIVTDKITALFSIIRSFIKNVSSELNKNRAEYLKFLYKLALLALSKSATGDADIWKVYWMTGFGIVAIGSYCQMLIVERKRAQLTPPSQSVTPPKSEKLLTTTNALDFIVFVSYLQLAFAYFMELNLKNHPWEVFWKNFSYATKVCIDVAGVVIIFMIIIEIANTEQQVEDQSVADQPKAVQWDVEDRSVADQPKAVQWDVENQSEEVAMKDVE >KZM83428 pep chromosome:ASM162521v1:9:31716862:31720551:-1 gene:DCAR_030997 transcript:KZM83428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLFYLNPSSSILNHPHSHFKTPPKRPSSYITSGGPPQPTPPQQLQTFWQWLSEEKVVTHKSPAKPGVVSEGLGLVAQRDISRNEVVLEVPKKLWINPESVAASEIGSVCSGLKPWVCVALFLIREKMRGGESWWWRYIDVLPECTDSTIFWSEEELYEIQGTQLLSTTVGCKDYVQSEFLRVEEEIILPNQKLFPSTITVEDFLWAFGILRSRAFSRLRGQNLVLIPLADLINHSSSIKTEDYAYEIKGAGLFSRDLIFSLRSPVAVKAGEQVLIQYDLNKSNAELALDYGFIESGSDRVTYTLNLDIAESDENFGDKLDIAETNGMNTTAYFDITLDQPLPKEMLPYLRLVALGGTDAFLLESIFRNAVWDHLQLPVSRANEEVICQVVRNACKSALAGYNTTLEEDEKLKTDGNLSPRLQIAVGIRAGEKKVLHHIDSIFKEREQELDELEYYQERRLRDLGLVGEQGDIIFWEPK >KZM81918 pep chromosome:ASM162521v1:9:8500836:8503910:1 gene:DCAR_029531 transcript:KZM81918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDMDYLYFINIRTVVYLIRVTCGPGSGKGTQCANIVQHYGYTHLSAGDLLRAERDSGSENGTMIDNMIAEGKIVPSEVTIKLLERAILETDNDKFLIDGFPRNEENRAAFESVTGIEPEFVLFFDCSEEEMTRRLLSRNQGRTDDNIETIKKRFKVYMESSLPVIEYYNSKGKVRRIDATKPVEEVFDAVKACFAPSGGTGVKDRKFGSLVAAIFGFITRKIAA >KZM82337 pep chromosome:ASM162521v1:9:17449276:17450319:1 gene:DCAR_029906 transcript:KZM82337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVMINENEVDIVIGALNPDLTYFMEEWRPVFSRFHLIIVKDPDLKEELKIPEGFNVHVYTKADIDKIAGSTSTSLLFSGYSCRYFGYLVSKRKFIVSIDDDCVPAKDDMGNLIDAVSQHVQNLETPATPFFFNTLYDPYRKGADFVRGYPFSLRSGVTCALSCGLWLNLADYDAPTQALKPTERNSRYVDAVLTVPVKAMMPVSGINIGFNRELVGPALLPALKLEQEGKVRWETVEDLWCGMCVKVVSDHMGIGVKTGLPYVWRNERGDAVASLKKEWEGVKLMEDIVPFFQSLRFSEAAVTAEECVIELAAAVKESLGPSNPVFERAAEAMVQWVKLWKVVKT >KZM81775 pep chromosome:ASM162521v1:9:5862361:5864578:-1 gene:DCAR_029388 transcript:KZM81775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITSTLLHSIRCEADSSSSSTTNAAPKQKRLLSVTKPSWIVRTESNVWREKIKKPDPPCVVCRGSGRVDCTQCRGKGRTNEVQSQMLPKGQWPKWCRSCGGSGLGYCPRCVGTGEYRYIMGFHFMNRENSTAQNSENSQGRDTRRKVSFENFLHNDESES >KZM81530 pep chromosome:ASM162521v1:9:2173475:2173885:-1 gene:DCAR_029143 transcript:KZM81530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDWKMAASAIKMFIDILSGLLAVMGACKVYYWTRNEQNQLLPVSMTHNIPRNWNERILASGVLPRDAQYQNVANFSDAVVQRHAENQSVAGATASVRDSENQPNADPPEVIQRDDAENQTQAHADVPEAAVQEC >KZM83186 pep chromosome:ASM162521v1:9:29464420:29467482:1 gene:DCAR_030755 transcript:KZM83186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSRSSGAFNEESLAFQKKVLERSGIGEKSYLPEGLLTVPAKEGLSEARKEAENVMFGAVDELFSKVRLKVNDIGILVLNCSIFSPTPSLSSAIVNRYKLRSNILSYNLGGMGCSAGMISIDLAKRLLQVQPNCYALVINVECGNENWYRGNDRSMLISNCIFRVGGAAILLSNRSIDRCYSKYQLIHTVRTQKGTDDISYNSVSQQEDDDKSLVGIKLSKELVAVAGDAIKTNITELGPLVLPFSEQFLFLATKLAKKIFKMKIAVYVPDFKLAIEHFCIHAGGPAVLDAMEKNLQMTEWHMEPSRMSLYRFGNTSSCSIWYELAYAEAKGRIRRGDRVWQIAFGSGFKCSSSVWRALTDVDPIGEENPWMDEINDFPVIVPKVQVFE >KZM82040 pep chromosome:ASM162521v1:9:10393234:10398636:-1 gene:DCAR_029653 transcript:KZM82040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKSPALFVNDGSFMERFKQLQEENRKSATKLPPTVSGAPVPKHVISKTGSVSKVNDSRKPSTNASGGKLAFSLKQKSKLVAPPVKLDEDEEEERDDGYSSGNGSTKRQKVGQSDAPVQPTKGVDVGFTSPATVNNTVHQKPHQQQLNYQIPASALYEAPETDPTGRSGYGESTAPTSADPIAMMEFYMKKAAQEEKKRQPKQSKDEMPPPASLQGPDKRGHHMGDYIPLEELNKFMSSCNDVAAQKAAQEAADRAKIQADNIGHKLLSKMGWKEGEGLGSSRSGIADPISAGNVKIDNLGVGASKPGEVKPDDDIYEQYKKRMMLGYRYRPNPMVYIYILLQL >KZM83123 pep chromosome:ASM162521v1:9:28955420:28955965:-1 gene:DCAR_030692 transcript:KZM83123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSSLPLFLFLFFNLLFLGLVASSSDCESPPKHSSKHKHKHHDRNSNSPPMSNSNPPKLPPRFPLPSSPRSAPGTPELPPVSPPLAFPNSRKSGTCPRNAIRFGACTKVLGGLLGVRAGTAPKKPCCRLFGGLVEVESAVCLCTAIKANVLGSNLNIPISLGLLLNVCDIQTPPGFQCS >KZM82467 pep chromosome:ASM162521v1:9:19821656:19825415:1 gene:DCAR_030036 transcript:KZM82467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIFGPRQPRSLLLLCAVCVLVLIGLLGPSSSSDSEPGITNARLDVYSNYRRLKEQTANDYLELRTLSSGAKQLKEFSLCGKEREDFVPCYNVTGNLLLGFKDGEEFDRHCEASGERQHCLVRPPNNYKTPLSWPAGRDIIWNANVKITKDQFLSSGSMTKRLMLLEENQIAFHSDDGLIVDGVKDYSHQIAEMIGLTSDLEFRHAGVHTVLDIGCGFGSFGAHLLSLNVMAVCMAAYELTGSQVQLSLERGLPAIIGNFISRQLPFPSLSYDMVHCAQCGISWDSKDGMFLIEVDRLLKPGGYFVLNSPAGRAKTSSVTRITLLTEKICWSLLAQEEDTFVWQKTADSQCYTSKLDTVPPCQDTHDVPSFYPPLAPCISEVHPEEFDEDLEYWRSALKNYWSLLTPLIFSDHPKRPGEEDPLPPYNMIRNVLDMNAHYGGLNAAFLEARISVWVMNVVPIRTQNTLPLILNQGFAGVYHDWCQSFPTYPRTYDMLHANGLLSHIDSVGCSLTDLLLEMDRILRPEGWVVLSDTLGPIEKARMLATQIRWEARVIDLQNGSDQRLLVCQKPFLRK >KZM82913 pep chromosome:ASM162521v1:9:25824383:25828162:-1 gene:DCAR_030482 transcript:KZM82913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDKQGSKTGGGYVGGFLHLFDWNTKSRKKLFASKSDPPERLKQKKRDDGNYLTTQLHLMDQDDSLAGSSFKGSSDYSCASSVTDDDIGGSKAPGVVAKLMGLDSLPTSNFSEAYSTPFFDSRSLKDSYYHKRSEEFNDNHPIMHSGNMFNRTQEPLRNNIDSKQQKTVSSPFKKFQTEVLPPKSAKSIPLTHHKLLSPIKSGGFHPSKNAVHIMEAAARRIESGSQVISKAKMPPVGSSVPLKVRDLKERAEAARRPLKLAETSQKPAESIAVKNIKGQSTDKNLNRSLDTKTFIASSDLAESSVGSRNKGKSVSLALQAKVNVQKRGLTPNCNRNSVGLKEQGEVTSNQIFKSQPSVQRSSHKKSHTSNPPSVLRQNNQKQNCSTEREKVASKSLPYNNIQGKKVISGDSSVGRQRSSSKNSGNSKVGSRKIGREIIDDGKDLPYSSTSVTRKKRCIDGDFNFQKDRAVVDNENNGKATQSDGVMDSKFSWAEDSKRNGMDVISFTFTAPMGRSLPVPETSRDVLEKNNAFSADFEGKKVFFNSGGTNGLRSSSVGCNVIEGDALSALLEQKLRELSLRVESSGHKTGEAGSSASSFQDQTPLKTVAKPTKLHVEGSKRGSWTDSLDEQQSPVFSSTTYEKGRISKHKLQDVEDMFDCGISSSEARKMLSCRNPSPVSILEPSIFAESCNSTDTGDSFSIEGLVSSKQCSSSVQGQDVYDIRCSKKFHVIETDAELSDSASSSSTRVVATKHANIAVIDAVRPVKWELEYVKTILCNTETMFKDVSAGRTSEIIDPRLFDQLETQKGELCLQRKVIFDCVGECMDLRFRKYVGGGYKAWEKGLSMARREDWLAEEIHREISSWEAMGDCMVDELVDKDMSSQCGRWLDFSVEASELGAEIERRILNSLLNEVISDILVL >KZM82826 pep chromosome:ASM162521v1:9:25003915:25005276:1 gene:DCAR_030395 transcript:KZM82826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEKFDAVGSAIEQLPDSFSNLLDLRSLNLRACSQLKRLPEQLGKMQCLQFLDASVTAIEELPDSIGLLPRIQNLIFERCKKLTYVPNSICNLKSLEYLNLFIGEDIIKIELFEAVNDMNLKELTLSGNIRVCLPIILSFSSLTVLTLTDVCGSPSPTKPFSFFQLFNLQSLGLYNCTSHGSSFPELPLNLEELDVDNHASLEQVPDLSHLKQLKIMSIGRCCSLQSLHKLPPHLSLLRVEDCTSLQEFPDLSMLRDLETLDVTGNGSNLKVSLEENHLQLQVSGVVGLSLPLTLVHIKSISIHLFILQLRENDDTFIATIPNKEIPEWFNYKNREGCTLSFDVPPNLGDNFAGVAFWVVYKSSSQESNYLKAVITNKTEDTTTTIPITGSSCRGMDDVESAIRCIWAEDLSIAKGENIMISFEWNPLCDTEVKMCGAHMLKHPFKKSLQA >KZM81807 pep chromosome:ASM162521v1:9:6247077:6249258:-1 gene:DCAR_029420 transcript:KZM81807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEAADSLDKLVVQTASEENILPSSPTPDHLRIFKLSVLDQIIFNFYIPLTLFYLNNNTSDLSSVILNRSKILKHALSKTLSRFYPLAGKIKDTHHIECNDEGVYYIQTQVNTHLTDFLGQSPGPGNEILSLLVPQNAKESAKGSYVLMIQENVFSCGGVAICACINHKYVDGDTYTLFLRHWVAAARGSAETTYPSFPAPTLFPQISSLNFPNPDWFGKSEFVSQRFVFDSSHLAALKSKAMSSTSETAPTRFEVVAALLWKCYAKAAYKLSNNSLEKPFVLGMLINLRGKNCIPKNAVGNLVWTGLAECKLVTDLDDNFIVSQIQKSKAEINDDFFEVLKGDTGLATILHYTEMIMKSQEVCFPLLITSMCNMGVYEHDFGEGKPTWFYYGNVNLVNFISLCETRVGGGLEAVVSLKKEEMAIFENDPELLAFTTLNPAPLLGGGMEAVMSLSKEEMAIFENDPELLTFISLNPAPL >KZM82971 pep chromosome:ASM162521v1:9:26670222:26682891:1 gene:DCAR_030540 transcript:KZM82971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSMEYSGEGGPAAAAGTVLVTTRFVWRYGGRSVYLSGSFTGWTKHFQMTPVEGCPTVFQTICSLPPGFHQYKFIVDGEWRHDEHQAFVNGNFGTVNTILVSRESNYIATNQSPHIPYGSSMEVDNDTFQRVVRVSDGTMHEAVPRISEADLEVSRHRISVFLSTHTAYELLPDSGKVIALDVNLPVKQAFHILHEQGLTIAPLWDFDRGHLVGVLSALDFILIMRELGTHGSNLTEEELDTHTISAWKEAKLYMNRPNNEHGSAFPIQLVQAGPSENLKDVALKILQSGVATVPIVHSSSEDGSYPQLLHLTSLSEILKCICRYFRNSSGSLPILQLPISAIPLGTWVPKIGESNRRPLAMLRPNASLSAALNLLIQAQVSSIPVVDDNDSLLDVYSRSDITSLARDRVYTHINLQEMTIHQALQLGQEPYSPYGISSQRCHMCLRSDPLHKVMERLAKPGFILFTRLLLLTTIIKPECTGVRLAL >KZM82510 pep chromosome:ASM162521v1:9:20541749:20542918:1 gene:DCAR_030079 transcript:KZM82510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLFRRRMPRRVTCRWLLRGKKTSPPPHYSTPDYSSLPDEIQEQILLKLQCFKSIVTCTSVCKSWYVLIKSRGFITMHLSRPLSNAEYFLCSYSKRFCYSIRNYNSERLEGYHGLIFPERRVTLHGSCNGLICYTKLDDVFSQIYLWNFTIRKLKILPKSKYFSQHTAYGFWYERNSDDYKVAKISCTEVFRVEVYSLSSNSWDLIATSGPSYSKTFFDKVVHVNGTLYWLASDQIYWWRNRRIISLNMKDLMFRDTLVWHAEESRSVVTFDMLGAGGRVILLFSFYNSGTDHMGIHVYDENLNDLYRYESGSSEKEYFRPLGMRSSGNEVLFQKLGTDAPIVVFDAGDFKFKEFCSSTKTIFRAIPFVETLVLLDDGDSRSLPNAP >KZM82991 pep chromosome:ASM162521v1:9:27308013:27308718:-1 gene:DCAR_030560 transcript:KZM82991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSLVAGKKYGASHFVLLSAICVQKPLLEFQRAKLKFEAELMKEAEEDNGFTYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDRKLCACKPMSEADLASFISDCVLSEDKINQVLPIGGPGKALTPLEQGEMLFRLVGKKPNFIKVPIEIFPGMEDAAEFGKIGRYYAAESMLILDPETGEYNADKTPSYGKDTLEEFFKRVLREGMAGQELGEQTIF >KZM81523 pep chromosome:ASM162521v1:9:2135150:2135649:-1 gene:DCAR_029136 transcript:KZM81523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKQSKPHDVVSQYARSNPHDVTYESSREAVKLGQESSVYRNVAVWSKNWIAFRYAALFSLASFLLITDIHCCGLLFMYDSDNIVYGLVIMGIGNLIAFTVLAAQARELVDDSKTADGVPWWCYGCTNSRCN >KZM83266 pep chromosome:ASM162521v1:9:30295799:30296888:-1 gene:DCAR_030835 transcript:KZM83266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVITLVKRFDRTDATKVTEKADFQKDLSLDSLDRVELVMAIEQEFSVEIPEEKADQLKCCADVTEYIISAADHKIAEDS >KZM82012 pep chromosome:ASM162521v1:9:9914944:9919396:-1 gene:DCAR_029625 transcript:KZM82012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWSNLQGKVVMVTGASSGFGRELCMDLAKAGCKVIAAARRMDRLTSLCEEINNCIQAGELISAPKPGSINTCRAAAVELDVAGHGEVIKLAVAKAWNCFGRIDALVNNAGVRGGTKSSLYLSEEEWNVVVRTNLTGSWLVSKYVGLRMVEAVQEGCIINISSSAGTSRTVVHGAIAYGSSKTGLNKMTKCMAFELGKHNIRVNSISPDIFLSEITESLMKQDWLKNVAARTGPLKAFLTSDPALTSLVRYLIHDSSNYVTGNNFIVDAGFSLPALPIFSSLALKKMKPWSKLEGKVVMVTGASSGLGRELCIDLANAGCKVVAAARRMDRLKSLCEEINQFVDASELAYAPKPGSIRYRAAAVELDVAGDSEAIRLAVEKAWKCFGRIDTLINNAGVRGGTKSSLYLSEEEWNDVVRTNLTGSWLVSKYVGLCMVRELQGGCIINISSAAGLNRTQIHGSLAYSSSKSGLNSMTKVMALELGKHNIRVNSISPGLFPSEITESLMKKEWLKDVCARTVPLKTFLKSDPALTSLIRYLIHDSSEYVTGNVFVVDAGFTLPGQALRMEAWSHLDGKVVMVTGASSGFGRQLCVDLAKAGCKVIAAARRTNRLRSLCEEINSSPHALELTKTHMWED >KZM83270 pep chromosome:ASM162521v1:9:30315641:30317106:1 gene:DCAR_030839 transcript:KZM83270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDGPWSCNLEIYPDFREEKVSEEYTLCTESGAGSKLPLYLSQLALEDYDKRRNDIIRGDTMKQNEKYDILSVNVLKTMKSVEAEGTTYLINFEASLQDYKNKNPRTFQTKILMSPVNLPSMKVDVRFVRTKPR >KZM82144 pep chromosome:ASM162521v1:9:12187872:12192876:1 gene:DCAR_029713 transcript:KZM82144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFVNVPWQFCTGEYRKAGLPVGYKNCQFHRGDGSGCVSIYGSKFDDENFIAKHTGPGLLSMANSGPNANGCQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGQQNRPKLPCIIAECGEM >KZM83541 pep chromosome:ASM162521v1:9:32800078:32803303:1 gene:DCAR_031110 transcript:KZM83541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNEVLEASDSHDVLSLLSSPTRDYLVRNSGDQVKVDGLKGKTVGLYFSASWCGPCQRFTPKLVDVYNEVSAKGGFEVVFVSADEDDQSFNEYFSKMPWVAVPFSDSDTRAKLNDLFKVNGIPHLVLLDECGKLLSDEGVSIIGDYGAEGYPFTSQHLKELKEQEEEAKRNQSLSSLLVSRSRDFVLSADGNTVPIAELEGKTIGLYFSLASYRVSAVFTEKLVQVYKELKEKGESFEIVTISLDDDEESFKEGLQGAPWLSLPFKDKSCEKLIRYFELSTLPTLVILGPDGKTLHPNVAEAIEEHGILAYPFTPKRFLELDEIEKAKQEKQTLESILVSGAQDFVIAKDGVKVPVSDLVGKNILLYFSAHWCPPCRAFTPKLIEVYHKIKSKDAAFELIFISSDRDQTSFDEYFSGMPWLALPFGDTRKASLSGLFKVRGIPKLVALGPSGKTVTTEARDLVMLHGAEAYPFTEQRLKEIEAEHDEMAKGWPEKVKHKLHDEHELVLTRSRIYVCDKCNEEGHIWAFNCEECNFDLHPKCALEEDKDNMDAAAAKAEEDDIKNEEKSKEGWVCDGDVCFKA >KZM82796 pep chromosome:ASM162521v1:9:24458794:24459780:-1 gene:DCAR_030365 transcript:KZM82796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEATQQFYFCHQCDLNVAIYLRPNTDPLCPNCRDGFLEEIDDPMRNPNPDPVDPLAHFLSTFPIFFGDSRHPFGNRETPSNARRVTGVRYGPRGPSRVNMEGPEFDPFAFFQDYVGNLRAGGANIQFVFENNPSGGEGLPANLGDYFLGQGLEQLIQQLAENDPNRYGTPPASRTAVEGLPDVVVDDKLLGSDLAQCAVCQDDFEKDMVVKQMPCKHVYHSECLLPWLELHNSCPVCRYELPTDDPDYENRVRGTAASGGENDSSGNVRVGSSGSGTDTGDDEEGGNLRSFVERTFSIMFRPRSSRTDNSGGDSGSGGPRNNDTN >KZM81939 pep chromosome:ASM162521v1:9:8843394:8845346:-1 gene:DCAR_029552 transcript:KZM81939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSFRSSIPTYVDKSKVLDVKPLRTLKPLFVSSAQAPPLFSSSPSGPFPSGFTPFYPFSMNGESPGKNQRGGMASDKMDVDGANGNAGSSRKRGAGKQKKAARKSVGSRNAGVSFTVNGGANFIARCTPFNKEDGDREMVEYVLMTFDAVRRRLSQVEEAREAPNGSIKRPDLKAGNVLMSKGVRTNMRKRIGATPGVEIGDIFFFRFEILVVGLHGQSMGGIDTLITKGGKGDDSLAISIVSSGYYDDDTEDKDVLIYSGQGGNPISKEKEASDQKLEKGNLALERSLHQANEVRVIRGMKDPMNSSVKIYVYDGLYTIKESWLEKGKSGCSVFKYKLVRLPGQRSAFADWNSIQKWKAGLSSPAGLISQDISSGAEKITVALVNDVDGREAPSYFTYSTVLRYSRSIRLTNPSVCNCHKACQPGDLNCSCIRNNGGDFPYASSGVLVSQKPLVHECSPTCPCFPTCKNRVSQSGLKLKMEVYKTTDKGWALRSWDPIRAGSFICEYAGEVVDSPSGLQGIGQEDDYIFDTSRVLGQSYKWNYEPSLLNEEIPDESTENDNIPALVISAKNVGNVARFMNHSCNPNVFWQLIQYEQNRDSFLHVAFFAKKHIPPLTELTYDYGISQFETHRRRNCLCGSEKCRGFFG >KZM82804 pep chromosome:ASM162521v1:9:24592443:24594127:1 gene:DCAR_030373 transcript:KZM82804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KZM83080 pep chromosome:ASM162521v1:9:28593870:28594385:-1 gene:DCAR_030649 transcript:KZM83080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARISLRPFKISDADDFLKWASDDKVTQYLRWPSITSRDEALTYIQQVAIPHPWRHSICMDDTCIGYVSVKPEPGDDHHRAHVSYALSAEYWGLGIATVALKKAIAKVLKKFSYLARIEALVEEENKGSQRVLEKVGFRKEGLLRKYGFNKGDIRDMIMYSFLSTDQML >KZM83179 pep chromosome:ASM162521v1:9:29398867:29401681:1 gene:DCAR_030748 transcript:KZM83179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKPDGFQKRHLLPLVAVVAILLFLFFYFGSHSSGKSALEYGSRSLKKLGSSYLGSDEDTDSRQEDASLKLGLDDTEDGTVSKSFPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPMPERRLNCLIPPPPGYKIPIKWPTSRDEVWKANIPHTHLASEKSDQNWMVVKGQKINFPGGGTHFHYGADKYIKSLANMLNFSDDNINNEGRLRTVLDIGCGVASFGAYLLSNDVLTMSVAPNDVHQNQIQFALERGIPAYLGVLGTQRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAVKRNQTVIWVKPLNNDCYMEREPGTQPPLCKSDDDPDAVSGVPMEACITSYSDRDHKTGGSGLAPWPARLTAPPPRLADFGYSSEIFKKDTELWQKRVDKYWDLLSPKISSDTIRNVMDMKANLGSFGASLKNKDVWVMNIVSEEGPNTLKLIYDRGLIGSIHNWCEAYSTYPRTYDLLHAWTVFSDIEKKGCSGVDLLIEMDRILRPTGFVIIRDKEHVINFVKKYLTALHWEAVTTESSLEDQDVDEVVLVIQKKLWLTSESLKTA >KZM82235 pep chromosome:ASM162521v1:9:14249048:14249221:1 gene:DCAR_029881 transcript:KZM82235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKVVETRDGGQRAWDREVDKLGFGEIEEVKIAMEEMKKRIVLQQRRILFESSNLQ >KZM82890 pep chromosome:ASM162521v1:9:25641396:25645207:-1 gene:DCAR_030459 transcript:KZM82890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSAFFDDSGFSFASLSDGEKRLLDMLGSEDMLNQPSSIYDLIQVPSVQEPQQPVETSEVVNDAETPNSSSICTSSNDAVKDDQQSKRSTQGGKPKKMLKAEKKIAKKQREPRVAFMTRTDIDHLDDGYRWRKYGQKAVKNSPFPRSYYRCTSTTCGVLKRVERSSTDPSIVVTTYEGNHNHLCPVAHPGAMGISPEPYSLRGDALSTQQRLQQQSIQHLLQLQQQPYTISPASFSNSRATSYSPLDAPTHVLEENELSFSPSSLFRDQGLLQDMVSSQMQSEKK >KZM81823 pep chromosome:ASM162521v1:9:6519684:6520379:1 gene:DCAR_029436 transcript:KZM81823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISFLAPGRHKIIAVYLLKYCNRLQLLLLEPNQNPLQWRNLGVEFPVTGTEVVNYWHGVDMDGVIYWPCYKSSGLMFFDVREETVHWLPEVPHPYNKFSGLAYFGECKGNLCMVADVSMRRGTFDMLELKTYRSMWFIKYHIDLTGRVSRDENLQILVLALLPGEEEESDSYLVIHFLREVVSINLRDGTIRKLCDLGLRTTESRLLRSEPWHYVHPYRQNPVYPRLTAS >KZM82689 pep chromosome:ASM162521v1:9:22545187:22547468:-1 gene:DCAR_030258 transcript:KZM82689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHHLSFSLLCVSFLTLILFTNPSISAVDTFIYGGCSQLKYAPGTPYEYNVNSILSSLVNSASSTPYNTFKISLPGSTKADVVYGLYQCRGDLTTSDCRACVAQAVSRVGVVCPAASGAALQFDGCFIRYDNVTFFGVQDKSVLMRKCGQASGYDSTGSTRLDSLLNYLTTQSQYFRVGGSGSVQGVAQCVQDLSTSQCQDCLAEATAQLKSLCGSAAWGDMFLGKCYARYSDHGDRSRSHDTGEKKKDDNMQRTLAILIAIIAIVALVIICLAKFCHRKDNCEK >KZM83203 pep chromosome:ASM162521v1:9:29573898:29575388:1 gene:DCAR_030772 transcript:KZM83203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPTTCSADLAQLFGANTTNASAAASYICNTFSAVDTRFTDTNFAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAYGAPSNGFIGKHFFGLKDVPDASFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSSDGWASPTNTGNLLFGSGVIDFAGSGVVHMVGGIAGLYGALIEGPRIGRFDQSGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFNKISIIYNTGSYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRLLSGHWNVTDVCNGLLGGFAAITGGCSVVDPWAAIICGFVAALVLIGFNKLAEKFKYDDPLEAAQLHGGCGAWGIIFTALFAREKYVGEVYGGKAGRPHGLLMGGGGRLLGAHVIQILVIFGWVTVTMGPLFYGLHKLKLLRISAEDEMAGMDMTRHGGFAYAYDLDESVKHGTQMSRIEPSLSS >KZM83259 pep chromosome:ASM162521v1:9:30204602:30205874:1 gene:DCAR_030828 transcript:KZM83259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDQNGTNNNDNDKTRLQSYLKDQFEMLLQEVNNFQKTHVPALEHSFDTSGSVIRFADDPMSSKHSDILHEYNRLLQDFHELECTMIPDHQKDTMYVTDLTDANLLGTSQNPEAMMEKNESQNVATWGLNDAIGVAQMTDFIGGCGSSQGGGTATCINSSASGIGSRGGMLTSLVNFTNVSSFGFMGSIENGATSSISRISSWRQGGVREMPKRRQKRRRRYRGVYQTEEEALLKQERLKIKQRATSAEAYQKKKDYIIRLEEQVQRLPSEKQRTGKSASKATEENVLRTHIVLSLDTIECYLEQPKLS >KZM83366 pep chromosome:ASM162521v1:9:31190981:31191499:1 gene:DCAR_030935 transcript:KZM83366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLPQFILSYYTLVHNAFIIRPPLQPRVYDDTHSILARIGVAVSVLIAALKLKYQSTRGSPFQDHPKTMAIAIASFLASCLACKIFNSCTSLSSTFRALLHHIVRLMGLISLASLSSVIFSTSTSSIMPSLVVYTMFSLSFLAMLMLQGILGQNIPRNRDCIPVYLQCCT >KZM81450 pep chromosome:ASM162521v1:9:1357146:1358895:-1 gene:DCAR_029063 transcript:KZM81450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTTKHNEFSSEPLTLYLDNEDGDEYEEFIGSLIKKFPLSDVKRDFKSLDDVGFHEEFLDIDLKPKIEKCFESQLLGGKAPDTDMKRVRRTYKKDELTDKNGKADWLFQLRLKHDDRDLDILIKKNNLYLVGYKGKYKEKDGKVSAENWIVLDGKNHKKVAASVRLLTPESNLYENLIQRLDNFQGIAKREQGRNIKYAQEKLTELKLNIERYHSNPEENGDFKRVSTLLKMVPNEITREHVETELEGDDNKAARDFLNHLKKPQADHILAEKLIKKLQKIHDSPRKPFSQESLILWGKVFKKKVAILRSLTAGKMDTDGVKETENWREEHEALFKLKKMLKKNFDIVDGPPETNPTNDETREGFFSKKTKLKEQYHKAVNEEKQAKFYKELKEKYNVFNFMMQSVRADAEIKAVKDRLAEVKLNRLAFTEAVEYLTKSSFGENERETANHIIKLAIMICEAARFPHVKEHVSNNYLETTHKVTYCFFI >KZM83544 pep chromosome:ASM162521v1:9:32813394:32818024:1 gene:DCAR_031113 transcript:KZM83544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVMDKMKEILSSLNSKKNGEKEDSGVNIPAMCSFLVQLPEQLSRRLKSQFTRSNKEEDGISGTLTRKHKASSSIVDVSLEEQLRAWKENPFWIQQPPDIKEVISRKVLVDEGTRQVVEVEQAALWRFLWWSGTISVHVLVDQNREDYTMKFKQLKAGFMKRFEGCWKMEPLLLDTELCHPLKPETVKDYVSYTKGKGRIGSKLSLEQIIEPAIVPPPPISWYIRGITTRTTEMLINDLLAEAARIRGFSSNENTIEHVISEKIYDKCQLDELSDIKERWTMRRKISRQSNKRLLLATKIQGDKKILKKAFINQLTRLKYKHSGVKVQGRRTSHLSRPFHFLWALLAIPN >KZM81420 pep chromosome:ASM162521v1:9:1025364:1039508:1 gene:DCAR_029033 transcript:KZM81420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQNDAVEILSSSSSESELGDSGDDFAVSSPEDPQDDLDSDSDDDSNNNNSQEDGHVAARPTVRTAPRRIDRKSQNVDALVRGNLVVKRQSLLPRVLSVTDRAAAGRQPFQPPSSNGYSENNEKLAQRLWARKRFVPWGSSRPVLVSITNRVDIPVAAEKVAPEEDTSLPPGIEPLVLWQPEECEGGDNDSKITVDSLLVKFLRPHQREGVQFMFECVSGLYSAANIHGCILADDMGLGKTLQSITLLYTLLRQGFDKKPMVKKAVIVTPTSLVSNWEAEIMKWVGERVRLVALCESTRDDVVSSINSFTSPRSNLQVLIVSYETFRMHSSKFDGSGSCDLLICDEAHRLKNGQTLTNRALAAVPCKRRILLSGTPMQNDLEEFYAMVNFTNPGILGDASYFRRYYQTPIICGREPSATEDDKTLGIERSAELSAKVNQFILRRTNALLSNHLPPKIVEVVCCRLTPLQVELYNHFIHSKNVKQVLNEEPKQAKILACITALKKLCNHPKLIYDTIRSGSPGTSGFEDCMRFFPPELFSGRSGTWSAGDGIWVELSGKMHVLARLLAQLRQETDDRIVLVSNYTQDEFAFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQKEQAEIKNQGNFLSTEDLRDLSEIHEKMNCVRCRSCGGIADDGPETYGEEDGGSSVNENCQSDQEDIGGFAGITGCLHKLKSSERQIGTPLEEDLGSWGHHFNPTSVPDAILQASAGNEVTFVFTNQVSGKLVPVEPVVPRTGRVDENKNQCQSKENLFPKSLSISRQPHARPWRSSNGGTTKNKLSTSLKPSQGKYIKPVPHLEGARIRVDLVIAGHNLRFEGPTSSSCWDKLYRKVNKLHGHDALKAFGEDNKIVGSGPAMFGFSHHKIKELIRLSSTCGSPLDSSKLTSRRCRDPAICYRRIHITWKDLDKCNVCYTDEEYDISPFLQCDNCRMMVHTSCYGELEPADGTLWYCNLCRVGAPESPPPCCLCPLAGGAMKPTTDGRWAHLACAISIPETCLLDVRKMEPIDGLNRINKCSNSSCYVAYHPLCARAAGYFLEFYEEDGLRLCSEKEDGKDQCIRLRSYCKRHRRPSDKCIVVQESMETCQRPDYIPSPNPSGCARTGRKQPQSHEPASLKHLYLENVPYLVGGIRQHEFLSKVVSSQCNISSNISSMVEKYSHMKETFRRRLTFGKSGIHGYGIFAKQPHRAGDMVIEYTGEVVRVNIADRREHLTYDSLVGAGTCMFKIDDDRVIDATKAGNIARLINHSCEPNCYSRIITVNGDQHVIIYAKRDLNQWEELTINYRLSSVDEQLACSCGVSRCRGIVNDIKAVEQVAKPCIPQ >KZM82004 pep chromosome:ASM162521v1:9:9788065:9794436:1 gene:DCAR_029617 transcript:KZM82004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEFMAGLQLVDSPSALGVEKSLNFTDRVADHAELLHMTSNDNTSLISDSVSMKPESLANIKPNVQDILSGITESISASVSKGENTLTGTIDNITSSVTSALMGANEGFYTAVNKLKSSVDQTGDSAGNRLSSLSDDSKEALGRTVSVAVDLLRYAIQAAEGYLAKGGTLVVYAYGSAKGFLPAEIQNALKVSEESADKILRPAGDAFQQVYIGLEGLERSFGLDPSDPVVTLVLLLGTSGSLWGAYWFLTYAGYAGELSPQMTMELLTGKENAVLIDVRPEARNLCLIYLHPGCVLVLLQLSALHLKSKLEFRERDGIPDLRRSARFRYASVTLPKGESSMKKLLKSGKDLDDTLTAAIIRNLKIVKDRSKVIVMDADGTQSKGIARSLRKLGKPYLMQGGFRIWGKEGLSIKELKPETPLTILNEEAEAIIKQVNPTPLKVLGFSLGFAAAVYALSEWETTLQFVGVFGVAQTIYRRLASYEGSEDFTQDLRQLLVPVQLGGQAVSWAAGKLESNGIGLSTSPSSSDVQSRVLQAAAKHESQPLDSELQDTSTESNTSSVTDSMDISQA >KZM81769 pep chromosome:ASM162521v1:9:5806824:5809333:1 gene:DCAR_029382 transcript:KZM81769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNRRYAIIGISSVLLVAMVLVVTLGFIGNNQKKFDKHITLSRKTLLDICHAVDFRDACISNLPFKILRNNHDPKELLHKGFEVAMTHLRTASQRTLSLGLIHREPRTQGALTSCRELTEMAISDLQRSVDKFINHEIGSLARILNDLLIWVSGAMTYQETCLDGFVNTNTLVGQHMRLALRTGMELTTNVLGMMTQVATKFEASIQVTTTLHNRRLKSTARFPEWVESDKRRLLEDNQGNVKADLVVAQDGSGKYRTINDALWDIPKHSDNTFVLYVKEGVYKEKVQFNSSMRHVMLIGDGPRKTRITGSLNFVDGTPTYQSATVAIAGDYFIAKDIGFENTAGPEKHQAVALRVAADKSVFYNCQMDGYQDTLYTHTYRQFYRDCVVSGTVDFVFGDAAAIFQNCTFLVRKPMDNQQCIVTAQGRKNERQPTGIVFQNCTITDEASLHPVKNNFAVYLGRPWKEYSRTVIMESYLDDLIRPEGFLPWMGTIGLNTLFYTEFNNRGPGSSKDKRVNWPGIKELSPDRIQYFTANKFIQADGWVPSSKVPYSSGLMFQSPQQGALGPVPDQDFADSAYKNIRDSYSSHANFTPTPSPTPSANTSPPAPVSDNQGSSTSPADNSASRTTQTAPSNEIGQNSASPASTTVPSAETGSNPASPDAPTVPSTQTGSNFGSRDAPPDSSSTVTGSNFASRDAPRDSSSEVGSNFASRDAPRDSSSEVGSNFASRDAPRDSSSEVGSNFASHDAPRDSSSEVGSNFASRDAPRDSSSGVGSNFASRDPPSNPSPKLNPDFPFSTIIKFP >KZM82571 pep chromosome:ASM162521v1:9:21253465:21254109:1 gene:DCAR_030140 transcript:KZM82571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKRVSRKRKYEAVKNDLYVELTNLTNDSNKLHTDIRNANEDFFLMCKSMAERQADFLTRALFLEEKYGEVVEMLEGKPKQRVCESSRENVVLPIPYADSFARFAEDSNYSLKSHGILMAEEEKKMSQLFKEYEEVFSENMKVFGERAKAIAGMRTTLAAKLTKFNTSSHIPVLSD >KZM81644 pep chromosome:ASM162521v1:9:3936965:3940187:-1 gene:DCAR_029257 transcript:KZM81644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFYGKRAVGGLCVPKNASLVSRDADNRNGDAQFCNRLGCSGRLKYTKAPQIGSPRIPKPLRPSTRSTSAKESRGSISSTSSVVNIAKIRYPDSRRKLRSEVEKETSDSSRSQNEIEIQELTDSQRTKGRGFQSEPRESESGIVPSVKVRSSNVVSKARNPNSFYKRPGLTNQDALPSSSSSPSGSNDQGPSGSTNASRQGLRSLRTSISAALRPGSSLSESNISKKKDIVKKRSPEREASSSSGGKNKTSEQPSDGGHISNPTNGFTFPDHRRNRNLPSRRENGVTSAQSRRLPNSNTRTGHPSLVNRTILSSAEASVFRRQMPRSERNIFANANSLREEASSGGSSSYSHSSSGNYDDHVTTTPSADLGIARLVNRDGAQQYNMDNIAEEEYVAGDEVGKIGCEHGYHMECIKQWLQLKNWCPICKASVEPRETS >KZM82912 pep chromosome:ASM162521v1:9:25811317:25823604:1 gene:DCAR_030481 transcript:KZM82912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPNRAKDKDKDDDPPRTQNAKRLDCQKSISDREKANLDRLKVSMQRAKALGGEIPILNSLSPQIPTSDSQFQICGRTPSLTESQRSIVADALFAFPRGSGAPWANIYRGEKNIIDLYIILLRYSSLVSETIPFHRDYQVLYPKERSNHRKKLLALIGELESLKPEFHRQVDELKKANDSSQYQIESTDVTPYASISPLEWPHVSSKSSLSFEKKLPSSTTLVSTWKQNNTSSQVWPSNSIDVQFQNLSVNLPRPKNETLSRHSFLGQTGLKGQWQGPTAEMKVNFLCDHLDLHSVHYPKYTDLTSDETSSSNQTILYDHGAVNGLASTVNGSNAMESVLSLNDGRWSIPGEKFFPPANDGRNNLPVGIIRQPSPPPVLAQVQTEHVPISPSRVADPRPGPVKPFQEGASTTASYQHLHIPIKLMEDFLRLAQKNTAKNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCQTLNEEELFEVQDKYSLFQLGWIHTHPSQTCFMSSVDLHTHYSYQVMLPEAIAIVMAPTDESSPHGIFHLSDPSGVNVMRNCQQRGFHPHEEPEDGSPLYEHCSHVYINQNLSCDVVDLR >KZM82891 pep chromosome:ASM162521v1:9:25650164:25657356:-1 gene:DCAR_030460 transcript:KZM82891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLSSLDERGGEFFVSAKGEGKLPPSMDKEVTEKHALSNLIGLVSEVLTLPFPELEFDDSYHLSQDLKFIFQFVTDDKLDYIPVYWLFNQPFFWPSEEKTAIIKNTNNLIKQDVLCAREIDTHVEQKNPGLDWSLQIDAVQRKGRVLGRLVPGCKKHSLPVVLEEATDYIAALEMQVRAMAALADLLSGSGSGSSSG >KZM83574 pep chromosome:ASM162521v1:9:33074377:33075591:-1 gene:DCAR_031143 transcript:KZM83574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVAKPNIFVLVFILLSIYGALSTSATSLHQRHEKQNSRWFSDCLSVHRKLGLLDCGGGSANMNRANYWSESLKTSQSPPPAPVKRVATRSGIASPPNK >KZM82177 pep chromosome:ASM162521v1:9:12966288:12966842:-1 gene:DCAR_029746 transcript:KZM82177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEESLLDFDLSLLESIHHQLINDSDFSDIFLPISPCSTLNYHPTSSFSSFSSVENCIDETFKADESFQTILKPSVQEEEEKQADVAGNACEDDSHRPPEPRRFRGVRRRPWGKFAAEIRNPNKKGARLWLGTYDTPREAALAYDRAAYDIRGTRAILNFPHLIGSNFEPTRKGKRQKSALEQ >KZM81329 pep chromosome:ASM162521v1:9:93377:94882:-1 gene:DCAR_028942 transcript:KZM81329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQRKSPSVSRTKNSITLQYLFDLDSRSNVGSKLLGNDHSGSRSSSDSEDEEIMSVISYCTVTYPFDDPSELASHFDLKRLKLIQLLSIIKTLKKPLEDQILQPLFHMLSSNLFRPLPPPCNNSIASILPDDDDLVATSTAAWPHLQLIYDILLRLIISMEAKELRDYIDQSFITNLLYLFQSDDPRERESLKNVYHRIYSKFTFHRALMRKGMNDVFLYYVFETDDQRHWGIGDLLEIWGSIINGFTIPLKEEHKLFLMRVLIPLHKPTSMQAYHRQLSYCVSQFVQKEPVLGGIAIRGILKYWPVTNCQKEILLIGELEELVEYIDPGQYRKFALPICSKITKCSNSRNSQVAERALYVWNNEQMVKMASRAMEDVFPVLVQGIENNLKGHWSKGVQQLSENVKAMLEEMEPSLYKKCLLKLKLHKSATQLEEKRRRREWDRIETAAAAKNQILHKSVSD >KZM81576 pep chromosome:ASM162521v1:9:2806338:2806835:1 gene:DCAR_029189 transcript:KZM81576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQILDKFKGKEWRQKQVKKISDKFFDCLETETGKPSPTFEDLYIAVLLTFNELNKRLPGPHVDPPTKERVRDLMRECDMNLDQELDREEFERFMTELTAETLVVVSQGLIISMAVAPAVALLTKRATEGLPHVGKVVRRIPSSVYASLVTLAVVMFQKSMRSVE >KZM82148 pep chromosome:ASM162521v1:9:12226186:12226422:-1 gene:DCAR_029717 transcript:KZM82148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQCHCGCWAVEKVSWTEYNPGRKFLTCANGGQIGLGGCGFFQWSEEEMNARTKSTINGLIRCLKLKDDEHFAELIS >KZM82349 pep chromosome:ASM162521v1:9:17741751:17742469:-1 gene:DCAR_029918 transcript:KZM82349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGFSSELSLSPVAEFDSYPETELDEFVPENRVPKKKSQVFLEGYVETKDQDELGRSKSLTDEDLEELKGCLDLGFGFSYEEIPELCNTLPALELCYSLSQKFLDDQQQQQQDSLPPPESPTPPCGPLASWKISSPGDHPEDVKARLKFWAQAVACTVKLCN >KZM82910 pep chromosome:ASM162521v1:9:25792948:25800996:-1 gene:DCAR_030479 transcript:KZM82910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAQGLLDASEIHGFRTLQELDISNIMEEAKGRWLRPNEVHAILCNHKYFNVFVKPVNFPSGGTIVLFDRRKLRNFRKDGHSWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNTTFVRRCYWLLDKKLENIVLVHYRETQESQGSPIASINSNSCSALSEQPAWLLPEESDSGVVRAYSASTQAPIGNSTEAPNKFIQHHEIRLHEINTLEWDELVVPDDPNKLTISDEGNILCFEQRSSEFETNGHKSYINDSNNSLFESNGRVDLPLINGSKAVNTGTDDSLRIINKDGLQTQDSIGRWMTYIMADSTNPEVADDPNLESFISTGQDLSTFMNQTKPSMLTDHQNLTCPEQMFTITDISPASALSAEETKILVVGYFHQDPSPLAKSNLLCVCGDECISADLVQAGVYRSFVSPHKPGLVDLYLSFDGQNPISQVVTFEYHCVTEKLMNLSENKLKEEFQYQMRLSHLLFSASNRLTILSSKPGRNALKEAKIFIHKTCQISDNWTSLVKSINSSNFSYLRAKDSLFEITLQNRLHEWLLDRIVEGSEISVRDDQGLGVIHYCAILGYTWAVYPFSLSGLSLDYRDKYGWTALHWASSYGSQINTALVASWLTLMVSHLGER >KZM81566 pep chromosome:ASM162521v1:9:2711197:2714938:1 gene:DCAR_029179 transcript:KZM81566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFLVLVLTLLSSVSSSVSSSVNNDFHALVTLKQGFEFTSPVLDSWDSLKPSSFCSWVGIKCHRGRVISLELSNMSLGGSVSPVVSSLDMLTELSLDGNNFTGEIRISNLSSLRILRISNNVFSGRLDWDYSSLENLEVLDVYNNNFTSPLPFEISSLKNLKYLDLGGNFFFGKIPRSYGSLLRLEYLCLAGNDLHGKIPNELGYLTNLKEIYLGYYNEFEGGIPKVFGNLVNLVHMDLSSCGLDGPIPPQLGNLKSLDTLFLHINALSGQIPKELGNLTNLVNLDLSANVLSGEIPYEFIHLQKLKLLNLFMNKLHGSIPDFVADYSNLEVLGLWRNNFTGLIPQNLGQNRRLKEVDLSTNKLTGRIPPDLCASNQLTILILLHNFLFGSIPEDLGTCSTLVRVRLGDNYLNGSIPKGFIYLPQLNLVELQNNYLSGTLCDDGNFTTSPSKMEQLNLSNNQISGSLPTSLSNFSNLQILQLDGNKFTGSIPSSFGEFQHLSKLDLKLNSFSSQIPPEIGNCFHLTYLDLSQNNLSGSIPPQISNIRILNYLNLSRNHLSETIPKSIGSMKSLTTADFSFNDLSATFKAWSFKKSGSHSWKMTAFQKLDFTVLDVVECIKDGNVIGRGGAGIVYHGKMPNGVDIAVKKLVGIGANSHDYGFKAEICTLGNIRHRNIVKLLAFCTNKDTNLLVYEYMRNGSLGEALHGKKSGILGWHLRYKVAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLNSSYEAHVADFGLAKYLMDGDASQSMSAIAGSYGYIAPEYAYTMRVDEKSDVYSFGVVLLELITGRRPVGDFGDGVDIVQWAKITTNFHKEKANQIFDKKLSNVPREEAEHLFFVSMLCIQDNSIQRPTMREVVQMLSEFSRHIPGYPALASSVVCQKPENEEVCINVQQESLISV >KZM83526 pep chromosome:ASM162521v1:9:32674095:32678669:1 gene:DCAR_031095 transcript:KZM83526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAMNAPKHTNTQIKTTYPVLFHFATVKPSHRFRFVFCGKYVLEWLVYVIEVYGFVFQATVADSFLADLDELSDNEEDILDEDNVEADNMEEDVDGELADIEALNYDDLDNVSKLQKTQRYIDIMQKVESALEKESDVSTHGVVLEDDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEVDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLLAEDLQKTVEACDRALTLDSAKKKVLDFVEGRMGYIAPNLSAIVGSAVAAKLMGTAGGLSSLAKMPACNVQLLGYIEQTEIFQGTPPALRMRACRLLASKSTLAARVDSTGGDATGGVGRAFREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAVTDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQNKLAAKVAKKFKERSFGSGATSGLTSSLAFTPVQGIELSNPQALANQLGSGTQSTYFSETGTFSKIKRP >KZM82296 pep chromosome:ASM162521v1:9:16624246:16627026:-1 gene:DCAR_029794 transcript:KZM82296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWPNADIVDKYSIEDCGWPSQEFAYECKINLLTGRTHQIRAQLAACGAPIVGDAMYMPAAKAEISQPGLNPLGKHKKQYASENDKEVAIKDWITCHGKEPRIAICLQACQISWDDGEHFYEARSPWWR >KZM82497 pep chromosome:ASM162521v1:9:20212042:20213972:1 gene:DCAR_030066 transcript:KZM82497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHIVSLSCIELDPPHPKFLRACLAVRATPPKRDGEKKKRNYEGYLQKKFMLSIITDVMLTLSNAQAAWVKKAGFGLLLGFRLRTYQHRLGYKIVDSFCNRTCQLRLKAGDVLITEKLVHKILGLPLGDMDIKLREGKIGKTDWDKQYDGKSVSPFMVMNAIKKCSRADNNFKMNFLVLMYNFCIEANQNRWISRKMLSFGGNLDECGKYNWCKLLIDKLRKTHSYWGQYKWRNFVGPLAFLIYCYATCLRSNSLMHMNIIFPAYLSWPDDILRERERNEQTTINLASDPLYYWKITFRYAMSILNDVEKHEGACVPLNTESENIAGELSMREMVVPDSVSGDSEEEIVGGCTAMEVDKGQQIK >KZM83379 pep chromosome:ASM162521v1:9:31328473:31332131:-1 gene:DCAR_030948 transcript:KZM83379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTFVSRTWDKWASHCVGSSGQALKAAMLVNYDPTGPSRLLSTIEEQEGIKADPVELSQFINFIKRNNLQSESFYIESNQYVVTSIFENWFSARCMNTSNHAGEGAIVMQTAAFLLVALYDGSIASASRAMVAVDQLSWQLGRKNL >KZM83446 pep chromosome:ASM162521v1:9:31899455:31901052:1 gene:DCAR_031015 transcript:KZM83446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQNMQQDQDMNLVLSSDAKPRLKWNPELHQRFVHAVAQLGGADKATPKALMRVMRIQGLTLYHLKSHLQAFVQRHLQLRIEAQGKYLQSVLKKAQDTLSGCNPSSAEVELAKTELSQLVSMVDNGCPSSSLSMLTETHGSFVKDNEIKPLRGTRCSLESSLTSSESSGRKEEKRQKHETDDITNNSSENFVVLSLMEMHSREKIGSVEKGDARKRSESKIFEVDCIEQPLWKRSRVDKSPEQLRKFDFSGTFDLNSHCSNYLDSSSKEIDLNSKEVNQFNDNL >KZM83226 pep chromosome:ASM162521v1:9:29778787:29780657:-1 gene:DCAR_030795 transcript:KZM83226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLQYFFLSLLLVILFLVFTVLKQLGRSKLRGPILTLPPGPWKLPVIGSLHHLIGWSNLLHKTFADLALKYGMPIMHLQLGKLSFVIISSPEIAEEVLRTKEVNFAQRFQLIDAESQPYPDCSLIFAPYNDYYKHIKRISVTDLFSSTRVKSFKSLREEEVGNLVEGIQDQESSMPFNISESFSLLISNIVTRSVLGHRSDYLEEFKSCLRDVISSTKSFGPDDLFPFLKFLSFVSGRKRTMKKVFKRMDSVLSAIIDEHETRTTALDTICDDKAKDEDLLDVLLAIQGSDQATLTHDHIKNLILDIFAAGSMAVQSILEWAMAEMLRNPRIMEKAQAEVRNAVLGKVKFVEEDIQNLPYLNSIIKETMRLHISTPLLPRESRKTCEINGFLIPAETKIIVNIWAMFRDPKYWSHPECFEPERFMISSTTGMEYIPFGAGRRKCPGGDFGEKIIQLALAKLLCHFNWKLPQGVKPEELDMNEVSDLTARRKKDLYVVAVHV >KZM82137 pep chromosome:ASM162521v1:9:11965970:11967835:-1 gene:DCAR_031844 transcript:KZM82137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCRSRKLQKGYAHGSPLLESSIAFDLREGAAKIAAALGRILLLDLVIRNEDKLPCQQLSWRGNFANLLLADKIASVYVDEMNEPFESVINKYRPTIIKALQIELRTTSVDGRLSTHNAVSPVDPLRENVLMIGQSIRSWWSFLSTVLDTQHKFSMSCSSFPEWIPCSTQATGCVHSPCTPSKERAPIDNQSDLNPEVQRGTPKASSSGARLALRVPPSFEMVSLGGSTREIQNPFDDVELNKGIEQWDEMLKVEAVKLCQENIFSTGFFESGESSNVIDAYELKVRLEHILERIALTSDAANTKKPSLISSTLFIGGALAARSQYTQQHLGITHFVPVL >KZM82636 pep chromosome:ASM162521v1:9:22007511:22008648:1 gene:DCAR_030205 transcript:KZM82636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLLVDRMLTESTLEAAVESRHLVAQENNDTVVDCASHLDSETDLSQRKVAECRICQDEDFESLLEAPCSCRGSLKYAHRRCVQRWCRVKGNTTCEICNQLFKPNYTAPPPVFRLGHIPVNLRAIGRLNLIESHSIAVVSADRNFLDPLDDESAGSASRSFTRYLSLAAIFMVLLMLRHTLPLIINGTDDFSFPLFVFFLLRTSGIILPAYIILRTVGAIRYR >KZM81750 pep chromosome:ASM162521v1:9:5399646:5404537:-1 gene:DCAR_029363 transcript:KZM81750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSSFCLFLTLTLLHRVFTISQIVEATEVALTPPRGWNSYDSFCWTISEEEFLQNAVLVDKLLRPSGYEYVVVDYLWYRRLVPGAYVDSLGFDVIDAWGRMIPDPVRWPSARDGKGFTEVAKIVHMMGLKFGIHIMRGISTQAVNANTPILDIRTGKAYEESGRKWYAKDIGMKERACKWMKNGFMSVDTKLGAGRAFLRSLYQQYADWGVDYVKHDCVFGDDLDISEIRVASKFWRERNSSIIYSLSPGTGVTPTMAKEINNLVNMYRITGDDWDLWQDVASHFDISRDFAAAHMTGAKALSGKSWPDLDMLPLGWLTDPGVNHGPYRNCKLSLNEQKTQMTLWSMARSPLMFGGDMRSIDKSTIRIIAHPVLSEINSFSSNNKQFPYITSQSSSQIKYKGLNFQTRSLKNVGRSGSIGLRLTSCKDASAKGWFTRYLNNEPEQVCWKGHVGSKYQAPFCLNKRGAFSTSGEQMTFKRRYQHHFYLFAENTRKLCLSASQNRRLTSKEISRISFSPCRPHTNQLWSFDENGTLVSSYSGLCASMSKIKDNVVPMGTRSWISTGRKGEIFLALFNLNPDETTISVKMSDLSKGLPYSNWTISSCGAYEIWRDKYYGIIKKTLSVAVETHGCALFVLRCI >KZM83243 pep chromosome:ASM162521v1:9:29959255:29959602:1 gene:DCAR_030812 transcript:KZM83243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTILIMTTFFTSICQTHNAIYFYFYHFLGISGFWFRHSSAIINIISKTKTEFIPYTLNPLHYRKAKLQHVLHLYILLHKNNINDQQFGVGTLQTEATLLDPGELTYPLRNGFFK >KZM83412 pep chromosome:ASM162521v1:9:31579394:31579588:-1 gene:DCAR_030981 transcript:KZM83412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFSNPLSCDLCKSPGSSVLVIEGHLNGLKHAQSLMAFENKKMARAGQAWLQTVNENGRSAR >KZM82819 pep chromosome:ASM162521v1:9:24845614:24857064:-1 gene:DCAR_030388 transcript:KZM82819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLNRLEPDENTPGGLQIPGKDKLLYRPQRKSRLGLDVLAEAKRGEKADGVFKVPKDKMSSIAASMDEEVSELSEVDDVGINGSSKVGNTNRKYRDHGVSHTPHSEITSNEGQDSDARREHRSSDHARHETPATSHGSSQSDRYQNSRYDRYEAADNSRKRRDYREDSRSDSRSSRRHERGREVDSYKDGYYRHDRQYNNELERKRGRYEGSRGTPGRYDARGTPDRSDWDSGRWEWEDTPYRDSRPGSSRRHQPSPAPMLLGASPDVRLVSPWLGGHSSYSSGAASPWDSVAPSPVPIRASGSSVKSSNSRYGSRPQIPFSADNSLQYDREEGNTMFDEDKSSFFLGDEATFKKKETELAKKLVRRDGTKMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDNEEERKVILLVHDIKPPFLDGRIVFTKQAEPVMPIKDPTSDMAIISRKGSTLVREVHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTAVVGDDGEVDFKEDAKFAQHLKKDEAVSDFAKSKTLSQQRQYLPIYSVRDELLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTNGIVGCTQPRRVAAMSVAKRVSEEMETELGDLVGYAIRFEDVTGPNTVIKYMTDGVLLRETLKDADLDKYRVVVMDEAHERSLNTDVLFGILKNVVARRRDFKLIVTSATLNAEKFSLFFGSVPIFHIPGRTFPVQTLYSKSPCEDYVEAAVKQAMTIHITSAPGDILIFMTGQDEIEAACYALSERMEQLVSTTKQSVSKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTETAYQNELLPSPVPEIQRTNLGNVVLLLKSLKVDNLLDFDFMDPPPQDNILNSMYQLWVLGALDNVGGLTDLGWKMVEFPLDPPLAKMLLIGEKLECLNEVLTVVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNSYRGDWCNDHYLHSKGLKKAREVRSQLLDILKTLKIPLTSCGPDWDIVRKAICSAYFHNAARLKGIGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCATSVEPEWLAELGPMFFSVKDSDTSMLEHKKKQKESKSAMEEQMEKMKKDEAEKERRSKEEDKQKRSKQQQQISMPGERKAASSYSKPKKMGL >KZM82868 pep chromosome:ASM162521v1:9:25384542:25385054:1 gene:DCAR_030437 transcript:KZM82868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISYSFKWLPLLLAAASLITIASADQALINSICSKTRNQALCFQVFQNSASADRSGLGIISTNVAWIKAQATLSLVNSLGRKEHDPRIKGQYRTCADAYSNALDMLRECKTFFGKNDFSTANVRASAADTDVDTCSNDGTSVAPELKAANQENGDYIAIVLAVSNVSSV >KZM82953 pep chromosome:ASM162521v1:9:26279474:26280502:-1 gene:DCAR_030522 transcript:KZM82953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNKDEAFRCIGIAKEAIASGNKNRALKFIGIARRLNQNLSVDDLLSACENLDSSVPGSTNGENHVGKAKVEEVRAKVEECNYTQENVQLIRQIKRSKDYYSILGVEKSCSVDEIRKAYRKLSLKVHPDKNKAPDSEEAFKIVCKAFQCLSNDESRRQYDQVGLVEGFESNLHYNARRRRRRSDMFEERFDPDDIFRSFFGQHDAFHTSNVYRTRRTTPQPRQDQGETGPSLMILLQLLPFLLIIVLAYLPFSEPNYSLQRNYSYQFQKSTERYGVEYFVNSAEFDDKFPVGSLERTNIESNVIKDYKNMLGRYCHIELQRRQWSRNLPTPHCDKLQTFAA >KZM81482 pep chromosome:ASM162521v1:9:1715621:1716031:1 gene:DCAR_029095 transcript:KZM81482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNISFKTSFTDNILNNQQQGSSIQTVKDAEAPREWLKLSLGGDLGPPVHDNSGLSTRTFPRVYPCKFCTKEFYASYSLGGHQNAHKTERSEARRNKIQKLSFVQKPSRKRKSASNKDCGRKEPSHAEELDLNLKL >KZM82624 pep chromosome:ASM162521v1:9:21938680:21941177:-1 gene:DCAR_030193 transcript:KZM82624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFKAFISARSEELAPGGLMVISNPCKNDESELCILDPMDALGDAFIDMVKEPEIRARNNRGMEYNVAMNGGDGPNSYTRNSKIQEEALNGTKSLLIGCIRDHLDLQKSCNVFRIADLGCSVGPNTFSCVNTIVQEVQLKFNAQFPGFTSLPEFQVFFSDTFSNDFNTLFNALPSDRVYMAAGVPGSFYVPKEVLIKDSRAWNKSRISYVRSSCEVKQAFAAQFMSDFQAFIDARSEELTPGGLIFISIPCKSNESELCILDSADVLGDAFVDMVKEGLVEEELFDSFNLPLYIPTPSELIKLVSSDEHLNILKVEESFVKVKLSSPEDIMFESSHLRAVMEGIIKKHFGPDILMDDLFHRYCNKLKVFSNQFKNYDKVGIVSVAVERVIMQDELV >KZM83133 pep chromosome:ASM162521v1:9:29060504:29061254:1 gene:DCAR_030702 transcript:KZM83133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKREVKGGGGSGGGGSSAGKRGRPFGGNNSFSSSFAPDSVAPSALLGPSLQVHSAFAGTMWSYNVDLQNLEPSLIIALLLPRNSATLAST >KZM81896 pep chromosome:ASM162521v1:9:7999143:7999373:-1 gene:DCAR_029509 transcript:KZM81896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERTVCMNLTSSFAKVVLEVPNMEQIIEEEAAASQTSVAKYNMLFTLTLSSLVRILSFKSFPVRALIFGGNIFVV >KZM82326 pep chromosome:ASM162521v1:9:17276363:17276740:1 gene:DCAR_029824 transcript:KZM82326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKTASLTLLLVLNLLFFAVVSATGVTPIPESTASTYYPISKCDPLKLGVCANVLNLVDVVVGSPPTLPCCSLIEGLVDLEAALCLCTAIKANILGIKLNVPIALSLVLNNCGKELPSGFECY >KZM82615 pep chromosome:ASM162521v1:9:21874759:21879783:1 gene:DCAR_030184 transcript:KZM82615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRSRRRNVVTHENGEVSTSSVEDDLDPWTAWAYRPRTISLLLVGACLLIWASGALDPENSSAGDIVVSVKRGVCAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVSLTFLLFQKRDDARQFMKFLHPDLGVELPEKSYGADCRIYMPDNPTNRFKNVYETLFDEFVLAHIFGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGRTYEWVGISRQPSIYSKVKRTLGQFTPAHWDKDEWHPLLGPWRFLQVLSLCIVFLTVELNTFFLKFCLWIPPRNPLIIYRLVLWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLFPNPMPRGLVLFWTSVGVTLVIFLITWSCQLHRILRKKRQ >KZM82851 pep chromosome:ASM162521v1:9:25224441:25225937:1 gene:DCAR_030420 transcript:KZM82851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKNWANLQADLLIAIVERLSCLQDFIGLTAVCKSWNLSTKDYKPLLPPKYPLLLLAEDVVPGSIISDGEFDSDGSYSDVSIHYFYYEDDDDDDEDDVDDNSQTDDSVHSNNGIIDENNDHDDDDGDGDNDYDDGGGGGGGGDGDGDGDNNYDGVWPYDRYDHRNNSIGSNRGLYSLSSGRTYKIELPEAAGRLILGANYGWLLTLGRDLQIHLLHPLLRYQIPLPPMLTFPAQYRNGTPQERFDMFIHKVAMSSKVATKDAVNLLHDTRILTPPPIVMVCYGGCGFHSLAYCRFGDKEWTGVEIQSRPTDDIVYHKGRFYTVNNGGEVFVCDIQNGCERPRATKIASLPFETFQDRKYLVESVSGSTLFLLVHCCKYKIFYPLPRRSKYRTTNFLVWKMEIQDCDDCLEIPSCTLTKENNIGNQAIFVGRNTSVSISPSETVRPNCIYFTDDRTDCYHRVGGGHDMGIFSMEDRTIEPHFSGKSIHFISPPLWYI >KZM82077 pep chromosome:ASM162521v1:9:10919296:10919963:-1 gene:DCAR_029690 transcript:KZM82077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHMSCAFVTVSSKKEQNRHFVTTYQLQQQKKFKRKSIGIGQLKNTAQLKNTASPDMLKRKKHESNKVEGSATRRKLDLELNACDDEASEDSQDNAISAENLAPPPPLPLTEYERNRLAHIEENKKRMTELGVKKMAEGMQSFNLNKGKGKEKNKEKEGGDDYNPDDESEEDTSVDTSEVYIMIKLPVLCTM >KZM81565 pep chromosome:ASM162521v1:9:2702804:2703316:-1 gene:DCAR_029178 transcript:KZM81565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYSKIKLVNSSTKSRSIDFSDLQSFPLEIKNQTEPQAINSETQEPETQINTNLQKLNDSSENAEANSRSTNEGRSGMVKLSRNRSVSVSNSASNRFKIEKHSTNAVKRAFSMRRSSSVSEKYCRIHDQTLTAASPLHDDDDMQQTSVKSTKKYSSSRILKACKRLLGV >KZM82711 pep chromosome:ASM162521v1:9:22835420:22838138:-1 gene:DCAR_030280 transcript:KZM82711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLLVYATCLTFCCGSIVGGHLNGSCIHSEKQALLLLRKSLHARNDSWLLPWDGDDCCSWSGVYCNNITGQVIKLDLRNCCDKGSISQSIGNLTALTQVYLSDSSIEGIIPESIGALKSLTYLDLSFNNHGGFIPQSIGNLTSLIILDLYNNQIRGLIPESIGALTSLTRLDLSLNYLHGLIPPSIGNLISLFDLYLKYNRFSGSIPAEIGNLTKLSDLTVSANRFTGSLPESLCQLNNLSALLVDDNQLGGSIPKCIGKLSNLEIMDLVSNSWDGIISEHHFVNLTNLFSFRISSRSNLMVNISSRWVPPFQLNDIYMDYIKVPKFPKWLITQRSLADITVRNTSISDTILAIPNSVRYLDLSNNHMFGNIPALLCNLTSLKTMLVSDNKFSGALPPCLGNLTDLHDFSVMNNNLGGDIPISLGFLRFLWYLNLHNNNFQGKLPLSFQNLSSIIGLDVGKNNLSDILPGWTSKLLDLRYLILRSNNFYGEIPTDICHPSIQVLNLAKNDITGNIPPCFGNFTAIITSYNSGKEEGPIYGGLSYEDIIIDDPKGYELTYSSTLDFLYSIDLSNNNISGEIPKELTNLHGLLSLNIAGNRLSGRIPDTIGKLDKLEFLDLSRNELAGHIPQSLSNLSFLSHLNLSFNDFSGRIPTGNQLRTLDDPSIYVGNNQLCGPPILKPCPGDTDSHDFHNNNEAEFYSDDEHVWFYAGIGPGLLVGFLGFCASFHFIPTWRYFYFHSVERFSDKIALSIALWWRSGFRFFIKHKR >KZM82373 pep chromosome:ASM162521v1:9:18040539:18041633:1 gene:DCAR_029942 transcript:KZM82373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLFFIAALFLAAAASLVTCCPPSDRAALLALKASLHEPFVGIFKSWTGNDCCRNWYGVTCDPNNARVTYINLRGQSEDPIFHKANRTAYMSGTISPALCQLTWLSSIIIADWKGISGFIPNCISYLPNLRILDLFGNKLTGQVPRHIGHLKRLKILDLADNELSGWLPNSISNLSSLTHLDLRNNQISGALPRNLGNLRMMSRALLSRNQITGPIPNSLSKIYRLSELDLSLNQISGSIPRSLGKMRVLATLNLDGNKLSGKIPSKLLHSSVSILNLSKNSLDGTIPDVFGPRSYLTSIDLSYNELKGPIPKSISDASYVGHLDVSHNHLCGAIPAGSPFDRLDASSFSSNDCLCGKPLRAC >KZM81839 pep chromosome:ASM162521v1:9:6760403:6762862:-1 gene:DCAR_029452 transcript:KZM81839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIWYDMIKPQLNYWQLAQKVKGDEDVGVKVCVRVQKSKLITRNAQRVAINLIFVDALGGRIHATIPAPYIGQLENYFTEGETYDVNNFVVRRYADMQHGRCFKNDIYIQLNHMTEVMVTGGVDYIQQHVFEFTDLDALYATAHEQKNLIDVVGILEQAGPLTHFRNRIGQEEPCVEFRITDMFTSARAFFYNEMAEEFHQAIQQANQHPIVVIISSCKPQMFSEEPNVTNFQATRFFINPNHEAVDDLRNALSVANAKQLTRL >KZM82888 pep chromosome:ASM162521v1:9:25627335:25628042:1 gene:DCAR_030457 transcript:KZM82888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVRIKPTFADRRYRRRTFTVKCHDRVILTTVTSTPAVVRSWLHRVISSFIHHFRARRLVVGLGVQWREDCSQAATLQLCVGRFCLIFQLLYARNVPRSLRRFLSNPNITFVGVGNSRDRGMLSRSRHEIDVDQLVDARYVAAERRNISVRVSMERLAEMILGMSGLDKPEEIGRSNWNVSLLSHKQVVYACVDAFVSFCLGRDLDAWDWNRYITSLFISRYLYANICCLFFDV >KZM81603 pep chromosome:ASM162521v1:9:3341717:3343663:1 gene:DCAR_029216 transcript:KZM81603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINPNTKLFSCNHELIKIKSSADTTLSLDFFGYGEKKDTGLRRARAGFGDHIISSGASDDGCRLVLGLGPAPPTSHNDWRPIGLSNSNASKNILHQEMSSESDSVLKLGVSGGTDEVTALKCPFASQSASHTNQVAVVGHRVMAPVSDEGSTSAKKSGGYMPSLLFAPSINSINLFWQPEEVSNHETKSYSHPHQLSSEPSAVSDYSMSTVSEPVTDGTLSSYQTSNAKKCKFEGCTKGARGATGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGRRCEHLGCTKSAEGRTDYCIAHGGGKRCGHPSGCAKAARGKSGLCIKHGGGKRCKIEGCTRSAEGQIGLCISHGGGRRCQFQSCTKGAQGSTMFCKAHGGGKRCIFAGCTKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCAVSGCTKSARGRTDSCVRHGGGKRCQFENCDKSAQGSTNFCKAHGGGKRCSWGDGKCEKFARGRSGLCAAHSSLAQDRDTNHKGLIGPGLFHGLVHTQSTGGSSYNNTYSLSGASALSEYTMDSRDRPSKRQCLIPPQVLVPLSMKSSTSYLRPSNSEKHGEGSIRNSNVDNGSRNCSTRKNFEFVVPEGRVHGGGLMSLLGGDLKNAIDGT >KZM82944 pep chromosome:ASM162521v1:9:26118754:26118903:-1 gene:DCAR_030513 transcript:KZM82944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSPKKHMDTELRTGFIRKSVCFSLFMYFIMFLRSTLSEETCEVIYYF >KZM81600 pep chromosome:ASM162521v1:9:3249868:3252079:-1 gene:DCAR_029213 transcript:KZM81600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITTKKCNFCHSELRVSDEAQVVECPVCGCINGYGYSRSNQNEKYSKGAPGNKITGVMPNIQNHQQQPFSAAPNQGQAQLHVLLGGTKQPGSLHFVDTNCLFQQTPQASVPGYSPPLAKTTSFLQPPPQVSQSSQVSFRTLKTNYTSFQQLSQKSLSLQSSLPPVKTKPPFQLSSQTSSPPIPERIINNFFQQPQKTSSPQGSPRPSYNNISYQQPFQISSPPDQDEVNDYSWQPQKTQTSQGSPRPLYTNIPHPQPFQTSSPPGQDKFNGYSWQPQKTQTPQGSPRPLYTNISHPQPFQTSSPPGQDKSNEYSWQPQKSPSAQGSPRPYNNTPYQQPLISSPPTEYTNNSSENPQETLSPQRSPHPAYNNSTYQPLQTVSPLIPEKANTTFQLPQRTSSPQWPSRSAYSTNSHEQPLQTSQPPVQEKTENFSQLAPQASPQPVQEKISSISEQPQQSSPKGPPNSSYVNTSNQQPIQSSPHESAHQPQTSLPQGSSPRPSRFSSFLRPRAAQIPPQASSPLAHDDDYSQKLSQPSSQISSPPAKEDNNGHNQISTPPSSEAAIGFSKKPLLATAQMSASQGKPLLQAPRTPVNNNGQNYQKTVPDTRPVSSSNSTNLQPPTANSQSADNQNSRNTQAFRGNLSAAPANYINKSVADADDDPHYTKRRLQEFREQLQI >KZM81705 pep chromosome:ASM162521v1:9:4661146:4661514:-1 gene:DCAR_029318 transcript:KZM81705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKTASLATLLALNLVFFAVVSATGATPIPDSTYYPVSKCDPLKLGICANVLNLVDVVIGSPPTLPCCSLIEGLVDLEAALCLCTAIKANILGINLNVPIALSLVLNNCGKELPSGFECY >KZM83089 pep chromosome:ASM162521v1:9:28620361:28624483:1 gene:DCAR_030658 transcript:KZM83089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCLANDYDHTHVESHFSPDKRIEQILQPKEDKVVSASSLNTTIAGPPSIITGPPEILASSGALATSYLPNAYAPLEQSFHYGGYENNAGNWGEYPNYVNSNNLQIIPPAMFNDKSSLFYPSGYSFDTQMAYGQFPPLASPMSPFLADGVCRTKWAIEYEEPVGDAFKFNHPDTTMFINNCNVILKAIMDKSGDADDCLLTPEAVDLASKLSEEELKNLPLPGQVDFINGGPSCQGYSGMHRFNQSFWSKNVRNFVSFNKGQTFRLAIVSLLEMGYQVRFGILEAGAFRSVHLYGSPEETLLEWPEPMHAFSAPELKVALSGNKNYAAVRSTQAGVPFRAITL >KZM83125 pep chromosome:ASM162521v1:9:28988650:28989015:-1 gene:DCAR_030694 transcript:KZM83125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSNPPSASPATPQRAPGSPGPRVSPPVTLPNFKKSGTWNAIRFGACAKVLGGLLGVEVGNAPKKPCCRLFGGLVEAESAVCLCTAIKSNVLGFNLNIPVSFGLVLNVCDVQTPPGFQCA >KZM82116 pep chromosome:ASM162521v1:9:11674063:11676261:1 gene:DCAR_031823 transcript:KZM82116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEWKNWRWFVDPRHNRVIEQLNRRLRDKNLKVRKRIVNASQNQLARYLAEDAVATKFSPVRSITYIILSMVFEFTVMFAGRTIFLLAGPFKGEPESNPGVPGQHRINPPLGQLESNVLPTTQTLHHRLGAGVGVERIRCRGVEKSTNYISRNSLETKFV >KZM81687 pep chromosome:ASM162521v1:9:4501870:4503273:1 gene:DCAR_029300 transcript:KZM81687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSSCYKQKLRKGLWSPEEDDKLIKHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSAQEENMIIELHAVLGNKWSQIAAQLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKLLSEVENDKDQKASASNNKASEQDSYELNYETSNQELVEEKPNHPYTLIDNLTAPTHEFFLNRFVSSHDQASTSKPQDLGNYLSFDYGRSDIGLTVNQNTSNLFYNPVPKSSEMMSELIASSPAIIPSISNTFLSSPSSMKPSISLPDSDPQMGSFHLLNRLQNWDTNTLTNSNTSDDSFRWGAQDVGKLEKDQGHDIHSVQGQHDNIKWNEYLQTPFLHSALQNQNSQELYNGQDTKPETQFMANLPWHQSQQPQPQPMQAAATDVYGKHFHRLPATFGQFS >KZM82767 pep chromosome:ASM162521v1:9:23768153:23768627:1 gene:DCAR_030336 transcript:KZM82767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAILESYSSDDRQHLNYTAISTSEEFRRYVILVKDLHRIDLFSLSAKERIAFFLNLYNAMVIHAVIKVGHPVGMVDRRSFNNDFLYVIGGQPYSLGEIKHGILRSNRRAP >KZM82221 pep chromosome:ASM162521v1:9:14016094:14016741:-1 gene:DCAR_029895 transcript:KZM82221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLWDPPEGEALKINVHCITTAEPLPNGNSNSVGVVVRNEAGQEVWSAAGPMPGKSKLQATLWGIYHGALQCHKLEKWKTHIETDHWRALEAISYQEEVPQQEEVQEVLRLYNTLHSNNFKIGTTDRAITRIPVVRNGIAAYLTRYGLDHMKHFAETPSSFGEKQFMLDRDMGLLFDEHPPANFGMREVIDGEAPQVAGRILLCQDQGEALLFF >KZM83190 pep chromosome:ASM162521v1:9:29489303:29498732:1 gene:DCAR_030759 transcript:KZM83190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMRIAVIGAGISGLVSAYVLAKAGVDVVVYEKEDSLGGHAKTVTYPNMMEFFESLGVDMEVSDMSLSVSLDDGQGCEWGSRGGLSGLFSQKKNALNPYFWQMIREIFKFKNDVLRYIEDLENNPDIDRDETLGHFISSHGYSELFQKAYLIPMCSSIWSSPSEGVKNFSAYAILSFCRNHHLLQLFGRPQWLTVRWRSHSYVNKVKEELTSRGCQILSGCTVQSVTTVDGGCDVICEDGSQARYDGCIMAVHAPDALKILGQATYDEQRILGAFQYVYSDIYLHRDTNLMPKNPAAWSSWNFRGDVNNKVCLTYWLNVLQNISVKGLPYLVTLNPSTVPNHTLLKWTTGHPVPSVAASKASLQLDDIQGKRSIWFCGAYQGYGFHEDGLKAGMVAANSVIRKNSTLLRNPKHMVLSTLESGARLFVTRFLRSFITTGCLILLEEGGTVFTFEGTAKKSSLKVYLRIHSPQFYWKIVTQADLGLADAYINGDFSFVDKKEGLLNLFMIFIANRDLKTSVSRVKNQRGWWTPMFLTAGIASAKYFFHHVSRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCAKFKMDGEDLKTAQQRKISMLIEKARAEKDHEVLEIGCGWGSLAIEIVKRTGCKYTGITLSEEQLRYAEMKVKEEGLQDNIKFLLCDYRQLHETNKYDRIISCEMLEAVGHEYMEEFFGCCESLLAKDGIFVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRVTSAMAASSRLCVEHVENMGIHYYQTLRCWRTNFLGKQSKILALGFDQKFIRTWEYYFDYCAAGFKTHTLGDYQVVFSRPGNVGALGNPYIAIPSADH >KZM82358 pep chromosome:ASM162521v1:9:17848474:17850207:1 gene:DCAR_029927 transcript:KZM82358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEKSKLQKEAHKPELKTLKRIKKRKPLEDLTPDSDSMKSTRNKKKKHKSDEPISSNPEKLETLKKKHKSDKPQKSHSESDSVHTLEKEEEKKKTKKITHDSDSIQIVEKKKKKNKSDKPQKPRSAKPEILGFEIDADSVPSPRKKKRKSDTHSIESRKKEKKQKLDRLQSTKPKNLDSEIEDVSIQTPKKKKKQKWLESQKPIAVVPEILDSEIDSDSIQSLLEPHTKDQLIEFLLDSAVKTPSILFKIMETANEDISHRRIFVHNIDWDTTRGSMVSVFEKYGALEACDVAYDRVTGKTKGFGFVVFKSRESAKNALKEPKKRIDNRIASCQLASIGPPVVGGVDNSSRKIYVSNVDKDVDSLKLREFFEKFGEIEVGPFGFDMQTGKSKGYALFVYKNLEGVRKVLEEPIKIFDGKQLSCRMANDWNGRKKNSKSDSSVTTVMMPVPHDLSAVLPEQNMAMVGQPAGFNPMLGQLGFNTIAEGLYANPSAALLNQLLSQPGIGVNQFGQMGVGLGGYGEAMTGQVMEGLGCFGETITGQEMGDLGWNQSVLGPFSGRTSSPMLQGWQHAYSDT >KZM82574 pep chromosome:ASM162521v1:9:21269431:21271300:-1 gene:DCAR_030143 transcript:KZM82574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGSGSSIPQPSHAAGRKRRGSGSALDDFLRERLSKIQQRGDTNSGLNPKAGHETTRTPLFTVDKSSFIDIPSDQNVLRNTRTPLCSVDPNSLFTEPSHQHDPNKTFTGPLDHNAVPKKSAVEFASYGTVNSIAFQNQNDKENIPFSTPQQGNSGSIRKSRGPSVQTILDRKSDALSTSTKTPETKKRGRGPGVNKLFNSLQDKSGSSGGIHQETKKRVRGLGAKTLARQKLAQDAQDASVQSASQKHWSETPKSALTFQQFSSNGKNSTPEQHSSHTGPNRNGHTQKTSIPSSFLKEFGRDGMFLVAKNSWYSSTSEHGPSRI >KZM81398 pep chromosome:ASM162521v1:9:685975:691409:1 gene:DCAR_029011 transcript:KZM81398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRKRGRPIKQKPNKEHQESPNDNKNEYEKMRQERIKENLARMKQMGILELSKKLSGESRKASPSKKSRGCVRKIQALPDSTRRSSRLNTMTRVNYAEGAHSPKKAGRAARVTEIHLQQGSEPEIYTAEHEKLLGDCKTKWALYVDGYDKNGKRIYDPVEGKGCHQCRQKTLGRRTRCSSCKTGQGQFCGDCLFTRYSDIMLENCSAHNVKRLGFKSVAHYLIQSRFAQTIQEGPTKEDPDSQNGLLPTTNGESEPTYGISNSQSDGNEEIEEDSDLGSMNGGTYNQDGNIEDYDTVNNNERK >KZM81506 pep chromosome:ASM162521v1:9:1912070:1912921:-1 gene:DCAR_029119 transcript:KZM81506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEALSSPTTPTPPPSFHYKTLPKNQNPSKTIDTTNDDNHSSDPCMKKKRTKRPRYETPSTEEEYLALCLIMLARGTTDTASINKTADTKPVEVKPNQTYPVYPADSGTNHQSQNSSTDHYVPYKCSVCDKVFSSYQALGGHKASHRKLAGAADDVSIMNSGIPLSSVLTPSGRAHVCNICHKAFPTGQALGGHKRRHYDGVIGSAAGSGVTSSSGTGSIHLQGHHKEMDFDLNLPAEPEVGVPMEISVDFGRKSQLSGEQEAESPHPGKKARFSSGLGLGY >KZM82606 pep chromosome:ASM162521v1:9:21795704:21798931:-1 gene:DCAR_030175 transcript:KZM82606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISNPHLPILLTLTLLHLTPLYALLNPQETHSLLSFKSSADTDNTKLSSWTNQTDPCATWVGISCLDNRVSRLVLEDLQLAGNFEPLTSLSHLRVMSLKRNNFTGRIPDLSKLTALKLLFLSHNAFSGEFPVGLGRLFRLDLSFNNLSGEIPVSVNGLSHLLTLRLEGNSFSGDISRINLPSLQEFNVSGNKLAGEIPGFLAGFPGTAFTGNLGLCGPPLKNCSNDPTRPGIIPGTRPGLSGSGSVPPVIVSSSPTSLPKNEVAPIKSGNDEHGGGSKISPFAIVAIIIADVIVLAVVSLLLYCYFWTRKSGNGVGSAQIVEGEKIVYSTSPYPTGQHGFERGKMVFFEGVNRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKEAGGGSGGRREFEQHMEVLGRLRHENLVSLKAYYFARDEKLLVYDYMPNGNLFWLLHGNRGPGRAPLDWITRLKIAAGSARGILFIHNSCKSLRLTHGNIKSTNILFDSSGNPRVSDFGLSLFATSVAPRSNGYRAPESPNDSGRKFTQKSDVYSFGVLLLELLTGKCPSTVVDGGGIDLPRWVQSVVREEWTAEVFDLELMRYKNIEEEMVGLLQIAMACTAASPDQRPTMSQVVKMIEEIRGVEVSPSHDSLSEDSPAVSASDDNCGGASQ >KZM81498 pep chromosome:ASM162521v1:9:1843278:1844875:1 gene:DCAR_029111 transcript:KZM81498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLETEHPAKALGWAASDTSGVLSPFKFSRRATGDNDVRFKVLYCGICHSDLHMIKNEWGFTRYPVVPGHEIVGVVTEVGSKVEKVKVGDHVGVGCLVGSCGSCDSCCDDLENHCAKQVLTYSALYFDGTITQGGYSESMVADERFIVRWPENLPLDSGAPLLCAGITTYSPLKYFALDKPGTRVGVVGLGGLGHLAVKLAKAFGAHVTVISTSESKKKEALEKLGADSFLISRDQEQLQDATSSLDGIIDTVSATHPLAPLLGLLKPNGKLVMVGGPEKPLEVPVFPLLVGRKILAGSNIGGLKETQEMLDFAAKHNITADVEVIPMDYVNTAMERLLKSDVRYRFVIDVANTLKSD >KZM81892 pep chromosome:ASM162521v1:9:7954479:7956051:1 gene:DCAR_029505 transcript:KZM81892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYGLIHVRYLLTSRGMAAMLEKYKTYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFFMTYGHLKPQKVTQSYVPRVFGFKLHKS >KZM82222 pep chromosome:ASM162521v1:9:14021141:14021995:-1 gene:DCAR_029894 transcript:KZM82222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEEPPPSEQYNVKDDVWTENVEEVETRSSNNSSKMNTKSSNTKGVDDNGNANILDHSDTGYVEEVYTISQQGKVEDQLNSIHDEKENQGTQDVQVLGETSDHEDSTGSLINPITPPEMMVEVDIADRTTDHKDSTTENPTVIEADAGIEDWRLKWQERDISSDEATASQSFQSQKSSILDDMVEESLEFENNVLLNSINKMSIKSRRGRPSKGKVKVKEYKAFKVPRRRKIRGMKLGLPVIAADKGPFDEAKLVYESALNMGLLPEHSEEKSLHLIRANLGN >KZM81361 pep chromosome:ASM162521v1:9:348207:348783:1 gene:DCAR_028974 transcript:KZM81361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSTSPVSLFAISLIFFLLANSGSITEVDGKVCEKPSLTWSGKCGNTQHCDKQCQDWEGAKHGACHSRGGWKCFCYFEC >KZM82830 pep chromosome:ASM162521v1:9:25090383:25091804:-1 gene:DCAR_030399 transcript:KZM82830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAGNRIFSSRSMNIIPKSLYPAETKTLQLLGQPSSTKASIKKDQFPPISKKNQQRIPRHLIKISTAEGRWQGKWNSEYNLSLRDLQLQDLAEDGNGEAKVSISLCVDKHAGFGLSVDGRISTCFTRKCCNCSLPYCREVIYVNPGCEADLDTLVQDTIRLTTSVEETCSELCKKAEPTLLRKNILLIINPTIEHRIQAIQLKDLSFKFADINKQKSASIDKRWSRLLELRKTYS >KZM82845 pep chromosome:ASM162521v1:9:25190733:25193291:1 gene:DCAR_030414 transcript:KZM82845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSNGAFQDGNPLDYALPLAILQICLVVIFTRVLAVMLRPLRQPRVVAEIIGGILLGPSAAGRSEKFLDRVFPKKSLTVLDTLANLGLLFFLFLVGLELDMRQVRRTGSKALAIAMAGIGLPFVIGIGTSFILRSNISEGAKHAPFLVFIGVSLSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWILLALAIALSGDGSPIIALWVLLCGVAFVVGAFVILTPFFSFICRRSLEGEPVKELYICMTLVVVLACSFATDTIGIHALFGAFVVGIVVPKDGPFAGVLIEKIEDIVASLLLPLYFVSSGLKTNVATISGGTSWAMLVLVIFTACFGKIVGTVAVSLAFKVPFREALAVGFLMNTKGLVELIVLNIGKDRKVLNDETFAVLVLMALFTTFITTPIVMAVYHPARKIAPYKDRTIQRKNLDTELRVLTCFHSSRNIPTIINLIESSRGTRRKGRLCVYAMHLMELSERSSAISMVHKARNNGLPTWNKKADEKDTMVIAFETYRQLSSVVVRPMTAISELYNIDEDICASASQKRAAMIILPFHKHQRVDGVMESLGHSLHQVNQRVLRRAPCSVGILVDRGLGGTTQVTASDISFTIGVPFFGGKDDNEALAYGARMAEHPGISLTIVRFVTSPETTMRKVCGLDDGGSKHPTPKSDSNTIESTDDLLSEVKTRNAATVYEEKMVASKEDIITALKDMNTYNLLLVGRLPLDLPNKLVKSSDCPELGPIGSFMASPGFSSTTTSVLVVQQYDQFTTPQLVAEDDGQLPEMPDTPV >KZM82427 pep chromosome:ASM162521v1:9:19013194:19013697:1 gene:DCAR_029996 transcript:KZM82427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGLLKYLPAVKMEQKLVKKLVENFDVGSCSLFGLTITAQHGGEILGFPSKGKLVPKAISDVERDKFKAKYEKLNFKKLTELLKKADLDFTETFMLYAFGHFYCSCTKDVPSQKLYNALSVVPSAREYNWGKFVCESLLEAITIKEEKVKQIAIHGIWILGAAHTF >KZM83287 pep chromosome:ASM162521v1:9:30423423:30424848:-1 gene:DCAR_030856 transcript:KZM83287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSVLFNKPLAWAIFSGDQMMTYLCLAATASATQGSLFAKLGEPALQWMKICNMYGKFCNQIGEGIASSVLVSLSMVVLSAISAFSLFRLYGENKVKNNARW >KZM83103 pep chromosome:ASM162521v1:9:28730851:28733330:-1 gene:DCAR_030672 transcript:KZM83103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMEQLKILNLSECAVLTTTPDFSGTPCIEDLVLHGCLNMVEIDPSVGHLLSLVKLNLMGCTSLKCLPGSLCSLTALEQLDLDDCSVLEGLPDRLGNMKSLMMLSANNTAIINLPESIGRLKKLSKLSLHRCKKLKYLPSSICNLTAVEFVDFSYCTYLERLPDRIGDMESLNMLGAGGTAITSIPESIGDLSKLKGLPDNIGNVISLREFRACHTSFREFPTCVGNLKNLEILVIQFQKGWLVTKPVPIYSELVPPPEFVLRALNLKTLNLSNCHLVDVPDSIYCLLSLKHLNLSGNHFCTLTSRAGNLTNLESLTLTACKSLSAIEELPPNLKDIYAEYCASIEALDVSKLNYLRCMYLSYCTSLVYVTGLEGLEFITWIDMEGCRNLSATFEKILVLIFGRPQLVFRTGEDYIPGYRSPRALRPKFEDRKDNEKYICYYRRPQHFRFKQLNQESETSRDCKHSQSESE >KZM83385 pep chromosome:ASM162521v1:9:31373774:31375237:-1 gene:DCAR_030954 transcript:KZM83385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKSKKPMIPLKSGLTTTLFFVILFTIPALLLLHTPPTSICTSFSTYTKTFSGDLRNAEFSWNRLKFYKQDPPLERMKIAVFSRKWPSGASPGGMERHAQTLHTALANRGHQVHIFTSPPSEDSGSTLSSQESDPSTKFSPHIHYHEGEAGRWSYNKAWELYEEEQKNGLFDVVHSESVALPYWRARDVPNLAVSWHGIAMESVHSNIYQDLVRDPKEKISPGFNTTIHGVIPKVLDEIRFFQHYAHHIAISDSCGEMLRDLYQIPNKRVHVIVNGVDENDFKQNRELGQKFKAQIGLPKNASLVLGVAGRLVKDKGHPLLHEAFSKLIESHPNVYLIIAGSGPWENRYKDLGSQVITLGSMKPDQLGGFYNAIDIFVNPTLRPQGLDLTLMEAMMSGKPVMASRFPSIKGTIITDEAYGFMFSPNVESLKETLDLVVAEGSERLAQRGKACREFAASMFTATKMALAYERLFLCIKNNTFCRYP >KZM82319 pep chromosome:ASM162521v1:9:17214819:17225028:1 gene:DCAR_029817 transcript:KZM82319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHLTLCVDQLVPCSCNGSVKFAHRKCVQRWCNEKGDITCEICNQPYQSGYTAPSPRAEDTTIDISGAWTIAGSPLDLHDPRLLAMAAAERQLLEAEYDQYNNSDANGAAFCRVAALILMALLLLRHALTMGDSDSDDDDASTFFALFLLRAAGFLIPCYIMAWAISVLQRRRQRQEAAALAAASDLAFLVQTGRHRGLQVTIAAGAPITPAPASTPGPALNPHQEPIQ >KZM82085 pep chromosome:ASM162521v1:9:11011722:11012033:1 gene:DCAR_029698 transcript:KZM82085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYLNATAGTCEERKGYICERIGSSYCNDDYLTGRFTANTKLAHYYRDNGLLLHIHRIMHAVVDRQKNHGIHFRVPAKALCIVDERSTTVTTSGLNTLYQHPI >KZM81609 pep chromosome:ASM162521v1:9:3436858:3445223:1 gene:DCAR_029222 transcript:KZM81609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNKGKICTVVHGLKPTILMVIAESILGGSNVLYKLAVNEGSDLRILVAYRFLFAAAFMIPIAFYVERNRRPKLTWKVVWQSFLSALLGGSLFQNLYVVSLDLTSATFATAITNLVTAITFVLALCFRLEKLNWEKASGKAKVMGTLLSLAGAMLLTLYKGPDLFSWNTHLNLVNHGENVVRTHPNMILGAFAALGSITCYALWTNLQAKIMEYYPCPYSSSALMIVMTSIQCTLYCLCVQRDWSQWKLGWNLRLLTVSYAGLLATGVLFTMVAWCVHMRGPLFVSAFNPLMVVIVALAGPFVLDENWYLGSVLGGITIICGLYVLLWGKAKEMKTKVQLPKTSSGDMSKPLEIVLTPSQAGASENHEIGVYGENSASVVEANADEQPDEIRIVRK >KZM82876 pep chromosome:ASM162521v1:9:25492653:25493917:1 gene:DCAR_030445 transcript:KZM82876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKRERNSKEVAEMITNSCDDQEEETMIEDLRRGTWTAEEDMALMNYISHHGEGRWNSLAHSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLVILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFRDTVRYLWMPRLVERIQAASGDSTGFAKATEATGYNNSNDNINNLSVPSQVIVMPETDKMMCDNLESMVQSETNNPSSFTPENFSTIASLETDSYTNLSLDLINPIQNSYVSDELGFTDTLMSPVGYYNQGLGYQFIEQKTSNPWMDSGEILDNFWSTAADIWILN >KZM81930 pep chromosome:ASM162521v1:9:8650342:8650578:1 gene:DCAR_029543 transcript:KZM81930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTKSEKESSEESTRESLIALSHCLPDSPKPSTKTLSRDHSFKDINGDRAESHRSKLIAISNKQSSDKKARPVSPGA >KZM82428 pep chromosome:ASM162521v1:9:19033681:19036962:1 gene:DCAR_029997 transcript:KZM82428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSVVGTMNDGFFLLRQKIRYSAGYNSDHHTLATMLKSCAAISDIKLGKTLHSQVVKLGHNSCQFVCKAILNMYAKCNIFDDCLKLFRQNSSNDAITWNIVLSGFSGSRIHDSEVMKLFNKMHTAEDPKPTSVTIAIILPVCARARALGAGRSVHSYAIKTGLESDTLVGNSLVSMYAKSGLICDDANAMFRMITEKDVVSWNAMIAGFAENKFTGEAFRLFCWMLKDSAVPNYATIANILPVCAGLEENAAHRFGKEIHSYVLHRPDLMDNISVTNALMGFYSRIRRMNEVEYLFARMKSRDSVSWNSVIAGYCSNGESMKALKLFHDFVSVAALKPDHVTLVSILPACSHLCNLKAGQQIHGYIVRHPGLIEDTAVENALISFYAKCNDIKAAYRIFLLIRKRDLISWNSILDAFAESGFDTDFVNMLKWMFREGIKPDSVTLISTVQFSATLSRVGTVKEAHAYAIKAHILLGTTELKLRNALIDAYGKCGNMLYASTLFESLSENRNVVTCNSMISGYVNCGLHDNANMIFTTMSERDLTTWNLMVRVYTENDHHGQALSLFFELQNNGLKPDALTVMSILPVCSQIASVHLLKQCHGYVVRACFDDACVLGTLIDLYSKCGSIGSAHNLFKSAFMKDLVMFTAMVGGYAMHGMGSKALGVYFNMLELGIEPDHVIITTILSACSHAGLLNEGLKIFDSIDKLHRLEPTMEQYGCVVDLLARAGRVNDAYSFVTSMPVEANANIWGALLGACRNHHEVEIGCDVADRLFSMEANNIGNYVVMSNLYAANARWDGVAEKRKLMKTRELKKSAGSSWIEVEGRNPGYHGKSVEAILSPLSMRIESG >KZM81816 pep chromosome:ASM162521v1:9:6375985:6379650:-1 gene:DCAR_029429 transcript:KZM81816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLYSLPISLTILSTLLIFFINLSIPLKPPSQLPHRLLLNSPHQSCSSILDTPRLFRCQFSILHCSPSNGLINYFSLHFCVFRETPFVSIAFLGLCVLLQFYVLVKTAQSRFSVVVTKLVGHLSLSDSMGAVTLLALGNGAPDVFASVAAVGGGQARTGFGAILSAGTFVSAFVVGFVAIYAAPFSVLPGQFVRDVLFYLTAALFLFYVYLSAEIYLWQAVGFVAFYLFFVGVVFWMDLGMGRGKGKGGGGEDVGKGSVEVVVENVRSFEEGKPRFGFGQAFDQVSKVWAVPVSLLLKLTVPEPSPSEWSRFYRSANIALCPLLLLYTCKSFMPLDHPVTFLLPSTHFPLWSVVLIGSSSLAVLHYTVEKDPPKSEQMPIVIAAFVMSVFWISTVAGELLNCLAALGSLLQLPPALLGLTVLAWGNSVGDLVADVAVAKAGQPAMAMAGCFAGPMFNMLFGLGTALVIQTASVYPKAYELHFHVSIVVAFIFLLLSLMGSLLVVTWCRFRVPRLWGFCLVGLYIVFIIVSLIIAKFSI >KZM82203 pep chromosome:ASM162521v1:9:13674992:13675628:1 gene:DCAR_029772 transcript:KZM82203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSRFKAEYYSKYDNDEERLRNRPQSIPLEKFKILLQYWGHEKIKSTAAKNSNNRRKVIDTHTVGRKSFAQIGNEMKKNQSTPDTPTKADIYPKTRQGHDKKIIMNVEYVHAAILGPLLKRTLRRTMKRTMKRTMTKTLRKTMRLKKQQIQKK >KZM81487 pep chromosome:ASM162521v1:9:1755639:1756386:-1 gene:DCAR_029100 transcript:KZM81487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFLGTLVVFALLLAACFTEPALAEDSLNCGGKCSKRCSKAGISGRCLKYCGICCAKCKCVPSGTYGNKHECPCYRDMVSSKGKPKCP >KZM81510 pep chromosome:ASM162521v1:9:1940254:1941462:-1 gene:DCAR_029123 transcript:KZM81510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENEFSPIAKSSPESPVHQIHDRRPVLWNRTWIAGRWFLLVFLGCFVVCINICCFYLLIKYNPEDIFYGVLCMLLLNISCGGVLVGQARELVEDSKTVNDVPWWCYGCRSPSPLKLNSQ >KZM82286 pep chromosome:ASM162521v1:9:15983764:15987071:-1 gene:DCAR_029784 transcript:KZM82286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTSIALESLIEPRFRDSYRDSAKKSEKLPAKKSEKGDGKEVGEVEVRKPSRHRVNISPALYITPEPTPIVYSSSDSLSPSPYVANRKGRGGLRRRSEVRKEEVEARGGDMGHMAVEDGVESVKGEEREVVEESEDFVDTRCDSLSVGSSSDVRELGSVSGWNPIEFYDADDDFSHDGSVSSTLHSCHSFESEMRATRLSLLDEIGRRKIAEDALGLVYNQWQGVADVLLARAGIRYPAPSVDMQFEIGPIEQFCQEIVVNRFVSEAIGQALARAEAEIAAEDILKAKDQEISRLKDRLQYYEAVNHEMSQRNQEVIEVARKQRKKRKTLQKWLWGGLGLSIAIGASVVAYSCHQHTSEIEPLLSSSDSSSATGISSSESA >KZM82756 pep chromosome:ASM162521v1:9:23642500:23645369:-1 gene:DCAR_030325 transcript:KZM82756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNTISSIRAAMAEECNESSVATSPSIPNWWPADNHLHAGSLGSWSGNTGNINPWSGGNNSQNPNSNNSRSSGEEDVSMSTSFTNASNHSGLTVESSRRLVESVANNELMGETPDNPLWNHVFLNVGNNGELHNNQDTSNNLINAFSSKNLSTGLMFEPCDYLKKMDNGWDGSTPFNNFDQKNFNGSFSDNMFEAERLTKLSNLVSNWSIAPPDPEINGQAQFDPRSCNISLSRPNLDHYSGSGDVCYMKQNVNRDLSSYPSHGDDHDLKVELNDQRDNHTDQVNVNNLFPRAYDNGTRMGYQTGINNSMGGDNSKLYYGVHDVSCNNGRNLEDVMAFSSGFGNKLPPTNIINPSKPLLKTLNLSDCKKQTQQPSYTVQVPKAKLGDKITALQQIVSPFGKTDTASVLWEAIGYIKFLQEQVQLLSNPYMKTTNNIKDPWGGLDRKDRGDDVKLDLSSRGLCLIPVSCTPQMYRENTGPDYLTPAYRGCLYR >KZM81358 pep chromosome:ASM162521v1:9:322382:326398:-1 gene:DCAR_028971 transcript:KZM81358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPEQNPQGEEKEKDNESTEGDRKARLASLKEKAMSASTKFRGSFAKRGRRNSRVAAIAIVDEHDPEEVKEVDAFRQALILEELLPTKHDDYHTMLRFLRARKFDLEKTKLMWTDMLQWRKDFGTDTITEDFEFKEKDEVIQHYPQGHHGVDKDGRPVYIELLGKVDAAKIMQATTLDRYLQYHVQEFERTFIDKFPACTISARRHIDQSTSILDVQGVGLKSLNKPARELIQRIQAVDGNNYPETLCRMFIINAGSGFRLLWNTIKTFLDPKTTSKIHASLYHVIKYVLICQLPEILGGTCTCADKGGCMNSDKGPWQDPEIMKLVRSGAHKCNPKSAMPAIDEKSAEDDQTAGRKSVSFSVEKTSSIVSRDQSEQPPTSPPQNSQLSKIEEESAESKQARLNKRRSSLRDLKNNMSMADKKGDSWQKVRANDKLAMQQPDKTSNQIFTGMMTFVMGIVTMVRMTRNMPQNYSGAGSGSMKLQTRLMLEHQPTSNRLASMSATDETIVKRVNELEEKVTAISSKPAKVAPETQNLLDAAMSRIDKLEQEVTETKKALEDSHAQQEEFLAYLEKKKKKKKFFAF >KZM83208 pep chromosome:ASM162521v1:9:29630412:29637354:1 gene:DCAR_030777 transcript:KZM83208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWTFIDNENESTNIEDQKRLAKKFIANRLWLEKIGSRLSLSRNYAAKDIRFGVEARALMLKGVEELADAVKVTMGPKGRTVVIEQPYGAPKVTKDGVTVAKSIEFKDRVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGSKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMERVGKEGVITIQDGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIYEKKISSINSVVKILELALKRQRPLLIVAEDVDSEALATLILNKLRAGIKVCAIKAPGFGENRKSNLQDLAVLTGGQVITEELGMNLDNVELDMFGSCKKITISKDDTVVLDGAGEKKAIEERCEQIRSSIELSTSDYDKEKYQERLAKLSGGVAVLKIGGASETEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYATKELEKLQTANFDQKIGVQIIQDALKTPVHTIASNAGVEGSVVVGKLLEQDDPDLGYDAAKGMSIFVWFVSLDLFFSSRAYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAIVVELPKDDKEVPMGGGMGGMGGMDY >KZM83447 pep chromosome:ASM162521v1:9:31904268:31907676:-1 gene:DCAR_031016 transcript:KZM83447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLHRSVFSASVLMLLVLGCFVMKSPVMEKYHANPLFFNTTNPLDWMDARALPGVRHPRNDTKLILAETVVLNLFIPRNMSREEQEALNTWNLLKYLIGHEQALPNAAEAIKEAGTAWNNVMSSVEAEKVNRNKRSGLREKEKQCPQFLSKMNTTGLDSTGFELQIPCGLTQGSSITIIGIPGYLLGDFRIDLKGETLPGEPHPPIIVHYNVRLLGDKITEGPVIVQNTWTVAHEWGEEERCPSPTPEKIKKVDELDQCNESVGKDVSRVSINGKHTNQSRNVSLSPERSTGRQYFPFKQNYLSVATLRVGSEGIQMTVDGKHITSFAFRETLEPWLVTDVKISGDFILASVVASGLPTSEEVEHIVDLDALKSSPFPPHKQLDLFVGVFSTANNFKRRMAVRRTWMQYAAVRSGKVAVRFFVGLHKNQMVNKELWDEARTYGDIQLMPFVDYYSLITWKTIAICIYGTQVVSAKYIMKTDDDAFVRVDEVMNSLYKLNVNRGLLYGLINSDSRPHRSHDSKWYISPEEWPAETYPPWAHGPGYVVSSDIATTIYRRHKRGRLKMFRLEDVAMGIWIADMKKQGLQVTYVKEERIINVGCKDGYVIAHYQAPRELLCLWQKLQEGNQATCCGN >KZM82308 pep chromosome:ASM162521v1:9:17029921:17033251:-1 gene:DCAR_029806 transcript:KZM82308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVWIWLLHCVVFLCITKSVKSDPQTNLLNQGCSQFISTDVPAFSGNRNLTFSELRRQLSNNTHFATAQQSGIYAMAQCRNYLSGADCLDCFDAAVSLTLNCSSDGGARVIYEGCFLRYEAHDFYSVATLQGNTGKCSTNLSRIEPNLIDPAVESLLKDLEVATPKTKGLFAAATKQVIGVTPGSTIYAYAQCAQTVSPGVCRDCLSVAYGNVQGCPPRAGGSSVDAGCFLRYSDTPFFPSNFTTDITPYVQEGGSSSKSTIILCVVGAVCFFLLILALLLWYRLYQKKAKRATKSFSEEYKIGEGGFGDVYKGIIKNGDVIAVKKLAMTTSKAKKDFESEIRLISNIHHKNIVRLLGCSGKGSDLLLVFEYMANGSLDKFLYGEKQGTLSWKQRLDIIFGVARGLAYLHDQFHIRIIHRDIKSSNILLDVDFQPKIADFGLARLIAGDQSHLSTRFAGTLGYTAPEYAFHGHLSEKVDTYGFGIVVLEIVSGRRSTNSKSDPVTGPLLEDAWKLYEDGMHSDLVDESMDPSEYNIEHVKKIIQLALMCTQSPTSIRPTMSEVVVLLTNDRSVEQRSLSKPTMV >KZM82390 pep chromosome:ASM162521v1:9:18241000:18241617:-1 gene:DCAR_029959 transcript:KZM82390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKKMNRKRFQVAKNDLGVELTKLTNASMDLNSSLEKANELFDNMLKNIKDRQADIVKSCRKLENQYGEAIRVLDDKPNASGDESGGENELLPKGYAEDVKKFVTDCRDSFNGHGVIMKNECSAMEDLFKSYNNMLQVSIDELKNREVVIGNLRRTLRCKLSIFGRTEVEYSDSK >KZM82659 pep chromosome:ASM162521v1:9:22295593:22297032:1 gene:DCAR_030228 transcript:KZM82659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPTLNRVLIEKIVPPSKTTAGILLPEKSSKLNSGKVVAVGPGALDKSGNKIPVAVKEGDTVLLPEYGGTQVKLGEKEYHLFRDDDILGTLHD >KZM82680 pep chromosome:ASM162521v1:9:22458723:22458902:1 gene:DCAR_030249 transcript:KZM82680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQGQMTNNQQNYNYQNAGYNNQNMNHQNAPPNQTMGQNDAYNNQNEYQINDPQEQVQY >KZM81353 pep chromosome:ASM162521v1:9:272645:274010:1 gene:DCAR_028966 transcript:KZM81353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHEASTSGTKNEIELTQFPHTHFENDSDSDEDFDRYSYVYEIDENAEGQYISSSPSNISVRTPPVDVPPAQIIPPHNPYSFRHIFFIVCICLFISSALFLSTYIYIKLTSHKVVLKPSTANIISFNTNDSHGPINITYSYTFYNPNSELNLDVCDGTVALYFGLSSHLTTSFSDVSLLPHQQKIVDFNFSTKNPNFRLDSYTEHLLTTNRYYFKLTVKIRMRIYFVYFPLSFYSSKVYTCHFIVSGHPPTGSVPVKNIICE >KZM82186 pep chromosome:ASM162521v1:9:13188402:13188641:-1 gene:DCAR_029755 transcript:KZM82186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNQLREYWGWQGSNPSPPPTWLGYHVKEPVTLKSQGVRRRAYQDHILTFPLTRKPIYGSRVDHGRPAFWGITLPSCP >KZM82449 pep chromosome:ASM162521v1:9:19560286:19562409:1 gene:DCAR_030018 transcript:KZM82449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLKCSIAGTAYGVSSSDVEVVASKQMAMDLDKQDHDLAKNYLHIMTQVENLHKPIVKGFNFGDSRIMNGNCSKESHAEILLLFLRTLVICHTAIPELIEETDSFNYQAESSDEGAFLVAARELGFQFCKGTQSSISVRERHPFSTGYIERSENLVNNLGTIARSGTKEFMEALQAGLDVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVNRDTEGEQLGRGTKITLFLKEDQEEKKKREEKKKSFENLCKNIKDILGDKVEKVVMSDHIVDSPCVT >KZM82313 pep chromosome:ASM162521v1:9:17172921:17174426:-1 gene:DCAR_029811 transcript:KZM82313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGTRFRELDTRLSTHEGKLSELHEGLTKSRTEVTQQVTDMGGKMDKRLDAIGVRMEKLDKTFLEVKQLLLGLQRAEIGSGGEGHLGSSAMVVSQFSDPHMGTSLSHSSSMTVPPVSFTPLTTSLPFPPIPTYPLHIPIPTQALFMGAQTLYTSLSSFSDPRVKSVLHECSYSQE >KZM82320 pep chromosome:ASM162521v1:9:17231266:17231735:-1 gene:DCAR_029818 transcript:KZM82320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSTAGSLLEHAWHLYENDLHSDLIDETLEPDENTICAVKKIVEIALMCTQSPTSVRPTMSEVLVLLTNDSSIETKPPGKPTLF >KZM81588 pep chromosome:ASM162521v1:9:2965786:2967867:-1 gene:DCAR_029201 transcript:KZM81588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSISAPNKKPHALCIPYPAQGHITPMLKLAKLLHHKGFHISFVNTEFNHNRLIKSRGPDALLGLPDFRFYAIPDGLPPSHPDATQDIPALCKYTPIHCLAPFSNLISRLNDSSASGVPPVTCIVSDGVMSFTLKAAEKFGIPEVLLWTTSACGVIAYMHYHKLVEQGYTPLQEAEPSMQ >KZM81635 pep chromosome:ASM162521v1:9:3718421:3721596:1 gene:DCAR_029248 transcript:KZM81635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKPAGESPESGTGDGWLNLINGLEREEMVEILSRGVCDQCQSNIQARVLDLLNKKYQNISISEIASESSPEFKSADSSNLDSQQKSLESSIKTPSWGSLQTPESVYVGLRKASPLTSSPATVNNKQSSTSIGPDSSLSSALPQTPQSADKEISDEQIERIGFAQVGRNKKFVHIEKINGKATNVLQGLELHTKVFSADEQKKIVECVYDFQRRGQNGQLRERTYSEPTKWMRGKGRITIQFGCCYNYAVDKNGNPPGIIRDEEVDPLPPLFKQMIKRMVRWHVLPPSCVPNSCIVNIYEAGDCIPPHIDHHDFLRPFCTVSFLTESNILFGSNLKISGPGEFEGPVSIPLPMGSVLILNGNGADIAKHCVPAVSAKRISITFRKMDDSKLPFKFTPDPELQGLRPLGSKPLNYSPVQNKLKTETITPSVPITAGSTPFSIGKDDFPPLGGSKSVKRRPGSRRSS >KZM83340 pep chromosome:ASM162521v1:9:31024752:31026681:1 gene:DCAR_030909 transcript:KZM83340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEQDKGLFPHHSGGGGYPPGQYPPAGGGYPPQGYPPAGGGYPPQGYPQGGGGYPPQGYPQGGGGYPPQGYSPAGHHSGPHMPGGVAGMVAGGAAAAAAAYGVHHMTHGHGHGHGHGGHGGYAHGAMGMPGMGGYGHGKFKHGKGKFKHGKHGKGKKHGKKWNCINLSAIERMWGSGHNHHHHHHGQYPSYGGYPPQSYPPVAGCPPPVYGPSGYPYTHHPGHGSGVVGMLAGAVTSYGANHMAHGHGHGHGGYAHGGYGGHGGYYGHKHGKFKHGKFGKHGKFKKWK >KZM83185 pep chromosome:ASM162521v1:9:29459919:29462123:1 gene:DCAR_030754 transcript:KZM83185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMLYVMLSKYLEFYFPMTILFCIIVMFSVRFYVKRVSRNVYLLNFACYKPPASLMCSKQQFMDLSRRSGAFREESLAFQKKVLERSGIGEKAYISEGLHRVPAEVSTREARKEAEKVIFGAIDELLSKVQNVKVSDIGILVLNCSMFNPTPSLSSAIVNRYNLRSNILSYNLAGMGCSAGNISIDLAKNLLQVHSNYYALVINLECSNENWYRGNDRSMLVSNCIFRMGGAAILLSSCPIDRFSSKYQLTHTVRTHKGADDLAYNSVLKQEDDDTIVGVKLSKHLIAVAGDAIKTNIMTLGPLVLPVSEQLVFLATMLAKKVLKMKIKPYVPDFKKAIEHFMIHAGGPAVLDAVEKNLMITEWHMEPSRMTLYRFGNTSSCSIWYQLAYAEAKGRIRKGNRVWQIAFGSGFKCSSCVWHALRNVDPVLEISPWTDLIDDFPVRVSKAQVFE >KZM82075 pep chromosome:ASM162521v1:9:10818184:10819106:-1 gene:DCAR_029688 transcript:KZM82075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRSEHPSDDVLSHFESSESKDSSNASDEDSSSAFDSPASEQDNSVPLSDTSPQATCAVSPDRIKRWTPKCDYQHKPRLNMHFPTIGDAFLYYTEYGGQCGFDVRKSTLKTNRKGKILAQYCSASMVETRIKIS >KZM82020 pep chromosome:ASM162521v1:9:9967677:9972741:-1 gene:DCAR_029633 transcript:KZM82020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRNSLSFSWKLIIPPTSPNSSALTHIRSTSSIVTPTASTSDTQSLGFRVADRAVANTTSLSAVDVARHYGRCYWELSKARLSMLVVATSGAGYVLGSGSAIDYLGLSYTCAGTMMIAAAANSLNQIFEIKNDAKMKRTMRRPLPSGRITLPHAVMWASSVGVAGTALLAWKANMLAAGLGASNLVLYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASGSISLNGMILPAALYFWQIPHFMALAYLCREDYAAGGFRMFSLADASGHRTALVALRNCLYLLPLGYVAYDWGITSGWFCLESTLLALAITGTAMSFYVHRTTETARRMFHASLLYLPVFMSGIMFHRLSDNPELISVKNSEALVGYTSTSEASVKELENSDQQKKVKRVDPSGRQRRPPVAFASVAPFPFLPAPSYSSY >KZM82229 pep chromosome:ASM162521v1:9:14123216:14131639:1 gene:DCAR_029887 transcript:KZM82229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGSWRKYIIEVEAAKAAEDGKPSMGPAYRSVFAKDGFPPPIPGLESCWDIFRMSVEKNPNNRMLGHREFINGKPGKYVWTTYKQVYDIVIKVGNAIRSCGVQERGKCGIYGANCEEWIISMEACNAHGLYCVPLYDTLGANAVEFVMCHAEVIIVFVEEKKIPEVFKTLPKTKEYLKTIVSFGKVTTEQKEEVQKLGLAIYSWDEFVSLGENKNFELPVNKKTDVCTIMYTSGTTGEPKGVMISNNSIVTLIAGVRRFLESFNETLNEKDVYISYLPLAHIFDRAIEECMIMHGASIGFWRGDVKLLLEDIGVLKPTIFCAVPRVLDRIYSGLTEKISTGGLLRHTLFKVAYSYKLRGMNGGNKHDEAAPLCDKVVFNKVKQGLGGRVRLILSGAAPLATHVEAYLRVVACCYVLQGYGLTETCAGTFVSLPNEQDMVGTVGPPLPNVDARLESVPEMGYDALSSTPRGEICVRGATVFSGYYKREDLTKEVLVDEWFHTGDIGEWQPNGAMKVIDRKKNIFKLAQGEYVAVENLENIYGLVTEIESIWIYGNSFESFLVAVVNPKTQAIERWAKDTGLSGDINSLCENPKVKDYILEQLTKLGKEKKLKGFEIIKNIHLDPVPFDINRDLITPTYKKKRPQMLKYYQDKIDKMYKK >KZM82433 pep chromosome:ASM162521v1:9:19116546:19117061:-1 gene:DCAR_030002 transcript:KZM82433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPNLLLLFTLSILTFSIFTSSSSSPSIYDILNSHGLPIGLFPKGITNFTINPSTGRFEVYLQQSCNAKFESNVRYDLNVSGTIRVGQLGELSGIAAQELFLWFPVKGIRVDIPSSGLIYFDVGVVFKQFSESSFESPRDCVGTEGRLAETDGLVLVDKLRAVQVDHSIL >KZM82599 pep chromosome:ASM162521v1:9:21694638:21695806:1 gene:DCAR_030168 transcript:KZM82599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVNLSGSSEEESELRRGPWTFEEDSLLTHYITSHGEGRWNVLAKSSGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLAILELHSKWGNRWSKIAAELPGRTDNEIKNYWRTRVQKQARQLKIDCNSKRFLETLKHFWMPRLVEKMGQTSSQSQSSSSLSSTTNTQNSETPGLLTVPQQVKYTPYQATNENPSSSHCSSDSMKKQSEKECYHVDIDNFNVEDFMSGDILMPDCQVEAIDWINSEDAATYWNMDELWELKK >KZM83642 pep chromosome:ASM162521v1:9:33641565:33643525:1 gene:DCAR_031211 transcript:KZM83642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDSKEDIPELLPFDSTKKKKKKKVVIQDHGDDGVDNLADKAQNLPISEGTENAFAGLKKKKKKPVETNSNGSAHLGGDPDDEAEGEGSEKQPQYPWEGSDRDYEYEELLGRVFHILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCRAMHRQPDHVMTFLLAEMGTSGSLDGQQRLVVKGRFAPKNFEGILRRYIS >KZM83076 pep chromosome:ASM162521v1:9:28543189:28543623:-1 gene:DCAR_030645 transcript:KZM83076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDCKEEEMETELAKCECCGFKEDCTQDYITEVKSEFLGKWLCGLCSEAVKDEVNKQNGLNNVVHGLEEAIKAHISFCSKYKANPAILVAEGMRQMLRRRSGLLLSSSSSLSSSSSSTSSKKYSRSSSTSEVGNESLLCCNKLV >KZM82189 pep chromosome:ASM162521v1:9:13219706:13224957:-1 gene:DCAR_029758 transcript:KZM82189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNELEYKLKRLISGDEDFRSRRLLAGYSFADCVFYYQGRKRETLGTTIPFISALEFRPLNNTAYRSGSGSLQTLQRCDCAEMPSTFTRYTDDIYDRIWRPFKWTSTGTSTQSEISNLNEYRVPVNVLSTASGPDDVSEPFGFYWDATSASDQFYIYLHFAELVETPQSNQIREFNIYLNEELWYKRGRFVPDYLKVFTIYSDSKETTGKDRYTISLKKTERSTLPPIINAYEIYSVKNFSDSGTNETDVSAILNIKSTYKVIKDWQGDPCEPQDSQWDGLNCSYPSSNHSARIISLNLSSSQMTGEIISSIANLTQLNTLDLSNNSLSGQVPEFLSLLPLGVLNLKGNKFTSPLPAQLLKNQKNGSLSLSYDSVGEEKKTNKYIPAVVGTVLGLVLLAAILFGIWMIKGRKMQVFKKTGKQPQVSTGSDIERKDRQYTYSEVLEMTKNLQEKLGEGSFSIVYRGSVGDTQVAVKILNSQKTTKRDFKNEVRLLMRVHHKNLTSIVGYCDEDPYMVIIYEYMAGRSLKEYLSGKSRGISNWVERLQLALDIAQGLEYLHDGCKPAIIHRDVKTSNILLNEQFQAKLADFGLSRAYSDEGGTHVSTNHVAGTHGYIDPDYEYTKKLTEKSDVFSFGVVLLEMITRQPPLIQSRTEETIYIYRWVSMKVQNGDVREVVDPRFGRNYNVRSVQKAIELALSCTSRESSVRPTMNMVVTNLKVAAELCTHDVDAHPQDSNEISTDRERSMVPRVPPLPKTNVLMQDPLYFRGATA >KZM81889 pep chromosome:ASM162521v1:9:7903789:7905568:1 gene:DCAR_029502 transcript:KZM81889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCASSVPWIIAKGGPNGAYKEVELTKRGGSELRYLRVYEETYKSLRSRGIRFPDRDGESLAPIFTPPRLTTAPEPNASLAQQMYNEVPVISFSPEHCKKQY >KZM83129 pep chromosome:ASM162521v1:9:29001587:29002132:-1 gene:DCAR_030698 transcript:KZM83129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSSLPLVLFLFLNLLFTGLAVSSSGCDSPPKHSSKHKHKHHDHNSNSPPMSNSNPPKLPPRFPLPSSPQSAPNSPELPPVSPPLAFPNSRKSGTCPRNAIRFGACTKVLGGLLGVRAGTAPKKPCCRLFGGLVEVESAVCLCTAIKANVLGSNLNIPISLGLLLNVCDIQTPPGFQCS >KZM83636 pep chromosome:ASM162521v1:9:33564633:33565510:-1 gene:DCAR_031205 transcript:KZM83636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGGDTESERTAFRKAEKIYKLYYQSNTKKKKQPRAVDLTQVLDFKSIVQLFNANGQVPPGVSLAAAPQFDRPVFCLEKHPGFYFIPQALSVAEQCHWIRESLTSFPQPPNRTNHNAVYGSIHDLFIAAKERKVLVENQNCLKGLLPDNNSLATDLAYRWELSEEPSVTSRESTIKSISASTLLRKLRWSTLGLQFDWSKVIF >KZM82389 pep chromosome:ASM162521v1:9:18232926:18234544:1 gene:DCAR_029958 transcript:KZM82389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDDNDSSTPGLGRKRCGRFSALDDFLLNRVSKLQQRVTHPSTEHQTESHGTQSSTRTACGTIHTNLSLNKTSEHLHPHVVNIGSQSNSRTALGTIDTNVHPKKITETPHLDVIEIVSKCCCAESKKRGRGPGVNKMFTSLQKENQPPKDNPQGTKRRGRGLGVKTLAKQRLGQESQLTPKDENPGNAGRKTNTQATRLIDMIGDSRQHNHLPEHKRVLPIVLHESIQLKY >KZM81431 pep chromosome:ASM162521v1:9:1147343:1150293:-1 gene:DCAR_029044 transcript:KZM81431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRGNIFLYLRGSSECILPLSYVFCFVIYLSLWTSVNSLLTAKGVNLEVQALMSIKAALKDPHGVLAKWDSDAADPCSWTMVTCSPDYLVVGLGTPSQTLSGILSPSIGNLTNLQTVQVLNRKFICRGTSSQSLSGVYLKRVNDRSDDEIDEMYSTDPVPDMSTTPATKTVITDE >KZM82471 pep chromosome:ASM162521v1:9:19874904:19877957:1 gene:DCAR_030040 transcript:KZM82471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHLLPIRKRRSTSEALQDGRRRRVTFADQISTICHYSGTEGSVSRLDFVDACGGGDVVPSPVSRTRTSNNSIGVQSQTASNHCSPKSGEGSKQTSVPTYLFPKNAPALERAWCGIMEVDGMKRLSVKMTAHLSAIVSRNAFELSKKMNPELHSQLLPRTKCHPDIFQESCPNRDDIALYFYPSRDERANGKYSELLRGMADNDLMMHAHIDGIELLMFPSTILTADSQTVNNSYFIWGLFYRRAMDQGTQESSGDLKR >KZM82294 pep chromosome:ASM162521v1:9:16331804:16335701:1 gene:DCAR_029792 transcript:KZM82294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYGRDPWGGPLEIAADSTADDDRSRNSQDFDRAALSRPLDETQQSWLLGPGEQKKKRYVDLGCVIVSRKFFVWSVGTLLVAGLIAGFITLIVKTVPRHHHHPPPPDNYTLALRKALMFFNAQRSGKLPRHNNVSWRGNSGMNDGKSDPSWTLTKDLVGGYYDAGDAIKFNFPASFAMTMLSWSVIEYSGKYEAAGELNHVKEIIKWGTDYFLKTFNSTADSIDRMAAQVGSGQTSPGVPNDHYCWMRPEDIDYPRPVTTCTTCTDLAAEMAAALASASIVFKDDKAYSEKLVHGAKTLFKFSRDKNRARYSAGNEAEFMYNSTSYWDEFVWGSAWLYYATGNNSYLSLSTNMKMAKHAGAFWGSPDYGVLSWDNKLAGAQVLLTRLRLFLSPGYPYEEGLKTFHNQTSIFMCSFLPYFTSFNRTRGGLIQLNHGRPQPLQYVANAAFLATLYSDYLDAADTPGWYCGPNFYSTDVMRKNPRKMSYLVGFGNHYPKHVHHRGASIPKNKIKYNCKGGWKWRDTSKPNPNTIVGAMVAGPDRHDGFHDVRSNYNYTEPSLAGNAGLVAALVALSGDQKKIDKNTMFSAVPPMFPTPPPPPAPWKP >KZM81941 pep chromosome:ASM162521v1:9:8854928:8868353:1 gene:DCAR_029554 transcript:KZM81941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSENKPHSSATHCCILHDFYKSASKTPEKIAVIHAHGGAQLSGLSGDDNRDEQFQRLVEERTISNNPPVYEGDECFTFAEIVAAVENLSRRIRNVISGGDDPALVRPTLGNHGKQSFSDRASVSVDIVCSSVQCSVVQQNTYTPRILGIYMVPSVDYIITVLSVMRCGEAFMPIDPSWPDEKISMVVSSSKADFVIGPAYPADGKRYYQLAKARDLFEYIRCPFLYISMKDNLHDHFGPSNVPYPCENERLQQFCYVMHTSGSTGKAKGVCGTELGLLNRLLWMQGVYPLCGEEFLLFKTSISFIDHLQEFLSALLTGCTLVIPPFQELKNNPLSLVDYLKCYSIARLVAVPSLMRIILPTLQSPRIISIQASLKLLVLSGEIFHLSLWSKLSELLPKTTILNLYGSTEVTGDCTYFDCQRLPSILESEELSTVPIGIPISESDVYLFGEDISSEGEIYVSGVCIACGYLGDSTINLLDYVKLGEESLFDISSVGLESRYFYKTGDFARRLKSGDLVFLGRKDRLVKVNGHRISLEEIENILREHHNVIDAAVILREPGEDPILEAYIVTKEKDGDFQVSNSIRSWMVKKVPSAMIPSQIFCMKSLPTSSTGKVDYSLLADTKTCATPISSEAEGFQTSELLHVIKKAFCNALMIEVVSEDDDFFSIGGDSISAAHAAHNIGIDMRLLYTCRSAKKLNIALSEQAVFCKNNDILGVNAKADMLVPERNTFLPVGTNRLYFDRSELLEKSLTIHCKENADNPPLNGSQIDSFIHPNSVSPRTLDPWMSRSVQMACSFGRGNRSMYSEEYNRRSLCKPARLKKNPSDEVTPLQELWKVHLESCVDASPLLVMREGDIFLYIGSHSFKFLCIDARSGSVKWTVKLKGRIECSALIVDDFRQVVVGCYEGNIYFLDFLDGNFLWTFRTGGEVKSQPVEDKCRHLVCIYGSPAIDEVRNKLYVAATSGFMTAISLKDNQFSKLWLQDLGAPVFGSLFIDSYNGNVICSLVSGDVVAMNANGSIIWKARTDGPIFAGPCVSEDLPSQVVICSRDGSISSFEIGTGKLVWKHDIKDPITSSAYIDENIQVASDSSHLSERLICVCGSSGSIHVLKIMLKDDEKTNQQCKYVVQDFARLDLQGDIFSSPVMIGGRIFVGCRDDSVHCIGI >KZM82154 pep chromosome:ASM162521v1:9:12466220:12468059:-1 gene:DCAR_029723 transcript:KZM82154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVPQEQFHQLIASSRTLSSLPINPLPFSSSTPHPFPINFDAYPSSSPSQFHHLHQPPLLLPNKSQHGGDNDGDKKGEEGATLLMDPWSNDQVLSLLKIRSSMDSWFPDFTWEHVSRKMEEFGFKRSAEECKEKFEAETRDFNTLCFNKPRFDSELEELYHVDKMQKKNEDQDKDEQGDLLAGNVAIHNLPEQRAHEELKKSTASSSSSKQSQERKKRKREKFEKFKEFCVEIVNKMMVQQEEMHNKLLEDFVSRDDEYIAREEAWKQQEMENFIKETEIRTREQAIAGDRQATITEIMNNFTSQEAFKKIAVSYEELLKVSNTLSSLTSSYQNIIPQNSHLNPSLIKPQKPSNERDDTGKRWPKDEVLALINMRCKLYTDNGNSNEEGAAGGSRGSLWERISQGMMELGYKRSAKRCKEKWENINKYFRKTKDVNKKRSVDSRTCPYFQQLSSLYDQGTLVVAPDTSS >KZM82654 pep chromosome:ASM162521v1:9:22231602:22234559:-1 gene:DCAR_030223 transcript:KZM82654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLKDAVMKLFVNIGPEQKGSIQAHINNFVSDFKPPHHPPYAALEAFLSVSCGAGHSVHSVAGYDPRMIHRAIEELSERRGSSEDSISQYIRKQYTDLPLAHNSLLKHHLRELSNSGEILMTGRHLYLIPSTDARLEPGVTAEKVKLKRNKKTRRGSGKIGKKYEESDEDEEIYYEESDEHEEKYAEAKGKTGRNDREGDELIGELIHAANPALEFCVTAESDKPKRKRMRRGRGRIDGKDLIEQEESERNYNWERLSESETLQQLESNTDYYTANYTISPSTRSGIEVRVTQPNNVEDEVSVGGGSNDVMDVELETCGEESNGEDENRATGDIILGLNVLACNHISARSFDIIFGFRGKNK >KZM81446 pep chromosome:ASM162521v1:9:1295953:1302785:-1 gene:DCAR_029059 transcript:KZM81446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCAVGCKLGFIRDKKADATFGAAKTDKGPFVLRSMEPVSYYPENNIDYARRWFLLGRSLSLCFRRGYAYIMTTSLSSDIPAGYFSWAEYDIMAPLQPKTENALAAAFISNCVAHNLRLQALEGLKAANITVDSYGACYRTRDGDVNKVDTLKRYKFSLAFENSNEEDYVTEKYFQSLVAGAIPVVVGAPNIQDFAPLPGSVLHIKEVKHVEPVAKTMKYLADNPDAYNQSLRWKFEGPSDSFKALLDMAAVHSSCRLCIHIATMMREEEENNLNLTERPCKCTRGLETVYHVYVRERGRFEMESIFIRSGNLTLKALESAVLLKFKSLKHVPVWKEERPKILRGTDELKLYRVYPLGLTQREALYTFSFKGDDELKIHIEENPCAKFEPPLTTTLPGSTTKNSDTLLHKMSSFSVKFLAPPMLQNTSFYTKNSRLHATPPAQTAVPPPSAAVEGGDGGERLEARVEKREGYWVLKEKFRKGINPRITFFKLSQTAPKLPNTHKMLRCKKLH >KZM81909 pep chromosome:ASM162521v1:9:8318779:8319570:-1 gene:DCAR_029522 transcript:KZM81909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCNKHFATDLTSIDGVCSTCLTERLIIVIEAQTRANLESKLAGKSDRTCTSSGGESPEQICVSPSVNCKRVESGGVSVSDSRFYSTPQVLFKKKVNKFSMVSNFFRSKDPNSNSDPDPCLDPKSSPWRIKSMLPTKPKKKSCIFNSDASRVMQRPCKGMSPADESDGELNSLDSTPQWKETPSRMTTPMHFRCKKMNPPRNLTGFAFCLSPLVRPSPNRKVPEIVISGDTRVPMKPHIGAAAAFCANRSKKLANCGRFQPNY >KZM81367 pep chromosome:ASM162521v1:9:382283:382747:1 gene:DCAR_028980 transcript:KZM81367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETQQQVPAPETPASNSCYFKKPEASTFFENFKLRFDEFVGASMDDHKACFKNTMQKVSEMPKTIKEKFYLLKEDGSASSNKEN >KZM82019 pep chromosome:ASM162521v1:9:9960165:9962689:-1 gene:DCAR_029632 transcript:KZM82019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMGNSQEHIVMIPFLAQGHIIPFMELAKKIQETTDFTITLVNTPLNIQKLKSAQSSSCKIHFASLPFNPLDHNLPPNTETTEALPLTHVIALFHASTSLQLPFQELISELTSKHGKPPLCIISDVFMGWTNQVAKLLHITNVSFSTCGAYGSAGYISVWQNLPHRSLESDDQEFVMPGFPDRCKITRAHLHKYVRNADGNDDWSKFFQPQISLSLGSFGWLCNTVEEIEPLGLKVLENYTKLPIWCIGPLLPPRMLDSSSSNEIFGKRAGKEPGLSPETCLQWLDSYAENSVLYISFGSQNTISPAQMMELATGVEESGKPFIWTIRPPIGFNLNEKFRDEWLPHKFEERMSASKRGLLVHKWAPQLDILCHKSTGAFLTHCGWNSTLESLSQGVPLIGWPLAAEQVYNSKMIEEEMGVGVELTRGLESCIRKNDVKRVIETVMENEGIRKKANEISKMIKKGARPINVTVAKDVIKVVGEYGAKPVDVFVDDNVDTISRASDAADLEFLQLHGDASRAAFSVLVQENRIIYVLNANEDGNLLNYITEDECSSVD >KZM81437 pep chromosome:ASM162521v1:9:1193494:1195849:-1 gene:DCAR_029050 transcript:KZM81437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLSPDKKKEIEKLLNEIPSNMFDQLVSIGRLIMDCQDAGGDAAGGAGAADEDDALDDDVGVGVEGYADVGLMMMMKVEANEGMTLNVQDIDAYWLQRKISQAYEQQIDPQQSQKLAEEVLKILAEGDDREVETNLKHYDVNVKELSGDQAPTCQQIEETQIIGTTPEKWDILTRKLGDRTYTQLVKLLIIEEIHLLHDNRGPVLESIVARTVGHIETTKEHIRLVGLSATLPNYEDVALLLRRFQLMNDVCYEKLISVAGKHQVLIFVHSRKETAKTARAIRDSALANDTVSRFIKEKSASREILSSQLSLSRRMISILKNLSPYGFAIHHAGMNRADRQLVEELFADGHVQVLVSTAALAWVSIYLLILSSLKVPRYTIRKKEHGLN >KZM83430 pep chromosome:ASM162521v1:9:31765646:31770508:-1 gene:DCAR_030999 transcript:KZM83430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKDFKKQMVPEWIEAYMDYNGLKKILRDIRRARQSKGPPTPSRLSHQQSMLYTTFSGLNPRPNSVHQSKGDIEDQVIDVSTTQQEGSTKLYNTKFLKSNEEGGDTEVTFFNKLDYELNKVNIFYKDKVEEVMKEATELNKQMEALIALRIKVKKPDIAKSNSEAVKLTSPGREKIPEVKPMDLTPEVNKATASTQGSAISGEDYGTRHGKMADSAIHQETELEVLDRVKMNNAIEDSIITIRGLLNDSKGKELNFNKEELRKAEERLKIVFIEFYRKLRLLKQYSFMNLLAFSKIMKKYEKIASRNASRSYMKIVDSSYLGSSEEVACLLDRVEDAFIKYFSRLNRREGMKSLRPKFRKEKHRVTFFSGFFFGCAIALLVAAILLIEARKVLDKEQQAKYMDNIYPLYRRYKVNYAFIFGFKQGTELGYREVFLLSTGLAVLVLSTFLVHLHIKMDSKTEHYETYVDLIPLGLACVVLLITICPFNFMYKSSRFFLIRCFFRCICAPLCTITLADFFLADHLTSQVQALRSFEFYTCFYGWGKYVKGESKCHELDVYNVFYFIVAIIPYWIRFLQCVRRLFEEKEPVHAVNGFRYFLTIVAVAIRTAFELKKGTTWKVLAFISSIVAIVFNTYWDIFVDWGLMQKRSKNSFLRDKLLVSHKSVYFAAMVISDVAKSDHIVFCYY >KZM83106 pep chromosome:ASM162521v1:9:28748884:28752044:-1 gene:DCAR_030675 transcript:KZM83106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDESAKKSKLSWSKSVVRKLLNIRCKTEDVQANVDVCADKSSRARDRLRRYKANLDHPQVINVQNYSIFSATWNVGGKSPTSNLNVDDWLLASPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLALINKTLNNRPGTSGSACCTPSPVPNPIAESNADFEGSNRHTASALFQRRSFQAPRNWRNDNDNYPMPQPRLDRRYSVCDRVIFGHRPSDYDSTVRWGHRPSDYSSSHRSSDYSSSHRPSDYSSHRQSDYSSQRPSDYSSSQRPSDYSSGHRPSDYSWGQRPSDYSRWGSSDDEYGPVDSPSTVLFSPMEDNYRMRGNSKYCLVVTEQMVGVFLTIWVRSDLKEHVQNIKVSRVGRGLMGYLGNKGSISVSMLLHQTSFCFVCSHLTSGQKEGDELRRNSDVMQILRRTRFPRVRGICDEKSPQTILEHDRIIWVGDLNYRIALSYRSARALVEMQNWRALLENDQLRLEQRKGRVFEGWREGKIYFPPTYKYSNNSDRYTGESIHLKEKRRTPAWCDRILWFGRGLHQLSYVRGESRFSDHRPVYSIFWAEVESSQSRLKKSTSCSTSRIEVEELFPYSHGYTELCFF >KZM83406 pep chromosome:ASM162521v1:9:31533567:31543165:1 gene:DCAR_030975 transcript:KZM83406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNKAEQPVFLDRASRTTRGKRLTKLVDDEIEEDEQFWNQDALKEEAEDTNYQEEGEAADVFDSDFDEDEPDQDEAVENEPDDRVRSRKRLVYPGHPASKKKQKKKVISAPQRDPNDEQAHGRSTDYKPDDMTEDLEVERSVRKSTRTSVIVRQAERDAIRAAVQATMKPIKRKKEGEEKRMTQEEMLLEAAQTGCSYLEFRNGASFHSKVPATPVPYSQKSVCAVTGLPAKYRDPKTGLPYATKEAFKIIRERASHENKNFGRKNGEGDLCDLLSNQGFSRQGKRSVIPNSKDTSSFRLVARGVHEKGMVFLGFGLEILSSSHEEIVAGEEKEAKKEAFRKYLESRGVADSLTKVLVALYEPNVEPSSAIEIIQQRMGGLSLSDYDKLLADMSVLRIKHKELLAAHEVKRREIEELLSSIIMSSAKETNDMEGSGA >KZM83411 pep chromosome:ASM162521v1:9:31562662:31566447:-1 gene:DCAR_030980 transcript:KZM83411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVHCESYTPGYYSIRDMNEDLSTSIWSPFHGDKNLLNGHYCEGFMQRTVRDRYPENEKDVLKVKMIEHDTVFKNQVYELHRIYRVQREMMEEARRKELYNHHRSVETSLSSSILPSQRPFDEVRNWQTPSFPLAKPSCARPSILGSEVIELPSSCMKLKGHNSEADLVPYRNKCDQKDLVCLDSRSLKVKKSLFNHQISADKYATEREEHFQDIEMSSARIYPTSSDHRLSQGNSTGKYTGGGKTNDLGDTLSDLSPKIPMLADLNEPVQVEGNNLPEIVDVSGRSASYGDIKGLNFTAKSKSQFIDLSRDLSHNSKGGRSNGNFVDLSETNKGTRRGWLSCMYEAGNRGADANFVPTFYQSEQLPTPSPQMPFMPGQPNLHQGILPTDHAKEETRTERNLSYELSNRSCGRSNYNPSESVVTSHISKSHQWHNSSNVANSWSHSVTSWEKPKSISTEKVTSPYARPSKTSNGDINGSSRLNPSLGSKLPMQNEMCLGSSLVSTGLSTKASSFGYASLKCNEIDAVPSKHLTGHGLKNVLRESDIKNLKPVKGLDLNAVLPIESSDEETLPQSNEILDGKRKYRDPNPDLPWLRGKPMCNVVSTITRNKLDSGLPEASYNQLLKDETVKDSNSLPIAASNSCHVRVDRGSASAAVNNGKLLGFPVFGNFCTLKNDSASVQSSCNNVSTKTKGNYRGFDINVACNLVDEEFNKQIGEEAILSEKGNDTKVNNFRNIDLNSCVSEDEDILVSSHASTSGKVKIAFEIDLEAPAVQNTADALFPVEEQKLPEGSLQSKLHKTEQQKDQAVKYAAETIVAISSYSRDPHIECTKLDRSELPDSLTWFADVISSSAEELERKLCKDYEGRNCREIEAPRELDDYEVMTLQLTETREEDYMPEPFVPEIPNLEVGATSVPSRTRKGPARRGRIRKDFQRDVLPGLVSLSRHEVTEDLQTFGGLMRATGHQWNGGTTRRNGTRGRRRCIVDPSPSVVVAPVCNPLLPNFNGIEARVEDVSLTGWGKTTRRPRRQRSAATNNPAVAMT >KZM81813 pep chromosome:ASM162521v1:9:6303702:6307819:-1 gene:DCAR_029426 transcript:KZM81813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSQYGAFIEKFILKLPPSLSTQELPLNGLTFAVKDMQEWVGIKPHKLFDVDGYVTGFGNPDWVRTHSAATSTAPVITALLRAGATCVGKTVLDEMAYGVSGENKYYGTPTNPCAPDRVPGGSSSGSAVVVGAGIVDFSLGTDTGGSVRIPASYCGIFGFRPSHGVIETTGVIPMAQSFDTVGWFARDPVLLNRVGCILLQVPPMDPVRPSHVIIAEDCFNLVNLPSNRVAGVLVNSVNKLLGGDVLKNVRLDEYIVNNVPSLKHFQYNHIGNQDYDTECLVSLSYSMRLLVRYELKNNHGEWVRTVNPDFEPRMSERVQGALRTTEKDIEHCHSVKTEFRDALNALLGDRDILAIPTVTGPPPKLQSEALASSKSFRDRSYFSFLSIAALSGFCQVSIPLGTHNDLPVSVSLVAKHGCDGFLLNFVESLYETIQEQVEIAEQLSSS >KZM83223 pep chromosome:ASM162521v1:9:29765849:29768225:1 gene:DCAR_030792 transcript:KZM83223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYLRKSNTKCEAPQHNSPLGVRTRAKTLALQTPSDYLQLRSRRLRKIVIGADLKRPRRQNPNFRARLGDGSVNFGSGCVEESEKAGQNKVEGGIEGSFGENMVDFDGRERGTRETTPCSLIRVPDTVGTPSSTTKSVNMTDGNRTTPQSLAGRDIPMANDMDTFFSSAEKQQQKEFVEKYNYDPVNDKPLPGRYEWVKVDP >KZM83586 pep chromosome:ASM162521v1:9:33138656:33139321:1 gene:DCAR_031155 transcript:KZM83586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPSLNTQALNLKFLCSYGGKILPRYPDGKLRYHGGHTRVLSVHRSISFSELLVKLGELCGASVSLRCQLPTEDLDALVSIKSDEDLFNLIEEYEQSEIVKIRAFLALPKNTSPPISNASTSPKSPLNSPAAANWKHCNSRFSPIVSTDQIYHNSRQAGYALLRKKSAGKVPCYANGNSTRAQLICNTNHWQ >KZM82117 pep chromosome:ASM162521v1:9:11679769:11681276:1 gene:DCAR_031824 transcript:KZM82117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSIADELYSEILEQSNGEMNSKSTSLANHFNSSDSHEDELWNEDGLSWNGSNNDSEKESDLDREWQRRRDQFHTIGYRDGLIAGKEASAQKGFNTGFSESVFVGYKWGLVRGVGSALACLPVAVSKRLVESEEKRNKYLHLHESVNGLSTSDALKLFHDDISKSVEKQNDQPMPTSDKEEEHTPGSSDTLLVTYYKDMQALILESPALEVDFEIPK >KZM83485 pep chromosome:ASM162521v1:9:32385376:32385989:1 gene:DCAR_031054 transcript:KZM83485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLRITALLIVAFLVMNSDIEQVTSARVDLTRSPVQIVSNKIQCCHDNPNMHCNPGYKSEKDCDKACRDGCSNHKGGFCKNHKCHCKC >KZM81694 pep chromosome:ASM162521v1:9:4585580:4585828:1 gene:DCAR_029307 transcript:KZM81694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWMVPCVSRERSLCCELRMDIDEILKAKLATITEEPDMCDELESVETEHRALAKKRTEKIYMKVKLGTFERLISSALGALM >KZM83509 pep chromosome:ASM162521v1:9:32542250:32543910:1 gene:DCAR_031078 transcript:KZM83509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTIIHKDNTISALTEKIRLHMSLFSSIEKEASSVKHIVDNAQQVLREKEELVAGLKIKVERATMLGTEFMDKISTLNANLRSNEDELRRKNKIIQDLESQLEAANFSDKGPAAEEELKESILAKEDIIQSLISEKKALHLELSSLQVVIKKIQGFIRHMDEESKKAFSSMMANGECIADRVEEKDSLAIVDSAQ >KZM83178 pep chromosome:ASM162521v1:9:29389564:29390160:1 gene:DCAR_030747 transcript:KZM83178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNQEVVNSANSSVNTSMLISTSNTNSLASSSSNSATSPTTLSRYENQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHNQLCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPEANPFGARAVRLYLREIRDSQSKARGISYEKKKRKRPSQMQHLPAAALLQAPPSSNLNG >KZM82962 pep chromosome:ASM162521v1:9:26355694:26362228:-1 gene:DCAR_030531 transcript:KZM82962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDSPSSLPPTAPLSEQKENALPISSKIAELTESRTELLSRIQGLKQDLQSWRSKMDTQVKVYQTELSELKKSLNVEVDQLRSEFQELRTTLKQQQEDVTASLKNLDLQDVPGEPEAELIEAESNGKTQDLPTETADKETIN >KZM83277 pep chromosome:ASM162521v1:9:30347438:30347752:-1 gene:DCAR_030846 transcript:KZM83277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNWLLHSAYNIVLGYPNYSTTKEQATKSMKISYGNELEGTRMDASNFQMPLHYPRYSKTDYQKMEEWRVDLLLQQYGLKSEAKNVDEKRAFAMGAFLWPDQI >KZM81923 pep chromosome:ASM162521v1:9:8553194:8553754:-1 gene:DCAR_029536 transcript:KZM81923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYPHHSILKLNKTSLLVRVRKAFSYDHHPPCTSTCSPLPLHITFSTHAKQQFLEPRGVASSDSSPVIGECQEAVDEKTICLDGGLFFKDQEEEKSRVVVEKVLGSLGVKKSEFGRVFREVMAFGRRCNRGGEEKKKGLKGLVVILTNVQTCVGERVAVHEANERAFQESKAQARGKGDVLFLVN >KZM82026 pep chromosome:ASM162521v1:9:10088133:10088983:1 gene:DCAR_029639 transcript:KZM82026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSHLILLLFLFLGADGTVFTLKNNCGRTIWPGILTSYQAPSLMNGGLELKKGQSKNITAPDNWSGRIWARDGCRFNKDGIGKCLIGDCGGNLRCQGEMAKAPVSVIEFILSSDADSYDVSLVDGYNMELSVVPLGGSGNCTKVSCKVDLAEKCPKAMQVKFKGRVVACLTPCLVFKNPFVCCTGQFSNPQKCQTSSYTEVFQSSCPLAYNFAFDDATKTFTCSGADYLITFC >KZM81936 pep chromosome:ASM162521v1:9:8788891:8789697:1 gene:DCAR_029549 transcript:KZM81936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQLHRPDLHLQIPPDTEDDNNRRQFSGNSDDSPHHQGGLDLANSDSGNMVARRPRGRPAGSKNKPKPPVIITRESANTLRAHILEVSSGCDVFETVANYARKRQRGICILSGSGTVNNVSLRQPAAAGSVLTLQGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGNVVGALIASSPVIVIAASFTNVAYERLPLEEEEPIPMQPQVGQSGGGGGGGGGSGPNFGDQPLGLPFFNLPLNMPNGQLPMDGGWSGNNPNRSPY >KZM81511 pep chromosome:ASM162521v1:9:1942202:1961468:1 gene:DCAR_029124 transcript:KZM81511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSEYSVPDGSKSSVYKFWGDEGRDIGKVKCQNPYTWTPATGEWLSGDLDGHGLEIVLAILLVVMIEKFWMGSFLSTLVAINKLLIACYPISFCAIVTNAQLSNSALEDTELNTLFSETIFLNCATQTGDYTLQNFSRNDDEHHPLMLSVKKNWVQGTTSVDLPNMVLFTSLMFTVLCFYNKCGSLFTGFLIKEFNNSTEKIKNLVEKIGIKGATIADLPEIVLFTGLMFAILCFYNKCGSLFTSFLVEEFNNLTEKFKTLVEKIGIELKENKIEYLGAVFQILNIAFSSSLLRDAQIWKSYFIAGIGIFTFLCYCVEVSVELRRLEFKKHPVVCLVVVLQLALIFKNSPLLGYEVAVPRWEATWIAGMSMFSPAFLTYKIFVEIKKFKLSWKKNRKVFCVDANVWEESQRDMENQLFANLNASEESHSNSETQSLADANVSEEMHRDAGNQSGANENVSEEML >KZM83322 pep chromosome:ASM162521v1:9:30760188:30762198:-1 gene:DCAR_030891 transcript:KZM83322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPHAKNQNNRLLNFVSAQSTNNDYFDDDYLSLDTGSSHTVIDGDDNIRPPFSWKKLWQFTGPGFLMSISFLDPGNLEGDLQAGAIAGYSLLWLLLWAMVMGLLIQLLSARIGVVTGKHMAEICRDEYPRWASFLLWCMAELALISADIQEVIGSAIAINILSNGVVPLWAGVIITASDCFLFLFLENYGVRKLEAVFAVLIATMALSFAWMFADTKPDAKQLLIGLLVPKLRRKTIQQAVGVVGCVITPHNVFLYSALVQSRKVDPTKKGRVQEALYYYTIESSIAVFVTFMINLCVTTVFAKGFYNTKEANSLGLLNAGQYLQERYGGGLFPILYIWGIGLLAAGQSSTLTGTYAGQFIMGGFLDLRMKKWLRSLITRSCAIVPTIIVAVVYNRSDASLDNLNEWLNVLQGMQIPFALIPLLVLVADEQLMGVFRIGPHYAGIMWIVAALVTAINGYVLVDFFSSEVKGLVFGSLVCSVIVAYAAFILYLISYGNAWFSRFGFKRLV >KZM83153 pep chromosome:ASM162521v1:9:29207064:29209441:1 gene:DCAR_030722 transcript:KZM83153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEDYASSSGGTSDSELLTQDTNDEEICDYSGELLKFKCRKLTSKANWKDELGMAEIVSYHGRLWKSTGIVRDGKIYYSIEEALFMAEIGALDLLRDDLCLSLEEIYKMVSQGKFGCCWESFQVYRHLKSLGYIIGRHGVPWTLKRLVKSEPKLNEATEEINTKCDREAEDKSTIIEMFKGMHIDKVKAIFDVYPPNSNFKKTSPGNPSFVLCLTSSLPPSKQEISNLERQCYGIPLKFCNIENGRVSFFSFNMVELPLLP >KZM82454 pep chromosome:ASM162521v1:9:19609723:19612269:1 gene:DCAR_030023 transcript:KZM82454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLIIPISLSLFLILRKPNAEGSNLNVPPGSSGWPLVGESIKFALAGPQEFIKERTKKYSPDVFQTSLLGEKMAVFCGAQGNKFLFTNESKLLTSWWPQSMKKALLFPEFVEASLKEVSALKRSFMHDILKPEALKQYIPVMDSMAREHVDSEWAGNEVVKVFPMSKKYTFDLACRLFMSIVDAEHVTRLARHFTLVTSGMFSVPIDLPGTAYNGAIKGGRTEVFNSTLYGEWLSGAEGNKLVFTNERRLVRSWWPLSVRKALYSSEFLDDSTDEIAAVLLTFIHDTLKPEVIKKCMPVMDSIAQELVMSKCAAKEVEEVLPESKIIHTFNLGCTFLMNVVPEKLIEITTERRKAMLMENNEKSVIVQDLLSRLLGR >KZM82484 pep chromosome:ASM162521v1:9:19985448:19985687:-1 gene:DCAR_030053 transcript:KZM82484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKAAELAAATGLTVGVAAIGIAVLGVTITGSDRSNRACGERVRSSRTCSSGRGRGCNRRFSRSCNNRCYSIRSRLDI >KZM81724 pep chromosome:ASM162521v1:9:4899984:4905307:1 gene:DCAR_029337 transcript:KZM81724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPCSRILFHGRKMGSSSLLSCSKSDHIFSKISSNLSQNRKFHKYPSGVSGSNCFSQFKNSNFAPSCVQESRVLVALISRGLGGFRCNSTSVETRVNENNFERIYVQGGLNVKPLVDIIDKDENVIGRDEESRVVCEVEEKIDDGVPVNFEEGEVVVSSGRVESEAEKEAWKLLREAVVSYCGSPVGTLAASDPGEKLPLNYDQVFIRDFVPSALAFLLKGEKEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDENKYEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIKLILNLCLSDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCSREMLTLDDGSKNLVRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTTATNKFNIYPEQIPNWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNNSILNMIDAKWDDLVGHMPLKICYPALEFEEWRIITGSDPKNTPWSYHNGGSWPTLIWQFTLACIKMGRTDLAWKAVDLAEKKLPADHWPEYYDTRNGKFIGKQSRLYQTWTIAGFLTSKMLLENPERASLLFWDEDYDLLDICVCGLSNSGRKKCSRFAARSQILV >KZM81903 pep chromosome:ASM162521v1:9:8230944:8231813:1 gene:DCAR_029516 transcript:KZM81903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPSSEMEQNLRCPRCDSEETKFAYFNNNKTSQPRYRCNNCKKLWTNGGKVRDLSSNGEERRVNRSRDSSPPIVSSAPPPPPLPSSMTSAGGIINETGRLNLTRPPPNHNQPPFKFVRMDIQNLSIKPLEPPHDVAQTNIVQQNQQSFLNTTHSSNYIEPNINTFGNNPIGGSTGGPSGSWGWSSTPVHLYIEPTNAHTFGENNNGMGGPNSDPSGLSSSPWSSSPPSYYIEPNNAGNNNNPVDGSARDPSGSSSGAWSSN >KZM82505 pep chromosome:ASM162521v1:9:20447059:20448072:-1 gene:DCAR_030074 transcript:KZM82505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALALDSSLSLKNTKHLPQQPRVVKEEISGLIKVYKDGHVERPQVVPCATSNALAPELGITSHDIVIDKFTGIWARFYVPKGNGDKLPLLVYFHGGGFCVGSSAWICYHDFLARLAAKAGCMIMSVNYRLAPENPLPAAYDDGLKALMWLKLQATYSTSDLWSRKCDFTKMFLAGDSAGANIAYNVAIRLNSSTSLKGLILIQPFFGGELRTHSEKHMVQPPHSALTLNTSDIYWRLSLPSGSNRDHPWCNPTANGTINMKASKYLSVSIMVCVSEFDILKDRSMEFCNALAKSGTKVERLISRGVGHAFQVLSMSQISQTRTNEMISHIKAFINK >KZM82993 pep chromosome:ASM162521v1:9:27436656:27437906:-1 gene:DCAR_030562 transcript:KZM82993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYASLNCFTLHSPKAQSFKRLFSSDFISQIQVKSTPIASFSLNSLKRSELVRIKLKPISASISPVVESTSPSFRTKNPRDISVLVVGSTGYIGKFVVKELINRGFNVIAVSRERSGIKGVNSKEETLQNLSGANVCFSDVTSLDSLDKSLEGLGVEVDVVVSCLASRSGGVKDSWKIDYEATKNSLVAGKKYGASHFVLLSAICVQKPLLEFQRAKLKFEAELMKEAEEDNGFTYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPMSEADLASFISDCVLSEDKINQVLPIGGPGKALTPLEQGEMLFRLVGKKPNFIKVPIEVMDFAIGVLDFLVKIFPGMEDAAEFGKIGRYYAAESMLILDPETGEYNADKTPSYGKDTLEEFFERVLREGMAGQELGEQTIF >KZM83387 pep chromosome:ASM162521v1:9:31381972:31383740:-1 gene:DCAR_030956 transcript:KZM83387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEHVGFNRRRRRSPRCGTEMDDRGWTVLHIGACKGDVKEVRRLLDEGMDVNVPALGPRSHGVTPLHLAAKSGNIKVMDELLDRGANIDARTKGACGWTPLHIAAKERNRKAIKYLVENGAFLPDNIDDTRFNPPLHYCPGLEWAYDEMKRIQQDSSSSGEASYSSES >KZM82626 pep chromosome:ASM162521v1:9:21951118:21951828:1 gene:DCAR_030195 transcript:KZM82626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSRPISPLLLLDIDILLKICHLLQQDGFLDLFFLIQVWFRFQTPEAVTKLLHNLDWSRVHEVVEPFKNLECRVFNNFVKHTVAIGVKGALCYKACKNLIRGNTPTQQLNILRNIANDDDLCFLAYCVFKTHYEPSSLQQNGQILHQKISESSKFRLEFESHCRALNGRCRKYKVFWYDRPDIFPQNGVCSSYVSGKAHNMDPYGVGCSYKEILAATCSECMILMINYKIFRGY >KZM81637 pep chromosome:ASM162521v1:9:3789369:3792465:-1 gene:DCAR_029250 transcript:KZM81637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWYATLWSLHAVCGSCSDSLLLLYRRKSRSISPRRRKSRSPTPRRRRSRSATPRRYKRQRSISTSLSPIKRSPSTGSLELKNVTEKSKKDEEEKRRRQQEAELKLLEEETAKRVEEAIRKKVEESLNSEEIQMEIKTRLVEGRKKLIDEVAAQLEKEKEAAVVEAKRKEASEKMRKEKEELERMIEENRRRVEEAQRREALEQQRREEERYRELEELQRQKEEALRRKKQQEEEEKSNQMKLLGKNKSRPKLSFALGLK >KZM82069 pep chromosome:ASM162521v1:9:10695987:10704845:1 gene:DCAR_029682 transcript:KZM82069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVISRTIIPSCGELCFCCPALRPRSRQPIRRYKKLLSDIFPKSPAEEPNERRIGKLCEYAAKNPLRIPKITTSLEQRFYRELRNQNFRNVKVVILVYRKLIISCKQQMPLFAGSLLSIIHILLDQTSNEEMRIIGCEALFDFVNNQRDSTYMFNFEGLIPKICLLAQEMGDNEKVQQMRCAGIQALSSMIWFMGEFSHMSAEFDNVVSVVLENCEEKSDNLAQNNQDTQNNKKQSTAIMSKSTSWKNVVNEQGDINVTAEDASSPTFWARVCLHNMAKLAKEATTVRRVLESLFRYFDDNNLWDPERGLARSVLVDMQYIMEKSGQNTHFLLSTLIKHLDHKNVLKSPEMQVEIVVVATSLAQTTKSQSTVTIVGAFSDMMRHLRKSIHCSINDSNLGEEVIKWNRRFQEAVHECLVQMSHKVGDAGPILEVMAVMLESISNVTIMARNTMAAVYRTAQIVASLPNVSDEKKGKAFPEALFHQLLLAMVSPDYETRVGAHRVFSVVLVPSSVCPRPSSTSIHSRKTRDIQRTLSRTASVFSSSAALFKKLGKEQEKKNDNSNDEITRNDNPSILNRLTSSYSRAYSMKRQSSPEENNNSTSEQHLEGISLKLSSRQISLLLSSILFQAISPSNMVENYEAIAHTFSLVTLFSRTKNSSQDTLIRGFQLALSLQSISLGDRVPLQPSRRRSLFNLATSMIIFLAKAYNIIPLIPAAKSALTSETVDPFLKLVDDSKLQAVKNEFGKSGKVYASKEDDEDALKSLSSIKRGVDQSRESFASIVIKNLGTSSDSEALTVKEQLLKDFLPDDTCPLGAQIFADSPGLIYQNDSNANKSIAQAEQPLFTIDDEFLPDSTGSQSSSGVRASPELPSLLSVNQLLDSAGNPFVDSSSNEISQLQNASSLVPFAAVYQHYPNSFRLPASSPYDNFLKAAGS >KZM82472 pep chromosome:ASM162521v1:9:19878694:19884537:-1 gene:DCAR_030041 transcript:KZM82472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGNGEAPSEITLEEWSGTASSKFFKTATITANSSTHFAVQRHGSRFHHISNRFLEAFVPEGFPNSVTPDYVPFQVWDLLQGLSTYVRMMLSTQALLSAIGVGEKSATVIGATFQWFLRDLSGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLVSPLFPSAFIFIVCIGSLSRSFTGVASGATRAALTQHFALQNNSADISAKEGSQETVATMAGMAIGMLIAHITMGQPIAIWFSFLSLTMFHMYANYKAVRCLSLTTLNCERSSILLWHYVETGQILSPKQVSVMEHVLPLWITSWTSRKFTYLYTRVHLGVRISSVDHLELKELIQLAGSHYKKAKYLLHEKRGVISIIMHKDSTAGDVLQAYIHALVMAHLVEKGSSVYLESQSWMDKHYKVFSLKLRSSGWKLERLLTPSIIWRAHWRRDCLDEKTE >KZM82802 pep chromosome:ASM162521v1:9:24552529:24553455:-1 gene:DCAR_030371 transcript:KZM82802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEATERFYFYFCHRCNHNVAISRMPNTDPLCPRCRDGFLEEIDVPRPNPNPDRVDPLAPSNARTVTGLHYGSRGPTGVIMEAPEYDPFAFFQGNVGNSRPGGANIQFVFQNHPSGGEGLPSNLVDFFMGQGLEQLIQELAENGPNRYGTPPASRTAVEGLPDVVVDDKLLRSDSAQCAVCQDDFEKDMVVKQMPCKHVYHSQCLLPWLELHNSCPVCRHELPTDDPDYENRTRGAAASRGENNSSGNVRFESSGPGTDTGDDEEGGHLRGFVERTFRIMFGPRSSRTDNSDGDSGSGGPENMDTN >KZM81424 pep chromosome:ASM162521v1:9:1109553:1112131:1 gene:DCAR_029037 transcript:KZM81424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVKVIPTVIPTVKKSTDNTSTSPARQINGASNPISNGSSHDDNREKNPLQSQLDKVYLHWFLLEDDYITAIRKGNTSLLPDALARVNYLLDATPSELIVDSLKGGKKLSSVYIVSSTIMAGGREQGTSNQGYLTAMGAYDGDTEGIRMALNQIHYGSLKSGTSGKGKRHKAGTRSPGAPAREHLLSQSQIARDFISGFRHLIEPSVFIDAMANDEKALSLALGQIHHKTLPETAAPKKSFRDTLLSNPVRQPPSPPKGAKPRLRIGENQPKGPISIFFTGFDESVRAASLWQMFKQAGAIQDIILPKRRDKFGNRIGFIVAKNGGEAFKIISKLNGHCMGKSTLYLALAKNPIKSSPQVTLQGVSQPIAPNTGPKECASMNASTCENKHGTDSPVKNPESITEDAYPSKADFANTTSGDTVLPHDAALKEELECCVLLVTAKKETVSNVETIVAGLGFREVVIRGLSSFKFMAYFTDVACLEELDLDFLHVGFMEVRKIREEDLIVPRQAWVEIRGLPLHGWTEANYSLLMKPWGDIIQFGRTLDEDDFYVTPKLLIETTQLGNIEATKQVVISRKRWQLQIKETFGVASDLHQFTEKVPTQENVINDPFITTSQGKADFPPPSPSGIENVVVEESHNSDRVSECPKVCIESDSEDIDGSCINPTTPRTNVDMVFETPSEYVQASPHPSTEQASPILDLHTAHWKPRDRDSSPSFPHSKSNDGEPIVDDDSDTGVSDTLTVSSPVLKELRNLKVQVRRGRPRKYKQPQVNKHFKVPRRKKIRGEGLQQVSHFFLNADYDEAEAIYETGIMMGLLPINTKDKSLDLIKENLR >KZM82399 pep chromosome:ASM162521v1:9:18344142:18348656:1 gene:DCAR_029968 transcript:KZM82399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRNKQFEQRSKKFWEFDGQSKSWVELKLPFDLVSCVNGNCSKVGSLEKMIKNKKMNGGKDSGQGVREKTVSLPLRKRVSLVKMSETSIWVTGVSGSIYERFWNGLQWVIAPHDLPVSAGFAIASFIVNHTILALSDAGNLYQMQLGENSQPVWVDFQPLIDEHTATKLKYGVISHDRERIYFCTRSGLLFELCGIQPPSWINHGRPPGANVAAIADVGTVKPNILFTVSSTGDLYEYDISSQPMWKKHIWIEGSTDDTALMPSMGFSVHGINGAYSISLFLLTKGGKLVERRLHQRKWKWMIHGTKGIAGLEFQVGRLIFPLDDGRLGELHFPGIGGEGLGPYLGSIRRRSTIKLIWSILDAPETEGWNADYCTESRGPSNCINGLKDEADDTDATRSLIRRRKGSRVQHNYLSFTEARASFNLATEDHSIQDKWINTNFRLRVMFRGLSFFLVTDDGLLFEYLSAENIWFWMRHEHPKAMKGVLGNYNGSLFLVDEQKNLLIRERSSKELQWINCTAMKRGRHVTGGPPWDVVPGENFKVTAEDALFFVSKSGRLLQLTVALRKFKWKDCRWPPSTKVASIVDQEVIRGNIVFVVGRNSRLYQYNKITELWHEHYQSQHLVLSRIPGTAMRPSSSSLTGSLFLLSEDGKLIEYHWSSTDGWDWIEHGTPRISVVLVGSPGPCFLGNQLFLVGSDGKVYLRYLDLDQSMWRWKDYSFPYITGQTIKKYGNEDFTGHSQKSEEDLLGSNRNCDPKIATTRPIPFAEDSVIFELRDGRLGEMKLNEDGEWAWSRIIGTPTSLCMANYWTALAS >KZM82815 pep chromosome:ASM162521v1:9:24804019:24805025:-1 gene:DCAR_030384 transcript:KZM82815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQYLHEDGDTTNGIVGCTQPRRVAAMRVAKRVSEEMETDLGDLVGYAIRFEDVTGPNTVIKYMTDGVLLRETLKDADLDKYRAWGRXPSVPGQTA >KZM82424 pep chromosome:ASM162521v1:9:18770492:18776930:-1 gene:DCAR_029993 transcript:KZM82424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKFVQEDDICIPSNTTLLQGDLPTIKFSVDIFGTQTGESFQEMPHGDALQVKSVVGEVACSKDENYKIQTTQSLQTVVAGFSSPASAPSTPINQYVRKRRNSATPLKQNPIKKRNSCTDKLDQKPKLKKCSPEILNDEDNTKRTPTIRVSKSNLKIRTPVVSSTRKAQTKRTYIRKSCSKLVADSLKNIQSEVATKSFKRALKFDMENRAGDGCNRFENKDNQAATVDLIQEVDKQCFFPVHHKTSQSLINSRDSVPKFLKKRKKRRIKRLVHIFESSCRNVKTAEVGERSDKGILLIKKLGESDNGENLNTKLYCTTEESQTALEILDMYSAVKMKRRRLAGSIQRPLTNVTSVPKEALLQLHKSEGAFTTNHDAEVGKRVGLAAKEKNNERRWRKLQLFDEYIGQVGLREQNVGSSAFRSLAARFPNHKLNGQSKNSEPENSALWPSRGKTAPTAKREKNQSTRRARDDKTMDAVDWEVVRQANVDEVAEIIKDQGMNNVIAGKIKDFLNKIVEEQGCPDLEWLRDVPPEEAKTYLLNIFGIGLKSVECIRLLTLHHVAFPVDTNVRRVAVRLGWVPLKPLPEGLQMHLLEMYPVVNSIQKYLYPRLCTLDQEKLHRLDLPSPERKDIVTTQNFVAKTPLVLQIDPDVSDREYQHIFSKLGSKHLRQKCEPIIEYPASPGPSEPEDIEDLFKVSNELNDFGNYDDDDIPIIRIDSEACMNNIIEYAKGSNISFEGENSKILALLNSSNALPMPPLKHAGRLRTVHQVYELPDDHPILDDVDKRDPDDPSPYLFAVWNEGEYSMTMHKQLYDIVRLAIHTVIGIPVRSANHGTFPLNGTYFQVNEVFADDESSERPIVVPRDWLWGLTRRNLYRGTSPATIFKGFTLYHIQHAFCKGTKQSSPVIYRLLKKKEVYSARIKS >KZM82915 pep chromosome:ASM162521v1:9:25837402:25838349:1 gene:DCAR_030484 transcript:KZM82915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSDRGSDCDENITKACDKVVRDEAPNQGAKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKRKRALMGLSNKEEKKPKKNNSNASTITSSDGSSSNNGEDVVERRFLCVDSENVLQRPRSSMCKKRRKFGEEERRAAMLLMALSCGSVFAY >KZM82843 pep chromosome:ASM162521v1:9:25147294:25149565:1 gene:DCAR_030412 transcript:KZM82843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHMNLLAFAYALASLLIPYFVCGCNESEKQVLLHFKQSIVDKRNNLESWSGNEHCCSWRGIQCNIDGHITKLDLSDLYLKGSIPEDIGDLTFLTNLTLWGNYLKGPIPKSIANLTSLTAIDLSFNILNGSLPEFFCQLSKLQMLDVSYNHLSGTISKCIGGLSKLTYLGLSSNSWEGLLCEEHFANLPSLSTFYISSKSNLVFTVSSEWIPRFQLQHLYMESMNVGPEFPRWLLTQTHMIEIEMPNMSISDNIPADWFSSLLSRAMVVDLSDNDINVPQPSLISAPNNMTLLALSNNHLSDDFFAYICNFTSLSTLVLSNNKFSGELPRCLGNLKQLEQLDVMNNSLSGHIPDFFGSLGRLNYLNLHNNKFHGKLPLSIQNLTELRVFDVGNNNLRDILPPWTGEQLPHLRLLVLRDNHFYGSIPNQFCSYSSIQVLNLAGNHITGNLPPCFNNLTAMTTSNVELGPFALDLGNGEMIIDDSKGYELKYTSGLNFLYSIDLSNNNISGEIPEELMDLRNLLNLNLAGNMLAGRIPDRIGQMEQLEFLDLSRNKLSGPIPQSISELKFLIRLNLSFNDLSGRIPTGNQLQVLNNLSSIYAGNNQLCGPPIQKLCAADTESHEVHDEEKAGSDSDSDSEDEHLWFYAGIGPGLLVGFLGFCSSLHFIESWRHSYFHFVDKIFDKIAIIVALWWRKCHN >KZM83554 pep chromosome:ASM162521v1:9:32955933:32959875:1 gene:DCAR_031123 transcript:KZM83554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALLSSNSSYFSNTTDAKLTNSWDFSGISKNETFVSDSGNGGDFEDAPLRNLSNLVKVGSFVADRNVTVKESNVGKLEDKGNASLVDDTVGYRDGLSFGNGTSLVSGDDKIGDLSDNGENGALLPSSEVKLPVISSEKVNGRSKQSQIPEVQKGSLEKCDIFDGRWVRDNTKPYYPVGTCPHIDTVFDCYLNKRPDSEFVKWRWQPNDCDIPSLNATDFLERLRGKKLVFVGDSLNRNMWESLVCILQHSVKDKQRIHEKQAQETSGGKGESSFEAKNGSLETLRLDLMDQSASKYYNADYLIFNTGHWWSHDKTSKRLNYYQEGNIVHTRLKVHDAYRRALRTWARWVDENVDRKKTQVIFRGYSATHFRGGHWNTGGQCHQETEPSTNDIHLIKYRSKMRALERVIQDMKTPVIYLNITKLTDYRKDAHPSIYRSEQTAGHSAMHLEDCSHWCLPGVPDTWNELLYASLLKTGTGSWKA >KZM82169 pep chromosome:ASM162521v1:9:12796848:12806610:1 gene:DCAR_029738 transcript:KZM82169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASGVGKVDHFKLSDGLELQVNVTGFTNGHNAKVELQLKNSSRAWILHWGCTYHGNTKWFFPVDRPPGTAVYKQMALQTPFVKRGDVDVILIDLRDPKIHAIEFVIKDGRYDKWLKLGQGNFQIQIPKYATDNPLPLVPKDLIERKAYSIWESKGRPETSQIQQKQNYDDALREIQSMLLKGVSLNELQGSSRAATNTVVALKKEEQSTQRSGSNTIVVVKKEEPPIQTSYSLRRRHDVQKWLHKYPKEEGKSPMISSSLMDVVESSVGGENVILRQSYHVGNYEIVVFLKVLRGDYHVLVAGNTKGSTVLHWGVSNSTPKEWLAPPKDMLPEKSKLLDAACQTYFTDISTIKGTFQLVDINLQQRKFAGIQFVIWTGGSWCMKSIDMAYLQVEHDGRGILKWLLNEISQREKEAERSLMHRFCIAAELTERCKSEGDLGLIGILVWLRFMACRQLNWNKNYNIKPREISEAQDKFTNLLQKIYLEQPNDREIVRLIMGCVGRGGEGNSGQRIRDEILVLQRNNNCKGGMMEEWHQKLHNNSSPDDVVICEALLNYVRCGFRIEVYWKTLTERGLTKSVLASYDRPILSEPKFSPDTKEGLILDLTSYLKTLKAVHSGSDLESAIDICLSIPEGYGIMGGYRSASSGGLTLKLQEYLQFIKTHIGDTSISPLMEKLLESRIELRPSIVTSHGRSKDLLFLDLALDSAVRTTVEKGLGNLNSSNLPEIMFLTTLVLENLCLSSVNNEDLIYCTKDLYRVCESYKPTDANWALQTKAVVDRIRLALADKSEYYQQKIQPTVQYLGHLLSVEKSAIDTVTEELIRTGSAGSLSMLINRLDPILRKIANLGCWQVISPAEVRGFVVNVHELISVQHKVYREPTVIIANKVSGEEEIPDGAVAVLTSDFPDVLAHILFASCFDQNVYKDLKLKQGKMVLIIFKLGNLVIMDVSSSSLSPKYPLSSSSSRGLQLSRKRFSGKYAISLQEFTTDMVGAKSCNLRFLSEKLPSWIKLPVSIAIPFGVFETILSDDMNKDQAKQIYNLTKLVDSGDTLKLRSIQEAVHQIKAPMRLIMELKSKMKSLRIPWLEVESSNLWNRAWEAIIRVWASKWNERAYISCRKVSLNHNDICMAILVQEIIRADYAFVVHTKNPLSGDASEIYTEVVRGLGETLVGAYPGRAMSFTTRKTNLKSHSVIGYPSKSIGLYVKQSIIFRSDSNGEDLKGYAGAGLYESLPMDKAQEVVLDYSNDPLVVDRVFQASLFSRIAEAGKLIEDLFGCAQDIEGVVKSGEVYVVQSRPQI >KZM83281 pep chromosome:ASM162521v1:9:30366444:30371305:-1 gene:DCAR_030850 transcript:KZM83281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEPLLEPANPKGGFRTLPFIIANEAFERVASFGLLPNMIKYLMNEYHMSMVAGTNLISIWSAATNFLPVVGAFIADSFAGRYPVIGVGSLISLVGMALFWSTSMIPQARPPPCIDSSISCSSPTAVQVYVLCLSLGLMSIGAGGIRSSSLAFGVDQLSGQDNHKSESALKKYYAWYYASIVLGVIIALSCVTYIQDHLGWKIGFAVPVVLMFVSALSFFLATPFYIKLQNKTSLLTDFLQVIVASFRNRHLTVSPDSTTTEYHNKKGSEMVVPSNKLRFLNKACIISDPQQYMSDDERIADSWSLCTVHQVEELKAVLKVIPLWSTGVMMSVTISQPSFPVLQAGSMDRHVTSGFEIPAGSFSVFAVISVVLWVALYESFILPLASVIMKKPVRLTTKQRMGAGIFVSFLSVAVTAVIENIRRRIAIKQGLIDDPTAIVNMSAMWLIIPNCLIGIAEVFNAIGQIEFYLSEFPRSMSSIASTLQGLGTCAGNLLATAIFNFVDRVSSGEGKQSWVSSNINRGHYDYYYGVLAGLSLLNLLYFLLCSWAYGPYEVDRIEVLDEGVG >KZM81521 pep chromosome:ASM162521v1:9:2098710:2100831:1 gene:DCAR_029134 transcript:KZM81521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQARKYMSKSESLLSKIFNYEIPKMKEYVHEPKDEAYFHKLIQECHRERQQTSQGALPATTVDKKAHCITPTAPLVEVPHISAQENFRFADSSVPPQMHRKDGLHARNKNFSPPKPDQIKKKCRRNPLSTISSNDLLLNRTNNMRSGDLLKNMPYPEHLRGLMVENAPIPQSHNRTASDPFITPIQPYARQKAKLNKKAESNISKRPDSHKFKTKVQPVPPSLHTQQRKAVRKRNIHDKGKRYAHNSTEGIKIVKCGVASPASTDQLPNQDFDSDVSDFYSDKEYSYIADMCSDQSDGDSEDGNYPLANNETIYETFYIFTSVSK >KZM83291 pep chromosome:ASM162521v1:9:30456743:30459357:1 gene:DCAR_030860 transcript:KZM83291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMETGKLFIGGISWDTNEDSLKEYFQTFGEVVEAVIMKDRATGRARGFGFVVFADAAVAERVVKAKHMIDGRTVEAKKAVPRDDQQTPTRISGSIQGSPSPARTARTKKIFVGGLASTVTENEFKKYFDQFGCITDVVVMYDHNTQRPRGFGFITFDSEEAVDRVLLRTFHELNGKMVEVKRAVPKELSPGSIKNQLGGPNYGVSRVSDLLSAYTQRFNQSPIGSYGLRLDGRFSPVSVGRSVYPPIGSGYGIGPNLEPGFGSNFEGAGQLSNISYGRGPNPMQNGSPNRFSSAVGYGVGSSGYGISSISRNTLGNGSVTYAKSPTNSGPFTGTGVGNTGLLSAFGNIEAIWGSPPISVQGGGMASALSSGGSLNFDSADSSLELGPIGYARNTSSNVAPTSSMYAAAASSNEGDFGNFPGGGLFYGDPAWRSSSPELDGFGLFASELRNAVSDVTPRDAVHYAEGYSVNNRSTRVPSVMCMRYARCFSAVVRTNDA >KZM81585 pep chromosome:ASM162521v1:9:2940664:2941197:1 gene:DCAR_029198 transcript:KZM81585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEANSKPHALCIPYPAQGHITPMLKLAKLLHQKGFHISFVNTDFNHNRLIRSRGPNALDGLPDFRFYSIPDGLPASDPDATQDIPALCKYTRINCLAPFRDLVSKLNNSSVSGVPPVTCIVSDAIMTFTMEAADEFGLPVVLLWTASACSLLAYMQYHQLVERGYTPLKGRPLSVI >KZM83338 pep chromosome:ASM162521v1:9:31002807:31003695:-1 gene:DCAR_030907 transcript:KZM83338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNITSWFTPTLLFFFLNLIIISIFIASYSRSHKHQLVQHDSVPNLNRSSSLLARVKSLNFSFRNFEHHYPETLLTDPQNEHHHVPGEVSHEDAHVPATTEEKLSASEPLETVSLKENKEQVMKESKEQGDEQVDAKAENFINRFKQQLKMQRLDSIKRYTDMLTRGPRSTN >KZM83616 pep chromosome:ASM162521v1:9:33372552:33375854:-1 gene:DCAR_031185 transcript:KZM83616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEDVQTTDLMSELLRRMKCASKPDKRLILIVVQAEKLDEMLDKRGAKIDKVLNFAIDDAILEERITGRWIHASSGRSYHTKFAPPKAPGVDDVTGEPLMQRKDDTAEVLKSRLEAFHRQTEPVIDYYVKKGVVAHLPAEKPPKEVTSEVQKVLSS >KZM83472 pep chromosome:ASM162521v1:9:32241499:32242595:1 gene:DCAR_031041 transcript:KZM83472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFPPNRVFLTHPLLLKGPCKAEVVRVEINGTITAPSEPKNWKCGSDNCDTWLHFSHMYGIEISGSGTISGRGQKWWDMKRDKDKPAALRITNSRNVGLSGLRFKDNPKMHIVLNGVQTAYLSYLKIEAPGHSPNTDGIHIGESTDVHIQHCNIGTGDDCISIGDIEEYFADFILLVIETGIRELLYYAIT >KZM82155 pep chromosome:ASM162521v1:9:12506498:12507787:-1 gene:DCAR_029724 transcript:KZM82155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQKFASSFMIKLLVFFIAIISTKAELETTENLAKDSLKDSPGLISLKEVRSIKVPKGDPLKHVFSRPGSFKEVKTTEAFSNPFKNTINPAPEVKTTDMLENPFKHTINPEPLVKTTKALSNPFKHALKSETGVKSTEAISNPFKHAMKPKPVVKNIEALNDPFKHAIKPEPMVKTTEALSNPFKHANKLEPEVKTVEALGNPFKHAIKPETVAKTTEAVSNPFKHAINPEPVVKTTEILSNPLKQANKPEHEMKTTETLHNPFKHTIFPEHEVKITEALSNHFTHTIKPEPVVKTTEILSDPFKHAINPKPVVKATEALSNPFRNAIKPESEVKITEGLGNPFKHTIKPESEVKVNEALSNPFRHNIRLGTLKEVETTEALLGYPSKPKVSLPIKEVETTEALVSHHVRTNALRLGHLKEVESTEA >KZM83162 pep chromosome:ASM162521v1:9:29273493:29274080:-1 gene:DCAR_030731 transcript:KZM83162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKQGNFSETSSDENDNVSSQRLKGNDKATAIGRFYECTFCKRGFTNAQALGGHMNIHRKDKAKSKPKSGAIQTSNSKSTAHDFDQVSNSSMYLVPITNEHAHYQANMGGTLMNYQFYLPMPVPSCPPQYAYYNLTDSIEHVASSRDLKMQEEVLETDLSLEVLKEKSADEAVDRIEHEVDLELRLGHDPGLD >KZM83244 pep chromosome:ASM162521v1:9:29961094:29961318:-1 gene:DCAR_030813 transcript:KZM83244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTEAACNALALSSCLPAVQNPSQAPSATCCNNLRSQQSCLCGYLKNPFLRGYVNSPGSKRVASACDVPTPNC >KZM81553 pep chromosome:ASM162521v1:9:2524725:2537033:1 gene:DCAR_029166 transcript:KZM81553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINCSMAATFGIVLFISWVNLLLLLLLSYSVHSRTIIENLPGFDGPLPFKLETGYTGIGERDDIQIFYYFVESERNPREDPVVIWIPGGPGCSTLRTFFYQHGPLKFNYMKSTGKKMMLELNPYSWTKVSNVLYLDTPVGAGFSYARTSNALKSSDTISSKHVYDFLRKWFNDHPGFMSNPVYIGGVSYSGIVVPIITQEIYNGNEAGNKPEINIKGYFLGNPLTDRDIDSNSKIPYAYEVALLSRQLYESAREHCSGDYMNPMNALCARDLDRVEECLKYIYEHHILEPICESSEDEASSPLVLYSLPQPRCREETYNYNIIWANEKDVQRALGVREGTVKEWEQCDGDHYLFGKNDTDTYSYNVASSIAYHRNLTNKNCRALIYSGDHDLVFPHIGTEKWIHSLNLTVESEWAPWFVEQQVAGYETTYSDNNYSLAFATVKGAGHNAPEFKPKECLAMVDRWFSGNSLKWL >KZM83091 pep chromosome:ASM162521v1:9:28650098:28651693:1 gene:DCAR_030660 transcript:KZM83091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMEQHSELRHSASSVAVSVDSPPSNDQTLPKDNLANGPFSAIYKMIPGVMNPNSRRVRHWSKFIIYFFFLAFLLQTLLIFLWHLKQDKNCIANSGTLIEVLFDFLLQSSNLIFLLHITFKFRVAYVDPKSTLLVYHPKKVALNYLFGYFIFDLLLVLPFSLRIFEQIFQGYYSSSGPFEIFSFLQCLTLLCRILSLFADETSSAFFFESWSSKFVINLLSFFLFSHVVGSFWYNFALTRVAKCLYEACGEPWCLRYINCENEYGKIITDPSLKKWMNNNNATACFRKGGYDYGIYEQAVSLMTKSNLPMRYIYSLFWGFQQTSTLAGNQIPSFFVVEILFTMFVSAMGLLLFSLLIGNMQSFLQARGHRSSEKFVRGLDVEQWMSQRRLPEELKMKIRQSEQHNWVVTRGVNELDLLENLPDDLQRDIRRHLFKFYKKIPLFSLMDESILDAIRERMEQKNYIKGSRILVHGGLIDKMVFIGQGKLESIGEAEHVIPLSEGDFCGEELVTLCLEHYILNRDREQTNSST >KZM81696 pep chromosome:ASM162521v1:9:4591851:4593318:-1 gene:DCAR_029309 transcript:KZM81696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTSPYLINHVSEAVKAMQAFYSKEYAGANIGISRGFSENLAHSLKLNDFYHDTVHQFAPTYHDYVLYNHSESEGESRKYRARTFVPTGPEMDTVRKNKHMTGNKLEDIQLSSISSSTPPNQSTVQVLQDTSKSEAMNSSLLMDASLAVPYDLTLVDIDMSSYSGEVPAATNESGTR >KZM82100 pep chromosome:ASM162521v1:9:11476909:11477388:-1 gene:DCAR_031807 transcript:KZM82100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRDTQMQKPPRKRKLRILDVDSDRDESTPPSVNISNTETIDPANSGKKAETNVYKRKRLVKCSNYVPTLQFNELVEEKVNPHPELDEMMIQNMNKTTEIADTEEQIMTQEVLTQLQEAAGNLDMVIYQPLISVNPIHEVPVEKNLQSKNLNIKHDFH >KZM82670 pep chromosome:ASM162521v1:9:22374463:22377862:1 gene:DCAR_030239 transcript:KZM82670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKLSSIDSAHIDESEASNSNNHGWQKVTYAKKQRKQAAKKSEPAGEKVGSGGSVFTAVEKEAEERRRRIEARKAAIFDDDYDEAVKARSAARRSDEESDGGGLENGAAVEEKKKVKVKKVKKPKISVAEAATKIDADDLASFLADISASYETQQDIQMMRFADYFGRAFSAVSASQFPWSKLFRESPIGKTVDVAIFAVLAMVLRRKPDVLISLCSVLNENSKYKGQEKLPVIVWTAAQACQGDLAVGLYVWSHLILPIVGGKLGSNPQTRDLILQLVERILSAPKARSILVNGAVRKGERLMPPSALDLLLQVTFPTSSTRVKATERFEAIYPVLKEVALAGVPGSKGMKQVSQQILIISAKAAGEGTPELSREATDIFIWCLSQHTDCYTQWLTEDWRQLSVKQSSLEGLGEALKSFRQKNEKALADGVEHSQQTLIKSADKYCKWLLGKVSRGHGCMKGFAFVVIVLGVGAAVFSPNLESFDWKELSTIFSQYSL >KZM83104 pep chromosome:ASM162521v1:9:28740041:28740265:-1 gene:DCAR_030673 transcript:KZM83104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTPSEKGIYSLNYCHFGKRTIQHHHQKFDDREFRAKLSTHILNLRICLAVSLNNYITPLPPKKKTISLIFWI >KZM82842 pep chromosome:ASM162521v1:9:25142117:25144653:1 gene:DCAR_030411 transcript:KZM82842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLVLACALAFLSTSYLGCQGTDLSEDSRNKTCIAGEKQVLLDLKKILIDQDKWLESWVGDYCCSWQGIGCDKDGHVTELDVGDCSSKGSIPKTIGNLTFLTTLTLSQNNFQGLIPKSIGDLKSLVTLELSFNNLSGPLPESFCRLSKLEKLDVGDNQLSGTIPKCIGQLSTLKWLDLFQNSWEGVLSEQQLVNLTSLTFLSISSKSKLVYHVSSEWIPPFQLQGFTIENMRVGPEFPPWLLTQEELLLLKMSNSSLVGTIPADWFGNLLSHAVHVDLSYNDISMARPSFISAPNNLSTLRLSNNRLSDEFPAYICNFSSLTALLLSDNNFTGELPRCLGNLTQLEELDVMDNHLSGHVPDVFGSLERLSYLNFYNNSFEGKLPLSFQNLTQLLIFDVGNNNFRDALPTWTSQQIPYLTYIGLRANHFYGTIPIQLCNFSSIQIINLARNHITGNIPQCFNNLTAMTTNETGIRYYFGDTLVGGKVLIDDVKGYELKYTSTLDFFYSINLSDNNISGEIPEELMDLRNLLNLNLAGNNLVGKIPARIGKIEQLEFLDLSRNKLSGPIPQSLSELKFLVRLNLSFNDLSGRIPTGNQLQTLDNLSSIYAGNNQLCGRPILKLCSGDAKPHEGHDYEKDNSDNDSDSDEHVWFYAGIGPGLLVGFLGFCSSLHYMKSWRHSYFNFVEMVLDKIAVFIALSWKKFYK >KZM83221 pep chromosome:ASM162521v1:9:29752673:29757859:1 gene:DCAR_030790 transcript:KZM83221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYVYWTAKGHHPVTYAIAACETQNVTVTVLPSFGLSEGTCPTAKDMWDKMVQNGQFERDNFNAGPSMPSSPGETNCAAISASATIEPHGKCTIAFALAWSSPKVKFSKGKSYRRRYTKYYGNSERAASDLVHDALRNYKRWEEEIENWQSPVLEDETLPEWYKFTLFNELYFLVSGGTVWIDSLAPAANTVPPPAKIADSTDSTVTEVNVKSHQDGGLEKTKLCSCDRTGSASDGEGAHLGCSDEDDSANSQEGDRDHFEYPSRFSDPVNDDDVGRFLYLEGVEYVMWCTYDVHFYASFALLELFPKIELSIQREFAKAVLCEDGRKVKFLAEGNWGIRKVRGAVPHDLGTHDPWLEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDLTFGADVWPAVCAAMEYMEQFDRDGDCLIENDGFPDQTYDTWTVHGVSAYCGCLWVAALQATVAMALQLGDRSVAERYKSKFMKAKSILEAKLWNGMYFNYDSGSSSNSKSIQADQLAGQWYTASSGLPNLFDDSKIRSSLQKIYDFNVMKVRGGKMGAVNGMHPDGKVDDTCMQSREVWAGVTYGLAATMIHAGMKEQAFTTAEGIFLAGWSDDGFGYAFQTPEAWTMDGHFRSLVYMRPLAIWGMQRALCSSKVNINAPQMGTIDRINISPHNARSDDTKTNVTKKKWSSRLQFHLKDKPKWSLIGKLPSGRRRQLLNFVKKCRDKVVPAVRTCYKRSSGSVKRGLGFSCSCCWDVLQEV >KZM81614 pep chromosome:ASM162521v1:9:3507232:3512222:-1 gene:DCAR_029227 transcript:KZM81614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHWIPPNPGTLKVEQKIAGVDFQTGEKQKHGSAGRDKNAIRNSILDEAAIVFSTLSFSGSSLFSKLNCTFDVVIKDEAAQADTFMLDRSSNTLVPLANGCKQVFLVGDPLQPPATVISPVAEKYKYGMSLFKRFQEAGYPIRSFPSKEFYKEALEDGPAVESETRRAWHIYSCFGPFCFFDLHERKESQPSNSGSWVNVDEAEFVVLMYHKLLARFVELKSSSQIAIISPYRSQVSLFRDKFKDTFGEDSKKFVDINTGWIPVVNFVKCIMFLMQGREKDVAIFSCVRASKDKGIGFVADSGRMNVRITRARSSVWVVGSASTLRKDEHRKNLIESAEKRNALCKVSKPYADFFSDANIASMEIKKTVPELQEVPIDDIGFDVAIDVNVDDGPANVQDWGGGDAEGFDGAGDDD >KZM81751 pep chromosome:ASM162521v1:9:5406776:5419341:-1 gene:DCAR_029364 transcript:KZM81751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRHSLSVGSRTTPSPHEGTTPLTAPGNISNDVGRDRHSRDRFRFFFVNDETRLTHSHFLSSKISVFLLVAIMLAALVSLSSLVDRLSAPYLCIKDGIALRCPRVKEPPSLWENPHSATTSWKPCAERRVDAIADLPSANETNGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVQIVRDIPEWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMSLKPFVDRLGYDNVPPEINKLRCKVNYHALQFLPKIQQMADQLVSRMRNRTASTNPFMALHLRFEKGMVGLSFCDFVATRLEKVLMGMYRKKEWPRRYKDGSHLWSLALQKRKEGRCPLEPGEVAVMLRAMGYPKETQIYVASGQVHGGQNRMAPLRNMFPNLVTKEELAAKEELDEFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYESKFIQKIVNVIKDKVTCKFVGITPHPVGINASVHVVNKWLRNRSTEVEVFALYGIGGVGKTTIAKYGTRAIEGLALDMNMTNAQTTELRTEAFAIMHKLRLLKINNVELRGGYKDFPKNLKWLCWHGCPSRSLPNDFPCRSLVALDMQSSNLKNLGQGHMLLGSLKFLDLSHCHSLVKTPDFKNLSALEQLILEDCINLIEIDESIGYAEGLVLLNLKDCRLLKKLPKNFGRLKLLETLVISGCCNLGMLPAGMREMKLLKVFHADGLDFSNSSHNAQRKESWREFIWGLVSKPIVSPQLALTSLPSSSITSLSLVNCNLHDNAFPKDFCVVPSLEYLNLSRNPIRFLPDCFKGLKVVKWLKLKECNRLQALEDLPNIKMLSALYCPLLEKITFESGMSLEGLAFPWGCDKLLEMGKVFKFVPIGNIDPEFINDCGIYDVESRKRIRIRLLNNYTHKETSCLIQGVYENHRSTEVFRGKSFSIFYPGSSVPVWFTSQAHAPSISFVVSHSKPRYLNTCIIYKLVSDRRQYFYMVINNRTKHRITIYRPSCYGIPRGDDYMTWLSHWEFNSHDLDSGDEVSISVFTHTFYPSFEVKEVGVHLVYEEEEHADIHPAKRLKIQPACDESSEYIIPVAENPQGHRATTQVYFLGCINEYTDLLAKAVLENTLEVESVESIQGLIV >KZM82425 pep chromosome:ASM162521v1:9:18786224:18825108:1 gene:DCAR_029994 transcript:KZM82425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLELVSVADEFSIEFMEKRERDLGIDIGRRRFWTDVAHRIDNQEMTAEVVSLLVDLIFKTLYTYDERRSRNAVDSVIVKALRVDSFMKSFAATIVQVMEKQSKLQNHIGCYRLVKWSSLLLCKSLFPSISKNALCRVASAQASVIHIVKMQGSLREQRDCKKTIFSLFSESPQIYKTYIEELKDSRIPYKDSPELLSFILEYSHSKSTFFDQWKPVFLDMYVRVILNVKEKPSEGLSEAFRSLFSYLSHDEFKSIVVPSSVKMLKRSPELVLGSINVLLKSVNLDLSKYAIEILSVVLPQARHADEGRRLVALSIIKCLSEKSSNPDAIEAMFNAIKSVIGGSEGRLAFPYQRVGMVTALQELSSSLEGKYLSKISPEICKFLLSCYKDDGNEDVKLASLSALSSWAARSTDVIQPDLLSFMASGLKEKEALRRGHLRCILGVTKNVDAILRLSPLVGPLLLLVKTGFTKAVQRLDGIFALLILSKIAALDIKTEDTIAQERVWSLVSQNEPSIVPITMALKLSVEDCLACLELVEVLLVDHSQRVLETLSDKSLSQLLIFFLCHPCWDIRKVAQISLKKILGASPQICEALVVEYSNYLSVVEEKVILMKRSETETALDTQVPFVPSVEVLVKALLVMAPEVLAANPNTLLRIIVCSHHPCLVTTAKGNAVWKRLQKCLQRLSFDIIELIMADLGNICKGLLGPFGLMSSNHLEQEAAIHSLSTLMSITPTDTYTEFEKHLNNLPDRFEHDKLSENDIQIYLTPEGMLSTEQGVYIAELVTGNNQKQAKGRFRVYDNDNGSDNGASHSVKRDSSTAGKKDATKLTKKAGKSSLFLLFNRVYWSIVTICFSNIIQFLPLIMIIVEKGKTAKEEARELQLREEACVRDRVSSIQNTLSLMLKALGEMALSNPIFAHSQLPTLVKFVNPLLRSPIVGDAAFRTMIMLAKCSVAPLNNWALDLATALRLIATEESHRLCNIIPSDGDRVPKGGLFLGLFERIIMGLSVSCKTGPLPVDSFTFVFPIMERILLSSKKTGLHDDVLYHVLGVIPANQASISPALNELCLGLQPDEVAPALAGIYAKDIHVRLACLNAVKCIPAVTSRSLPRSVEVATSIWIALHDVEKACCPIYRFILTRIAEVAEDIWDRYGYEFGRDYSGLYKALSHVNYNVRVAAAEALAAVLDENPDTIQESLSTLFSLYIRDVGFGEDNIDAGWLGRQGTALALLSVADVLRTKDLPVVMTFLISRALADSNSDVRGRMLNAGIMIIDKHGKDNVSLLFPIFENYLNKKASNEETYDLVREGVVIFTGALAKHLGKDDPKVHAVVEKLLDVINTPSEAVQRAVSACLSPLMQSKEGDAPALVSKLLDQLMKSDKYGERRGAAFGLAGLVKGFRISCLKKYGIAAVLREGLADRNSAKCREGSLLAFECLCETLGKVFEPYVIQMLPLLLVSFSDQVVAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAAQTALQQVGSVIKNPEISALVPTLLLGLTDPNEHTRYSLDILLQTTFINSIDSPSLALLVPIIHRGLRERSSETKKKAAQIAGNMCSLVTEPKDMIPYINLLLPEIKKVLVDPIPEVRSVAARALGSLIRGMGEDKFPDLVPWLLDTLKSDGSNVERSGAAQGLSEVLAALGTDHFERILPDVIRNCSHQKASVRDGHLTLFKYLPRSLGVQFQNYLQQVLPAILDGLADENESVREAALSAGHVLVEHYATTSLPLLLPAVEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGSSTEAHGRAIIEVLGKDKRNEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMNTLISSLASASSERRQVAGRSLGELVRKLGERVLPLIIPILSKGLKDSSTSRRQGVCIGLSEVMASAGKSQLLSFMDDLIPTIRTALCDSTPEVRESAGLAFSTLYKNAGMQAIDEIVPTLLHALEDDKLSDTALDGLKQILSVRTTAVLPHILPKLVQLPLSAFNAHALGALAEVAGSSLNFHLGTVLPALLSAMGDNDQDIQKLARKAAETVVLVIDEEGVDSLIGELLKGVGDNKASIRKNSAYLIGYFFKNSKLYVVDEAPNMISNLIVMLSDSDQSTVIVSWEALARVIGSIPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPVLVPGLCLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEPALKEFVIPITGPLIRIIGDRFPWQVKSAILSTLCIMIRKGGIALKPFLPQLQTTFIKCLRDNTRTVRSSAALALGKLSALSARVDPLVGDLLSSLQSSESGVREAILTALKGVVKYAGKSVSSAVRVRVYSLLKDLIYSDDDQIRLSAASTLGIVSQYLEDDQFVELLDELTKSASSPDFCSRHGSVLTISSILRHEPSRLCGCTLFSEILDCLKVALNDEKFSVRETSTKALGRLLLHQTLSEPLVTNAHSEIVPSIVSAMQDDSSEVRRRALSVLKSVAKANPTVTMTYITVFGPSLADCLKEASTPVRLAAERCALHAFQLSKGTDNIQTSQKFITGLDARRISKFPEHSDDSEDSDNDLLIG >KZM82763 pep chromosome:ASM162521v1:9:23732314:23732574:-1 gene:DCAR_030332 transcript:KZM82763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFMTEVIDRHDFHGFDSGLKVIMGSHLWSIVQNIQVKTNQMETSVYIQIIFKSLSCLFKKKGFNSDIIRRLSNFDYICRCRMAFN >KZM83324 pep chromosome:ASM162521v1:9:30824562:30827425:1 gene:DCAR_030893 transcript:KZM83324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAFVSFKTRWAAAVCAQTQQCRNPVMWLTEWAAEPRDVYWPNLAVPYVQLTVNRLIVAVAFFFLTFFFMIPIAMVQSLANIEGIEKAAPFLKPVIEADFIKSFIQGFLPGIALKIFLILLPTILMIMSKFEGWLSISALERRSASRFYMFNFVNVFLGSIVAGAAFEQLHTFIHQSAQDIPTTIGVAIPMKATFFITYIMVDGWAGLAGEILRLKPLIFYHLKNTFLVKTEKDREEAMDPGSLGFDTGEPQIQLYFLIGLVYAVVTPLLLPFILIFFAFAFVVFRHQIINVYNQEYESAGAFWPDVHGRIIGALVISQLLFMGLLSTKEKAQSTPVLIVLPVLTIWFHRYCKGRYEPAFVKYPLQEAMMKDTLERAREPNLNLKGYLQNAYIHPVFKSCDDSDSDDDMIEVHEKWEQELVPTKRQSRRNTPAPSKMSGGSSPSMHEVFPEYSKP >KZM83596 pep chromosome:ASM162521v1:9:33185650:33187304:-1 gene:DCAR_031165 transcript:KZM83596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAEKLGLPYLSAYLDSIGANFRHGANFAASGSSIQPADSAILKGHVNPLSLNIQLLQFEQFKERTAELYTEAKNSYHKSGLPRPEDFSKALYTMDTGQNDLHAGITSMRVEEVQKHIPNIINEFSLNVKNLHQLGARTFWIHNTGPIGCLPFFVVNYPPKAGNSDQNGCVKSYNDLAQEFNRQLKDKISQLRTQLSDVFITYVDIYSVKYALISEAKKYGFSGPLGYCCGHYGDYRARCGRKSLVNGTELYGTSCEKPAEYLSWDGIHYSEAANKLVADQILDGSFSDPSIAISEACHRPL >KZM83381 pep chromosome:ASM162521v1:9:31346846:31353627:1 gene:DCAR_030950 transcript:KZM83381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATTGPVIRKFIPVEHSQTHGSKLRLVSYNILAQAYVKSSLFPHSPAPCLKWKARCQELLTVLRSFEADILCLQEVDEYDTFYKGNVESHGYSSVYIQRSGTKRDGCGIFYRNNKLELVMQEKIDYNDLVNSIQEEEALCAGMDKITVSDTERDTASKEDLQPKDTKDRGDPNDPRVRLKRDCVGIMTAFRLKESSEHFVIVANTHIYWDPKWADVKLAQVKYLLSRLAKFKTLVSDKFDSEPSVLLSGDFNSVPGDKVYEYLISGNPSMEPQLEQREDLPIPLDSVYAYLRGEPQFTNCTPGFTGTLDYILFSPSGSINPISYLDLPEADSSDVKGGLPNYYHPSDHLPIGTVKVFEDMYASERFNTCSVLRQLPKFLFSDQQQAERILPIINNISSKNGVYEYLISGNPSMEPQLEQREDLPIPLDSVYAYLRGEPQFTNCTPGFTGTLDYILFSPSGSINPISYLDLPEADSSDVKGGLPNYYHPSDHLPIGADFVIRTK >KZM83302 pep chromosome:ASM162521v1:9:30637764:30638159:1 gene:DCAR_030871 transcript:KZM83302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDEVDQDALALTFIVEADNFGSKNIVELLPDGKNISVNSKNRVNYVARLVQYHFVDSVKDQVAQFTQGFDDIMNSDRLRESFFQCLELEDFDWMLYGSERPLCVEDWKSHTDYNGYEETDPQISWFWEV >KZM82093 pep chromosome:ASM162521v1:9:11315787:11324551:-1 gene:DCAR_029706 transcript:KZM82093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEVASTYINLEEAYSVHAGIAHTRWATHGEPAPRNSHPQSSGSGNDFLVVHNGVITNYEVLKQTLIRHGFNFESETDTEVIPKLAKFVFDQANEGDQTVTFSQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKVDSTPDVVVGEGLEFIPLMLDLEYQNFILMHSNQKLEPKAYAEHICLDQEYPGDGETPASFNDARFLSTNGDPKELYFSSDAHALVEHTKKVLVIEDGEVVHLKNGSVSILKFDDGKGTANGTNSRPAAVQRALSILQMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLIHGGSSKAKTVLLGGLKDHLKTIRRSRRMVFIGCGTSYNAALAARPILEELSGIPVTLEIASDLLDRQGPIYREDTVAFVSQSGETADTLNALNYALENGALCVGITNTVGSAIARKTHCGVHINAGSEIGVASTKAYTSQIVAMTMLALAIGSDSISSEARRESIVDGLVDLPSKVREVLKLDNEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIFVIATHDSCFSKQQSVIQQLHARKGRLIVMCSKEDAASMMNVGKYVRVIKVPHVEDCLQPVINIVPLQLLAYHLTVLRGHNVDQPRNLAKSVTTE >KZM82748 pep chromosome:ASM162521v1:9:23307936:23313508:1 gene:DCAR_030317 transcript:KZM82748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKALEAFGDLGDDEELGPVDWPTVKKYIASLTDVEGEQVHPHTQSQTDDKLDSMNLKDIRIRFLNGVQAAYWGMLEEGRITQTIANLMMQSVDEALDLVSQEPLCDWKGLKANVHFPSYYKFLQTGLFPQKLVTYFTAERLESACYICAAFLRAHRIARRQLHEFIGDSEVASSVIGESEIEGEDAKQFLEDVRVAFPQVLRVLKTRQVTYSVLNHLIDYVQNLEKVGLLEKKEMVHLHDAVQMDLKKLLRNPPLVKIPKARDLVSLNPFLGALPPTTRELLVGSTKESMKVRGTTLYKEGSQPNGIWVISNGVVKWASKTIKTKHSLHPTFTHGSSLGLYEVLNEKSYICDMITDSVVLCFFIEAEKIRMLLRSDPAIVDFLWQESAIVLAKLLLPQIFEKMPMQEIRALVAEKSTMSTYIRGESIELPPHFMGLLLEGYIKGQGTQEELIASPAALLPWYGDMSFRGSDVSGIKSTSFVHQASCYQVETRARVIMLDFAAFEANRSLQRRSSSLVSHTKDHPTRSLSKEHCGLMSWPEQFYMQTQPSEHRIEETDKIGSNLSVRAMQLSMYGSMIDNTRLHAQSSRGNNEKSSHSLSYPRVLSPEGQPLVYAKSEGAATFGKMPTTATSGKVPDGKTPVPSSSTTKTHGMDYSSDESGTEDEHIVRIDSPSTLSFPQVQ >KZM81956 pep chromosome:ASM162521v1:9:9131671:9134886:1 gene:DCAR_029569 transcript:KZM81956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIDFSFHSSKPSGSVVHSLQVDRTGLVAGCGHCSGDKHSRTPLSDVSNKSFSAFRQYNVKGSIALPVTERGNGACLGVVEIVTTDGACLGVVEIVTTGCDVNCLPEVDDVCKALELLLWNIPVLTRMESIGHVREIQNMVREADLHSQKDQERKELIDLRNSADTYVTRTLHFVSSTRVGHSTLGHGYGHSDTYFKPKTSNIFKLLPSPTLGHADTAIYSIEKSLGEYNDKIPSEVVTEIKTAVSSLRTTIGVENAEEIKSKLDAANKAVSKIGEHMSKGSGGGASGGSQGRDQPSEGV >KZM82937 pep chromosome:ASM162521v1:9:26019505:26020365:-1 gene:DCAR_030506 transcript:KZM82937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNTFDNNFSNPNATVRPIYVSTPKSYALSGKIMVSAIVVIFAVVVLMIALHMYARWYLHRVHRLRRRHHHRTRRQRASNIVFYVDQSSAAGRNNRGLEASVRDSLPLFVYTELTHKDDLDCAVCLSEFEEGETGRVLPNCQHSFHVECIDMWFHSHSTCPICRAKVEPVDPTRNKDVLVTVGKPVQLEPEPVQPSGSRSVPLAERRNGLDLAGVKIEVPSRPELVDEPRPSSPASYGFMSPGNRLQSLKKMLSMKRKPAAVSPTGETGTSCPSGTELDVELGIS >KZM82318 pep chromosome:ASM162521v1:9:17211829:17213210:-1 gene:DCAR_029816 transcript:KZM82318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDMSLPCEFLKAVIFVPYGSLPEKLKLSADFVSKYGKELSREIRFQVSDGSFLGGLYDYQNGNLIGLQKVYKFYNMSMFESLVITYVGGALFVANAFGTDCMPKIVSKDPTSFFEVHIKPSHLLDYDFGVTISAKFKSATEQFRSIETLKISHGNDRWNVLLKKRSKRVELHSGWTVLWQSLRLVHGDICVFRETGVNLKYKLEVYRHNL >KZM81969 pep chromosome:ASM162521v1:9:9368510:9371211:-1 gene:DCAR_029582 transcript:KZM81969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVPRLTGLQMEQHGVGFHQQELLCTKFVGLMDAMNDVDILAASDEAIADGVDIISVGGAAIDYFQDSIAIGSFHAMKNRILSSASAGNSGNEPGRGVSVKTFTSKKGKYALLYGGDVPNAMAGFSKYDSRRNNEKKTSTRNTANLLFRVDIAGSGPRNKREGEVYERTTKFGHLNRGTDLDNSAEANLMIQKYSEMDAEIACLLGQLKRVNQWLEDSLTNGMGVQVLFSNAIVVAVNVAFEYGRVG >KZM82751 pep chromosome:ASM162521v1:9:23360571:23362312:-1 gene:DCAR_030320 transcript:KZM82751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYSIANSSFYSSSSLGWEYQNLGVFNADMSHSFGMGSINSLPYSSALDNLSDYSTGYLQDALLEFSSKRRRLLLFSEEPTNYSACPVESCWKENLSQIYPGDFGDLNQTNEMDKVKDKMKNENNRDVEASPEEARPAFEGNNNLCSSSSSPNSKDSVQTGSISQSLDSLAAYSPLLVGDGDYLKKRKEVIMTRVVYPFAVVKPGGKEGEITLNDINERISMTPTRPVRHPVGDFACRPVASPHGHGLSGKAVVALTKIQTQGRGTITIIRTRG >KZM83390 pep chromosome:ASM162521v1:9:31397275:31398603:-1 gene:DCAR_030959 transcript:KZM83390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQITTFSFFIVVLHFLCSHAHVHDSSTASAGFSLDLIHRESSPLSPFYNPSATHSQRLKNAILRSNSRLRHIQSKCSNRSRSPGSVESYIRYDSGEYLMKLVIGTPPVETLGIADTGSDLTWIQCKPCKKCFQHKNPLFDLRASSSYKTLKCTSKQCKAIQDFPTPCTREHNKCQYRVAYGDGSYSNGHLATETLTFGETSIKKIAFGCGHDNQGIFSNSTSGIIGLGGGPLSLITQLDETIQGKFSYCLVSILKNESSTISFGKQAEASSFKAVSTPLFPTPAQTYYYIYLEKVIIGNKTFEVPSEEPGEHIQTGNMVLDSGTTLTYLPGGKFYDGIFSTLKESMGVETIDDPDGNFKFCYKTNSDIKVPLVAFQFKDATIKIPQSSAFVEVDVGMTCFTAVPSGMSIFGNIMQENFLISFDLQKNVVSFQATDCSKKS >KZM82269 pep chromosome:ASM162521v1:9:15174191:15181165:-1 gene:DCAR_029847 transcript:KZM82269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRQRMKKPANLKSRSQENGLIQEEMVVFKEYILSSLTNTARAVWKGITRDSKEFRGINILLVDDSRSLIHAFIAAKLAPLFESDLVEGDVYQISNFIVQDYTGLEFNRCVRFDKHIYFAQYTKMERCTSAGLTIPKLVVDLFPLKDLEPMEQDKRFLCGRVQCLSLSQLKVVVTQLETVNIKEMCIADIKKLDYEAKVNAKEEDGKFPPIFNTLMNKEYVITIDVSEENLKENYEVYQVSDVHMDGEQTISAFENVDNLSEEAEDDQTIQDMELKTQSSRTASSSKKKKITNENSQNSDDYSTGIGKKGPLRKLKNIKIKKNFRMHAFVPGKVVENNETKLIDGNVCIISNFTIKDYENTEKFRVVNHNKQIILTTYTHIEKVEVDDGFIQKNMFDFYDLGQLDDIADKNVFLTGYNVL >KZM82092 pep chromosome:ASM162521v1:9:11286638:11293318:1 gene:DCAR_029705 transcript:KZM82092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTVNLHRSFPQPPSLHHQHRHVTPLSLHFTPTYTHTYTYKNYYTPPLRSLKTLASTDSGGNSGDGGSISGGGGGGDDSGDAEERNREEAMIVLTEAGRSLESVSKDVAAAIKAGRLPGSVVERYVELERSAVFRWLLQFGGFKERLLADDLFLTKVGIECGVGIFTKTAAEFEKRKENFTKELDFVFADVPLVEANIRIPLQRIDEPDELMVVMAIIADFMLVWLPAPTVSLRPPLAVSAGKISKFFYSCPDNAFQVAFAGSSYTIFQRIGAIVRNGFKLFAVGTSASLVGTGVTNALINVRKAVDKSFAGEAEDVPIFSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHQHKLILSAICFAVRTGNTFLGSLMWVDYARWVGIQKVRE >KZM83582 pep chromosome:ASM162521v1:9:33110664:33114964:1 gene:DCAR_031151 transcript:KZM83582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSNKLPLLTPEETPPQLLRRPSHHSFDSSFVADADDIAPITGVRDFYREFIIESRKLWYLAGPAIFTSICQYSLGAITQTFAGHVGTLQLAAFSVENSVIAGLSFGVMLGMGSALETLCGQAFGAGQIDMLGVYMQRSWVILNCTGVILMFVYIFAAQFLRLIGQTEDISREAGKLAIWMIPQLFAYAMNFPIAKFLQSQSKIMAMAWISAAALVVHTVFSWLFMLKLGWGLAGGAVVLNFSWWFIVLAQLVYIFSGTCGEAWSGFSWKAFQNLWGFVRLSLASAVMLCLETWYFMALVLFAGYLKNAEIAVDALSICTNIVGWAVMVAIGCNAAISVRVSNELGAAHPRTAKFSVVVVVLSSFVIGLVLSLILIIFRDQYPSLFTNSEEVKRVVYGLTPLLATCLVINNIQPALSGVAIGAGWQAVVAYVNIVCYYVFGIPIGLIMGYKLDMGVKGIWIGMLSGTVVQTLVLFWIVYRTNWNKEASIAEQRIRQWGGEPERAKGSEN >KZM81884 pep chromosome:ASM162521v1:9:7644312:7645070:1 gene:DCAR_029497 transcript:KZM81884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRPRKRQRLGWDVAPLPLWHLALLHTQILVSQNIHMLNYLGCRDFLILRVSH >KZM83308 pep chromosome:ASM162521v1:9:30689727:30691073:1 gene:DCAR_030877 transcript:KZM83308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSINHLFALPPSTTKYLNVLSIGLRRNSLSVPILSPAHAPENRMIERRSLITEAAGADVLDNDELLLDEKFVLEAGLQIQAMPKHLAVIADGHRRWARQKGLPAKFGHTTFVPGHKELARLCCKCGIKALTAFVFSPGNWKRPKEQVEFMMSLFEEGLRSNLEPSMRHDIRISVIGDRRGLSKSFQEVITEAEEKTRANTRLHIILAINYGGQSEIVEACRTLCKKVKDGLIEEEEINQKMFEQELWTNICPEFPFPDMLIRFSGEQRLSDFLAYQLAYAELYFPETLFPDFGEEEFLKAMKHFQQRKRRYGGGE >KZM81439 pep chromosome:ASM162521v1:9:1212507:1213397:-1 gene:DCAR_029052 transcript:KZM81439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNRPSNSHKSQPVNGSSSHQPPPSLPPPRLTYEQEVSVMVNALKNVISGASLESSHDLRFHPTDTTSDFRFLTAASVSGSVIRGSTSFMTEPETIAGVSGSASFVTEPETCRFCNISGCLGCDFFGVEENDTKNSNKKGKNNVNAVTMKRKKKNFRGVRQRPWGKWAAEIRDPRKAARVWLGTFETAEAAARAYDRAAIEFRGPRAKLNFSFADYNNSSSALKKQEEEIAKIREEEEKKKEEKLRRNEREIMEKNKEKEWWRMSAGDDEFQKWMMMMDSCDQSCDSAGRTNIHSV >KZM82742 pep chromosome:ASM162521v1:9:23256642:23258443:-1 gene:DCAR_030311 transcript:KZM82742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKIPESQLGSNVATTVPLLGFGTAAYPLAASETMKDSFLSAIEVGYRHFDTASLYNSEKCLGEAVAEAVRSGTIKSRDEVFITSKLWCSDAHPDLVLAALHNTLRNLGLEYLDLYLIHYPVSIRPGSVLFPFPEEDILPMDFKHVWEAMEECQIRGLTKNIGVSNFSCKKLEVILSSAKIPPSVNQVEMNPLWQQKKLREFCKEKNIHVTAYSPLGAKGTIWGTNKVMDSEMLQEIAVAKGKSLPQICLRWAYEQGVSVLVKSFNKERMKENMDIFDWQLSPEELEKINQIPQEKGNPALFFTSDRGPFKSSIDLWDEDIN >KZM82226 pep chromosome:ASM162521v1:9:14093891:14094616:1 gene:DCAR_029890 transcript:KZM82226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNVHRATLSAPAANGNTTGSGAVIRRANGGMASSISGTNPNLSPVENQLAAIHIGMKRAYEETCKKVIVETDNLEAFGMLKFQHNGISTTARNIIQQIKILKKDKVWKCKIRYVYPRRNRVATYLALLGADLFGRLFLSFEPLGRAAELIDMDVGLGFHDPRYQEVQMNGDEMELFDQALDEGWGAPNGPGHAAQFMNSAGLHGMQVGVAQGEMEIHDLIYEDKLAEDEEGDDLVVMMG >KZM82289 pep chromosome:ASM162521v1:9:16116271:16134100:-1 gene:DCAR_029787 transcript:KZM82289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKAFRPTNDKIVKIQLHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDTAILDIRVKTEPPSVEYVSSVKQVSFYDDDVHFWQLSRNRSAAAEAPTAVTNVTSAFSSPAPSTKGRHFIVICCESKAIFLDLLARRYTGGHKKSISCLMTFMASTGEALLVSGGSDGLLVLWNADHGQDSRELVPKLSLKAHDGGVVAVELSRVMGGAPQLITIGADKTLAIWDTLSFKELRRIKPVPKMACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLIPPLALGSHKKLRVIGLHGGALLGIAYRTSRRISPVAATAISTFQSMPLSGFGSSGLTSFTTVDGYSSHNSSAEAAPQNFQLYSWENFQPVGGLLPQPEWTAWDQTVEYCAFAYQQYIVISSLRPQYRYLGDVAIPFATGAVWQRRQLFVATPTTIECVFVDAGVAPIDIETKRRKEEMKLNEARSRAVAEHGELALIAVDGPQTGTQERISLRPPMLQVVRLASFQHAPSIPPFLMLPKQSKVGSESSSMPKDTDSRRVNEVAVGGGGVAVAVTRFPGEQKRPVGPLVVVGVRDGVLWLIDRYMSTHAISLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDIGNETVGLNLNDIMSLTAKKEDVVEAVDGVVKFAKEFLDLIDAADATAQGEIAREALKRLAAAGSVKGALRGHELRGLALRLANHGELTRLGGLVNNLIAVGAGREAAFAAALLGDNALMEKAWQDTGMLAEAVLHAHAHGRPSLRTLVQAWNKVLQKEMEHGPSTTTDAAAAFLASLEEPKLTSLADAAKKLPIEILPPGMASLYAPNPGQKKTTAGIQGSLQAANKPLLLEGSNSTQGTTPTSESAAPAVAEAGAPPAVEAGASASAESGAPPTAESGEESTAPAAESGALPTSESGALPTSESGAPPTSESGAPPTSETGAPPSEAGGPPSETGVPPSETAVSESVEIPTAETSARPASDTGSNLPSESTISAAGPTSESSAAVTSETSERSLDNPSNTPPVSVSGLVATETSLTSTSNLVPTEAPSQLPVPKQNVRPELPLDFFT >KZM82847 pep chromosome:ASM162521v1:9:25198819:25200833:1 gene:DCAR_030416 transcript:KZM82847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTKGDLSMGMGSTVIYKIYYLTESYEGQDMYTGEKIVLVKVGLVQQGLISYRSQDLNNFESDPEEFARSFCKDVGIDDPEVGIAVQNVFSARESRISTKGRRGFEHSLPSSRFILISVRLVALHWI >KZM82323 pep chromosome:ASM162521v1:9:17249464:17254463:-1 gene:DCAR_029821 transcript:KZM82323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRRRSNRLTASASPWVYKYPKKALELSETKGSAVIGSRDIRSEWGWGKKMDTRDSSDEEDDFVTPGESFSKSHKTCQSASGKKECKMGAKESYRSKRLTPSVKIRKRTPQNKVAGSGNRASAAIIIASKVVRRRNVKKTPKLKIGYADGSTEHEEMPKIQQGLKKTRSNENYIEKKISPSIMSQVIAGLSDNQRQWVRVTGFAELLNFRMLCYTHRLGYNVVNAFDSKKCSLELRAGSIQIDDKMVEKVIGLPRGDKEIIFSDNSSLMSEWSDQFPECKRCKIKPRMVKDKILASRLDDKQFKLNFLVLFYNFFIECNQNQYLVRDVLNVKMDIDNCGEYNWCQLLIDKLKKTHAFWAANRTRNFKGALAFLIYLYVSKVRNKYTVYVSPSVPAFRAWSDMLLRERQKYEEKQGCFGVGQLVELDSGEQEAKGINKQAALQNIVTNGTGQDLNNGTEHEYLESIVNIDGDGEDVNDMIVEDSLQMDEENYGHIAEEEMAVEMDMNDINRNEKRETIGVTNDSNLHTMHSVSTVMPEDENMGAALCKREIVKVADDSHLDNSVTNQSRALPNKNNYIKGDTVEGTQTNMDELIDKHFADENYAQEFTKNLVELRHNYEKCLNNYVVAGLLYPDNPIIAGLKKEFGFFFKLFEDTSPISKKFFMGSGDGEHGDKVADRKESEFAPSFSLGLTQMTPRNLCAELEGEGGMRAASGGLYSLTVADRHDVLCPGDDNALIQRQRRAVRTTEICRSPFVSRVVDVVAHTPTLEEKNVWNWLFSNKRNKKDILFEWNTRMCTKAHFQSLHDTKLVESTLIDAWTCMLNENEILRSDSSPLRLFLNTETSYGPMNMSVAEGDIHEKIKRYAVFDDNMDVVLELVNRVHDRVYNVPDFDMFVFPIIQAAHHYIICYNMKKPTWEIIDNRVPFYGIEELYGDLPFRLHDCFCEWISVYNLPKGKEIISLNPRVVRVGWQTKDNSVDCGVFVMRHMETYMGNLSTWRAGLRTENDNQKSLLRKLRVIYCHKLLTWECNRYKDFVRDSASAMAKGKTVVE >KZM81855 pep chromosome:ASM162521v1:9:6949098:6953328:-1 gene:DCAR_029468 transcript:KZM81855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNPESKPLSGLLPIIARTSSTQLTMVKEDMDEYGDRDSQDSESPKPAAEMWHGKRYVEQYDELMPDITFKGSDDLLDDDGNHSFSGASHPPEPVDTDLMRPVCVPVGQNESENKCLMKSLSKGPFIEDLSVQVSSIKPSLLIVSPRDSLVDEPNDLRAVTSPFSATRRPSQNAEIPLLPPDSEEKECVWDASLPPSGNVSPLSSIDCTSVVTAMSIVNSCTHTYRSDTILSDGMLSVDRNREGTKGGVRGDSLESGKTSMSRASDSSGLSDESNWSNFTGSAGKPHKGNDSRWKAILAIRSRDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLTRAQTERDILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLSPTLIRTSAFDSDFSRRGSGGAFCAQPTCMEPSKACIQPACFLPRIFSKRNKISGQKARAETGLKTSRLPELLAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFIHELLYGKTPFKGAGNRATLFNVVGQQLRFPDSPPTSYASRDLIRGLLVKEPQNRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRIPSEAELPATFGAGDPIAVGSSSKRMVGADIKSGGKYLDFEFF >KZM82530 pep chromosome:ASM162521v1:9:20726028:20730808:-1 gene:DCAR_030099 transcript:KZM82530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQLSLKSCKFSSDIPEWISTQKNLDILDLSNSSLTGKFPLWLAEMDIKSILLSHNKLTGSIPSRLFQSSSLSILALSKNNFSGELPENIGDAHNIKVLMLSANNLSGAIPKSIADIPELVLLDLSRNKFSGNTFPVFDPNGSLYYGDFSSNELSGEIPVSFCKDTGILALGENKFSGRLPRGLTNMIRLEYLDLHDNNITANQYINNEMELNWIENTITPISGMFTFTMEIDGLMVYWKKAIQGLSGNNRHFYTLLDLSNNKLSGDIPVSIGHLKGLKLLNISNNRLSGNIPQSLGDLESIEALDLSNNNISDVSNNKLSGKIPRGAQMDTMNDPSYFANNSGLCGMQIRVTCSEHELKPEAHVDGDDGEISGNGLANLTSIVRLDMSNNHFYGSIPAQLFYLRLLRFLDLSDNSLKGSLSTEIGKLGNSRTLKLDGNSLFGNIPLQIGNLTKLQHISISLNELSGGIPDSIFTLKRLEGLDLSSNNLRMQIPSQIGNLSRISTLVISKNSFTGAIPTSVRNLSKLETLQLEDNMLGGETPSWLFDMESLKNLFLGGNKINWNKIVKIVPKCMLSRLSLRSSQISGDIPEWISTQKNLDILELSYNQLTGIFPPWLAELEVGNLLLSNNELSGSIPSRLFQSQRLSVLALSRNNFSGELPKNVGDAKEISVLMLSGNNLSGPVPESIVDMHQLLLLDLSRNNLSGPVPAFDADGFLMYVDLSSNKLSGDVPSSLGISMIVLALGKNKFSGNLPRNLTDMKYLEHLDIHDNNITGNFPEFFSQMSALQVLILRNNSLHSLQSNSFSNQSALRILDLSNNNLVGSIPLDLGNVVSMAGIFDRYYISIRTNGIQVNWIEASITLITGMFTFKIEMNELTVNWKNAIQGLSSHSRHIYSLLDLSKNKLSGEIPASLGLLKELKLLNFSNNRLSGHIPRSFGDLESIETLDLSYNDISGTIPQSFSKLKQLSVLDVSNNKLSGEIPRGGQMDTINDQSYFANNSGLCGMQIKVKCSEDEPTSDDVQEEDDDVEQEPWFLWTGVWIGFLLGFISSVLTAFLSGYFVLPIPKYNSIHYRHR >KZM82613 pep chromosome:ASM162521v1:9:21833218:21837089:1 gene:DCAR_030182 transcript:KZM82613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSFVKLNASSSQWIGQRPVSQRSGSASVCPARRVSVVRAGSYSEELVKTAKTIASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTPGLGEYISGAIMFEETLYQSTTDGKKMVDCLLEQNIVPGIKVDKGLVPLPGSNDESWCQGLDGLASRSAEYYNQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHSIDRTLEVAEKVWSEVFYYLAENNVMFEGILLKPSMVTPGAEHKEKASPETVAKYTLTMLKRRVPPAVPGIMFLSGGQSEMEATLNLNAMNQTPNPWHVSFSYARALQNTVLKTWQGLPENVDAAKQSLLVRAKANSMAQLGKYTAEGESDDAKKGMFVKGYTY >KZM81937 pep chromosome:ASM162521v1:9:8791595:8794156:-1 gene:DCAR_029550 transcript:KZM81937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEKKEKGNPVVVAGYKVHLHCPQCAEDIRKPLLRTTGVHSVDVKYEKNEVIIKGAIDEKMIHTKLEKWSRKQVEILAKDKINIVQVKDKETKSETVKTTTIKSYIHCDQCERDLRNRLIKHQGIHDVKTDRKAHTITVVGIIESEKLLTYMRKKVHKHAEIITSKKEKKDGKKETIEAELKSTETTKLVEFQEEIKVEAKVKDGNVPYFVHYVYAPQMFSDENPNACSVM >KZM82808 pep chromosome:ASM162521v1:9:24701640:24702762:-1 gene:DCAR_030377 transcript:KZM82808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYQQDCAMANLRNFVVSQYYAAPSDVQTLGHQFFNAMDRDGNGSVDYSEFKNFLVSEGFEYYAGRNLFMSLDGDKNRGLDFWEIMTLYYIIKSRKPFCVKCDIFLGDAYSVCNDCGGGPYYFCNNCYQCHLQEPSFSVKPTSNPLMSETPNANAMTMASSPNYEMDAVNGSTSERGLRSKMRVAFDAFELGMNAWTVYDIVNTVSGGGGCSIM >KZM81680 pep chromosome:ASM162521v1:9:4406843:4411724:-1 gene:DCAR_029293 transcript:KZM81680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFSKRRSGGGGSNGGFERILRGHVENSYNNNITTVHEIVDHLRASFPQYSRQKLQPFTRNVDRSLSNILAERRNSIFDEEDALEGSPAKKRLRSVDKREERLREIEKNHVIRQRARNSVSDVTEDEEESESTSTSSDNIYSEKFEPEIDLMKSMLRNSYSGSKKGENSVKLKQANPVRLEDKNVELDIMNEKISKKLDFGGGEGSVRGVKLQKKKCGGSGEDEVKRKDGPMFKDLGGMDKVVEELKMEVIVPLYHPQVAHCLGVTPVRGILLHGPPGCGKTKLAHAIANEMRFPFYKISSTELVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRESLQREMERRIVTQLMACMDEPPRIASQNEKDGSSENSDSRPGQVLVIGATNRPDAVDAALRRPGRFDREILLGVPDESARVEILSVLTSKLKVEGDFDLLKLARCTPGFVGADLAALANKAGNLAMKRIIDQRKSELSIEPLNKENAEEWWRRPWSPEEMEQLCITMDDFEEAAKMVQPSSRREGFNSIPNVKWEDVGGLDSLRKEFEKYIVNRIKYPEDYEEMGLQQEMGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTIFSRARTCSPCILFFDEGEVSHSLGACICMGGQCFTPEVMDRAILRPGRLGKLLYVPLPSPDERGLILKALAKKKPIDATVDLVAFGKNDSCSYLSGADLAALMDEAAMAAIEDKRQEQKSSNGTRCTIKTEHFDRALKKVPPSVSVKVFLLFFWMACLHKRL >KZM82009 pep chromosome:ASM162521v1:9:9877763:9880667:1 gene:DCAR_029622 transcript:KZM82009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGNLDPLVPFAAHPCSISSGVLISHQESSVLGGNFVSQLSVRPTVEESDQEMSEFWKKGDGLGYGSCLDFSKEYEKESSGILKNRKSYLVVVVAGGINQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLQHFKSVLADHVRIVTSLPSTHLMSRPVEEKHTPLHVSPQWIRARYLKRMRREGVLLLRGLDSRLSKDLPGDLQKLRCKVAFHALKFAPSILQLGNKLTERIRSKGPYLALHLRLEKDVWVRTGCLPGLSHAYDEIIREERKQHPKLLTSRSTMSYHERKLAGLCPLNAFDVTRLLKALGAPKTAQIFWAGGTPFGGESALLPLTKEFPHLYNKEDLALPGELEPFAKKASMMAAIDYIVSENSDVFMPSHGGNMGHAIQGSRAYAGHKKTIIPNKRQMVPYFLNSSIPEEDFRRIIVDLHRDSLGQPKLKTSSKAGRDVTKYPIPECMCKNSNRHSTL >KZM82162 pep chromosome:ASM162521v1:9:12664264:12665339:-1 gene:DCAR_029731 transcript:KZM82162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQPRREEFEQSLATKNDKIELSGPVVRQEVGERRGEITIGEALEATALTSGNKAVDYSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATRNARLTQYEEKTKLSEVLADAASKLPSDKPVTRRDAEGVIGAELRNDPNITTHPGGVAASLVAAARINQSKQNADSPRSPQSPGKN >KZM83121 pep chromosome:ASM162521v1:9:28920550:28924496:1 gene:DCAR_030690 transcript:KZM83121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDKSVAKSPEALQNAQSESVSALKDGFLAHHFSSVKPGSVTINLGAAGVLAYSMDKQSPFLPRSFAVTDDIFCVFQGHIENVAHLKQQYGLGKNANEVIIVLEAYRTLRDRGPYPVDQVLRDFHGKFAFVLYDGSSKSAFVAADADGSVPFFWGTDSEGDLVISDDVDVISKSCKKSFAPFPKGCFFTTSGGLRSFEHPMNELKPMPRVDSSGEVCGATFKVDAESRKETGMPRVGSAANWSQHY >KZM82627 pep chromosome:ASM162521v1:9:21953815:21961856:-1 gene:DCAR_030196 transcript:KZM82627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMGRKVSMPLSKSSRRKAFICRIVEDVNKNFLLKFPSEFAVYFSSLGLNNIGLHVPLKKTWLGRFVKYIGGTEFTVQIFNEYAVEVDYTKTADIAQAKLHKSRIRPSVMEPLSAISELKKDKLKTIFCYNACSGFEGELEIVIHAKHLGDDELIEFTDLFVTITLTSHLNGLFSLNSRTIKMDSTTLIPEFFKYLTSEDVSSMFLLLPTKFWRMFGSVIPEWFNYVLRNHFRFGGHFEFLERKLSGLRKICDGLRLSSYEKLELLVFTYESGGFFKLSLFDGSAVEVRLDVNEITFGTLFLTLRYPFEFDVRVMPSYMLKNCPGVKLPVQFCSKYSEVLYESMELKLRNGYILRVELDLVKCEMKGFLWFFRDMDLRGGELLLFEYFGRFSFNVYIIGDNGAEISYPDRVHCLQLCLPSIVSVADGGWRFFSTRVTVESIFDEIDAPAEFIERCGLFLPERITYVLSNGKKFDGSYDSQSSRFNGLSKMSNIVGLDTMSVVRNLLLNYDGFKTISISAFDSEQNEVVFPGTPLCMDASGSYPLLNNYFQIIVENKHMSDDCFAVEISNEYNNLSIEWDNFQYLNIFTGLFSWRILVRKRDDHQCPTIEDGWKILRDGLALKVGNVCIFECPTLSYDQFRIRVLEGDHEM >KZM82882 pep chromosome:ASM162521v1:9:25589691:25591592:-1 gene:DCAR_030451 transcript:KZM82882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKEGCSLLLRVRKPSVPFVLNTAQSLNHSLQNVKSERVLREIDVLRKLKYEKDISLALEYFKYVANSSSFKHTIYTYQMMIERLGREGEMDAVQYLLQQMKLEGVSCSEDLFVSVINSYRRVEASEQALKTFYRMQDFGCRPTVRIYNILLDALLSENRFTMINPLYSSMKKVGVEPNVYTYNILLKALCKNDRVDGAQKLLVEMSNKGCSPDAVSYTTLVSSLCKLGKVKEAKELAMKFTPLLPVYNALINGLCKDCSVGEAVQLLGDMVNKGIRPNVITYSTIINGLADSGNVELSLAFLAKMFVRGCSPNIQSYSSLIKGFLAEGRLHEALNIWDKMIRDGILPNIVTYNIVISGLSSLGNMNQAFYLLKQMNINDCPPNVVTYTTLIGWCARSGDLTRATETWNKMITQGCRPNVVTYTAMFDVLCKNFMFDQAHSLLEDMVMEKCSPNTVTFNTLIKGLCSGGRTDWAVKLFGQMESYGCAPNITTYNELLDGLFKANNFAAAFELVANMKKSGMELNSVTYNIMIYGYSCADMIDEAVKVLGQMVVRGMKPDTFTLNILLDAYCKSGKVEFAIKLLNSATSLGFSTDAVGYNSLIKGICECKDLEAAFFYLHRMIDEGISPNIAL >KZM81917 pep chromosome:ASM162521v1:9:8492525:8495078:1 gene:DCAR_029530 transcript:KZM81917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIVYASQTGNAMDAAERIGREAERRGCPAALVSIDEFDATSLPREENVIFVVSTTGQGDTPDPMKAFWKHLLQRSLNPNWLKGVRYAVFGLGDSGYQKYNFVAKKLDKRLRDLGAVAIVERGLGDDQHPSGYEGALDPWMSSLWNALYQYNPDLLPRGPNITMAYADLMDQPKFKIIYHETDNLHSQFSTVSDLESLKMKIGGARSMCPGKFSHEKTRPDYFLKVINNYSLCEDGSETDVRHLEFEAISSSIYYHVGDVLEVLPEQSPVAVDAFLKLCNMDPELYITIHHRDKGDEIPKVPVRLKDFVKFNMDVASASPRRYLFEVMSFFAAAPHEKERLQYFASAEGRDDLYEYNQKERRTVLEVLEDFPSVEMPFEWLVQLVPQLKTRAFSISSSCSAHPNQVHLTVKTVSWTTPFKRKRVGLCSSWLAGLNPEQGVKIPVWFSKGSLPSPPPSLPLILVGPGTGCAPFRGFVEERALQSKSGPTAPVLFFFGCRNEKLDFLYKTFWTCHSKSQGVLSEELGGGFYAAFSRDQAKKVYVQHKMQEQSTRIWNLLSEGAAIYVAGSSEKMPTDVRSSFEEIICKESGVSSEASARWLRELEKAGNYHVEAWS >KZM81641 pep chromosome:ASM162521v1:9:3898491:3901502:1 gene:DCAR_029254 transcript:KZM81641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIMGHRFWVCTLMIFVAIIQKGDAIWMSLPSASSTKCVTEEIHNNVVVLGDYVVISDDNVHPTPTISAKVTSPYGNTLHSNENATHGQFAFTTNEAGLYLACFWVDHHGTGAVSMNLDWKTGIAAKDWESVARKEKIEGVELELRKLEGAVEAIHENLLYLKNREAEMRIVNEATNSRVALYSMLSLAVCVGVSVLQIMHLKRFFQKKKLI >KZM81550 pep chromosome:ASM162521v1:9:2384501:2385592:-1 gene:DCAR_029163 transcript:KZM81550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAEDMFLCPKPGEWVKGKMVGWGSFGTVHLAMNKSTGGLFVVKSAESQAALDSLEHEANILENLSSPHIVKCIGRENFKGVNGDKKLNLFIEYMAGGSLADIADKFEGVLDERMVRLYTREILKGLVYLHNNGVVHCDLKCKNVLLSSSGNIKIADFGCAKRLNGSKINSSSVESLKSICGTPLWMAPEVLRKQEIDYTSDIWSLGCTVIEMATGKPPKWGDEILNPMSAMFKIACSNETPKFPKGFSKDGLDFLHKCFERDVKKRWTSQKLLQHPFVSSKLEQINAENVEVLSPSSVLDVGLYEVDEDDIVSQEPICKREINRRIPFTMRNSGERDYLSNRHSTNNLIASSGNWITVRIN >KZM81660 pep chromosome:ASM162521v1:9:4221412:4244499:1 gene:DCAR_029273 transcript:KZM81660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKHQQKHKPSSSSQSSSSSGPKLQISAENETRLRRLLLNSGRSTPSPADDSLSKAQKAKKLRTVYEKLSCEGFTDQQIELALSALKENATFEAALDWLCLNLDGNELPSKFSSGTSLHANEGSVGILSIAQEDWKPSVESSLVTEDEMSKVSIQVKARQETDTLDSLQPSQADWIRQYVQQQEEDEFDTWEAEEMNKVSADQVLKSRSDPDSIVKEYHDARLEALNAKQRGDRKGQEDAGKIIRKLKQEISALGLSDDLLSSVYETTYNHGSEQTSDLPPCEHLNDNNPSNAEDVVESKVENVDESCSPKDISEESVSTDKGAVEDESGDIELGNLLFEDSLPNEVIPSEVMKLQKKEKIRELSSGKNLEKLEGIWKKGDPQKIPKAILQQFCQKLGWEPPKYNKLPGKGQGPKYSVNVLRKASGRGKSRKAGGLVSFQLPTENDTFKTAEDAQNAVAAFALFSLFPDLPIHVTITEPYASFVLEWIEGESSEIVDDKAADRRAGFVDSLLNIDITDAVPPAEHMNSPLKEMQQKPEEGNGNSVSVTADPKSRRFNQIEAESSYLTQKQENKKKTDKYKVMLESRAKLPIAELKDDILRLLKENNVLVVCGETGCGKTTQVPQFILDDVIEAGRGGHCNIICTQPRRIAAISVAERVADERCESSPGSNDSLVGYQVRLDSARNERTKLLFCTTGILLRKFAGDKDMSDLTHVIVDEVHERSLLGDFLLIVLKKLIEKQSKDRVHKLKVILMSATVDSEMFSRYFGNCPVITAEGRTHPVSTCFLEDIYESLNYRLSSDSPASIRNDTSKQRGAPVTNHRGKKNLVLSGWGDESLLYEDSINPYYEPTYYGNYSEQTRQNLKRLNEDVIDYDLLEDMVCHIDETSPEGAILVFLPGVSEIHLLYDKLAASYRFRGPSTEWLLPLHSSIASADQRKVFSRPPSNIRKVIIATNIAETSITIDDVVYVVDCGKHKENRYNPQQKLTSMVEDWISQANARQRRGRAGRVKPGSCFCMYTRHRYDHLMRPFQQPEMLRMPLVELCLQIKLLSLGNIRLFLSEALEPPKEDAITSAISLLYEVGALEGDEELTPLGYHLAKLPVDVLIGKMMLYGGIFGCLSPILSISAFLSYKSPFVYPKDERQTVERAKLALLTDKSGVASDSVNNNKQSDHLVMMVAYRKWEKILRESGGKAAQRFCSSYFLSSSVMYMIRDMRIQFGTLLADIGLVNLPKTYQINGTRKDVLDSWFSDMSEPFNINSNHFSVVRMMVQAILCAGLYPNVAAMEEGIAGTVLGNLKHSVASPHGRPVWYDGKREVHIHPSSINSNSKGLQYPFLVFLEKVETNRVFLRDTTIISPYSILLFGGSINLQHQTGLVIIDGWLKLKAPAQTAVLFKELRATLHSILKELIGKPQTRAIADNEVMRSIIHLLLEEDKPLK >KZM83303 pep chromosome:ASM162521v1:9:30663772:30669411:1 gene:DCAR_030872 transcript:KZM83303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVEVVASSTSNNMGYDDENNEGPKDIEHGSSGLAKEKMSIKSNENPVYATDHDEDDAEEILQLGPQQTLKEQYEKDKDDESLRRWKEQLLGSLDINSVAETLDPEVKILSLAIKSPGRSDILLPIPESGNPKGLWFTLKEGSRYSLKFTFQVGNNIVSGLKYRNTVWKTGLKVDNTKEMIGTFSPQQEPYTHEMPEETTPSGMFARGSYSARSKVFVIAMLYQFLSEKASSAQDPPTQNPKTKKPQTARNGQYEVLEEKSRLVVVSTSNDLKSPLHYARRAWALPFIPSVYAYRESIHPVLRVHIYTYYMCIILEMEAVTIAMLAQWLLKMDLEQPFNEPYLSTSLRDFWGRRWNLISTKILRSTIFSPIFQYTSKTLGHAGALIFSIMGTFLVSALMHALLYYQVFRVLPSWSFLCFFVFQGLCLIIEGAMRSRFATIRQGFRPPFIYFFLMSTFAWLVMSDMVEQNADTVLFLTSFHLGYSTVFCLSWVANFKLLLLSFGTGPLSDPSLPLSHFLTVASLPIIISQKNPTKEAPKRNSDAQYGEVAESPNSVVITKSNALKPRSYYFMKAMYLPSILFFYAYRESINPNILIYIYMIYVYTGLEFVLAMCAIFAQSLLGVELKQPFDQPLLSTSLADYWGKRWNLISTDILRLTIFRPIYRYISRKFNSKLAYSVAIMATFFVSGLMHELLDYHIFRTVSNWGYTYFFLMHGLCVVIEGDLINKFGSRWHLPQVIAGPILILFVSSTFIWLVNGELMQKNMDAIVVKEYMAAIEFLMSFVGLEGNVKPMFNRVFGNSW >KZM83479 pep chromosome:ASM162521v1:9:32320957:32321151:-1 gene:DCAR_031048 transcript:KZM83479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQAQRTVINCQELEIELAKLIIKSLRLICYIGPGFRSKRLEDLASFSFQFLITRSSGSHKVS >KZM82893 pep chromosome:ASM162521v1:9:25672773:25673246:-1 gene:DCAR_030462 transcript:KZM82893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTGSNTSISSTRKPGLRSAFEVLDVDHDGKISRDDLSTFYAHKISPSSSAEDDDAIGSMMTVADSNKNGYVEFDEFEKVLQGDRKERNNKKNGVMEEVFRVMDRDGDGKVGHQDLKSYLSLTGFEASDDDIKAMIRLGGGDDGVNFDGLCKILAV >KZM82429 pep chromosome:ASM162521v1:9:19085922:19088942:1 gene:DCAR_029998 transcript:KZM82429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSTESRSPVPNSPGSRKRKNSRKKLGSRNSSFESKREEQLYRIPGRMFLNGSSDVASMFTQQGKKGTNQDAMIVWENFASRADTIFCGVFDGHGPYGHMVAKRVRDSLPLKLSANWEVNIKGDEALKEININGTGSMNSESTSFLASNEESRACLDVEGTENHENMFQILKDSFLKAYKVMDRELKMYTTIDCFCSGTTAVTLIKQGQDLVISNVGDSRAVMGTRDQDGHLTALQLTVDLKPNLPAEAERIRNCKGRVFALRDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPEVSHRHLTEKDEFVVLASDGIWDVLSNKEVVDIVAAAPARSYAARALVEAAVRAWKCKYPTSKVDDCAVVCLFLNSNDLSSASAVKSEEKIVSMGQQVLDDNIKGSSEPLGINRSGTVRNDREKLGDECKDEEVEADEDDALLYSDPGKDWSALEGVSRVNTLLTLPRFVPGEVDKAAAGAAKTLK >KZM83448 pep chromosome:ASM162521v1:9:31911039:31912322:-1 gene:DCAR_031017 transcript:KZM83448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLKLLVLQCFMVLSVVSKDFDFYYFVQQWPASYCDTTKACCYPITGKPQEDFGIHGLWPNNKDGSYPTECDSNNTFDYSQVVDLTSSLLENWPTLACPSAFGLTFWGHEWDKHGTCCESYLDQHSYFAHALLLKEKANTLQSLVAAGITPTDGKYYTLNEIKGAIKEATGFTPWIDCNKDVFKQYQLYQIYMCVDKSALHFIECPVFPYGKCGSEIAFPSFSGSSLAAS >KZM81914 pep chromosome:ASM162521v1:9:8468120:8471830:-1 gene:DCAR_029527 transcript:KZM81914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRSVNSPRRILSFSRNRRAQVFFQDPHHDNLQRPKPDDAGPKPSEVYGFVGSITTAVALVVFLVWAYVPENWLHTVGIYYYPSRYWAIAAPTYAMVTLVLVIVFYIGINFMVTPPPTSFNTIFDEHTRNPVSLVPSTEEYESPIPPMFDISISQINDAMFSDPT >KZM82421 pep chromosome:ASM162521v1:9:18658708:18666254:-1 gene:DCAR_029990 transcript:KZM82421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDVSSTTVAQAHRDIYQAVTALKKGAYLLKYGRRGKPKFCPFRLANDGSVLIWFSGKEEKHLKLCHVSQIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAELWFSGLKALISCGRQRKFRTESRSDGIPSGASSPRTYTATSSPLISPAYSIESLQKDSEEQHRLHTPYDNATKTDAFSDMIKYDVPPKGSFLPYSASASVHSLSSGNSDGIYSQVKGIGMDAYRISLSSAVSSSSQGSGHDDDNSLGDVFIWGECTGDGVVGGGPHKVGKSCGANVDSLLPKVLESAVVLDVQNIACGGRHAAFVTKQGEIFSWGEERGGRLGHGVDSDVLHPKLIEALGATNIELVACGEYHTCAVTLSGDLYTWGDGHFGLLGHGNEVSHCVPKKVLGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHRDRISVSKPREVESLKGRRTVRAACGVWHTAAVIEVMVGNSSSSNCSSGNLFTWGDGDKGRLGHGDKEAKLVPTCVTTLVKSNFCQVACGYSFTVALTTSGHVYTMGSPVYGQLGNPQADGKLPSRVEGKLSNNFVEEIACGAHHVAVLTSRTEVYTWGKGTKGQLGHGDTDDRTSPNHVEALKDKQVKSVACGTNFTAAICLHKWVSGIDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSRKSLRASMAPNPDRPYRVCDSCSGKLKSTIEADAQSNPSISRRGNRNPGFGNASGKDEKFESRSLSNLARFSSTESLKQNESRITKRKKNLEFATSRVSPVSNNVSQWGGLNVSKAFNPVFGSSKKYFSASVPGSRIVSRATSPVSRRPSPPRSTTPPPTLGGLVSPIMVSNEAKETNAHISQEIIKLRGQVETLTRKAQFQEAELKKTTKQLLEAVAVAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGASRNIYSPPATSHCLYSASSDVSNASFDQLNGQVACQKSESYISNRTMLSDGLDSQFISNGSTSFFLQNGPNSQLPSGARTISDHSSSHNRPGYSESTNGNRRSDYQNDIEGVEQDEPGVYITLTSLPGGIKDLKRVRFSRKRFSEKQAEQWWAENRVRVYEQYNIRMVDKPSVGVGTDDFT >KZM83632 pep chromosome:ASM162521v1:9:33528049:33530606:1 gene:DCAR_031201 transcript:KZM83632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNQADLERLMLFEHARITAEANYNKNPNDADSPGNELYIKSLEATAKAPEMHMELHKHGGFQQAMAGGSATTKAEHSKKAVSSDLKYDICGWIILVVGIVSWVAMAKSNVPPTPR >KZM83079 pep chromosome:ASM162521v1:9:28590634:28591149:-1 gene:DCAR_030648 transcript:KZM83079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARISLRPFKISDADDFLKWASDDKVTQYLRWPSITSRDEALTYIQQVAIPHPWRHSICMDDTCIGYVSVKPEPGDDHHRAHVSYALSAEYWGLGIATVALKKAIAKVLKKFSYLARIEALVEEENKGSQRVLEKVGFRKEGLLRKYGFNKGDIRDMIMYSFLSTDQML >KZM83357 pep chromosome:ASM162521v1:9:31128641:31131376:1 gene:DCAR_030926 transcript:KZM83357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLSYKTNEEGEDYCELSTQNEPFYIVSDDDDGGLASYRMHSVTHKIMPDEVNKVEGQKQEGVISRVLRNKRPEMSPDISCYSLQEYPHRDFALSCGIRSSLCVPIYQYIYSYECPGGVLEFVSTSADQLENFRNSCRYYEAFVFRGTKMYLMIDQIYCDPRRLYLRRNVEMDHLLTVVCQKYPIPLAQYWEVRDVNVRDLGVVNQESNIDFPNVTPWLQFKNACKNIGLYIDQGLVGKSYLSHKSFLCRDIKEFSITTYPLAHYAPNCRPIPCFTICLCSFKPRYREYVLELFLPSQEMDSYDPRTLLNSLMETMKEHLPDYMVASGEKLGQVLSVEVIKSSAHDKPEYLKIGEPQSSLPPQEGSRNEGNASHQFPLSLHSLFKGGAVQDGNVQNIEETDSTMNSYEEIATGETSTSRPNIVADTIHADESVQESPVNNIAYALTNEDVNYALNNKEDMNLENVSKHFGRPLNDAAKSFGVSRSTFKRICRGLGIKRWQSGKRRMNGNFSSRLGKGINQEQPGRRNFGSTSMAAVNDTVVAHPSQDLNKMIVKATYKDITIRFKLPDLPGIAELENNVIERLHLERNNFTIKYQDEDGDLVLIACDKDARECIEISRSLKETTIKLLLDLPLNHNAL >KZM82850 pep chromosome:ASM162521v1:9:25222327:25222620:1 gene:DCAR_030419 transcript:KZM82850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQNLDDCLEIPSCNLTIENNIGNQAIFVGRNTSVSISPSETVRPNCIYFTDDRTDCYHRVGGGHDMGIFSMEDQTIEPHFPGKSIHFISPPLWYI >KZM82925 pep chromosome:ASM162521v1:9:25915939:25928852:-1 gene:DCAR_030494 transcript:KZM82925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERLSSGDFYVQMQSDAASFRHGSSESTRMFDELPSATIVQVSRPDASDITPMLLSYTIEFSYKQFKWQLKKKAAEVFYLHFALKKRLFIEEIHEKQEQVKEWLQNLGIGEHAAMVHDENEHDDETVPLNHDGSVRSRDVPSRAALPIIRPELGRQHSMSDRARVAMQGYLNHFLGNLDIVNSREVCKFLEVSRLSFSPEYGPKQKEDYVMVNHLSTILDDKDHRMCSPCQWFNCCSDNWQKVWAVLKPGFLALLKDPFDPKPLDIIIFDVLPASDGIGGRVPLAVDTKERNPLRHSFEVFCGNRSLRLRCKNSAKVKDWVAAINDAVIRLPEGWCHPHRFGSYAPPRGLTEDGSEVQWFVDGQAAFDSIALAINGAKSEIFICGWWVCPELYLRRPFHAHASSKLDALLEAKAKKGVQIYILLYKEVALALKINSMYSKRKLLGIHENIKVLRYPDHFSSGVYLWSHHEKLVIIDNYICFIGGLDLCFGRYDSSDHKVGDFPPNIWPGKDYYNPRESEPNTWEDTMTDEIDREKYPRMPWHDVHCALWGPPCRDVARHFVQRWNHAKRSKAPNEQTIPLLMPQQHMVIPHYMGNSKEMVEEKRIAEGTNKNIKRQDSDSSLSSFQDIPLLIPQEADGPSASNEDSKLNELEMNHDFNDQPREDSKDFSLDVLQSSDETSENKWLETQERSDKVVSADETGQVGPRASCRCQVIRSISQWSTGTSQVEESIHRTYRSLIEKAEHFVYIENQFFISGLKGDDTIQNRVLESMYRRIIRAHHEKKSFRVIIVIPLLPGFQGGIDDAGAASVRAIMHWQYRTICRGQNSILHNLYDLLGAKMHDYISFYGLRSYGRLSDKGPVASSQVYVHSKVMIIDDCSVLIGSANINDRSLLGSRDSEIGVLIEDKELVDSYMGGKPWKAGKFASSLRQALWSEHLGLQEGELGKIIDPVIDSTYKDIWMATAKTNTMIYQDVISCIPNDLIQSRAALRESMTYWKEKLGHTTNDLGIAPMTLESYQDGNIIEIDPMERLKSVKGHLVSFPLNFMCEEDLRPGYNESEYYASPQVFH >KZM83132 pep chromosome:ASM162521v1:9:29028705:29029998:1 gene:DCAR_030701 transcript:KZM83132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMKIQPIDFETSGELLVPVEAATKAVVKSRFKRLFDLQFPNVRRISVDKPTACATEVFGKEAEIFEPSSVCLAKMVQNFIEESNEKHSTQKCGRNRCNCFNGNSNDSSDEEFDTGFGDSIPTAWYGDFSDTIKNLIQCASVAERNLLADTSKIIETNKICKRKDDLITVVTDGLLFLGYDASICKSRWEKSPSYPAGEYEYIDVIAEGERVLIDIDFRSEFEIARPTGNYRTVLQNLPYIFVGRSDRLQQVVQIVSEAAKQSLKKKGMHIPPWRKFEYMRAKWLSAHTRTTSPPKEVKEFENLENNDQCCVNCDCDQNLVSLPENVSVCDEKIPAMKLPWQPPAVKPKICDRGGKAVVTGLASLFKEKA >KZM82883 pep chromosome:ASM162521v1:9:25593656:25594105:1 gene:DCAR_030452 transcript:KZM82883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILNQSVVALYEALRTRDATTVHSLLASDLDWWFHGPPSHQFMMGLLTGTTSHNSFEFIPQSVVVLGSTVLVEGSNQELSIFWVHAWTFDATGVITRVREYINTSVSVTQLGDGTSNLSSDITALNCASIWKSSVPENTVPGILLVL >KZM82972 pep chromosome:ASM162521v1:9:26727532:26735553:-1 gene:DCAR_030541 transcript:KZM82972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGRLKVQLSDSAQGTPIRHPKRMSNSNSNSESSAAATNNPDETNCQPLSAAPELSSCTSGNSENWMVLSTSGDKPAPRLNHAAAVIGNKMVVVGGESGHGLLEDVQVFNFDRFSWTTASSKLYLSPTSLPLKIPACKGHSLVSWGKKALMVGGKTDPASDRISVWAFDTETECWSLMEAKGDIPAARSGHTVVRASSVLILFGGEDAKRRKLNDLHMFDLKSLTWLPLQSKGTIPCPRSNHVAALHEDKTLLIFGGATKSRTLNDLFSLDFETMIWSRIKIRTFHPSPRAGCCGVLFGTKWYIAGGGSRKKRHAETLVFDVLKQEWSVAVASQQSSITSNKGFSLVLVQNKERGDFLVAFGGSKKEPSDQVEVLTIERNESVAGRRLAPTKPKVPLTHDNRSSVGPATLGSNNLHAPLDSVGRQNIASAIDQHGSGRRSLSESLLSHPNPGNVSLRKQLHNEDERLVMKITQNWEDETSQVIEHTRKQSEAGIQANSGGGKISWEETSSISEPENLDTLVKNVNVSLLSDSINVVEVQESEGKSGQFPAQPSAYQVYEAKLAALSKKNGILEGQLAVASGSREAAEKNLSNVLRSKQEMERKLAETIKENEMLREKLTTIELSQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSLENRAPTPRKPFHV >KZM82754 pep chromosome:ASM162521v1:9:23420362:23426167:-1 gene:DCAR_030323 transcript:KZM82754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIVAGAAYGSEYRVVLDILKTVKSANGLVFGIILKPFSFEGRRRRNEVIELADKLQEHTNFCIMVDTDTLLEKDALTLDEALKTANNAILTAINAISVLVSDVHRKFLDVPNGTMKELEVSELKKFLGAYQDAKIGFGSAYNIKTSIMRSLYECPFLAVGVKDLGTVALCLTSSGAVKSNDVQSVLRLFRQTSEFRGAVIMSIVHEPKMEPNLIATTVVNLGYAKDVESVKGGIFSRLVQHFPSIFNLWAKREPQSINTEQCDLPEDGHFSKVIPSPDLYDMPSMTSANDTAEDVDSFEVNTLLRSKDDDKIYMSRGYGSSPGQSKIRNSEGDSSPSSSMSADPSTKASGSPIFQRERLLSRHNIGAGYAQDWAIEEAVGNSVSASVLDSLGIFKLPIGVKPSEELKEDRSITFNRAEKEDILDAEMKPQPQVSPIVPWDALTDASYEAVTDLYSNASAILKGNNADVSKKQGNLSARAASMLESERESSKKWSPVVEIKYRGGSYMGRCQGGLPEGKGWLYFHTGDRWFVNFWKGKANGEGRFYSRNGEIFFGHFKDGWRHGEFLCIDVDGERCLEIWEEGILVSRKHMDSDSD >KZM82227 pep chromosome:ASM162521v1:9:14100710:14101463:1 gene:DCAR_029889 transcript:KZM82227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAASHMAEYGLLNLSDPFELPRDATLGNLQFILDRDMGHVINNPEVVVVPLMRLGEVIDGTLAANLTRTGMSMHLCLHLGVLTPPAALATSKGKGKHYENHAFFENGSLSDKAIAAFDSGALLHFSSCFREKTLDLKTPVGNEMFGLYAKDVLHHTCLDTLGLLESMFLPAPVPPPQPSSPFMSTDLMLYEMGLESSPVHVGNVVSGALDGGSLSASSQRGRRAASV >KZM81351 pep chromosome:ASM162521v1:9:260860:261258:1 gene:DCAR_028964 transcript:KZM81351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHNTTLFSVNTDTLEPRSKIQQSHTYPHTHMQFNPQSSLSPRIPLCRKQCRHTFIPKFSQSVLTTQQPHSCNMSPYDAISSCVLKLALASHPRFVTDSTITASATELSPTISLQRHRASTLQSIQPASPLI >KZM82201 pep chromosome:ASM162521v1:9:13655401:13657250:-1 gene:DCAR_029770 transcript:KZM82201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERDDEFGLSLSLGSNHPHPPPHFPLPLRFLNPDLSGERRMRGIDVNMPAAEVEEELGASSPNSTVSSLSGKRSENEVERDRDSSYEDEDGGDAAARKKLRLSKEQAAMLEETFKEHNTLNPKQKLALAKELNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTDENKRLQKEVSELRALKLSPQFYMNMNPPTTLTMCPQCERVSSSSSTTSASVSSALYPKTGMVNERNPNNPVGPPQPHRPVPINPSWAKIFSQNQLEGHRPS >KZM81453 pep chromosome:ASM162521v1:9:1373514:1376258:-1 gene:DCAR_029066 transcript:KZM81453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVLEFMMQSVKVDAGIIRVKELMAHPTAASFDEPLTLNLDNIEKAEYEKFIQSLIEKFPECYIKKDPERVDDDKCFDEELGRKINNCFKPTFRHYTDARECGDSARRTFKGEELKNENWLFQLRLKHGDRDLEILIKKNNLYLVGYKGNYKQENGTVSAENCWIVLDGKNHLQVAESVSNFLTPKSELYENLIKKLGGFKGLAKREEHRKINYATKKLKKIKSNIESHYKKYENPEETEDYKRVNSLLKMVTEDITRECLEEELEGDDKAARDFLNHVKKPQEDHILLGKLIEKLKKEYENNNQQGQAPNEAEASENQRNQRSIILWGKVFNKIVVILGSLTAEKKDTIVWGKRTKGYTVEDWREEHEALIKLKRMLKNKFNIDDGTQETNPTDDGIQEVFLSEKATLKGRYKAVNTEDKAKVVKFHKELKEMSDVFKLMMKRVRADAEIKDVKDRLATVNLNREAFTEAVEHLTTEDSFGENESDTAEHIIKLAIMICEAARFPDIKKHVAKNYHETTHEVTTFLSEECITSIYSWSHRSALMQRGGVYARETILLGDDPAVIRDMDEKEYEC >KZM81928 pep chromosome:ASM162521v1:9:8586391:8588077:-1 gene:DCAR_029541 transcript:KZM81928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFPCMNAGSNESSYAKNSVVQKTVILKSRNILEKTIEDYAVKGFPECFKLADLGCSSGPNTLLVVTNIIKNVHAICKNKKQAAPEIQVFLNDLPDNDFNTVFKMVPPLLSNMENCFISGVPGSFYTRLFPSKSIHLVHSSYSVHWLSKVPDKLENNKGNIYMSKTSPPGVHEAYFNQFKKDFMKFLRLRSEEVIPNGRMVLTIVGRSGTDPTSKECCGLWELLVMSLQDMVAEGLLDEKDIDTFNLPLYTPCVDEVLAIVELEGSFKIDRWETSQVNWDTQDENEAKSVKSKGSSGKIVAMAVRSVTEPMLISHFGEACIDKLFERYVVHADDHLSREKTENFNIMISAKRK >KZM82179 pep chromosome:ASM162521v1:9:13015282:13020974:-1 gene:DCAR_029748 transcript:KZM82179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREDKGGAPERIRESLRRRFKDPVVVDEVIALDQECRLRQYELDNLRKDFNKINKEVAKLKIAGEDASQMIKSTEENKDSTAKKVEEVEVARKALYSKLETIGNLVHDTVPISNNEDDNAIIRSWGEKRSDQKLKSHVDLVELLGIAELKRGANVAGGRGYYLKGDGVRLNQALICFALDFLEKRAYTPLQPPFFMRKDIMAKCAQLAQFDEELYKVSGEGDDKYLIATAEQPLCAYHIDDWIHPSQLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCVTSPKGNDSWDMFDEMIKNSEEFYQTLKIPYHIVAIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRKLEIRFGQKKSNDQTKQYVHMLNSTLTATERTICCILENYQRDDGVEVPEVLRPYMGGKSFMPFLAKEAKGKKSKS >KZM82455 pep chromosome:ASM162521v1:9:19620396:19621130:1 gene:DCAR_030024 transcript:KZM82455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTQDIEELEMFPSTHEIEEIFDEDFGAGNDQNISQESDQLPVNLISTLQPDSYTPASATSVSYRDRRFLTFTLSIPFATIFLVFAILLVYYRPFPPRLKLAVPKAYVHNFNFNNINATLFLKFKNPNHRIHLDLHSARAKLKFGHNFQTSKELLPISLQAHQHKYLEVNFFEKTSEFLPEVNSDARTGVAHKRYIFFLTISTKLRVQLDQISLTFPYAVTLKCLIIVDGHSPPGSVQYSSCN >KZM82578 pep chromosome:ASM162521v1:9:21325637:21327871:-1 gene:DCAR_030147 transcript:KZM82578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTISNHYCSFLKHCCETHNQAELKKLHCLIIRTIVNAETFLLNNVVNSYNKLGNLSYARKVFDQIPQPNLFSWNTVLSVYSKPGRVVEMNDIFYRMPRRDGVSWNLVISGYVACGMSAKAVEVYKMMVRDGVRDLNRITFSTMLILSSNKGYVGLGRQIHGQVVKCGFGSYVFVGSPLVDMYSKSGLIYDAERVFERLPERNVVMYNTMLSGLLRCGMVEESKCLFHGMPEKDSVSWTTMITGFTQNSLGREAIDLFRAMRLEGLGIDQFTFGSILTACGGLVALREGKQIHAYVMRTNYMDNIFVGSALVDLYSKCRNIKYAETVFRRMNHKNIISWTAMVVGYGQNGYSEEAVRSFCEMQRMGIEPDDFTLGSVISSCANLATIEEGAQFHGQALISGLISYITVSNALVTLYGKCGSIEESHQLFDEMRLKDEVSWTALVSGYAQFGKAKETIDLFENMLAHGLEPDGITFIGVLSACSRAGLVDKGRQYFQSMIEDHGIKPILDHYTCMIDLFSRAGQLDEAKRFIEKMPYRPDAVGWSTLLSSCRIRGDFDIGKWAAESLLELEPQNPASYVLLTSMYAARGNWVEVAQLRRGMREKGIRKEPGCSWIKYKSKLHIFSADDRSSPYADQIYDKLETLNSRLIQEGYVPDMSNIMHDVVESEKIKMLNHHSEKLAIAFGLIFIPPDLPIRVVKNLRVCGDCHTATKFISKVTQREILVRDAVRYHLFKDGTCSCGDFW >KZM83442 pep chromosome:ASM162521v1:9:31860699:31863371:-1 gene:DCAR_031011 transcript:KZM83442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYICLLLVCALGVLAKLEFGCGSIAGGYLHGTCIESEKQALLVFKETLIHNSNYFSSWVGDDCCAWHGIGCDNITNHVTQLELRNGNIGGKIHPSLLNLKYLTHLDLSYNSFDGIQIPEFFGSFKDLIYLNLGHSNFEGSVPHHLGNLSNLQYLDLSEDSLDPKLRMDSIRWLSKLSFAEHLDLSGVNLSRATDWFSSLNMLSTSISVLGLSKCDLPDNIPRRLPFMNLTSLVSFDLSENYLSSSFPLWVLNNTNLAHLYLGYCNFDGLIPESLRSLSALSVLDLTVNSFQGPIPHSITNLTSLSELYFTDNKLSGSISPEMGNLTELTDLSMWLNSFKGSLPETFCQLKKLKSLNVGKNRLTGNIPECIGKLSNLNELILVENSWEGFVTEHHFINLTKLDVLGISSDSELILNTSSEWVPLFQLTYLYMYSFKVGPKFPHWLLTQRKIEALKLLNASISDTIPIDWFLSLFSNSPSVDLSNNDIYGDQLSLISRAPNGLAALILSNNRLSGEFPAFLCNQTTLRTLALSNNNFSGELPQCLRNLTELIELDLMNNSLSGKIPSLGFLGDLQYINLHNNKFQGKFPLSFQNLTWLFVLDVGKNNLSDVLPTWSAEQLPNLKYLILRSNNFYGEIPLQLCQQSTLEVLNFADNQITGNIPACFGNFSAMVTGDISPNHLDYWGSVQMMDSMKGYEQVYTSTLQFLFSIDLSNNKISGEIPKEVMDLQGLLNLNLAGNHITGKIPDEIGKLKNLIFLDLSRNELHGPIPQSLSYLNFLSQLNLSFNDLSGRIPSGNQLQTLNDPSIFAGNELLCGLPILKPCATNTNSHNVKDGHNEGDTGSVSDDELMWFFAALGPGFSVGLLGFFAAFRFSDIGIHTSILVNRFLRK >KZM81584 pep chromosome:ASM162521v1:9:2932501:2939127:1 gene:DCAR_029197 transcript:KZM81584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTTEAHDKPHVLCIPYPAQGHINPMLQVAKLLHHKGFHISFVYTDFNHNRLIKSKGQQALDGLSDFRFYSIPDGLAPSDPDATQDIATLCKYTPVTCLAPFSNLISKLNDSLDLGVPPLTCIVSDATMSFTLKAAEKFGIPEILLWTASTCGLIAYMQYHQLVERGYTPLKVFEETNKPHVLCIPFPAQGHITPMLKLAKLLHHKGFHISFVNTEFNHNRLIKSRGPDALHGLPDFRFYTIPDGLPPSNPDATQDIPTLCKYTPINCLPPLINLISKLNDSSVSGVPPVSCIVFDGVMTFTLKAAEHFSIPGVIFWTASACGLIAYMQYRELVERGYIPLKADVPRNWDMRFAISIN >KZM82529 pep chromosome:ASM162521v1:9:20721004:20725349:1 gene:DCAR_030098 transcript:KZM82529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEGQLVPEWKEAFVDYGQLKKDLKKIHLLNVENAPSNNHESSLSKTIFSSIRKSSLFRNKRREHGIIHVHKKLESSESKGDMYETELLEQFADDSDAAVDFFSCLDLQLNKVNQFFKNKEKEFLERWESLEKQMGILLELKSALKSQHANEVSSNNSKEDDSISGTISCDEESPRYITEQEQKQGNETDDQFDQNDLQFSGSSKFDEAFKSIKLKRADSNPRTASGRFFSSQGKNLKIHIPLTNPTRTFSYLLWDDLIKQSSKKGGMDGRKLHINKTKIHHAEKMIRGAFIELYKGLGYLKTYRNLNMLAFSKILKKFDKVTNKQVLPIYLKVVESSYFNSSDKVMKLADEVEEMFVKHFAEDDKRKAMKYLKPTQRTESHAVTFFIGLFTGCFIAFLIGYGIMAHIAGMYRPQSDTVMFSLLFLHFFLYGCNIFAWRKTRINYSFIFELSPTKDLKYRDVFLICTMSMTAVVGVLFIHISLMAKGYSYTQIQVIPGLLLLISLLLLVCPINIVYKSSRYRLLRVLRNIVLSPLYKVVMLDFFMADQLCSQVPMLRNLEYVTCYYITGSYKTQDYGYCMRTKNYRDLAYAVSFLPYYWRAMQCARRWFDEGETSHLINLGKYVSAMLAAGAKVAYEKERTIGWLCLVVIMSSVATVYQLYWDFVKDWGLLQKDSKNPWLRNELMLRQKFIYFLSMGLNLILRLAWLQTVLHYNLGSIDYRITGLILAALEVIRRGQWNFYRLENEHLNNAGKFRAVKIVPLPFHEVDDED >KZM81645 pep chromosome:ASM162521v1:9:3946989:3949432:-1 gene:DCAR_029258 transcript:KZM81645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEDIPSSPNSVHYETLGIPKDASLNDICRAYKSLVMKWHPDKHSLDKLEAHDHFFKITEAYRVLSNKKREESAVPIINFNELKTPETSKTTAKSRKGALKNEREDFISSPMPSPGKGMRNSATSMEDIFSSLSRGPNGINICTPSSVTNPGTPGPGGGDHPSLSRTGTRSNSTPIIYSHSTVRRKPQPIERKLKCTLEELLRGCEKKIKITRDVISGSGLIMQQEEILKIKVKPGWKKGTKITFEGKGDERPGTLPADIIFIIDEKSHPVFTRDGDDLELGVEVPLVEALTGCTISVPILGGENMTLSFDEILYPGYEKIIPGKGMPKPKTEATRGDLRLKFLVEFPTDLSDQQRSQVLRVLDECNYS >KZM82827 pep chromosome:ASM162521v1:9:25005406:25005870:1 gene:DCAR_030396 transcript:KZM82827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAMIKSYDSVIVETDNLEAFQVVHGFNTGGAPAPVFDIVSQIWILLKDRRWVCGLAYVFPARNHVARFVARIGREVCDCLYTFNRMVGPMEELIDWDMGLGVNHPDFMDVLVPDDAQDPVNFNVAAGFANQMHGQEVAQAEVQPNNNMQNAW >KZM82984 pep chromosome:ASM162521v1:9:26931518:26933352:-1 gene:DCAR_030553 transcript:KZM82984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVSVLAYQFSILSRKSKEGLEFVGKNPARNILAESTQSVPEFSLAQKSKKGLEFVGKNPARNILAQSAGRVPEKSGQRNSTKFGQPPAPSKHCLTNQRKKGLELRRSQAKNISAQSAGPVPAKSGKHNSTQLGELIPARSERRYTKQKSNDGFTSSLVEAADQPKHNTHLFNQDSTHPIQDQALQIITTITAAYCSIASTHTKHTKCIFYSWK >KZM83584 pep chromosome:ASM162521v1:9:33125164:33132187:1 gene:DCAR_031153 transcript:KZM83584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQQPHHSYARPPPTLPPPPSDPNHHQQQPPSLAPPPPGPSWYSGQFQYAAQANQNHQQWAPPYPAPPPQPYAAHHQYQPPPPPPPPRPQAYPPQLNQEWHNSGWNQHQNQQLQYPAHNNGEDWAAKARAWAAAQNSSDNQYPQSQYTSFSRKEENQYSVPNPQYADGQHFPVAASSYQQYQASVAPPYQSSESSFSSGYVQDGHMPFNGRNGSLVGGTNSALPHQESSPSNASVHLQEVPSSYSSFTGKEDITNQSEQFSKSPWAFTSTSQQQAQPAADGRALPMEQPQYAFSHQSAGPPSDLSDQPLDFNPSYNRDHNQHVQSNYSRNAGVPLRDLESPSDVASIHAWSASAAPGVVYPPVHPPGPQVDPSLSISSPVSGNFGPLFGRISGQNFQPLGQSVSMPFGGTGTFSGDGFGASGVSDRTKKPSVPNWLREEIIKKKAVIATSAPDFSEDPNSVAEDVIDKSFVKGDPDSRSIDSSRSTEEEDDEEDDGEIARTAAINQEIKRVLTEILLKVTDELFNEIATKVLSEEVDHNNASVTRDVSPSAPEIPTPKASAKVLITARTSGTESDDTKKLTSAPGDLLGLASYASDDDEDDESQNVGVPNSKRNTIDQPSTNKMLSNEYSAAEAKENMNKNFVSGSDGRMFPNGSSGKYRPDNELNNNGPSRESSHGFSPDDAVDVENKADIATSTDNIKGQAKTELSIENFGSKKVTSGDTEVREDRKKTDDKRRSSAGKYIDKEPGGDGAHARGDESHRRQEERKVKKEKKNDYDESKEKSKEQGLKSVEKYMDPNSKRRSSHRNDKDMKQTDKDRSRSKEASERKRDGTRDEEGERSRDKLTSDSRRHKRHRSPSVGSKGRDDKNHTVVGHTKNSSDDESSGDSRRKSRTRKRKSSPSPDRSRRSIIYVTGLTAATLRKQIEGLLPVSVLDKFVPGLTSYKS >KZM83497 pep chromosome:ASM162521v1:9:32443281:32445165:1 gene:DCAR_031066 transcript:KZM83497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVISPNIPSTSHSPPLHKPHKHHSHLLNLLTNLNSLSELKQIQAHTLRTTYPNFPDTLFLNSRIFHSSSLLDLDYTFRLFSQFRTPNSFIWNTLMRACANSEGKKDEVFGLYRRMLCDEGFVMPDKYTFPIVLKACAYLFSIFEGRQVHCHVWKLGFGLDSYVNNSLIHFYGSCGCLDEALRVFEEMGERGVVSWNVMIDGLVRMGEFDEAVRLFREMQMVFEPDKYTMQSMISGCAGLRSLALGMWCHCYVLREFDSEAGEAVLLCNSLVDIYCKCGAVEIGRQVFERMKKRDVHSWNSMILGFGMHGKAEEALECFDRLVREEGLVPNSITFTGVLAACNHRGLVVEGRRCFDIMVKEYNIKPVLEHYGSLVDLLGRAGHIDEALDIIANMPMKPDAVIWRSILDACSKKNGSLELSEEVARQIFESEGADCSGSYVLLSRVYAVANRWNEVGLVRNWMTDKGVKKEPGCSSIEMYGISHEFFSGDTSHSRTKEIYELLDVIDKRLEAIGNVSKIVTCS >KZM82191 pep chromosome:ASM162521v1:9:13321529:13321846:1 gene:DCAR_029760 transcript:KZM82191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLITKEVSRLVNALKEAKDEVFATKEEEARLTNTLEEAESEMHYLKEVIGEAKAESMKLHESLTDKENELRSVIQENKELQNTELASLIKVKEMSKVKSSRLSI >KZM82998 pep chromosome:ASM162521v1:9:27480970:27485959:1 gene:DCAR_030567 transcript:KZM82998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGSGLGVVLSKMNDLSTSDHASVVSVNLFVALLCACIVVGHLLEESRWINESITALAIGLCTGVVILLTSGGKNSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFLTITLFGAMYIFKKMNIGALEIGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAVQSFDLSHIDGVTALQLIGNFIYLFISSTLLGVFAGLLSAFIIKKLYFGRHSTDREVAIMILMAYLSYMLSELLDLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFIFLYVGMDALDIEKWRYVSDSPGTSIGVSSILLGLILAGRAAFVFPLSFLSNLTKKSPENKIELKQQVTIWWAGLMRGAVSMALAYNQFTKGGYTARRENAIMITSTITVVLFSTVVFGLLTKPLIQHLLPSTAHLSRMISSEPVTPNSFFAPLLTNGQDSEADLGATIIRPASLRMLLTTPSRSVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPTEQDGSQWH >KZM83101 pep chromosome:ASM162521v1:9:28717834:28721218:1 gene:DCAR_030670 transcript:KZM83101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQNINQKELTSVSSGDIVNLHELLFTKNRDYLIKFNGDQVKAEQFADKVIVIYFVLLPLVDNNSAERVGLITERLKDVYNFLPPNKGFEIVFVAVDDDDTSFEEEMLFDPLPKTDLENDFEEVFSCMPWTAIPLSDRTSRERLQKRFGFRDKNGSLFVIDSTGVVLQTIVISDFKRYGSLGYPFSNERLKSLESECKAIAAEPTVEKLLSSPERDYVISNNGDKVPIHTLEDKVVALYFHAGNVTETDMLTEELRNVYEELAEKEVKFEVVLLYLCDTPITIGFRNEDSFWRTFGTMPWLALPYKDPILKKLKIIYKFPEDYIYGDDEEISKLVIVGPHGEFCEPCGADILLNYRVPGYPFTREKALELETERIKKLKLEMILETNKVLTRNDGSKVSLSQLAGKRIMLLFGLFEDEFLNMLKERYLSMKSTDGEFEKFEVIHIYVSDTDDVVAPPAWFVYPLPKGFRYASDIIPAAFDKRYFRQEEIYDVGDRRRLLAFDRDGMIVRDSAFPTIEDMNFPFYTSGLEKEALLELDSVFHWYEWEDTESWNREAGYIGPNQSCG >KZM82593 pep chromosome:ASM162521v1:9:21652519:21653430:1 gene:DCAR_030162 transcript:KZM82593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSTMLQHCNATPPRRHPKVLVILGATGAGKSKLSVDMASTFFPNSEIINSDKIQLYRGLDITTNKMTLSQQLGVTHHLLGQLDPVNSVSPSEFRSLGSAIIADIFARRRLPIIVGGSNSLIYALLVKRFDPDCDVFNGSKPDPVSSELRYNCCFIWVDVFLPVLNEYLNKRVDSMLNSGMLDELDEFFASPEFDSVSETGLKKAIGVPEFKKYLTNDCTNFEEDLYEEAVRNVKENTCQLAKRQMGKIQRLREGKWDLRRIDATAAFKAAMGLDGEKAARIWERQVVETSVKIVNRFLKDE >KZM81535 pep chromosome:ASM162521v1:9:2225970:2226197:-1 gene:DCAR_029148 transcript:KZM81535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITILPPDVQSARTSKINDSKLCPGSSPVTKPAAPCNIQCFRADPVCGANGVTYTCGCAEAHCNGVRVVKLGPC >KZM81373 pep chromosome:ASM162521v1:9:499724:503314:-1 gene:DCAR_028986 transcript:KZM81373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNTIAGDSSNDEKRRRVIISSRIPSPSPIFDDASTADVILRLYIDNSEDDADISAGADQSDVVLYLHSSVLSKSKYFDALLSGRWQNDTDSASKLKVSLRVTNVLIEDYVNVIKLLYCDDFTDVICSVAIAIAILPVALELLFEDCVKACVRFLEAVPWTEDEERMVIALVPMLKREECEKLLARVLPEQVNSSEEMLYELLVVAIHNLSNMAFAKAFVAKLLRDYSCKESARRVLDRVFLKTLKEVKYSLEEYSRPEFRVDNNETEAIQRLHLHTAMKSGRNLLWIIERMVELGVADLAVVEWTNQDSLTTDLQRAFRDEAWRNIVPALPGVVLRCTSKLANVVVSGSILVDRKVRMKLVEDWLPVLRVCKENVSPGISNYKSLYLELEETFLKITSTLPMADSQMLLKECLSFSTRNVDDCPHLVTAFNTWFRRANQPPPPTHPADNIL >KZM82916 pep chromosome:ASM162521v1:9:25853425:25853889:-1 gene:DCAR_030485 transcript:KZM82916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSACDNPCTPSTTYSPPPPPTSSPPPPSSSTSNYPPPSSSGNNNNYYYPPPPTQPSYGTSPPGNTGVYYAPPDKYYVRPPPPNPIVPYFPFYYHSPPPPSSAHVSRSSIVVNFVTIYKLAGT >KZM81989 pep chromosome:ASM162521v1:9:9619722:9621557:1 gene:DCAR_029602 transcript:KZM81989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGGDVMRDDIDLNLDPDGSRVRLRPLISELESAHVQIEDRIRRLEEVTSRLRQRRLSLLQSQSRGAEGSDVVEVQNTGLGGNENEVSNGESGVGSGDVGRGCKRNYSQLVGKALEDETDDKKDVSDGGSFYDCNICLEMAKEPVLTCCGHLFCWPCFYQLEYVDLTAKECPVCRGDVVDSNVTPIYGSANSSHVPDLGSGVCIPPRPRAYRVESIRQRVSRPVTHVPVVEALRRIRMGIGATTEQLRRHNQAAAEQRRQRNFGSTTAVTDLPPLWVAAEIGGSRRDDATLEPGQQNLNSSDAGSVTEWQPLWRDAEIGGSQHNNAISQQLTEHSLGTSTGLVTDMQPLFMTTEIGVNHQDDPTTEHLGPQNLDRETTGFVTDLQLWVTEEIGGHQQDHANLEQSRQQNLDNATTSFDSDLQPQWMTAEIGGSRRGNATAEQPRQQNPNNTPADSFTGLEPLALNAEIGARRRSRSRHIQRVLSETAASLSSISFALNNTERLVENLKSFIRVLNVQRTDSQLLEARDLLSNSVAVIQTELQSLNSMAETNSAPDASAAVAPSENLETASNVSDHLSVPRRLSSRRSFPSIVSDTENDVPRESQRRRMR >KZM82118 pep chromosome:ASM162521v1:9:11681726:11684430:-1 gene:DCAR_031825 transcript:KZM82118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGESDCKSLCDLVMDLQASADEVSSLAKESVISTDFSLIIDKLPPVLMDIKKNKLMDTPPILKALEALETELRRAKALITSPKGESLHVKQIQQVTQNLGRSLGLVLFAGHDILVDGKDNIRSLQKEMMNARFSSDAISSYDSKSEEIVEEFGTEEESENEIVQETESCVSIEDVVMQVKYGDDDEFRYAILGLYSLISDNKVSDEWINDEGIIPVLFNRLGSSKPYNRLTIIRILRTLVAYNDQNKEKMVDIAHLSTLVKSLTRDLEEQKEAVGLLSTLSDVSAVRRRIGRIQGCIVMLVAIFNGDDQVASRDAGKLLNTLSNNTQNALHMAEAGYFKPLVQYLKEGSDMSKILMATALSRMELRDQTRASIGENGAIEPLVKMFKEGKLEAKLSALSALQNLSSLKENTSRLITSGIVASLLQLLFSVTSVLMTLREPASAILARIAKSESVLVNKDIALQMLSLLNLCSPAIQYHLLKALNSISGHPSASKVRRKMKENGAFQLLLPFLVESSTKIRTAALKFMYPLSKDSAEELSEQVGETHLSTIINIISSSKSEKEKCAAIGIISNLPASEKKATDTLKRANLLPVLIAIVDSSQGILTNAMSRLFESISAILIRFTISSDKKLQLFSAENGIIPVLVKLLSTGSSLTKCNAATALAQLSQNSVSLRKIRKPSWLCGTSAGAAFCEVHNGNCFVKTTFCLIKAEAIRPLIQILEGKEREADEAVLGALSTLLQDEILESGSNYIARQSGVQAIIKVLEFGTVKAQQKGLWMLDRIFRTEVHRMEYGNSAQVVLIELAQKGDLKLKPTVAKLLAQLELLQPQSSYF >KZM81781 pep chromosome:ASM162521v1:9:5927281:5931640:-1 gene:DCAR_029394 transcript:KZM81781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNHDSQVKDSESRGSSRRISFSGPLVSGKKLSGSRRSARFKDETNGNNPYIEITLDVANDSLLVHNIKGTPDQEAALIANRIEKHPSSLSTQLSSKLRQISRDLKSSFSSSKRSTHSNNKVKHSASGAAQAIMGLRFMHKNTGNGDGWSEVEARFDELSVDGLLPRSSFGKCIGVKESAEFMGELFDALARRRGITSSHVTKDQLHEFWKQITDTSFDARLQTFFDMVDKDADGRITQDEVKEIITLSASANKLTKIVECADEYAALIMEELDPNNLGYVEMYNVEMLLLRAPHEAAFSGTESRMLSNLISEKLVPPKDEGLIRRGFRRIGYFIHDNWKRLWVLCLWLLICVGLFTWKFNQYKNRAVFHVMGYCVCTAKGGAETLKFNMALILLPVCRNTITWLRSTTKLGRIIPFDDNVNFHKVIATGIAVGVGLHVIPHLTCDFPRLLHSTDEEYEPMKRFFGHHPRDYEWFVRGTEGWTGITMLVLMAIAFTLANPMFRQNRLQLPKMIKRLTGYNAFWYSHHLFIIVYVLLIIHGTFVYLSKEWYHKTTWMYVAVPMILYAIERLIRAFRSGHRTVEILKVAVYPGNVMSLQFTKPRKFKYTSGQYIFVNCSKVSPAEWHPFSLTSAPPDDHLSLHIRTAGDWTSQLKTVFSQACQPSGNDQSGLLRADIAQQNNNIPRLPKLLIDGPYGAPAQDYKEYDILLLIGLGIGATPLISIVKDVLYHIKQQREEELGIIESGTNNHKAKEPFNTTNAYFYWVTREEGSFEWFKNVMNEVAEKDTEHVIELHNYCTSVYEEGDARSALIAMLQSFQHAKNGVDIVSGTRVRTHFARPNWRNEFKHVAVKHPDKRVGVFYCGAPALIPELRHLSHDFSRKTTTKFDFHKENF >KZM81337 pep chromosome:ASM162521v1:9:142421:153139:1 gene:DCAR_028950 transcript:KZM81337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGRSNSPYQHRKHSTPPFSSSSSSNSFGKLMPRSCSSSATSFYSSSNGGYNTRSVTPSRSYSNSGYGARTPVNYPSPEELESPVDSSRSGGDSISVTIRFRPLSEREYQIGDELAWYADGDKIVRNEYNPMTSYAFDKVFGPSTATQEVYEVAGRPVVKNAMEGINGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKDELDQLRRGMLVGIHPEEIMTLKQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNSIPGLSEISGHQGSLSVGEDDKLDVLGDGSVLMDGENQNTIGIESYNSKQKSSSKSNDDLSTPGSTMTESLQTGELISGSSCGSKVGMLMSDQIDLLVEQVKMLAGEIAFSTSTLKRLMEQSANDPEGSRTQIQNLECEIQEKTKQMRLLEQRITESSESSVANASLADMQQTLMRLMTQCNEKGFDLEIKSADNRILQEQLQNKCSENKKLQERIEFLEQKLASAVGDKDFMSSDECGPKECADDLKKKIKVQEIENEKLKLEHIHMSEENSGLYVQNQKLSEEASYAKELASAAATELKNLAGEITKLSLQNAKLEKELINARDLINSRGSGANANGGNRRHGDAQRHGRRGRLSSRSNEVLGMVTDEFDSWNPDLDHLRLELQARKQRESALEAALAEKELTENESRKKVEEGKKREAALENDLANMWVLVAQLKKEVGAVKEAKVNEIRPIDIDHVSNPSTDDGEIINSVLKERQISDVIESGYVIPKEEPLVARLKARMQEMKEKELTSLPNGDVNSHLCKICFESTTTAMLLPCQHFCCKILIINF >KZM81503 pep chromosome:ASM162521v1:9:1876169:1883836:1 gene:DCAR_029116 transcript:KZM81503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATISEVVSSNSSRNNKASPVSDNSRRPLEKDNGINPRKPKLRQVASRYLTPSPSSSSSSVSTSSLRRCASPLVSRNSTSSSGSHSPGVLPKRSVSVDRRRPFRQDLESKVGNVSEVSAASKMLVTSTRSLSVSFQGEAFSLPISKTKVTPASPNKSNVRKGTPERKRSNTPVKGKPDGGGDQVENSRPTDQHRWPARSRQPNPLYRSADCNSDLNSKLIGSGNVVRALQQSMNGERRASFDGRLSLDLGNAEVLKSVVEQQPNRNSLNISSVASDLTTSDTDSVSSSSTSGMQECNGVPLGRNGPRGIVASARFWQETNSRLRRLQDPGSPLSTSPGSKLGVPPKFIQSKKFQSDSQLSSPRTMASPNRGGIRPASPSKLITSVASSPSRGMISPSRVRQSVSSTISSHFTETPSVLSFSVDVRRGKVGENRLVDAHLLRLLYNRQLQWRFVNARIEATLLVQKRRAEKNLWNAWLTISDLRDSVTKRRHRLRLLKQKLKLASILKGQMVFLEDWASLDTDHAVSLFGAIEALKASTLRLPVVGALADIHSMEEAVSSAVDVMQAMGSSICSLLLKVEELNFLVAELAQVRGKERALLEQCKDFLSVLAAMQVKYCSLRTHLLQHNHIPTT >KZM81717 pep chromosome:ASM162521v1:9:4780353:4783947:1 gene:DCAR_029330 transcript:KZM81717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRPSMTIRPADATDSPPYGELLCSGYYICGTQESVNSQWPIPPAETVTEWPAWPRVEKVGGFCSEVITSTSAGKLQCKGGKGKGKKSAMPPPLYSGPNVHGLSKEAPVKQYTTAVGGVTIESAKFVKNGQLVLTSGALHKAMGDARMKVCEQQSKVCEQQSKVKQVSKPTDNANTAEQPQKSG >KZM82180 pep chromosome:ASM162521v1:9:13053815:13056296:1 gene:DCAR_029749 transcript:KZM82180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKQNEKLTKNITRLVQEEAGEKQLKSGEIQVHNTQQYNSLWSSRERQCLFLLQQKGTSRTLLLQMHALMIKVSLQANVNLLTKFITTVASMVGIKYARQLFDERSHRDDAFFCNMMMKAYVGHGHFDDTLILYRGLRRGTVFVPDSFTFLNLAKACSSELWFWEGMEIHNHVVKSGFGSYLFVSTSLIDMYAKCMNMSCARKLFDEMVEKSEVSWTALIGGYARSGDVDSVKDLFNQMPEKDTAAYNMMIDVFVKLGDVDSARSLFDQMPERSVVSWTSMIDGYCNVGDVVSAKLLFDEIPEKNLYSWNAMIGGYCRNKESHEALQLFRELQAETLLEPDNVTIVSVLPAVADLGALDLGCWIHNYVRKKELDKYANVCTALVDMYAKCGEITKARTAFDKMSNKEVVSWNALINGLAVNGRAEEALEAFSEMKQKGFKPNDVTMIGVLSACSHGGLVEEGMRWFREMQELKVTPKIEHYGCMIDLFGRSRCLEEAEKLIKAMPYEINEIILSTFLSACNDRKDVARAERILKKMAAGKAWSDGNYIMLRNLYALERRWGDVREIKGVMRRKGTKKEVGCSSIEVDSRVQNSNTDMGLHVSIYCTTWIPIQIGQCSDWIWKSDVLKEHSQKDVKPSIT >KZM81991 pep chromosome:ASM162521v1:9:9636667:9637613:-1 gene:DCAR_029604 transcript:KZM81991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPQYARSCSEYPSGLVPGNFTSGCQFGEGCHFLHYVPGGFSALGQMGNMGPGARKPAPPTYADGPAPAIKTKLCNQINTPEGCKFGDKCRFAHSEMELGRPGLSAYEDPRAMRAPMGGAYGGRVDPSPPGLAAAANFGASATAKISIDASLAGAVIGKGGVNSKQICRMTGVKFAIRDHDTDPNQKNVELEGNFDQIKDASGMVRELIMRIGASARGPVRTPMAMPGQAPPASNFKTKVCENFTKGSCTFGDRCHFAHGAEELRKTAI >KZM83382 pep chromosome:ASM162521v1:9:31355561:31355719:1 gene:DCAR_030951 transcript:KZM83382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKQKPRALRSKSVINMNCTGETYKKCEINSIICQRRITNQSYQTMHHFPG >KZM81790 pep chromosome:ASM162521v1:9:6042427:6045944:-1 gene:DCAR_029403 transcript:KZM81790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLHKISKAINGEDRENRTFRGRVVLMKKNVLDFNDLNASILDRVHELFGKKVSLQLISSVNSQSSAAFDCMFDWEEDIGLPGAFLIRNFHHSEFYLKTLTLENVPGHGNIHFDCNSWVYPAEKYKTDRIFFTNQTYLPSETPATLLQYREEELVNLRGNGSGKLEEWDRVYDYAFYNDLGEPDRDLKYARPVLGGSSEYPYPRRGRTGRPPAKTDAKSESRLPLIMSLNIYVPRDEQFGHLKLSDFLAYALKSIAQVLLPEFEALADSTPTEFDTFEDLLQLYEGGVKLPEGPLLDNVKEKIPFELLKELIRTDGEGYARFPMPKVIKEDKSAWRTDEEFAREMLAGVNPVNISLLKEFPPTSTLDPKIYGDHSSSITRYHIENSLDGLTVHQAIHAKRLFILNHHDMLIPYMRRINATSTKIYATRTILYLKEDGTLKPLVIELSLPHPEGDHYGVISKVYTPAEDGTVEGTIWQLAKAYVAVNDSGVHQLISHWLNTHAVIEPFVIATNRQLSVLHPIHKLLHPHFRDTMSINAFGRQILINGGGILEQTVFPGPYAMEMSSLVYKSWNFTEQALPVELMKRGMATEDSSSRHGVRLVIEDYPYAVDGLEIWSAIKTWVEDYCNIYYKTDDVVQNDNELQLWWKEVREQGHGDKKNEPWWPKMQTRKELIDSCTIIIWIASALHAAVNFGQFPYAGYMPNRPTISRRLMPEPGTPEFEELKSDPDRAYLKTITAQLQTLLGISLIEILSRHSSDEIYLGQRDNAEWTKDAEPLKAFEKFGNKLRKIEEKITEMNNDMKLKNRVGTVEVPYTLLYPTSEGGLTGKGIPNSVSI >KZM82275 pep chromosome:ASM162521v1:9:15288668:15294919:-1 gene:DCAR_029841 transcript:KZM82275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLVLLDLKDCKLLKGLPKNICMLKYLETLIISGCSNLGMHPVDKRKMESLKVFIANGIDFGNSRIGPPLSLTSLPCNYITRLSLVNCNLHDNAFPTDFCFTSSMEFLSLSRNPIRFLPDCFKGLKRLGLLQLIKCNRLQVLDLPCKIETLSAFDCPLLEKIISNEPSSHFEKYYSPFLCKKLTEMDTKFKIVPIGKIDKNFISKCGIDDVEAKKIMQIKLFNNFTGIITKCPIQLFKSLKFLDLSHSHDLVKSPNFAELIALEQLIVEDCVSLVEIDESIGMAAGLVLLNLKDCKLLKTLPKNIGMLKFLETLIISGCSNLDKLPAEMKHMESLKAFLADGIDFGSSSKTTVENKTWRDFIWGWVPKTPQLSLTSLPSEGITKLSLVNCNLHDYDFPKDLFFGHSVEFLDLSKNPIRFLPDCFKGLKRLKTLQTEKCKQLQALEGIPNMLKLISAGSCRLLEKITFNGPFKFSFDTVNVFKCEKLIEMQSLYKMVPIGEIDSKFINSCGIFDVEAIKKIQMTLMIVFTAGGTWPIQGIFEDTPYGNKIFNIFYPGSSVPTGFTCQHKAYSISFTVSHSKLKCLNICVVLKSSPEVYLVIHNRSKDKIILYDRCCYGMAERGGDMTWLSHWTFGSHEMGPGDEVNVSVWWVWTGYNYEPPKEIGVNVVYEEGPEEASVDEYVIPVGAEPDQHYGATQVYFLGNRDRGIPSYIPGRIDEILTDCLITTLQ >KZM81869 pep chromosome:ASM162521v1:9:7206436:7210635:1 gene:DCAR_029482 transcript:KZM81869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDQIRKHNANVLPDEIFYEIDEDGYTVLEVAVELNQTAVVDLVLDLQHPAAPKRKDGAFISLIPVIYKAQEKGYKNIVDLLTQRYNHRSKLSKDFKDQVSLISAIKSGKTDTVVSLLSDAGRGGQRLVTFVDKLGWTALHHAVYHESVPIIKHIAEAQKGIKPKSGYKDKVPTPFHVAVQRGLTSIVILLMQLWPSTSSTYTAVDKKGQNILHLAALQSKKDMIEGILKNCPAEHKKEFVNKQNNNGYTTLHLLIQLGCFVPELLKYQGLDTSVKNNEDWTPWDMLYVQEQIVVDQILLADPAYQDGRGSRNQGLLRLIYQAMENKYNDKIVELLSATFQTGIDDPNLKGVLDLIIAIQKHDAGPISQLLRGEDNKHFLNFVDSEGWTLLHHAAYHQFDSILSEMIEAQEKVGYQFVCKEGVATPFHVAARCGHTSTVIRLLRLWPASSQYTAVDVNGQNIMQERPAAPSPFTAVDENGQNILHLAALTNKKEMVNGILRCCPPKQKNLLLFKRDVEGNTPLHLLVAQGCFIEDLIKYIAAGDSHTTNNDGWTPRDMLYYRHDIVGDQVQIKMELDGMISTDQSRKLWSSSRKKSTSSSLAVLPASKREKKDILFNEVQKVLMEKKNAKMEEDLQRYREGTNSQIVVSALITTITFTVGFTIPGGLHQSGESNQGLAVLSKKAAFKIFMIADAFALLLSICSLFVYFLENMSDNFEHVTRLHATTLGLNIASIMLTMFVFMTGTYVVFSDSLFLSITIFSIGSLFLIFVILQLLRIAYERRARKNDNYKKEA >KZM83500 pep chromosome:ASM162521v1:9:32468985:32472386:1 gene:DCAR_031069 transcript:KZM83500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAFNFFFLFCLCLLLLPYPISSLPLCTDLGAPFSPQKPLTFCPYSGNVCCDSAKDLQLQKQYEAMNISDTACASTVKSILCATCDPFSAELYTAGSRSRPVPVLCNSTGSAGSSSKQATASYCSSVWDTCQDISIKNSPFAPSLQGAGTPQNSTSSTLTDLWQSKSDFCDSFGGSSGDNSLCFEGKPISLNKSETLPAPKGMCLEKVGNGAYLNMVAHPDGSNRAFFSNQPGKIWLAKVPEQGSGESLELDESSPFVDLTDQIHFDTSFGMMGMAFHPNFAQNGRFFASFNCDKVSNPGCSARCACNSDVGCDPSKLPSSSGADAPCQYHMVVAEYSANGTASDPSQAETAKPTEVRRIFTIGLPSSTSHGGQVLFSPADKYMYIMTGDGGLKGEGHNFAQNKNSLLGKVMRIDVDNLPTESNADLWGNYSIPRDNPYSKDKEAQPEIWAEGLRNPWRCSFDVERPSYFVCADTGQDQYEEVDIITKGGNYGWPAYEGPLLYDSKQSTTKNNTVDVINPIFPVVGYSHSDVNKKEGSAAISGGYFYRSTTDPCMYGSYVYADLYAGNVWTAAETPINSGNFSATSIPFSCAKDSPMNCSTVPNSRLAALGYIFSFGEDNNKDVYILTSTGVYRIVQPSRCDFTCSKEVKTSSPPNTPPSSSNGHHTSIQYLFSKLSLISISLLLAFSL >KZM81591 pep chromosome:ASM162521v1:9:3001367:3001927:1 gene:DCAR_029204 transcript:KZM81591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQIVGMGSMSEASNKPHAVCIPYPAQGHINPMLKLAKLLHHKGFHISFVNTDFNRNRLIKAGGPGALDGLPDFRFYSIPDGLPPSDPDATQDIPSLCKYTPINCSAPFSNLIKELNDSSGSGVPPVTCIVSDGIMAFTLKAAEQFGIPVVLFWTTSACGLLAYMQYHQLVERGYTPLKGTPIYY >KZM83314 pep chromosome:ASM162521v1:9:30714786:30714935:-1 gene:DCAR_030883 transcript:KZM83314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSKIMILSCTAATHPKLKEVASEKSKNMFRAISLLTYIFFINSLVFF >KZM83159 pep chromosome:ASM162521v1:9:29243632:29252321:-1 gene:DCAR_030728 transcript:KZM83159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPAYDLMSFCAFWLGLDPNARRRMSREKTESVLKVVVKHFFIEKEVTSTLVMDSLHSGLKALQGQTKSNKAEGIYLVDEEPSVPIARMEKDTFILVDDVLLLLTRAAVEPLPPKDEKGPQNRTKDGSSGEDFSKDSIVRDERRLTELGRRTIEIFVLAHIFSSKIEVAYQEAVALKRQEELIREEEAAYLAGSEKKMRRGVADKDKKSKKKQGKQKKNSRKVKDKGRYDKPDNAVEDKPEHERNDFLVEESEPVSKPIQVENVSDVSDSVDCSLELPQADSENGESSPVNWDTDTSEIHPTTGANSSGISAISSVRTEERKSPSGIDDSSSTCSTDSLPSVTANGPCKGSSLVKQNSQKSSSRGRNLRVNAIQEARGRDIDCNIKPSNASSDPGQVIDSSGNGKVAKPEKAVSNSLQHRTEQVTKKKEVAGPDKKSTVTEVTGIRKPIKVKTTTTQTSPGRPVKDLSSAPESKLQLKTASSIDTVLVSKQSSLRDQKSDKMAIPEISAESAVPRVTEKSISQHIPFSSEKSKCERPPGTSEKHIAQQVPVKSEKPMPTQPGILRPLSAPLIPGTEVGTPVVPVVQTAQSLSRSVSAAGRLNRDSSPATTSHVPQSYRNAMMGNQSTASSTGYSLSQSSNSEVNSQHSYLQTSSVISPPMYTPQSSRRLDTESIRSDLLFGMVSHDVLQNVPQWMENHRMDSSRSNSIPLIHNGPQWMEDVQQNTSRSINNDHSLHSDIQNFDMVRSAQSRSQDQFPIGYPPVTSGRQNPGVSSDDFPHLDIINNLLDDENGIFMAATTSSGFQTFSNGPHHLNQQQFTFPGDFGLSGDLGPSGSPFFEQTQSYHDDDYYQSYNFASDQFDSNWIHQANLQPYQNEHIDGLVPNQWQVGDSDPSYLSMRSSENDNFSYHIPEYSNLVCGTNGYTVFRPSSGH >KZM82141 pep chromosome:ASM162521v1:9:12121940:12122808:-1 gene:DCAR_029710 transcript:KZM82141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPSKEDQVRRKEDCEVKWLQFNTQLPWIRITNLNMTEIRGAKPTRGATGAAANRKRRVKIPPVRGSIKRRIFAIVYRRCKKMSVYTASYFFTKLV >KZM83410 pep chromosome:ASM162521v1:9:31558092:31560749:1 gene:DCAR_030979 transcript:KZM83410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLSLIKLLLVHCFFMFMFMFMQCLSCPAHQKQSLLHLKSSLLELYETTNSTIFGLESWNSSSDCCAWNRVVCSLHSRDITALHLNILLPLDDYNYDVPFVDVTILDPIYSIRSLMLLDISENYMVGEISDTGLVNLTRLVHLSMSSNDFEGSIPAQLFHLRSLQFLDISGNLLEGGISGKGLANLTKIIHLDISQNRFYGSIPSQLFDLRYLQFLGLSANSLTGGLSSEVGKLGNLRTLKLGNNFLDGNIPMQIGNLTELQQFVVNDNKFWGQIPDTIGKLKGLEILDLGNNHFHKQIPSAIGNLLNISTLSLQNNSFNGVIPPSIRNLSKLETLHLEDNLLSGEIPLWLFDRESLKILYLGGNRLIWDSNVKLVPKCVLSKLSLRSCQVYGDIPKWIFTQKNLDVLELSDNHMTGEFPLWLAEMKIEILLLSRNKLTGSIPSSLFRSTRLSLLALSENNFSGELPKNVGDATNIKALMLSANNFSGAIPKSIADIRPLLLLDLSRNRFSGNTLPALNSAPLLHYVDLSSNELSGDVPVSFSHGISILALGENKFSGKLPKKLADMKQLVHLDLHDNNITGVFPEFLSCIPTLQVLILRNNSLHGSLPPNLFVNQSKILILDLSSNNLVGNIPSGLGNLMATFTTLYGYPYGTGDTGLWVNWVAGTVTMVSDMLSYTIEINDLMVTWKKSVRGISSHNRGIYSLLDLSNNKLSGDIPASLGNLRNLKQLNISNNRLSGHIPGSLGDLESIESMDLSTNKLSGTIPQSFGKLEQLSVLDVSNNKLSGKIPRGRQMDRINNPSYFANNSGLCGMQITVKCSGDEPKPGDNDDDDDVDEKEPWFLWTGLWIGFPLGLISSVLTAFLGGYFVIPTTKYHSIHFRHR >KZM81938 pep chromosome:ASM162521v1:9:8802345:8819709:1 gene:DCAR_029551 transcript:KZM81938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKVVSMNSGDDECSYANNSKVQNTLVMKSLAYVEETLMDLATSGFPECLKLVDLGCASGPNTLLVVTEMVKIVGKICQKKNFAVPEIELFLNDLPDNDFNTTFQMVPQIFSELENGEGIKYGNFFVFGVPGSFYRRLFPSKSMHLVHSSCSLHWLSQVPEKLGNNKGNIYISKTSPPGVSEAYAEQYRTDFSKFLRLRSEEVVPGGRMVLTLAARSISDPTSKEFCCKWELLAKALQDMSDEGLVQNEDIDSFNLPFYTPCAEEVKDIVQYEGSFSLDRFESHELVWKDNENIFTKSVRAVMEPMLANHFGTKSFMDKLFQRILGKKLKILPSCGCYCLQLLPTYLSRALYTKCDDQSSYANNSTLQKTVILKSCIFLEETVKNSGTSGFPECFRIVDLGCSSGTNTLWVVTNIVNTVHRLCQKKNLRTPEIQVYLNDLPDNDFNTTFKMAPQFYSNLDSGEGDKSGKCFLSGVPGSFYTRLFPSKSIHFVHSSFSLHWLSQVPENLENNKGNIYMSKASPPGVYEAYFNQYRADFSTFLRLRSEEVIPNGQMVLTFAGRGIADPTSKDCCCKWELLAKSLEDMSDEGLLDNDYINSFNLPLYTPCIDEVKDIVQHEGSFTVDRLETHEVNWDTSDDKAKSVYDINNSGKIVAKAVRAIVEPMLATHFQTGNSFMDKLFERLLVMQVLDDGVSPREIGFKIYLYELYLRVQAQKNEIISLRERIAGASVKDLQLLNEKYTLERKVADMRMTLDEKQNEIVSIAGEEIARRKGDLENNLNLIHELKETEAERYIFVSSIVALLAEYGISPRVINASTLSYNVKAKIGNLYSTVEKRKAVGNSAIRDIPGSSLLTNPYAPPSQSEFSFHNNPINERHMEPVFSMSRNAHNNNDSGQMTNSIPKDRMVSNSDREVAQISHNNFVDRRGNMTYEEKPDLSNPLHRNDTDVDYDYDSEGATNPEYTITADDVDKLVAVECIPMNDQGHQVYHITYSN >KZM81908 pep chromosome:ASM162521v1:9:8304499:8305608:1 gene:DCAR_029521 transcript:KZM81908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEAPILVHHGTTSNQLPLHKFKRWHWWLLVSINIFFLLAGQCAAVLLGRYYYDKGGNSKWLATLVQTAAFPILLVPLLFIPSTLKPSTESTSSSIAVISFIYVILGVVIAGDNMLYSVGLLYLSASTYSLICATQLAFNAIFSYFINSQKFTALIFNSVVVLSLSASLIAVNDDSSGPSGLSKWKYALGFLTTLAASALYSLLLSIMQLTFQKVLKRETFAVVLEMQIYTSVVATCVAIIGLFASGEWRTLHGEMNGFTEGRTSYIMTIIGTAVSWQVCSVGVVGLIFVVSSLFSNVISTLSLALTPIASVIIFHDRMNGVKIIAMLMAIWGFATYIYQNYLDDLKARKLQSEHADGNYQSPSSYC >KZM81606 pep chromosome:ASM162521v1:9:3360059:3360743:-1 gene:DCAR_029219 transcript:KZM81606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVGAVLKHGISVMILTGFAGLVAGACSMAIGEFVSVYSQLDFEVAQTKRDNVMWALVPLLAAAFISEHKVRLVVVIAAVTFALVVFGTVGAVLGKTPAGKSCARLLIGGWIAMAVTFGLTKLIASNGL >KZM81426 pep chromosome:ASM162521v1:9:1120136:1120969:-1 gene:DCAR_029039 transcript:KZM81426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMWDIPDQDAYKINIHCEISDVPSPVGNTVAIASIIRGPTGDKCWGMEGPANNLTLELVNNYEHGLVDELVNLYKEAALKIEREAIRT >KZM82172 pep chromosome:ASM162521v1:9:12870720:12870962:1 gene:DCAR_029741 transcript:KZM82172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFPHAPIQYANMPFMPNPFFGAFNMPVVPNPHVNMNHANLNQFSVNSTNVGSSNSAVMPKVKKINSEDEVVSKPSKSK >KZM82863 pep chromosome:ASM162521v1:9:25360598:25362389:-1 gene:DCAR_030432 transcript:KZM82863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVGFVIVG >KZM81338 pep chromosome:ASM162521v1:9:155009:156184:1 gene:DCAR_028951 transcript:KZM81338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYETCKFNEGIFVNLMTHYAKSLMFHKVVEMFDSIEVVVREKPSLKAISTCLNLLVEANQVGLARSFLLKLSKDRNFAANTCVFNILVKHHCKRGNLDYAFEVVEEMKKADVSRPNLITYSTLMNGLCVKGRLEEAFELFEEMVSKEQILPDALTYNVLITGFCQNGKVDRAKKILDFMRKNGCVPNIINYSTLMNGFLKECRFNDVKEVFDEIKNAGLKPDKICYTTLITCLCRSGAIDEAIDVLQEMKQEECRTDTVIFNVILGGLCSHNRFDEALEMLERLPLKGIFLNKASYRIVLNSLSKEGGLEKASGLLVMMLSRGFVPHFATSNELLAQLCKAGRAADATTILFGMMNMGFKPEPDSWSLLIDLICRERKLVTVFELLDELT >KZM83486 pep chromosome:ASM162521v1:9:32387441:32391634:-1 gene:DCAR_031055 transcript:KZM83486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATSSDVSDGPVLNFINKRLRALRKKLNRIAQMEEAIAQKKPLNKEQEEVYKSKSSVIIAIEELEKMRQPLAAAVAEEIDLAIRVKIKNGEENVVEEKGIGDSGKMGGQDFGIEEVLKLVYFAGLFDVKSLSDFERLLLTRSHERGCCLSYDHVTDEDVGNDLLGEKDLDMISRVGSLVVSRPVETGLSHKDALEKCVEHAKLWISGADQPIEAGSDVTYALLKEKLVKIMASPYVTTMPEMKAQVEVAGNYGSFQAQGEGFDVQYQQKDEELANHEVNGSSDNQGGLVDGSQKNEYEVENSTELPAQSESVQPQPEWEQNVRDVESKEQQFNTRRQFQNQRGGRGGGARRGYSNGRGGGARRGGGPYQNGRNQYNDQPGNYYPRNNYYSNRGSRGGGRGAASNSNYGPAVPSES >KZM81850 pep chromosome:ASM162521v1:9:6874904:6875509:1 gene:DCAR_029463 transcript:KZM81850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYNLPDPDFSALESIRQHLLEDADNFDTITSSDPLVYERNLSFGSTDSGGTDSVEDYTMEWMDMLRDADAKQDFVQELSAEKQPEWKRYRGVRRRPWGKFAAEIRNPAKRGARIWLGTYDTPEDAALAYDRAAFKIRGARAKVNFPKLLVPDLSQKFDVDANRLTSEPESSTSYNHSPGENLEEMFTLTDEDLLLIDSL >KZM81537 pep chromosome:ASM162521v1:9:2231535:2233190:-1 gene:DCAR_029150 transcript:KZM81537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYQKIVFELAIIIIAKFLLGEEGDAQRWKAGWVAGTAAYAIFYHSIKIFVRMKRDQVALRDDAEVWAFIFKHISQFLIPLSYSSLAYDYLMGIEKNWKMVAVGIRMFTLVVGSLLVLGACKICYSAADDQNQIIAIQTNMENQSVANHVLGLGQNVEDQSFAPEMIEVDVDDHSYCKKSAGLKSRNLILREGIRLDLEDHSYPDACISDWLEDEESHSHSDANVLEEPQSDEENQSLTDANVSKASQRDMENLLFANLNASEGSQRDAENLTLADAHVSKEVQKDAENQFIANENVFEEVQRDVENRSSA >KZM83236 pep chromosome:ASM162521v1:9:29872276:29874354:-1 gene:DCAR_030805 transcript:KZM83236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHQNPSTRVLELDSFLNRVAQNQFLIIANTSACPSDSLNVCKFLRHAELPSEGLKMGIVQPNNLFLSPDLGVTSSSLVTAKHTKSFTIDTLLKEMISNRFLLGFQVAVISSYHETSFSINMLISVLKLACTDKIGS >KZM82762 pep chromosome:ASM162521v1:9:23724916:23728677:-1 gene:DCAR_030331 transcript:KZM82762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTFTSNFQAYITNFSANSTHLSLAFSQSINDHLESRIDEWKVDANSSGYSNCCDWVGITCESSCLFDEMGRLELLVILILCEVCLQAWILNAQNFSCDSNDFKALQSINDHLESRIDEWKVDANSSGYSNCCDWVGITCESSWSLGLSGEFESGRVVKLDLSNKRLRGNLSESIGSLDQLKTLNLSRNFFGDSVPLSLFNLSNLEVLDLSRNGFSGVFPVGLNLPRIHVFDVAQNGFRGLVPAGICNKSPFVQSINLAVNFFNGSIPLEFGNCSSLENLTLSSNTLSGTILEDLFKLPKLSLLNLQENRFSGQLSNNISKLSNLVRLDVSCNQLSGSIPDVFHTLNKLEYLLARSNNFTGKIPPSLMNSQSIVSLSLRNNSLDGRIDINCSAMIKLVSLDLGSNKFQGSIPDNLPFCPRLTTINLSKINFKSQIPESFENFSSLSYLSLSNSSIYNISGALHILQHCSNLKILVLTLNFHKERLPSVPSLQFKSLNALIIANCKLTGTIPQWLSGSRQLQLLDLSWNQLEGTIPSWFGSFQFLFYLDLSNNSFSGELPRNLTALESLAFRNISLEEPSPDFPFFMKRNISARVLQYNKIWSFPPKLDLSNNFLRGQIWPEFGNLKKLHVLDLKYNNLSGSIPATLSGMTSLETLDLSYNRLSGNIPSSLVDLCFLSYFNVANNNLSGKIPTKGQFQTFPNSSFEGNQGLCGEHVSPCQISFKIPSRSSMKSKRRGTIIAMAVGIGFGTIFLVALMYSMVLRKTISKDVEPEAADNRDGPKDVESRLLVHFQIHDNNKELSLDDILKSTNSFDQSNIIGCGGFGLVYKATFPSGKKVAIKRLSGDTGQMDREFQAEIETLSRARHPNLVQLQDLVGTLGYIPPEYGQASVATYKGDVYSFGVVLLELLTSRRPMDMCQPKGNRDLISWVFQMKREKRESEVFDPLIYDKEHADELLVVLEIACLCLSENPRTRPSTQQLVSWLDNFDVNVLLQS >KZM82094 pep chromosome:ASM162521v1:9:11346093:11348026:1 gene:DCAR_029707 transcript:KZM82094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPHGNSVRRSTRLILKSLQRPISDPVYIDLEADDADQVRKAETMKNVGDIISEEGKNGLVRGEVTLYNSDDDFVNPAPWSKSKEKRKDDTNELRNVKRKIVLEVNHPAETQKGKKKGGQRLVDEGGDEENPEAPRLNDNKTKIKAKKSNVDNKAQKRKAKDKIEERTEIAEKV >KZM81516 pep chromosome:ASM162521v1:9:2031970:2033970:-1 gene:DCAR_029129 transcript:KZM81516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVKELCWIVLPLFMFLILFSGYIYHVCREQGSYLRHVIVVTDKITALFSMIRSFIKNLPSELNRNRAEYLKFLYKLALLALSKSATGDANIWKVYWMTGFGIVAIGSYCQMLIVERKRAQLTPPSQSVTPPKSEKLLTTTNALDFIVLVSYLQLAFAYFMELNLKNHPWEVFWKNFSYATKVCIDVAGVVIIFMIIIEIANTEQQVEDQSVADQPKAVQWDVENQSEEVAMKDVK >KZM83320 pep chromosome:ASM162521v1:9:30753531:30753799:1 gene:DCAR_030889 transcript:KZM83320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKPSKPSSSRPGGIRTLSDLNRPSGPDSDSDSDAPQEYYTGGEKSFVIVELN >KZM83122 pep chromosome:ASM162521v1:9:28949416:28954397:1 gene:DCAR_030691 transcript:KZM83122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMSTALFLKTPISSHSFPKPFKTHFISPLKTNPIFPKTRSSNPKISCGLIDPDGGKLVDLIVKPSERDLRKAQVDSLPQIKLSKVDLEWVHVLSEGWASPLKGFMRESEFLQTLHFNSLRMSDGSFVNMSLPIVLAIDDSQKSLIGASTSVALVDKDENLVAILSNIEVYKHNKEERIARTWGTTAPGLPYVEEAIKNSGNWLLGGDLEVIEPIKYNDGLDRFRLSPSELREEFTRRNADAVLLDMGYKNPILLLHPLGGFTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPLEDRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQSKMAFFDPSRAQDFLFISGTKMRTLAKNRENPPDGFMCPGGWQVLVDYYDSLALAGSGKVSEPVPA >KZM83274 pep chromosome:ASM162521v1:9:30330284:30333352:-1 gene:DCAR_030843 transcript:KZM83274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKKEEEKREEEKKEEASGGGGDKKQQEEKKEEEEEPLVLKVDMHCEACARKVKRSLKGFQGVEQVTADCKASKVVVKGKTADPIKVCERIQKKSGRKVEIISPLPKPPEENKVQEAKQEEPPNEEKKDEPPPVVTVVLKIGMHCEACAQVLQKRIRKIKGVESVMIDLANDRVTVKGVLDPEKLVVDVYKKTKKQAIVLKDEEKKEEGKKEEEKKEDNEATKKEVEEAKEDDQDNKGMDIMKNEYYGPKSYTEYSTYVPQMFSDENPNACSIM >KZM82757 pep chromosome:ASM162521v1:9:23663703:23672298:1 gene:DCAR_030326 transcript:KZM82757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSFRAHCVFAAMATGWKLEDAIQLFYVGNDVGGVASSSEFPPLKNERNHIDENLSGPGKDSSNEHASLLDESEVRAPLPVKRDVLYDGPLLYGASRMGNTSREARVVVPFRNFDQELKHPGIWEADKSSASGVDSSRDNLASLYRPPFGLMLIGPFEKAKDAAKGQNRWLLVNLQSTREFSSHMLNRDTWANEAVAQTIKSNFIFWQVYDDTEEGSKVCTYYKLDTSPMVLVIDPITGQKMRSWRGMVQPETLLEDLIPFLDGSPKDHHISLSHKRPRETSQAPPQKVQVAADESNEDEEMLQALAASMEGIKDASGVIKDMDITDAEEECKLKKQTYPPLPEEPKADKNLLCRVGVRLPDGRRLQRNFLRTDPIQLLWSFCNSQLDEADARQFKLNQAIPGASKFLDYESNLTFGESGLANSMISVTWE >KZM82982 pep chromosome:ASM162521v1:9:26867281:26867487:1 gene:DCAR_030551 transcript:KZM82982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPFGQVFELWNRDMGLDSVDPQFMAVHEEDVVPAVVDDGEQAVPEVDDGAQMLAGEEMVEVIEILD >KZM81495 pep chromosome:ASM162521v1:9:1815772:1816170:1 gene:DCAR_029108 transcript:KZM81495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGDSNNTPQNTAPQATFMVLEIPPGMDIVACVKHFAQTNNASVNVLSGCGMLSRVAYRHPSSPHPVILFEPLNLVSIAGRVNPAEPGYAYFTTTITRLNGSVLGVRPFHLLAMDSVVLTVLVTSLACT >KZM83044 pep chromosome:ASM162521v1:9:28280968:28283112:1 gene:DCAR_030613 transcript:KZM83044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFQSYFYLSLILLSLVCIHHTVNCDDNDEEDSLLRGINSYRATLNLPSLTDNDKAECLAEEIAEQFKNQPCTNTTGANTVPGTEPQFSDFPNLLNKCKLNVTTTRDGAILPACVPNLAQDIVLSNYTHSQYSGSLNDTKYTGIGIGSDDNWIVVILTTDTPTGNFATGSSVPDVNFVTKMSPFQPLLFVMMGIFFLVGAY >KZM82725 pep chromosome:ASM162521v1:9:23083361:23083534:1 gene:DCAR_030294 transcript:KZM82725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHMSLIVLAFVVSVVASSEFGNRSIAGAISGTSCVESEKQALLQLKTGLFDEFSY >KZM83078 pep chromosome:ASM162521v1:9:28555008:28557381:-1 gene:DCAR_030647 transcript:KZM83078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLMYKYIQPSYLQQQQQTAEERVIQLFTGFTSKGCTDTESMMSPTSILDSKPVPTIKTQFWSDGNTNLPKTSKPESRIHWDDLDSKGVGLGLVDALIDDISEKNVSKTESRMVLFGSKLKIQIPPLPPSVHSPNSDYPISPGDFGTKTRNSQSGSLPPVLSPYSSKKSPFGSVNSGLEKSNSPRVFSGCLSADEMELSEDYTRVITHGPNPKTTHIFDDCIIESCCGVVGLSASLKKENGSGTDLTMRYPSESFLSFCYSCEKKLGQGKDIYMYRGEKAFCSNECRYKEIISDEGNETSDDTYGT >KZM81504 pep chromosome:ASM162521v1:9:1888720:1889652:-1 gene:DCAR_029117 transcript:KZM81504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCNENCILRQSLQGIDHSPQAQANATVFVAKFFGRAGLMSFLSAVPELQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGELSSSSEFFAGAPAPGSDVIDLFRSSSQELNESERYNFDHDVARAHLSNLDLSLTSNLPAEIFSGGRAKRRPPSEESETTTFGSGVVCNNQSLRGERKLLRLFI >KZM81761 pep chromosome:ASM162521v1:9:5743082:5745012:1 gene:DCAR_029374 transcript:KZM81761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFATMFSGLAKSLRKAKSSGNCGGGAVETMIKEAKKNDLILKSSGTLDVEGSNNFAAISSKRGNKGVNQDCCIVWEAFGCQEDMMFCGIFDGHGPWGHFVAKRVRELLPLSLLCNWQEAIVEASLHTELDLEFDKRFNLWKDSYLKACASVDRELEHYRKIDSFYSGTTGLTIVRQGDLLVVANVGDSRAVLATISDDGSLIPVQLTLDFKPNIPQEAERIVQCNGRVFCLDDEPGVHRVWLPNEESPGLAMSRAFGDYCLKEFGLISVPEVTRRQITVRDQFIVLASDGVWDVISNEEAIAIVSSTPDREKSAKRLVECAVRAWKLKRRGIATDDISAICLFLHNHTSSHTIHSVITPL >KZM82070 pep chromosome:ASM162521v1:9:10713540:10718046:1 gene:DCAR_029683 transcript:KZM82070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVHEEHQDQHQSAVQDGEPEIVTEGASFVHGEPPQDGSGPPKVDSQVEVLHEKVTKQVIKEGHGQKPSKYSTCFVHYRAWTEITQHKFEDTWHEQQLLELILGKEKKEMTGLAIGVSNMKSGERALLHVGWELGYGKEGNFSFPNVPPMADIIYEVELIGFDEVKEGKARSDMTVEERISSADRRKMDGNALFKEEKLEEAIQQYEMAIAYMGDDFMFQLFGKYQDMAMAVKNPCHLNMAACMIKLKRYEEAIEHCSIVLAEDKENVKALFRRGKAKAELGQTDAAREDFLKAGKYAPGDKAIAKELRLLAEHDKAVYSKQKELYKGLFGPPPQPKPKNTNRLILLWQWLVAFLYRLFRRERQKTD >KZM82287 pep chromosome:ASM162521v1:9:16050647:16051251:1 gene:DCAR_029785 transcript:KZM82287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKEAAPRKPRGRSKLEKIHGRTADRRPVITLNERGQPVSSDGKVVAELSRFLGTVVKDNVSLTHINWRVVPDQLKNKMWEYTRERYIIPDEGKKWVNTTLNDSWRVYKSRVKKKYYSRFESDKERLENKPEDIPLEDFKQLLNYWADEEVQVL >KZM83205 pep chromosome:ASM162521v1:9:29597895:29598212:-1 gene:DCAR_030774 transcript:KZM83205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKQLEIRQPKLCFNPPLPRTPTSHLYTQCLYLFPNSNLYLFSPLRNVQAQYRRWDSNAESFRAQNFNFNPEPNKNDDEDDEAGQWLEVLEELIDGAWIIPVFL >KZM81380 pep chromosome:ASM162521v1:9:554415:555385:1 gene:DCAR_028993 transcript:KZM81380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGFASYNFLICALLVLQCGYSISARMTIERRMYLEEEIDDDLINITNPKPSYNVWFTPKDLYKGFTKPVYFEKEDPAKMPYFLSKEEADAIPFAIPELDNILARFSISRNSPQGKAIAYTIYNCDLHPTGHMIASCVSSLESMLDLVQKSYGPNTNFDLYTSVIHHSENTPLLQNYTFLDTPYQIPTQKMIACHPMPYPYAIFYCHGHLDGSTKVYKIPLGGEYGDRVEAIAVCHADTSGWDKDHISFKMLHMKPGSGPVCHVIPQNNFVWIPTISVQ >KZM82380 pep chromosome:ASM162521v1:9:18163905:18165849:1 gene:DCAR_029949 transcript:KZM82380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEIKTQVLELKGILEPDVVKAVEKDSDTVLRYAVNQIARIRRLVDEKTLAGVMKGELAAEEKALEMLMLSPWEGPIVRFKEEHLQQRLEEGECKHLDFVKSNFRWVDENVCNLVEAGDLEGLRMASNQIHYKSMRGMEGQRNDFAGDIQDREKRVQQTGEEGWRLVERRKKSSKSQYTLFISGIPDPEKARIGEIWDYFNTVGGFSDIILPMKRGVRNRRYGFVKATSFQAAHRIMESLRYEVFHSRPLKIFFANEVGKKVQSKYENPDVREVKRINTSSQNKGKSEEVYQGRGKTIEGDAELHNETPSLPNRKDVNGDEGFKKQIEGDTPTRNPIPMIRNTNLEELSKRSVVCFSEYPLTGCILQDIIDELGIKEKFDFRDLKDWFIFPKDLKEEDFKIKRKALVEVRGLPCLAWMERNLKKITEEFGDWGWWENDLLSESVVSNPRIWIYTDNLRRISNIRWVKIGEVAHKILIYELEKEVIPSNRATQDKKSAESQIHIEKENEVEGDLIVNEVENSPLISSKTVVDETPSQHVKAPLEYKLSHSGDSRKRGNLILDLSKAEDKRPELVNLGDSSILEGSSQSTMCKFLRQIFINKPRRKRRRAPIRNPFDIGRCKLRKG >KZM82316 pep chromosome:ASM162521v1:9:17187346:17195128:-1 gene:DCAR_029814 transcript:KZM82316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPSFIPDFFKCITPVDLSSMYLFVKFISNAHVTDDEIFVPQSFMRICASRIPEWVTYVLKNHFRFGGHFDFLGRSLSLTFRYPFYFEVHVMPSHMLKYCPGVKVPSEVSAFVSSLGLYNIGLYVPLKNTWLRRFVRVSETVEGIESLLKAYRVNPCYIFLFEYIGGSEFKVEIFNEYAVEVDYKNTLLCLEENDQHSENRGSRSKSIFGTSELQKDKLQSTFSYNACSYFDGELEFVIDSKHLEGNKMTEVISIDEAAGFGVDEETEWIKLGFKKYVWKIKLHWKRNRLLFGSQWNRFATASELRNGDKCILVGTADEERFEVAIFHKEQFHAVYKSGSVDGKGQLKWFKMLNWISIHTGEVEVPKMFVEKYGDDIADNAEIYMDDGMVYSCYFSKNNKLLFGLKKLMIDYGVKEHFTIFFDYVGKSKFYGSIFNKDGLEIFYSEQEKLKSNNLVKSTAPEVYMISDSDSDEDNAYVDTLARTSASQQQLTSEGLRLTLGDLICGKSCNSILLTYVVPTHKPVIASDAFVTAVETATEEFTSIFKNIKKRQSELINQAVLLNERYGNIADLVDERKRPKIGEMSSIYQTLPLSYSKSTNRFLHDSDSSLQKHTDKMNKAVENLINNFNESVEAWSKKHEELKEQTNVLGNNRGQYLVKVSEFKSVLYGYIPGIDSSDIDDSS >KZM82934 pep chromosome:ASM162521v1:9:25996410:25999232:1 gene:DCAR_030503 transcript:KZM82934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKKVGIIGFCSVLLVAMVVGVVYTHVNSGDSEAEEGKKDQIKESRKAVKSICQPTQYRETCEKNLMPVAKNDTDPKDLIKAGFDFAMQHIHETVKQSKLLQEAEKDPRTASAFKVCKNVLRRALKDLQRSFDRMTDFDFEDLDDRLFDLKVWLSSASKGQNTCCDAFEKTTGEAGEKMKELLRISKELTINGFQMIDELTRVLKDMQIQGTNTRKLLQVPLPPDQTPAWVKPEWKDLLKGDCAKTKAHAIVAADGSGKFKTVGEAIKSIPPDNPDVYIIYVKTGVYAENVLIGPNMPNVVLVGDGPTASKITGSRSEKCGYNTLQSATVGVEGFNFLAKDMGFENTAPADAGPAVALRIAADKSVVINCRMDGFQDTLFAQVYRQFYRDCIVSGTIDFVFGGGIAIFQNCQIYARKPAVGQANMILAQNREFKDDISGIVLDSCSLGPQPDLAADPVIQSFLGRPWKPFSRMIVMNSQIEGFINASGWDIWLPNQPNTQDSYIVEYNNKGPGADVSKRVTWPSIKKIAGDEANTYTTTAFLKGDTWIPATGVPCTASGPPSLSAAPAGGGAPASGGAPASGGAPASGGAPASGGAPASGGSPASGGSPA >KZM83093 pep chromosome:ASM162521v1:9:28656105:28656802:-1 gene:DCAR_030662 transcript:KZM83093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKTSLALSMVVVAILWTGSTAQTDCTNTLISLSPCLSFIRGNVSKPDSGCCPQLSSVVKSKPECLCQVLNGGGSTLGVQVNQTQAQALPAACNVQTPPLSSCDGGTPTKGTPSGPGSNSTPSKDSGTSDGSSTKLVAPLLFFLLFVASYASTFTI >KZM82556 pep chromosome:ASM162521v1:9:21063620:21066104:-1 gene:DCAR_030125 transcript:KZM82556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLSMIKLMAKPLSMIKLLLSLIFMFVPCLSCPAHQKKSLLQFKSNLLDMYHTSNSSSLGLESWDSNSDCCNWTRVVCSLHSHEITALHLSYIQGEIPGNGLANLTKLVHFDMSRNYFNGSIPPELFNLRFLQFLDLSENLLQGGLSGEIGKLGNLKTLMLDHNFLDGNLPVQIGNVTKLRQFSVSQNKFSGPIPDSVLNLKGLELLDLRNNYLELQIPSKIGSLSNIFTLALSKNSFTGLIPPSIRNLSRLETLQLEDNMLSGDIPSWLFDMERELPENVGDANKIRVLMLSGNNFSGAIPKSVGDMPLLMILDLSRNRFSGNTDTFPVFDPECSLYYADLSSNELSVNWKNAEQGISSRSRHIYSFLDLSSNKLSGDIPVSLGNLKGLKLLNISNNKLSGYIPQSFGDLESIETLDLSRNNIVGTIPQSFTKLKQISVLDVSNNKLSGQIPRGGQMDTMNDLSHFANNSGLCGMQIRVKCWKDEPTPGDAQEDNDGDVDILLYQH >KZM83064 pep chromosome:ASM162521v1:9:28456857:28457311:1 gene:DCAR_030633 transcript:KZM83064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLRSLFSPLKKLWLRFNSANKKSRGIYILYEDVKSCPYEDVHVLWSILVESHAPALPPIK >KZM83517 pep chromosome:ASM162521v1:9:32599611:32603041:-1 gene:DCAR_031086 transcript:KZM83517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVSITLYKGPVIKYSPSHQLQLHLSTKLFLFSSSHENWILGAILLAAASFTICSWNIIQVKTLEAYPEPMTIVSLYILFGTISSAVYSVFVERNISAWILKPNMELLVIILTAIFSSIIRTRVQKWCMRGKGPLYVPMFKPMGIPIATMCGCLFFAYSFHYGSIMGAFVVGLGTYTVMWGQITEDDVYKKNENGSMVPDEKTPLLQGDSQVKTLEAYPEPMTIVSLYILFGTISSAVYSVFVERNISAWILKPNMELLVIILTAIFSSIIRTRVQKWCMRGKGPLYVPMFKPMGIPIATMCGCLFFAYSFHYGSIMGAFVVGLGTYTVMWGQITEDDVYKKNENGSMVPDEKTPLLQGDSQV >KZM82272 pep chromosome:ASM162521v1:9:15244136:15244788:1 gene:DCAR_029844 transcript:KZM82272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHAANQAAKRKRFQDIKNNVVDEIDSLVIASDAFVTKVEKTTEEFSSIFQDMEKCQTGLINQAALINEKYQSIADIVEEKKKPKIGETSSSFQTLPMSYSNSTVRFLDDSESSLQRHAEKTSIAVETLTNKFNESFETWTKKHEELKNQANVLARNKTQHHKKVNEFRSVVYGFIQGVDSSDSDDCD >KZM83345 pep chromosome:ASM162521v1:9:31050553:31053203:-1 gene:DCAR_030914 transcript:KZM83345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISKRCWKVQCKDMKDGDRCDILKQHVPPPRLARPDKLSMRHCDQLTIRSCQKILLLLMRLEQPLMHGGIMTGGKILLLRQRPSLTVTITIFSYCQEGFKFAIFSAIGACGQKICPEGEKSDQLGFSYPC >KZM81456 pep chromosome:ASM162521v1:9:1393124:1395146:1 gene:DCAR_029069 transcript:KZM81456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSYPYFRINLYPSSLYGGSLSRNAGKSAVLSDDEGQNEYENEGFIVDDENKELVVTVMRKCKRKGGGIKAHRDHHSGLSGGNEFDRSGRDLFQHSLFGDDEVVATELEHIAGEEQLEEDNELGDEDDLGNFIAEEDLDKHGAPMR >KZM81693 pep chromosome:ASM162521v1:9:4567371:4573936:-1 gene:DCAR_029306 transcript:KZM81693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRPANSSTNPARATQNSRVILTTRDAKLHAEFEESGSSFDYSSSVRVTSAVGENSAIQSNRLTNAYLHQMQKGKLVQSFGCLLAVDEKTFKIMAYSENAPEMLTMVNHAVPTVGDHPVLGIGTDVRTIFTVPSAAALQKAVGFADINLLNPILVHCKSSGKPYYAIAHRATGSLIIDFEPVKPYEVPMTAAGALQSYKLASKAVNRLQSLSGGSMERLCDTMVQEVFELTGYDRVMAYKFHEDDHGEVIAEITKPDLEPYLGLHYPATDIPQAARFLFLKNKVRIICDCRAKNVKVLQDDKLPFELTLCGSTLRAPHSCHLQYMENMNSIASLVMAVVINDSDEVGDSSDPNSVKNKKLWGLVVCHNTSPRFVPFPLRYACEFLSQVFAIHVSKELELENQIAEKNILRAQTLLCDLLMRDAPLGIVSQSPNMMDLVKCDGAALLFQNKVYRLGATPSDYQLRDIVSWLTEYHMDSTGLSTDSLYDAGFPGALALGDVVCGIAVVKITSRDMLFWFRSQAADHIRWGGAKHDPDDKDDGRKMHPRSSFKAFLEVVKTRSSTWKDSEMDAIHSLQLILRKALDDEKAIVPGGIENRTSTGAIHAKLNDLNIDGIQELEAVTSEMVRLIETATVPIFAVDADQIVNGWNTKIGDLTGLPVDKAIGKHLLTLVEDSSVDTVEKMLALALQGKEEQGIQFEFKTHGSRSDSGSISLVVNACANKGLHDNVVGVCFVAQDVTDQKTIMDKFTRVEGDYKSIVHNPNPLIPPIFGTDEFGWCFEWNQAMTELSGWRREEVIDKMLLGEIFGVQTSCCRLKSKDAFVNLGVALNNAMIGQTSENIRFGFFARDGKYVECLLCASKKVDGDGTITGIFCFLQLASQELQQALHIQHLSEQTAVKRLKVLAYLRRQARNPLSGISFIQKKLDEANLGEEQRKLLRTSSHCQLQLSKILDDTDLDSITDGYLDLEMSEFMLQDVYVASRSQVIMKSNEKAIQIVDKFSKEMMSETLLQQVLADFLMICVNFTPVGDLVVRIMHAGAVPENLLSQMFGSDSETSEEGISLLISRKLVKLMNGDVHYLREAGKSTFIISVEVAGVSKRRVSDRYGRDESTSNREFR >KZM81568 pep chromosome:ASM162521v1:9:2728572:2732379:1 gene:DCAR_029181 transcript:KZM81568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSICCDAMKRTTGVVGREKSRFSTEYEFTTLCCLTTYPSPMFTQPLKSSNQVNGSGQYVGLAEMIGQMDFAKIMNFWQRDKWNGFFPVKWHIVKDIPNTQLRHIVIESNDNKPVTHSVGTQEIGLQQGLKMQQIFKSYSGVTSMLDDFNFHENHEQSLKAKRNQMRAPQTEIRSIMNTQYHISKSSPGIANGLELQCLSPAQEGGTDHQTTVPCNATIYTISDPRTFHVALNASNGITL >KZM82585 pep chromosome:ASM162521v1:9:21560218:21560670:1 gene:DCAR_030154 transcript:KZM82585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSNQTVFCSNQAQFSSNQANFREVADIQSSSDTDELLPVPDDYSHQELT >KZM82211 pep chromosome:ASM162521v1:9:13758377:13759727:1 gene:DCAR_029780 transcript:KZM82211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEPAECSSIYVEPMKWMEAVQEGFVGQKLQCIGCKGRLGSFNWAGMRCNCGAWVIPAFQLHKNRMDECSLDDDKWLMSFVMPSKYGSSLPFPKDPSVAIKEVPSKIVAVAAFLGIP >KZM83325 pep chromosome:ASM162521v1:9:30829116:30850450:1 gene:DCAR_030894 transcript:KZM83325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQVNNNNRPPFPPPFAQQGQPGSRPGPPPPGVVPRGPPGGPPQGALGPPNVGPNRPNGPAPSFGVRPPPPGAFGSAGNAPPPPGPFASSGNAPPGNRPGPFQSAPMTAGQVPSGPPPSSGAPSPFSNGPPSAGMQGGPRFPSPGGMLRPVNGPPPPSSMSTTQGIRPFGGSLSAGSLPGLVQQAPPFAGPPMGGPPLGPQTFSAPPQGPQFPVSPFGSQPWSMQQRQVTPPPTVPGSAPPPRMYNMPGLESTASISPAMSQGPSKIDPNQIPRPIPSSSIVLHETRQGNQANPPPPATTEYIVRDTGNCSPRYMRCTINQIPCTNDLQGTSGMQLALLVQPLALPHPSEDPIQVVDFGEAGPVRCSRCKGYINPFMKFIDQGRRFICNFCGFTDDTPREYHCNLGPDGRRRDADERPELCKGTVEFAATKEYMVRDPMPAVYFFLVDVSMNALQTGATAAACSAISQVIADLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDIHDVYTPLESDVIVQLSECRQHLELLLENIPNMFQNNKTADSACGAAIKGAFLAMKILPSVGIGALSAREAEGRTNITAAEKEAHKLLQPADKIFKTMAIELAEYQVCVDVFITTQTYIDIASISVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNITRPQGFEAVMRVRSSQGLQIQEYSGNFCKRIPTDVDLPAIDCDKTIMVALKHDEKLQDGAECSFQCALLYTTVNGERRIRVSTLSLPCTTMLSNLFRSADLDTQFACFLKQAANDIPSSPLLQIREQMTTLCINILHSYRKFCATVSSAGQLILPEALKLLPLYTLALIKSIGLRSDGRIDDRSYWINYVSSLSTPLAIPLVYPRMISIHDLDLKETDGNLPPAIPLSSEHVTDNGIYLLETGEDCLIYVGNSVDPDIMRQLLGISSVEEIPTQYVLQQYDNALSKKLNEIVNEIRRQRCSYLRLKLCKKGEPSGATFFSYLVEDKTPSGLSYVEFLVHIHRQIQAKMS >KZM83457 pep chromosome:ASM162521v1:9:31967969:31970047:-1 gene:DCAR_031026 transcript:KZM83457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYRLHSRKDENEKNLVPKSVVVKGVLLQQLVQAIVAIILFKVTGDTAGDGTGEQSSPVVLARQFFTAMVVLDTWQYFMHRYMHHNKFLYRHVHSQHHRLVVPYAFGALYNHPLEGLILDTIGGALSFLISGMSPRASIFFFSFATIKTVDDHCGLLLPGNPFHIFFRNNSAYHDVHHQLYGTKYNFSQPFFVTWDKILGTYLPFSLQKRPDGGFEVKPEKVCKES >KZM82886 pep chromosome:ASM162521v1:9:25612973:25615685:-1 gene:DCAR_030455 transcript:KZM82886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIDLEAGVILSEGLRGTDTISGATLARTFLFCKGFDNAERTIKVEVGLCLSDTNAASAEKVKSPLDVKTEGEEAANVAESQVGKEKRKVSSAKKSSKPPRPPQGLILYASDHKLVKEIAELAILKKARKERKSSVGQMLSLEFLYSFPVMSLEALAMAGADCRNFTINLEEYEKHPPPYLLTRSKKRKYHTRSFHTLSNVDDERAKSRKRFVGFSSFAKFVKKIVGRISRFFSKNGSSTRVLPM >KZM82458 pep chromosome:ASM162521v1:9:19685641:19689039:-1 gene:DCAR_030027 transcript:KZM82458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQILMILPVFVFLMLYKPTKFLYSPQIISWTMYSDSAEYPAAVAAAVYAITIRDSSMDTQDRDDPASRILTTIRSRSEGTNVNIQENVVATVPSSGRSPTANKRFNSIAAVESENSEPKKTGVSNLWINKNQTFADKNVYNSGTGNLDSTFLRTEYQSAETDAWEKAEMAKITERYEVLNETILQWESKEKTAAKRKLDKKESELEKKRAKAMQHYRTKVAMIDQIAGGARTKAEENRRQEEVQKQSLLHFKSSLIEFYETSDSTLFGLESWKSSSDCCTWNRVVCSLHSHDITALRLTLLPPPDNDYVLDIDSTMLDPIQIGNQTKSRQFVINDNKF >KZM82976 pep chromosome:ASM162521v1:9:26752780:26753088:1 gene:DCAR_030545 transcript:KZM82976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCIANLASEKAVVIFSKSLCCMSHAITRLFQEQGVSPMVHELDQHPRGKEIEMALKRLGCTPAVPAVFIGGKFLGTANSVMTAHINGSLNKMLKDAGALWL >KZM81349 pep chromosome:ASM162521v1:9:242280:244733:1 gene:DCAR_028962 transcript:KZM81349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFPNSSNERDSVPINYLREPLLNSYSETPVIPGNMMMYMNYPSSSGSYSDTLAGNSQHQNTSIDLPSVGALHSNLSHQEFMSNLGVSRAGELDLSVWRDGRNEMPLMQTTGGTNNMNSRQNLQGQGLSLSLNPQLSSELQISSLHGRNPDLGGSSFLSPHTALSGDDIDRHGTFRDDETYQNRQPRNTEYILPDVLGSSSALLKADVSSFAMQNILRNVPNSKYLKAAQQLLDEVVNVHKALKQHERKKDRVGDCVEADRASIDGTSASPAAGQDSTSNGPNELSASEKHELQNKMAKLLSMLDEVNKRYKQYFHQMQIVVSSFDVISGSGAAKPYTSLALQTISRQFRCLRDAINGQIRVTQVSLREQDGSAVGISRLRYVDQQLRQQRALQQLGVMQQHTWRPQRGLPESSVSILRAWLFEHFLHPYPKDSEKIVLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEAGDAEMECYSSSDIAPNTTKDDTKTYEARGADLQNTATSSSNEKSMIRQFSESRPGHVPDVDMVRSGTQSSFQMLNHGETDMNPPRVSVDECNVYANTMAQPGSENKYLDAYHVPEFGGFGNGNGVSLTLGLQQREDGSMPVSVGADTNFVMMRREEDYVGFDSTDSENRQHRVGSSHLWQDFVA >KZM83598 pep chromosome:ASM162521v1:9:33195679:33204150:1 gene:DCAR_031167 transcript:KZM83598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGGNPELLLWKNSSGLILWRSGVWNGSNFNSFSIGQANFSYIRKKQAKYFTYNVPASLTGRFAMTKEGQINLWVRAENQKWRLHVSILAEDCNRFGFCGPNGVCSTLSVPTCSCFKGFKIRSPKQWKSANWSGGCIRIRPLQCSNTEFFYFSNVSMPANAKSMNSESRKVCQYACLGNCSCNAYAYSDGKCSLWYGDLLNTVVGGRISLGNLYVRLENHQSDMYRALGWKRLSYRFKIAHFVKTGLIDKALQLFDEMSQSDCRVFSVDYNRIIGVLVKHSRFELAEEYYAKMGPKGFSLNSFTYSRFISGLCKVGEFEVIQRLLEDMDKVGVVPDMWACNLYLNLLCSESLVDVALEVLRVMGEKGREPDVVSYTIVVSGLCRVKRIDDAVKLWYLMIGKGIVPDDKACRALVLGLCDCGKVDLAYELTLGDLKGRVEFSTGIYNVLICGFCQAGRIDKAQAIKTFMRRNGASRVSDAMVLYEEMQTKGVHPDELTFKLVIRGLIQEKKLSIACTVWDQMMEKGFTLDRDVSETLITAISDNASRILLRSSPQAILHIWKSILSHISNTLLELASACPLETYEHINQMYRPRETNPHFLSLQPVNQPQPPESIINQPLLPSQPPHQQHQPPLSQPNPGIDLPVQPLPGPSYEVPPQPQPRLQRQGKRRERRGAGLLSDPTRPQPGAGQMSDPNRPPFAAAPLLDPTLLQLGPDNHSPPHSQARRQRQPHDPELLVAASPKTPKMPMHSDHPAAHQQHQSSAQNIIPPRKTKPFTWCIAIFCAIFWVLFILIGLIILIVYLVNHPKSPKFDVAGASLNAAYLDTNNLFNADLTILTNFTNPNRKLSVNFKHIVISLYFDGVPIATEYIDHFHLGKAQYLLANVQMLTSQVRLSQVRSQKLAGQIGSGRISFDVKSFFRAKSKSGNVLKYSYSLHGLCSIVMTPPPGGVLVAKTCETKS >KZM81927 pep chromosome:ASM162521v1:9:8577607:8579976:-1 gene:DCAR_029540 transcript:KZM81927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSKKFAETFFPLRSVMILETMANIGLLYFLFLVGVEMDLDVIRRTGKKALTIAIAALSVTAFPVLARILAELKLLNTELGRIAMSSALVNDMCAWIMLAFAMALAENQSMASTSFWVILSGIAFVIFCILVVRPMVEWITQQTPEGENISEFHICLILTGVMVCGFITDSIGTHSVFGAFVFGLVIPNGPLGATLIEKLEDFVSGLLLPLFFAISGLKTEISAINGVGTWALLVFVIVLACAGKIAGTLIVALYYNMPFHDGVTLGLLMNSKGLVEMIVLNVGKDQKVLDEKSFAIMVLVTLAMTSIITPTITSIHKPSRKYGSYKRRTIQRTKLDSEFRILVCLHSPKNVPTIINLLETSNPTKRSPICAYVLLLVELTGRSSAMLIVHSTRKSGSQPLNRTQAQSDHIINAFENFEHHVQCVTVQPLTAISPYSTMHEDICTVAEDKRVAFVIIPFHKQQTVDGAMEVMNPSFRMINQNLLANAPCSVGILVDRGFTSSTKLSPLQISHHIAMLFFGGPDDREGLAYAWKMSDHPGTNITVMRFIPGDDSSETNSESCFDQDDPRVLTVLTDHDKEKQLDDDHINAFRAKCANDDSITYIEKIVNNGEETVAAIRSIDTPHDLFIVGRGQGVVSPLTAGLTDWSECPDLGAIGDLLASSDFAAKYSVLVIQQYVGLESNGDVVTGMPDSPNGCNEFGNYMIRSMKPSRHATMSNTP >KZM83278 pep chromosome:ASM162521v1:9:30352210:30354174:1 gene:DCAR_030847 transcript:KZM83278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISTGGGIHELRIKSFKVNQATSIPSRKLLTVAGTNDYGDGSIGGSDGNGIPGSDGSNGSDGNGIPGSDGSNGPDGNGIPGSDGSTGSDGNGIPGSDGSTVPGGNGIPGSDGSNGPDGNGIPGSDGSTGPGGNGIPGSDGSDGSGSGGWGGFGGPDGSVNRIGAACSKRSIDVFQGQTAPMPNGIPTYTVEVQNICVSGKCTIANIHLNCGWFSSARLINPSVFRRLTYNDCLVNNGQPLGPGQTITFSYANTYAYPMAVSSVACF >KZM81620 pep chromosome:ASM162521v1:9:3596489:3602613:-1 gene:DCAR_029233 transcript:KZM81620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNGDDGFFPTTGNDLKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRVLKGIHDENDRLYTPKIVRIGLNVHHSVAAVSMDHLVEQKLAGVDFQTGEKQKHGSAGRDKNAIRNSILDEAAIVFSTLSFSGSSLFSKLNRTFDVVIIDEAAQAIEAATLVPLANGCKQVFLVGDPLQLPATVISPVAEKFKYGMSLFKRFQEAGYPVQMLKTQYRMHPEIRSFPSKEFYKEALEDGPAVESETRRAWHIYSCFGPFCFFDLHEGKESQPSNSGSWENVDEAEFVVLMYHKLLARFVELKSSSQIAIISPYRSQVSLFRDKFKDTFGEDSKKFVDINTVDGFQGREKDVAIFSCVRASKDKGIGFVADSRRMNVGITRARSSVWVVGSASTLRKDEHWKNLIESAEKRNALYKVSKPYADFFSDANIASMEIKKTVPELQEVPNDDIGFDMAIDVNVDDGPADVQDWGGGDAEGFDGAGDDD >KZM82643 pep chromosome:ASM162521v1:9:22107191:22111293:1 gene:DCAR_030212 transcript:KZM82643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAYVLRMNAGNREGSYAKNCFLQKTVIFKARDVLEDAIKDYSSHGFPECFKLADLGCSSGPNALLIVANILDYVRALCQKKNLKAPDEYQVFLNDLPNNDFNSLFEMIPSFHSKVLENESGPEKSANCYISGVPGSFYTRLFPTKSLHFVHSSYSVHWLSQVPKTLLDSNKGNIFMAKESPSSVLEAYINQFKSDFTTFLQMRSQEMVIHGRMVLTLLGRSIADPTSKDCRYIYGLVAKSLHDLLAEGLLREEDINTFNLPIYTPCTSELKAIIKSEGSFSLDRLETFEVNWDMQDENEKLKPEYSSGEFVAKTIRAVLEPLLASHFGNTLMDKLFEQYAKHVSSRKCSYAKNSTLQKTVLLKAQEVLEETIKDYGSRGFPGCFKIADLGCSSGPNALLLVANIVDSVHAFCQQKHLKEPNEYQVFLNDLPSNDFNAVFKTTPELCSKLENKISPEKSINCFICGVPGSFYKRLFPGNSLHFVHSSYSLHWLSQVPEEVLNTNKGNIYMANTSPGSVFEAYSNQFRRDFTAFLHMRSKEIVSDGRMVLTLIGRSSADPTSNNDCCYVFGLLAKSLQDMVAEGLIRGEDISSFNIPIYNPCTEELEAVIESEGSFSLDKSETFEVKWDTRDKYEILKSGEASGKFIAKTMRAVTEPLLASHFGNTMMNKLFERYAMHVTEHLSREEPKYFNIVVSLTKKCKSSRNHLDWD >KZM83551 pep chromosome:ASM162521v1:9:32906714:32906902:1 gene:DCAR_031120 transcript:KZM83551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTRDLPQSSPNLLSLPTPSYFLPHHHHRQRLCRLHTQFTQCLHNTNHTLRTPLSTNSLIPN >KZM81834 pep chromosome:ASM162521v1:9:6666985:6670898:-1 gene:DCAR_029447 transcript:KZM81834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNSYMLYIVLFVLPYSALSQGNGIISVGSSITDADKSISWYSPSGDFAFGFLKVLEKDQFLLSIWYAKIPDKTTVWYVNDGTTVPAGSKVQLTDDRGLVLTDTQGKELWKSEPYSGIASNGVFKDTGNFVIVGSDSTILWDTFSNPTDTLLPTQTLEVYGTLYSHLTENNFSRGRFQLRLLNDGNLVLNTRDVISNNPYDTYYSSDTADTIPSNRGYQVKFNETGYIYILGSNGAIVKIITNRAVPSTGYYHRATLNIDGVLVQYYHPKVFSGASKWTTVLQIPDNICLAILGNLGSGACGFNNVCSLDDAGRAVCECPESYSLLDPNDKRGSCKPNYTQNCDHSGSSEDLYDFVEVIDTDWPLSDYEQLKPVTELECKRQCLDDCFCAVAIYLDDQGSCWKKKLPLSNGRKDKSVNRKGFLKFNKSYIDALVPVVQDLKDSYDSLDAVWQQDTEAFLHLMILDGCFMLEILRMSDRINGYQHQYSWKDIGAEYALNDPIFSNHGFLYIMPYIKRDMLMLENQLPMLLLKTLLAVQNEGTMQKEFLNYHILKFYTPNLLVRGMGDCLHVLDVYRRNLILGDGDGIVEDPIPCNSDIKDEYEIMWSATELHNAGIQLKKSKSSSLKDIRFTSSGVLRIPRIIIDDTTESMFLNLIAFERLHVGAGNEVTSYIFLMGNIIDNTRDVSLLTLNGIIQNAIGGNKAAAKLFNSLCKDITLDPNSNLNLVQQCVHHYCMKPWNKWRATLMHTYFSNPWAILSVLAAIFLRQSTLCCNCISLSLLALLPILESFSC >KZM82841 pep chromosome:ASM162521v1:9:25135319:25135738:-1 gene:DCAR_030410 transcript:KZM82841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRRGSILFTSSVASVVQGDVPHAYTASKHAVLGLTKSLCVEMGRYGVRVNCLSPFGVITPMLMKGVGITDKGKLEEFIEGISNLKGTVVEAEDVAAAALYLGSDEAKYVSGLNLVVDGGYSTTNIALREALKKISS >KZM81963 pep chromosome:ASM162521v1:9:9214471:9242875:1 gene:DCAR_029576 transcript:KZM81963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTKQLTECWIEAAIVRSGGLQQVSWFQFLPHESGLKSLPDRSFKVDQKDAATLVVLSSHQQLQKEGFLSAWTNCFVGPWDPSQGIHNPDEKLKLWLFLPGRHSTVAEKAQAAVSRLRVLASGLWLAPGDSEEVAAALSQALRNCIERALAGLSYVRFGDVFSRYHPFSQSEELFRRGQLVVEFVFAASEEAVFVHVVVTAKHIRTITSGDMETISERSSSLSHNGLPVIVSPHGMHGKLTGCCPGDLVQQVYTSAGKLKASNGVLGLLDPVSQGSSCKLRGQSCYLEVTVGCSSAENEKIVQANLDKNISGQNISESSAVTKDAQKGSARHMPVCEKTFIYPAEVVLVPVVQTSFAKSSLKSDSDYKMNTGAGDLEADADSLTCGQSGLSSADQVNNDGRRLANINICDDKILMQGNKRTRAGMTDSFSQAGALSNVGMQGGSIEVNASVSSGVAKEHLGWDWDDDDRGVVMDIQSLLSEFGDFGDFFENDNLPFGEPPGTTDSQDIVVSTPDGGDIASNSPYTGMLDVPEQMSLPVEFSSFESFNPPPLVIEDSVSKSQLLSNDAQSSDQMDYTPASSLGEFNHLIKAEALMTFAPEYGAVETLKSEISSSIFGNPYIPKSRKANSETSTSNNYVYSATPPSPFLAGSDEKKAVMSLNVKVGSGRYDAIVPSYPKNYYTHVESVREQNEKRLLDCSSSISSREYVPPSTFSGFKSTNSIIPVENKVTESTSVTDNYLLSTKTVLANEVECIMFQAYMCKMRHTLLSSSSFQSVGYNRQSGSSVLNHLHNDPSILLDNISGKYEVKKKESIPVRIAGDIDRGILESPLNAVGVWRSVGVSKGSKPLATSMEVSADLPHNSFNEESLLLYGQRQPLQELLNAMPLLVQQATSFVDVALDADCNDGPYGWLALQEQWRRGFSCGPSMVHAGCGGVLASSHSLDIAGVELVDPLAANVQASSAITLIQSDIKAALKSAFGNSDGPLLVTDWCCGRSQSGDAGVLGDAFSAESSASVSECRDSASNTTLSGVEPVSPLQSAGASSFKDGSKVDETSERRSNQDTSISESEQQLGSRLGPTLLVLPFPAILVGYQDDWLKTAANSLQVWEKAPLEPYAMQKHMAYCVVCPDIDPLATATADFFQQLGTVYETCKLGTHSPQSLGNHMEVDSRKLSSSGFVLLDCPQSMKIESNTSSLVGSISDYFLSLSSAWDLTKYLKSLSNALKSLSYSACLTSNAKEGINGPCMVIYVVCPFPEPISVLKTIIEASAAIGSVVLSADKERRNVIHNHVGKALSCLTAIDETSIANVVTLSGFSIPKLVLQVVTVDAIFRVTTPTLNELVLLKEIAFTVYNKARRVSRGSTADAIPSSTSSGRSNSALMQVTSVPGMWKDCDGSRMTGPSSQREAELDATLRAGNWDSSWQMPRSGGLGFDSNVIGDLSLQDEVRYMFEPLFILAEPGSPERGVPALLSGNLAYESSKMLTDDGTSGDTGPSSQFNGPTTESYTSGNQKPLPSLHCCYGWTEDWRWLVCIWTDSKGELLDSYIFPFGGISSRQDTKGLQLLFVQILQQGCKILQACSPDTGIAKPRDFVITRIGCFFELECQEWQKALYSIGGSEVRKWPVQLRRSTPDGIPPNSNGNTMQQQELSMMQDRTMPSSPSPLYSSHSKASSYMKGSMGQPSARKQLMGGHTAVDNSRGLLQWVQSISFVSASVNHSLHFVLQADSASSGATQGSSVIGQSGYLEGFTPVKSLGSTSSSYILVPSPSMRFLSPTPLQLPTCLTSESPPLAHLLHSKGSAIPLSTGFVVSKAVPSMRKDTPSSTKEEWPSVLSVNLVDYYGGNNISQEKLIKGVAKTGGRGLSLENREVEREAHLILETVAAELHALSWMTVSPAYLERRTALPFHCDMVLRLQRLLHFADKEVSRLPEKAEG >KZM82304 pep chromosome:ASM162521v1:9:17006898:17007589:-1 gene:DCAR_029802 transcript:KZM82304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKQRSPPATISRPLQNDAVEQHAASSESDISSVKRTGTPDSSQKSGSKPDLKRSRKRGSEKDDKGARVSVNQLKKKIKTLNRKYKSLKDSDAVFAKPMEEELFRLSYKVWGQGNDNQGNDDNKDKYMSIEINEDGVIEDSRKNKGTSIRINEDGGKEKDTSIGINEDGVNEDGDEEENEGEGLGIKKLYPNLS >KZM83601 pep chromosome:ASM162521v1:9:33257422:33260036:-1 gene:DCAR_031170 transcript:KZM83601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSGFVNFSGMLDPGAQEFVPPSLFPTPPPVFYSYPYPTPNEPAGLSIQYPAGSFNDPAGLINEPAGLSYSYTNTNTIVNEHYAQVVFPQYVRVDPPPLPVSLPPPSNTPSRALLLSSVPTEVSESTVRRDLEVFGDLRAVEMERVGEGIVAVHFYDIRHAETALVEIQQQHMQQQLRLRQHFEAVLVTQDEAALCRFPAPVPPPAVGLVSGRAVWAQFMFPAGGRYPEAYNQGSLVIFNVDYQVPTCYLKEIFEKFGCVKEVRETPMKQNQRFVEFYDIRDAAKACVGMNGKEIYGRHLIIEFSRPGGHSRRFTKSNHNKMNYVSGHQQRSPRLVAPHQALCAERSPAFGSRLQSQLSVRSTKNPNGSRKTSPGQGAEGAEIPKKNLKKIGDPGTNNLKQVNDKKVADCNSKGWKGIGSVKNGKEYDPRFLINEDSVMLPHCSDPRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIADSDAQPLSSYDFVYLPIDFVNKCNVGYGFVNMTSPEATLRLYKSFHLQNWEVFNSRKICQVTYARLQGVEALKEHFKSSKFPCDAEEYMPVVFSPPRDGHTLTSPVPIIGRGAVAESNNTDSNSSVTTTKDNEEECYDGEHEGHNVNDINGDCDNDLISRGCDSGGAETALEAMRLFDENL >KZM83437 pep chromosome:ASM162521v1:9:31831966:31832967:-1 gene:DCAR_031006 transcript:KZM83437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNADAENTKPAAINPAIDPFSYLGMTFNVDGSITREHGLLGTPTTFDPSLPVLTEDVIFNESNNTGARLYINSKALEESSSSSARLPVIVYFHGGGFIIGSPASPVYHDFCSLMAFEVPVLVLSVDYRLGPEHRLPAAYEDCTEALQWLKTAPHEWLSKFSDLSNCYIMGASAGGNIAYHAPAFLRDVDVSPLKIRGMILIQPFFGGGKRSQSELRLVNDKVLPLNVNDIMWELALPDGADRGHEYCDLTAESELGSCDWIRKLGWRVMVQGCDGDPLLDRQIEMVKLMEQKGIEVVSKFDEGGCHAIEVLDPSNAKTLCLAIKDFISPTA >KZM82488 pep chromosome:ASM162521v1:9:20020566:20020929:-1 gene:DCAR_030057 transcript:KZM82488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQLTLLAPQMDGRQEMKAKMETVWNILVKIENCLQPASTIMLERQSTTLFFEDNDLQLPLDALMAGMQLE >KZM82506 pep chromosome:ASM162521v1:9:20456780:20461600:-1 gene:DCAR_030075 transcript:KZM82506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHKATVSNNSDMYCHPSFYLSGRNETTRFADLGELDESTAFHQEDAVVLSPSPVYYELKQPNVTILSNNNLHFGGHTTNYSSTEMVGSRGVVDTGQQMMMHKEAATMVGLGGGNALGNGHFENWGDSGLADNSQQTDTSTDVDTDDKNQYIRVPSGAVTVVDSVDQSSARPGDQKTLRRLAQNREAARKSRLRKKAYVQQLETSRLRLTQLEDELKRARQQGLYMSAGICGDQTHPTGLNVAMAFDMDYARWLEEHQRLVNELRSVMNSHLGDAELRVLVDGIMSHYDEIFRLKGVAAKSDVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNQLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVETLSSNSLGPTGSGNVADYMGHMAIAMGKLSTLENFLYQADLLRQQTLQQLHRILTTRQAARALLVISDYMSRLRALSSLWLARPKN >KZM82159 pep chromosome:ASM162521v1:9:12582919:12603857:-1 gene:DCAR_029728 transcript:KZM82159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNYPTQSSVASFTHVTSKSDDQIDASNPQTQKQGHPHFSYLCAFRHACFINNSLIVAHHVLHHIPVSLLHTIARGLGVTNLQHVKMLIRTEVLGLHKVHGPEPFISVMESISRSKVKNTRCVNLLNRHTPAKTASQGSQQSDITVLVPELDRIGVWRPAWYSVEASNGPRNLLHAFNKVGEKVLWKIIKVQVKARAPMLHQHQAPTPDRAKTIVRLSNTPTMLRRMKLSPSPRIQKTVIMHMLYTCTISVDTSINSHWIDIWYSMNVTIWGDLALTAQEEKIKAGNTTKIGILASTKLKIFRNSVQINTVPSSKIYMNLDIDPVIAMCQRYRVVILGEDCMENQSADVFPLEIKAITGKELKLKIIITEDNVKVNSRLYFATDAWEGAASSSTICSTSGTSSTTTANGDMDVCVSTSSRDMYNSLIT >KZM82184 pep chromosome:ASM162521v1:9:13083159:13093686:-1 gene:DCAR_029753 transcript:KZM82184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRRRVSKKGNSKSDLPSYSEISNENIRLQERGVVDWTRLPDDSVIQLFSCLNYRDRATLASTCRTWRVLGNSPCLWDTLDLRTHKCDATTATLLADRCSNLRKLRFRGAESADALINLKARGLREINGDYCRKITDATLSIIAARHEVLECLQLGPDFCERISSDAIKAIAVCCPKLQKLRLSGIREVDGDAINALAKNCQNLTDIGLIDCLNIDVKSLGNIVSVRFLSVAGTTNIEWPTASEHWSKLPKLSGVDVSRTDITPTSVFKLFSTSQSLRVMCALNCPDLEDDANFVAHKSNKGKLLLALFTDIFKSVASLFADTTEKERNIFSEWRDSNNKDRNLSEVMTWLEWVLSHSLLRIAESNPQGLDNFWLTQGSALLLDLTQSLQEDVQERAAAGLATFVVIDDENASIDGGRAEAVMRDGGISLLLNLARSWREGLQSEATKAIANLSVNANVAKAVAEDGGINILTNLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGAVQALVDLIFKWSVGGDGVLERAAGALANLAADDKCSMVVAAVGGVHALVKLACSCEYEGVQEQAARALGNLAAHGDSNGNNAAVGQEAGALQALVQLTRSPHDGVRQEAAGALWNLSFDDRNREAIAATGGVEALVSLARSCSNASPGLQERAAGALWGLSVSETNSIAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNPGNAFRIVEDGGVPALVNLCSSSVSKMARFMAALALSYMFDGRMDEFALVGTSTESSSKSVNLEGARRMALKNIEAFILTFTDPQAFTAAAASSAPAALTQVTELSRIHEAGHLRCSGAEIGRFVIMLRNPSSVLKACAAFALLQFTIPGGRHALHHVSLLQNTAAPRVLRAAAAAANAPLEAKIFARIVLRNLEHHQTETSI >KZM82394 pep chromosome:ASM162521v1:9:18266075:18268902:-1 gene:DCAR_029963 transcript:KZM82394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLQKNSTPVSKTQNLVDERNALVEKWQRILANADAAVEASFLSVSKSRESFGILSMPDDFVHSFGAMLPIRIGLSCRNIMWVVKYDLQQREIIGLSKFMRYYGMKIFNMAQLDYYGEGLFVVSLFKDTALELNYPVGMPKDLNMSKEWEEQNRDDYVVRAGTFQLAACETSIFFNCCTNKDGYASMLILESDMEMDRGLMMMPFLVGELYGWKLRQIKKNSMGGIEHRFYYLSFPGYMYLLEDLLRDFRVKRNDTLIFKMNESDTLLCRVYDCNGMEIGYDYRPGKQATNRSPEWIWSFEQSTDRGQIELMKYAVDEDNMQIDESDNIKDFSTHLTEGNVDKKTHGLFIPASIKPQGGKWKRSQSIRLRTDKGVWIVGITVAGKKAIFCAGWNKFVRDNIYVAGQRLNFRLVDRSEPMEFEITKI >KZM82415 pep chromosome:ASM162521v1:9:18592347:18593489:-1 gene:DCAR_029984 transcript:KZM82415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIQPQVLYGSSYGTEPSSPNDSALDTMQYYSAPSSPIRRIGSALFGYESDMQDAEEEDTNSNLFEFAKFQGFCNDAEFEYEQVKDEPIKRVDSLPTMAFADELFFNGQVKPLKLPPRLQNRSATTCPSPTMSPTSVINISFTRKNIWNDDFDPFMVALDKVREDNRGRKSVHRRSQSHSPFSSTSCQWPVDTSHLSKDRHQQPEKVGPLEHGLAKPKGSAYARWARHGSMEDKKLTLLLDTSPKAPSKLKGLSFRKKVRPVKIDHEEIIKPALKECKNNEESKENSSGDRNKMEKVKGILKRYASLRKDKSEKKAPDQDATVSKPGHLKNLSFKFRKNKHGTSQQKVVSKESKMGIIVPFKPTKLTLCLGYGAASPRA >KZM82918 pep chromosome:ASM162521v1:9:25862046:25865864:-1 gene:DCAR_030487 transcript:KZM82918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRRLLVLEALWRGRDLLSNPLSRRKLTGDGSSQSRNDGGGNLASSKGNRLGSPGDKEDSSQKGNSADALQQQLDKVYQHWFLLENDYVSAIRKGNLSLVPGALARVDYLVGVVPKDLLSECLEGDEESLWGLHRFLYNNGWWERARLLKISLDEGPECPKGSDPLFQFLRAKVHLIHPNTRQLALEGDSEGIRMALNQIHYGSLKERAPRKGVAKKPQINPQSKPKKLSISSQLEVAKNFILSFEQLIEPSVFKDALQGNEKALSLALGQIHHKTLPAGPKSFKEAILSPSAATPLRAETPTKVSTPSGPASIFFTGFDDMVSVPSLWQWFKRARTIKDIILPRKRDKFGNRYGFVITRNVEEAKKIIGSQNGKNLGKYVIYLAMAKKPNNFANRDHTKSSTNTYPKPLKVAEAPQSKAFNCKSEGGNGPAIEPSSGDSIPGVDFHVFNTEMDCGPETCILQSTDTLNDSASEDHGTSVNANSDTRDDNGSDTGSDNHEDSTNYEDGAMQENADNSEGEDGSCINPATPRTEFQANLGSMDSETKQPIASPDMEILTSNWIPRDKDSSPSLLRSVSEDSKSMVENNGDPELSDSFTISNGVLRELQNLKVQVKRGRPRKYKRPQLNKHFKLPRRKKTRGEGLQQISHFFLNSEHDEAEAIYETGVLMGLLPLNSKASSLELIKENLK >KZM83097 pep chromosome:ASM162521v1:9:28684319:28685186:-1 gene:DCAR_030666 transcript:KZM83097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSADLILVVVEASCYSKFYSADGSNDDSTSDHEICQVLDSRRVSCSVVEPDYCWIDDNRVVQVNVQSERDCRICQLSVEMDAAHQENGIAIELGCSCKDDLAAAHKHCAEAWFKIKGNK >KZM82710 pep chromosome:ASM162521v1:9:22823681:22826082:-1 gene:DCAR_030279 transcript:KZM82710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNTKTYEFITCLCVLTYSKFGCGSITGGRSNLSCIENEKQALLLIKKSFIDDWKLLSSWVGDDCCSWYGIECDNLTGQVTKLYHGASGLKGSISASIGNLTALTVVDLNYNFLEGIIPESIGSLKSLTILDLSVNNLQGFIPQSIGSLTSLTILDLSQNKIGGLIPESIGALTSVTELHMSSNNLRGPIPQSIRNLTSLSLFHLSFNEFNSSIPPEIGNLKELRFLSLSTNKFSGLLTEAVCNLSKLEVLSVVENQLSGSIPVCIGELSKLVSLGLSLNSWEGFVSERHFAELAMLTELKLSSKSGLVMNVSDKWVPPFQLEDIWMDSLKLGPKFPRWLCTQRNIKELVMTNTSISDKLLALPNSVMYLDLSNNHLFGKFPAYLCNFVSNLGESLKEIHLPDNNLSGELPQCLGNLTFLEVLDVMNNNLSGNIPPLGSLGLLRNLNLHNNKFQGRLPLSFENLTGLVGLDVGKNSLSDVLPSWKSNFTSRLRYLILRSNNFFGTIPTELCHHVSIQILNFAGNHITGNIPHCLGNLTSIITSNNYSDDHYPYTDIYDPIMDDAKGSELAYTSTLGLLFSIDLSNNNITGGIPEELMDLRGLLSLNLAGNRLSGRIPDRIGQLDKLEFLDLSRNELSGLIPQNLSNLNFLSRLNLSYNDFSGRIPTGNQLQTLNDPSIYVGNSQLCGGPILKPCPSDTDSHDFQDNDEADSYSDDEHMWFYAGIGPCLLVGFLGFCACLHFIKSWRYSYFHYVEQVFDRIVVAVALLQRKLHD >KZM82807 pep chromosome:ASM162521v1:9:24698382:24699692:1 gene:DCAR_030376 transcript:KZM82807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYQQDFAMANLWHFVVAQYYAAPWQAQTMAHQFFNSMDRDGNGSVDYSEFKNFLVSEGFEYYAGRNLFSKLDGDRNRGLDFWEIMTLYYILKCGRPFCFRCDNFLWDAYCVYNECRGGPCYFCSNCYQCHLQEHTFFVQPSSNYDMVAVSRGQSEKDKHSSLRAAYRAFELALSVFNARLRW >KZM82983 pep chromosome:ASM162521v1:9:26892781:26893388:1 gene:DCAR_030552 transcript:KZM82983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLNKAFELFRGLRKKDVVVYTAMIMGCGINGRDSDAIELFEEMIHSKLSPNLVTFTGLLTAFGHVGLVEEGYHCFNSMKSYGLVPSAVQDSLMVNLLGQAGKEIAAKHCFELEPDTTSYRSLLANIYFSAGRWDDAKRLQTAIHDTAMEKGMAKISGSSWMVHS >KZM83552 pep chromosome:ASM162521v1:9:32949914:32953205:1 gene:DCAR_031121 transcript:KZM83552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENWDITFVDPCSWRMITCTPDGYVSVLGLPSQNLSGTLSPGIGNLTNLQYVLMQNNAISGSIPAAIGKLEKLQTLDLSSNKLHGEIPSSFGDLKNLNYLRLNNNSLTGKIPDSLSGLGALTLVDMSFNNFSAPVPKLPARTFRLGITGNPLICPEGAENNCSVIYQKLPSFPPDDQIESGRKDHHHIAIAMGTSFGAALLIIIIIGSLVWWRYRHNKQIFFDVHDQYDPDVCLGHLRRYTFKELRTATDHFNSKNILGRGGFGIVYKGCLNDGTTVAVKRLKEYNTLGGEIQFQTEVELISLALHRNLLRLLGFCTTESERILVYPYMVNGSVASRLRDHVLGRPILDWSRRKQIALGTARGLFYLHEHCDPGIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVSTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGQKALDFGRAASQKVVMLDWVKKLRQDGKLDLIVDKELKKDYDKIELEEIVQVALLCTQFNPSNRPKMSEVLRMLEGDGLAEKWEASQRDETPSCRTSETTPERYSDFIEESSLVVEAMELSGPR >KZM82125 pep chromosome:ASM162521v1:9:11810699:11817678:1 gene:DCAR_031832 transcript:KZM82125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYGDPQQQQQQQLAPPPPPPQHHQFQQQQHQHMGEFPRGPPQHHQQHPPQMMMRQPSASSTTLGGIPQDYHHQNQNQPPHSNYDAHADSFATKRMRKIGQRRAVDYTSTVVRYMQTRMWQRDSRDRTVLQPTPAAAIDMLPTVAYADNPSTSFAAKFVHTSLNKNRCSINRVVWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSYNDNWMVTGDDGGSIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLSGHGWDVKSVDWHPTKSLLVSDFLYCSHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHQKDVTG >KZM82877 pep chromosome:ASM162521v1:9:25537359:25538623:1 gene:DCAR_030446 transcript:KZM82877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKRERNSKEVAEMITNSCDDQEEETMIEDLRRGTWTAEEDMALMNYISHHGEGRWNSLAHSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLVILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFRDTVRYLWMPRLVERIQAASGDSTGFAKATEATGYNNSNDNINNLSVPSQVIVMPETDKMMCDNLESMVQSETNNPSSFTPENISTTASLATDSNTNLSLDLINPIQNSYVSDELGFTDTLMSPVGYYNQGLGYQFIEQKTSNPWMDSGEILDNFWSTAADIWILN >KZM82544 pep chromosome:ASM162521v1:9:20912082:20920523:-1 gene:DCAR_030113 transcript:KZM82544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLSMIELLVRLSFIFLLVPCLSCPAYHKQSLLHFKSSLLTSYETSNSTSFGLESWDSISDCCKWTRVVCSSHARHITALHLGDLHMHDEFRPVDIRILDPIYGIRSLRFLNISYTGIEGEISGEGLANLTKLIYLDMSYETSNSTSFGLESWDSIFDCCKWSRVVCSSHSRDITALHLGDLHMHDESRPVDIRILDPIYGIRSLRFLNISHTGIQGEISGEGLANLTKLTYLDMSQNSLNGSIPAQLFQLRFLQSLDLSDNSLKDGLSREIGKLGNLRSLKLDRNSIDGNIPVRIGNLTRLQQFSVSRNKLLGPIPDSILNLKGLEKLDFHWINSTINTELEQIGNPSAGR >KZM83035 pep chromosome:ASM162521v1:9:28144165:28144908:1 gene:DCAR_030604 transcript:KZM83035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPNMGVVGTSAEMPYGVAPYQANQMMGTSTPAPAGLIPSTQTPVPRGPLPIGGPAEGVPYYNYMPPQHAAQVGSPVMYMGQQPRPYMAPQMWPQQQQPPEDSDQ >KZM81752 pep chromosome:ASM162521v1:9:5424140:5428893:1 gene:DCAR_029365 transcript:KZM81752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWDEIMSLPVQNPPILEFSSSDLVWSKVEGWRDNIDRVARIPFARVDNFLTGEASRKDCPTKFHREARRVRPPETAYKPKVDGVLEYILYWCSFGPDDHRKGGIVRPSRKSYVTKKKSAGRPNTKRGCTCHFIVKRLMAEPSVALIIYNQDKHIDKKGSPCHGPQDKKAAGTRAMYAPCISEDLRLRVLSLLHVGVSVETIMQRHNECVEKQGGPSNRDDLLNHRFVRRQERSIRRSTYELDVDDAVSMSLWVESHQNQVFFYEDFSVSSPFTLGIQTEWQLQQMIRFGNCRLLASDSRFGTHKLKYPVHSLVVFNSDNKAIPVAWIIAPKFASTDAHRWMRALYNRVCTKDPTWRLAGFIVDDPLIDVSAIREVFQCSVLICLWRVRHAWHKNLMKKCPEIEMRAEISRLLSQSVQKICRGSGTVGLFEDVMEDFVDASEFVDYFKAVWYPRIGLWTTLLKTLPLASHESCAAMEFYHNQLKLRLYNEKEPNVYSRADWLIDKLNTKVHSYFWLDEYSEKDDFARYRKDEWLSGLTAWRKSLNIPDSCVLLDGKIAKVFDQEDRDKFHTVWNPGSEFGICDCQLADLGNLCEHVLKVIRVLYDRGHTMSSASMSKYSEALLNLLRCPHDSLIRDHAVSLAVWVQKQLAAQIDPENNQIMLDLQAAAGDVAHNTFEINHAHENIVSNMETDLIGSDVSNSIINDSGGDLNGQVADGSVAIDPFAADPDRYRIIGKKLANENILPNNENGLSDCIADEFSEDLMRMADDIDICDPESGRVISHAEMEVDPTSNCSSASGLITSNGIASEDIFINEGNRAVGDKGPDVTKNFPSADVILENLMAYEKDLLDKYQEGVMNVVSQCNIISPTELESPARGAAGHQTGFCNDQAEPSVIHQIGNEKPEANLTEKSHGSIMDVDSRFNTNSGAALNSSYQHKVLH >KZM82499 pep chromosome:ASM162521v1:9:20297743:20298321:1 gene:DCAR_030068 transcript:KZM82499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFPSEFLGTQTLAQECISHVDNNCSVIQSNMSPLQDFDNFLAKYMTLQNAIPGPDFNSQSSCVSNNSTSDEGDELHLSIINERKQRRMISNRESARRSRMRKQKHLDELLSQVYRLRTEKNDLIDKLNNVSESHDKVLLENARLKEEASNLRRMVTDIQLASTYNGLRDLEELPCTTPHLIANTSPNCKTV >KZM81519 pep chromosome:ASM162521v1:9:2088878:2090789:1 gene:DCAR_029132 transcript:KZM81519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNHSRNFHNFIELVERGSGRIRETLDYFVGCLGPWFYRNYEEIRIWCEKNAAVCLAFIFQLAIVVINSFLLGDEVVVPRWKASWVAGMSIFAIIFLILKIFVEIKRFKLTRWNNKEIWVFITTHVLQFLIPLLYLLLAYAYLTGLDTSSHHMESNWKVIASGIKACINVIGSLLSLIGAIYYWTPDDHQSIAFPANVGNSTVVDEDVLQAVVPVDADNQLISYAKVEVLVQRDEENKPVRVESPHVAYTDSEVVKMPMDRPFEAANSEVLVQRNVNRVVAHVSQAAVQSNVKNQPTADANLDMVIQGSVENRPGADVLENQPCTVRDVENQPSTVGSVENQPCTVGSVENQPSRIVNLEVAVKRDRADRHFENASEVVEQRNVDNEAVAYANLLAVVHIHVENPPVAYANSEVVMKDKPGGSV >KZM82003 pep chromosome:ASM162521v1:9:9777728:9779473:1 gene:DCAR_029616 transcript:KZM82003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRIHAFVPTKCAEEIYSQITVGRVFSIKQFMVQKYSHTEKFRVVRNESQLIFSKDTIIQEQADDGVTIPQEAFDFYDHSQLIELSNQTTYLAENTSSDVVGIIKDYDQIRDLKNKHGQDQKKTKLVITDGRSNVNVTFWDKFGESFDKSMKNPLDKPVIIILSGCKVGKWNGEVDLSNSYATKVYLNYKHHSVVHLWKLLANEEFAKKALGKNNVKTIQKINVDELKKLGKNAIESCNRYVPYPDQKFRFHVIAEDMTGKVQVVLGDMEARTIIGKRCLHLADECLTESNIQMFTEEGLPKTLLSIVDKDYSLVIQVREMNVVNNFNVYWANNICKGFVGLPGATNQTVNAKDAQTSQPTTSPYNAGGLSDIDLASN >KZM82156 pep chromosome:ASM162521v1:9:12545774:12547063:-1 gene:DCAR_029725 transcript:KZM82156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQKFASSFMIKLLVFFIAIISTKAELETTENLAKDSLKDSPGLISLKEVRSIKVPKGDPLKHVFSRPGSFKEVKTTEAFSNPFKNTINPAPEVKTTDMLENPFKHTINPEPLVKTTKALSNPFKHALKSETGVKSTEAISNPFKHAMKPKPVVKNIEALNDPFKHAIKPEPMVKTTEALSNPFKHANKLEPEVKTVEALGNPFKHAIKPETVAKTTEAVSNPFKHAINPEPVVKTTEILSNPLKQANKPEHEMKTTETLHNPFKHTIFPEHEVKITEALSNHFTHTIKPEPVVKTTEILSDPFKHAINPKPVVKATEALSNPFRNAIKPESEVKITEGLGNPFKHTIKPESEVKVNEALSNPFRHNIRLGTLKEVETTEALLGYPSKPKVSLPIKEVETTEALVSHHVRTNALRLGHLKEVESTEA >KZM82618 pep chromosome:ASM162521v1:9:21889635:21893353:1 gene:DCAR_030187 transcript:KZM82618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRLLSTLLRHSSRRSTFSPKPHASRLSPRASRPSPAGFLLNRVANYSTSAAAPATTVSASPTGANAGKITDEFTGAGAIGQVCQVIGAVVDVRFDDGLPPILTALEVMDNSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDHRGDIKTDHSLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKFDDLSEQSFYMVGGIEEVMAKAEKIAKENAASAN >KZM83237 pep chromosome:ASM162521v1:9:29876365:29876781:-1 gene:DCAR_030806 transcript:KZM83237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNAVLLSFSVIQGTSRQRTLKQKSGVISIRCEQGTKEAGGLDIWLGRFAMIGFAVAITVEVSTGKGLLENFGLTSPLPTVALGVTALIGVLTAIFIFQSSTDK >KZM82398 pep chromosome:ASM162521v1:9:18328882:18332711:-1 gene:DCAR_029967 transcript:KZM82398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVSRGGAGMDVEQGVARNEQGGAYLVWEDLNVVVPNFGSNGESKRLLQGLDGYAEPGKIMAIMGPSGSGKSTLLDTLAGRLSNNVVMTGQIYVNGDKRRRDYGVVAYVTQEDVLLGTLTVRETITYSAHLRLPPTMTGAEVNGIIEGTIMELGLEDCADTLIGNWQLRGVSGGEKKRVSIALEILVRPRILFLDEPTSGLDSASAFFVVQALKSVARDGRTVISSIHQPSSEVFALFDDLFLLSGGEVCYFGEAKMAVKFFEDSGYPCPRKRNPSDHFLRCINSDFDVVTATLKGSQRINEREDVLDPMMNQATADIKALLVKNYKSSEYRAKTKAKIYEIKNYIFLLAAVSYVAHFVQQGIEIESFSGSQAGWWKQLVTLTKRSFVNMSRDVGYYWSRIVIYIVVSLCVGTIYYDVGTGYTAILARGACGGFITGFMTFMSIGGFPSFIEEMKVFYKERLNGYYGVSVFILSNFFSAFPYLVAVSFVTGTISYYMVKFGHGLSHYAYFCLNLFGCIAVVESCMMIIASLVPNFLMGIITGAGVLGIMMMTSGFFRLLPDLPKVVWRYPISYISYGSWSLQGSYKNDMLGLMFDPLVPGDPQISGEDVITKMYGLTLDHSKWWDLVAVYTILVAYRVIFFIILKANERAAPYLRSFYAKRSLYRLNQRPSFKRKPSAISRRFSNNLHSLSSQEGLNSPMA >KZM81623 pep chromosome:ASM162521v1:9:3616815:3618374:-1 gene:DCAR_029236 transcript:KZM81623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITMEAWLIIAFTVSLALLLKPLLRLVTTCRLNLPPGPVSIPILGNLLWLRHSVSDVGPILAKLGHKYGPIITLKFGSRTVIFISSHSLAHSSLVENGAIFSDRPRPPPTKRFLSCNQHNINTAGYGPTWRLFRRNLNSEILHPSRVKAFSHAREWVLGVLVQRLYKSTDNVGVKVVDEFQFAMFGLLVLMCFGDKLEEKEIKEVERVQRGIFSNLERVRVLDMWPVLGKTLFYRRWLELKRLRKNQESVLIPLIKCRLEKLQPGLDQDEVVTAYVDTLLKLKLPEEGDRKLSFPEIVSLCSEFLTGGTDTTTTALQWIMANLVKHPEIQGKVYDEIMSVKGNCPGLGGEGKMVVVEEEDLQQMPYLKAVVLESLRAHPPAHFVLAHSVTQEIELDGYVVPTDARINYMVAEMGRDPKVWDDPLEFKPERFLNKKGDLEAFDITGSRGIKMMPFGAGRRICPALNLAMLHLEYFVANLIWYFRWKAPDGVPVDLSEKEEFTVVMKNPLLAHISPRAKL >KZM81628 pep chromosome:ASM162521v1:9:3668870:3669414:1 gene:DCAR_029241 transcript:KZM81628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGQRRPYSQNDQPHTRENLDPNIISVPRQASDISRNGSVTWARPLPTGLPSLDRP >KZM83602 pep chromosome:ASM162521v1:9:33268907:33274311:1 gene:DCAR_031171 transcript:KZM83602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPISRPAIVIDNGTGYTKMGFAGNVEPCFVQPTVVAVNDSFVNQPRSAVKNNNWLAQHSAGVMADLDFFIGDEGISRSRSSNAYNLSYPIKYGQVENWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTSKCEMTGVVVDVGEGATHVVPVADGYVIGSSIRSIPLSGKDVTLFIQQLMRERGEHIPPEDSLDVARKVKESYCYTCSDIVKEYKKHDKEPAKYIKQWRGIKPKTGAPYTCDVGYERFLGPEIFFSPEIYSGELTSSLPEVIDKCIQSTPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDVKKLVDARVLASDALGIGGIKAKPVEVNVVSHPIQRCAVWFGGSVLASTPEFFQACHTKAEYEEHGASICRTNPVFKGMYLYR >KZM82046 pep chromosome:ASM162521v1:9:10466567:10469941:1 gene:DCAR_029659 transcript:KZM82046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFNFNAGNEALRCLSIAENLLSSRDFAQCKLYATRARESDPRMEQSEQMIAIADTLMAAETRLSNQQIDWYGVLRVESNCGDLELMSSQYRRLCVLLNPSRNRFPFAENAYRLVNEAWMVLSNPSRKFEFDSSTPPAQARVEPPQPQAQPPTQPLPWHHSQPQQQSVRPLPQKPLRQATPSPQQASRQATPPPQSHQRQTQLLLPKSPPPRQQPLSDTQLYQQPEAQQPLPWHQSQPQQPLARAEPELQEALHQPTPPQKPWHQSQPQQPLARAEPELQEALHQPTPPQKPWHQSQPQQPLARAEPELQEALHQPTPPQKPWHQSQPQQPLARAEPELQKALHQPTPPQKPWHQSQPQQPLARAEPELQKALHQPMPPQKPLHQPTPPPKPLHQPTPPPKPFLQVTPPQQNTLRQPTPPPEIPLRQPTPPPQNPLRQPTPPPKLPVTHLSVPKLEHQPQEQQPIPWHQSKQQASAIHQFQQPLSRSPPPPQQQPPIPRPEPALPKAQPPPQSTLKTVDCGENGKFQVGQQGTHLSGEKSGTNDGNPHIDESSFWTGCPYCYNMYEYPGRYAEFTLRCQNCKRAFHAAKVSTPPGAAEGKEAYFCSWALFPLGVSSAYMDQCKAAASTWTPISNMFVVPQEENHCHGGKRKNKRPKGPWIYIDDDQDNVQDEPFKEDSDDEDWHITKKKKKAKSPKGKSSTSKNVTQSKVDKSKKVKGSKIGNLQPVHAAQEGEGFGVPNMEVPSMPVGDPGKKASSVITRNRPGVVSKEMGKLDLNVEFSNEVEEPAKGTNRGSTAEQGAEDNAEGTAFFDGLDEFLNSLPILSVDGDDKVKAG >KZM82244 pep chromosome:ASM162521v1:9:14482848:14483733:-1 gene:DCAR_029872 transcript:KZM82244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNERITRNCTWALSKCAKTRVFDCREMPDMTVQAYLERIFRYTRAGPSVYVVAYVYIDRFCKNYPQFLISARNVHRLLITTIMVASKYVEDRNYRNAYFARVGGLTTKEMNDLEFEFIFLMGFKFHVNVSVFESYCCHLEREVSIGGGYQIEKTLRCAEDIKSRERQKRYNNQNARVAL >KZM82800 pep chromosome:ASM162521v1:9:24540176:24541168:-1 gene:DCAR_030369 transcript:KZM82800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKTSQLPHKPVEDETPLYNQEFLDFLSGLPKERVIEKFESYQYQGFWYNPPLLHGIFNMQKHFQPCENDIFLVTAPKSGTTWLKAMIYALMNRKAYPPQSPHHPLLNNTPHQLVPFLEFINPSKYGSVCNSPDRTTRILATHCPLISLPKSITDDASPCKIVYLCRDVKDNFVSLFHFAQKNQWHISLEDSFELYCKGFSGAGPVWDQISGYWKESLDRPHKVLFMRYEEMQNEPQFQLKRLAHFLGKPISEEDEKSGVVDQIINLCSFDTQSRLKVNNTGDLVCGLSNSSFFRKGVVGDWKNRLTIDMASKLDQITEEKFHAFGLSI >KZM82932 pep chromosome:ASM162521v1:9:25990638:25993073:1 gene:DCAR_030501 transcript:KZM82932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGRIVGVICSFSVLLHVVLVAAQGDPAGGGGAGGVAVAPEAGGGAGGVAVAPQAGGGAGGDGGAEAGGGAEAGGGGGLLGGFLAGLAHGGGGGGGGGGGGLLGAPSKMMKAGMNNALNHLEEVIMNSPLLQEAKKDPRTTAAHDVCMNVLDRSMKDLQRTLERMHVLDFDLDDLDDRLFDLKVWLSSASKGQNTCCDAFEKTSGEAGERMKELLKVSKELTVTTFNMIDTLGTFLRGLQSMGVVPEQEAQGQGANTQGQSGSTRRLLQVPLPPDQVPAWVKPNWKNLLAGDGAKAKAHAVVAANGSGKFKTINDAVKSIPPNSPEMYIIYIKEGVYAENVVIGWTQTNVMVVGDGPEKTKITGSRSEKRGYNTLQSATFGVDGFNFLVKDVGFENTAAPTEGPAVALRIAADKAVVINCKMDGYQDTLFAQVYRQYYRDCQISGTIDFVFGGSVSIFQNCHIMARKPALGQADLVLAQNREFANDISGIVLDGCTIKAEPALTSDKGVLSYLGRPWKEYSRMIVMNSDIDGFINPSGWDIWLPNKPNTQHSYIGEYNNKGPGADVSQRVKWPSYKKLSPTEAATYCPSTFLKADSWLPPTGVSVK >KZM83149 pep chromosome:ASM162521v1:9:29180453:29186057:-1 gene:DCAR_030718 transcript:KZM83149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYLRHKHKAHKDDHHSLVPRLGSSESSHHSTLENFANYVARQIGFTDGSECPELSKLAYEYLKQGKVCEEKIYEYFISQPEADLLYGKLLEEFDRCILSYFAYHWSQASDMITQVLSVDSEQKKLKNMVMAATRKKRFEKVTKDLKVTRAISLLVEEMKAIGSSNGPEDSTGLMVPVAHNERSPVLLFMGGGMGAGKSTVLKDLLNDSFWSGAGTNAVVVEADAFKERDVIYRAISSRGHHQDMLQTAELVHQTSTDAASSLLVTALNEGRDVIMDGTFSWEPFVQQTIVMARDIHKHRYRMGVGYIVQDDGTVIENYWEKIDENEEGYEKIGKRRPYRIELVGVVCDAYLAVVRGIRRAVATGRAVRVNSQLKSHKRFANAFPNYCQLVDHARLYCTNGIGAPPTLIGYKDGLSKLLVDPDEIRCLKMVSKLNDEADSIEELYAAAAGEHDGEELIVGIGSQVWKDIVLLPDRPKIQQELIVAIRKIETSAASSS >KZM81922 pep chromosome:ASM162521v1:9:8551027:8551587:-1 gene:DCAR_029535 transcript:KZM81922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYPHHSILKLNKTSLLVRVRKAFSYDHHPPCTSTCSPLPLHITFSTHAKQQFLEPRGVASSDSSPVIGECQEAVDEKTICLDGGLFFKDQEEEKSRVVVEKVLGSLGVKKSEFGRVFREVMAFGRRCNRGGEEKKKGLKGLVVILTNVQTCVGERVAVHEANERAFQESKAQARGKGDVLFLVN >KZM81640 pep chromosome:ASM162521v1:9:3885457:3885903:-1 gene:DCAR_029253 transcript:KZM81640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLISEFNYLSDQSLNNKNFDPSTIEHLMHLFELESYKAWASLDQTFSEELQDSETSLVEAEEYLESAMDRAMREFEIFEEEMEREGEREFRGLVEVAEKARRVGRSMEKAANFASKKYVEAALNAAGNSMRSAVKAVTNAKKVHPS >KZM81711 pep chromosome:ASM162521v1:9:4747894:4751955:-1 gene:DCAR_029324 transcript:KZM81711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLLSLFTLFVLPSSVLSLCKNPPVIFNFGDSNSDTGGLVAGLGYTVNLPNGRTFFRRSTGRLSDGRLIIDFLCQNVNTSYLSPYLESMGSTFSNGANFAIVGSSTLPRYVPFALNVQIMQFLRFKSRSLELVTAGSQRMIGDEDFRRALYVIDIGQNDLADGFKKNLSYAQVIKSIPSILKEIEIAVKTLYDQGGRNFWVHNTGPLGCLPQKLSMVEKTSGDLDQHGCISRYNNAANLFNEGLHNLSQGLRSELKDATVVYVDIYAIKYDLIANSSKYGFSSPLMACCGYGGPPYNYNIHMTCGQPGAQVCDEESKFVSWDGIHYTEAANNIVASKIQSNAFSTPQVAFDFFCH >KZM83389 pep chromosome:ASM162521v1:9:31389023:31390183:1 gene:DCAR_030958 transcript:KZM83389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFPYQMIANLRPHTTTAWRIKVRVSRMWRTLNRHGETVALNLILIDELXSQVHAFTTSEALSNCMLSYTDVVGILRQFQPIRNFKNKYNQEQSCIRFTINDMHTSAEVTFYNELAHSFHQAIQQADEHPIIVIISSCQSKFIQGEPKLSNLQATRYFLNHNHEAVEDLRNALRLANWRLD >KZM81778 pep chromosome:ASM162521v1:9:5880858:5881260:-1 gene:DCAR_029391 transcript:KZM81778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSKAKTSVESMREWVVEHKLRAVGSLWLAGIAGSIAYNWSQPGMKTSVKIIHART >KZM82032 pep chromosome:ASM162521v1:9:10179324:10181155:-1 gene:DCAR_029645 transcript:KZM82032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEHFSHEHSLVLSKFKNSPKDAFCRACHGPILSNTSAYHCIHRSISAQNSPCTHFFLHKTHANLPMMIQHHLHSEHMLTLSQNAWLNCNVCDKSLSQWFVYECTRKCFFVVSLKCAFLDRELDHLSHKHTLTLLPLKGSHMCIACGIEGTKDFSYLCQTCFFWIHKSCASAPAELTRKDHHNDHPLVLAYSLPREYHSFGVSCSLCPEKVYPFYWLYYCAGCRYFAHVQCALSASNSAKDGNEISNEDVEGSNLVKLLVSENQPYSFHHLIEQFAKKFTTYNEISKCHQGHSLTFFKKFRDKNFIDEGICDGCVQPLSPPHNTFYGCLNCKFFLHDVCATALPREFQHTSYPENKLTKCYQTSKPFHFYLCNLCGIYCNGILYSDKSNPYWVDIVCASLPSKLKHDSHRHTLQLSASPFQNCKHRWDEHQLVLMYPPVKGHPHAFNCELCSEDINPNYWFYHCDPCDTSFHTFCADKGHYSNIKYGGTVKYDDLHEHGLELTGTRMNFKCGDCGRDRIVHYKSEPRLKCVSCKFSVCMTCIQRRSYGDVQVL >KZM82255 pep chromosome:ASM162521v1:9:14824595:14826061:1 gene:DCAR_029861 transcript:KZM82255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNIIKSLQTTPDQTSGFASGLDPPRTRPNNLIPIYLPIIVILKSDAAVARVLNKIQVTPGTEYKLGPPAAADSIYLMVLTLNLRGECSWLDL >KZM83074 pep chromosome:ASM162521v1:9:28537904:28540093:1 gene:DCAR_030643 transcript:KZM83074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPILTSQTPPLATPHHLSSALTATSSLTPLKPTHLNNRFLRELSRSKDPGKALYVFDEMLSRGIGVDRFSFPLVLKAAGRVKGLVEGELIHGLAVKMGFGDDPFVETGLVGMYSSCGEIDGARMVFDRMLERDIVTWSFMIDGYCRNRLFDDVLLLLEDMKGCKIEPDEKLFSTILSACGRAANLEFGKSIHEIITDSKVIIDYRLQSALVTMYAGCGSMDLAEDMFDKLNPKNVVACTAMVSGYSKQGQVEPARAIFNHIPKKDLICWSAMISGYAESDVPQEAIKLFGEMQDSGIYPDHVTMLSVISAGANVGALDQARGIHKFVKKNRFGEVLPINNALIDMYAKCGSLEDAREVFLHMRRKNVITWTTMISALAMHGDAINALKIFQQMKSENVEPNGVTFVGVLYACSHAGLVEEGRNVFASMVDDYRITPKHEHYGCMVDLYGRANLLEEALRLIESMPFAPNVVIWGSLLSACKIHGEYELGEYAAKQLLKLDPDHDGAHVLLSNIYAKEKQWDNVGEVRQLMKHKGISKERGTSRIELNNQVHEFLTADKYHKHSDEIYAKLNEVVTDVKLIGYTPLTFNVLTNLNEEEKKEAVLWHSEKLALSYGLLSGKTGTRIHIIKNLRICEDCHTFMKLVSDVYKTEIVVRDRTRFHHYKNGTCSCNDYW >KZM83067 pep chromosome:ASM162521v1:9:28470183:28474496:-1 gene:DCAR_030636 transcript:KZM83067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSVSWAPAVARAIEKDGGLNGYKPEFRTHATLPYKKTFLHRRHVLVCGGASLFTILTLNWPLTSSPAWAAEESTSQEDKDETVVDAIKSLFDPDEKTKSGKVLPKAYLKSAREVVKTLRESVNEDPKDNAKFRRTADAAKASIRDYLGNWRGNQMVVKEESYVMLEKAIRSLASFYSKAGPTAAMPEEVKSDILSDLNTAEEFL >KZM82684 pep chromosome:ASM162521v1:9:22503609:22504577:1 gene:DCAR_030253 transcript:KZM82684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPASNPDAYGKPSGHQAQHSSNAPTSWSTGLFDCFSDVPNCCLTFWCPCVTFGQVADIVDKGTSTCTTTGALYALLVFVTGCGCCYSCFYRTKMRNQYMLTESPCPDFLVHCCCESCALCQEHRELRLRGFDMSLGWEGNMEKQNRGVAMAPKFEGGMNR >KZM81636 pep chromosome:ASM162521v1:9:3745800:3751950:1 gene:DCAR_029249 transcript:KZM81636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNERNTENMFPNGDVYLGELKGMLPHGNGRYTWSNGTVYDGDWDSGNLTGKGKIIWPSGASYEGDFSRGYFHGCGTLISSNGSVYKGSWKLNVQHGIGEKQYQNSDVYEGLWKEGIHEGSGRYAWSNGDIYIGTWKSGKMCGRGVMQWSNGDLFDGFWLNGLRHGSGFYRFEDGGYYFGTWTKGVKDGRGQFYPAGSKRPSLSKWCSFGLHDDDKEGSISRSSSIKSECRAIKPKVNRSLSEKFSFDGFFRGSGRISHKSISLEENWSLSHSMREAAHCHTSCLLSSKSDKFQNEVQNSGSVVYEREYMQGVLIKQRVINSEGLSNSSKERSKTKEAKIKSCANTYEDQRSYFLMLNLQLGIRYTVGKITPVPVREVRSSDFGEQARIRMYFPRRGSQTTPPHYSLDFYWTDYCPMVFRNLRAMFKLDAAEYMMSICGYDGLREISSPGKSGSIFYLSHDDRFVIKTLKRSELKVLLKMLPSYYDNVKEHENTLITKFFGVHQIAWKAGRKIRFVVMGNMFCTELRIHRRYDLKGSYQGRVTSKVEITENTTFKDLDLPYEFHMDKLLRESLMKQISLDCMFLESQQIIDYSLLLGLHFRAPEHLKALLETPGSLHKPEHTFAKHGAISQNELLIPPKGLLLVTHEPNFVSTQPGPHIRGNTLRAFSVGEQEVDLLLPGTARSVASLVEASCNRFKLLLQIPAIAMFVQV >KZM81652 pep chromosome:ASM162521v1:9:4035109:4036105:-1 gene:DCAR_029265 transcript:KZM81652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVAQPGESPPVLQLPTDEEPQPVVKCTRHMRPVTIHWHVKESYKEYWRVKMTITNQNFAQNYSHWNMVVLHPNLRSVTQVFSFNYMPLNQYGSINDSGVFYGISLYNDMLLIQSCAQGNVQSEMLLHKDPDMFTFRQGWTFPRKVSFNGDECVMPQPEYYPSLPNGAQPSSPATLLIIMLLSLLFSVLAL >KZM82493 pep chromosome:ASM162521v1:9:20130331:20131367:1 gene:DCAR_030062 transcript:KZM82493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEEQKMGKEVSESCLDILLIETVSSYTNGFYAGKPELVAQAVEAIGYQVGNQLCERYTMERSQFSDHLEAIKFICTDFWIKIFKKQIDTLRTDHKGTFVLRDNRFRWISRVSNSISEDSGATFPSTLPVNFAQSRKMHLYFPCGIIRGALSNLTIPCAVTADISSLPSCKLHSSNLNLIKYNKQY >KZM81407 pep chromosome:ASM162521v1:9:859489:860574:-1 gene:DCAR_029020 transcript:KZM81407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMIMSRSTCTSPCTPQSPQKAGNYFFSAPSSPTNSSPFINRQVSFAFDAIAKSQESDHEMHNHEHSDEVDEDAPVDSANLDHSIAKKYFSFNFYVNDNDGDDDGDEDGDDTARTKEEEFEFDFVAATQDGGDDGSTREDFTFSPTINQLSKGGAIKFLEPVPKKTLQNAVSFRQRKKYYSDSSTKSTERVIHNSPNYVNGRNLKKSPKTLKMVSERVSTKKSCKASSSTPRSEASQQVISMERNSSSSASWLVRWKLPDLLFRSASEGDVTKKKYEAEGKNVNENAKMSRGGSGGGGGSRKKKEKVSGHELVYQVNRAAAAEMRRKTFLPYKQNLMGCMDNSMILEPSVRGINSSQVRR >KZM82123 pep chromosome:ASM162521v1:9:11792318:11794252:1 gene:DCAR_031830 transcript:KZM82123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEFADRYNTALVCHRWMFLACHPRLWLRVDRMVKDLSQPGVFPTVEAAVSAARPGDTILIGAGGSHIASNIQIKKPLCLIGGGDVPEETTLICSRGSESALEFLSTCKLANLTVKAELGCCLLHRSGKLIIDCCFLQCESDPLDYLSYAIISTSSCPKVAPSLLKCCGDGVSVSQTRIEGGAKAVLTSGSLALQRVRVIYAQTSIFFWFDVEHREQ >KZM83540 pep chromosome:ASM162521v1:9:32794409:32794876:-1 gene:DCAR_031109 transcript:KZM83540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNKNRGDDSEDTQSEGDAPEVDEESGLHVRKSDVSQGDSRPQVHNAPSSSKAQGIQDRQLRKSRSDKYEGSSAKKNNAYGDMVHGYDKRISSSRIEGDYYAKPVKARFPVGDMEFSSEDEIVHDGHCKEVRFGEEDTKSTRHEKYKKGRSGHW >KZM81478 pep chromosome:ASM162521v1:9:1694658:1695152:-1 gene:DCAR_029091 transcript:KZM81478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVISAYGEVLRLVRRLPEDSRPYYAKYARENFVNYREVDPNDANALQELLARTYNHSLWVLSKYSVEEAAAAKLKNICCN >KZM81525 pep chromosome:ASM162521v1:9:2149134:2149814:1 gene:DCAR_029138 transcript:KZM81525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEENQSFSMEEIPLDVDDHSYPDACLWDSLEGVENHSQSNANVLEETQRNMENQSFAQEDTRFDVEDHSYPDACLSDWLECAVENPSLADANVSEEVQRDGEDQYIASETVSEKVQRDVESRPYFMDLKEWPECLPSTSESTPDVMEFVNLWEWDRNGFTDTNDLKLLLWDVDKQFYADATVIEEEQRYGEQFISRCKCNGTNDGGSITWRCKCIANADVLEQLS >KZM81829 pep chromosome:ASM162521v1:9:6602139:6603419:-1 gene:DCAR_029442 transcript:KZM81829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLSSPADQREKDVAIIPVTSAVQSFPCILKRRCIVSDPSSEVLESADLLREILVKLPPKTVFGFTLVSSHWNKTITDPELTCLLKVPRNPSAVFVRRLVLQEDHDVVKYTHIPLEGVTRGGRVRVRRSPLSLNLMEEEEFNQASFVIQHSSNGLMICSSCNGRRYFLFNPTTKKRVKIPLLINFCYWVISMHISFLAPGRHKIIAVYLPTYRGDRLKLLVLEPNQNQLQYWRNTGVEFPVTGTEVVNYWYGVDVDGVIYWPCYKSSGLMFFDVREETVHWLPEVPHPYDKFSGLAYFGECKGNLCMVADVSMRRGTFDMLELKTDRSMWFIKYHIDLTGRVSRDENLQILVLALLPGEEEESDSYLVIHFLREVVSINLRDGTIRKLCDLGLRTTESRLLRSEPWHYVHPYRQNPVYPRLTAS >KZM81488 pep chromosome:ASM162521v1:9:1757578:1757823:-1 gene:DCAR_029101 transcript:KZM81488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITTKIILDIMQAEEEEHEARMRMAAVYLHLHRRQHKANSISRPSGSDAKSENSSVQMLTGQSNNQTQSKPSPKIDHLGQ >KZM81773 pep chromosome:ASM162521v1:9:5849559:5851099:-1 gene:DCAR_029386 transcript:KZM81773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLDQLWDDTVAGPRPETGLGKLRKQSTFGSRSNTSGKELTEGSGRSGGENASVEAVKVTRSIMIVKPPSTSQGDQTGTPPASPAGSTPPVSPLSGGGAFRFRRRSTSDAYEKTSGGAGSRSPRPPHDM >KZM83167 pep chromosome:ASM162521v1:9:29307246:29310116:1 gene:DCAR_030736 transcript:KZM83167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSFFGRVLFVSVFILSAWQEFQDFGEDGGAAAKSLSPKFHTASKHFTTRTGLKVPDFEIKIAVAAVIAFKGVGGLLFIFGSTIGATLLLVQQAFVTPILYDFYNYDLDKAEFAQLFVKFTQNLALFGALLFFIGMKNSLPRRTIKKKVATKSKTN >KZM83568 pep chromosome:ASM162521v1:9:33037890:33045236:-1 gene:DCAR_031137 transcript:KZM83568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAASALFILDIKGRVLVWRDYRGDVTAVQAEKCFTKLIDKEGEPDTQNPVVHDDGITYMFIQHNNVYLMAASRQNCNAASIFLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEASHFMYVVESVNILVNSNGQIIRSEVIGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLSRFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVERHSRSRMEIMVKARSQFKERSTATNVEIELPVPSDAINPNLRTSMGSASYAPENDALLWKIKSFPGGKEYMLRAEFNLPSITDEEAAPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLM >KZM81951 pep chromosome:ASM162521v1:9:9071235:9072707:-1 gene:DCAR_029564 transcript:KZM81951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRHANAQTKGSNWMNENQLRKEDVRKCELGKDGDFLSELNSSLLLETAINMSVESVESIMAGLGFGEAIIRGLSLNTFIAHFPDIKNLDELDLDFMNIGFKSIKKVEWEDTIPRRRVDVEIRGLPLIAWTKKNCQLLTGKWGDILNYYPLIDSEGVYQVPRIRLETSSTSSIMELVTITVEGKSWNIQLVELTSDSQHFLEESKGMEVEEPPITEQYNVKDDVWIEDVVEVETRCSNNSSKKNAKPPISEAVHNSEKANIMNLSDKISEEAGHFTSQQGKVEDQLYSIHDDNENKGTQEVKDLGDSSDHEESSGSLINPTTPPEIMIEGDIAERTSEFKDSTPQNPNVIEADEGSEDWKLMWQERDVSSDEATATQSLQSQKSSILDDMVEESLEVENSVLINSINKMTIKSRRGRPSKGKGKAKENKAFKVPRRRKIRGMKLGLPVIAADKVLFDEAKFVYDSAIGMGLLSEHSEEKSLQLIRANLGN >KZM82134 pep chromosome:ASM162521v1:9:11921804:11930451:-1 gene:DCAR_031841 transcript:KZM82134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNGSETKSSDSAPSVNGKRYEVSSDSLHPSTTLLDFLRSHTPLKSVKLSCGEGGCGACVVLQSTYDPVNKQVESYTVNACLTLVHSVNYCAITTTEGLGNSRDGFHPIHERFAGFHASQCGFCTPGMCVSLFSALVNAEDKKGPDPPTGFSKLTVDEAEKSIAGHFCRCTGYRPIADACKSFASDVDMEDLGINSFWKKGESDEAKISKLPFYNPKDDICAYPEFLKVEVDAAMCLNVNGKSWHSPLTLKELESSIEIDMAADGTQTRLVVGDTGMGYYKELDHYENYIDLKKVPELSSIRRDHTGIVIGAAVTISHAILALDEETKGVQSFEGKLIYKKIASHLKKIATESVRNSASIGGNLVMAQRFSFPSDIVTILLCVGSKVNLMTDLRHENLTLEEFLSRPSLDQRSVLLSIQVPCWGSLTYNFSSENKPETRLLFKTYRASSRPLGNALPYLNAAFIAVVSSTDDGVVIKEIQMAFGAFGTKHAKRASAVENYLAGKVLSVGVLYEAVKLIRDDVVPEKGTSDALYRSSLAVSYLFQFLYPMVETGTIFSNSWLTENTELDSKNNNKKVDNKYPRIQKPTILSSGKQVIQSSKEYYPVGEPITKSGACIQASGEAVYVDDIPAPTNCLYGAFIYSTKPLARVKNIRFSSNLLPNGVSSIITCNDIPRGGQNIGVKSMLGTETLFAEDLIESTGQRVALVVADTQKHADRAASLAIIDYDTENLEAPILTVEEAVEKSSFFEVIPFLRPTQVGNFSKGMAEADHSILSTEIRLPSQYYFYMESQTALAIPDEDNCLVVHSSSQCPEFAHKTIAVCLGIPENNVRVITRRVGGGFGGKAFKSMPVAAACALAAHKLCRPVRMYLNRKADMIMAGGRHPMKITYSVGFKSNGKITALHLDILINAGFSPDVSVIIPGNLIGALKKYDWGSLSFDIKLCKTNHSSKSAMRGPGEVQGSYIAEAVIEHVASTLSMEVDSVRYRNLHTYDSLKLFYELSAGELVEYTLPSIWDRLAVSSCFEQRTEMIKLFNKNNIWRKRGLSRVPVVMKVMQRPSPGKVSILSDGSVVVEVGGIELGQGLWTKVKQVTAYALSSIQCDGTADLVERVRVVQSDTLSLIQGGITSSSTTSESSCEVVRICCNILVERLVPLKERLQVQRTSLDWESLILQAHSGGLSLSASSYFVPDSISRQYLNYGAAVCEVEIDMLTGETTILQADIIYDCGQSMNPAVDMGQIEGAFVQGIGFFMSEEYLTNSDGLVVSDSTWTYKIPTIDTIPKQFNVEVLNSGHHEKRILSSKASGEPPLLLSASVHCATRAAIKEARKQYHAWSSLVTFDSMFDLDVPATMPVVKQLCGLDSVEIYLRRLLSTSGKN >KZM82030 pep chromosome:ASM162521v1:9:10148738:10150716:-1 gene:DCAR_029643 transcript:KZM82030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLSHIKHFSHEHPLILSKYNHSPPHANALCIACDDPISSNTDPFYHCIHQSFSSRNSHCTHFLLHKACAELPIIIQHPFDENHVLTLSLECDGFSWCESCDPCRKTVARWIYICREGGHDFKICIKCALILERELDHPSHSHPLTLLPVKATLQRCTACGVQGSTDYSYLCKTCLYWIHKRCATAPPSLIRDDHDYDHPLVLAYSLPEEYWVFGVSCYLCSVEIRWLYWVYYCADCRYFAHVHCALSQESRKDGNEIDDNGDLVHLPICDDEPYLFYQLIQQFANKFSTEESGKADTISECRSGHSLILFDNSNDKSVNVETNICDGCVQPLLYPFYGCLDCNFFLHTLCAAELPREIERPSHTDDRLTRLTTSYETSKPFNFYVCGVCDRFCNGVVYYDELNEYWVDVLCVSLPRKIKHDCHKHTLRHLSVSPSFKRCRACEVDIFSHSFACKICDYYIHIKCALKRGTIKHRWDEHHLSLVYPPVKGHPHDFNCELCSIDINPNYWFYHCAKCDTSFHALCVDQDVYSNIKFGGDVKDVNLHQHTVQLHISRRNSKCAECGLNTPDYFEFFINSPYYYVRKPFIQCTSCEFLVCIKCIFNHYGYPHLPQASRIRRVDSDLYEEIF >KZM81544 pep chromosome:ASM162521v1:9:2336272:2337360:1 gene:DCAR_029157 transcript:KZM81544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLAIILSPMTNDGSPDEEGNGAKGVGFSQYASPKKRSGVATDGGVQDCDDGFAKIVKKRKGVRSANIGKEDGFAPNSQVTKKPIENNTTIHTTQTHHRMRRMARTPVTKGYGLTKKANKHM >KZM82441 pep chromosome:ASM162521v1:9:19252889:19255093:-1 gene:DCAR_030010 transcript:KZM82441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGIALGSHVKRIIPFHSNHLRHYSSSHSQLPNYVHANLLKNGTFGELSVTNYVLSLYIKSKDLVCAVQMFDEMLDRDVRSWTMIISGLVQVGSCRMALDMFADMHKEGIVPNQFTFSSVLKCCSRLNEVRMGKSVHGWIVCNGVFVDTALENSVLDFYVKCGSFDSAKLYFELMTDKDIVSWNIMINAYMQIGDMETALGVFRRLPVKDASSWNTIIRGHLQNDNYGIAMELLYEMVKSGTALSKETFSIAFGLVASLTALDLGMQIHGRLLRSGIHHDGFLRNSLVDFYSKCGEMEKASFVYQGFPKCNASDRCSKNNNKSLPHSFSQSSMVTGYVQNGKLQEALKMFTTMVTDRFEVDKFTLTSIVTGCANAGLLELGQLIHAQIVKAGQPFDIFLSSSMIDMYAKCGELDDAWSIFRETKVRNTVLWTSVISGYGLHGRGRDAIQMFNWMLAEGIKPNEISFVGLLTACSHAGLLNEGIHYFMVMKEEFGIKPQVEHFTCMVDLFGRSGRLDDIKDFISKNKISNVASVWRSFLSSCRIHRNIEMAKWVSDKLFELEPPTAGSYILLSNTCASGDKWDDVAQVRGLMKDMGLMKHPGQSWIQLKNQVHSFVMGDRSHPEEAAIYSYLNELIQRLKEIGYSTEANIVMQDVEEEQKELLLAFHSEKIAIAYALMKTSTGSPIRIMKNLRVCTDCHSFMKCTSLLLEREIVVRDLRRFHHFKHGHCSCGDYW >KZM82018 pep chromosome:ASM162521v1:9:9941638:9943095:-1 gene:DCAR_029631 transcript:KZM82018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLGNPQEHIVMIPFLAQGHIIPFWELAKKLQERANLSITLVSTPLNIQKLKSTQSNTCKIHLVSLPFNSSDHNLPPNAETTEALCLASTALQTPFQQLMSNLASKQGKPPLCIISDVFMGWTNRVAKLLHITNISFSTCGAYGTAAYHSVWLNLPHRFVKSDDEEFVLPGFPETCKITRAHLHKYVRSADGSDQGSKFFQPQLSLSLGSFGWLCNTVEEIEPLGVQVLKNYTKLPIWCIGPLLPPRMLDSSSSNEIFGNRAGKEPGLSAETCVQWLDSYPENSVLYISFGSQNTISPAQMMELAKGLEESGKPFIWAIRPPMGFNLTEKFRDEWLPHKFEERMSASKRGLLIHKWAPQLDILCHKSTGAFLSHCGWNSTMESLSQGVPLIGWPLGGEQVYNSKMIEEEMGVGVELARGLESCITKNDVKRVIEIVMENEGIRRKASEISEMIKQGAGQNNGKKGSSLQAMDDFVSALLAYSRT >KZM82109 pep chromosome:ASM162521v1:9:11586525:11588063:-1 gene:DCAR_031816 transcript:KZM82109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFLVSFHARLPCHKLLIRYTPNNSSYTLILEFSLVNQRFAKPETPKPLVIVTPNEESQIQIVIYCCKNHGIQMRIRGGGNDFEGLSYTSQVPFLLLDMINLRAIEVDPVAGTATVQSGATAGELYYAIARKSKTLGFTGPTFSSVGIAGFVGYGGYGALRRKYGLACDNIMDARVMDVNGTIIDRNSMGEDLFWAIRGGGPSSFGVVLSWKIKLVSVPEIVTIFTIKRTLEQNATDIVHKWQTVGPNLPDDVEIRIKAYPAKKGTNIPSPETALTSQSSSDPKSDTTIVVEFVGSYLGKVDKLVLLMQERFPELNLVKEDCSEVSYIQSVLAFSLYSPQQPPEVLLQRSRFKIPTKVKSAHVRQPISKDGLHGIWDMLLKLENATNIVFTSFGGKLNEFSESSTPYPHRPGILYMVYIRVLTSGDSDKAFSWIRSLYSYLAPYVASPRTAYAGYSDLDLGVNNQSGVTNYTQASIWGKIYFKNNFDRLVQIKSKVDPTNFFRHEQSIPPL >KZM82145 pep chromosome:ASM162521v1:9:12198178:12207046:1 gene:DCAR_029714 transcript:KZM82145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEMSVEDEFSKMHPCYDPIRTRIAIVGAGPSGLSAAYALSKLGYSDITVLEKHHSVGGMCESVELEGRIYDLGGQVLAENSAPTIFHLAKEIGAKTEKLDKHKFATIDSSSGEYNDSKVVDDYVSVISLTLKIQDQVKDSDKIGVHAVSEIASDSAPRFLETHGFKAIPKSVAYGYTASGYGYVQDMPYAYVHEFTRTSMAGKIRRFEGGYTSVWRRLSESLPINVRCDTEVLEVKRDSGSVAVSVRGNTGEEEVLEFDKIIISGSFPFRSAKTYRASSSITTENGKEVMVMSELERELFGKVYIIDYYTTALKIKGFEHIPMGFYYFAEFMDDPTSIGNPVAMQKFYADTDIFLFWSYGNSTNIKGEEIIEFARNAVARMGGEVVKTILQRRFRYFPHIKSQDMKDGFYNRMESELQGQLNTYYVGGLMAFELTERNSTYAMALVRKHFANNNLQPKFPYVKRLFTLQSHRRSGITKKLDESPGLEFPDLFSLDDFLRHWGTHSVTGNKILYNWINEKGEITSKRTYRELHENAYIIAHKLLTSHKPAIKPGDRVLLVYMPGLEFIDAFFGCLRARVIPVPVIPPDPSQKAGQTLLHIQNIANKCNAVAILSTKRYHISVRAFAAKNIIFLAGKSKSSPQWPDLPWLHTDSFINKSNDGTYFQAMAQVSKPLPEDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMRKKYKSTSKTVLVSWLPQYHDMGLIGGLFTSLVSGGSAILFSPVTFIKNPLLWLQTMSKYQATHSAGPNFAFELIIRRLDHKSKKEQNKELNLDLSSMVFLMVAAEPVRQGTIKRFIELTQPFGLSQEVIAPGYGLAENCVYVSSAFGEKNSIMVDWQGRVCCGYVDPNDPDVEFRIVDPETGKEHEESEKEGEIWISSPSAGIGYWGMEDLSQKTFRNKLKGQTGKVYTRTGDLGRIIRGKLFITGRIKDLIIVAGRNIYSSDIEKTVEASSEVLRPGCCAVIGVPEEILLSKQIHVPGISDQVGLVVIAEVRDDRPFTENVIEHIQNRVAEEHGITIAKVVLIKSRSIAKTTSGKIKRFQCLKQFTDGTLNTIQQPRVEEKRKSTNVAIMKNCLSESKYIQKKDIIDFLRGLLSEQTGIPTANITITESLMSYGVDSIGVVRAAQKLSTYLGQPVGAIDIFTATCIEDLADFAEGLLQKSRPQSITDPSPMHQVKTPSVKQEKVLSSHKLAIWFYQVLALIYISFLLIIPSYFSVSAFTTLVSGSYSPGGSSWVGYLVSLICAPLVWLLCIFSTCISISFFGNSFLQPNYALSPEISIWSVDFIKWWALCKVQQAASNVFAVHLRGTIFAKYWFQMLGAKIGSSVLIDTVDITDPYLVSIGDEAVIAEGALIQGHEVRNGILRLNPIRIGCKSSVGPFAVIQKGSIVGDGATVAALQACEGGKSINKTFMADISKKEKVAQVITGFKGNSTSHFTGIYMVGFLSSLSAAITYVVYIWLSHKTPSLQHFGFLCLCGTFHWLPFTIIAYATMLENVPSSPFSFALLVSIGHLAHGVILCFLTSICVHLLSRTKMKKNQHFVTWLQHRITVSCHLRFAKFLSGTEAFSIYFRLMGAKIGQHCSIRAVNPISDPKLVSIGDGVHLGDFCRIVPGFYNSTGFVHGKVQVQENSVVGSQGLILPGSNLQKDVFLGALSVAPVNSVLQQGGVFVGSQTPVMVRNSMLLLDDRIEEMDRQYKKVLGNLAANLAATTLKVNARYFHRIGAGGKGTLKLYADIPGFPTHKVFYPGKSYPITLRHSNCLSSDDDARLDPRGAALRILSDERNSTPVLDLTLKTGKAFHARSIGDFATWLVCGAAAREEHVKHVPHIREAMWDSLRQANSYTELHYYSNITRIFRFEDGQEMYAKFKLRPFDKKFDEDSGKVEPRGLLPPETGAIPRDENDKRPLLFLERDFQHRVNSPDRVKYILQLQMRAVPEDEITRETALDCTKPWDDDEFPYIEIGEIVIDQVLTKEESEALEFNPFLRCHEIDVIRAKSCSQSASMDHGRSIVYSICQHLRNKKPLPESWKMFLDQSDVKLDLSGCPMAGALEKNKVKRVTLARPWHQNLWMMTGQPILQIVVPYFLMALVMFEPLNAVLSRKESMNIIPVYWLISMLWISTGLVAGLVCALAKWVLVGKKRDGEIVMIWSIGVFMDTTWQAIRTLVDDYFMQMVGGSVLYNIWMVLMGSQIDWDDGVYVDSCGATLNPEMVEIKRNGSVERGALLFGHIYEGEQGKVKYGKICVKEGGFVGSRAVAMPGVTVENEACLAALSLAMKGENIKKSMKSHGLSRKIRVRHEAFAELSEESESCLDGNICRVGMKNMNNDE >KZM83092 pep chromosome:ASM162521v1:9:28654693:28655133:1 gene:DCAR_030661 transcript:KZM83092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESILDAIRERMEQKNYIKGSRILVHGGLIDKMVFIGQGKLESIGEAEHVIPLSEGDFCGEELVTLCLEHYILNRDREFKIPVQKLLSKRTVQCLTDVEAFILRAADLEEVFSVYSELLIRNPLVQGAIEKKPLYPKTLPRSRSN >KZM83420 pep chromosome:ASM162521v1:9:31634372:31637057:-1 gene:DCAR_030989 transcript:KZM83420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGTDITKGPVFKDIRRYYCEYCGICRSKKTLLSSHILSHHQDEVNKRKADDNAEKEELKSNTCEECGLSFQKPAHLKQHMQSHLLERPFMCPVDDCDSSYRRKDHLNRHLLQHQGKLFECSSMGCSHRFSIQSNMKRHVKEFHNDSSPIEVDALCSSSYRRKDLLNRHLQDQGELFECSVTGCCQKFSSQSNMKKHVKVFHDDSSPIEVDALKEYTCPEPGCGKVFKYASRLRKHEDSHVKLESTEAFCSDPGCMKYFSNEQCLKAHIQSCHSKVTCEVCGSKHLKKNLKRHLLTHKTKPPSDRIKCSFEDCLHTFSNKSNLRQHMKAVHFEQKAFLCSIPGCGMSFTFKHVRDNHEKSGCHLYVQGDFLETDEQFRSRPRGGRKRVCPSVETFTRKRVVPPSVGSDSLPDQGPNYMSWLLSSENEEI >KZM82973 pep chromosome:ASM162521v1:9:26738098:26738406:-1 gene:DCAR_030542 transcript:KZM82973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVTSMVSERLVVIFSKTNCCISHTVKSLLHDFGVNPVVYELDEIPRGREIETALAMLGRNPVVPAVFIGGELVGGANEVMTLHLQRNLRPMLTRAGAMWV >KZM82088 pep chromosome:ASM162521v1:9:11202355:11203419:1 gene:DCAR_029701 transcript:KZM82088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFGWASREQSGTLSPFKFSRRATGIKDVTFKVLFCGICHTDLHMVKNEWGSSKYPLVPGHEIVGIVTKVGKKVQKCKVGDKVGVGCMVGSCRTCADCKNNLENYCPKMILTYGSTYLDGSTTYGGYSDTMVVDEHFVIRWPENLPLDCGAPLLCAGITTYSPLKHFGLSKPGIHIGVVGLGGLGHVAVKFAKAFGAKVTVISTSPSKQKEAIENLGADSFLVSRDAEEMKAAKGTMDGILDTVSAVHPLLPLLELLKSHGKLVLVGVPATPLELPAYPLISGRKIVAGSSIGGLKETQEMIDFAAKHKITAKVEVIPMDYVNTAMERLAKGDVNYRFVIDVGNTLKANSPDS >KZM81384 pep chromosome:ASM162521v1:9:604612:608057:-1 gene:DCAR_028997 transcript:KZM81384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFSVSGDDSGNPKNVASPVIFDAVKKPKRNKYAFGCAILASMTSVLLGYDIGVMSGAAIYIKKELDVSEVKLEILVGIINLYSLVGSGFAGRTSDWIGRRYTMVLAGAIFFAGALLMGFATNYAFLMFGRFVAGLGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGVLLGYVSNFGFSKLPENLGWRFMLGIGAIPSIGLAIGVLGMPESPRWLVMKGRLGEARQVLDKTSDSKEEARLRLSDIKAAAGIPEDCNDDVVEVPRRKNDDAVWKELLLRPTASVRHAFIAGVGLHFFQQSSGIDAVVLYSPRIFEKAGIKSDSMKLLATIAVGFSKTIFILVATFLLDKIGRRPLLLTSMGGMVISLTLLGTSLAVIDHSDHTVHWAVTLAIFGVLANVAMFSIGLGPIAWVYNSEVFPLRLRAQGCSIGVAVNRGTSGIISMTFLSLYEAISIAGAFYLYAAIAAVGWVFVFTLLPETQGRSLEEMGLLFGNYFGWRTTLRDLKHKEAEEAKNASVVSSL >KZM82178 pep chromosome:ASM162521v1:9:13011812:13012144:1 gene:DCAR_029747 transcript:KZM82178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAIISVIALIAIMATAEAALDCGKVDSFLVPCVPYLTAGGTPTPKCCQGVQSIKDISVTPQDKRDSCNCLKAAAQRYPTLKDEVAQALPAMCKVTLDIPISRTTNCEA >KZM81578 pep chromosome:ASM162521v1:9:2815727:2818490:-1 gene:DCAR_029191 transcript:KZM81578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSIFTTFSRNLRKERNLLRPFSSEAAKPQIMYEQEPSADQESVDDLKSRIFRVRLPKRSVTNVLQNWVSEGREIGVSELRKITKELRQSQRFKHALELSEWMIAHNECQMSDSDYAVRIELMTKVFGVDAAERYFESLPPRAKTSETYTSLLHCYAVLKLTEKAEQLYRKIKESDLSFTAITYNELMTLYMSVGQVEKVSAVVEDLKCQNVAPDLFTYNLWISANAAALKVDEVRRILDEMSHDPSFNDDWARYVKLVKIYLSSSNLVNSETNSVVETEKGITQREWITYDFLIILYGGLGNKDKLDQIWKSLRMTKQKMTSRNFLCILSSYLMLGNLKEVEEVIDQWKSSTTTEFHDSTCRSLSKAFAELGLQDKAAAFSMILTEECEIVDESQ >KZM82590 pep chromosome:ASM162521v1:9:21630593:21633198:-1 gene:DCAR_030159 transcript:KZM82590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLQNKRDVQLKLMRKEIAQFLQAGQESIARIRVEHVIREQNIWAAYEILEMFCEFILARVPILESQRECPSELREAVASIIFAAPRCSDIPDLLQVRNLFAAKYGKEFIAAASELRPDTSVNRTIIEKLSVSTPPGAIRLKVLKDIAQEHNVVWDSSKSEAEFSKKPEDLLNGPKPIAIGNLASEDQSKPDTRDTPSLLYSNMSLNNRHGNSESRSPKTSRNTSLVGQNGSQTSIKSPKIGPNTGFKEERGSQPSDVLQKAQEAIAAAERASASARAAAELVNVNFNTGKS >KZM83084 pep chromosome:ASM162521v1:9:28606068:28606331:1 gene:DCAR_030653 transcript:KZM83084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFRLLSRADQTGGSSRAVPCRLTGRRFSFRPGTEPAQPAVKASRNVLVRSKIVTGTEGSAIRHTIHGSARGTRVSGSTGSMERRL >KZM82840 pep chromosome:ASM162521v1:9:25133913:25134071:-1 gene:DCAR_030409 transcript:KZM82840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRFLLRTPQNFRDTEDLAQFMKKNTLAVNFSSPPSLVLLDYFTISPSREP >KZM83558 pep chromosome:ASM162521v1:9:32980547:32981140:1 gene:DCAR_031127 transcript:KZM83558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGYSNLSVAVIIVLGLFASGVNSQGPSSSEAPSSSEGEAPRSSEAPGSSEGGPVNEFCHTADHKDFCTKMVRGATTLQDATRNVVESTLDIAIELQKMYPLLEPAVKDLPPKTKKSVLDTCRQNFETTVDDLKDCLGFVEKNDKGSLNVHLSAATSSDCSDAFEGSAEMPKPIKDKLDLMYQAADNCLAVSEQI >KZM81543 pep chromosome:ASM162521v1:9:2333133:2333597:1 gene:DCAR_029156 transcript:KZM81543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRGEIEALQSEKERRFKAWEARETAAYNARLRGARKAKAAADRKAEREKREKDEAEKLKAEKIKLKESFRKKMAEARIKLDTRVQEAYSKADRARKEATQCLEADLKKGDQDAAKAKAKSKAKKDKISPLVLVLNYLLPCCCKVHKYKGEI >KZM82623 pep chromosome:ASM162521v1:9:21933171:21934729:1 gene:DCAR_030192 transcript:KZM82623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCVESVYNFVCVFVESKHRVANISDTGFGTKEFMKTLAAGIDIIMSSWKFFDLASKQGTKLLDLTVIIILAPTASFPHLAAEDRAATYGADSYLTKTKDVFSSIVEKGYVLGKALAVRTSDKVQKVDQNYQVSQKIKSAVAKSVVFSNKYVSIGASWVTVTFNSIAKAAAEVTG >KZM81944 pep chromosome:ASM162521v1:9:8895845:8900235:1 gene:DCAR_029557 transcript:KZM81944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEELRGVIMQKWRDMSDADKAPYVECAEELRAQGLTYLTAVNDEQEVYYKAKFGTTKKIAKSGKTKKVADKVCTTAVWSVIDDAYCLFMKDSKAKYCEKQSQREIMSEDLGEAIMQEWMDMSDADKAPYVERAEELRAQGLTYLD >KZM82946 pep chromosome:ASM162521v1:9:26122913:26123842:-1 gene:DCAR_030515 transcript:KZM82946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNSVVFLSLLVAVSAFMAAPSTVEAGVREVENVINVTGKVPCAYNTTILRNGSLAGPRFPNATVQLQCGKNNTIEGTNTTNSNGTFSILVRFNESLLQTLIQSVKIVKNCSVAVVTPLSTCNANLTSNGTLRSPLDKDRIDRQGDIRMFILTAEMFKFENRTQTM >KZM83235 pep chromosome:ASM162521v1:9:29861362:29862407:-1 gene:DCAR_030804 transcript:KZM83235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLSAPLEETDPEMADLIELEKNTQRKTNTIFPVNVQSISGSSPANFQVYTTLLESHDRIMILDLPHGGHLSHSYQVHTLKTTSSCLEYRRINHDIYVKITFLSFKSMSPLRVSLVAKNSIKLYKALILLLT >KZM83640 pep chromosome:ASM162521v1:9:33596199:33599982:-1 gene:DCAR_031209 transcript:KZM83640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTQVESDHGITSRSGSTSMEVASSSSVYKSSRKNLGLYFMESDEGRRTPFAGGYITGGGGGGGGTTPVDIQRKPISEQRLSKTGGWLAAFFIFGNEMTERMAYFGLSINMVAFMFYVMHRPFSSSANAVNNFLGISQASSVFGGFLADAYLGRYWTIAIFSTIYLAGLTGITLSATMNILVPKQDDCSQFALLLGNCESARPWQMFYLYTVLYITGFGAAGIRPCVSSFGADQFDERSQNYKSHLDRYFNLFYLCVTLGAIVAFTAIVYIQIKHGWGFAFGTLAIAMGISNMLFFLGTPLYRHRLPGGSPLTRVAQVLVAAFHKRNVSFDTTELIGLYELPGNRSAIKGSTKIPHSNDFRCLDKAALQLKDDGGDCQVPSPWKLCTVTQVEEVKILIKLLPIPACTIMLSLILTEFLTLSVQQAYTLNTYMGHLKLPVTCMPVFPGLSIFLLLSLYYTVFVPLSKRITGNPHGASQLQRVGIGLGLSILSVAWAAVFERFRRNYAIEHGFEASFLSPMPDLSAYWLLIQYCLIGLAEVFCIVGLLEFLYQEAPDAMRSIGSAYAALAGGLGCFAATALNSIINSATGDQEKRKISWLSQNINNGRFDYLYWLLTAMSLINFFIFLYCARRYQYRRKHTGAAGGIEIATGEAV >KZM81759 pep chromosome:ASM162521v1:9:5729363:5729896:1 gene:DCAR_029372 transcript:KZM81759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFPCSNDKAYYVSKSPAIFANGLLHWVIVGESYEDERIISLDIKTETYREILLPKFREEEGEYIIKFGNFSNSLSLICDFDDYADLWILKGCGGEEFWTKLLTISYMDTLEYYWRLKPICFSVNGEILLLHNNKTILLYNTKDKTFDELLDLDFVGLPRWPEADVYTYVESLVSP >KZM82548 pep chromosome:ASM162521v1:9:20942039:20943373:1 gene:DCAR_030117 transcript:KZM82548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFDSEHLLAEILKRLPPKSLAQCTCVEKSWYDLIQSPNFKALYSDSNQFIYMLAKLFNGGFALYRMDPLLHPRFSSNSSDSTYLHDLRPLLVFPTDSHLGDNYFKCIKLGSKIYFYGLALSSGNKYTSFYTMEEKDLMSIEPSEDNVITNFKHLLTKIKNPMHELKLFPIVFVANDKLYILSGCFLDTIFEVFSPADGTWQVLPNPEDDALEFHYYADGVSYLVMEQEQIVYFATTRLLSSFNLQTHQWAIHTYFNSPTPAPFTFGQQKFRYICNPIIGKLAFGILPDQLVSYVCASKMTSRGEEFMQPYLAPDKAFLEVLRYSRFTYMFKQCSTDYIVAFQDSDGKQQLCIITYGCRPLEKRFSPYETTCYVALSFYDIPGDFYTSEDRHFHEPDVYASYADEKTKDGGVIRRYFSAKFLYTKHFIINNFSFGTIETCFL >KZM83315 pep chromosome:ASM162521v1:9:30716623:30721455:1 gene:DCAR_030884 transcript:KZM83315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGNNGSHHFRKALLGALKDSTKVGLAKVNSENKELDIAVVKATNHFEVPPKEKHVRTIFEALSRPKSGFAYCMHSLARRLARTSTWTVALKTLIIIHRALREMVPPIHQELVSYSQSRVLVLNMSRFTDESTPDAWYYSSWVRHYALYLEERLESFRILNYDVVKDDSRTKTLGIPELLVQLPALQELLFRLLDCQPDKVTRYKSLIQYALSIVAAESVHIYEAISVGNFKLLEKFFKMRRQDAIRSLEIYRKATAQAKNLSEFFEVCQGLEFGSGQMYIKIDDAPASFVNAMIEYVKEAPQSPVLSKKWNNDGSSTPSLNSSSSTPDLRTPFRFSFHRSDETSTPSRNSASSEPDFQTPLAQSFHHSDEPSTPDRYSASSTPDFRTPLPWSFYHLCGSDDVDATELGSDSTFTFPTVHKPNLVDLDDFTQDSSQIYDGSALSPKNPDTDYQPSLFTFPSPSNSPSWKRQLVATPSTSGISVDGSEMSCSIAPDPIMRVCVMQAVGLDRLALDSLYDTEVAAANDNGS >KZM82072 pep chromosome:ASM162521v1:9:10757653:10759926:1 gene:DCAR_029685 transcript:KZM82072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTFRNVGIYALVLLMASGMAMGAAPRKAVNVPFGRNYVPTWAFDHIKYFNGGNEIQLLLDKYTGTGFQSKGSYLFGHFSMNIRMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRSGQPYILQTNVFTGGKGDREQRIFLWFDPTKDFHSYSILWNLYQIVFLVDDIPIRVFKNSKDLGVKFPFNQPMKLYSSLWNADDWATRGGLEKTDWSKAPFIAAYKGFHIDGCESSVNAKFCATQGQRWWDQKAFQDLDAYQYRRLKWVRERFTIYNYCADRKRFPTMAPECKRDRDA >KZM82517 pep chromosome:ASM162521v1:9:20610483:20612217:-1 gene:DCAR_030086 transcript:KZM82517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQNMSDAYFQAGGVGGSSMMYPEVMPWTLPHGFNPVHFNPNPIRDPDTFLPPQPPSQYGAMFNRRSFASYDTSSTDHLRFISDTVLGQMGSGPFGLQAELSKLTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHLKELKRQTSIIAESSAIPTESDELTVDNEEDEDGRFVIKASLCCEDRTDLLPDLIKTLKAMRLKTLKAEITTLGGRVKNVLFITGDDQELNRDDDEQQQPHEYFVSSIQEAFRAVMEKSNGDESSPGSVKRQRTNINII >KZM82679 pep chromosome:ASM162521v1:9:22453147:22454059:1 gene:DCAR_030248 transcript:KZM82679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDATPATPTMHYAYPSPWSTGLCECCSDMPSCCLTWCCPCVTFGRIADIVDKGSPDCCISGCLYFLTLITCCSCIYSCVYRTKMREHFLLAESPCGDCVLNCCCQSCALCQEYRELRNRGFDMSLGWEGNKGRQIREVGMTIPVSPAGMMR >KZM83267 pep chromosome:ASM162521v1:9:30299640:30303738:1 gene:DCAR_030836 transcript:KZM83267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDGGVIVDGEFMGPSPPSSPRAAQSPLMFTPQAPVVPLQRPDEMHIPSPSWMQTTSAYEDISSEQGIPTMITWSYDANEVFVEGSWDDWKTRKPLQRSGKDFTILKVLPSGVYRYRFIVDGQWRYAPDLPWTQDDAGNAYNILDLQEYVPEDIESIAGFGPPQSPDSSYNNSQLGAEDFAKEPPIVPPHLQMTLLNVPSQPMEIPPPSRPQHVVLNHLYMQKGRSSSAVVALGSTHRFFSKYVTVVVYKSTQR >KZM82792 pep chromosome:ASM162521v1:9:24256585:24256944:1 gene:DCAR_030361 transcript:KZM82792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCRNLKLLPQQLGDMKGLQRLDASCTAIEQLPDSIAHLKKLVHLDLSGCEKLRKLPEQFGNMEAYGSGLEQLPDSFSDLLNLVSLHLFNCSQLKRLPEQLGKMQCLSSWGRCISYIN >KZM81569 pep chromosome:ASM162521v1:9:2740687:2741982:1 gene:DCAR_029182 transcript:KZM81569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWLGHSHVSSYLQLGKRLARRGVSIYLCSTPVNLKSVQQALVNNPSIKTVELHLPTWPELPPQHHTVNGLPPNLLSTLKKAFDMAAPEFSHILQTLKPDLLIYDVFQYWAPEVALPQNVPSVLYITSGAVTACHFHHQHNNPHSPFPFPEIYFTDYEKAKNENSFKVNAHGMTTLERVSSCVSQSQMVLVKSCNEIEEKYIHYLSTLSGKKVLPAGLIVSETVDWKEDEDTEIFEWLNKKDKASTVFVSTGTECHLSKEDVEDIANGLELSGVNFIWFVKFPDGDFMGEFLKKFRERNMGEKGIILETWAPQARILQHSSIGGFVSHCGRGSVTEALAYGVPIIAIPMQYDQPLNARVLEEAKLAEEVKRDSNGRLQEGNIAKVIKKIVFHQDGNEIRRKIKNLSASHKENEDKYLDIVVDELHKLCNI >KZM82776 pep chromosome:ASM162521v1:9:23857606:23860285:-1 gene:DCAR_030345 transcript:KZM82776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIDIEGILKELPNDGRVPKTKIVCTLGPASRSVLMIEKLLKAGMNVARFNFSHGTHEYHQETLDNLRIGMQNTQIMCAVMLDTKGPEIRTGFLKDGKPIQLKEGREITISTDYDIKGDEETISMSYKKLPVDIKPGNTILCADGTITLTVLSCDPAGGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTEKDKEDILLWGVPNKIDMIALSFVRKGSDLVNVRKVLGHHAKTIQLMSKVENQEGVINFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVRTMARICIEAESSLDYGSVFKEMIKATPLPMSPLESLASSAVRAANKARAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDESPARHSLIYRGLIPILAEGSAKATDAESTEVILQAALKSATKKGLCVPGDAAVVLHRIGSASVIKICVVP >KZM83532 pep chromosome:ASM162521v1:9:32729972:32733435:-1 gene:DCAR_031101 transcript:KZM83532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISIHQSPIHLFSNSTHFLHRLSISSSSAATCLSASSSSFSTVCSPDKRISRYENTKAVLKGMTYTEFEKWVSSHGYRPGQALMLWKCLYGDNIWAHSVEDLEGLNKDFKKMLSKQAEFTVLKMKDILTATDGTRKILFTLEDGLVIETVVIPNEKGRTTVCVSSQVGCAMNCQFCFTGRMGLKRNLSAAEIVEQAVFARRLLTSEVGSIANVVFMGMGEPLQNIDNVIKAVDIMVHDQGLHISPRKITVSTSGLVPQLKRFLRESNCALAVSLNATTDVARNWIMPINRKYNLSLLLETLREELKSKHKYKVLFEYVLLAGVNDSIEDAKRLIDLVQGIPCKINLITFNPHSGSKFKPTSDEKMIEFRNILAEGGCVVLLRPSRGDDQMAACGQLGNPGEIQAPLLRVPAQFQAALEAAL >KZM82310 pep chromosome:ASM162521v1:9:17043383:17046534:1 gene:DCAR_029808 transcript:KZM82310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWKMAAVLVLALWMIEFVMSQPQANLINQGCSQVNATSEFFSNLNATLADLRRQLSINRTHFATAQLARSSNPVYAIVQCRNYLSTADCVSCFDIAAVDIRKYCRLVNGARVIYDGCFLRYETNRFYGTANALGNAGRCDNGTIRNGTASATSYTAVVEALLSDLVIATPKTKNFYAATATKVAPGGNTTVYAMAQCAETVTEIECQNCMNVALENIKSCPPRTGARAFDAGCFMRYTNTPFFASNQTINISRYLRSGGSRNKKAIIGGVLGGFGFLLLVLALLLRFRLSRKKRISPRGKLGSTELQGPVTYNYKDLKSATKNFSPEYKLGEGGSGDVYKGIVKNGNIVAVKRLALCTSKAKADFESEVRLISNVHHRNLVRLLGCSSKGPDLLLVYEYMENGSLDSFLYGGKRGSLNWQQRFNIIYGTARGLAYLHEQFHVRIIHRDIKPGNILLDDELQPKIADFGLARLLPEDQTHLNTKFAGTLGYTAPEYALQGQLSEKADTYSFGVVLLEIISGRRCGDTNIERDTDFLLEYAWKLHDNNMHLKLVDESLDSDEYQAEDVKKVIEIALMCTQSPASLRPTMPEVVVLLISDRSLERGPLSRPTFVNSEDRIRDVPDVPPPSMSNATATLSELTGR >KZM82276 pep chromosome:ASM162521v1:9:15307979:15313168:-1 gene:DCAR_029840 transcript:KZM82276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKEEFQKEIRNAPYPHIEPYSTGMLKVSDLHSIYWEQSGNPDGHPVVFLHGGPGGGTSPTNRKFFDPEFYRIILFDQRGSGKSTPHACLVENTTWDLIQDIEKLREHLKIPEWQVFGGSWGSTLSLAYSQSHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEQFRDLIPENERDCFITAYHKRLNSENLETQYEAARAWTMWEMKTAHLIPNEETIKRGENDEFSLAFARIENHYFMNKGFFSADSYLLDNIEKIRHINTVIVQGRYDCCCPMMSAWDLHKAWPEAELKASNHIVADAGHSANEPGITAELVAANEKLKSIIKGT >KZM81708 pep chromosome:ASM162521v1:9:4721719:4723647:-1 gene:DCAR_029321 transcript:KZM81708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFANLAFRDLNRTNPCIKYVDSDGTSSTTFKIIIVSNMLPLHAHKDGEKKGLSFNYDEDSPLWQRKDGFSPDTVVFYVVSLNADIDVNKQEEVVERLMDDFNRVHTFLVNELFSEFYHGFCKHHLWPIFHYMLPMCGKHVDQFDLGLWQAYISANKIFADKVLEVANPETDYIWIHDYHLMAVLVFLRNKRYMAKLGFFLQSPVLASEIYRTLPVRADILRIFLNYDLIGFHTFDFARHILSCCSRMLGLDYESKRGQIGLDYSGRTVYIKIPSIGIHLGKVENVLNIPSTSVKVKEFKGKFKGKHVIVGVDDMNLFQAIILKLLAFEQLLRQGSSTVDEDRGIMSYFPRTSVLIIYEYVGCSPSLSGAIRINSWDISSIAEAMRSAVSMDDSLRQLRHEQNYSDVQSHDVTYWARSFLHSMERACLDHYNYQCWVLGFSFTFKVDALSLGFQKLFSKSIVPAYNRTDRWAIFLDFDGTLVPHSSTKKNLSSEVVTALNTLCDDPKNTVFIVSGRGRNSLTEWMAPCEGLGLAAEHGYFIRYFPSQTS >KZM82413 pep chromosome:ASM162521v1:9:18581669:18584912:1 gene:DCAR_029982 transcript:KZM82413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEGGTPTMPATPGTPGTPGAPLFTSLRVDSLSYERSDRKTAPRCMCFPVDAGTWGGAPHTCFGAGISIPDVSLTRKLGAEFIGTFILIFVATAGPIVNQKYNGAETLIGNAACAGLAVMVVILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYIAAQVSASICASFALKGVFHPFLSGGVTVPSIGNGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGAVVMVNILIAGPSTGGSMNPIRTLGPAIAAGNYEGIWIYMVAPTLGALTGAAIYTSVKLRDDEVQPSRSVRSFRR >KZM82865 pep chromosome:ASM162521v1:9:25370644:25377619:1 gene:DCAR_030434 transcript:KZM82865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFRGIKNDVKGRLMCYKQDWTSGFMSGEGEMEESFVPFRGIKNDVKGRLMCYKQDWTSGFMSGVRILAPTTYIFFASAIPVISFGEQLERSTDGSLTAVQTLASTAICGIIHSIMGGQPLLILGVAEPTVLMYTFMYNFAKDRPELGPKLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGIIDEFRVPNGENRQLTEFLPSWRFANGMFALVMSFGLLLTALKSRKARSWRYGSGRLRGLIADYGVPLLVVVWTAASYIPSGNVPKGIPRRLLSPNPWSPGAYENWTVVKASTMIAVLYYFDHSVASQLAQQSEFNLRKPTSFHYDLFLLGFMVILCGLTGIPPSNGVIPQSPMHTKSLATLKHQLLRSRLVTTARECLGNNSSLDQVYGKLQEAYEGMQSPVHNQEPSARRLNELKDSTIKSASSMGNINAPVDESVFDVEKEIDDLIPVEVKEQRVSNLLQSLMVGGCVAAMPLLKKIPTSVLWGYFAYMAVESLPGNQFWERILLLFTAPSRRYKILEDNHATFVETVPFKTIAFFTIFQSAYLLICFGITWIPLAGVLFPLIIMLLVILCGLTGIPPSNGVIPQSPMHTKSLATLKHQLLRSRLVTTARECLGNNSSLDQVYGKLQEAYEGMQSPVHNQEPSARRLNELKDSTIKSASSMGNINAPVDESVFDVEKEIDDLIPVEVKEQRVSNLLQSLMVGGCVAAMPLLKKIPTSVLWGYFAYMAVESLPGNQFWERILLLFTAPSRRYKILEDNHATFVETVPFKTIAFFTIFQSAYLLICFGITWIPLAGVLFPLIIMLLVPIRQYILPKFFKEEHLQDLDAAEYEEAAALPYNLAMDTEMGSSASFADEREIFDGVITRSRGEIRRMCSVNLKGSSEKPKKSFLKSFSDIGYNPRVSEMRGDISPRLGGRRPFSPRTTEGKPSHLGKHM >KZM81571 pep chromosome:ASM162521v1:9:2773003:2775740:-1 gene:DCAR_029184 transcript:KZM81571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSGLAATCNPGLIIKNRFFLQRSNLSYNFASKTRFLDNPCKKSDEFGQRLSFLRPRCEAVSVDNGEVESKVNSLSALELLKSSAADRYTKEKSSIFVIGLNIHTAPLDIREKLSIPEAQWAQAIGELCALNHIEEAAVLSTCNRLEIYVVALSQHRGIKEVTEWMSKISGVPVSELSDHRFMLYNKDAVRHLFEVSAGLDSLVLGEGQILSQVKQVVKTGQGVPGFDRKISGLFKHAITAGKRVRSETNISTGSVSVSSAAVELALLKIPNSSYASARVLVVGAGKMGKLVIKHLVAKGCKKMVVVNRTPDRVAAICEELKNVEIVYRPLSDLQSCAAEADVVFTSTATETPLFLREQVQKFPPVSSQIGGLRLFVDISVPRAVESCVSAVETASVYNVDDLKEVVEANKEDRLRKAMEAQVIITEEVKQFEAWKDSLETVPTIKKLRAYAERIRASEVDRCLSKMGDNITKKQKAAIYDLSLGIVNKLLHGPMQHLRCDGTDNRNLSEILDNMHTLNRIFGLDTDVSLLEEKIRAKIEQSKK >KZM83638 pep chromosome:ASM162521v1:9:33581935:33587463:-1 gene:DCAR_031207 transcript:KZM83638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVGNYSSSFTTAFCGCNLGGEALGILSSTQIDKRRKQNLAGAPKRMESYSTTSSIKNKIIDSHLHIWASSQQAADKYPYFPGNEPPMPADLDFLLYCMAEAGVDGAMIVQPINHKSDHSFVTSVLRKYPSKFIGCCLANPEEDGGGVQQLEHLITKDGYRAVRFNPYLWPSGQKMTNQIGKSMFSKAGELGTPVGIMCMKGLDLHLSEIKELCTEFPSTVVLLDHLAFCKPPLNDGDTTTFSELLKLSEFPQVYIKFSALFRVSRSSYPYEDLSQVLSKVVSSFGANRVMWGSDFPFVVDECGYKESKEAVSLIASKVPLSPDELEWIMGRTASELFQGHWLCS >KZM83066 pep chromosome:ASM162521v1:9:28465652:28468353:1 gene:DCAR_030635 transcript:KZM83066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVISSEPDDSEFVEVDPTGRYGRYNEVLGKGASKTVYRAFDEYEGIEVAWNQVKFSDFLQSPEDLERLFNEINLLKSLKHTNIMKFYTSWVDTANRHINFVTEMFTSGTLRQYRQKHKKVNIRAVKHWCRQILEGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYAEQYNELVDIYAFGMCILEMVTFEYPYSECFYPAQIYKKVVSGKKPDSLYKVKDPEIREFIEKCLATASCRLPAKELLNDPFLRFDDYESCLVPLENCRGWNGTGPMLRQPLLRIDSNNSLNHPYSCYSGNYEPGVDFEYIPPDFQSNEIDFMPIREGEHFENVDITIKGKREDDGIFLRLRMDDKEGRVRNIYFPFDIESDTALSVAAEMVLELDITDQEVTKIADMINGEILLLVPEWNKGSGLGEECTNHTIFGNCHNCDSNGTHLNSYSPTDPCIENLQVLHCSTNSYGAVHGRFEEIMYQYEASEQCVTEGAPVVSSQSAGTHYELSLQGSDNDIEQLEELCLRKEKKVVNVDRERYRKPTGDLADVFDNEIRQELRWLKAKYQMQLRELRDQQVRAISRNTSFSKRTDEKKERTNHQTRSSTYMDEETQSNLLRKFASERHLDFCFANDVDKSCVNKKICKYDAAVYDSYSPEDMARGKSFYTEVVLPQNLHRATSLPVDAIYF >KZM82430 pep chromosome:ASM162521v1:9:19092647:19099675:-1 gene:DCAR_029999 transcript:KZM82430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMRKNMKMVYLLLGIVMVVELGSVSANVFKVHHKLFFTKIGIGTPKKDYHLQVDTGSDLLWVHCAGCSSCPKETKLDIKLAQYDPKGSSTAKSVNCDGEFCTTIFNAPNSDCKEDNLCTFSITYGDGSKTGGYFVQDFIHFNQASGDLKTTFMNGNITFGCGMEKSGEDSDEAVDGIIGFGQANTSAISQLAAAKKVKKVFSHCLDGKEGGGIFSIGEVVKPKYSSTPMLPDMPHYNVIMTGLEVGGETIDMPPDMSESGENSGAIIDSGSTLAYLPDVLMKPMMKKILAQQPDLKLKTLEDQFTCFPYDDDVDKAFPVVTFHFKGSVSLRVQPHDYLFPVDDDQCFGWQSSNIQSDDGKQFTLLGDLALSNKLVVYNLEDQTIGWTEYNCSSSIKVKDDHSGKEYAVASHDISTASINSLNLAKNLGLLLMSFIVCTLMM >KZM83052 pep chromosome:ASM162521v1:9:28333351:28344258:1 gene:DCAR_030621 transcript:KZM83052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVQTYHSQVGPFRGDRRSSFNRHHRPLINTTMAPTTNNSCNLPHNFFPNYENSYDFSRNFWESNYCSKIAEADVVPPNKRRKFSTSAWESSTRPYIQPPIYENGPSANRNTSAYLDSVRVDVCQPSSGKRDRSKLEDEDLVFMSRDEIERCSPSRKDGIDALQETRLRYSYCSFLQNLGIQLQIPQTTIGTAMVLCHRFFVRRSHACHDRFMISTAALFLAAKSEETACPLNNVLRASCEILHNQDFDVLSYRFPVGWFEQYREKVIAAEHIILTTLNFELTVQHPYASLTSTLDKLGLSQSVLVNLALSLVSEGLRSSLWLQFKPHQIAAGAAYLAAKSVNMDLTSSQHVWQEFQTPPSVLQAINGALLEDSMEDKYSGSVTCELYQFFRVAIVHIPDMNLTYDRDICPAEWVEMVKDAQIRDGKRKYQPPPESPEKEVPKRSIRDILLNPSHPWGYDKLGKTNLFHLFTAAGTQCLYTRKGDAVKVDELRDKFVILYFLRDDVRNVATCPEYIPDLIETYQIHGKSELEIVFIWLGDDKEAFSNQFYMPWLAVLPEDERTVNVLTEEFDFIGPVCFLLFDRNGFLCLYDARANINAYGVLGFPFTHEKIEEVDKEAAKLLSEIIDGKPVTLPDILGAHVPTSDLKSKTVGLYMLNEYPSRIILEELKRICEDKKEDFVLIPIITSYHSSWSWIRAGCSHLERSIPWYTLPAIKCRYLNSVFHNKLKEPYFSYGACDLVILKGDKHIPVSYFALHIFACFGVDAYPFTIENAVQVAKKEQQGNIVLKEILSSKSILRRQDSAGSEEVITVSELDGRHVLLLFGTHGCEWESFLSTIKNWYVDKPHDVDFEIIYIHLDISLESTSFSSTIEKMPWVVHSSKPEVAVSLFECVFPISAHLPAIAAFGVNGHLETKGSDLASNDKLVSQYPFIQADMYDEVYQELKDEHGWDLKNLFPTPEEIEIRSLMYRRIYG >KZM82331 pep chromosome:ASM162521v1:9:17301167:17302348:1 gene:DCAR_029829 transcript:KZM82331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKLCDQISDAVLDACLQQDPDSKVACETCSKTNMVMVFGEITTKAKVDYEKIVRDTCRTIGFVSDDVGLDADKCKVLVQIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDQIAADLKKHVIRPIIPAKYLDQRTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKESFDFRPGMIAIHLDLKRGGNGRFLKTAAYGHFGRDDSDFTWEVVKPLKWKKARN >KZM82508 pep chromosome:ASM162521v1:9:20514197:20523044:-1 gene:DCAR_030077 transcript:KZM82508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLSRPNLRVYANHEAFLSSSSSLVMGTHVTSFTPFSGKNSSCQKRLLVSAKRISGLEEAMRIRRERELNGTPTASRRRPPLRRGRVSPRLLVPDHIQLPPYTSSNEVPEISSEFQIPDAEGIVHMRAACELAARVLDYAGTLVRPSVTTNEIDKAVHQMIIDAGAYPSPLGYGRFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFLCGNVNTATKRLVKVTEECLEKGIAVCKDGAPFRKIGKRISEHAEKFGFGVVDRFVGHGVGTIFHSEPLIFHCRNEFPGVMVEGQTFTIAIEACRKEMSLQGNSESYEQTFKGGPVFEEFIPMKNVISDSPDESEQRGDNKNGKNVVSSSDDCSNDGSTKSDWLKSVQLWNHTPDQSTDKDLIRELSVTEVQKNGSAEAFQFQPVSCAQRQEVTIPRVSSTTESGGGRGGGSSKARRHWSPDLHRLFLAAIEELGGAFVATPKQIKEKMKVDGLTNDEVKSHLQKYRFHERPSSSSSTQETQTQSNLLPSPPPAPQFVVLGGLWVPPLNYACTVTDTTILHSAPDRIYAPEPLRAQTDTMILHSPPDRIYAPKPLRAQLPENVATRLLQKRKRSDQARNHHNGSYGSDSSATSSD >KZM81729 pep chromosome:ASM162521v1:9:4975544:5005225:-1 gene:DCAR_029342 transcript:KZM81729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTPPSLSLPPSSRLSRFISPSLSNLSRFISPSLSNLSRLSTTSLSRTRFRKRGAQLAVKACVKVEEKIERVNEKWGKVSAVLFDMDGVLCNSEEPSRLAAVDLFDEMGVKVTVEDFIPFMGTGEANFLGGVASLKGVKDFNTEQAKKRFFEIYLAKYAKPNSGLGFPGALELITQCKSSGLKVAVASSADRVKVEANLAASGLQLSMFDAIVSADAFENLKPAPDIFLAASKILDVPTDECIVIEDALAGVQAAKAAEMRCIAVTTTLSEDILSSADPSLVRGNIGNISLTDILSGSSYPEVKEPQSDNTPTDPEVKEPQSNNTPTETIPELLNDIRGTSSSQDAYSTNDVALGGLQGTRRDILRYGSLGISLTCLVFAISNWKSMQYASPKAVWNLLFGVGSPPFEQSEEGSRTRIKQFVNYISDLENKGNAPTVPEFPPKLDWLNTAPLQLSRDLKGKVVLLDFWTYCCINCMHVLPDLEFLERKYKDMPFTVVGVHSAKFDNEKDLEAIRKAVLRYGITHPVVNDGEMYLWRELGISSWPTFAIVSPNGKLIAQISGEGRRKDLDSLVEAALLYYGRKNILSNTQIPLRLEKDNDPRLLASPLKFPGKLAVDVYNSRLFISDSNHNRVVVTDLDGNFLVQIGSTGEEGLRDGNFSEAAFNRPQGLAYNPKKNLLYVADTENHALRVINFVDEMVQTLAGNGIKGSDYKGGQKGNSQLLNSPWDVCFEPENEIVYIAMAGQHQIWEHNTLDGVTRAFSGDGYERNLNGSSSTNTSFAQPSGISLSPDFKVAYIADSESSSIRSVDLKTGGSKLLAGGDPVFSDNLFRFGDHDGTGSEVLLQHPLGVVCGKNGQIYFADSYNHKIKKIDPDTKRVSTLAGLGKAGFKDGAALEAQLSEPSGIAEASNGRLYIADTNNSLIRYLDLSKQEAEISTLELKGVVPPRTVSRSLKRLRRRSGVGTETVKVDGVSSSEGILSLKISVPEGYHFSKEARSKYTVDIEPEDAATIDPLEGDLSSEGTAMLHYNRSSPSASMGKINCKVYYCKEDEVCLYQSLTFEVPFQEVTSNSIPTEVRLSYVVKPKTSPDSMQLPIP >KZM82511 pep chromosome:ASM162521v1:9:20552576:20553718:1 gene:DCAR_030080 transcript:KZM82511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLFRRRMPRHQPPQYSSPDYSSLPGEIQEQILLKLQCFKSILTCTSVCKSWHALIKSRGFIAMHLSRPQSNAEYFLCSYFELFSHSILCYNSLRLESYRDLIFPVRWVKVLGSCHGLICYTNLDDVFSQIYLWNPTIRKLKILPKSKYFSKWAAYGFWYDTISDDYKVAKIPCTGVFGVEVYSLSGNSWDLIATSGPSYSKTYFDKVLHVNGTLYWLASDEKYWWDSRKNWRIISLNMKNLMFRDTLLWPVEKSRSGVKFDMLGAGSRVILLFSFINNSGTDQMGIHVYDENLKELYRYESGSSEKEFLRPLGVRNSGNEVLLQKLGTDAPIVVFDVGELKFKEFCSSTKTIVRAIPFVETLVLLGNGDSRSLPNAR >KZM82678 pep chromosome:ASM162521v1:9:22442922:22443569:-1 gene:DCAR_030247 transcript:KZM82678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHLILAITSLLSTTLFCYSVAAATPPDIAPSPVPSAASNLFFIRNNCQATLYPDLCFTSLYRYAAYVQEDPALLARAAVGVSLNRAKRMANYVQNLSHNAEDEAAEPRVAAALQDCFEVFSDAVDQIRDSLQQMFVLDGSGDSLRFQLSNVQTYMSAALTNEDTCTDGFEEINDGPVKKSVCNRSEKVMHLTSNALALVNNYVSKVTEYYAEP >KZM81631 pep chromosome:ASM162521v1:9:3679589:3680964:1 gene:DCAR_029244 transcript:KZM81631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGFQLFMVLPFFMALSFFGMYEARAGRLLMNAPFQLPAIPNFPFPSFPGIPVVYYVDWDFNIKDWPSGKTFHAGDIIVFNYNRNLHNVVTINETKYEDYLNCVVSDKAKVLSTGNDAITLQNGVNYFICGTMGHCTAGMQIAIVTT >KZM81913 pep chromosome:ASM162521v1:9:8368585:8374350:1 gene:DCAR_029526 transcript:KZM81913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVDLETPTLCLVGAPNVGKSSLVRLLSTGKPEICNYPFTTRGILMGHIHLTDQNFQVTDTPGILRRRDEDRNNLEKLTLAVLSHLPTAVLYVHDLSGECGTSPSDQYTIYKEIKERFGDHLWIDVVSKCDLLQDSPVVYITEDSTADNTELAIYRKMGPAGSLRVSVMSEVGIDELKSRVHAMLWKKYSRLIGMASAWFISSTSQGIVAFMLPAADKNIGAYA >KZM82504 pep chromosome:ASM162521v1:9:20440094:20444339:1 gene:DCAR_030073 transcript:KZM82504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRVYVKWREVFVLSERGRKEVRYYLKRRDGVSDLVVVGKERRDLKVYRYNIRETSLVGQADFKLKSRKDVIEWLNSVISGSNSHNHHPPVPVGGVSEVISDCQPSSQAKDGQLQKPGKHGTEVLWVGSATALKKKRKHYQSFQRNGVKISVHDFVYVLAEEDKPLVAYLDDMYEDSRGNKMVVVRWFHKIDEVDIALPETYNYNDREIFFSLCLQDLNIECVDGLASVLSPQHYQVFLREARHTRFEPFICGMQFENDDVKPFDITQVKGYSEQKIFDYMLPTSPSTNAENFLAKDGLKLDVECNENFTVKPKKRLRLSNKYGACLQPANKSQGLYDATRMDPKLTTGSNDNIVGPDLSVLKQSVPPVSLPPREVVMQKSKALTPGVQIEVQKSTAFSVGGQIEVLCQDSGVRGCWFRALIIKKKSDKVKVRYLDLKDAADEVNNLEEWLLASRAAVPDEWGFRISRRKTVRPAPVHSKHGGEKGGDGLIIKDGTVVDVWWHDGWWEGIVIQKESEDSIHVYFPAEKRESVFCWKDLRPSQEWLGTGWKQMGERQDLLTSMLPKLDRKPDTLIPCQSVVCIDKLQLGFDQEGKLSNSNNTDDKYVARDIVKDDLLEKLKWKTSGKRKRSPNSSRKPFCSETVNRNRVRAFGTRTWEKFFISSSAKVDHENCKNTRESAFSSPVVSPLSNLVLSR >KZM83561 pep chromosome:ASM162521v1:9:33003483:33004219:-1 gene:DCAR_031130 transcript:KZM83561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHALHYYLSFLLLLYHNLPSYGIESPQYIVVLSAPDVEIRLYNESIWISALVQASNSFEESTEDGFHRLYQYIHGANANSSQLKKTAPILTSISQTAVNSSIHYVKFYLSRQVGAVPQPSPELNLQVEMWRSHCIAVRRFSGFAKDGNIDKEKLGLISSLDKLSSSAKSKNIVVFEDKSAYAVAQYNASFHLSGRINEVWMNVSAEGCPLY >KZM82805 pep chromosome:ASM162521v1:9:24595605:24597838:-1 gene:DCAR_030374 transcript:KZM82805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFIDRSRPRSNPDTTMANLRQFVITQYQAAPRQVKNMAQQFFNAMDRDGNKSVDYSEFKFFLVSEGLEYYAGRNLFMMLDGDGNRGLDFWEVMTLYYIIKSGRPFCFSCDIFLSGVYQVCNMCRGGPSYICNNCQQGHLAEHLQPKIRQTIRPSMNRPRAPNAGAMYPSRGHPARFSSSNSMVPVTRSAAHFSSSNSMVTMNRNNASFSSSNSMVPMNRNNASFQVRDDYSSLRTAYKAFEMAMDMADLASTLASCSIM >KZM81779 pep chromosome:ASM162521v1:9:5892545:5899237:1 gene:DCAR_029392 transcript:KZM81779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLSRTIYVGNLPGDIREREVEDLFYKYGHIVEIDLKIPPRPPGYAFVEFEDARDAEDAIEGRDGYKFDGQRLRVEFAHGGRGSSSGDRYSSYSSGGSRGGVSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVYPERGHGRGVSGVVDYTNYDDMKYAIRKLDDSLFKNQFSKAYIRVSSAGWSKLRNMMRDVVTLGVLVGVRIQEAEAGAAVIAAGAEASLQGQNILVVLDPGLGLVQGLFVLNHLAQYLGLAQDLDLQPMLLVAADRLAEVQAGIDAFPDPVAHLRCYLIEPRR >KZM82440 pep chromosome:ASM162521v1:9:19169422:19170890:-1 gene:DCAR_030009 transcript:KZM82440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQPTNLLAVLTHDGRPLTWPSDFPEIAPEDTCEEDTPYHVTVLFSHLHSSRLSNSSSSSTGKAVDLVPEYRRSLILDL >KZM83250 pep chromosome:ASM162521v1:9:30141528:30142826:-1 gene:DCAR_030819 transcript:KZM83250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRRKKWSEAEERTLIDKYGEMVCDGSLAKMKTREKKFRPISLYVNSVHHIRDPISYPWQWTWKDVCTKVQNMRHQYSLVKQKIKKTESVGGDSGGEEFDWVEGLTHWSNFLRYKEIFGDVGPVFVGNDSMVVGNNENGEGFDGNSHGMDVVPFGDLGQGEDGDFGGGMDGNGVLGLEFEYDGEEGEENFNGGNSGLLKEDGDNGFVYQDLEPMGSDTRKKRKVLKGLDKKAWGFLANQLGQLRESETRFEQREVERERERQRREHLRAENEQLRERKWEQRENERQERVNDMEKLRRQRTSEWESMEKESEERFRRRREEQLMYETELEERINRRRLEWKKKIDETLNQHRAEMSQIQTRILHEQQNLTGHLLGMVSQWTGPSAGIPDHTGASNHYLSQMMQNMHHVNDIVHGDTRVEGDSQDDQFIID >KZM81911 pep chromosome:ASM162521v1:9:8352671:8354151:-1 gene:DCAR_029524 transcript:KZM81911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFMSQVCSQSSSVFDVKDSGAVGDGKTDNTNAFLDAWKKACQSGGTVMIVGGTYLLKTIQFAGPCNGQVSFVVNAVIQAPQGQSNEHYWINFNDINGLTIQGNGTFDGQGPSAWPIHNTASCSPLSPSLVLTKLNQSLVQNVKLLNSKGFQMKIEECEKITVNNITITAPADSPNTDGIHTGNVNHINIVDSNIGTGDDCISMGAGTTNINITRVNCGPGHGISIGSIGKYSTDQNVEGVKVENCTMSSTQSGVRIKTWNSTFSLSVADVTFQDIVSDKAQNPILIDQQYCGGRHDCIGSSHVQVKDIKFIRVSGTSASEIAVNLNCSSSNPCYDIELNDINLSLENGGKATSWCSNARVSYIGTQNPSSCQQALAPAMMLEYM >KZM83618 pep chromosome:ASM162521v1:9:33387300:33389282:1 gene:DCAR_031187 transcript:KZM83618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVEAPIKGILSLQRAALFRRHNEHLGIVSRLFSTQSATTGTTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGIHDPFLKGALKRGDWHRTKDLVIKGTDWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEIARQEAYKAGYLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFSSFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLIPKNVCEDVLMDFDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERADRELQQAAAA >KZM81827 pep chromosome:ASM162521v1:9:6585955:6587234:1 gene:DCAR_029440 transcript:KZM81827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLSSPADQREKDVAIIPVTSAVPSFPCILKRRCIVSDPPSEVLESADLLREILVKLPPKTVPQNPSAVFVRRLVLQEDHDVVKYTHIPLEGVTRGGRVRVRRSPLSLNLMEEEEFNQASFVIQHSSNGLMICSSCNGRCYFLFNPTNKKCVRIPVLINFCYWVISMHISFLAPGRHKIIAVYLPTYRGDRLKLLVLEPNQNPLQWRNTGVEFPVTGTEVVNYWYGVDMDGVIYWPCYKSSGLMFFDVREETVHWLPEVPHPYDKFSGLAYFGECKGNLCMVADVSMRRGTFDMLELKTDRSMWFIKYHIDLTGRVSRDENLQILVLALLPGEEEESDSYLVIHFLRDVVSINLRDGTIRKLCDLGLRTTESRLLRSEPWHYVHPYRQNPVYPRLTAS >KZM82397 pep chromosome:ASM162521v1:9:18319038:18322715:-1 gene:DCAR_029966 transcript:KZM82397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVARSNVAGDDLEKGKTVHKGDEEAEGVAVAYLVWEDLSVVLPNRRRLLNGLSGCARPGRIMAVMGPSGSGKSTLLDSLAEDNGYKLICRRWLKFAGRLSGNVIMTGNVLLNGRKQSLDYGFVSYVKQEDVLLGTLSVKETISYSAHLRLPECLSKEEVNTIVEETITGMGLEDCKNGLIGNWHLRGISGGEKKRVSIALEILTSPSLLFLDEPTTGLDSASAFFVIQSLKNIASDGRTVISSIHQPSSEVFALFDDLLLLSGGETVYFGEAKMALEFFADAGFPCPSKRNPSDHFLRCINSDFDAVNETLRGSKRITGRDFLKCGDPLENMLSADIKENLVKNYKFKYSSRTKSNIREILSIEGLSKGLNCGSRAGWLKQLITLTNRSFVNMSRDIGYYWLRIFIYIALSFCVGSVFFNVGTANRAILARGGCGGYITGFMTIMSIGGFPSFIEEMKIFGRERLSGHYGVGVFTISNFMASFPFLAVMSLGTVTITYNMVGFQPGFTHFLYAYLDLFISIAVVESYMMIVASLVPNFLMGIIAGAGLIGIMMMDAGFFRLMPDLPEIFWQYPVSYINYMAWALQGAFKNDMIGLEFEPREPGEPKLKGEYILKTMLGVSLKHSKWWDLAVVGGMLIFSRLLFFVILKLRERALPMFQKLYAKKKIEHLHERASFRKTQTFPSLRHQAVHSLSSQEGLNSPLH >KZM82848 pep chromosome:ASM162521v1:9:25204925:25205338:-1 gene:DCAR_030417 transcript:KZM82848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPSNTSWVRTIKSPFRKARTFFNNSHSHQGSVAAATTRAHRRSKSRHPEGHDDEDKKMVDLQLEGEVMACTYEDVQVMWSILDKSNNKPRSSLCNVNS >KZM82967 pep chromosome:ASM162521v1:9:26615400:26616884:1 gene:DCAR_030536 transcript:KZM82967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMVGVETFVDVVSVGKGMRDLTNMVIAPVRIPTGETCSSLTCTEIVNFITGGYRLSKAQSSDLFWEAVWPRLLARGWHSEQPKKQAYVPGAKDTLVFLTPGVQKFSRELVKGNHYFVSVTDVLDKVGSEPELLELHTENDKGNKEEEDGWIKEIKEQENLNKRRQCYMQLQAPISDMDDRKVTIVDTSLDNRKFPKFRESRTLPMDISKIKIVRRDNDQCTFNASTKKLDCAHNMLVAQETNSTTHVKITSDTGKIFDSSSDRLVQIHGSDSIKPVKKVEKHKKLYDDQQARKPMDIRLSQKQKRNDLDTLTSVGKRYRTVASCCNEEIGSGRSTIPSVAEIGDHANVCCSNIHDPNNNKVSQLGLSKDRMATSSQKESPCWSIGGSLDDNCLDQKNPQSSMLIGLNSPQQPVKYPHSVFLTVSTNMQDDITSTQQDVFCAPKTSADISVPAQPANLNSRRQSTRNRPPTARALEALVNGDLTASSRRRRKE >KZM82564 pep chromosome:ASM162521v1:9:21172908:21173390:-1 gene:DCAR_030133 transcript:KZM82564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELASEQLEQLKEIFQRFDMDKDGSITQLELAALLRSLGIKPKGDQIHALLTNMDANGNGSIEFDELVEAILPNMNEEVLITQDQLLEVFRSFDRDGNGYITTAELAKQMAKMGQSLTYAEISELMKEADTNGDGVLNFNEFATLMGKSASEFLSALV >KZM82238 pep chromosome:ASM162521v1:9:14333912:14334468:-1 gene:DCAR_029878 transcript:KZM82238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMSSHQYSMKMNVGPSTTMANYIPISIQLFLCLVLLSSRACNARPLGAPLSCKKDLDCLMNKSVLPAAQPIVSNSMEKLSTERSNLDGKRRECDPPKAHPPAPPLLESYVEAESRVSVPWRVPHNKIGEERPHEFNLDYDPPRTHPPIHN >KZM82306 pep chromosome:ASM162521v1:9:17022468:17025047:1 gene:DCAR_029804 transcript:KZM82306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDATISFAIEKLGDFIAQEVSIRIGVKDGVRWLKDELVYLQSAVRSAELRQDEEQICNWVNNVRDVAHDAVTILRDFNALQQEQAAVKQGVLDCFRGCVCMYKKEVKLYDIGKDIESLKERIVVIKNRRIEYGIDNILSTPNVQKKQRTLLRTTAILHQVDVVGFKDDFKTLMAELDSEDPSLKVISIHGMGGLGKTSLATKLFNSGELRYFDTRAKVCVSNEYNIIDVLKRIIKSFKGLEHEQYISNMDEHDSLQYLSKLLQCGGRYFALIDDIWDIKAWEQIKIAFPNQKNGSRIIITTRNKIIAQAVEDKCFVHQLRFLREDESWQLFCKRVEPTSLNMEKLGREMVGKCGGLPLAIVVLSGLLVHNMSYEYWLKVKEHIWRHLKEGGSFQIQEVLSLSYSDLSPQMRDCFLYLAKYPEDHVFYPRELKLPWIAEEFISEADEGEGLVLEDLAEDYLNELIDRNLIQVESLQLNGQALTCRVHDLVRELAINIAKDHKLLAVFDLSKHHPNQIQLLEGRLRHVIYNGFGVYLNLLEPKFDALHLHSLTLDNYIGLVELKEMKLMYTMFKNLKVLDMTSVVSDRIPEEIGELVFLKFLGLVGCEKLSQILVIPPSIGKLRRLQTLKGMNYKHYTLPREICELHELRYLDIEISGSLNIGSHQTKLHTLSWIHYKHLLQIDVVNISNLCTLYIHIEEEEYSYSMEFIPSLTSLKTFSIYSPFNSIPTTKPLSTCNRLESVTLFGPMEDPSGLIFLPDSVMDLTLAGSEFREDQMRTLGNLSNLTALELDEVYEGDRIGCNHKAFPSLQILRLRHMHYLEELQVEDGALPSLKSFQTTQCGRLKKIPAPLERLWIKDE >KZM82346 pep chromosome:ASM162521v1:9:17681158:17682639:1 gene:DCAR_029915 transcript:KZM82346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHGIMGRMWLHIGLKRLANRGLRSLVVAYQEVPEGGKESAGGQFIGMDE >KZM81497 pep chromosome:ASM162521v1:9:1838457:1841572:1 gene:DCAR_029110 transcript:KZM81497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLSVPLVLPNPPPTKPFFHETHHHPTSTPPSLTPLFQDYLLQQNPKTNLSQTHKPKTPNFNSFSRTRKRIGKHKDPNKGKPWSSSSLSPVGEQTLQALLNSGFENDEFDGIMCNLVGLDEVESCFDVKSVSFDVLGVIKGLGYHKKCDLALRVFEWVRNREGLENLLDGSVVAVVISMLGRDGQVSTASNLFHKLYKDGFVGIDVYAYTSLITACASNGRYREAILVFKKMEEEGCRPTLITYNVILNVYGKMGMPWNKIKAVFDGMKGSGVAPDAYTYNTLISSCRRGSLYEEAKRIFEEMKVAGFTPDKVTFNTLLDVYGKSGRPKEAMNVLKEMEFHGLFPSIVTYNSLISAYARDGMLDEAMEFKNQMVEKGIKPDVFTYTTLLSGFEKAGKDEAAMMVYEEMKSAGCNPNICTFNALIKMHGNRGNFNEMMKVFEEIKLSGCSPDIVTWNTLLAVFGQSGLDTEVSGVFREMKRSGFVAERDTFNTLISAYSRCGAFDQAMIVYKRMIEAEITPDLSTYNTYLAALARGGIWEDSEKVLAEMKDRGCKPNEQTYSSLLHAYANAKEIERMRALADDIYSGNIEPHVVLLKSLILVSSKCDLLMETERAFLEFRRKGFSADITILNAMVSIYGRRQIVTKANEIINFMLESGVTPSLTTYNSLMYMYSRSANYEKSEELLRDLLGKGIKPDVISFNTVIYAYCRRGRLKDASRVFTEMKKYGVTPDAITYNTFVASYAADAMFVEAVDVVQYMIKQGCRPNESTYNAIVDWYCKLNRRDEAIKFIANLRQLDPHIRKGEETRLLGRTSKAYVLRVAFERFKAIMKLAKIHA >KZM82873 pep chromosome:ASM162521v1:9:25402263:25411400:-1 gene:DCAR_030442 transcript:KZM82873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDLSPKTYVFKLMNSSGQTESGESEKVLLLMESGVRLHTTEYIRDKSNTPSGYTLKLRKHIRTRRLEDVRQLGYDRIVLFQFGLGANAHYVILELYAQGNIILTDSDFMVMTLLRSHRDDDKGLAIMSRHQYPLDMCRVFERTTSEKVQDALASKREHENSLNAQVTEVGDNVSDVPKGKQNRKNTKSTDSSKAKTGASSKQLTLKVALGEALGYGPGILEHIILDAGLAPNLKLTKDFELDNTVLPALLKAIDKFEGWLEDVIKGDKIPEGYILMQRKDLGKDSSNSETQSSNQIYDEFCPLMLNQFKSRDSLKFETFDASLDEFYSKIESQRSEQQQKAKESSAMQKLNKIRTDQENRVHILKREVDNSIKMAELIEYNLEDVDNAILAVRAALANGMTWEDLARMVKEEKKSGNPVAGLIDKLYLEKNCMTLLLSNNLDEMDDDEKTQPADKVEVDLALSAYANARRWYEMKKKQESKQEKTVSAHEKAFKAAERKTRFQLSQEKTVAAITHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTIIKNHKPENPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGMLFRLDESSLGSHLNERRIRGEEEGLNEKEESEQFKELSDSESEEKVPEKKHDPPSGSTPELTREEPKLETLSTVETSATLYDKHTGSETSGTTVNSVTPQLEDLIDRALELGPASASAKTYGFQGSQEETAQENLEDGKNAQREKPYVSKAERRKQKKGQKSDSVNGSVDHGKEQDVENNSDTRSESDKQNQLSKPGGGGKLSRGQKGKLKKMKEKYADQDEEERRIRMALLASAGKVQTSVEPKPQAVAAVAKPVTGPEDSAKICYKCKKAGHLSRDCQEHPDEAVQSRGSTGPQNNSNIVDIGGNEMDRIAMEEDDIHEIGEEEKEKLNDVDYLTGNPLPTDILLYAVPVCGPYNALQSYKYRVKIIPGTAKRGKAAKMSMNLFSHMPDATQREKELMKACTDPELVAAIIGNVKVSATGLSQLKQKEKKGKKASRQKN >KZM83569 pep chromosome:ASM162521v1:9:33046542:33050344:-1 gene:DCAR_031138 transcript:KZM83569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNSSASMELAIIDKNKANLSSIRDLYEVEYSRFFTPHSHSPDSSSSHPSLTPLRSSRGSWISSDILASLRLFAAAFDRRGFVLLVSLGRKVLEEHYISKLHITWPQVSCLSGYPARGSRVVLLSYKDSAGQIHKFALRFLSVYETEQFISSLKEILDDSSDVGEPSSNFGSAQSPPSECVISSGSPYRPTKDWSPAPSTNTQSQRMSPILNPDALQTSNPPEPIYYNPVEATHPNFPPSFTSLLLNCNPAVHQAAAQVQPSLSEDADLKDQIARYMEDSSFQDMLMKVQKVFSEIDDLTIG >KZM81344 pep chromosome:ASM162521v1:9:198931:201476:1 gene:DCAR_028957 transcript:KZM81344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQEPLVFTVTRRAPELIPPAKPTPFEYKLLSDIDDQGSLRFRVPVIYFYRKRNDKMDPVEVIREAISKTLVFYYPLAGRVREGAGRKLGVECTGEGVMFIEADAEVTIEELGGDAIQPPFPCFEELLFDVPGYSGVLDCPILLFQVTRLKCGGFIIAVRLNHTVSDAGGIAQFMNAVGEIARGADSPSVPPVWQREILNARDPPRVTCTHHEYDDVPDRKGTLISVGNMVHRSFFFGPAEILVLRLLVPSHLIKCSTSELLTACLWRCRTRALQFDPEEEVRLLYNINARGKFNPPLPKGYYGNAFAFPAAVTTARKLCQNPIGYALELVKKIKKDFSEEYMRSVADLMVLKGRPPSTAVRIFHVSDVTRSGLGDADFGWGRPVYAGAARGGVGNRPVAATFYVSCKNKKGENGIAVTVSLQASVMEKFAAELDHLLKNNDQLVINNTSIPTKSAL >KZM82573 pep chromosome:ASM162521v1:9:21262603:21263221:1 gene:DCAR_030142 transcript:KZM82573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRGIKRKHSEIEQEALGVELRKLNNDSAELNSDMKSAKEDFAMMCKSMTDRQAEILTRGLFVEEQHRRVIDLLNERQDIVDVTYTGDGETARPSGNADIRKLARESDESLRDHRIYREIELQDLEKVFKQYKGMLKQNMTEMRERAEDIEKQRRQLSPKLTQLARSAAKQSTPE >KZM83375 pep chromosome:ASM162521v1:9:31269651:31273875:1 gene:DCAR_030944 transcript:KZM83375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKAEARAFFVFGDSLVDSGNNNYLATTARADSPPYGIDYPTGQPTGRFSNGLNIPDLISEQIGSESALPYLSPELTGEKLLIGANFASAGIGILNDTGIQFANILRMPRQLEYFAEYKERQIYDLGGRRVVVTGVGPLGCVPAELGQRRNNGKCSAELERAAGLYEPRFQQMLKELNSEVGADIFVAANTNLMNSDFISNPQAFAVKPPAVLFAKASNLSSNVRWVHQNLGNSVVKFRVAMGTSPTHSSKLSAPGSYQAVVSDIGSHEFENFDGKMIKLEEGIEKVIYGCRFLAILAVWGSLVGSFLCFIKGCAYVVAAFGEYMVNRPKVIELLVEAIDIYLLGTVMLVFGMGLYELFISNLDMKKYMSDQRSSHRSNLLGLFTLKERPRWLEIKSVNELKTKLGHVIVMLLLIGLLEKSKKALIISSTDLLCFSASILLSSGCLFLLSRLNGSK >KZM83071 pep chromosome:ASM162521v1:9:28497809:28500379:-1 gene:DCAR_030640 transcript:KZM83071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGATLNHVSRESSDINRLAQFYQEILGFEKMETPDFGFGVIWLKLSSSFALHLIERDPATKLPEGPWSAVSAVKEPSDLPRGHHIAFSVANFESFVDTLKEKGIQTHERTQPNGKTKQVFFFDPDGRF >KZM83451 pep chromosome:ASM162521v1:9:31926916:31927584:1 gene:DCAR_031020 transcript:KZM83451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYYKIGNLDSPLSLYVYSCNLGSWSSPRNSLFTEVWWDNHGLPSAIVSGVPYWTYSWYSRGTIKLFKFDLISKDFRKVPELYLLDHKNFIVVNLKECLSALVYDYALVNIFVDVHCFDEGLGVWSKMYRVGPINGDMFSRGWGLMYNVRVSGKLLGCFKHNGEIVFSANCKYKCYHHETNKITDLHNQEGYTEECFSYKASLFFLEGMKPQHQVEPTLWK >KZM81556 pep chromosome:ASM162521v1:9:2580622:2590637:1 gene:DCAR_029169 transcript:KZM81556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPFYMGGVSYSGITIPLIIQEIHNGNEDGNRPEINIKGFLIGNPLTDRNIDFNSRIPYAYKVALISDQLYESAKEHCSGDYINSKNALCARDLRRVDECLEFIYDNHILEPVCASSENAASNPLLLQPLSRSWCREDNYVYNVLWANDDDVQRALGIRQGIVKDWVQCNGDHYEIGKNDTDTYSYNVESSLPYHRNMTRKSYRALIYSGDHDSVFPHVGTEIWIQSLNLTVESEWAPWFVDQQVAGYQTTYSHNNYSLTYATVKGAGHTASEYKPKECLAMVDRWFTHNSLKPVYNAIGLLNT >KZM81666 pep chromosome:ASM162521v1:9:4298123:4300052:1 gene:DCAR_029279 transcript:KZM81666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLLRSIRRRELSSAFQSLAGNAKTSWSASHMSNKWASLARPFSTKPAGNDVIGIDLGTTNSCVAVMEGKAAKVIENAEGSRTTPSVXRPTNLLWVLAHGEQVFPRVKEKCSLKVWFASEDINSLEELTGRFKRLKENVGFIANRVTAVQAGLDSWQAEQINRKLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTGQKDPKLKNGFLNVMLLCVATLVLVLLCFLFSALYTRMDAWRRRKDLTRSLSINRRFSKKSERAGYLRI >KZM82852 pep chromosome:ASM162521v1:9:25245229:25250878:-1 gene:DCAR_030421 transcript:KZM82852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDNKAGETATTVNNLPVTEEALKFPERSSDKQDLAKGKKKEVANSVPFHKLFLFADSTDYALMFIGAITAVGSGISFPLMTLILGDLVDTFGGTVDTTKVVHAVSMVALEYVYLALGSGVAAVLQVVCWNITGERQAARIRCLYLKAILRQDVAFFDIESRTGEVVERLSADTVIIQGVLTEKVGKFMQLVSTFLGGFVIAFVKGWLLALVLMSSIPCIVIASGMMTIFLGKLMTRGQAAYIEAANVVEQTVGSIRTVASFTGERQAISKFDESLDTAYLSGVKEGLAAGLGAGIYMLVLYNSYALAVWFGGRMILHKNYTAGEAINVIIAVLTGSISLGQASPCLTAFAAGQVAACKIYETLNRIPEIDSFNPKGRKLDDINGDVELRDVYFSYPSRPNELIFNGLHLTVPSGTTTALVGYSGSGKSTVISLIERFYDPQAGEVLIDHINLKDFQLRWIRSRIGLVSQEPVLFASSIKENIAYGKDGATLEEIKAAAELSNAAKFIDSLPQGLDTLVGVHGTQMSGGQKQRIAIARAIVKNPKILLLDEATSALDVESERTVQEALDRLMVSRTTLVVAHRLSTVKNADTIAVIYKGNIVERGSNSELLQDPKGAYSQLVKLQRIGRVPEQQVATLQDIPDSSTTNSERHPRQQISEIGTISTRLSETSINDSHSLTGRLGVPSSTIVSDKATADTPSDKLEKFTLRRLAYLNKPEIPVLIVGFLAALANGIILPTFGLLFSSIIKTFYESGHKLQKDSEFWALMFVGLGVASFVAMPLRTYCFAVAGCKLIRRLRLMCFEKVIHMEISWFDKLENSSGAIGARLSADASAVKGIVGDALGFLVQIAATAIAGLVIGFQASWQLSTVLLVMLPLVGLNGYFQIKSFVGFHAKSKKLYEDASQFANDAVGSIRTVSSFCAEDKIVQLYKKKSEGPLRAGIRQGFITGSGIGISMFLLFSVYATCFYAGARFLEAGKIKVPEIFQVFFGLTMTAVGISQSGALAPDTGKAKSAAASIFALLDQKSLIESRDDNGITVENMKGDIEFQHVNFVYPTRPDFFVFRDFCLIIPCGQTVALVGESGSGKSTVISLSQRFYDPDSGNITIDGINIQDFKLKWLRQQMGLVSQEPVLFNDSIKFNIAYGMEGNATDAEILAAAKLANAHNFISALQQGYDTRVGERGIQLSGGQKQRVAIARAILKNPKILLLDEATSALDSESEKVVQEALDKIMAERRTTTVVVAHRLSTVKNADLIVVVKNGLVEEKGKHEELMNVKDGIYSFLVSCSSAC >KZM81722 pep chromosome:ASM162521v1:9:4834237:4834440:-1 gene:DCAR_029335 transcript:KZM81722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTALHWSPAPPNVLKINVHGHSVSTPLSNDNSTGIGGIFRYSGYDMKLLTTGFIPGLTPLGNNL >KZM82370 pep chromosome:ASM162521v1:9:18013645:18014574:-1 gene:DCAR_029939 transcript:KZM82370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVETSLPCHIISPPLQGKEQADEQPKKKRRRLKPNVSVQQPVLPVTEPDDEHQSPLPDMNQSDAQLASQNMHASHQKSNMVAELILPNKDTCREFRLPVLELNNNHFVHTSANVTTLNTFSSDRSVPDPVMQRQKLNNQRFTRGPQESVLHVVRQESVLPNVPQSYNLHYGSPHSSLHPEAENNVFLQGRPYDFLNAQVTLPARNAEQQSRMLYNEFHTRPNSSGVNMPVSQESRGALPGHDFSNYINNTFDNEQGRSVQSDYGSPPLSLDFTEFDSTYNLGIGDTDPLDDPDFDFLLDDEIMHCFGA >KZM83365 pep chromosome:ASM162521v1:9:31166916:31169220:1 gene:DCAR_030934 transcript:KZM83365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEREINRDVELVDSRGLRHSLLLFWALSCKDEHSELTVDASISRMIGCDEEDERTLLRYRTRFASSAIIVEPICSTEGVIERVFENRRAEMSPDISFYSKDEYPHRDFALTCGIRAFFCFPLCSPGRVGVMEIVSTRNQDVGILESFCTSFKDWRSLDFSFRDSDLQKYENPVLGRVLEEVCQTFHLPLTQYWTCKGGFYYSLNQFSGRDFENLAPWCQFKDACLCKGFNRLLGVSNRSAGAFFCSNISALSITKYHLAHYAKNLGSIACFTIYLLVLEEEKEYGREYALEFFLPSQEMDNDYPQTLLNCIWTKVRESLPNCKLAAREREKPGQVLLVKVINSSTHSQPKSFEVGHPQSSLPHNEGSEFTHTLELFEKGPNQISNSKSYEEAAVGETSIRILNEASQPRGSEEYFNTNGKKVTAERDNLVEVSSDDEDVEPVTPESEQLKKSKIPCTVENVNKHFGRPLKDAAKSFGLSQSTVKRICRDVHIECWESGKSQKTDGKSEAKDFSLATSSLPNRCVATDISQDINMMTVKVTYDARTIRFELPSSSGLEELENSVIKRLQLDRKSFSIKYQDDEDDWIDITCDEDVQECMKVSRSLKKPTIKMKLGPAY >KZM82344 pep chromosome:ASM162521v1:9:17616012:17627596:-1 gene:DCAR_029913 transcript:KZM82344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENPSAAVGGVVKTSNSSSMWSRRPPVRVTSEFDSESCSYFHKISCKFMDNLAKLKLSFQNNSKGQVSDPHLTILSKHLSLDYDVEEQNALVKAFFPLSPTLHLRATRDIKAQQGELAVVADLTSTGYKFELSSALPSGGLPRASLRFPLGEVSLEEKEEDKDHVKKMLSINGILKGQLLKGVCTAIYRDEKLNMRYSYKDEQMSFIPSISLPSNTLSLAFKRQYSPSDKLSYFYNFNSNFWSVVYKHKVGKDYKFKAGYDSEVQLGWASFWVGDEAGKAKAAPKKMKFQVMLQVPRDDIKTSTLMLRLKKRWDF >KZM83108 pep chromosome:ASM162521v1:9:28796698:28804604:-1 gene:DCAR_030677 transcript:KZM83108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFLRQCGGYAVIDGGLATELESHGADLNDPLWSAKCLLSSPHLIRRVHLDYLEAGANIIISASYQATPQGFEAKGMSREEGEALLKKSVEIACEARNIYYDRAAKGSWDVTGNVPEKRPVLVAASVGSYGAYLADGSEYSGVYGDTVTLKTLKDFHRRRVQILAKSGADLIAFETTPNKLEAKAYTELLEEENINIPAWFSFNSKDGTNVVSGDSISECALIADSCKQVVAVGINCTPPRFIHGLVQSIKKVTSKPILIYPNSGETYDGEKKEWVPTTGVADEDFVSYVSKWCDAGASLIGGCCRTTPKTIRAISKTLKRGAARESCEMATPLLTTTLTIQNPNKPITENPPETNSLKLCKTLKELKQHQAHITKQGLKKKTHLLTKLIAACAELATPESLKHARKAFNLYYVKKENAPYLYLLNCLIRGYAFCGLCDESVKIYADMLRQGVGFDHYTFPFVLSACAKKDGFFEGVQVHGSVVKMGFEEDVYIQNSLVHFYCECGEVEEGRKVFDEMCERNIVSWTSLICGCARWGFAEEAVSLFFEMVGNGVRANEVTMVCVISACGKLRDLELGERGWRYIGELGVEMNEVLVNAVVDMFTKCGSVDKAREVSRGCVGGDLVLYNTILSNYVHQGQAREVVPVLNDLLKQGLKPDRITMLSLISASAELENYLLGRECHGYVLRNALEACANISNSLINMYMKCGKKELGCMIFDRMMNKTVVSYNSLIAGLVGNDDVELARKIFSEMPETNLVSWNTILAGLVQESLFEEAIKHFRRMQNKGIEPDEMTMVTVASACGYLGALDLAKWTYRYIKTNNIYCDLRLNTALVDMFSRCGDTRSAMLVFDTMKERDVSAWTAAIGAMAIEGNGEQAVHLFHEMIMQGVKPDEVAFVKVLTACSHGGLVNQGMSIFQSMTELHKVSPQIVHYGCVVDLLGRAGMLKEAFNFIQTMPIEPNVTVWRAFLAACRTHKNEKMATYAAGMIAESTGEDAGVQVLLSNIYASAGKWTDVAEVRLSMKAMGIKKVPGSSSIEVNGTIHEFTSGDQSHLVTDLILPILEEMNYRLRDVGYVPDLKSVLFDVDEQEKDTLLSRHSEKLALGFGLISTSHGTPIRIIKNLRICSDCHSYAKLVSEIYDRKIIIRDNHRFHVFEHGICSCSNYW >KZM83268 pep chromosome:ASM162521v1:9:30311076:30311395:-1 gene:DCAR_030837 transcript:KZM83268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFCLYGCKLCGWGEVDFVRLDRSTSPFFNFSKPETTATRWNRVSRVLPRCESTEIGSSAVQVFSRSMPG >KZM83533 pep chromosome:ASM162521v1:9:32744466:32746674:-1 gene:DCAR_031102 transcript:KZM83533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLAPGILLKLIDGMNMGTKPTSEHRSSLLQVTDIVPADLDEKDLWPKQGFYIKVSDSSHSIYVSLPFEQDDLVLSDKMQLGQFIYVDRLEPGSPVPVAKGAKPLPGRHPLMGTPEPLMGLRKKGEKVEKRVNTVSSVPRRSSWGTGQRKEDGNSGNSVVSSPFCLKPVPLDFDQCTPVKGQNSVKFAGNFPMSPMIKGRVMRDGSCSGVRASVGAGVLSKMVDAKGEKESPMLRRSCFTPSSLKFPRSKSVCDREQRIPKSPFNSAALGSCSEILANRSKFSRRKIEKKSLTPPPSLRSSRTVAAENVAANAQQQSNVKLVSETQSQCVDSEPVDSSSSINLPGKLSTLGKEAVQQRETAQKIALQALRDASATENLVRSLRNLSKLTKSAKVDSPANCFDQFLEFHNQIVQAVSEMVSLQAASEMTSYNPNGEQTKKAARHSEQDSPVSNHNQDSDTTASSKRRTALYKSIASFPERMDQRTILGKHMRSDKKGVALSTNENDENKKPTACSSSLSNTIKLGKQIETEAGNWFMEFLDKTMDNKGVKKSKGKVEADARKIPQSLLLKVINWVEVEQSDSTNGPVHPRAAQIARKLRIKMKNP >KZM83030 pep chromosome:ASM162521v1:9:28116171:28120187:1 gene:DCAR_030599 transcript:KZM83030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISWSNNNNNNRRRNTPNYYQTPYPSSQYISSPSSSSSSYCYPLDPYSAPYPYPAHPPPPPPATPIYSSYYSSGGYNACNYTSPVMGMSSFGPYYSYGYQDNGWVGIPAAPMAVTAEAVAALPPPAYVEHQEAKKVKNDVNVHKDTIRLVRDEAYPDHHLVSFVFDAMFDGSITIFYFAKEEANCKIVPVYPESYLPIKIPFQKGLGQRFFQPSGTGINLGYFKLADLSKPWPAEDVYPLVICAETCLPSFPMDIYFSDHCPNTSSHLQITQAVLEKNNGDPFKLRVIKQILWIDEVRYELREIFGLGNSAESFNDNDSGKECVVCMTEPRDTAVLPCRHMCMCSECSKALRLQSNKCPICRQPIEELMEIKIY >KZM82045 pep chromosome:ASM162521v1:9:10453616:10454550:1 gene:DCAR_029658 transcript:KZM82045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFQKSLAENAASKLTESTFPVSETAENLIFHFQKLRVKSASQKLKTTPFVCNAVEETPFWTWLISAGFIIAYEKIRFEPLRKKAEEELRELKLERHKELLCKLEEEKNSIEALKDDVDALK >KZM82894 pep chromosome:ASM162521v1:9:25675161:25686111:-1 gene:DCAR_030463 transcript:KZM82894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQICIWLITPGPEGTADISQLDTYNFMMLESESASEAYRRDPGHPQWHHGAFHDVKDSVRSDLRRMLHSRAEVPFQVPLEVNIVLIGFNGDGGYRYSIDSQKLEEFLRVGFPTHRPSCLETGELLDIEHHIVYNAFPAGQPELIALEKVLRSAMTTAGTAREIDFGREVSLFEVDATAVEPEFSKLYSYLFDIESGGDPTEEMDRTMPSAIFIVNFDKVRMDPWNKDVDFDSLMYGKINPLTEEEMKKQEGDYIYQYRYNGGGASQIWLGSGRFVVIDLSAGPCTYGKIETEEGSVSSKTLPRLRNVMYPKGSGSISEPYSTDMFFGRVASLVATTIEHVIAPDVRFETVDLTTRLLIPIIVLQNHNRYNIMERGHNYSINVEAIEAEVKKMVHSGQEVVIVGGSHLLHHHEKLAIAVSKAMRSHSLQETKKDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEVSDPSLSSKFFLRQHWADENDGSSDSILKHKPLWATYNSKRGKDKKKKTQKQGDLYRTYGTRVIPVFVLSLADVDPHLMMEDESLVWTNNDVVIVLQHQNEKIPLSYVSETLRRQAIPSQAQRHIIAGLASVVGGVSAPYEKASHVHERPVVNWLLAAGCHPFGPFSNTSQLSQMLQDVALRNTIYARVDSALHRIRDTSEAVQSFASEYLKTPLGEPVKGKRNKSSTELWLEKFYKKTTNLPEPFPHELVERLEKYLDGLEEQLVDLSSLLYDHKLQDAHLNSSEILQSSIFTQQYVDHVLISEKEKMRCCSIQYKLPVQSSQNLIYAGILLAGFFVYFVVIFFSSPVR >KZM82461 pep chromosome:ASM162521v1:9:19724792:19725419:1 gene:DCAR_030030 transcript:KZM82461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQICGDVDQLDDRADNSEIPRDRSGGSSTAKYRRTRVKAKKILIVNRGLPIVSILIGESGDFLYGDQRDVDKAEKIVLESGNVLIFGGQSGHVYHGAASILPTTALQALLQESDLRPGRLNLKVVAVMLLFQVRP >KZM81994 pep chromosome:ASM162521v1:9:9676782:9677387:1 gene:DCAR_029607 transcript:KZM81994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHESCATLAFQLNYFTCLQWIISLYVLMFIEGVYTYNFKLKSSHHDMFSSSRIWLLPQMDDDYYSLRYTDPKEYFAGVRREWAFRLEESNQLRNDLIGLGAKLPVRDSLGVYPARNFNGSWGDYRRLVIEAVGLIREENNRMLLRRCRFYMLKLVKDSAAASGREMTFEEECQLLQNPNYLSGEPMSDEEATDDDDSE >KZM83605 pep chromosome:ASM162521v1:9:33292285:33304058:-1 gene:DCAR_031174 transcript:KZM83605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLSFTPSPILSPPPFLTRPGTNPLLHNPTRFGSLSPHRPFVPRTLCVVNFGSGQLPDSETIKGLFGKAESILYTIADAAVSTENVTNSKNNDWFSGISNYMETVLKVLKNGLSSVHAPYAYGFAIILLTVLVKAATFPLTKKQVESTMAMRSLQPQIKAIQQRYAGDQEKIQIETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTVAARQSGSGISWLFPFIDGHPPLGWSDTFAYLVLPVLLVVSQYISVQIMQTSQSDDPNLKTSQAITKFLPLMIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVIQSRTDIQELSKSQTSAIETTTINVAPKKEKKQTPDGPRPGDRFKQLKEQEARRRKQKEEEERKANVVAENDDLVTNGKLKPGTYSVEKEDTKSEPLNTDDLSSTEISSINGNSSPQELKEYQDISTRRVEDVTSKEVQVSTPCYGSTNDHTFFLSESGKLYSFDAAKLKNKDCGEGEQGSSEKVLAHLFQVTSKEVQVSTPCYGSTNDHTFFLSESGKLYSFDAAKLKNKDCGEGEQGSSEKVLAHLFQNHIHKHITKNTIKGPSFTLSSPVQDPELVVHEVHKSINASRRKLSFLSCGTGNPIDDCWRCDKNWEKNRQRLADCAIGFGKNAIGGKNGDIYVVTDSKDDDPVNPKPGTLRYGVIQDEPLWIIFERDMVIQLREELMMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGVNIHDCKQGGNTNVRDSPGHYGYRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIRGSTAITISNNYMTHHNKVMLLGHSDTFTQDKNMQVTIAFNHFGEGLVQRMPSTKIENNLFLQVTKHEDAPEDEWRRWNWRSEGDLMLNGAYFTTSGAGASSGSYAKASSLGARSSTMVSSLTGGAGALICRKGSKC >KZM83056 pep chromosome:ASM162521v1:9:28402648:28402980:1 gene:DCAR_030625 transcript:KZM83056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSLGLIIICGSVFILFAVVYILFSCLCSGGGNKKSKSKGSSRAVPGGATDGDMFVLETIPASDGNAASSHHCGHAQGAGGCAACGGGGGCGGCGGGGCGGGGGGGSGC >KZM83265 pep chromosome:ASM162521v1:9:30294196:30295327:1 gene:DCAR_030834 transcript:KZM83265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSILFLVVFCVICGPAAFAVRTGVDDKNDPLTEFFNREESIKFAGYGEDKLSTVLVTGTLLCHPLYQLPFPVSGASVAVSCRSGRKKKQSYEIQSTTNENGDFLFDLPSHLHGIPNLEKICCVSVHQLPKGSPCKPALASKHKRIKLSSIGEGLRTYTAGTIQVRPKNAEISRHAGGPKTDKVKAKIQLRPKNAEISRHAGGPETDKVKAN >KZM81795 pep chromosome:ASM162521v1:9:6151612:6152112:-1 gene:DCAR_029408 transcript:KZM81795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSFILVMVLVVVASLDAGEASTFQCDGPNGHQQATNLCSPFSRRGQPEPSSECCRAYRAFVGPADTTEEKRQLCACVQRNARLNPANITRVDSLPHKCGTPVVFSADPKFDCNTIN >KZM82575 pep chromosome:ASM162521v1:9:21277026:21278432:-1 gene:DCAR_030144 transcript:KZM82575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGSGSSIPQPSHAADPNKTFTGPLDHNAVPKKSAVEFASYGTVNSIAFQNQNDKENIPFSTPQQGNSETKKRGRGPGVNKLFNSLQDKSGSSGGIHQETKKRVRGLGAKTLARQKLAQDAQDASVQSASQKHCN >KZM82054 pep chromosome:ASM162521v1:9:10536393:10541218:1 gene:DCAR_029667 transcript:KZM82054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNRQMEVHYINTGCPYTVTESFMDFFEGLTNPHEHYAHAVPMQQYQETAYWVNAYKFGLPASEIPPYYGHYVVNDYLPRNDISRRAWEYPTMSYNEQPTATEIQAEENSVATMEAIPEECTTNHETAANSQDLWQDNIDPDNMTYESAADREDDSSGNHLDSSPCYSSSNENNDNSNSSGSDSDSGSDNKNEIIPPIDDKEDETANTFDNHASTSSEWFKLVEVIRGEIEATFQLEELLDLGEAVGTQSRGLAQELIDLLPTSKYKSSGFFFKKRSKERCVICQMRYKRGDRQIRLPCKHVYHSECVSKWLSINKICPICSTEVFSDESKH >KZM82285 pep chromosome:ASM162521v1:9:15835979:15837613:-1 gene:DCAR_029831 transcript:KZM82285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALEFELELFNVVSMQKRYKWEDENSATISTIFWQKCAARTKDNLSKERAKALYNANIDYPTQGGELYMHKYNPWWCSAHIWTQMCEKWTEEDWLKMSSTASSNRLAGGQKAKGTYKGGSISQLQHITTKESQSPGVSIDWVDVYVATRDGLPDAINTAENYRRLFDERYPEGTERPDFDQELWETASIVKKNYVKGQGQRQRPSFSGSFNGSGSTQSSQSSSHLSSHTAADCVRAICQNQELLLILGEHLGAIDPGALARAVEAAAASRRADDTEGSRHDDQEDESGGT >KZM83224 pep chromosome:ASM162521v1:9:29771289:29776233:1 gene:DCAR_030793 transcript:KZM83224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAIHSLSEMDGDGEFHGSLDGSNVVVGGDPRLEGYLVGDILNLFTSRFNRSSAVKAGYQALKSQVIGPFEGALSLWPCRSRVEGESTAVCVSRHHMASRTQATPKTILRTMGVKGLTLYHLKSHLQKYRLGKQSCKELAENSKDASCIAESHDTDLSTSASSRIAAQNLNDGYHVTEALRVQMEVQKRLHEQLEVQHRLQLRIEAQGKYLQSILEKACKALNDQTLASVGLEAAREELSELAIKVANDCQQVMTVSSLSDVAATLERKNLTNMHARIGDCSVDSCLTTNESPVSPIGVRLQAGALKKRPRPRPISSDADLSPLQSSLPQMEWMMSDIS >KZM82048 pep chromosome:ASM162521v1:9:10485740:10488228:1 gene:DCAR_029661 transcript:KZM82048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLELMLEKLQQTADHNAEDLPPALPVRPVSKARLPPGKKSWPVKLQNSYSTDELVSLVSRFESPEINDAKEAKGKCCFGGDTNGCLIQKTEIVDYTREKDLQVILGTQTYSNGYRHQDLIRGIVTLQSYVRAENARNIASRTLQTKHRAVLLLQSVTRGWLTRRHLIFTKRSDSRIQEAKDSVHVPYCDVYELQRRVLKTEAAVQNKNEENYGLRMKIAQFEKQWQQHEAKMKSMEKMWQEQLTFIQVSLAEVASKPQSVERSENEITIVDSAPMLCDANGDSFSFLGESGSDANSIYELRKLKLRFETWKKDYKSQLYKTKETFQKLGTSKVKKSSKKWWER >KZM82561 pep chromosome:ASM162521v1:9:21128895:21134005:-1 gene:DCAR_030130 transcript:KZM82561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKTTSSFIMNDLSPYSPIDTVDTTTYDWKLRVRVQSFWKSLSREKQEFWGVNMLLIDDSNGRVHAFANSKYCGDLLKEIKEGEIYVISNFKVKDYLGDEKYRAVRSKKHIFFTPHTLFKKATDVGLPIELYAFDLFHYDAIEKLADDNRFLIDMAGKVINVQDLIKIKKNDEEKTLFKFQISNGSSTVHVTFFDQFGELAEKDFGNADRRNLYVIISCAKVGRYEGLPHLSNYPATRVYINPKHYCINELKRSISEKKNEPVVVEMVEIPEEEEVVVEMPRKIFKVKDIKSLPKDFGEEAEEEKFPEILNMFLKQKYTINLSINLENVEKGSTVFHAKEILQAQEKGDSFDPNSATVVEIGDISLVNESGTEQNANETPNTEKSTNMKTRPRNISESLSFNPTDASVSPLVKKIKVEKQVKTMASNHYTAVENLKPGVDQYKIKVRVIRLWRRATKSGEEFKSFNVIVIDQKGHRIHGFVPTKCAEEFQFILFVGRVYSIKNFDVQIYKQTEKFRVLRNATQLVFNQDTICQQLADDGVTIPANSFDFYDHSQLEELSKQTTYLAGEIDISNNTATTVYLNYKHHSVVTLRKLLTNPEFAKKALGKPKVKSMAMATVKELENLGKDDIEGFFMAHVKITKIDETFSWFYNACTSCDMEVTVGNPCPICEACNRYVPYPQKKFKIHVVAEDQTGQMPVVLGDREVRAITGRRASDFADQIFSVQAFPGCVLGITDKEYSIVIQIREANVLNKFKYYWATNICRGFVKLPAEADEGASSSRAPTSQAAESTDNDQGISTVDLNSSLTV >KZM81940 pep chromosome:ASM162521v1:9:8851582:8852636:1 gene:DCAR_029553 transcript:KZM81940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMWEVLDKDFVKINVYCVISELPMPNGNTMGVASIIRNELGEMLWGCLGPLTALNEEHVLLTALQAACIHANKKEWDLVHIETINHRVFDTLRLQQQILLDDDQLEVYSLFNTLHANSYKVGKSKKCVTHVPLRMNGPRDMGNVLPVPNLEIVQNMGDGEVIDGPPPPPVKKRKLSPSTDSFFGTPHPVPKLFNWAGFNCPFAPAKPHSNSRMLASGDEEFIRARKGKAKLLQDPNFISSALPSQVAMSLLDSSFNLDALVGGDVALKHAITNAFIGAVADVLPRWSFPMQAKGAGSEFARIDGAGPVLFRLGSGLGVS >KZM81840 pep chromosome:ASM162521v1:9:6766753:6767737:1 gene:DCAR_029453 transcript:KZM81840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDIKCLLHEVLPLNSENTSATDSESLLSAFLIGQRMNRETDPELKAYCFAFDNELGPVPIADVMSLTHALR >KZM83025 pep chromosome:ASM162521v1:9:27769738:27770940:-1 gene:DCAR_030594 transcript:KZM83025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRDEAARSKAIAERKLIEKDFAGAKKFAMKAHNLYPCMDGISQLLATIDVYVFSEKKLNGEADWYGVLGVNPRDSEDVVRKKYRKLVLTLHPDKNKAQGADGAFILVSEAWDVLSDKTKRSAYDKKIFQPQCGGSWIPPAPNSFYNSKNGSNIPKDSSNAPVDKQKPVPTESPFGKEKPTAHPPHKCTSTRKQKPSTVPPPGKKASYTKEKQPTVPPLRKHASSSEEKPATVPPPHKAEPGVAYPSSNIPKPDSVSSHKGIPPSVSSPHKHKPSTIPNSSCKNPAVTLSSHRERRQTVLPTHKYNSSTKTVHVSSYKKERSTMHAHEEKPRGDPVTSPKRKRVPGTPDQSKEPKQTAGFLSRCNGCKMQFEYPRINMKQTMICPNCGKLVYHVKKGC >KZM82879 pep chromosome:ASM162521v1:9:25558763:25559948:1 gene:DCAR_030448 transcript:KZM82879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKASYKDARREINSKEVDEQMINSCDDQEEETMIEDLRRGTWTAEEDMALMNYISHHGEGRWNSLAHSAGLKRTGKSCRLRWLNYLRPDVRRGDITLEEQLMILELHSHWGNRWSKIAQQLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDFMRYVWMPRLVERIQAARGDSTGKATTGNSTFAKATDSNDNINNLSVPSQVIIMRETDSMMCDNLESMVQSETNNPSSLPPENISTTASLTPDSCTNLFLDLIRVMLGPQKLIQKYAQNDVDSTIICAMSFFVYRCGFHSHQCYAIFL >KZM83034 pep chromosome:ASM162521v1:9:28141187:28141930:1 gene:DCAR_030603 transcript:KZM83034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPNMGVVGTSAEMPYGVAPYQANQMMGTSTPAPAGLIPSTQTPVPRGPLPIGGPAEGVPYYNYMPPQHAAQVGSPVMYMGQQPRPYMAPQMWPQQQQPPEDSDQ >KZM81990 pep chromosome:ASM162521v1:9:9627968:9631951:1 gene:DCAR_029603 transcript:KZM81990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTNTGRTAELIVYRQNRSMIYVGGVPVTVDDSTQDDDALAVLMKHPLLVSVSHSFRAISERKFSASDESNMERSTSKAVYVFQREFATVDPSCVDLVGTDEATTCLGIVIRNRRNRMTSVAHMDSPDVVDIGLTQMLSLAVKRETDDVFDVETSTGSINPASFDKTSRCPDEIVRRIRLTASFEDPSCIGRLLKTYDTLTDQIIISPFSWTRRQISVAFMLRNLSDSEILLSCSTSPSAEGPDFVENQRRYSKLSAIIGV >KZM83135 pep chromosome:ASM162521v1:9:29067084:29069169:-1 gene:DCAR_030704 transcript:KZM83135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEKKPNPSPQTVEISFEKSKYALPNFLLSIKLKYVKLGYHHLISHAMYLLLLPLLAIFSVHLSAFSSQDFAQIWQALKFNLVTVIICSSLIVFLLTLYYMRRPQKVYLVDFSCYKPEDARMCTKEFFIEKSEESGIFSEDNLAFQKKILERSGLGQKTYFPEAVMHSPPRINMAEARKEAEMVMFGAIDELLAKTGVKPKDIGVLIVNCSLFCPTPSLSAMVVNHYKLRGNVLSYNLGGMGCSAGLISIDLAKQLLQVQPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRVGGAAILLSNRSSDRRRSKYQLIHTVRTHKGADDRCYNCVFQEEDEEKKVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFVTLVARKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELKEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWRAMRTIDPAKEKNPWMDEIHEFPVDVPRVAHLGSS >KZM82696 pep chromosome:ASM162521v1:9:22602266:22603691:1 gene:DCAR_030265 transcript:KZM82696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGVQEPAEGRGFKRDHVGAILVMLNQRLGDKRFILKKPLIRVSRYRLARFLAKDGASTRTSHVEFRRAFRRVRKSRAWTWCWAGLVIDFELVEEADYLIQLLVEDELMRQWLVHDGSKEESCAHEVIVIDD >KZM81474 pep chromosome:ASM162521v1:9:1622569:1622826:1 gene:DCAR_029087 transcript:KZM81474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLANMRCLHSFDTASFVIMHGNCTSVFSSVILQILLVNVLGHDGLAPNKPSPLPTATKTKTKTKKKMMINNHIWDGSFRRQI >KZM82175 pep chromosome:ASM162521v1:9:12935601:12943418:1 gene:DCAR_029744 transcript:KZM82175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGKEGSSVVVYNGPEGRREIGNGRRSKSNVSVEVSESSEVGASTVENSNGVGNEVVETEKVEKEGRSKGERRKGKANPRLSSFAKSRHGEQVAAGWPPWLSDVLGEALEGWLPRRADSFEKIDKIGQGTYSNVYKARDNITGKIVALKKVRFDNLEPESVKFMAREIVILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGVKFTVEQVKCYMQQLLSGLEHCHKRNVLHRDIKGSNLLLDNGGILKIADFGLATVFNPKHKHPMTSRVVTLWYRPPELLLGATDYSVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFRPREPYKRCISETFKAFPPSSLPLIETLLAIDPAERKTATHALKTEFFTTEPFACDPSSLPKYPPSKEMDAKRRDDEARRGRAASKAQGDGGKRTRTRAVRAYPAPEANAELQPNLDRRRLVSHANAKSKSEKFPPPHEDGGLGVPLGASHHMDPTYIPTDVPFSSNSLSYSVEPVQNWSGPLVDPASAGGARRKKQIANDARAARKEFTSKDK >KZM83263 pep chromosome:ASM162521v1:9:30263774:30265767:1 gene:DCAR_030832 transcript:KZM83263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNGAGPPLQHKHNDGGAIRKVNGDTNKSTSKPKVISTDKNNAQLSTKSNGSSNSINKAEGSLSYPSVEQLSILDSSMENETKGSIESSVDLEKKKTEHGSVKNSSVSAKISDGTSSIAKTSGSTKISDRLDFVDSGKSSMCRGSTSSDVSDESSCSSFSTSISKPHKTNDSRWEAIQIIRVRDGSLGLSHFRLLKRLGCGDIGSVYLAELSGTKSYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYSHFETDKFSCLVMEFCPGGDLHTLRQKQQGKHFTEQAAKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKTSSLLESGPLRRNTAYCAQPACIEPSCIQPSCAVPTSCFSPRFFSSKSKKERKPKNDIGNQVSPLPELMAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSGNRATLFNVVGQPLRFPESPTVSFSARDLIRGLLVKEPQNRLAYKRGATEIKQHPFFEGVNWALIRCATPPEVPPPVEFEQISVPASSSAKAAAVAAPDQKNSQNYLEFDFF >KZM82110 pep chromosome:ASM162521v1:9:11596013:11598953:1 gene:DCAR_031817 transcript:KZM82110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATKIVLSRIQNLDPENATKIIGLLLIQDHGEKEMIRLAFGPESLVHSVILKARKDLGLFSSKNSPLSSPSTPCSPLPFSRQNSSNSRVFNGVNLPSPLSIPNPNGVFSPNSFLSHYKSPNGGSNIGNVSCLSAAMNSSAIPYYGNGENSDLIDEVQMPFLNDGLNSNDFYYPQPDLVSSPDSSSVNAMLFNSSWGGANHRRSCSVSDICLNSEDPSGGFGWKPCLYFAKGYCKNGANCRFVHGGGLSDSDGISNMVGSPNEIDMMERCQELLRSKSVQQQRLAAASQFMSGGSSFSYSPRAAATLMMGEDINKFSRSPRFERSEFPILSPESRQIYLTFPADSTFREEDVSTYFSIYGPVQDVRIPYQQKRMFGFVTFIYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKFRKNQQIERNEFLSCGSPTGLDSRDPFDMQLGARMLYNSQDALWRKKLEEQADLEQAIELQNRRLMELQLLEVKSKHHRAFSSGAAIPFPTTARDPNFFQQSIFMPSNRSSPEFSEESGPGNTINTNIQQAAIGSDQDRDSPRNENSNGKTSPGHDENLDLDESMEQSLPENLFASPKAADEHVTTFSIDTTEPAVEKMGTVISSPSSAKNNLMTSSLLPASSALDMASLNSCYFQAPRFSNHGAIEM >KZM82833 pep chromosome:ASM162521v1:9:25100781:25101428:1 gene:DCAR_030402 transcript:KZM82833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAYMDKGKINVTNTFGGSSSNKVPSASFPQQLVDTEEKVQKVSPPRRKVSRDDKSEKVGNWPKKDTTSSTQLTTTFKQQNPPTFDKDNIVSRQPESPMDGNINDILEEEEALIAAHRKEIEDTMEIVRECLRNLYAIHLCGIIYQYGGGDVYHGSFFFLHEVDSWLNLALISKKVVLTWGYRVEH >KZM82549 pep chromosome:ASM162521v1:9:20945540:20947629:-1 gene:DCAR_030118 transcript:KZM82549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLSMIKPLLSFIFMFVPCLSCRAHKKQSLLQFKSNLLDMYHTSSSSSLGLESWDSNSDCCNWTRVVCSLHSHEITALHLGGLHLLFRYFLPNTKIWDPIYGIRSLTFLDISYSYIQGEIPGNGLANLTKLVHFDMSRNYFNGSIPPQLFHLRFLQFLDLSENLLKGGLSGEIGKLGNLKTLMLDHNFLDGNLPVQIGNLTKLRQFSVSQNKFSGPIPDSILNLKGLELLDLRNNYLELQIPSKIGSLSNISTLALSKNSFTGLIPPSIRNLSRLETLQLEDNMLSGDIPSWLFDMERELPENVGDANKIRVLMLSGNNFSGAIPKSVGDMPLLMILDLSRNRFSGNTDTFPVFDPECSLYYADFSSNELSGNFPQSFCAQMTILALGENKFSGNLLKNLTNMNQLQYLDLHNNNITVELSEFISQLSYLQVLSLRNNCVHGSLSSNSFYNQSSLRILDLSSNNLDGSIPSELGNLVEMTDIGSFSLISMRIDEIEVNWIESTVTLLTGMFTSVIEMNDLTVNWKKAEQGISSRSHHIYSFLDLSSNKLSGDIPFSCGMQIRVKCSKDEPTPDDAQEDNDGDGKQAP >KZM83489 pep chromosome:ASM162521v1:9:32405345:32408510:-1 gene:DCAR_031058 transcript:KZM83489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRDKPSYTARHVPYNYPKRRRPLPPVTSEDPPENPSPKVSAAVVVTGLSQDCSVLDLKSRFEIYGSISRTRMDPTGVAYVTFRSSESAEAAIAASRDPSFGITINSSRVQVMRASDPVPQWREGVRTDGSSKLLRAEVPLSRLGRFHNRLGPAIVTPRDEDHDEKKISKPGPSLEEIPFKGREIIAYDDIL >KZM83111 pep chromosome:ASM162521v1:9:28834865:28836526:1 gene:DCAR_030680 transcript:KZM83111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAHPSFRWFPEKAWQIEAVPDQEVAEERGTLKRLQNATRRWLRVPEQPVLHELIIKILSKSQFPYSITNRRNNLKGPQAVQAVLLCAQHLGSRHARAGQLLTKDREEYI >KZM81950 pep chromosome:ASM162521v1:9:9058336:9059011:-1 gene:DCAR_029563 transcript:KZM81950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLDVPNHITASEDEFWPLSDKPWFNVILKSTHMRPTYTLYVPTEEFGVSPSSSVDVVFTYLGKEWKTVVRREGCSSRTSIKWREFVTDNHLKEGDACVFELTERSDKLIKVRVQILRGDFPYELLNSVDGGTRDQPIIL >KZM82265 pep chromosome:ASM162521v1:9:15118965:15120930:1 gene:DCAR_029851 transcript:KZM82265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTGQYQKDVEKFSNIEYMRCTPENGFFPDLSTVSRTDIIFFCSPNNPTGAAASREQLTQLVQFAKKNGSIIVYDSAYAMYMSDDYPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVIPKDLLFSDGFPVAKDFNRIVCTCFNGASNISQAGGLACLTPEGLEAMQEVIGFYKENTKIIMETFISLGFKVYGGKNAPYVWIHFPGQSSWDVFSEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENVVEACRRFKQLYK >KZM81946 pep chromosome:ASM162521v1:9:8941388:8942471:-1 gene:DCAR_029559 transcript:KZM81946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFQLGAGVIRGLPPNISFTPQLSIPYSSDLTFGGVAVSNTSASRPGRPHGSRNKPRGEDSAPITVILKVPRGVDLIDWVVSYASSKKAHLTILCGSGNVLRADLSHMGSQAPPITFTEPLSLITMSGMFLFSGSKDGPLALFNVTLGRLSGDIVSGTAVSMITMDEVTLTATVFYNPEMLAVRATEEMAMESNYNLLSGRNLKWSVVLSFEPGTDVIKALVQFARYYSLNFSVLCCSGLVSEVDIGNSRSHPLSVDVLGNFQIISFSGTCNGRVANSLDDIQKSFVVSMVSQNNVLTNGTVVKSMKAASYVTVVALAKDA >KZM82896 pep chromosome:ASM162521v1:9:25690914:25693372:-1 gene:DCAR_030465 transcript:KZM82896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIQTRTDQNGVAYLTINRPKSLNSLTRPMMIAMAKAFKAFDADDSVRVVILSGSGPSFCSGVDLTAAEDVFKGDVKDMESDLVYQMEKCRKVIIGAINGFAVTAGFEIALACDILVASKTAKFMDTHARFGIFPSWGLSQKLSRVIGPNRAREVSLTATPITADQAERWGLVNHIVEGNELMKKAQEVAQAIIKNNQDLVLRYKAVINDGLKRDLGHALALEKERAHDYYSGMTKEQFKKMQEFIAGRSSKKPPSKM >KZM82672 pep chromosome:ASM162521v1:9:22399105:22406097:1 gene:DCAR_030241 transcript:KZM82672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLVLVYALLAALSSLQFGRGGTVEDYPNTSCSESEKLALLHLKQSLIDDFNYLSSWIGDDCCTWQGIGCNNRTGHVTRLDLRNGMLRADHLYPSLLDLKYLTYLDLSNNSFHEMKIPQFLGSFKDLTYLNLSYCKIEGFVPHHLGNLSKLLYLDLSHNTYYHGNGGDYYYYYDLPSLLHIDSMRWLSGLSMLKHLDLSNVNLSGTSDWFSSINMLPNSILVLNLLSCHLSNNIPRHLPFINLTSLISLDLEENSLNSPFPLWVLNNSGLAHLSLERNHFHGSIPDSLGTLTSLVEIDLSENGFNGSIPESIGSLLSLSSLDLSSHEFQGRLPESMGRLSPLTHLLLGGNKFTGFVPHFISNLTNLTHLDMSSNELNGSIPHEIGNLTELTDLSMSLNELRGDLTEEVCQLSKLEILDVGYNQMRGSIPECIGQLSNLMELDLSSNSWEGFVTEHHFVNLTKLFFLSISSKSNLALNVGINWVPPFQLQYIYLESLKVGPKFPHWLSTQRQIDDIIMINTSISDTIPTDWFASLLSQADLVYLSDNDINVEQLSSISAVPNGMIALALSNTRLSGGFPLFLCNITSLQILVLSNNYFTGELPQCLANLTELQGLDVMNNSFSGNIHASLGSLRNLTYLNLHDNKFQGKLPLSFRNLTKLVILDVGKNNLCDVLPPWTVEQLPSLRIFILRNNKFYGRVPTGNHLQTLYDASINAGNNQLCGPPILKPCVGDTESPNVPDDDEGNSDLDEEHVWFYAGIGPGLLNEKALADGIAHAQQTFIKSADKYFKWLLGSVSRGQGCMKGFSFIVIALGVGAAVFSPNLDSFDWKDRAQWIQNVPNGLQTLALSNTRLSGGFPLFLCNITSLRTLVLSNNYFTGELPQCLANLTQLRDLDVMNNNFSDGGAAASNTDYVVCCLFGSKRMKQRKVNYKVHNSFKETTFDQNEKALADGIDMLSKHSSRVQISISRGYRKVSRGHGCMKGFAFIVIALGVGAAVFPPILDSFHWSSSCISSFQM >KZM83538 pep chromosome:ASM162521v1:9:32777028:32777813:-1 gene:DCAR_031107 transcript:KZM83538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADPEKSLFAHEDEEKEFAEIAAKISEDFDFKLTFLGLSDDHEHEDSDHNEAEASDADDDFSFVTKGSDTLLSVSDPIRPYFPLFNRDLLLAHEDYKSSDNNKSASKPPVEKIFIETTSSKKSEVNNEPGFVSGTATGPYCAWEKPELSKKSNSTGFSKLWRFKEYLNRSHSDGNDAFVFLNKPVHKKPADVKVIGDGEKKVEKKVKKSKVLSSHEVYLRSKGIHPEHDKRKSYLPYKPEVVGFFTSVNGGGLSKNVHPY >KZM82534 pep chromosome:ASM162521v1:9:20763099:20763530:1 gene:DCAR_030103 transcript:KZM82534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSNIILNIFTYLVRIMAKVAYLAVVLVVVAARMLVEPGEALTCGAPEEQRTGAVCNVYTRGDQSEPSGECCHAYRALRATAKTREERRQLCSCVQEATSQSRYNHGANAKPAARIPRFDSLSEKCGLPFLFSADPEFDFKT >KZM82240 pep chromosome:ASM162521v1:9:14392868:14394310:-1 gene:DCAR_029876 transcript:KZM82240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSPEIVGRRITRSKDAEQERGSVKRKLQLEGNTSDDQESGEDEMIAEQLPPPPPLPMSEYERQRLLRVEQNKKVIKELGLKEVADGLISVGVKKVKGKKATTEDDYNLEFDSESASDDTSPNAKKVQEKKKIAPRPRTRSRANAATIIEMETTHTATETAELEETQIGTATQKAVPTGSISAYLALWEKQKQGLEGPEPHEVPEANMEDAADEEIEKAPRKIRGRSKMTKVHARAVAERPIIMLNKHSQPISEDGRVDFELSRFLGTVVKDNVPLKSTGMLYLLN >KZM82328 pep chromosome:ASM162521v1:9:17280677:17281045:1 gene:DCAR_029826 transcript:KZM82328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKTASLALLLSLNLVFFAVVSATSATPIPDSAYYPVSKCDPLKLGVCANVLNLVDIVVGSPPTLPCCSLIKGLVDLEAALCLCTAIKANILGINLNVPIALSLVLNNCGKELPSGFECY >KZM83177 pep chromosome:ASM162521v1:9:29377211:29382863:1 gene:DCAR_030746 transcript:KZM83177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQPSKDELLYQQVNSGNIEGVRALRNDGAGLEWLDKELKTPLMVACLNPELRNVAKTLIELGANVNAYRPGRQAGTPLHHAAKRGLEQTVKLLLSSGANALVMNDDCQTPLDVARVKGWGNVVRAIEAHTCLFSGWMREFYGPGFLELLAPQLLTRKVWVVVLPCGSRNPLGPFRLELAIYTNPQDAKPRTIIALWKANLEELNLNQADPAVVISDISNIPRRWRRRRGLLASQEARCRPHGFRQSRIKLAPAKIGDRHQLQWFSNACKGIPQVIHPTYPLPPKVPSVAGTGPSAAEDLELAMAISASLQPTMQDRPPNRVTLPAEDASTSSTNSTQIMYHNGSAAMETPAPHKGSNNESKLHEVGSSGTSSQHMDTEPDIPSVSHTTNETPDPVSAPSAPLITDAIIDDGPIHYPSIDFTPVDISSQDVKTPPTITAEMKEEAGTSSCVICLDAPVEGACIPCGHMAGCMSCLNEIKAKKWGCPVCRANIDQVIRLYAV >KZM83454 pep chromosome:ASM162521v1:9:31952398:31955399:1 gene:DCAR_031023 transcript:KZM83454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVVDVNRLVKPEISSGIDERQHSLSPSPLGKSSASSSAVVVSEERMDDANDEEAPLITMAECRICQDEDAITNMEAPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQLNCYQCTLSLDFTSTSNDVYLAIDTQTYQPGFTAPPRPQPEETTIDIGGGWHISGTPLDLHDPRILAISEAERQILEAEYDEYNSTNASGASFFRSAALILMALLLLRHAVTVTDTDEDGDESNFFTLFLLRATGFLLPCYIMAWAISIMQRRRQRQEAAALAATQFALVIQSRTPRGLHFTLASSPALASSPVLASPRPLASPIAVTTQQQEQQTA >KZM82644 pep chromosome:ASM162521v1:9:22126439:22128023:1 gene:DCAR_030213 transcript:KZM82644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDDDFKLLKIQTCVLRVNIHCDGCKQKVKKLLQRIEGVFQVKIDTEQQKVTVSGSVDSASLVKKLIRAGKHAEVWSNKASQNQNQKASCIKDDKNKKGPKQVTFKGIDSLKNQQQKFSSLDICEEDDEFMDEDEEEEFNEDAIRFYREKVADQMNFQRQQATNANNGRKIVGLVPNVAQNNAKMNNQGGKKGNQNQNMGMQGNAGSGIDPKFLAALKMNNTLIPGEVRGGGGSSDFNSMMGNFAGFQGNVGTNNNISSAYGGNYQMPSYNQAGSLSGPNYGSYPSSNASGYSQQFNNPAAAMMMNMQNRQVMMQPQAQAQMMYQRSPIVPPSTTGYYYNYNPGPYPPYNEPVYYATSASVAADQSASHMLSDENASVCSIM >KZM82341 pep chromosome:ASM162521v1:9:17577414:17588774:1 gene:DCAR_029910 transcript:KZM82341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSLTTRHFFPYTPPHLPTKTPLNPSLKPFNFTFTCSTTRRRSYVVRAAAESNDKQNDDFVTRVLKQNPSQVEPKFLIGDKLYTLKEKEGLVSNSDDGLFGFLSKRKREEEKVSSRNESGDVFLKDLLREYRGKLYVPEQVFGQNVSQEEEFKRNLDVLPRITFEDFLKAMRSDQVKVLSFVEDNGASWFRDFVVELKEIPGDKNLQRTKWTMKLYKDQAKSVLEEYTGTRYEIEKNQLVSSVGKLPEYPHPVASSISSRIVVELGMLTAVMAAAAAVVGGFLASAVLAVTSFVFVTALYVIWPIAKPLLKLLYGLVSGMIEIVGEKDIWQGIEFSQSKPQARVDGSTGVTFSDVAGIEEAVEELQELVRYLKNPELFDKMGIKAPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGTFTDSKDQLYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRKDLLDPALLRPGRFDRKIKISAPNAKGRLEILKVHARKVKLSKSLDLSTYAQNLPGWTGARLAQLLQEAALVAVRKNHNAIMQPDLDEAVDRLTVGPKRVAIELGHQGQCRRATTEVGTALTSHLLRQLENANVESCDRISINPRGQTLSQVVFNRLDDESYIFERRPQLLHRLQVLLGGRAAEEIIFGRDTSKASVNYLADASWLARKIITIWNLENPLVIHGEPPPWRKKVKFVGPRLDFEGSLYDDYDLIEPPINFNLDDEVAQRTEQLMRDMYDKTVALLREHNAALLKTVKNSLIGWTGARLAQLLQEAALVAVRKNHNAIMQPDLDEAVDRLTVGPKRVAIELGHQGQCRRATTEVGTALTSHLLRQLENANVESCDRISINPRGQTLSQVVFNRLDDESYIFERRPQLLHRLQVLLGGRAAEEIIFGRDTSKASVNYLADASWLARKIITIWNLENPLVIHGEPPPWRKKVKFVGPRLDFEGSLYDDYDLIEPPINFNLDDEVAQRTEQLMRDMYDKTVALLREHNAALLKTVKVLLNQKEITGEEINFIIKNYPPHTPTSLILEERDPGGLPFRQSQEEESEVEYSMLPQ >KZM83515 pep chromosome:ASM162521v1:9:32583705:32586307:1 gene:DCAR_031084 transcript:KZM83515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSCTEDLFSNLLCCEDSEILSRDSPECSSAFETSADIEESIALFIEGERKYVPGVSSARKSQSESVDQAARQASVAWILKVQRYYGFQPLTAYLSVNYMDRFLNCHSLPEQTNGWPMQLLSTACLSLAAKMEEPMVPSLLDLQVEGAKFLFEPKTIRRMEFLVLGVLDWRLRSITPFTFLSFFAYKLDSAGTFNDFLISRATDIILLNMQEASFLEYWPSCIAAATILCAANDIPNFSYINAGHAELWCEGLRKESIVSCYRLVQGIGENTRLRKRSKASPQIRVMKRASKVSFGDSESSSSSAASSYKRRRLNNSLWVHDDLETSEQGAC >KZM82409 pep chromosome:ASM162521v1:9:18508141:18516875:1 gene:DCAR_029978 transcript:KZM82409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATTTTFHMACKFITSTPLNYQNLPPSSQIILPLYNLHSNSLNNLQSTSSTTCRRRVSPVYNAVKAEESLQYKTSPPVSSKLVLVIGASGGVGQLVVASLLNRNIKARLLVRDPEKARTLFGEQDEDKLQVYKGDTRNPEDLDPSIFEGVTHVICCTGTTAFPSRRWDGDNTPERVDCDGAKNLISALPSSLKRVVLVSSVGVTKFNELPWSIMNLCGVLKYKKMGEDCLINSGLPYTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVIGQGDKLVGEVSRLVVAEACLQALDIECTEGQIYEINSVKGEGPENNKDRWEELFRAATVQ >KZM83134 pep chromosome:ASM162521v1:9:29063659:29065841:1 gene:DCAR_030703 transcript:KZM83134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRYLSVNVSCTHIDKVKAFQSIVNDVWLDILTAFYCSAADQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDEVRKDATPLAKIPGLLDALLQVIDDWRDISLPKEVVKRPRLRMLGANSTFTGFGNEYKMSNINDTSSHPSVGSGTSIADANAQKSSTKVHPSQWWADEDGLFNLDDEGRAEKQQCAVAASNILRNFSFMPENEVIMAQHRHCLETLFQCIDDHIIEDDELVTNSLETMVNLAAMIDLRIFSSSKPSFIKITEKRAVQAVMGILGSSVKAWHCAAAELIGRLIINPDNETFLIPFAPQIHKRLIDLLSIPAGDAQAAAIGAIFHLVEINMDCRLRLASERWAIDRLLKVIKTPHPVPEVCRKAALILETLVSEPQNRGSLLAYENTFAEILFSDGRHSDTFARILYELTSRPSNKISAARGIWGM >KZM82939 pep chromosome:ASM162521v1:9:26050429:26051358:-1 gene:DCAR_030508 transcript:KZM82939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSIVSNKTFNDTFFNSQDNSNATLRSVYVSAPKSYAISGMIMVGAIVGVIVIVVLMACFHMFSRWYSHRVRRQRRRQRRRLGRRRRASNIVFRVDHIPATVRTGKNRRLEVSVRNSLPNFVYSKVTHKEDLDCAVCLSEFEEDETGRVLPNCHHSFHTECIDMWFHSHSTCPVCRAKVGPFDPTRNKDVLKTVSKPVQSVELAPVQPSSSTCSEASFTDRRSGLDLGCVKIEVPSEIESIDELMPSSPVSYGFTSLENPSQSPKNMTSTNQKLVDVSPSTEIGTSCLSNTELDIELGIFEQKVREQV >KZM83513 pep chromosome:ASM162521v1:9:32570085:32571113:1 gene:DCAR_031082 transcript:KZM83513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFHSLFPENTSTYSGSSDSQLRVWSRAPSDHQNSDENIVSACKSAVKCIVVLDDKIFSAHQDHKIRVWKIGSDKSKQAYKCVSTLPTLNDRVTRLFFAKNYVEVRRHKKCTWVHHVDTVSALALSSRDSSFLYSASWDRTFKIWRTSDFKCIESVWNAHDDAINAIVSSGDGFIYTGSADRKIKVWGKKEGEKRHTLIDTLEEHKSAVNALALSSNGSVLYSGACDRSIIVWERAFGSRHMAVAGALRGHTKAILCLAVVSDLVCSGSADKTVRVWRRGNDNSYSCLAVLEGHRGPVKCLTAAIDHSNSDNNSSPIGTPYLIYSGSLDCDVKVWQIWVPFV >KZM82836 pep chromosome:ASM162521v1:9:25108662:25110839:-1 gene:DCAR_030405 transcript:KZM82836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLEAPLRPKRKKIWVDYFVNFRWIIVIFVVLPISFTLYFLTYLGDVRSEWKSFEKRQKEHDENVKKVVKRLKQRNPSKDGLVCTARKPYIAVGMRNVDYKRARHFEVDLSAFRNILEIDQERMIAKCEPLVNMGQITRVTVPMNLALAVVAELDDLTVGGLINGYGIEGSSHKYGLFADTVVAYEIVLADGRVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPIKEYMKLTYTPVKGNLKELAQGYIDSFAPRDGDQDNDEKVPDFVETMIYNPREAVCMTGRYASKEEAKKKGNKINEVGWWFKPWFYQHAETALTKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRYSLGWLMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEFVDREMELYPLWLCPHKLYKLPCKTMIYPEPGFELHQRQGDTSYAQMYTDVGVYYAPGPVLRGEEFDGAEAVRRLESWLIENHGFQPQYAVSELNEKSFWKMFDAGLYEQCRRKYGAVGTFMSVYYKCKKGRKTEKEVQEAEQAHLETAYAEVDMPVD >KZM83570 pep chromosome:ASM162521v1:9:33053554:33061924:-1 gene:DCAR_031139 transcript:KZM83570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLNKLNIVVIVLMLLSFYGARITSASSLHQRDEKNWRWITDCRIGHRKLGLLNCESGGEIRNSRCFSHCRSGNRKLDILNCEIAGEKHNSRWFSDCRIGHRKLGLLNCETGGASANMDRAFWSESLKTSLSPPPAPVVGVPPHSRSSNIASPPRKY >KZM81919 pep chromosome:ASM162521v1:9:8505428:8505844:-1 gene:DCAR_029532 transcript:KZM81919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSCLQSFVVQAAWTRRSRSEAAKKPNRKSWKQKTDMYMRPFLLNVFFSKRFVHAKVMHRGTSKVISVATTNSKDLRNSLPSLTDTNACRVIGKLIAERSKEADVFAMSYEAKKNERIEGRLGIVIDTIKENGIIFV >KZM82474 pep chromosome:ASM162521v1:9:19890897:19893959:1 gene:DCAR_030043 transcript:KZM82474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECFETRRWRSVVETLSLFNVIVLFYFVYSVSAEVLHEKLVLLEFKNSVSDPSGILSSWRSDSFNYCSWYGITCSSDSRIVELKIPGGKGGNVFDAPCLSSSEFVLHGYGYKRNCSDRTGKLVGKLPRVIGKLSELRVLSLPFNELSSELPSEIWGLKNIEVLDLEGNEITGNLSGGFDNFRRLQVLNLSFNRINGEIPNSLSECRGLQVLNLANNKIEGKIPRYISNYVKLKELYLSYNLLKGSIPDKLVKNCGSLEHIDLSGNYLIGRIPRGFGKCSQLRTLLLFSNAFEGVIPPELGRLNKLEVLDVSGNSLHGRIPAMLGKNLLFLNLSSNRISGHLDVKLPVSCMIVFDISSNLMSGLIPSFNKSTCNSLPSSNLRGLLQSPRLSSARVSSFTCKTLSRNPAAFSSVSFSVIHDFSRNNFSGQIPLLPVTPERLQNDVEYAFLASGNKLTGPLHDLLGNCNEFFRMIINVSNNRLSGKLPSDIGVACKSLKVLDVSNNQVSGGIPLSIGNLSSLVKLDLSWNKLQGQVPYDLSLMKNLRYLSLAGNHLDGTIPSTFAQLTSLRCLELSSNSFSGEIPLGLLNLSNLTVLLLNNNEHELSGKIPFKLSNTRSLANCDILLDNMSGSNFLRNPSLRRATLSSNPSGDERDNSVAYPAASGSKNSSKGFSSTELVLIIPASAIVGILLCLVILFYFIRKNTPNSRAHVSVSSERKDIVVFKDMGIPLTYESIVEATANFNGNHCIGYGGFGATYRAEVAPGIIVAVKRLTVERCQGVPQFDAEVGILGSIEHLNLITLIGYHASEAEMFLIYNYLPGGNLEQYIQKRSERAINWKILHKIALNVANALAYLHDHCNPRVLHRDIKPSNVLLDDEYNAYLSDFGLSRLLGISDTHATTSVAGTYGYVAPEYALTGRLSEKTDVYSYGVMLLELISDKKALDPSFSSYGNGFTIVSWALMLLERSQEIEFFAAGLWEGAPQNHLVNTLKLALGCSAEIKATRPAMRQVVDILTRFTPAPG >KZM82242 pep chromosome:ASM162521v1:9:14468126:14471110:-1 gene:DCAR_029874 transcript:KZM82242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVATAATSPLRFFCNADKVNKLSLQELPPNALLRKRNSSWRGGFSLGVDLGMSRTGLALSKGFSIRPLKVLELRGQKLELQLLKIAEKEEVDEFIIGLPISSDGKETKQSNIVRSIAGRFAVRAAERGWRVYLQDENGTSADAMDLMIDIGLSKTARQDSIDAYAAMMVLERYFSLSGEGIELVLPKQLELQEKLRKGPTLDSDFFPEEDFGFSSLNV >KZM81895 pep chromosome:ASM162521v1:9:7980181:7980538:-1 gene:DCAR_029508 transcript:KZM81895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSARLSYAAFEDSHFLESCFLCRKSLHNCDIFMYRGNTPFCSQECRQEQIEIDEGSERKWKVSSSSKRSSTSSTKKPSSKTVQTGTIAVS >KZM82801 pep chromosome:ASM162521v1:9:24548018:24549126:-1 gene:DCAR_030370 transcript:KZM82801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAYILVQTRIKCVLVDCQFVAIWVVIPNVSGYVPSGIGLCTSNPGIAGELVGDISFEAKTWDLFSDSVDDDYSYYCTKVDGGIKFRNVLTSRIRLGDACFILMAGFSFLW >KZM82138 pep chromosome:ASM162521v1:9:11995677:11996102:1 gene:DCAR_031845 transcript:KZM82138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYNSCYQDQEYQPEDEETISLSDFQLISDENNLHETTDQFCKRQDLPSQAETNEIFEFSSYPSSTMSHAEDIINCGKLVPFKEQDHGSQNLYGRRCRSEPLPEFKISGRQSNTTNMKMRNSRSLDYQKLSRDSSTSSDF >KZM82080 pep chromosome:ASM162521v1:9:10975964:10979104:-1 gene:DCAR_029693 transcript:KZM82080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFSIILLVIVLICSVARNAVYFCESQVVNCSESDFTALVEFKNGLNDPEDRLYSWKSSNCCEWRGIGCDSSSGAVTSIDLHSPYPGGSDSSKRYVSWNLSGEISPALLKLKSLTYLDLSFNAFQDIQIPKFLGSLRNLQYLNLSKSGFSGIIPPELGNLSSLQYLDVSSDFSSLSVDDFQWIQGLRSLKHLQLSQVDLSLVGSSWFDILQELPFLTDLYLSGCGLSGSLSSYKLVNFTSLAAIDLSFNPFKSTFPHWLANISSLEYADLSYCSLNGRIPLGLSELPSLSYLNLAGNNNLSASSHKFFGGSWTNIEVLDFSSNNLHGKLPDTMRNMTFLTDFSLMSNNLNGEIPSSIGKLCNLVHLDLSGNNLTGSLPETLVGLQSCSSRTPLPSLVSLRLSNNKLVGKLPEWLGHVSNLMELSLRYNLLEGPIPDSFGTLKNLTDLGLGGNQLNGTLPESLGQLSELTSFDVSDNQLTGIVTEVHFMKLSKLRILHLSSNSLILNVSYDWIPPFQVGNLDLGSCNVGPSFPAWLHHQGSIKFIDLSNATISGPIPQWFWEMTSNLSLLNVSHNLLTGHIPNPFDVTPFADIDLSNNLFEGQIPLPSVEIELLDLSRNKFSGPIPPHISESMPNLVFLSLSGNNLTGEIPKSIGDMLILEVIDLAENYLTGSIPSSIGNCSYLKALDLGNNNLFGPLPSSLGQLLQLQSLHLNNNNISREIPISFKNLTSLQTLDFGDNRLAGTIPSWLGDGFSFTSLGIIRLRSNAFSGVIPAKLSTLSSLQVLDLANNNLTGPIPTSFGNFTAMEEERKTNKFLQFAFYRGAYYEESLVVNTKGSFQKYTKILSLVTSIDLSQNNLSGDFPAEITNLRGLVVLNISGNQISGHIPENISNMHQLSSLDLSSNKLSSVIPPSISSLSFLGYMNLSNNNLSGRIPYTGHLSTFDNSSFVGNSGLCGAPLVAKCQDDDSVTDSNEDDTDENEETDDAITGRWFYLSIGLGFSFGILMPFLILAMRKSWSEVYFAFVDKVVGKLIPQNTERRPIKGY >KZM83336 pep chromosome:ASM162521v1:9:30989011:30991723:1 gene:DCAR_030905 transcript:KZM83336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQCSGEKPENNASEGSLVLSEPHDAKNLDGPGQVNSQSYLQVAVYPITLKFEEVVYRVSYQQKDKTILNGVTGMVGPGEILAMLGPSGSGKTTLLTALGGRLAGKLSGKITYNSKLFSGSIKRQTGFVAQDDVLYPHLTVTETLLFTALLRLPKTQTHEDKIHHVECVISELGLTKCKNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILNTLKRLACGGRTIVTTIHQPSSRLYYMFDKVLLLSEGCPIYYGPASAALDYFSSIGFSTNISTNPSDLLLDLANGIRPDLVQATEQGDTTEQERKSVREVLKASYDTNIFTRLRTEICSADVTDYSHTKDGSARGRGNSEQWCTSWWHQFKVLLLRGLRERRYDAFNRLRIFQVISVAVLGGLLWWNTPTSHIEDRITLIFFFSAFWGFYPLYSAVFTFPQERRMLIKERSSGMYRLSSYFLARTIGDLPLELALPTAFTLIVYWMGGLKPDPFTFILSLLIVLYSVLVAQSLGLAFGALLMDVKQATTLASVTTLVFLMAGGYYIQQIPPFIVWLKYLSYSYYCYKLLLGVQYNSDDIYECSKGVYCRVAEFPAVKSVGLDHLAIDVFAMALMLVGYRLVAYLALQRVR >KZM83386 pep chromosome:ASM162521v1:9:31379716:31380477:1 gene:DCAR_030955 transcript:KZM83386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFANLSDSDDDRKVEALLAQALDHSVLEQVSAINCAGFTDPILPNQLESRFSRLKSFPSAKPMSQIPPIKPSDPPIKSDLKEKKLGQCDEFEALDVNVGAPVVETKLENGISVSPAKMSGFSKGGDGELGKKMRVRNVSFRSPSDSRASSRDSSPSPTRAIGCFWCSPKRVSGRKSKEYRSDDDWGKNEEVLSDISDFSAKGQEKLLKKMMKEEEKINREAAKIVKWAKQVSARMELSDAEDEMSDHEGTK >KZM83622 pep chromosome:ASM162521v1:9:33431663:33446397:1 gene:DCAR_031191 transcript:KZM83622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTGRRSARSRIKSSGTEDNDHLNSGNLKSIPKLRKHNARNNTSSILNLNLNLKVLLGFGVFTFLIALFLIYRLVNFTGDVDDKIRRLVTPFPSPKLADLPMFQGEHKESLYWGTYRPNVYVGIRARVQQSLIGGMMWIGVKDGRYFVRHVCQDSDELKKYGWTSHNGRDYGHQVIIDQEMTLETSFLKYRGKNSGYGGDWVVRSDVRTEIINDEKQRIGHLFFYLADEGNNALSLGRGVVDVHESSCLASGSREDVGNWQLHLKSANDLEVHYSGFKTPHIHNLSDLLQETLGDQVRRFGRLQLPDTSDDSANILVFQITARIPFSFDIAFLSGSGFDSSNVEERVSSLTGTQLKDKLSNKENELDDKFINCFNTSGKLDSNTVTVGKAAIGNLLGGIGYFYGESKISLPTNINAKSGNNFISYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDIYICLDIVGHWLDLMNVDGWIPREQILGAEALSKVPAEFVLQHPTNGNPPTLFLVLRDLVCSMKKKNFSDLEQKKISSFLERAFVRLEAWFQWFNTTQSGKETNTYFWHGRDSLIIHELNPKTLSSGFDDYPRASHPSEEERHLDLRCWMFLAADCMHSISELFAEKIELGKKYSLTSKLLSDFELLNKMHFDNSHGTYFDFGNHSEKVRLVWQLVEDSNGFPSRKLVREVSKEPVLGLVPHIGYISLFPMIWRIIPSKSWVLGKQLDIISNKSILWTEFGLRSLGKTRQDEAFFPAATVVKNYQQTGYFWEQYDQKTGKGKGARVFTGWTSLVLLIMAEGFSEC >KZM81701 pep chromosome:ASM162521v1:9:4641742:4642143:1 gene:DCAR_029314 transcript:KZM81701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTSIALESLIEPRFRDSYKDSAKKTVKSVKDDDDKEVREEEVKKPSRNRVNISPALYITPEPTPIVYSSSESVSPSPYVANRKGRGGLRRRSEVVVRKEEERVVEEERVVEEDEKVKKEKEMREVAEESE >KZM82540 pep chromosome:ASM162521v1:9:20844243:20853158:-1 gene:DCAR_030109 transcript:KZM82540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLSMIKLLVQLPLIFLLVPCLCCPAYQKQFLLHFKSSLLTSYDTSNSSVFGLESWDSISDCCKWTRVVCSSHSRDITALYLGDLYIHNEYNAVNVQILDPIYGIRSLRFLNVSNTYIQGEISGEGLANLTKLIHLDMSRNLLNGSIPPQLFQLWFLQSLDLSDNSLKDGLSREIGKLENLRSLKLDRNSIDGNIPVQIGNLTRLQQFSVSQNKFLGPIPESILNLKGLEILDVSYNHLEMPIPDWIGTLFHISILKLSGNSFTGLIPPSIRNLSKLETLELEDNMLSGEIPSWLFDIESLKNLYLGGNKFIWNNNVKIVPKCMLSQLSLRSCKISADIPEWISAVAFDFLARALLMLSCLSETIPSSLQILLADQLRHLKLVALYLGDLYIHNEYNAVNVQILDPIYGIRSLRFLNVSNTYIQGEISGEGLANLTKLIHLDMSRNLLNGSIPPQLFQLWFLQSLDLSDNSLKDGLSREIGKLENLRSLKLDRNSIDGNIPVQIGNLTRLQQFSVSQNKFLGPIPESILNLKGLEILDVSYNHLEMPIPDWIGTLFHISILKLSGNSFTGLIPPSIRNLSKLETLELEDNMLSGEIPSWLFDIESLKNLYLGGNKFIWNNNVKIVPKCMLSQLSLRSCKISADIPEWISTQKNLNLLELSDNQLTGNFPLWMAEMKIETLLLSRNQLTGSIPFPLFQSQNLSILDLSRNNFSGELPQNIGHALKMTVLMLSRNNFSGAIPKSIGDITFLMLPDLSRNRLSGKTLPVFGSYYAPAYVDLSSNELSGDIPVSLFSGTSFLALGKNKFSGSFPRTLSEAVNLEYLDLDDNNITGYFPDYLISPSSSLQVLSLRNNSLHGSLPSNSFYNGSRLRILDLSSNNLDESIPSELGSLPGMSGIPSAFLVGYRIGELTLNYIEATVSLFSGMHTITIEINDLTVNWKNALQGLSSHNQHIYTLLDLSNNKFSGDVPDSLGNLKGLKLLNLSYNKLSGYIPQSFGDLQSIEALDLSNNNISGTIPQSFRKLDQLSVLDVSNNKLSGEIPRGGQMDRMNDPSYFANNSGLCGMQIRVKCPKDEPTPNDGQEEDDDEKEAWFLWAGVWIGFPLGLISSVLTTFLDIPEWISTQKNLDILDLSNSGLTGKFPLWLAEMDIKSILMSRNKLTGSIPSRLFQSSSLSILALSKNNFSGELPENIGDAHNIKVLMLSATNFSGAIPKSIADIPKLVLLDLSRNKFSGNTFPVFDPNGSLYYGDFSSNELSGEIPVSFCKDTGILALGENKFSGRLPRGLTNMNELEYLDLHDNNITGNLPEFLSQLSSLEVLSVRNNSLHGSLPSKLFSNESSLQILDLSSNNLVGSIPSELGNLKVMSGGYIDFIARQYIKNEMELNWVENTIAPISGMFTFTMEINGLMVNWKKAIQGLSGNKRHIYTLLDLSNNKLSGDIPVSLGLLVGLKLLNISNNRLSGNIPQSFGDLESIQALDLSNNNISGTIPQSFKKLNELSVVDVSNNKLSGIIPWGGQMDTMNDPSYFANNSGLCGMQIRVTCSEDELKPEARVEGDDGEQESWFLWGGVWIGYPLGFISSILIAFFSRSLILLDISSNSMEGLIPIKGLANPTKLVHLDMSNNDIHGSIPAQLFHLKYLSFLNISYNQISGEISGNGLANLTSIVHLDMSNNHFDGSIPAQLFHLRLLRFLNLSDNSLKGGLSTEIGNLGNLRTLKLDGNSLFGNIPLQIGNLTKLQYFSISLNELSGGIPDSIFTLKRLEGLDLSSNYLRMQIPSHIGNLSCISTLALSKNSFTGAIPTSIRNLSKLETLQLEDNMLGGEIPSWLFDMESLKNLFLGGNKINWNNIVKIVPKCMLSRLSLRSSQISGDIPEWISTQKNLGIFELSYNQLTGIFPLWLAELEVGNLLLSNNELSGAIPSRLFHSEFISFGK >KZM82460 pep chromosome:ASM162521v1:9:19706655:19710663:1 gene:DCAR_030029 transcript:KZM82460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESDKLDPPTDFSPESSWTLGADPANMYNTFFPDSDFGWNLHPENPHNFADFDRIDHNFAGSSTFPAITRVPHENPSSNNIDTVLPEMEAQSGPAADNNQSVSSSTSEDLPEKSTASASSADIATPETAIKEKKKGAKRIRQPRFAFMTKSDIDNLEDGYRWRKYGQKAVKNSPFPRSYYRCTNGKCLVKKRVERSSDDPSTVITTYEGQHSHHAIGFPRGSPPGFITHQGLISQHFYPPGIQFPAPGRQQVSNSRLIQPRQLISAARESPTDGLLGDIVHPGGRT >KZM83211 pep chromosome:ASM162521v1:9:29643060:29646382:-1 gene:DCAR_030780 transcript:KZM83211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLVSMKLIPVTLLLLCVAAIAATDHRSGKKEEVQSSTNSSMEASVKDAEGLSKHAVSDPDEIAAMVEMSISNSTERRKLGYFSCGTGNPIDDCWRCDRNWFRNRRRLADCGIGFGRNAIGGRDGRFYVVTDPGDDDPVNPRPGTLRHAVIQDEPLWIVFKRDMVITFKQELIMNSFKTIDGRGVNVHIANGGCITVQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITVSNNYFTHHNEVMLLGHSDTYMRDKQMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPVNPFAKEVTKRVDTNAGQWRGWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKASSMVGTITSGAGVLGCRRGSQC >KZM83335 pep chromosome:ASM162521v1:9:30974601:30976730:-1 gene:DCAR_030904 transcript:KZM83335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED4-CCD4 description:9-cis-epoxycarotenoid dioxygenase MDAFSSSFLSSTYILGPKPPSPPPPPHLPHRISAVRIEERPPTTTPTPQQTRTTTPRKSPPPPRRSPPPPPQTFPATLFNALDNIVNTYIDPPSKPFVDPKFTLSDNFAPVDELPPTECEVIHGVLPPCLDGAYIRNGPNPQFLPRGPYHLFDGDGMLHALKISNGRATLCSRFVKTYKYTVEQANGSQVIPYVFSGFNGLTAAAARGAVSAARMLTGQFNPANGIGTANTSLAFFGNKLFALGESDLPYEIKISNNGEISTLGRYDFSGKLNMSMTAHPKIDPRTGEAFAFRYGPMPPYVTLFRFDSDGNKSQDVPIFSMQTPSFLHDFAITNKYAIFTEIQLGMNNPLDMIFKGGPPVGADPAKVPRIGIIPRYAKDESEMKWFEVPGFNIMHAINAWDEDCGDTVVMVAPNLMSVEHTMERLDLIHAVVEKVKIDLKTGIVSRVPLSTRNLDFAVVNPSYVGQNSRYVYAAVGDPMPKISGVVKLDVTVSDNDRRDSIVGSRMFGPKCFGGEPFFVAREPENPDADEDDGYLVTYVHDEKSGESRFLVMDAKSPDLDIVAAVKLPRRVPYGFHGLFVRQSELNKL >KZM83576 pep chromosome:ASM162521v1:9:33080628:33082704:-1 gene:DCAR_031145 transcript:KZM83576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLTDLYLVALVFLLLSISGTSGTSVSSFHQHENQNSKWFSYCWSGYRKLDLMNCDDAGGLTNMNRDAWSESLKEAQPSPPSPTTRPIQNVAPRSTDELKSRWFRDCETGRYTKLDRLKCRNAFRLRNTGKAPWSESLKKALSPPPAPDVMRGSLPSEDDAPPPPST >KZM81612 pep chromosome:ASM162521v1:9:3465900:3469323:1 gene:DCAR_029225 transcript:KZM81612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIRNVILGLKPTILMLIAQTILSVSNVLFKLASNDGSDLKILVAYRFLFAAAFMVPVAFFVERNKRPKLTWRVIWQSFLSAFVGGLLSQNLFVLSLALTSVTFVTAITNLVTAFTFILAICFRLEKINWDKASGKAKVTGTLLSLGGAMVLTLYKGPNLINWNTHLNLVNHGNHHGGLARTHPHMIVGVCSALGSITFYALWMILQAKIAEHYPCPYSSTALMTTMTSIQCTLYSLCMQRDWSQWELGWNIRLLTVAYSGLMATGVTFTLFAWCIRMRGPLFVSAFSPLMVLIVALTGPLVLNETVHLGSMLGGITIICGLYVLLWGKAKEMKGTAQSPETSSKVIRQPVEIVLSASSSGASENHKPVDRNNGTLNGVTMHHASVAATNTDEKFDEIRIVEDQVY >KZM83060 pep chromosome:ASM162521v1:9:28420559:28421464:-1 gene:DCAR_030629 transcript:KZM83060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSLSCFGENGVQVADTSCSSSTVSKASQNLVSCTYQCKLVGKSCFITVSWSKNLMGHCLSVEIDDLSLKCLCKVDVKPTLFFKRKGLKCIDVNSLKIYIYWDLSVAKFGQGPEPLEGFYVGVVCKGEMVLVVGDLKKEALKKSGAIPSLFNSMFVSKKEHLFGKKVYGSKAQFSDNGQIHNLTIECDEYGVDDPCLIVRLDSKPVMKVRHLRWKFRGNQTILVDGLPVEIFWDVHNWLFGTTLGNAVFMFQTCLSAEKLWTGETFLDTSERQWSCSQSFKESKVPGLGFCLTLYAWKND >KZM82321 pep chromosome:ASM162521v1:9:17236150:17236527:1 gene:DCAR_029819 transcript:KZM82321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKTASLTLLLALNLVFFAVVSATGVTPIPGSTASTYYPVSKCDPLKLGICANVLNLVDVVVGSPPTLPCCSLVEGLVDLEAALCLCTAIKANMLGIKLNVPMALSLVLNNCGKDLPSGFECY >KZM82050 pep chromosome:ASM162521v1:9:10490032:10490751:1 gene:DCAR_029663 transcript:KZM82050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSPSALLSTTTSTSSTPSKPPQFKPQLTIPPSKPSSNNLTTTLTAALAAATILTSTTPSLADSTYQTYYGTAASAANYGGYGGNSNKKDSAEYIYDVPEGWKERLVSKVEKGTNGTDSEFYNPKKKTEKEYLLYLAGIRQLGPKEAILNNLALSDVDLQDLISSADSVTSEERNDDKGQVYYVYEIDGVAKHSLISVTCARNKLYAHFVNAPTPEWNKDKETLRHVHESFKTIGV >KZM82831 pep chromosome:ASM162521v1:9:25092170:25093703:-1 gene:DCAR_030400 transcript:KZM82831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSPFSSLVKPHFSDSEYRIGNCVGAANHRVFIFFLISALLSTVYVSVISAYAAIQIWPPIRLSPPARTDDLASLDWYSGKLKEIISAFLSSILLLPVESVVLVYLLVASLVVEIGLAILLFQQLYYIYEGKTYLNHLISHGVEDGTSYGVEEKDIRNLVRFFSCPSSALRFLPCFWSLKKSHKK >KZM81513 pep chromosome:ASM162521v1:9:2000181:2001304:-1 gene:DCAR_029126 transcript:KZM81513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNWIRPVKNVLNWSALFTISLLIGIWMKVVSTGLASFVSGDASNWKASWTIGMAIFTFSCYCLDECVKMKRAQLP >KZM82095 pep chromosome:ASM162521v1:9:11351585:11358568:1 gene:DCAR_029708 transcript:KZM82095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLNEMDGSDADKEIELFEVHDIQDLLEEVTDLPVSSIAPDSSNFSAVALELLILQEELEENNILLWKGKGATENDKVMALKAKREAEGNNRQDLIDEPNEENAATENEKCVPELGNGDVQDDNEQNKHDVSQERQHFVKYLLEDKFESLALSLKNVKPMYMIMPWQTFSNYKDCGIFLMRHMETYKGDTKNWITDLKAESTVQSAQLIKLRAKYCHAILTSPLNEKRQHILTEAKLLYNKVASDKVMSIVLAASEGKNGTISRRNGIKGKVLLLEDDNAEDDTPEM >KZM81745 pep chromosome:ASM162521v1:9:5365021:5372335:1 gene:DCAR_029358 transcript:KZM81745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSISLSSNLSATRSSNSISDLYLSSSISPQSLRFCGLRRAAFTPLKSSSSKQLVSKQCRGKLSVCASANGTVSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKAFGLQVAAAGYDRQAVADHANNLASKIRNNLTNSMKNLGVDILTGVGSVVGPQKVKYGKAGLPDTTITAKNIIIATGSVPFVPKGVEVDGKTVITSDHALKLETVPEWIVIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEPKDTLEVDAALIATGRAPFTKGLGLENINVETQRGFIPVDERMQVIDANGTLVPHLYCIGDANGKLMLAHAASAQGISVVEQVTGHDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEVSIAKTSFKANTKALAENEGEGIAKLIYRPDNGEILGVHIFGLHAADLIHEASNAMALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKAGVSSPVGEPVSV >KZM82597 pep chromosome:ASM162521v1:9:21664837:21665571:-1 gene:DCAR_030166 transcript:KZM82597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTKLHVISDFVSPVVRVPQVYNSLWKWGALILALIATFTSISTRIKHIIIRVRSIKRSSSKQLLQCFDDDFDFSDDEDDVDLSSTPSDDDESGDDDSVDGGDEPELRRDCFSWSDFSAGNSVVKLWDSFGLNLDFQDSSESENPTWALDGDLKISEFFAGKRNIPAAGKSLPAVVYVADMSDHDRLVLGAYDRRISNDIPVVKWGPIAGGELRRRGLRNVTSPLNNLTESDVETWWDADXRV >KZM81760 pep chromosome:ASM162521v1:9:5734604:5734903:-1 gene:DCAR_029373 transcript:KZM81760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKQEPISLATTSSHHQSPPSISHPSFSDLNTESAASFFTDRTSLDTLMGVSAFCPIIFSTPSHNRNAALPVNNPGKSKEINSFSRSSGGSLTARFFR >KZM82076 pep chromosome:ASM162521v1:9:10896796:10902397:-1 gene:DCAR_029689 transcript:KZM82076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQETKFSGHRVQLRRSSRLQRCNCFSNTADDPIDLEPTKESEINNNMEDDQQNSTPTTSEGSRKKRYKHAAKKNKVKPGQKSTPDSDESNEEPYSIHELYRAEFQAKQQTEDAQQTEDHNQSEQTEDTQQTEDQTEGNFEPPTEEDQNMDEENQQEDQNMDEEHAEENQQSQSQEEAQNKDKSPTAKNKKWKRKKTEEEEAQRKAKRPNFHLPTKKDANEKYELFYADRVRHKGIKLVERQCPSFKGWTQEKLRERQAIDVYGGPFGFGLIMKPLRDLPSSQEQTATENAKGNDKGKDVPNPASDDWNDHQNDDNVWEELERVQRQSVQNETIDREDVRDTEQHIQVEQQHGDGQASSEKDFVESIRSMADDLIDTKLLFDTELTLALQKYPTNEQLLDIKNIVFNVFHQQGTEKTTNTAPPEQTTKTLPPEKTTNTRPTYSRPSEQCTDSSPPEENTDTEEFQDCYEEEDFQLTIEDVEQLDLLSFVDSAKINAQQTDLFETDTTLPPEKTTNTRPTYSRPSEQCTDSSPPEENTDTEEFQDCYEEEDFQLTIEDVEQLDLLSFVDSAKINAQQTELFETDTNATLDEKKTLATTYKLFAENVDSMLNQCNRTKLDLIDMAFFPICAFEHYYLIVYHLKNWSYEIIDNIDRSKIDPKKCYGEKPKILDGQDHQLIKLRIKYNNAILSSQLNQKRKEILKQGKELYIEAASKKLVDLVINSSQQSQEERQTSTIVAKSQNKKKVTFAKNLITPFNEVSDPQKDV >KZM83160 pep chromosome:ASM162521v1:9:29257367:29260632:-1 gene:DCAR_030729 transcript:KZM83160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASDESGVGSSVEGSGQQRCQSSEALAEWRSSEQVENGLTSTSPPYWDTEDEDDFANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIRFGTHHCYMCL >KZM83348 pep chromosome:ASM162521v1:9:31076110:31079844:1 gene:DCAR_030917 transcript:KZM83348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSARCQSCGERSLVTDEVSENLICTTCAVVQDYNNFQAHVGGISGPAGTYVRTGTSGSGNNYSYKESKIYKAGVLIDDILFKLNFSVSSIDEVKKMIVTITEGRMVNRVVSFLDLKLPEFDIVYLYETTLRNSPSFSCIEAEKKEVMLKQGVFLVQCLIKWFVTTGRRPVQVVVSVLVFIGQLNQLNVKIEDLASELNVPAVTCRLRYKELLERLVEVARVLPWGKDVNIKNIVKFAPYVIQYMEMKSMGNGLKQIKNSDNVGFDIAYFLSDCLQERSDNMIDSYRSCEGDDFFSHNTEQEQSPSLDYANLDKFNISPECLKMIYSKCMEEVSDFRSTSEFGKENRKRRKRHDNYLDCTDWWKGKSELSKKLSLKKILEKDVGMDVMPPAFVNGCLTYQMRRDKIEAAKARIKNIMFPSNAGEYGQGDWFSIFVGACAYVVRRKNGNLLPMTDVAAVVCCDTYELGRMVNRVVSFLDLKLPEFDIVYLYETTLRNSPSFSCIEAEKKEVMLKQGVFLVQCLIKWFVTTGRRPVQVVVSVLAFIGQLNQVNVKIEDLASELNVPAVTCRLRYKELLERLVEVARVLPWGKDVNVKNIVKFAPYVIQYMEMKSMGNGLKQIKNSDNVGFDIAYFLSDCLQERSDNMIDSYRSCEGDDFFSHNTEQEQSPSLDYANLDKFNISPECLKMIYSKCMEEVSDFRSTSEFGKENRKRRKRHDNYLDCTDWWKGKSELSKKLSLKKILEKDVGMDVMPPAFVNGCLTYQMRRDKIEAAKARIKNIMFPSNAGLHAGYSHCLSTTAKKEKKKKKRHVDVDWEDLIIETLLLHQNCYYVPPIAAAARTPVKSYLPVRDARYQSLRF >KZM83045 pep chromosome:ASM162521v1:9:28289786:28291215:-1 gene:DCAR_030614 transcript:KZM83045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEPADLIQQQDFSRTPPELILNAMSSTIVYQGSNWQHPENKPLRLKLAAPKPLGLETTNTKENKNPDSGAWNFLQMLSDRPKKIFSTETFCPSHKLSQNSLELCTENLGSETGSDDTCDIDILTRAKSSTITRQQGRVLSAKKMSTRSFPPPLTTISGSNSLHVKPRREGGRLIIEAVEAPSSRACFEAERSNGRLQLRFLKNCEFFYDTKARSEDTENENIENFEREDYKTHEIEDVFVGDEFKKDGANNKIVGYIKKDKDGIRQDVEVVMGRESIARHNSRCKESGHGNNKRGFCNWEAFWVAT >KZM81618 pep chromosome:ASM162521v1:9:3570540:3576425:-1 gene:DCAR_029231 transcript:KZM81618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLVRELEAMLENYNNLDYNVGDPLQPPATVISPVAEKFKYRMSLFKRFQEVGYPALEDGPAVESETRRAWQIYSCFGPFCFFHLHERKESQPSNSGSWENVDEAEFVVLMYHKLLARFVELKSSSQIAIISPYRSQVSLFRDKFKDTFGEDSNKFVDINTVDGFQVVGSALTLRKDEHWKNLTESAEKRNLSESAEKRNALYKRKESRSWENVDEAEFVVLMYHKLLARFVELKSSSQIAIISPYRSQVSLFRDKFKDAFGEDSKKFVDINTVDGFQGREKDVAIFSCVRASKDKGIGFVSDSRRMNVGITRARSSVWLCLYNSSCLFVYSGCGFCFNFEKRRALEESHRECRKEESHRVQKRGLLFIRFRSRMLIFSVRQTLPELQEVPNDDIGFDMAIDVNVDDGPADVQDWGGGDAEGFDGAGDDD >KZM83094 pep chromosome:ASM162521v1:9:28657915:28658938:-1 gene:DCAR_030663 transcript:KZM83094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRIQLGSLIIVMMALFWARTAAQSGCTSVLIGMSSCLNYVTGSNTSTPSSSCCSALASVVKSQPQCLCSALNGDAATALGIKINRTLALALPAACKVQTPPVSRCYANGPSIAPIGSPESSPAPSPISPSVTGSKNSRQNGATSGGSKLKMTYLITGFMALSASVFSGFY >KZM81822 pep chromosome:ASM162521v1:9:6473560:6477906:-1 gene:DCAR_029435 transcript:KZM81822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METILSPHSFTPLFTNTKTLSSQSYKPNKSNSLYFSNPISCSIKNDPFKSLATPTSLFSSLQNGLAALAISLALSFSPVLPSLASEFDVLNDGPPKETYVVDDAGVLNRVTKSDLKRLLSDLESRKNFRINFITVRKLTSLATPTSLFSSLQNGLAALAISLALSFSPVLPSLASEFDVLNDGPPKETYVVDDAGVLNRVTKSDLKRLLSDLESRKNFRINFITVRKLTSKADAFEYADQVLERWYPSVEDGNNKGIVVLVTSQKEGAVTGGPDFVKAVGDTILDATVSENLPVLAVDEKYNEAVYSSAKRLVAAIDGLPDPGGPALKDSKRESNFKTKEETEEKRGQFSLVVGGLLVIAFVVPMAQYYAYVSRK >KZM82065 pep chromosome:ASM162521v1:9:10623943:10632592:-1 gene:DCAR_029678 transcript:KZM82065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSDEITGDCVGNRKTFSFSSNWTIASGSFDDSVNFESSESPIDADGVDSADKAPLVLKPSESDSSPCEITLSLTQKHEIRQVYVRSTARTYEIYYKPDVHSSNEYLCTVRCSTASREDDSLHESNHGEGSTEPTKESDRDYKEKKSPSSANEDGWVEVKKPESPVEVIKSMPKAIFGDERNLQDFYEATAEISDGEPSVTLIIRLLSLENKGLVYVDEIYVYADAVESADLDNYAAQNLQGGALAGSSLMTMFLPTLLQLTRSNVGQSQNGLNSRKVVEEESAERSRNIDSTNYSNEHYKRSKVLDRQDAEFQEVTEVTAQATPQFKNLAPNVENPGQYHSVVDCNNHVGRVMEQLNSRMSQIEDICLRFEEKMVRPISSMEERLQRLENQLETIVKNTQTSELHSCARFSAPAFSSLDSTSSSFYNDGCANPPHVQSEVEKHEPSCDDISKSTEENFKSGRAPQFIPSYVVTAPEFLCDEDDEQNDAVAPLKDSPGELPKKPMSIDDALAAALAGFSSLTISEPQKLSEKFTKSPNEEIGINEDSLDRPLEESIHRASNFHRDLLMKNPCTMNVDVEFINEETAGEEHLKYTQGLKFTAPDFASEDTICDVKVLTPGIEDVNLVHPSRTFATDGGENILDITSAPSNLSALEKEETSIVRKTNVSEQFCEGQTDADTFGGANNSVSVRSQGGYPATEETSDLSALKREETTIVCKTNVSEQFCEGQSDAYTVGGANNSVSVRAEGDYPDTEETSLQESSSRKDELPIWERLAILQQVHEKNNATVGTKGKKCDERDEFVKHILAQKNFVKHLLAKTKNSVGTAEKNSGPISICERVELVKQLLAKTEDTAEKISGPLLECSEGGSGNGFSLGSVKDDYWATGEIGMLESSSSNILDRIKIIEQLGAKIKDGVGSKEKNLSPTSECDERGSGNDISSWLDFETPILEVKFTSQENTSSELSLKALLTNTEADSQISFTQKSKDDEITTKENDLGSVVIEEGNPTWESNNFLLVDLESYDAPVSSEDVAGHQVPLDIKEHEVLPSLI >KZM83146 pep chromosome:ASM162521v1:9:29167178:29167774:-1 gene:DCAR_030715 transcript:KZM83146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEVEYSFYVVVRLAELDENKQVSQNLIVTRLLKQMMHVKSTEEIGYLLAVTKLKRIGTGLVLDSPDCVLFPVCVQCRTFLPMSGEIMYGVVYKINMYGVFIKRGPMRFIYLSARKMPGYSYVDDDQNPFFVSTDGSRIELDVVLSFIVYGTRWTQRNNYAEREYMIVASLDGNGLGPMALSGHDDLDLESCRFPDS >KZM82220 pep chromosome:ASM162521v1:9:14009857:14012345:-1 gene:DCAR_029896 transcript:KZM82220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGPRGIEVFPDHFQASTSGAHSSQTQGSPVSHPRPDFESGSRVWARRKLRTAASMLNLFSIRRLPWVPGQEKVLLTAPEVESLRTELADLEEKEALLKAQLEHLDEVLRSARLSGYLYLRTRWATLPGEPAPIDDDTEIDDWLPRFLVLHGSCIYLYLMSTDLSPQDSTLLSDVVEVGCLPCFTREDGELRHNFYILTRQGLRYECSSVSKIQVDSWLTALHEDCILQSDKLSLSDSEETHKKLKGEEMKVESGSHE >KZM81397 pep chromosome:ASM162521v1:9:674948:675342:-1 gene:DCAR_029010 transcript:KZM81397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRLPNNAIIRDRKENYWPVEDFGVMACEKEFSVEGFEADEMEEEEDEKGENYVDEEGHDKEMEDEEDEHKADNRVEMDDDELALLEN >KZM82741 pep chromosome:ASM162521v1:9:23249118:23251174:1 gene:DCAR_030310 transcript:KZM82741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENNPTASTGPSSSYAFANSSENARRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRESPEVRKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNNKQVESFIEHQDACNIRRIRPDHLQVTLQQQQQACSSRTASSTSPSSDTNFTNFAALSRSFSIPRTNISPTDHDHLRSDRDNLDQSPTDHDHVHDHQHNLELQLLPSSSNVQTCSFYSNRNDNDSTHLKLSIGTSSCSTRSNEKMKNDHLGGSEELKNALAEKALAEEARKQGKRQIELAEMEFANAKRIRQAAQAELEKAQILKEQATKKISSTLLEITCHACKQQFQAAKPRNNGATAASHVVAEDQTSLAMSYMSSAITEGEGHL >KZM83285 pep chromosome:ASM162521v1:9:30411839:30415280:1 gene:DCAR_030854 transcript:KZM83285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRYPSFSTSRSSGQSDPSSSTELKSKPHSSSLKRLVSGPTDAPQLPSRALSKPKKDQSLGAMVKKLMEKKPKGGVGREQRGGLFVAAEILKEDLKKSGKKGGGFGGGLGKKLFGKGIEGEKVKALTEVKANTRSLGMVLRSERELLSQNKDLELEIVELKLIVEERNREVEKLKDLCLKQREEIKSLKTAILFPDVIGSQLQDLLEMQGSELKQAKHLIPSLQRQVTSLTGQLHFLAEDLKEVKAERNSSREWYDEDDSPPRTPLNDQEPAANSLEFSSPTQTNAGSPDDMLIKDFNPCLTPYYATKSNEFEFNGYSSPQNDDLSDLSMRTCEDLEFDSNGRKLSKSSDYRRCSNSRNTSSQGTRKSDESKSRYGKQMQHRLF >KZM82379 pep chromosome:ASM162521v1:9:18091038:18098596:-1 gene:DCAR_029948 transcript:KZM82379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQIPDHLLPKVAIVGRPNVGKSALFNRLVGGNKAIVVDEPGVTRDRLYGRSFWGDYEFLVIDTGGVLTVSKLQTDVMKDLAISTTIGMEGIPLASREAAVARMPSMIERQATVAVEESSVIIFVVDGQAGLTAADEEIGDWLRKNYSDKYVILAVNKCESPKKGVMQASEFWSLGFTPLPISAVSGTGTGELLDLVCSGLIKTEDPESLAEEENYVPAIAIVGRPNVGKSSILNALVGENRTIVSPVSGTTRDAIDTEFTGPDGQKFKLIDTAGIRRRAAVASSGSTTEALSVNRAFRAVRRSDVVALVIEALACITEQDFKIAERIEREGKGCLIVVNKWDTVPNKNQETTKYYEQDVREKLRLLNWAPIVYSTAIVGRSVEKIIVAASAVEKERSRRLTTAILNQVVQEALAFKSPPRTRGGRRGRVFYCTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRTDAGFPGTPIRLLWRSRKKSERNNGGGSATKTRVNLPTYDRSLVAAT >KZM81485 pep chromosome:ASM162521v1:9:1744515:1750782:1 gene:DCAR_029098 transcript:KZM81485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPSFSAVFADFLASPITRRRLSPPPFTLRFAISTKSRFSTSLVLASSASSSSSSFPLGAGNSRSQRVETELRFRQGSLQDFIKDLIQEIEPLDVSVIQKDVPATTVDAMKRTISGMLGLLPSDQFEVLIESLWGPLSKLLVSSMMTGYTLRNAEYRLCLERNLDIHEEDTDRQKLEDSKLEDQVLLLDNNDIIKIWTHDEVHDSTNTNENITDISDLGETSPQVKELISKLQSSLSSVKKELREVKRKSAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSPELREIIHSVVHGLLATLSPKVHSKAPNLPDNASSGTANGGIAEDHTDLVENTSLQFHPLVSLTRDYLARLLFWCMLLGHYVRGLEHRLELMELLALPNDSREDTSTASDGQVA >KZM81786 pep chromosome:ASM162521v1:9:5991848:5994725:-1 gene:DCAR_029399 transcript:KZM81786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSVNLSFSALISPYKTLIQNIHLTRSRRSLLKPTTCGTEHGIGCQTVSFAFSYIPVVKILRHECLASGILSVNATCHCLQMAARAHLSARISNHCNVSPKTYIVISGFWVGPDIEDGWGFIEAFVNQIY >KZM83530 pep chromosome:ASM162521v1:9:32713051:32715279:1 gene:DCAR_031099 transcript:KZM83530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSQLVKSIEKQKNTYASTGSKLPDKGQKIKDVIKKLEMEKERRERNPRKIVKVVELFKVVELFDHDNNRSDTLSHSQNSSVKGNDIPN >KZM82393 pep chromosome:ASM162521v1:9:18263920:18265293:1 gene:DCAR_029962 transcript:KZM82393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRLRSLQLSRFDWKIKIRVTRTWDSFGSNGDFIGRNLILIDVEEFHVHGFVIPEACSSIGLSIYEGDMYVIENFITRRAIGDLRPVTSDICIILNESSKVTPVPLEIGRFPRYKFELTDLGDVYTIARNLAPDQLPLYAIDIVGIIIDCGEVEVDLSDNGPRNYVRFNLYDGRLLDQL >KZM82089 pep chromosome:ASM162521v1:9:11203838:11204483:-1 gene:DCAR_029702 transcript:KZM82089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNYSFFRSTGRYGQPSLSLSWATRLRIAKGIARGLAYLHECSPRKFVHGNIKPSNILLDNEFQPYISDFGLNRLIEITGNNPSTGGLHEKWDVYSFGVVLLELLTGKSSELSSAAASTSAEALNLVRWAKKGSEDENPLTDMVDPLLLKEVHAKKEVLSVFHLALACTDEDPEIRPRMKTVSETLEKVRP >KZM83373 pep chromosome:ASM162521v1:9:31249901:31253742:-1 gene:DCAR_030942 transcript:KZM83373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQGQTYTLDEALETVGFGKFQIFVLGYAGMGLIAEAMEMMLLSFVGPAVQSAWGLSPRKESYITSVVFAGMLVGAYTWEANSLRLKVFKQFWKGFLITAMVTAGAGFLSAFAPNYICLISLRCLVGLGLGGGPVLSSWFLEFIPSSTRGTWMVVFSTFWTLGTILEASLAWFVMPSLGWRWLLALSSLPTSLLLVFYVLTPESPRYLCLQGRRDEALVILKKIAKLNQTKLPPGILVLDQQIELQETSSEAEDKHLLTPKTMESASNDESSKMEKKTESSVLTLLSPELLRSTLLLWVVFFGNAFSYYGLVLLTTELHSEHSTCKQTQLKSNDSQDVNYRDVFITSFAEFPGLLVAAATVDRMGRKFSMSAMFFLCCTFLLPLVFNQPQGITTGLLFGARICITATFTVVYIYAPEIYPTAVRTTGVGVASSGGRIGGMLCPLVAVGLIHGCHQTSAILLFEAVIFLSGEPYTPHLHIYTLASFWYNTSIP >KZM81706 pep chromosome:ASM162521v1:9:4666679:4669206:-1 gene:DCAR_029319 transcript:KZM81706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGCKGNSGFSARSTAEEVTQGIDGAGLTAIVTGASNGIGTETTRVLALRGVHVVMAVRNVASGTRVKEEILKGIPRGRLTVMEIDLNSLASVRKFSREYIASGLPLNILINNAGVMAPPFTLSKDNIEQQFAVNHLGPFLLTNLLLETMKSTAHKTQKEGRIVNVSSALHQNGYKEGIRFDKINDKASYNGNAAYGQSKLCNLLHTNELARRFKREGVNITANSLHPGIIATNLTNNLGLTGWVLNTFGKYLLKNVPQGAATTCYVALHPQVKGQSGEYFMDSNKAEANATSSLAKDAVLASKLWDFSLTLTNGK >KZM81985 pep chromosome:ASM162521v1:9:9575261:9577745:-1 gene:DCAR_029598 transcript:KZM81985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHKLLQNLIVDIPNESTGEVAMDVDVTDDTELDLQLNSLRKRLVLVGKESAELNRELRMLERQSSLSNQCSRSLDEAVQLCGQYFDLDMFEELKKTASQLRTKLEKLKTNRVEENDCIIMNRMHTSDGTLFGVNHGNGLANTTLEELQQLMNDV >KZM82164 pep chromosome:ASM162521v1:9:12681908:12682606:1 gene:DCAR_029733 transcript:KZM82164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAEKACTSSCSEIELLQRLEQVPVPTKKKLNKQTSMSEKPRNMLWERRRKQHRKKKSSDSNDVGNVTDEDLKELKGCIELGFGFNEEEGSQKLCNTLPALDLYFAVNRQFSMSPVQSPGRASCPALGASSSSLPETRSSSFISPSPRSGDSDPWKICSPGENPQQVKMKLRHWAQAVACSVMQSSSGGGGAG >KZM82712 pep chromosome:ASM162521v1:9:22869967:22871643:1 gene:DCAR_030281 transcript:KZM82712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWPAIPLSDRKTRKRLETFFAISGDVRTSPRSIIIDPTGVVLHCDATPLFLRYGAAAFPFTSDRIQFLDSEDDVIKKQPPSVKELLASSERDFLINNKGEQVPLHDLDKKVVGLYFSPCLKLQNFTRKLKMIYEELSGNMENFEIVLIYVHGWFEDYYGRTGEASFLHAFRTMPWLAVPFDDTNFIKGLQRLFQYPQELGSKPDYRLMIIGPHGNFMEPWGGNISLLYGVPAYPFNTCSAANLELERIKDLKLEMLWDLDAIFKQKNGSQVRFSQLVGKKIIVFFENYESDLDSTLRELKARYLMMNGKFIDLILRGKFRGKCHGLLAFDRDGSVVRRSTDPVLSDSMDFPFNPDGNLENEVLLEVEDRIRFSIEVDSERWL >KZM81902 pep chromosome:ASM162521v1:9:8218106:8218357:1 gene:DCAR_029515 transcript:KZM81902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHFPRSKSTNVPKGHFAVYVGKNERKRFVVPLSYLRHHAFQKLLREAEEEFGYDQPVGCLAIPCNEESFISVTCTLSSYKW >KZM82063 pep chromosome:ASM162521v1:9:10600650:10606603:1 gene:DCAR_029676 transcript:KZM82063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRASSSQEIVLNDEDLLTLILLRVPFTQLLLLKSVSKRWLSLVTNPHFIHLLRRSLPPLRASSLLIQRTTRSSFQYRYGTHNQVFCIPLDNPNAGSPFRNSNFFAPCPRSNPHLVQSCNGLALYNTPRLRGFEDCKRHVYNPSTAHLDTLPNLPPFYGRGGLYIGLTYDPSKSPHYKVVAFFFTQDQYGDFLIYSSETGNWKVSIQSFYQPFGFLFCKEGVYWNGCLHWLYILSQSQKNIPDSPVSDCLYFNVDEERLGTFPRPPIGARGTLTRTYYFGESEGHLHVTEVFPYATSLNIYEMKNDYSGWFVKYRVDLAPIAKVYSEMTKHMSLFYDKSDYAVSVLSLVRRENFREDSFLVLEIPVKFIESKRYQVTKHRSSLKIVNVDDFGAKGDASDDSEAFQKAWKEACSSDRAAFVVPSGRVYHLKPNSFLGPCRPHLSIMIYGTIKASPHRSDYKKNTNEWLVFQNLTDVVVGGGGTINGNGRKWWLHSCKINKAFPCTHAPTAVTFDGCKNMKVDNLKIRNAQQMHLSFKDCNNIKAYNLRVIAPQTSPNTDGIHITDTKNIEIYSSVVRTGDDCVSIVSGSKNIKIMDLTCGPGHGISIGSLGKGNSVDHVSNVLVDGARLSGTTNGVRIKTWQGGSGYARNIRFQNIVMHNVSNPIIIDQNYCDKNGPCPEQKSAVQVEDIIYRNIKGTSASEEAIKLDCSKSIPCRRILMQNIDLRRLGEGDSDASCVHVAGLLERDTIFPQC >KZM82106 pep chromosome:ASM162521v1:9:11550941:11563799:1 gene:DCAR_031813 transcript:KZM82106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVDISFSTSANLDIFELDFQSDDRQLRLLGAVPSTEPFNRISWGKKPPASEEFGLGLIAGGLNDGNIGIWNPATLISSESSESSLLQQLSRHKGPVRGLEFNSLSPNLLASGADEGEICIWDIAKPTEPTHFPPLKGTGSAAQGEISFLSWNSKVQHILASASFNGTTVVWDLRKQKPVISFSDSVRRRCSVLQWNPDVATQLILASDDDSSPSLRIWDMRNTMSPLRELAGHTKGVVAMSWCPNDSSYLLTCAKDNRTICWDMASSEIVSELPAGTNWNFDVHWYPKIPGVISASSFDGKIGIYNIEACDRIGVGESDIGTGYIRAPKWYKRKAGVSFGFGGKLVTFSTTDSGSSEVFLHNLATDTNLVGSSSEFEAAMQSGERSSLRLLCDKKSQESESQDDKETWGFLKVMFEDDGTARTKLLTHLGFSLPAEVKDATEDELSQELNGLALKEKEADKAHAGQKDSPIYPSDGEDFFNNLPSPKADTPVSTPDNKFNAENSVHNAEEELHHQNDDHDENPDAAFEDAVQRALVVGDYASAVAQCISSNRIADALVISHVGGASLWESTRDQYLKTSHSPYLKVVAAMVNNDLMSLVNTRPLKAWKETLALLCTFAQREEWTFLCDTLASRLMAAGRTLAATLCYICAGNIDKTVEIWSITVTTKQDGKSYVALLQDLMEKTMILAMATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLNFMGTEELTTELVILRDCIALSTQPEREAQSSTDFGHSQSQTETIPSYAPDASNYYQNTAQPQMQQTVPSVPYGDNFQQPYNSSFRRDYGSPAPYQTAAQPAPYQPAAQPAPYQPAAQPAPYQPAAQPSPYQPAPQPSPYQPAPQPNMFVPSQPPQIPQPSLAPRPVTSQPAVKPFVPSTPQGLRNVEQYQQPTLASQLYPGSANSGYQQAGNQVGGAHGPVSSQVAPPAHKMPQGVTPAPGPRGYMQVNNAGVQRPGMGPMQPPSPTHSAPAPAPVTPAAPPPTVQTADTSNVPASQRPVITTLTRLFNETSEALGGPRANPAKKREIEDNSKKIGALFAKLNSNDISKNAVEKLVQLCQALDNGDFSTALQIQVLLTTSDWDECNFWLSTLKRMIKTRQNVR >KZM82096 pep chromosome:ASM162521v1:9:11384987:11385235:-1 gene:DCAR_029709 transcript:KZM82096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMRYVNREVEGASHRSKDMINITEPLQMIRMDFYGSVNVMSANKAKYLFAMIIDYSRFFRVVRMCSKDKTSQMKVDQDEP >KZM81340 pep chromosome:ASM162521v1:9:166831:171043:1 gene:DCAR_028953 transcript:KZM81340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLAVIQLLKLCTGKQINIKENICHIVKAPANVPWKIMKHATDVACRAVSSLEGAGVFAVELFLTADGQILLNEVAPRPHNSGHHTIESCFTSQYEQHLRAVVGLPLGDPSMKTPAAMMYNILGEAEGEPGFIIAHQIIKRALKIPGANVHWYDKPEMRKQRKMGHITIVGPSLGIVESQLMSMLTQESTDNQPAAAPRVGIIMGSDSDLPVMKEAAKILNEFDVPVEVRIVSAHRTPELMFSYATSAMERGVQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASALDGLDSLLSIVQMPRGVPVATVAINNATNAGLLAVRMLGVGDANLKARMAQYLEDTRDTVLVKAEKLEKGGWEAYLNS >KZM82986 pep chromosome:ASM162521v1:9:26950251:26951249:1 gene:DCAR_030555 transcript:KZM82986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLELAVLDPRLTELPFLEGMTDEEEIKLLTGLLNRNPPRYRGAARVEGEGRSQARRRTPAQSRAGRAAARATRGAVVQPAGTAIGASTSGTASRGRVLLLRDEPEPATEQEENVPSEEVENQGVFGDADDDEEDVERSPEIHEIADSEEREGGGHTTAGEFDDGLGGEGETEVSVQAGGKVVEAGSSRAAGEKEVVEVGGSSTVLMLENLTTEGRTPEVPRRRKRVRSAGSGRKLTLSAQIGRRVKRRGNVDLMAGVSAGDASGTEDEVGPVDARRVGRGNLFGAGPPGQVGLTEDDFEKLDGLGWKKLVRRSELHHRKVCVCAVAFVLSV >KZM82655 pep chromosome:ASM162521v1:9:22259729:22262966:-1 gene:DCAR_030224 transcript:KZM82655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPAGYRPSVGVCLVNSDYQVFVASRLNVPGAWQMPQGGIEDGEMPIAAAIRKLREETGIVSVELIDEVPDWLTYDFPAPVKAKVNRLWEGGEWHGLAQKWFLMRLTKDESEINLANGEVDPEFSEWKWANPEDVIEQAVEYKRPTYEEVMSAFQSYLDVNKGPAKCQSTKW >KZM83425 pep chromosome:ASM162521v1:9:31702894:31703952:1 gene:DCAR_030994 transcript:KZM83425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVKNSHDVYQMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDAPLSHHEPYEAAKYVSEGHRPMFRAKGYTQELRALTEQCWAADTNKRPSFLEVLKRLEKIKETLPAEHHWNIFSS >KZM81820 pep chromosome:ASM162521v1:9:6426051:6427157:1 gene:DCAR_029433 transcript:KZM81820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRLILSLLLFVGFVNSQSPPPPSPIGPEYPYAKFSPSMAIIIAVLVTALFFMGFFSIYLRRCADTTTGGSMRPALSLRRRQAASRGLSEEVITTFPTMAYSEVKDLKIGKGALECAVCLNEFEDDETLRLLPKCDHVFHPECIDLWLASHVTCPVCRADLVPKPVESAELLARPEEENVVDHREEIEVVVVSSGGDQVDVNPQEPAVSRVMNRTGSLRSNRPPRSGSVRSRIFGFGNKFPRSHSTGHSLVQLGENVDRYTLRLPEEVRKEIIRRALLNRTGSCAEPGSRKGHQAGEGSSRGKSYRRLGSLENVVKSDRWVFSKMPSFLSRALSVRTPRGTGESSSSAAAKGDQAGLVANDSAKLPV >KZM82187 pep chromosome:ASM162521v1:9:13188893:13191778:-1 gene:DCAR_029756 transcript:KZM82187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNISSLSRLEQLHIPGGLVIWREDISVVAKPILVEINKLPHLKSYHEESLKSLVKKAEYVKLKQSDINVSSILDSNQEAFTELKKLHIEECDETEHLARMSQGEIQQTSFSKLSYLIIKRCSSLKYLFCYSVAKCLTQLQELIIKDCPVMEAIVMNDGSSNEDIINFSNLKELKLSEVPRLRSFRRENKDAMMQPSSRFQPLFHIMVEFPCLVDLELISCGRFNLEPIEFTSQLKSLNISGDHEMQIPSKWQPQLHNLETLILNRCWSPELKSLQFQRLKVLRVCRYSGCSALFTFSSFRSLQQLEELEISGCALLEEIVEDDIVSGISKKTMTLSRLETVVLRDLPNLKSFIHNANHEGFVPSLREVEVENCGLSSLFPFSEFTCLKILKISRCALLEEIVENIRSDEVSGMNKKTITLFQLATIILKDLPKLKSFIYSANHECLLPNLYSVSVSNCGLSSLFMWSASGSLRSLRNLLVQDCRMLEGIFEYARGDETSVTSEHISICLSKLGLLELRNMPDLKTFIHGANYDCYMPDLWHMEVYNCGFSTLFTCSVFRNLQKLYRLKVSNCRLLEDIVEDAKGDETPDANAKTITLPQLWFIE >KZM81551 pep chromosome:ASM162521v1:9:2391633:2401666:-1 gene:DCAR_029164 transcript:KZM81551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLANGSDADRDSLDSFTDKEKVDPGKPASLTWQRKLHDEGIALSEFSLSVKEIISLAPIGYRLWRALRDKSTNGNGTFVDPFTKRAFTSCQGVPLGGLGAGSIGRTYKGEFVRWQIFPRTCEDRPVLANQFSVFVSRPDGEKFSSVLSPRSTEMPKDPSASGLQSWDWNLSGKNSTYHGLFPRAWTVYEGEPDPELRIVSRQLSPIIPHNYKESSLPVAVFSFKLSNLGRSAADVTLLFTWENSVGGLSGLSGQHSNMRIVTNDKIHGVLLHHMTANGLPPVTFVIAAEETEDVHVSECPSFVISGNSSGITAKDMWNEIKEHGSFNRFSSTEVALPTELGSSTGAAIAATVTIPSNAVRTVTFSLAWDCPEVTFQSGKSYNRRYTKFYGTHGNAATSMACDAILEHNFWEAQIEAWQKPILEDKRFPEWYPVTLFNELYYLNAGGTIWTDGLPPVHSLSGILDRKFTLDRSTTNHTECNDKSIQNDTAGDILERMTSVIENIHSPVSKTSAIGTLLLEKGEENIGQFLYYEGTEYHMCNTYDVHFYSSFALTMLFPKLELSIQRDFAAAVMMHDPRKMSLLHDGTFVSRKVLGAVPHDIGMIDPWFEVNFYNLHNTDRWKDLNPKFVLQVYRDVVATGDKKFARAVWPAVYIAMAYMEQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYCGGLWVAALQATSALAGEVGDKGCEDYFWAKFQKAKKVYDKLWNGSYFSYDNSGSSTSSSIQADQLAGQWYARACGLQPIVSEEKAKSALEKVYTFNVLKVHGGKLGALNGMLPNGEPDMSSMQSREIWTGVTYSVGATMIQEGMVEMGFQTAHGIYETAWSKEGQGYAFQVPEGWNMNGHYRSICYMRPLGIWAMQWALTRPKLREHEKKVEVSDASLLKEHVGYTRVSRLLKLPVEKDSRSLLQVVFDFTCKRILS >KZM83260 pep chromosome:ASM162521v1:9:30206630:30212437:-1 gene:DCAR_030829 transcript:KZM83260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVRVIEARNIPALDSYGFSCPFVKLKLGKQKYKTKAVKKSVNPTWCEEFSFRVDDLKEELKVYLLDEDRFCRYDFVGIVKLPLSRIFDGEGQSLSTTWYTLKTENKKSKIKECGEIRLSLCLSRNNSLVEFPTLTSSLEEMPTLTSSSSAKSLNSLNSLPSSFKFDEIFPVKEEQSQKHHKQSSFDGILGPIFNRHHDASNKHHDAVSKSSSARTTSKFNDTSELPEAGNAEAHGEMSEEPSSSGSFEEMMKTMETRDQEREMPNNLAGGVVLDQIYAIASSDLNTLLFSQEATIFKSLADVQKTTELQIGPWKFENGGETLKRVMSSVKAATKLVKALKATEEQTYLKADGKSFAVLSSVSTPDAPYGSCFRAEVLYNISRGPELPSGEQSSQLVISWRMNFVQSTMMKGMIENGARQGIKDSFEQFATVLAQNVNPADTKNNVSGKEQALASLDLEPQSDWKLAIHYLNNYTVITTIIVGLYVLIHLLGNGRTGLEIVGLDLPDSFCEVIVSGVLVLQGERLVALVSRFIQARAHKGKLAQACQPKLHLKIFLNDTRGSDVVKDYLSKMEREVGKKMKLRSPQTNLAFQKLFKLPTEEFLINDFTCQLKRKMPLQGRLFLSARIVGFHSDLFGHKTKFLFLWEDIETIEVFPPTFSSIVSPIIVMTLRLGRGLDAKHGAKTHDAEGRLKFHFQSFVSYNVAYRIIKALWKAKSLTPVQKVQIAQEEDGAKDLHIIEEESVGKPIQASEEDSDTKSHQSEENGSFFGLGDVGLSLFYYSVIPVPIKSVMELFSGNELEQKAMETAGCLNYAHSPWHSDKADVYVRTVYYRSKQISQYKGEVTSTQQKSRLTDKDGWLIEEVMTFHGISLGDYFNVHLRYNIEDFPSKSMGCNVQAFLGISWLKRSRQKNRFTKSIISNMQERLKVMFSVVEMEYASKK >KZM81554 pep chromosome:ASM162521v1:9:2539641:2557954:1 gene:DCAR_029167 transcript:KZM81554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAFGPVLYISWVILLLLLLSSCSVHSRTIVKSLPGFDGPLPFKLETGYVGIGENDDIQIFYYFVESERNPKQDPLIIWIPGGPGCSSFRAFFYENGPLQFDYMKSRGGKPVVQLSPYSWSKISSVLYLDTPVGSGFSYAKTSNGTKTSDTLSSQHVYDLVRKWLNDHTEFMSNQVYIGGLSYSGILIPIITQEIFNGNEVGNKPQINIKGYLIGNPLTDRIIDFNSRIPYAYRVALLSRQLYMSVKQHCSGDYMNSGNVLCARDLARVDECLEDIYEHHILEPSCESSENAASSPLLLHQSSDPWCRDDNYVFSVIWANNEDVQRALGIREGTINDWEQCNTDHYLFGKNDTDTYSYNIASSIIYHMNLTNKNCRALIYSGDHDMVFPHIGTERWIDSLNLAVESTWQPWFVEHQVAGYESIYSHKDYSLTFTTIKGAGHTAPEYKPKECLAMVERWFSQNSLRVQGIRLQNISLTNV >KZM82366 pep chromosome:ASM162521v1:9:17987444:17987866:1 gene:DCAR_029935 transcript:KZM82366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSSSTVQNTDLCQCGLVPVLKTSWTDNNPGRRNKRKGCGYYRWHDPPVEGRSKNIIPDLLRKIEMLEEEIKELKRKEQKDAMWLRVVIAVVLLILGLALLR >KZM83145 pep chromosome:ASM162521v1:9:29162825:29166388:1 gene:DCAR_030714 transcript:KZM83145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIELEAMEGLRWAWNSWPVSKSESNALVVPLSIMCTPLMQFNELPLLPYDPLVCNQCGAILNPYARVDYQSRIWVCPFCYKKNVFPRSYVGIGEDNIPAELFPTYSTVEYQLGSKGNLNLSHNWGSGSDVGLNQSNSLGSMNGSYSSGSLSGMDGRGGGGGVGTGPAFVFVLDGCSGEEELRALRNEILLVISQLPDNVLVGLIVFDSFVRVYDLGFTECLRVVLFNGERQVSSDETKQLLGIQNIKQQLGRPHATERQGFLLPLSECEFSLTAAIDDIRSLTHTMRAYRPQRCTGAAISVAVGLLEGCLVTTGSRIMVFTSGPATIGPGMIVNSDFVNAIRNHRDVDSGHATLYRKSCDFYKQISARLLASSIVLDLFACSLDQVGAAELRVPVERSGGFMMLGESFESDQFRKCLRHIFRHDDDGSLKMCFDATIDIVTTKDLKICGALGPCVSLQKKNGSVSDKEIGEGGTHMWKLGTLDNRTCIAFFFQVGSEQMPQPSSAFFIQFITCYRHGNMGIRKRVTTVARRWVGSQSADIDAGFDQEAAASVMARLAVQEAEKRYYPDVIRWLDTMLIRFASKFGDYVAEDPSSFRLASNFSLFPQFMYYLRRSQFIDVFNCSPDETAFFRLMLNREGVVGSVIMIQPTLFQYSFDGPPVPVLLDVCSISPDVILLFDSYFCVVIHYGSKIAQWKKLGYDKDPNHESFRKLLEAPEIDAEQLVADRFPVPKLVKCDQHSSQARFLLAKLNPSVTQNSTYSDGRELILTDDVSLQDFIEHLQTLAVQG >KZM82107 pep chromosome:ASM162521v1:9:11570594:11574875:1 gene:DCAR_031814 transcript:KZM82107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIAWHSAPGCHASSLRGITAEKSIDCQRTRVVTSRNRSSHHDVIDSKWVSSRYPPGRSGSKSKKLYRFPRVHSSLEGIQNADSGSFIDEYGTYVTDRGDNVRRAVIPGLPDGDSGATISRRFWEWKPNLKVYYETSGSENINSPPVLFLPGFGVGSFHYEKQLKDLGRDYRVWALDFLGQGMSLPDVDPTPQLNNNGETEKESSMWGFGNETEPWANQLVYSIDLWQEQVRYFIEEVIKEPVYLAGNSLGGFVALYFAACNPQLVKGVTLLNATPFWGFVPNPKRSPRLSKVFPWAGTFPLPANVRKLVQIVWEKVSDPTSIAGILKQVYADHSTDVDKVFDNIMETTRHPAAAASLASIMFAPQGQLSFRDSLERCRMSNVPICLVYGKEDPWVQPIWGLRVKRQLPEAPYYQISPAGHCPHDEVPEVVNYLLRGWIKNLETKGSVVMPLLDNQHSLEHDTNAELEFLRLGSKRLVKVRLYGSDFSLWDQISSFINTRIKDIKMKFL >KZM82267 pep chromosome:ASM162521v1:9:15150754:15155738:-1 gene:DCAR_029849 transcript:KZM82267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHESVDSSSDKYKYDVFLSFRGEDTRKTFTDHLYTAIVNEGLIVFRDNEEIERGETIKSELEEGIQESRSWVVVFSEKYAFSSWCLDELVMILQCKNNSNRLLLPIFYHGHPSDIRKQSGFVSQAFYMHEQKFKSEVDDTRRKDMMDKIKGWRTALAEVANLAGMTFPNGTNGQEAEFIKDIVKCISDKVAFNILSITPHLVGINASAEAINSWIRNGSNDVEVFALYGIGGVGKTTIAKYVYNTNFQLFEGGSFLENIREYSERVDGLVCLQRQLLADISKGNTPTINNLNDGIRKIQRAVCLRKLLIVLDDVDQVEQLDAIFGMRECFHPGSKIILTTRDVDLLKAREPCTRHVVETLNLRDSSELFSRHAFRDSCPPEYYMEDSQRILKQCEGLPLALEVLGAYLRDKKADVWRSAVEKLEVIPHHKIQKILQLSYESLEDDYDNNLFLDIACFFNEEAKSRVVMILDECKYHTRIGIEKLIDRCLLKIDIFEKLRMHCLIQSMGREIIRQESPKEPGERSRLWHHKDSLEVLEDETGTRAIEGLALELNRTDVCQVELRTSGFSRMHKLRLLKLDNVRLNGGYEKKLEWLCWHRCPLKALPKGFPSTSLVAIEMQRSKLQKMCHGSMLFKSLKFLDLSHSHDLVKSPNFAELIALKQLILEDCVSLGEIDESIGMAAGLVLLNLKDCELLKTLPKNMGMLKSLELLIISGCSNLNKLPIEMINMESLKSFHADGVNFGNSIARAQEYKSWLNFIWDWVSTPRRGLQLSLTYLPSKSITKLSLVNCNLHDDDFPKDFGHSVECLDLSKNPISFLPDCFKGLKRLKKLQTEHCQQLQALEDIPYMLEKIYAGECPLLEKITFNGPFKFYACHVTALSCQKLIEMGSLYKMVPIGEIDSKFINSCGIFDPEGMKKMQMRLWNKMTGERSCPIQTIGSHEMGPGDEVKIWAWRLQDCKAREIGVSLVYEEQEDVGVGSSHQICEYVIPGEADEQVYYLELMALEQFILEDCVNLVEIDESIGMAAGLVLLDLKDCKLLKTLPKNIGMLKLLETLIISGCSSLDKLPSEMKSMESLKAFHADGIDFGNPSKRTQESKSWRDYVWGCVPTPRIGPQLWLTSLPGKCITKLSLEKMQSP >KZM83312 pep chromosome:ASM162521v1:9:30705148:30707177:-1 gene:DCAR_030881 transcript:KZM83312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEDHQKQEQGLGQECTAIINSEDRVDDLKRLFACVFIDQSNLWHAGLSWSIFCLLAIAVPLLSHFVLHCSTCDRNHRRPFDSIVQLSLSVFSVLSFISLSSFARKYGLRRFLFLDKLCGVSAKVQRGYAQQVHTSMRLLCSFVLPCFAADCIYKIWWFTSGRNQIPYFFNAYLSSTLACIFLLSSWLYRTSIFFLVCVLFRLICYLQILRLEDFAQVFQKESDVGTILLEHLTVRRNLRIISHRFRIFILLTLILVTASQFASLLVTLEAGSEVNIFNAGELALTSMTLVAGLFICLRSAAKITHRAQAITCLAAKWHASSTINSFDDVDDDIPRPRIVAPRMDYINGNWVSDNEEGDEDVIDNTNIMPIFTHTMSYQKRQALVTYFENNKAGITVFGFMLDRTSLHTIIAIQMSLTLWLLNKTIGI >KZM82207 pep chromosome:ASM162521v1:9:13738083:13739584:1 gene:DCAR_029776 transcript:KZM82207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMKKGPWKAEEDEVLINHVKKYGPKDWSSIRSKGLLRRTGKSCRLRWVNKLRPDLKNGVKFSAEEERTVIELQAEFGNKWARIATYLPGRTDNDVKNFWSSRQKRLARILQTPQAPKSHKTDREGPVIHDVPTVKASKLSSLTKEETSPINLFCSPSFTVHNLKPISAVPFPEPELNSNMCYFEQNLLQPELDIMETKSWQDHRQQIPLSFQAKHPQLQTEVPLASESQELIPQLGEPNFFEVFENGSDSGGNNPHLQYVSSYGTEGSCGNDGWKENDNPVTPDSFIHDFPIDMFDHLDMLPSPPEW >KZM83165 pep chromosome:ASM162521v1:9:29291713:29293083:1 gene:DCAR_030734 transcript:KZM83165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFNIKIVAECQVSPPPNTTPISLPLTFLDIPWLFFSPNKSIFFYKFSNSTNTTTIIPFLKHSLALTLQQFSPFSGNISTPSKPQIVYSHGDSVAFTIAEGEADAFGHLSSNHPKDVWKLLSLVPDLNSTESNNMISIPSLAVQITVFGDIGMSIGVAFQHVVADERTYNIFMKTWASTCKSLQEEKLSLHVTNSPPSFDRNVMIDPNQELETALLNQWRNRVISRNCQTFDASDMVQSTFVLSIDDLQRIKTIIIAKCKQMNQPQPSLLSAYVLACSLVWVCLMECQGITSANVSEKPAYFGFIAGGITRLDFTVPSNYFGNCVAFGRAGAMESDLVSENGIVMAAKAIGKKIKDLDQEVLRDAKRWIAEWEEMLESNVNVIVVGSPKVDLYDMDFGLGKPNKIEKISVDRGTSICLTESRDLKGGMEVGIALSRASMSKFSSLFDVLKLQLH >KZM82444 pep chromosome:ASM162521v1:9:19344864:19347300:1 gene:DCAR_030013 transcript:KZM82444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSPYIICIILFVLPYLALAQGIETVSVGSSLTAADKDKATSWYSFFGDFAFGFKKVQDQYLLSIWYENIPDKTVVWFVNGGTTVPAGSKVQLTADHGLDLSDSKGKEFWRSGMLAGTASKAVLNDTGNFMIFNSHSEKLWDSFSNPTDTLLPTQTLGIGGTLYSRLSETNFTKGRFQLRFLDNGNLVLNSRDLLTNYAYYPYYGDVSPSTNDTQGYQIQFSTAGNDATENLTTKGIILSEAYYHRAILHFDGILVQYYHPRELTKGTKWTPVWQIPENICLDILGMGGSGACGFNNVCRLNDSRRVVCECPESYSLLDLNDKYGSCKTNVSQNCDNNGFQEDVYDFVEISDTNWPLNDYAHLEPISELECKNQCLNDCFCAVAIHREDSCWKKKLPLSYGKKEPSVNGKAFLKFSKVDLSTKNRPNTPRVGDNLPYREKGKGTLILVGSVLLGSSVFVNFVLIIVACFGFFYIYSKKMQHLQPAKSSVETNVRHFTSHELVEATNGFKEELGRGSFGIVYKGVIQMSSSVIVAVKKIDSLIQDGAKEFKTEVNVIAQTHHKNLVRLVGYCEEEEHHLLVYEYMVNGILASLLFSSVKPSWALRNHIALGIARGLAYLHEECSTQIIHCDIKPQNILLDEHYNARISDFGLAKLLMLNQSRTNTGIRGTKGYVAPEWFRNTPVTVKVDVYSYGVLLRNYLLQEKFGDKAILTDWAWDCFRDGRMVDLVENNEEDILSDWERVERFVMVGIWCIQEDPSLRPTMKKVTQMLEGVVDVPDPTCPSPFH >KZM81676 pep chromosome:ASM162521v1:9:4381269:4381712:-1 gene:DCAR_029289 transcript:KZM81676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKASSIAKLEADKRVKEANSTRKRAKEALDHVAFVVENLRVEGNVRNGVMGCGGNVGERKLFDRVDNSSAVLAAFNAVDLREKGRQDGVVNGNGLLGVGVVPMGVGGNGNGNGREVVPVVDARPITVVDPFVQNGHPREGNRGPLQ >KZM81971 pep chromosome:ASM162521v1:9:9387217:9387660:1 gene:DCAR_029584 transcript:KZM81971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQCNPGKFRYDLTMSKRTRKPLNCEQESDQSSLSSCLSEKENLQNAGGEEAEDENCDHRSLKQLIKGRHTLGQHFTEELKQPKIVVKQNENGLQEKQLQIVVKSPGGSNRVKLKKMATQCTKILRRLMRNKRGSHSGSTKIMAIQ >KZM83204 pep chromosome:ASM162521v1:9:29584485:29585101:-1 gene:DCAR_030773 transcript:KZM83204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVPLGQSILSLAFQKIVGKKSKPKRKARTRRKPRTRPARNVRFEEEEEKEEEEAKEGSRNDSMGYQTWVGGNDVRDDSDSQSSPRFGGWDDLDRQSKSYKGSDKNFAQNTDRPQRSKLSRGRRKGETPLLLRLLIAVFPFLGHAELAAVLSA >KZM81508 pep chromosome:ASM162521v1:9:1929507:1935258:1 gene:DCAR_029121 transcript:KZM81508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGCWTAVWWSCKNASATKLYCFVHKVPVCGACICFPEHQICVISTYSEWVIDGEYDWPPKCCKCQAVLEEGTDGQTTRLGCLHVIHTSCLTSHVKEFPPHTAPAGYVCPACSTSIWPPKMVKDSGSRLHSRLKEAISQTGIEKNLFGSHPISPAVTDYRGPPLAFASDPLIHVSSNGGMSTSGVKDAESYSGATGSGLMKPSTIDIEEIEDSAANQANFARSPGATTRKSAVQADKIYSDISYYADDEDANQKKYTRRAYPVSNVAYPVSNVAFICRACMATMGILYYRLAQRGFSEELTEVEQHQP >KZM82401 pep chromosome:ASM162521v1:9:18380430:18383533:-1 gene:DCAR_029970 transcript:KZM82401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINNQDNSNNNRQINNVASSKQPLQSAKSVDTQSVLKRLQSELMSLMMGGDPGISAFPEEDNIFCWKGTITGSKDTVFEGTEYKLSLSFPTDYPFKPPKVKFETGCFHPNVDIYGTICLDILQRNGDALNHSSSAYNSQIEQMATINNQDNSNNNRQINNVASSKQPLQSAKSVDTQSVLKRLQSELMSLMMGGDPGISAFPEEDNIFCWKGTITGSKDTVFEGTEYKLSLSFPTDYPFKPPKVKFETGCFHPNVDIYGTICLDILQDKWSSAYDVRTILLSIQSLLGEPNTSSPLNTQAAALWENQEEYRKMVEKLYKPAA >KZM83362 pep chromosome:ASM162521v1:9:31151813:31152403:1 gene:DCAR_030931 transcript:KZM83362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPHQFIFTNYSMQLNVAFINIAPTRARENYNHAIVGILGIAVTVLLSALQLKYQAGTDSAFQDHPRAMVFAIASFLVFCLVCDLEQYFRSTHNSAAFATVLHQILRLFGFISLASLAFVIFSPSTSSRTSLIVYLIFPGFFSARLVLHWIQNRKLRGNRGACNFHNSDPHFVDSDYLSYIDTLPVYHRAPANLV >KZM81403 pep chromosome:ASM162521v1:9:814088:815371:1 gene:DCAR_029016 transcript:KZM81403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAFLPYLVIAFLLAIVSNGQLDYNYYDDSCPNLPIVVRNNVWTAYRNDTRIAASLLRLHFHDCFVNGCDGSVLLDGTAHFKGEKNAGPNRNSIRGLDIIDKIKNDLERDCPSTVSCADILTLAAREAVISAGGPYWEVSLGRRDGLTSLMKAANANLPSPFEPLDKIIAKFTSNGLDIKDVVVLSGAHTIGYAQCFTFKRRLFNFKSSGKPDPTLDPSLLTDLQENCPNVDGSNNKLNPLDTSTTEMFDNTYYQNLVNNYGLLESDQALMANEETAEMVNEYSMYPYLFARDFAASMVKLGNIGVITGQEGQIRKKCASVN >KZM82820 pep chromosome:ASM162521v1:9:24862843:24866409:1 gene:DCAR_030389 transcript:KZM82820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNDLLNLDLTGITEKITAEYIWIGGSGMDLRSKARTLNGPVTSPQELPRWNYDGSSTGQAPGTDSEVILYPQAIFRDPFRKGNNILVMCDAYTPAGDPIPTNHRFKAAEIFSHPAVVAEIPWYGIEQEYTLLQKAVKWPYGWPVGGFPGPQGPYYCGIGADKAYGRNIVDAHYKACLYAGINVSGINGEVMPAQWEFQVGPAVGISAADQVWVARYILERITEIEGVVVSFDPKPIAGDWNGAGAHTNYSTHSMREDGGFDVIKKAIEKLGLRHKEHISAYGEGNERRLTGHHETADIHTFSWGVANRGASVRVGRDTEKDGKGYFEDRRPASNMDPYIVTSMIAETTILWKP >KZM83028 pep chromosome:ASM162521v1:9:28110749:28112145:1 gene:DCAR_030597 transcript:KZM83028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNETIEVGLDRLCRAMGGAEKVEIEYGNHSLSNRVTEAVQAIMDFVKRGPTGWDDPWS >KZM81546 pep chromosome:ASM162521v1:9:2352586:2354638:-1 gene:DCAR_029159 transcript:KZM81546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQMVTLSTLLVSISIVLLLPRVFSSPDVIGDIYWWCNQTPYPEQCKYHMNYIPKLTSVIQRQQFLTRAEQAALQEVNSALQFIKSLEPKVISNAERSAWTNCLIFYDLTIYRLNKTLDTTIKSTADDIQTWLSAAATNMRTCNDGFLDLDMTDNIYPLVISNNATQLISNCLAVNFAITQHIEDIKQDALILNISRKDEPFRISADCVVAQDGSGNYRTITEALAASDRRTHMHFVIHVKQGIYKEIVNVTQSDIVLIGDGIDKTVITGNRKLPDGISLYECATFKVTGDGFKAFLITFENTAGVEAGQAVAMASYSDRSVFYYCAFKGYQDTLYANSNRQFYKKCLIYGTVDFIFGNAGAVFQDCTIYARKPKIQGGLVVTAQGRASPHENTGITIHRCSFMRAPELRPFPQYKAFLGRPWRDFSRTVYLRSSIGDLVDPAGWMAWVGAPQSRYYKLDYGEFQNYGAGASTYGRVKWRGYHVINDLRTAEAYDVTNLINGNLWLPATAVPFDADV >KZM82290 pep chromosome:ASM162521v1:9:16179809:16180786:1 gene:DCAR_029788 transcript:KZM82290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQELKDALGMPDNAPPSWLINMQERKHQCTVCYLPACILRGFPIDWRSDIATPAVAYGSRTGGSTRAGLFRTPISGGV >KZM82416 pep chromosome:ASM162521v1:9:18594543:18595415:1 gene:DCAR_029985 transcript:KZM82416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLITEWQSPHILLNLLIIRKGVPACVLINPKATAGFRISQTPFRPLQCSVEESSRRIDEVLYHDAEQMIFNIPIVTASGLILVETISIYRFRAPTPNPLLAQAARIEFRITTTLTIRSNLLVNQDIEQVDNLFIITNFTIQPSKIQVQLKAFWGRLKMGMRIGDENEHPKEVWKEY >KZM82612 pep chromosome:ASM162521v1:9:21830696:21831101:1 gene:DCAR_030181 transcript:KZM82612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHEHPSKLQEHTAQTLTTLPGCSAPIDFPAGPAPSFVSEYSNAGDIPDIKTNTDRNGK >KZM81472 pep chromosome:ASM162521v1:9:1613976:1615385:1 gene:DCAR_029085 transcript:KZM81472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQDLVHVLLVSYPAQGHVNPLLKLGNLLASTGLLVTFSTTEGAANFMRKASKNIDEPTPVGDGMIRFEFFDDGLGGNNEDPRRADLDFYTAHLELHGREAVTRIVKKHEEQGRPVACLINNPFIPWVSDVAETLNIRNAVLWVQSCASFSAYYHFHNKLAQFPSESDPEIDVQLPSMPLLKHDEVPSFLHPETPFPALAKAILGQFAMLSKTFCVLVETFQELESEIIDYMSKYCLIKPIGPLFKNPKSSNSSVQGDFMKADDCMDFLNSKEPATVVYISFGSIVYINQEQINEIAYGLLNSGVSFLWVLRKPTLLGPAVVLPENFLEKIGDKGKVVNWCAQKEVLEHPSVAYFVTHCGWNSTLETITSGVPVVAFPAWGDQVTNAKYLVDVFEVGVRLGRGKQTEKSGVSRDRIEKCLREAIGPKAEEMKKNALKWKKAAEEAVAEGGSSDRNLKDFVDKIKNVSK >KZM82791 pep chromosome:ASM162521v1:9:24194930:24198525:1 gene:DCAR_030360 transcript:KZM82791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDISAGLQLIDSGPLERSANIIPMKRRFTTVGRMMEPQLKSRRLVDDDRISSLPVELIYCILAHLPIHDVARTSILSRTWRNIWKTHTRLILDDSFSLKVSSKKSESCLSAFSGAVDKILFVHTGSIWDFELYVPEKLHQSHIDRWCKHLSDKGIRTLKLSNSEWNGCSIPSYIFDCSELTQLKLSKWFLSPPHKSGCFSNLIEVSLVDVVITSEISFGNQLQKLYLLRCRGIQHLSCQFTNNNNLRRLDISRCDTMDWRCFNYTNTLEVLPVKTSNHPQSTIEHYLRKKEEAIEHYLESVDWTNVILHQLQFVEIFGEVGSRSVLHLIKLLAASSPSLRDMTLVCRKREHSFEELFMMKQELLRVPRISPQAQIVWRNSLGSEMSIFDPLTQQPVPLQASNRTGLEDPVLSTDSIDDSKLGGDNSSPINLEDVDWISLGLEQLAVDDDALGALIREHKIVAHHETQNMTNFQKVIRSLLELLSIPERKSTVYEDLKQLCHPDIVRPHSVTTTAHQDLIRIGVSSRSNAITISEMGKKFAEAAGDNRNALLSDASLNDVPDEEIYNHLQGVCGLGAYNAYTIMIFGLEKMLDHWPNEAEFRLKYNKFNEQLRRCPSSDEAVVASKSWMPFRGLATWIIWTHV >KZM83282 pep chromosome:ASM162521v1:9:30373018:30384214:1 gene:DCAR_030851 transcript:KZM83282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLFVLCMMKLRERKGCERDERDCERDERDGERKRERPRKVHERDGGKEGAVYKRREMKKSVKEGLRDDEVVVYKRRKVRDGPLSDDDLGGKKMRYIKDLEMESSAQVEKEDGFDGIERDVERDDQVGKENKVLRLVPKRAAMKKAEILIKSCHGLLDGTGSRNLGTKLKRTTERDHGEKEGKRSLRGKNKEDRERFKVKFSPEEKIRYSRYILSMLLPFLKQFNKEQLMEKRIEAKIKGLPISKIEVQNANCKPDERMFWYV >KZM82588 pep chromosome:ASM162521v1:9:21587363:21588555:-1 gene:DCAR_030157 transcript:KZM82588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVAARDEVRSSVTVSVGVSDKRDTLVCPKPRRLGLINTMANEQIRSLRWHMSYQSELGDSKPGSELLDIILSKGAYAVEQTCTQAASSPPFFCGSPPSRVSNPLIQDAHFGDAKVTPVSPRSIPIPSGVSSSPSSSARKGACGRANFGNKPAVRVEGFDCLDRDRRNCSIPTMA >KZM83481 pep chromosome:ASM162521v1:9:32350016:32357183:-1 gene:DCAR_031050 transcript:KZM83481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGGDGVKVETSEVGKLKDRKKDKGSDVEDIGCWTKLRFIGSCISSRSKVDNSISGITTNCESKSINETTKDQPPPVISSTTTSIAESNSSTSKLEDELKAEVNFLGDLIHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRHLTSRSDVYSFGVVLLEILTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYKLIDPRLEGHFSIKGAQKAAHLAARCLSRDPKVRPLMSEVVEIMKPLPNLKDMASSSYYFQTVQADRTGSSPNTRNGSNGVRTPAGSFSRNGQQHPRSLSIPNGSHASPYHHQFPSPKPQGKP >KZM81825 pep chromosome:ASM162521v1:9:6543455:6544732:1 gene:DCAR_029438 transcript:KZM81825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAVSSPEDQREKDRAIVPVTSAVPSFPCILKRRCIVSDPSSEVLESADLLREILVKLPPKTVFGFTLVSSHWNKTITDPELTCLLKVPRNPSAVFVRRLLLQEDHDVVKYTHIPLEGVTRGGRVRVRRSPLSLNLMEEEEFNQASFVIQHSSNGLMICSSCNGRRYFLFNPTTKKRVKIPLLINFCYWVISMHISFLAPGRHKIIIVYLPTYRGDRLKLLVLEPNQNLLQWRNTGVEFPVTGTEVVNYWYGVDMDGVIYWPCYKSSGLMFFDVREETVHWLPEVPHPYDKFSGLAYFGECKGNLCMVADVSMRRGTFDMLELKTDRSMWFIKYHIDLTGRVSRDENLQILVLALLPGEEEESDSYLVIHFLREVVSINLRDGTIRKLCDLGLRTTESRLLRSEPWHYVHPYRQNPVYPRLTAS >KZM82284 pep chromosome:ASM162521v1:9:15802867:15806276:-1 gene:DCAR_029832 transcript:KZM82284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRVNKKHRDIVGNRKYPHRASRKGYIGLQEEEIRKRNLEPDEVPDRALMWKKARMPNGEGQQIDKDLAEINKKIKKKKAKVQGELQRVHALFNSVKPKEDVPPRFRVLYKFSKTTMKESGSSIPVPCDAQVFGMERTIYLFEENVTALLEFNMIGQAIISTYMAYLHSGFRDTPGRDLSSSFAFLHPATYKLNDEFNAYVVQRLKEGV >KZM82688 pep chromosome:ASM162521v1:9:22529855:22531604:-1 gene:DCAR_030257 transcript:KZM82688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMSTLSWLTDAFNSNKCQSAEIQRNSNQNREGGTLFSMTNYPIQQNAPPLHFPPHDVKAFMSMNQGISLSMNNSFFKTQFAGAGLNLAAASTKPNYLGGVPVTPPQSNPSFTSQTSTKEERFNQMTSGTPAQMTIFYGGTVSVFDDISPEKAQAIMLLAGNGPSATYQLAQPKHQVLAPTPKHVAQEAFRRQPLLTPPCSALSSPMSVSSHPMGQSCGGTMNDVLTKNSRGSTTPVDQAPKAATSVVHVAGTPMTHSAVPQARKASLARFLEKRKERTMSSAPYNNLSKKTEGSSRSATPGSSGV >KZM81459 pep chromosome:ASM162521v1:9:1430640:1435603:-1 gene:DCAR_029072 transcript:KZM81459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNQDLYNDTNMDQSAAWNNVSNSAERRLPHNARSFSGWDLGESSSSANPRDQVSCDGLKVEPCWPSSQNSFASSEARLEERRFEPPSILRHDRVSNGPAGNQITWENLNVQSSDSNHYPATINLNDSYPESDDEDEEGIFPSFYKSGRFATEPYPSASMSTGNGGTYENSRYNNDGSGSSMGNWGPSCKRKAFEGGTSTQPYPGGNTSFFPQPENIVPHNSPARHIASNSLGISSSSANYPGVIRSEQLNPRFNVGMRGFVSDVFPPVNVSSTRESSTRNTGARINLGHQEPDSFSFPLAGNTLRQSNVRSLNQSSRPTSLGDSSEFGASVQLPRDSSNPSNQSHVMQGPGSFSTRNLPSLWNDAPTSRTGSSASSFTVPRGRGTGLHEDIGYRSNIRNNDEHPMFAPATQTRNMIQDPTSWSLATGSSSNPGGVASSSRAGPSSSSHLFPNGWLPHHNFSTPNQFRISDYAPWSLFPSGESESAIQRGQFSPFASRQPSSPEETAISSRSRSQGHNHPYGRSAYMMDVPGDEANGWRSLAADIDGRQRLVSEIRQVLNVMRRGENIRAEEEYVVGESIGTLDCGHDFHSHCIKQWLRQKNTCPICKMTGIGS >KZM82956 pep chromosome:ASM162521v1:9:26305486:26311657:-1 gene:DCAR_030525 transcript:KZM82956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFTSNRKRGVDFKFSSFQFHQPNSWLRSDNHVTKKLKFAEPMGTLDVLESGSASKNAANRLHQYPSGINLIRRQVHAPCGNNRFGRSRVVNGNVSRREDYDALEGLDDKYYMYEKTKNEALDSLRLVGKGKEVIDVDDYVEKEKGNGWEDLSVEDVEFVEIGKESWREDEGKMMDIDRTTGDRSFQPSCSSVVSEDLPNANLKSESAGKVLDVVPMERGFGMPHEPVYRKLYESAGKRNKKLDDINFQIKLNTKSLSTLKLRPPAKKPTKEVVVKEPFVPLTEEEQKEVKIALNANWRKILVTHENSNIEITGELLRCLRPGAWLNDEVINLYFELLKEREKRNPKQFLKCHFFNTFFYKKLIGGKSGYDFKSVRRWTTQRKLGYCLIDCDKIFVPIHKETHWCLAVINKKDEKFQYLDSLKGGDRQVMNVLAKYIVDEVNDKTGTSINVSSWKQEYVEDLPEQKNGYDCGVFMIKYADFYSRDVGLCFDQCQVVDSVEISPRD >KZM83560 pep chromosome:ASM162521v1:9:32990293:33003062:1 gene:DCAR_031129 transcript:KZM83560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSIGSNFVHQRLLEHQTRIDTCVSGGNYTLFRVQDSFRIRKLPLTATFRVNVARKRLSMSSNRGGGVMTRAVLATDSPSELAEKFNLEGNTELQVNVRSPGPGCLSQIELQVTNSSDNLVFHWGGIQDKNGKWVLPSRHPDGTKVYKKRALRTPFVKSGSNSFLRLEIDDPAIQAIEFLIFDEAQNKWFKHKGDNFHIKLPSDEKLSPNVSVPEDLVQIQAYLRWERNGKQMYTPEQEKEEYEAARTELLVEVSRGTPIQDIRARLTNKSNVNESKGPLLSEKISQVPDDLVQTQAYIRWEKAGKPNFSPDQQLKEFEEARKELQTELEKGISIEAIRKKITKGEIKTNVSKIPDTKRYNAVGRIQRKKRDLMQLLNKYTPGTIKETIPAKPPTLSSMDLFAKAKEEQSGGPALRKNVYKLANKELLVLVTKPSGKTRIDIATDFKDPLTLHWALSENPGEWLAPPPSTLPAGSVLLDKAVETQFSSGSADDLKYQVQSIQIEVEENFAGMPFVLLSGANWIKNNGSDFYVNFSSGPKLIHKDVGDGKGTAKSLLDKIAGLESEAQKSFMHRFNIAADLVQEAKDAGELGLAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQNVYKSHPEYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKCDFDIDVYWRTLNDNGITKERLLSYDRAIHSEPNFRRDQKDGLLRDLGSYMRTLKAVHSGADLESAISNCMGYKSEGQGFMVGVEINPVSGLPSGFPDLLQFILAHVEDKNVEPLLEGLLEVREELRPLLSNPNDRLKDLLFLDIALDSTVRTAIERGYEELNGAKPEKVMYFITLLLENLALSSDNNEDLIFCLKGWNQAISMLSSGDDHWALYAKSVLDRTRLALTSKAEWYHRQLQPSAEYLGAQLGVDEWAVDIFTEEIIRAGSAASLSVLLNRLDPTLRETANLGSWQVISPVEAVGYVVVVDELLSVQNKTYEKPTILVAKSVRGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPNILSDLQSKEGKILHLRPTSADIVYSEAKDVDITGSNNSEEVGPSGSITLTKKQFGGRYAISSEEFTSELVGAKSRNIGYLKGKVPSWVGIPTSVALPFGVFEKVLSDDINKEVAEKVKVLQNKLEEEELSVLQEIRQTVLALQAPPQLVQELKSKMQSSGMPWPGDEGDQRWDQAWMAIKKVWASKWNERAYFSTKKVKLDHNFLCMAVLVQEIINADYAFVIHTTNPSSGDPSEIYTEVVKGLGETLVGAYPGRALSFVCKKDDLNSPKVNLEHSYLPHVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDKVVVDYSSDPLLIDSNFQKSILSSIARAGSAIEELYGSPQDIEGVVKDGKIYVVQTRPQM >KZM81925 pep chromosome:ASM162521v1:9:8563539:8563796:1 gene:DCAR_029538 transcript:KZM81925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHIVITSPSNLLSYSMIFHRIDYFSARRWRLCISDDDFSQVSTVPAPPSKEEAQANGGGSKIGKLDGDSLSQLGRVAHLQHKF >KZM82515 pep chromosome:ASM162521v1:9:20574908:20582501:1 gene:DCAR_030084 transcript:KZM82515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSRNFRRRTSEDDGDDDDSQSKPPSSTTPKPRKPPQQTPKKLLSFADDEDSDDSPFTRPSKPSSQPSRFAKSSPSSSGHKLTSAKDRAPLPKSSLASNVQPQAGTYTKEALSELRKNTKTLAVSSKPQAEPVVILKGLIKPKSSDNDNNNDNDNGLRDEGSDLGDKGSGYGKEGKKGGEEGLILDKAAIAAIRAKRERLRQAGAVAQDYIALDGGSNHGEVEGLSDEEPEFRGRIAMFGERNDGGRKGVFEDTVDVGLKREVVVSGSDIDDEDEEEKIWEEEQLRKGVGRRTDDGASRVVSNAVPAVQQQNVMYQAAPASYQSSAGGLSIGGVGGSFPGLDVLSISQQAELSTKALHENIRRLKETHGRTTASLTRTDENLSASLLKITELETSLSAAGEKFIFMQKLRDFVSVICDFLQDKAPYIEELESQMQKLHEERAAAIVERRTADGNDEMIEIEAAVNAALAVISRGGNSATMLDAATIAAQAASSASRESRNLPVKLDEFGRDENLQKRMDMTRRAEARRRRKARADSKRLSSMEHDSPNQPIEGESSTDESDSESTAYQSNRDQLLQIADQVFSDASEEYSQLSVVKEKFERWKRDYPSSYNDAYMSLSIPAIFSPYVRLELLKWDPLHEDSDFIDTKWHSLLFDYGIPEDDADANIIPELVEKVAVPILHHQISYCWDMLSTRETEYAVTATSLVFRYVPLSSKALGELVAALRDRLSDAVSELTVPTWNTLVLKAVPNSARIAAYKFGVSVRLMRNICLWNKVLSMAVLEKIALDDLLSGKVLPHLRSIQSNVHDAVTRTERIIASLCGVWSGSSVTGERSLKLQPLVDYLLVLGRILEKKHKSGGMEMGTSGLARRLKKMLVELNEYDHARAISRTFNLKEAL >KZM82769 pep chromosome:ASM162521v1:9:23771782:23772353:-1 gene:DCAR_030338 transcript:KZM82769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAAERKCENRKVKESAPARPQKEQKETKKGGTEEAEKTELKKYHQECTSDPPCIAACKKDGFCSGQCMEYISSQSLPSSSQLDL >KZM82702 pep chromosome:ASM162521v1:9:22765296:22768196:-1 gene:DCAR_030271 transcript:KZM82702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKCTSLLVLFYALGVFATLEVGFGFILEGSSNRSCIESEKLSLLRLKEHFVDPSLYLWSWVGDDCCAWHGIVCSKKTGHIIELNLRNGALSGDQIHSSLLDLKYLTYLDLSLNYFYGIQIPDFFGSFRDLTYLNLSFSHFEGLVPHHLGNLSSLQYLDLNDNFLLSIDNMGWLSKLSMLEYLDLSSVNLSRATHWFPPTNMLPSSISVLNLYGCHLPNDILGSLLFSNLTSLVILDLGYNQIKSSFPLWVFNNSGLTHLNFGQNIFSGPLPEAIGGLPSLLELDLSSNDFQDPIPESISRLTSLTNLHLSNNKLQGLIPTSIGSLTSLLELDLSNNMFQGVIPESIGRLTCLTILHLSNNKLQGSIPRSIGSLSSLSTLDLAFNKFQGLIPQSIGKLTSLSSLDLSENRLNHSIPTEMGNLTKLNHLIISSNELKGCLPNAFCQLSKLETLYADKNHLSESIPKCIGELSNLKELDLFSNSWEGFVSEHHFFNLTILTYFYISSNSDLAFNVSSEWVPPFQLQYLYMKSLKVGPRFPNWLMTQQEIRYIRMSNTSISDKIPADWFVSLLSRAFIVDLFNNEIKGTQLSYISSASDGLAILALSNNHLSGEFPAFLCNLTSLSTLVFSNNNFSGELPQCLGKLTELRDFDVMNNSFYGEIPVSLGSLKHLTYLNLHNNKFQGKLPLSFQNLKELVALDLGKNNLSDFLPSWIGEQLPRLQYLILRSNNFYGTIPTEICHNSTIQILNLARNQIIGHIPPCFGNFSAMITSDSRLTMGPGFSSENGEMIIDNSKGYELEYTSTLGFLVSIDLSNNDISGEILDELMDLHGLLNLNLAGNRLAGNIPDRIGKLEQLEYLDLSSNELYGHIPQSLSDLNFLSSLNLSFNDLSGRIPTGNQLQTLDDPSIYAGNSQLCGQPLLKPCLDSYREACSDSDSDDERLWFYAGMGPGLLVGLLLFCASLQVNKS >KZM82143 pep chromosome:ASM162521v1:9:12176799:12184141:1 gene:DCAR_029712 transcript:KZM82143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANETPQHAEQWNDSEEDDDMEEQNWDDFVADEEDDEEFDSVMLCLFCASTYKSSDELFQHCKAAHCFDFSSLKNDLKLDFYGCFKLINYVRSQVAKNSCWACGCSFGSKEDLHGHLHDIVNFKECTRPWDDDKYLNSFLQEDPLLHNFFEDDEYEGGYNVLADDDELGRIWSGTERMMIDDETDLETMAPECNSPLEDGSKQIVYALATHSISEVPLVKDTVSSSDLSNGGTSFSYPNNKPLQKFSAKISENEIMNVNRSYFGSYSSFGIHREMISDKVRTDAYHRALTDNPSLLKGAVVMDVGCGTGILSLFAAKAGASRVFAVEASDKMAAVASQIAKENGLLCESKAEGSNECSGVINVVHGMIEDVSSEHVKPQSVDVLVSEWMGYCLLYESMLSSVLTARDKWLKPGGAILPDTATMFVAGFAKGATSIPFWEDVYGFSMSCVGRELVEDASKHPIVDVVDPQDIVTNAVVLQTFDLVTLKSEEMNFTATVELEPKFDASKINTGSESRTMWCYGVVLWFENGFTSRFCHENPVILSTSPYTPSTHWSQTIFTFKEPIALSSGKSKANISSPVGTEASPAMKLRLRISIARALEHRSIDIAIETTAIGFEGRKHSLPVQIFNLC >KZM81460 pep chromosome:ASM162521v1:9:1458466:1461082:1 gene:DCAR_029073 transcript:KZM81460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGESKHSEVGHKSLLQSDALYQYILETSVYPREPEAMKELRDVTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILALDINRENYEIGLPIIEKAGVGHKIDFREGPALPVLDHMLEDAQKVTKVQNI >KZM83639 pep chromosome:ASM162521v1:9:33590082:33592266:1 gene:DCAR_031208 transcript:KZM83639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYKSLKITSDSGGVYNLILNRPATLNALSPDFFVEFPKALSHLDENPNVSVIVLSGKGDHFCAGIDINSLKTISSSTAASDDRGRAAERLRRHVLFMQEAITSVEKCRKPVIASIHGACIGGGIDLITACDIRFSTDSAFFSVKEVDLAIVADLGTLQRLPFIVGYANAMELALTARRFSASEAKHLGLVSKVFASKSAMDQAVLAFAQGLAAKSPLAVTGTKAVLLKSRDMTLERALDYVATWNSGAVISDDLNEAILAHSQKRKPVFAKL >KZM82419 pep chromosome:ASM162521v1:9:18608444:18611490:1 gene:DCAR_029988 transcript:KZM82419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSHGSATKRVAKEEAREDEEAANQTDQQATQQTNQQAKSQQSQPKGSPKKEKAPRKNNPIGPVLGRPMDDVHASYTMGKELGRGQFGVTHICTHKQTGEQFACKTIAKRKLTTKEDVEDVKREVQIMHHLTGQPNVVELKGAFEDKHDVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIIRSCHQLGVIHRDLKPENFLLLNDDENAPLKATDFGLSVFYKQGDQFKDIVGSAYYIAPEVLKRKYGPEVDIWSIGVMLYILLCGVPPFWAESEHEIFNEILRGHVDFSSDPWPSISPQAKDLVKKMLTQDPVKRLTAVQVLSHPWIKEDGEAPDTPLDNAVLNRLKQFRAMNKFKKVALRVIAGCLSEEEIMGLKQMFKGMDCDNSGTITLDELKQGLAKQGTSLSNFEVKQLMEAADADGNGTIDYDEFITATMHLNRMDKEEHLYTAFQYFDKDNSGYITVEELEHALREFGINNDNDIKDIISEVDTDNDDRINYDEFVAMMRKGHQEAEINPKRRRESFLSQELVPEDS >KZM82835 pep chromosome:ASM162521v1:9:25107243:25107539:-1 gene:DCAR_030404 transcript:KZM82835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCIQVFLAAFLSFTMFPSLVMSQSFLATKCEDNTFANYTAGSKFQNNLNRLLASLFDHGSSSNSDQATEGSYPDKVYGLFVCRGDLSADTCQDCILH >KZM83212 pep chromosome:ASM162521v1:9:29655688:29658483:1 gene:DCAR_030781 transcript:KZM83212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICKICSALVVLLILADVVACKHYKIPTTLDGPFKPRTRAFDPCLRRGSDDVPMDDPRLKRNVTSNFPEQIAIAVSSPTAMWVSWITGDAQIGLNVTPLDPSSVASEVWYGKRSGKYSMKRTGSSTIYNQNYPFEGLLNYTSGIIHHVKIDDLEPGTKYYYKCGDSFLNAMSQEHVFKTFSVPGPDNYPRRIAVIGDLGLTLNSTTTINHVIQNDPSMILFVGDLTYANQYLTTGGQGAPCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSRVPMMVIEGNHEIEPQADGITFQSYLTRFAVPSSESGSNSNFFYSFDAGGIHFVMLGAYVNYNATGAQFSWLKKDLKRFNRGVTPWLVAVWHPPWYNSYSSHYQEFECMRLEMEAILYEYKVDIVFSGHVHAYERMNRVYNYTLDPCGPVYITVGDGGNIEKVDVEHADDPGKCPSPGDNMPEFGGVCHMNFTSGPAKGKFCWDRQPEWSAYRESSFGHGSLEVMNSTYALWTWHRNQDVYEEDSHGDQIYIVRQPHICLKTPQHGAESSELGAS >KZM82446 pep chromosome:ASM162521v1:9:19363980:19364726:-1 gene:DCAR_030015 transcript:KZM82446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKEEFGIKPQVEHFTCMVDLFGRSGRLDDIKDFISKNKISNVASVWRSFLSSCRIHRNIEMAKWVSDKLFELEPPTAGSYILLSNTCASGDKWDDVAQVRGLMKDMGLMKHPGQSWIQLKNQVHSFVMGDRSHPEEAAIYSYLNELIQRLKEIGYSTEANIVMQDVEEEQKELLLAFHSEKLAIAYALMKTSTGSPIRIMKNLRVCTDCHSFMKCTSLLLEREIVVRDLRRFHHFKHGHCSCGDYW >KZM81496 pep chromosome:ASM162521v1:9:1834904:1835828:-1 gene:DCAR_029109 transcript:KZM81496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDVDELMEMGLLSGLLRVAWTAGIFPILIALMPSSRLDSVREIISGFAKRGKIMQSSTTRLTVPQKYFCHFYVVGVLWTTLLLVANWWYAYKMTPYMSETMLYASVISYLTGSSDTSSFEFHSESTNQKNKVLQSVFLLLLMEAHVLRRLYETMYIFKYSPSARMHIFGYLTGL >KZM83172 pep chromosome:ASM162521v1:9:29334498:29336661:1 gene:DCAR_030741 transcript:KZM83172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMDSLVLDDIINRLLQVRGRAGKQVLLKESEIKQLCFYSKDLFLKQPTLLELEAPIKICGDIHGQYSDLLRLFEFGGFPPKSKYLFLGDYVDRGKQGLETICLLLALKIKYPKNIFLLRGNHECASVNRIYGFYDECKRRFNVKTWKLFTDCFNCLPVAALIDERILCMHGGLSPELNSLDQIRNLKRPVDVPESGLLCDLLWSDPSRNIKGWGANDRGVSYTFGADKVTEFLQKHDLDLICRAHQSFVGASM >KZM82653 pep chromosome:ASM162521v1:9:22229538:22230911:1 gene:DCAR_030222 transcript:KZM82653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVSTKLLLLLSILNQYYLLATPSRVHSISPIPNEALPTKSGYLQVNSTTKSAMFYTFYEAKEPNLLLSETPILIWLQGGPGCSSMLGNFYELGPWRVVSSQGHNVEKLALEPNQGSWNRLFGLVFIDNPIGTGFSLATTTEEIPRDQQAVSKHLYKAIRAFIALESLFKTRPIYVTGESYAGKYVPAIGYYILKRNAGLPTSSQVNLVGVAIGNGLIDPITQVATHAMSAYFSGLVNEKQKTVLEKVQDEAIGLAKLGNWSVATDARSKVLNLLQNMTGLATLYDFRRSIPYEEDLVTTYLSSMEVKKALGANVSIDFEVCSDTVGKILHEDLMKSTKYMVEFLVKHTKVLLYQGEFDLRVGVVAAEAWMKKMKWDQIENFLEADRKVWKVKGKLAGYVQKWGSLSHAIVSNAGHLVPADQAVNSQAMIEDWVLNRGLFSDNGIVEHLNPSYNSE >KZM81622 pep chromosome:ASM162521v1:9:3611725:3613383:1 gene:DCAR_029235 transcript:KZM81622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLPRGQRVSEVAAIAGFHSHVPVESNPSHHLETVWFVKVLCTLCIRTSPSLQIFKSQYFEKNLNPFLAFEVIKHVNFSFSNPELAFGFFQFTRTNLRVVHSFDTYYMLLRSFPEMGFHDLAKDVLDCMRLDGYLLDNALFEFLVMSFANAGKFEVARELFIAQELLFVRSGSDRVGKISAYVYNNFLSLLVKKNQVNEAIGFFRDHVLRSKCCFPDTCTFNIVLRGMCKVGEPDKAFVMLNDMDNHGCLPDVVSFNTLINGFCRIGNVARAYELLRKIQSEGRFPPDVVSYTSVISGYCRLGEMYNASSIFDEMINRGVKPNLVTFNAVIDGFAKTGDMSSALNIYEKMLHLGCPPDVVTFTSLMDGHCRIGQVDHGLNLWNEIYTRKLLPNVYTFSVIINALCKQNRLDEAHNFLKQLNSRGDIAPQPFLYNPVIDGFCKCGNVDKANVILREMEEKKCKPDKLTFTILILGHCMKGRMFEAINMFNKMLAVGCSPDKITIKSLVSCLQKAGMPKEAHKIRLAVAGYLDSCLSSSSRSIPDLNISAAIK >KZM82396 pep chromosome:ASM162521v1:9:18305252:18307260:1 gene:DCAR_029965 transcript:KZM82396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNLGGNYHDEEDDDGASSKTLTDTDLPTDIKDEPLVSEELSDFDNAHQDFLPKDNSPNFTRVSDNSDDDEDDELDETNPVKKHKKLSDEAVVVVDSPVPTPTLKAITTTKKSKKKSNSNSVWTKPSSRKGKKKPKSNNGAAPSHHKEDFVRITPLPRFPDKNDDVPEMKICLSKSFKAEKVELSEDRLSAASCKGYRMVRSTRGVTQGAWYFEIKLVKMGVTGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKIHKALREKYGEEGYEEGDVIGFYINLPEGDLYTPTAPNIVWYKGQKYACAPDHKQDPPKVIPGSEISFFKNGKCQGVAFKDLFGGRYFAAASMYTLPNQPNCVVKFNFGPDFESFPQDFNDRPLPRPMIEVPYHGFDSSYENGVSDETKN >KZM83088 pep chromosome:ASM162521v1:9:28616189:28617817:1 gene:DCAR_030657 transcript:KZM83088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYSRCGQIWRKFVISFFIFAFLLQTLEPFLQYMKQDTNCIAEIDNSFVDIVQILVDLSNFIFILHVIIKFKTAYVDPESRLLVYDPIKVVYYRGVDLCIDFLFILPDSRELVQDILSEIELSAEAIGVFSFFMYLAVLWRLSVFAEPSVGAFFESWSSKFVVNLVAFLLFSHVVGMFWYFFASLRVEQCLEEACGEPWCSDYISCEPADYNPDFIFNLTLLSKWKNNKNAMACFGPDGYNYGIYVQAVGLMKNSTMPMRYIYSLSWGFQQISTLDGNQTPSVFVVEVLFSTFITAMGALLFSFLIGNIQRFLQAQGSRSFENSLRGSDIEQWMSHRQLPDDLKSKIRDSERYNWLATRGLNELMLLENLPEDLQRDIRRHLFKFDKKLPIVASMDESILDAIRERMKHNTYIEGSRVLVRGGLMDKMVYIVQGKLESTSEGETVVPLSEGDVCGAELITLCLEHYVLNRDGDKFTIPAGKLVSKRTVRCLTNVESFTLRAADLEDVFSLYSGLLIQNPLVQGAITKESLYPKSLLRSRSY >KZM82858 pep chromosome:ASM162521v1:9:25308137:25309312:-1 gene:DCAR_030427 transcript:KZM82858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCREIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYQNDNGAMVPIRVHTILISTQHDETVTNDEIAADLKEHVIKPVVPENYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDREILKIVKETFDFRPGMISINLDLKRGGNARFLKTAAYGHFGRDDPDFTWEVVKPLKWEKA >KZM82099 pep chromosome:ASM162521v1:9:11467272:11467724:1 gene:DCAR_031806 transcript:KZM82099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSNQTVFCSNQAQFSSNQANFREVADIQSSSDTDELLPVPDDYSHQELT >KZM82209 pep chromosome:ASM162521v1:9:13745706:13748768:1 gene:DCAR_029778 transcript:KZM82209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDSTKYYEVLGVPKSASQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDEYGEDALKEGMGGGGGPGHNPFDIFESFFGGGGFGGGGSSRGRKKQGEDVVQTMKVSMEDVYNGTVKKLSLTRNILCSKCKGCTQCKGSKVTQEKRVLTVDVEKGMQHGQKIVLEGQADEAPDTITGNIVFVLQLKEHPKFKRKFDDLYVEHTLTLSEALCGFQFGLTHLDGRQLLIKSNPGEVIKPDSYKAINDEGMPHHQRPFIKGRLFIHFNVEFPESGFLSPEKCKSLETVLPVKPMKHSSDIDMEDCEETTMHDVNMEEELRRKEHQRKQEAYDEDDEDEGGMPRVACNQQ >KZM82102 pep chromosome:ASM162521v1:9:11481355:11481627:-1 gene:DCAR_031809 transcript:KZM82102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKDLLAEFDRAVESDPPPLMPDPNAVPPPPPRPTLGELQVLITELQARIRMLERRNDRLEDKIDELQEEVKELKMENSFLRDKTDNDY >KZM82119 pep chromosome:ASM162521v1:9:11687798:11692514:-1 gene:DCAR_031826 transcript:KZM82119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIRVPDPVPPPDQDAERLHKAFKGLGTDDKSVIWILGHRNADQRKKIREAFQQMYKKSLISALQSELSGHYGKAVIRWTYDPPERDARLANRALKSKKQDITKLQVIVEIACASSPHHLLAVRQAYCSLFDCSIEEDIISCVSQPVRKILVALVRSYRYDRQLVESDVANNEAKILHEAIKAKQLDQDDVVRILSTRNVSQLKETFQCYNQNYGSTIEQDIKSCGDSILESILIVVVRCIDSPHKHFAEVIYNSMAGLGTDESSLTRAIITRADIDMKKIKEEYQDVHKESIEDGVSGDTSGNYQDFLMTLLGSKF >KZM81501 pep chromosome:ASM162521v1:9:1852652:1853638:-1 gene:DCAR_029114 transcript:KZM81501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANQKSTSVGAVELKAVIYRKIGRQRTEDYFNLLKRYFSLKLKKYEFDKSCIQVIGRNNLHLHNQLIQTILKNSYVGKVPLSRAKNVVVAASPTVKVANGLPVHSRGLANRDRKFNDRPSPLGPLGKSQQSETELLSLGSRPPIEVVSVEDGEEVEQAAGSPCIQSRSPVTAPLGISMYKGARKALCSGNQQKYHSLICQQTRELPDARSLMIILEQKLEMEGLRISVDCANVLNNGLDCYLKRLIEPCIGLAGSRCMKERPQHITGQRVTALNGMLPANGIYLSKPSDSICASLSDFGVVMESNPCILGGDWAVLREKVCAQAFEE >KZM82439 pep chromosome:ASM162521v1:9:19165466:19166791:-1 gene:DCAR_030008 transcript:KZM82439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRGGGHDYEGLSYVSKAPFVVLDMVHYNKINVDHEAATAWVQSGATLGELYYSIAQKSSTLGFPGGLWYTVGVTGLISGGGYGNLRRKYGLAADNVIDARIVDVKGRILDRKSMGEDLFWAIRGGGASSFAVILSWKLKLVSVPEIVTAFRLDRTIEQNGTEILHKWQSVAPRLPKDVEIRVLAATIWKNRPNPGARTVLTDDSVARNRAEKTIRLRFSGYFLGGQEKLVSMMNKEFSELGLTKEHCAELSYIQSALRFSLFSTSESPEVLLNRTSFRIPFKAKSSYAERPISRKGLDGIWTRLLEYDPGTTNFVFTSYGGRMNEIAESAIPFPHRAGTLFMIYMRVQTDGDAEKKIEWIRELYDYLTPYVTKNPRTSYVNYNDLDLGVNNEEGPTSYKRASVWGKKYFKNNFDRLVRVKSSVDPGNFFRHEQSIPPSS >KZM81458 pep chromosome:ASM162521v1:9:1427267:1428847:1 gene:DCAR_029071 transcript:KZM81458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNASALVLSSEASTHANRAVVNTNSSQPPSQLHSSLDGPVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPIIKGAVTTFSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILIDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTVILVIPSGVGVSSALSNAGRFVWDWPSVVRGEGFVPPKTFGPLRGGATDVSGYLMGYQINENPDCQTEEEAIVYRGISQSYYNSRDFSVMAQSLSEYNSAAVSGPYFSTTSRSHSLPSGLNEVSAGPVASNEHNDLMWVQPGDLTGLKGQLVKMLEMSGGYMPLTRVPAEYHRMFGRPLYVSEYGSLKLVNLFGKMADKISVEGKGQKRMVCLREFGLRAVTNDMQSDVATNDKKGKGIREENNDVIVGMGSSDEFSDDDRIVKEHDEQRGKDTVGLGMTNQCRIADQNLEQLKYELQEILVSYSCRIFLGCFEAIYKQRYKKPLDYRRFGVNELEELFDKLSDIVVVHEEPASKKKFLVALNS >KZM83000 pep chromosome:ASM162521v1:9:27489877:27493296:1 gene:DCAR_030569 transcript:KZM83000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNKITGRLIRGFCTKYKRYISLHNDAIYLGQRRNLCIQTKSTLASTVSCGTRAIEDGIFDSLRVHPATSAPLATNIYGICDSRDEGKEAKKHEVKKQKNMQEELMGVKEGDILDLRKLLFTENRDYLIQCDGNQLKAEQLAGKVIVIYIMYLPLDDISDCKEWRKTEILKDVYNYLRPKKGFEIVFVAVDDVDNSFGKEMLSDPLPRTNLEDHFEDVFSFMPWTAIPFSDRTSRKRMDTRFGFRKGYCSDDMFIIDSTGVVLQTNALSCFKSYGSLGYPFSSERVKFLLSEWQAIAAQPTLEKLLSSPERDYVISNNGDKVPIHTLEDKVVALYFYADNKTTETNRLTEELKMVYKELAKREENFEVVLLYLYDTNETTGYRTEESFWKTFKTMPWLALPYKDPNLKKLKIIYKYPKYYESSEKEVSLLVIIGPHGEFCEPCGAEILSNYKLQGYPFTREKALQLETEIIKKLKLEMIWEPKTIFSRKDGTHVSLSQLAGKRIILFFGLFQDEFLNVLKERFLDTKGTDGEFEVIHIYTHDEEVDLDPAWLAYPLPEDFPCASDILPCEFDKRFLKCGLFYDVGDCTRLLAFNQDGMVVRDTAFPTIDDTNFPFYTTGLENEALLQLNSVFQWYCLGNDDSSSCKAGYVGPNQRCTMPVSLKSSAISTYRVWNDDESYSVGLMAETGDGRRLL >KZM82386 pep chromosome:ASM162521v1:9:18211045:18211329:-1 gene:DCAR_029955 transcript:KZM82386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAINKMSSSVFGTGEAKDKTPEKAPAAEGAGGIMQTTMEKATVFGESAKQTAIAGKDKTGEVLVGAGEQAKVMAQGATDAVKNAFAPAAEKKV >KZM81772 pep chromosome:ASM162521v1:9:5830170:5832742:1 gene:DCAR_029385 transcript:KZM81772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMEGMMDGGVLDDIIRRLLEGKGDVHGQYQDLLRLFEYGGFPPAANYLFLGDYVDRGKQSLETICLLLAYKIRYPDKVFLLRGNHEDAKINRIYGFYDECKRRFNIRLWKIFTDCFNCLPIAAIIDHKIMCMHGGLSPELETLDQIKNIQRPTEIPDNGLLCDLLWSDPDPNVEGWGDSDRGVSCTFGADIVAEFLERNDLDLICRAHQVVEDGYEFFAKRKLVTIFSAPNYGGEFDNAGALLSVDESLMCSFEILKPVEKPGTSKMPLRKH >KZM81766 pep chromosome:ASM162521v1:9:5768083:5779526:1 gene:DCAR_029379 transcript:KZM81766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTTSLEQGRNQWVDCQYYSSIVGGNPNRILLGQLLEQRRTGKSAAPIKVSQPLPPYIEAESSFSRYITMSMPNNHASSSVNVATLTTGLGGAAFESSENIATTVQGIGGVPFRSAGHMNVEYQMSSYENAVVPLKNQHYILLGVNNWPKEAGWQTTTLDLVISQQQKTEMRHRWIDYNYYSSVVGGNPNKILINQLLEQRRTGKWADPIQASHPLPPYNEAEASLSMSTSTSNAMLMPNNYASSSDNVATPTQGPGCVPFQDTVTIAGPVVGLGGTPFQSACNLNMENISTYINRIQHLNYKANHDQPGYYDQDPLNMENISTYVNRIQHLNYKANHDQPGSYDQNPLVPQQYNLTNGGILIGGVNNIMFPSTDHIVPYDQNPLVPQQYNLTNGELIGGVNNITFPSTDHIVPYDQNPLVPRQYNLTNGELIGGVNNITFPSTNHIVPSSATTPIFDGLSSQQPSTVGLQDMQTAEMTQQARILHCI >KZM82516 pep chromosome:ASM162521v1:9:20583380:20589839:-1 gene:DCAR_030085 transcript:KZM82516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHGRELNWRQVANSLQLVAAHALLFSFTVLLVLNLTNDVASFSWWVVFTPLWLFHVVVARSRFSIPAPLFPCDRYWAPFHSIVATPLLVAFELLLCIYLGGSYGLNLKIVFSPLLALETAIFIDNVRMFKALLPGDEVTVADAAILKALPHLWIAFSMIFFIAATTFTLLKLCDESELIFWWEIFLNYGFAQLFAFFTCTNWHNPLIHRHSQYSTTNSNFAISGYLDCYSGLDITSTEGDEQSRRRSLQDIGGHIMKVPLIVFQILLFIRLQVYEDELCISAPAYMVFLHGLAHELLGWWSIDEGSKEEHARLYSAGLSGYSTFSPDTVKKMSKASLAEEISKLQAALGEQIEIRKFSQEEYERLQNECKIVLAEL >KZM82387 pep chromosome:ASM162521v1:9:18213442:18216991:-1 gene:DCAR_029956 transcript:KZM82387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDGKRVCVTGASGFIASWLVKFLLLRGYTVHATVRDPCDPKKTKHLLALDGAVDRLHLYRANLLEEGSFDAATDGCEGVFHMASPFIYTVNDPQAELIDPAVKGTLNVLRSCAKARSVKRVVLTSSIAAVTYNGRPKTPDVIVDETWFSDIEICRKRKLWYVLSKTLAEDAAWKFAKERGIDMVTINPAMVIGPLLQPSLNTSAAEILNLIKGAQTFPNASLGWVNVKDVAIGYCTY >KZM83367 pep chromosome:ASM162521v1:9:31205128:31205996:1 gene:DCAR_030936 transcript:KZM83367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERVDNPLEVSPDDEDVEPVTPASKRLKKSKIPCTIENISKHFGRPIKDAADSFGLSVSTFKRRCRDVDIEWWESRTSQKTDGKSGAKHLSSDTFSLPNRRVVTHSSQDFNMMTIKVTYDARIIKFKLSTSSGLEELENSVIKRLNLDRKSFCLKYQDDADDWIDITCDEDVLECMEVSRSLKKSIIEMKLGPAY >KZM83449 pep chromosome:ASM162521v1:9:31912992:31916713:-1 gene:DCAR_031018 transcript:KZM83449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILSADILNQTPPPPDFIYNTGSISQFPASSPPSSSSSSSSSSIVIVIIIITSAIIISASIYLILRYLSRRFHRAFSDVIIPSNTNFCTENEVIIINDSLPLFTFGSLIGNIVTADCAVCLSKFEAQDQLRLLPLCCHAFHVACIDTWLTSNQTCPLCRSSINPTESEVLDKMISISTVTNDNSGNSFRIEIGSVSRRTDSTSNDNNRRSYSIGSFDYIVDDAGYELSRGSTHRREPSEAASNVDKDSVGGQLMAPGESLAAEVAGGRNSWLRDYVDRIASISSRSIRSSGRFFSGSSRRSEPVVAVGDLESSRVGEEISELFRWLSGEEPAADSVTDELQPYYGWLFRIKLPSNFKYNQSKRERQQNIEMNFSILIQILALQCLAVVCVSREFDFYYFVQQWPASYCDTKRSCCYPKTGKPAEDFSIHGLWPNYRDGTYPANCDSVNLFNDSKITDLESRLEKDWPSLACPSSDGMRFWGHEWDKHGTCSESFLDQHSYFKAALDLKNKANLLQALNNAGIKPADGKYYSLESIKDAIKEATGYTPYIDCNVDASRKYHQLYQVYMCVEASGSEFINCPLLPHGRSCTSQVEFPSFSHIKPAHQEL >KZM82132 pep chromosome:ASM162521v1:9:11906280:11910773:1 gene:DCAR_031839 transcript:KZM82132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAAPFFSPPPLCNSQLRSETNRPNWLISPTPFKTSTLSTRRLVCKPPSGKHVREDYLVKKLSATEIQELVKGERNVPLIIDFYATWCGPCILMAQELEMNVTKFRSKISQGSSPNMKENFLLAVEYESNALIVKVDTDDEYEFAQDMQVRGLPTVYFISPDPNKDAIRAEGLIPIQMMRDIIDNDM >KZM81788 pep chromosome:ASM162521v1:9:6012149:6014941:-1 gene:DCAR_029401 transcript:KZM81788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHIKTPKSGRARRELKKIAPKLVETGKKTLILHGTKTSNVINSVLTEIYHLKKDNAVKYTKRNDNIRPFESGGETSLEFYSLKTDCSLFVFGSHSKKRPDNLVIGRTYDHHIYDLVEVGIENFKSMQSFSYDKRFAPLIGSKPFFAFIGEGFENIEELKHIKEVLLDLFHGQAVTNLNLAGLDRVYVCTAVSSNKVFFTHCALRMKKSGTIVPRMELVEVGPSMDLVIRRHRLADESLRKQSMKTAPELKIRKEKNVSRDVIEGKIGKVYIPDQQVGSVPLPNKSKGLKRERREAKTKGEGKTHVDKKPKQQDS >KZM83182 pep chromosome:ASM162521v1:9:29430229:29432418:-1 gene:DCAR_030751 transcript:KZM83182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDAPDMVRGQMNFKRLTLTDITIEISRTPKKKDLVKAMEAADVKNKWENSSWGRKFIVQKRRAALNDFDRFKLMLAKIKKASLVREELAKLKKAAA >KZM82733 pep chromosome:ASM162521v1:9:23151663:23152608:-1 gene:DCAR_030302 transcript:KZM82733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTVDKRGGVFRVGSSRACAVTTIPVTADLSAAQLRCYKLSHLALMALLGFAAHLRLQITRFAPGNLMHASVRKHLVSRYADRVLEGRVYNLRNLKVTTNMYPYRPLASNVKLLFLATTAVQELQESDVSIGRYGFEFVNQTVLQSRANDPTVLSDSQQLWLSARFELILLS >KZM82781 pep chromosome:ASM162521v1:9:23914898:23916658:1 gene:DCAR_030350 transcript:KZM82781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLIWIFKFLVILSIICFSLQGAPACSDVDRAALLGFKGVIFKDTTGILASWVGKDCCDGGWEGVECNPGTGRVTQLQLQRPEGDASKFMKGSLSSSLGELRFLEVMIISGMKRIGGSIPESFSNLTSLTQLVLEDNVLEGNIPLSLGQLPSLMVISLSGNHLTGTIPPAFGNLRNIVQLTLARNFLQGPIPVGIKNLLSLQYLDLSHNSLSGFIPLYIGQFKKLTFLDFSNNQLSGEIPASLGSLASLSDLSLSNNQFTGRIPDEIGHLKSLTSLSLSLNKLSGQIPETLSHLQNLWYLNLSSNALSDPLPIAFDKGIPSLLSVDLSHNKLNLGTVPVWITNRELSDIKLAGCNLNGTLPAFTKPNSLTTIDLSENHFTDGISNFFTRMSRLHKAKLSNNQLKSDLSLITLPTGLTSLDLHSNQLFGSLSSILNKTSNSMQTIDLTNNQISGNLPEFTEGSSLTSLSIGSNKISGQIPNSISNLVMLQRLDISRNQVTGPIPASLGLLLKLQWLDLSINTLEGKIPVSLLDIEKLSHANFRANKLCGMIPQGKPFNTFPSTAYGHNLCLCGKPLPPCKEMDNER >KZM82755 pep chromosome:ASM162521v1:9:23628753:23629718:-1 gene:DCAR_030324 transcript:KZM82755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLGGSGAKLEQLSSSSSLFASQAPRSPFFMPPPDSHQGTFQDQQNKQFHGLMQLPDHLQPNTNNSPSAASNMFNLSFYSNSSTANSISNSTSDNNSSFLMPDHQYGHEGNTSIYSGSNTHYNSTSHDHQKQTAAPMSATALLQKAAQMGSTTSNPSATLLKGISSSSSSSLSSANKFQRGFNSIGNPENENQQLEGLMNSFANGHPNSIYGHQHDNTFAGFGGNAMRLEHQQKRNFGNMEEAVAAGKMNQNFSASMGGSADDRLTLDFLGIGGRVRKMSDAFSQREQQTVGVSEMSSLEHPKTGPSSQARHVFGSPALQ >KZM82546 pep chromosome:ASM162521v1:9:20934645:20935412:-1 gene:DCAR_030115 transcript:KZM82546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSSGYTIYNSIGEIQVNWLDATVTLFTSMYTFTIEMNELTVNWKNAMQGLSSHNRHIYTLLDLSNNKFSGDVPDSLGNLKGLKLLNLSYNKLSGYIPQSFGDLESIETLDLSYNNISGTIPQSFRKLEQLSVLDVSNNKLSGKIPRGGQMDTMNDPTYFANNSGLCGMQIRVKCSGDEPTPDDVQEEDDDVEQSHGSCGPECGSDSLLASFHQYQQPYYLGTLFCHHQNTTQFTIGTDETTLLFTIFLYTFM >KZM81528 pep chromosome:ASM162521v1:9:2159761:2159988:-1 gene:DCAR_029141 transcript:KZM81528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELDNWCRWIALVFLVIFLLLVDMHCFHLLREYNPEDTVYGLFIMGLGNLVGFGFLGTQAQELIQVSRCKECV >KZM82371 pep chromosome:ASM162521v1:9:18029144:18030982:1 gene:DCAR_029940 transcript:KZM82371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYQKPHPLAILLALKACVGLNSLKFGKMIHGFVKKDDIIDSNLFVGSGLIDLYSKFGVMTDAVRVFEGYPQPDVVLWTMIVTGYEHNGCPQEALAFFARMVNVAGVSPDQVTLISVLSACAKLLYLKDGSSVHGMLIKMGLGNRLSLVNALLNLYAKTGSLDDAASLFRIMEEKDVISWGAMISCYAHNGAAKEAVDLFNRMIFEKVEPNEVTVISALQACEASCNLEEGKKIHQLAIQKGLELDILVSTALIDMYMNCSSPDEAVELFYRMPEKDEVVWSALLSGCVQNGKAHKSVATFRNMLSGGVQPDGNVIVKILTAYSELGIIQQTLCLHGYVIRAGYENNSFVEASLIESYSKCGSLDNAIKIFDKTTRKDVVIWSSMIAGYGVHGQGREALDLFYLMVNNSLVKPNNVTFLSVLSACSHAGLVEEGICLFNAMVNEHQLTPDSNHYAIVVDLLGRTGELEKAMEIINLMPKVEPHVWGAFLGACTIHQKTVLGEVATRNLLQLDFEHAGYYILMSNIYAADGKWDNVAEIRNLVKQKKMKKVSAVSIIEANSEVHSFVAGDRSHPMTTNIYMLLKKLELNMGAEGYVPDAGVSVHHTEDFASS >KZM81689 pep chromosome:ASM162521v1:9:4511511:4512914:1 gene:DCAR_029302 transcript:KZM81689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSSCYKQKLRKGLWSPEEDDKLIKHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSAQEENMIIELHAVLGNKWSQIAAQLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKLLSEVENDKDQKASASNNKASEQDSYELNYETSNQELVEEKPNHPYTLIDNLTAPTHEFFLNRFVSSHDQASTSKPQDLGNYLSFDYGRSDIGLTVNQNTSNLFYNPVPKSSEMMSELIASSPAIIPSISNTFLSSPSSMKPSISLPDSDPQMGSFHLLNRLQNWDTNTLTNSNTSDDSFRWGAQDVGKLEKDQGHDIHSVQGQHDNIKWNEYLQTPFLHSALQNQNSQELYNGQDTKPETQFMANLPWHQSQQPQPQPMQAAATDVYGKHFHRLPATFGQFS >KZM82551 pep chromosome:ASM162521v1:9:20982877:20987208:-1 gene:DCAR_030120 transcript:KZM82551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLCGKVRAFDVAWGLIDDMKENGIEVSNSTFSVLIRRYVRAGLASEAILAFNRMGEYGCVADKIAFTNVVSFLCKKRRAVEAQEFFDGLKGKFEADVVVYTSLVNGWCRAGNISEAERVFSEMKEVGIQPNVYTYSIVIDALCRCGQITRAHDVFAEMIDAPISLSPLSPSISPKSLPSLSLPTSFAMSSTNAGPPATVRAFDVAWGLIDDMKENGIEVSNSTFSVLIRRYVRAGLASEAILAFNRMGEYGCVADKIAFTNVVSFLCKKRRAVEAQEFFDGLKGKFEADVVVYTSLVNGWCRAGNISEAERVFSEMKEVGIQPNVYTYSIVIDALCRCGQITRAHDVFAEMIDVGCQPNSITFNNLMRVHVKAGRTEKVLQVYNQMRKLSCAADTVTYNFLIESHCRDENRDEAVKVINTMVRKGCEANASTFNPIFRCILKAGDVNSAHRLFARMKEIKCKPNTVTYNILMRMFADSKSADMVIKLKEEMDEGEIEPNVNTYRILISLYCGMGHWNNAYKFFREMMEEKCLKPSEAVYEMVLQQLRKAGQIKKHEELVEKMVIRASKPVNYAMSRHSKSADMVIKLKEEMDEGEIEPNVNTYRILISLYCGMGHWNNAYKFFREMMEEKCLKPSEAVYEMVLQQLRKAGQIKKHEELVEKMVIRGMLSLCTNLLDDNCAAITRPSGLRDED >KZM83292 pep chromosome:ASM162521v1:9:30464017:30465131:-1 gene:DCAR_030861 transcript:KZM83292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQEPNCSDNTKHQRHYFAQARSMRTIRSSLCSSFSGDLDEPCSSISPENLELMVRNCVQNLDVSSVSVRRSAAERLRCLAKNRAENRALIGELGAVPALIGLLQCGDSCVQEHSVTALLNLSLLEENRRVISELGGVKALIHVLERGVTETSRQNAACALLNLALVDENRGLIGALGAIPPLIVMLEKGSMRGKKDAITTLYKICSVDENKERAVNCGIVKLLVEMIGEEGSGMAEKAMAVLCRLAGVESGKERIVEEGGISALVEVIEGGSMNGKEFAVMTLIQLCDLNMKNRGLVVREGCIPPLIELSLCGASKTRQKAKILLRYLRESRQEASGSSP >KZM81792 pep chromosome:ASM162521v1:9:6051396:6059328:-1 gene:DCAR_029405 transcript:KZM81792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNVLDFTDLSSSVVDRFDELLHRRVSFQLISSVNPDTSDGGLKGKLGSPAYLEDWITTITPINAGESAFDVTFDWEEDVGVPGAFIVKNFHHSEFYLRTLTLQDVPGHGDIQFICNSWVYPADKYTTDRVFFTNNTYLPSETPAPLLEYRKQELVTLRGNGTGMLEEWDRVYDYAFYNDLGDPDSDPKNARPVLGGSAEFPYPRRGRTGRPPTKADPKTESRLPLIFSLNIYVPRDERFGHLKLSDFLAYALKSIAQFLLPEFEALCDSTPDEFDTFEDVLKLYEGGIKLPEGPLLDNIRENIPLELLKELVRSDGEGVAKFPLPTVIKGDRSAWRTDEEFAREMLAGMNPVSISLLREFPPASKLDSKVYGNQGSSITKDHVENKLEGLTVDKAIKTNRLFILDHHDALMPYLRRINVATTKIYATRTLLFLQNNGTLKPLVIELSLPHPDGDQYGAINKVYTPAEEGTVEGSIWQLAKAYVAVNDQGFHQLISHWLNTHASIEPFVIATNRQLSVLHPIHRLLHPHFRDTMNINAFARQILINGGGILERTVFPGQYSMELSSVVYKDWVFPEQALPADLIKRGMAVEDSNSPHGLRLLIEDYPYAVDGLEIWSAIKTWVTDYCGFYYKTDDMVQNDNELQLWWKEVREQGHGDKKNEPWWPKMQTRQELIDSCTLIIWIGSALHAAVNFGQYPYAGYLPNRPTLSRRFMPEPDTPEYEELKADPDGVYLKTITSQLPTLLGIALIEILSRHASDELYLGQRDIPDWTKDVEPLNAFDRFSKKLEGIEKKIVEMNSDQNLKNRVGPVNMPYTLLYPTSEPGLSGKGIPNSVSI >KZM83634 pep chromosome:ASM162521v1:9:33539166:33540729:1 gene:DCAR_031203 transcript:KZM83634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGESESRGCDPPAQDYKYTNTAGTGEGPEAIVGTTKASFLSGGSLRLSKLAAPVRTRSITTVCAVADPERPIWFPGSTPPAWLDGSLPGDFGFDPLGLGSDPETLKWNQQAEIVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDKTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIRELRVKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFAAFSPK >KZM81740 pep chromosome:ASM162521v1:9:5310425:5312482:1 gene:DCAR_029353 transcript:KZM81740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDDSSSQQFSSKKGRLLQEDINSCRSNSPLGLRLDKTQSFISLVESKLSKTRKSMDSEKLKASNMSATFVKIGNWEMSARHEGHIVAKCYYAKKKIVWEILEGALKRKIEIHWADIIGINAMIKDGECGILLIELGHPPAYYREFDPMPRKHTVWQQASDFTGGQAPLHRRHLLIFPPGVLDRHYEKLLQFDKRLFELSQKPFPTQNNQYFHSNILGYTPYPSSSILTLNRQSDLTKFQTRCHDQIYEQTSGFGIVDTSSPTSVMDFPVDVAERNSLLKNQGTVYSTPGQSSNTMDLQYSGYTYQDCQHRAYREDLGMAFGIGGIQITEIEQHLLGDSEVVGTDDAALLARVSSLHSFFDLDEGNLTKESSIVASPMIIEDGYFGYRSGASVDLQSYNGTIPLYPSTGPSASVDLLPVHDCENLSLDPFRGMCHWS >KZM83003 pep chromosome:ASM162521v1:9:27505499:27510083:1 gene:DCAR_030572 transcript:KZM83003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERGIRSGTTLICAPLMADSAEEMVVLMNKAMSCGADLVEVRLDSLKRFDPPRDVDYLIKQCPLPILFTYRPRWEGGQYDGDEESRLEVLQLAMELGADYIDVELKAADKFNTLMRAKKPEKCKLIVSSHNYQYTPSVEDLGNLVAVIQASGADIVKIATFALDITDVARIFQITVHSQVPIIALVMGERGLISRILCPKFGGYLTFGTLESGVVSAPGQPTITDLLNLYNFRQIGPDTKIYGIIGKPVGHSKSPILYNEAFRSAGFKGVYVHLLVDDLQKFLGSYSSTDFAGFSCTIPHKESAVKCCDEVDSVAKSIGAVNCIVRRNNGKLFGCNTDYVGAISAIEDGLRGSHLTDSAAGSPLAGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTYDRARELADTVGGQALSLSDLNSFCPEDDMVLANTTSIGMQPKIDESPISKEALKSYKLVFDAVYTPKITRLLKEADECGAKIVTGVEMFIGQAYEQYERFTGLPVPKELFRHIMATY >KZM81470 pep chromosome:ASM162521v1:9:1601502:1602971:1 gene:DCAR_029083 transcript:KZM81470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAMKSRDLVHILLVSIPAQGHVNPLLRLGNLLASTGLLVTFSAPESIGSSMRKANKNIDDLTQVGEGMIRFEFFDDGLGQHDDPRRNDLDTYIRHLELHGKEAVTRFVEKHNKQGQPVACLINNPFIPWVTDIAHDLKIPNAVLWVQSCACLSAYYHYYNKLAIFPDETNPEIDVQLPSMPLLKHDEVPSFLHPHSPYTVLGRAILGQFKNLSKTFCVLIETFEELESELVDYMSKFCLIKPIGPLFKNPKSSNSSIQGDFIKADDCLSFLDSKEPASVVYISFGSVVALSQEQAEEIAFGLLNSGVSFLWVLRPPHPNFDPVILPETFLEKIGERGKIVQWCAQKEVLQHPSVAYFVTHCGWNSTLEAITSGVPVVAFPAWGDQVTNAKYLVEVLHLGVRLSRGDQTEKVAISRDRIENCLKEAFGPKAAELKKNALKWKQVAEEGVAEGGSSDRNLKDFVDKIRDVSKLGGVDVNPLVVNEKSRT >KZM83219 pep chromosome:ASM162521v1:9:29731902:29739929:1 gene:DCAR_030788 transcript:KZM83219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLVLNNRDLNRHGWKMVRRAFCSDAPKGAAGTSSSDTVEDHNAKGEHENTAPQFDIAIIGGGMVGMGLACSLARMPLTKHLSVAIVDSNPALLNSTSIKKDDPPDPRVSTVTPTTISLFKGVGAWQYIEQHRHAYFDKMQVWDYTGLGFTRYNARDVNKEVLGCVVENKILHKSLLSCMQDSDLQKTIFPSRLTSMISSPSSATKALGSRSSREGSHDRGNLTKLELSDGNSLYAKLVVGADGSKSRVRELAGIKTTGWKYSQNAIICTVEHTEENRCAWQRFLPNGPLALLPIGDRYSNIVWTMDPKESLSRKAMNDEEFVRAVNHALNDGYGPQPKPRVSGGGGIFSWLGADVTSSSERFELPPKVVKLVSERMVFPLSLMHANSYASKRVVLIGDAAHTVHPLAGQGVNMGFGDAFTLSKVISEGVAMGSDIGEVSLLQKYEADRKTANIAMMAILDGFQKAYSVDFGPLNLIRAAAFYGANYIPPLKRHIISYASGEQRLPIFA >KZM83330 pep chromosome:ASM162521v1:9:30916029:30916468:1 gene:DCAR_030899 transcript:KZM83330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFATFLAAFLMVEQGEAFTCGTTLQERTKQLCDPFHRGEQQDPSAECCNSLKAFRDTAKTREERIELCRCVQDRSNRNRAGVPAPDARIPKIDALPAKCGLPFIYSADRKFDCNTVN >KZM81442 pep chromosome:ASM162521v1:9:1251747:1253825:1 gene:DCAR_029055 transcript:KZM81442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSQPTAASSEPLTLDLDSEHKAGYEEFIESLIKEFWDCPVAKYLTSLDEVGFDEELKPKIEKCFPLMFVGTAASLEGEATDMKTVYRTHSPAELKDKNWVFQVRLKHGGRNLEILIKKNNLYLVAYKGKFNTKKDGTEQDENWIVLDGKNHNEVAESISKFLTPKSDLYENLKKKLEKFKGLAEREEERNIKYAKTKLGEIKSKIERQQEENSEGSERLMGGYESLEENEDFKRVNRLLNMVPKDITREHVEELEGIANEAERDFLNHLKKPQADHIPAGKLIEKLQKKNENYNQQGQVPNEAEASENQRNPFSKEWPILWGKMFKKRVVILGSLAAGKKDTIDWAKRTEKYTLEDWKEELQALIKLTRMLKKKFGIDGTPETNPTDDKIWEVFFSEKAKLKKQYEAVNKEDQAKFYKELKEMSDVLKYMMQSVRADAEIIEVKDRLAKVKLNRLAFTEAVEYLTKSSFGENEGETASHIIKLAIMICEAARFPDIKKHVANNYHKTTHEDTASLSEECITSVYRWSHRSALMQRGGVYAGEIILLGEDPAVIKEMDKNKVSKE >KZM81894 pep chromosome:ASM162521v1:9:7975802:7978240:-1 gene:DCAR_029507 transcript:KZM81894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLARMIKSEECEFLKLFSVNFCPYILVKILRLLGDRETGFAFFRFSFCDDLEDSVFSCCLACHVLAREGSRYLAQDMISWVMLKIGGSRCGELVECMWRGHWEYESDFSVLDSLMRGFLNVGMAEQALMIVGKMREVGLVPSLSAMSSLFRLLLRVGGYCSVWKLFRDMMRKGPRPSNYVFNTMILGFCRKGFLSTGESLLHVMIKFGCEPDAVSYNIVINAYCIRCRIPEAWHWVDMMVKRQCSPSLVTFSTLINALCKEGNIVDARNLFDGMLEIGLVPNTTIYNALMDGYVKARKIHHAIVLYLDMRNKGVAPDGVTFNTLVGGHYKYGKKEDAGRLFREFSEAGVVPDHFSTDVLVAGLCWAGRLHDATNFLKNMLEKGLPLSVVAFNSIITAYGKAGLEDKAFEVYGIMLKFGIPPSSSTCSSLLIGFTTMGRLKEAEDIMDKMIQNDFPVNKGAFTLLLDGYFKRGHIESAQSLWEEMVRIEVAPDAVAFSAYIDGLSKAGYIDEAYNEFLEMSRRGLIPNNFAYNSLIDGFCKCGRLNEALTLIRQMRQRGLLPDIFSINIIINGFCKQGRMQSAVGTYMDMYRWGLEPDIVTYNTLLNGYCKAFDLVNADNLLDKLYTSRWDPDIFTYNIRIHGFCISRRINQAVMLLDELVTCGVVPNTVTYNTMMKAVCNDIIDRAMILIAKLLKMAFVPNIVTTNLLLSQLRKQGQPVKALMWGEKLMQVCIEFDDITYNILERAYRDSQDDAVLLEVTPENSLLLDVLMYITYDYLSRNRPHNESTDYSLKLINNQSVGSVKMLEMTS >KZM81997 pep chromosome:ASM162521v1:9:9696092:9696950:-1 gene:DCAR_029610 transcript:KZM81997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRGIERQHRLGIVMRWLCSKLMDRRTAEWEVDWEVLDSNNYTPLDVLHLEQETHTLANQLLVRASLIDANVRKHWWLWRTLRHPNAESGKRIVKHTEITNNIDVEEHRKAVNTHMVVSALIATVAFSALFNVPGGFDGSKGSPILLRTRRFAVFITLDTIALLFSVTSLLLYFVTSFNDDASQVKLIVQNTAALNFFAILTMMAAFVGGTSAMLAENTILARVASILTLFLLIGATPVYFYIVSGGRRSRTLLPASDSV >KZM82565 pep chromosome:ASM162521v1:9:21174329:21178819:-1 gene:DCAR_030134 transcript:KZM82565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPSPNSSPSADPPIATTAPPPQAFSPSSFQPNATSTTPTTQAPPPSTNSTSSPPVLQAVPPALSPPPADSPPPSSNSPPPTPISASPPTPILLPPTSASSTPPPSPSAPPPTPPGNPPTPPKSPPTAPPLSPPRPPASPPPPAASPLLPPSSPPPPTASPPRPSASPPLPSTSPPPQQASPPTSPASLPKPAASPPKPAASPPKSAASPPSSPTKPESPPSLPPPIPPSDPPKNPSPPPSLNSPPNLTPPPSIGPPSIPSPPSPSRVQIQPSGNPSTNNSNTPSTSKSEGNGGIGIGGTVAIVLVLGLVLLSLFGTVIWCVRKRKKKFAGSSGGHIIPTSQSSSPKSDSGLLKLHASVVNGGSGNDSRYVYSPREPGGLSNSRAWYSYEELLDATNGFSEQNLLGEGGFGRVYKGYLPDGREIAVKQLKIGGGQGEREFKAEVEIISRVHHRHLVSLVGYCISLNERMLVYDYVPNNNLYFHLHGKGRPVMEWEKRVKVAIGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFESQVSDFGLAKLALDANTHITTRVMGTFGYMAPEYAASGKLTEKSDVYSFGVVLLELITGRKPVDTSQPLGDESLVEWARPLLGHALETEDFTALADPRLENKYVDIEMFRLMEAAAACVRHSAAKRPQMGQVVRAFDSMVTADLSNGMKVGESEIYNSAQQSAEIRLFRRMAFGSQNYSTDYFSQSSNNSQRP >KZM82616 pep chromosome:ASM162521v1:9:21883317:21885285:1 gene:DCAR_030185 transcript:KZM82616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTSALSVNLQHLHNYSDHHQRCLNNSNTNFRPPPQLSFSSRCPNLGISNQRDSYRKLSSFVCFAVEDAIETKPVPPLEELNLIM >KZM81883 pep chromosome:ASM162521v1:9:7567842:7568887:1 gene:DCAR_029496 transcript:KZM81883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRHEEREFFLGDRGGAVTVVEYLQSIMSKELLGKFPDNSAFDFDYTQSSIWSPLIQRQNGRGLDMEELSKSLSSSSSFSPSVLQRKLEYNEEEDCDEMAENEISSKCITSSVSIKKLTAVFKRKIGVNVFDSFRIYRDAKMKKKCNRKGLGFSPSGAVKSPNLRKGWAKMLKAGSGQFKRTSKKKDNGNASQLKFSHKYLGYLND >KZM82149 pep chromosome:ASM162521v1:9:12313172:12313776:1 gene:DCAR_029718 transcript:KZM82149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGFCSEGLSGLKVRGRVACICYVDYVDENEVLTLADDENHINNHNFRIPFVCGCRNLGEALRRIQEGAAMIRTKGESGTGNIIEAVRHVRSVMVILEFHGTWMMMSLLMVVWQFAVGGVATPSDAALMMQMGCDGVFVGSGVSGHLVRRAKAIVQAVTHYSDPGMSADISCVLG >KZM82947 pep chromosome:ASM162521v1:9:26131480:26132593:-1 gene:DCAR_030516 transcript:KZM82947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAGTSGGGGGKRRGSKGCRRRGTSSGGRGAGGRGNDSAEGRVRGAGNEGSDNDEENSGDSGSEGQESRIVVRNIYGRAKRSCSVGDYGTVPATEAEKQTVHFLNGANIRDKRKKKTLSYIMKGEWNEDTHEKKGKDYYVYQKGLKPGEGDRAAKEFMSKKWKSIPYEEKSRADLSAENARKGGLKSATRRDFKPHYFNKRTWDKLCNYWESKEFQIKSKNGKEARKKVEHIHHRGAKSFDERREMFAALLNPVLRMYYRVSELPR >KZM81833 pep chromosome:ASM162521v1:9:6661139:6663514:-1 gene:DCAR_029446 transcript:KZM81833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKRIILIRHGESQGNLDGAAYSTTPDYKIKLTQHGIEQCRLAGHNIHNMLSENGKKKNWKVFFYVSPYDRTRSTLREIGRAFKRREMIGVREEVRIREQDFGNFQVADRMKVIKETRERFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDMHRLHNDPADDLNFVIVSHGLASRVFLMKWFKWTVEQFEYLNNPENAEVRVMQLGPGGEYSLAIHHTEEEMLEWGLSPDMISDQKWRAQAHRGEWNENCPWYLDSFFDHLSDDTAEDSDDNAEKV >KZM82686 pep chromosome:ASM162521v1:9:22509296:22512881:-1 gene:DCAR_030255 transcript:KZM82686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLLLLLSLLVSFASAVEQDGFIGVNVGTALSDMPSPTQVVALLKAQQIRYVRLYDADRGMLVALANTGIRVSVSVPNDQLLGIGQSNVTAANWVSRNILAHVPATNITSISVGSEVLTTLPNAAPILVSALNFIHSALVASDLDSKIKVSTAHSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTDSYLMLNVYPYYDYVKSNGAIPLDYALFRPLPPNKEAVDSNTLLHYTNVFDALIDAAYFAMSYLNFTNIPIIVTESGWPSKGDPSEPEAIVDNANTYNSNLIKHVLNNTGTPKHPGIAISTYIYELYNEDSSPGPESEKNWGLFDANGVPTYILHLTGSGTVLANDTTNQTYCVARDNADKKMLQAALDWACGPGKVDCSPLLQGKPCYEPDTVAAHATYAFDAYYHRMALGEGTCDFNGVATITTTDPSEKTSFLDSFYVCFFIFVWIYSVRASLSLYGTAD >KZM81815 pep chromosome:ASM162521v1:9:6323805:6325907:-1 gene:DCAR_029428 transcript:KZM81815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSGYINRLVFQRTSTGETASSIRIDNVPGGYKIFELVVKFCYGMKVELTAPNIAPLYCAANLLEMSDDFEQGNLIEKTEHFLSFVIFSSWKDTIRILRSCETITSCARELGILKRCAESIAWKVSIDSHAIKCGGIEFQCLELLENEYNSNPENLHDGWWFKDLLLLRIDHFTEVILSCKRKGIRSELVGSCIALWTAKWLSRISLQFDNLSHKNLTVRLYRITIRSLIALLPEDDNSVSYNFLLHLFKLGQVVKLDSKVLMKLERRLAVMLVNCDPYELLIKNYRHGDTSYDVGIVCKVAEAYVSLHSVKPLTLQVVGRLIDEYLTLVARDDNLPPKVFQSLIEALPKSCRTCDNHLYRAIDMYLKAHPSLTEEERNGLCRAIEYNKLSQEARSHALRNDRLPLNIITRFILLEQVKVTSSMTTAGSDYYRTKSQTIMKVTKNMGQKMLNSLNDLKSMKLEVEAMKEKLSNLQTCSAMLYSRAKQCAKV >KZM83213 pep chromosome:ASM162521v1:9:29660219:29661774:-1 gene:DCAR_030782 transcript:KZM83213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIWHQSLLAFVQRYKNELVKEDKLNLNALVERQWHKLVTPEICRELKNSRNRGEAEDDLMSIYILLELQN >KZM83421 pep chromosome:ASM162521v1:9:31642949:31648041:1 gene:DCAR_030990 transcript:KZM83421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKQLYQYIVIGIYTPLIICAFGLYIWCAAADPADPGVFKSKKYINIPEHKKHDRLHESKLGGDSTSSIHDANAATVGVKPLNKDMKDMDTATEDRATEIEEIGTASQQSFCFKVLLALLTPCAYVCNCSNSHDESSGQQASEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYRKFFSLMVSALLLLILQWSTGILVLIRCFLEHKKFSVDIATNLGSSFSIAPYVIVVAICTILAMVATLPVVQLFFFHILLIKKGISTYDYIIALREQEQGVGGQQSPQMSQASSLTGLSSASSFRSFQRGAWCTPPPLFVEDQFDVVPSDTRSVSSLGKKTTGEEMKKRSTAPVKISPWALARLNAEDVSRAAAEARRKSKILQPVTRRDAPFRLDRDNSFGSSDRHMYGRQDYNRKRGSTRVRLPAEFPIETLPKLSTDTAESNITETSTSLAPLQHEALSSFRTGLAMSNASGVVASSPESSLDSPDLHPFGISSSGLDEARRLTGPSSTALAQQEFPLSRSASASDGYDASGGEDSDRVPSRTAQRPTNWSNILFDSIQDERIARVKAPGSSGYGSSRKL >KZM81626 pep chromosome:ASM162521v1:9:3647611:3652762:-1 gene:DCAR_029239 transcript:KZM81626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAGARRQKDSIAGDHRKPPPPTPTSFDPFQYNFSNRDSDASLCSSRPSIASTTRNPSVPISDKSFQLSALRTVNNYLSLHSATFSLKPPLPSAKDIIETLKLILKNLDFPMSGGNKFEDELFGVLKYLGCPVKVNRSALKAPGTPHSWPSLLGVMHWLVQIGNYDEVTRGNGLEYEENGMFDYALRGYFHYISGDDERMESEDQMFLEKLTNERNVVEEGARGLAERVRELEGKLEGLKNGPSEKEVLEEQRKLLEEDVKKFYTMIEQLDGHIVNVQKSLEEKEKELEAKVEERKRICEENEELKSRVEEQGFNARDAERMKRELQAVERNTGDAEAARSAWEEKSWELDSEIGKKFKELESLMIECNQAIRKLKLGNGFQYELNAKVSTPAEVLGIDYKLKLKPVLASLAEETKKSSMVKLEEQISLQKLFVEAANKIEAKRNHIAALQFHIDEVENQLNLLRDETQEHISRCMMEAKKLVEDVKAEEQNMDIVEKEATELLRTSQMKCQEVNAQSEEEVQMCARELLALIDSVSKFKESMTSTISEMKEDYLKTVSVISEIHKSSL >KZM83361 pep chromosome:ASM162521v1:9:31148157:31150879:1 gene:DCAR_030930 transcript:KZM83361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINFGHDEVTANDAATRNILIQFWEASTEAIEGGRRYCELTIPENSFEILPYEVGGLSLYRMHSISCTHRIQLDDEEDDYIIQSKQQEGVISRVFRYKRPEMTPHISFYSLHEYPHREFALSCGIQPSLCFPLFKTADCSGHPRGVVELVSTCEQDLENFKQYFESCFFVQDLNPALWQMDHVLTLVCQKFPLRLAQFWVLTNPNSGALSVMSQKSNLDSEELAPLCRFKDACLQTHLNIGEGLVGKTCLFRKSFSCRNITEFNITNYPLAHYARSCVSIACFTIFLRSFFPPFEECVLEFFLPSQELSNYYPQTMSNTLLTTVKEHLPYYTFDLGEELGEVPTLEVINSSSTREIFKQTHKNAVAVINLDELEESPVKRIEPQSLTPKPNRSKEIIDEANTMEINHFSLEITLEEAIRNREYTVAARRVDELLEDVGHDKTTAAGSSSQTRISLPHQEVEVEKVTVDTSVQKLILSEDFSRIKNKGIIVGAENVDRPIQFVSKTSLQDELETEKQAEKKFSYESLSQHLGRPLDDVAKRFGISRSTFKRKCRDLGIKRWQYGRRSTDDNISSKLRERLNAKEPSRRNFTCSGISSMQDKCLNKVATADKRQDPKKMIVEATYYDATIRFELPGFTIAELEDDINERLHLERESFVMKYQDDEGDWISIACDEDLQECVEISKSSNNTTIKMSLDPRVNPQAQ >KZM82204 pep chromosome:ASM162521v1:9:13707470:13718660:1 gene:DCAR_029773 transcript:KZM82204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGREADSEDDVFTKSGAETLDYVDSEGDGSEFDSDGLADHESDGDEDNEDDDSNGDEDDEISGEEEVEDDEEMENTENEKRNIAEVEEVEKEYIELRQEEQMEDILPGPISQVVILDNSYHVSTDVWEGVDRGPLECICGDHSSSITPFPIVTCQVTILLPQLLFTSALWDKTLELRFLLQKAFSNSNRLPQDPIRSSFCDSYSETSEAYSDLITTSKKTLDSIQKLQEALLEKNPTITQGADGTSGKNTKQLKASENLIAEEDQEWSKISEMQSRIVSFRNKSVDKWQRRTQVTTGATAIKGKLQAFNQSISDQVSAYMRDPSRMVNGMQQRRSAIAIFGTDPETSNITKEEEVNGDPELLDDSEFYQQLLKEFFETIDPTSSEAAFYALKRMQTKKRKVVDQRASKSRKIRYHVLEKIVNFMAPRSMNLPPVAPKLFENLFGLKVQKAA >KZM83102 pep chromosome:ASM162521v1:9:28722962:28723498:-1 gene:DCAR_030671 transcript:KZM83102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCENLLFTADETLFQIYCSIGGRIDIYLPMMDIPRWFWYQEPENSGFSFTMPATVPTSFIGIILWFNISCRTPTPYLSDFWAQVIAGTSFRNSWSCRTSLWKEVSQSWVSFIPQKQFPLYANERVSVRVKSGPLESIGAHLVYI >KZM82230 pep chromosome:ASM162521v1:9:14133194:14137619:-1 gene:DCAR_029886 transcript:KZM82230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTHQRGMDLKFEVGFESERESASMEMETESLLIKLCLQAATDNLHAVEKWRRQRRSLERLPSHLAESLILRLVRRRLMFPSLLEVFKNTLEKIDLSGQTSVDLEWMAYLGAFPHLQSLNLAGCPKISSSAIWPLAGMKNLKMLNLSRCPKITDSGIKHLLSIPTLEELSISQTGVTSEGVLLLRSLKNLTMLDLGGLSVTDLALCSLQVLTKLQYLDLWGSEISNKGASVLGMFPNLIFLNLAWTKVSKLPVLSSVECLNMSNCTTHSIFEGHGDKVWLRKLILTGATIEDISEALTYVDTSSLSLLDVSNSSLQEFCFLSCLVALEYLDLSSCPFIDEFVQIITYIGAKLKFLNLSNTRVTSAGVSVLVGRVPKLETILLSNTPTDDFAISHLSAMPSLKVINLTGTNVKGQIQQLGFGQDAVQSLAELQNLSCLEMLYLENTQLRDASLSPISKLHELNHLHLGGPLTDISLCLFSSIQNLIHLSLHDAVLTGPGLNSFKPPSNLKVLDLSGCWLLTKDDLLLFCRRYPLIELKHELYHFVPSEQSISKHLSPSGVATKTGPSKKKERTLLVSPTMLKKDEFIDQRLKYSREELLALQHSSVSLVAFDNGNLIPELQ >KZM81763 pep chromosome:ASM162521v1:9:5753387:5754214:1 gene:DCAR_029376 transcript:KZM81763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNELWVTFPRQEQAISYQKEHSNVFIFSYQDHVNGQRRFVVSSYKEFWRRYKNMNPKYRHHYEVIQEGLPCHLYFDLEFNKIENSNNNGEEMVDILLSLVFDFMNEKYSIEGDKECVVELDSSTEEKFSRHIIICFPNTAFKNNRHAGAFVGEV >KZM83193 pep chromosome:ASM162521v1:9:29512036:29514169:1 gene:DCAR_030762 transcript:KZM83193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTHALGLTLEDVLYITGLPIKDFMQLKELEDNSLPSVVKIPKSYVSKPVMPMAPVSRGSAKSIKIKVVEEEKQNIRASSVPRPRAVLSSPDNDGLLKSKQKSRPERSSGLRNHNQCQNRHVQCKVVPKSIDAEGRPINKTRETNEAVHSRINPKVIRVSPLAEPNKRAYLRKG >KZM83360 pep chromosome:ASM162521v1:9:31140496:31142386:-1 gene:DCAR_030929 transcript:KZM83360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDYSFMVKALNQVIGNSGEPTLTSSARNSRYMGVSRTRSEYFGGPWVAKIRDVCLDTYDTEEEAALAFDHAAFRLRGRNAKLNFPNCSSKGELMSPDCPLSTFEKLFKGWSDAKDSGSVSCSGGLGSLQSNKEESDIFQSQGGAHAFQHYPNVELDWDAIMHQDRVAVENQVSRSTLKRKCRGLGIKDWRRGKQSIKGNMSSNLRRRSRDDEQAEKNFHSGLPPGEKAPAVDHISQTLNEVTVRAMYNGVTIRFDLSDSSGIAELENNVIERLHLERESFSIKYQDDEVIWILIACDKDVRKCIEISRSLKRTSITLLVDPPINHHKQ >KZM82383 pep chromosome:ASM162521v1:9:18197847:18201908:1 gene:DCAR_029952 transcript:KZM82383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVVPKTASHKERRKEKKKYKPNPFSTDYGAGNGIKFRVLKDANGKDISLKYQLGRELGRGEFGVTYLCTDLDSGEKFACKSISKKKLRTSVDIEDVRREVEIMKHMPKHPNIVSLKDTYEDDNAVNIVMELCGGGELFDRIVARGHYTERAAAAVMRTIVEVVQVCHENRVMHRDLKPENFLFANKKETADLKAIDFGLSVFFKPGEIFNEIVGSPYYMAPEVLRRHYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSIVDFKRDPWPMISDNAKDLVKKMLNPDPKQRLTAQEVLDHPWLQNAKKNPNVSLGEVVKSRLKQFSVMNKLKKRALGVVAEHLSGDEVAGIKEAFAMMDTNKRNKISLDELRTGLARLGHNIPDPDLEILMESADVDGDGALNYGEFVAVSVHLKKMANDEHLHKAFSFFDHNKNGYIEIDELRHALSDEDDANSEEVINAIMHDVDTDKDGRISYEEFATMMKAGTDWRKASRQYSRERYNSISLKLMQDGSIQMPNEVR >KZM82327 pep chromosome:ASM162521v1:9:17278695:17279063:1 gene:DCAR_029825 transcript:KZM82327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKKTTSLTLLLTLNLVFFALVSATSATPIPDSTYYTVSKCDPLKLGVCANVLNLVDVVVGSPPTLPCCSLIKGLVDLEAALCLCTAIKANILGIKLNVPVALSLVLNNCGKKVPSGFECY >KZM81809 pep chromosome:ASM162521v1:9:6277010:6285931:1 gene:DCAR_029422 transcript:KZM81809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVKTHRKILTILTLVLCIYPVSIYSRPFVLVLSQDDLKDPSPSDPLSPEPADSNSEWDEFGDSDSKSDDELDPGTWRPIFEPESDPTRDPNWEDGYYSGVRRMMGAVSRGDVRMMEEGVGEIEEAARGGHAHGQSMMGYLYNMGVLRERSKAKGFMYHYFAAEAGNMQSKMALAYTYTRQDMHDKAVKLYAELAEVAVNSFLISKDSPVIEPVRIHNGAEENKEALRKSRGEEDEDFQILEYQAQKGNAGAMYKIGIFYYFGLRGLRRDHSKALYWFLKAVEKEEPRSMELLGEIYARGAGVERNYTKALEWLTLASKQQLYSAYNGMGYLYVKGYGVEKKNFTKAKEYFEKAADNDEAGGHYNLGVLYLKGIGVKRDVKVACKYFIIAANAGQPKAFYQLAKMFHTGVGLKKNLPMATALYKLVAERGPWSSLSRWSLEAYLKGDVGKAFLLYSRMAELGYEVAQSNAAWILDKYGERSMCMGESGFCTDAERHQIAHSLWWQASEQGNEHAALLIGDAYYYGRGTERDYDRAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQAVEIDPSAKLPVTLALGSLWVRKNCADSFLVDFIDSLPYVFPKVQLWVENVVLEEGNATILTLFVCLLTVLYLRERQRRQAVVVAGEAANLHQPNDPVAPIGHSFIVIEIMAFPAQKQDSQPGKEYLMHPTPQHGKVALVTGGDSGIGRSACEYFALEGATVAFTYVKGHEERDAKDTLEMLRKEKSSDAKDPVAIATADLGFDGNCKKVVEEAVKVYGKIDILVNNGAEQHKASSVEQIDDKWLERVFRTNIFSYFFMTRHALKHMQEGSSIINTTSVNAYMGNAGMLDYAATKGAIVAFTRSLALQLISRGIRVNGVAPGPVWTPLIPASFDQKEVVEFGTEVPMKRAAQPSEIGPAYVFLASNADSSYYTGQVLHPNGMEVVI >KZM81966 pep chromosome:ASM162521v1:9:9318684:9321141:1 gene:DCAR_029579 transcript:KZM81966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIKQLNPYSIFIFSIGLCVLDSAFVSCRNPSFSPLITSKKGNKPLPVETTFKIPAPVPKWPQGNGFASGAIDLGELKVSRITTFNKVWTAREGGPNNLGATFYEPISIPEGFSVLGYYSQPNNQALYGWVMVAKDVRNDQFRQALALPTDYTLVWSSDSQKIKQDGIGYIWLPVPSNGYKAVGHVVTNSSEKPSLDKIRCVRSDLTVDIETDNWIWGPKSRFNVYSSRPVVRGIQASSVATGTFVAKKDGDAPSLSCLKNMKNDLSSMPNLDQIQALFKAYSPVVYFHPKEEYFPSSVSWFFQNGGLLYTKGQESKPVEIEPTGSNLPQNGSDDGAYWLDLPTDKTASEKVKKGDLADAGVYLHVKPALGGTFTDIAVWIFYPFNGAARAKIEFVTVSLGRIGEHVGDWEHVTLRISNFDGELKSVYFSQHNKGSWVSVSNLEFEKDNKPVVYASLHGHAAYPQAGLVVQGTASIGIRNDTSRGNVVMDTGSRYLVVGAEYLGASKIVEPPWLNYARKWGPKITYNINDELSRVKKLLPGMLKTKFDGVIKSLPPEVLGEQGPTGPKWKDNWSGDERV >KZM83342 pep chromosome:ASM162521v1:9:31034880:31038081:-1 gene:DCAR_030911 transcript:KZM83342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRISAAAKNEYPISGLVPGFRFHPTDEELVGFYLRSRFCGKQFEIQILKEIDVYKHEPWDLPGHSLLGGIDEWYFFSPVDMNYGNRSRTNRTTQAGFWKPTGKDCCVRHMGETIGMKKTLVFHHGGPPYGIRTNWTMHEYRLVDTELQQAGVTQKKDAFVLCRIFKKSGLGAPNGDLEDLFIEEEWQEGATLMVPGGEAEEDVGHVANVSDGRTGGNDIDQQLLIVADDIHDQGLNVGAEQRTTCLEETSVEGNKHENEINFEVLSEHFGKSLEDAANSFHVSRSTFKRICRSHGIKRWQSGKSRMGIQSSSKLRSVNNKEPSKTNYVYPGIPPLQEIAIVADTSQDINKIDVKATYNGVAIRFELPNSSGMAELEDNVIERLNLERGTFSIKYKDEEGDWVLIACDKDVQKCIEISRSLRETIIKMLVDLPVSRAP >KZM83522 pep chromosome:ASM162521v1:9:32648755:32651410:1 gene:DCAR_031091 transcript:KZM83522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTISRMNFANLAFEDSNTGTNPCVKYVDSDGTSSPAVRIIIVSNMLPIYAQKDGEGWSFNYDEDSPLWQLKDGFSPDAMVFYVGSLNADIDVNEQDEIAQRLLDDFNCVPTFLTNDLIEKFYHGFCKHHLWPIFHYMLPMCRKHGDRFDSRLWQAYKSANKIFADKVLEVANPETDYIWIHDYHLMAVPMFLRNKRHRARLGFFLHSPFPASEIYRTLPVGENLLRSLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGQIGLDYSGRTVYVKILPIGIHLGKVENILNLPSTSVKVKEIEERFKGKHVILGVDDMDLFKGISLKLLAFEELLIKYENLRESVVLVQIINPARSSGKDIEEVRRETYGAANRINQIYGSFGHQPVILIDRPVDQCEKSAYYAASECCIVNAVRDGMNLVPHIYIVCRQGSTTMDEARGIMSYSPRTSVLIISEFIGCSPSLSGAIRINPWDISSVAEAMRSAVSMDDSSRQLRHEKNYSYVQSHDVAYWARSFLQSMERACLDHYNHQCWAMGLGFTFKVAALSLGFQKLFSETIVPAYKRTTRRAIFLDFDGTLVPHSSTNKNLSREVVTALNTLCDDPKNTVFIVSGRGRSSLTEWLAPCEGLGLAAEHGYFIRWNKTSEWESTLVVDHDWKEIVERIMNLYTEATDGSTIEVKESALVWHHQDADHEFGSLQAKELSDHLKHVLANEPAEVKKGKYIVEVKPQDVSKGLVTQKIISTMVGNGEIPDFILCIGDDRSDEDMYEGTLNIVSSDMVPAAPETFFCTVEQKPSKAKYYVDDTFEVQELLQWLADVSSTQPSSENPPTFP >KZM81804 pep chromosome:ASM162521v1:9:6233427:6234483:1 gene:DCAR_029417 transcript:KZM81804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQLAKDKEMGSSTYLRLGLESCNKAVSEPPKIFSILCFVLEKSIRKNEKLLKSSKCKNMATIFHSSIAPGVSIKQYIERIFKYSNCSPSCLVVAYIYMDKFLQQVGDRLTSLNVHRLLITSIVLAAKYVEDECYSNGYYAKVGGVSKEEMNRMEMRFLVSIDFRLHVTLETFNRYCSQLEIEQSAAGK >KZM82340 pep chromosome:ASM162521v1:9:17568887:17573707:1 gene:DCAR_029909 transcript:KZM82340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVEMCEMKEPWQLSDSVAEAGRKSGTVAVEKTEEQEMTKVFPSSNIPEDVKMHASLPSSFFNKQLSETTMSLKMCEIKAPTQVSDSVADAGRKSATVAVEETEEQERTKVFASSNIPEDVKMHSSLSSSLVNEQKLETPLENRHSVGPNSVAEVHKLAVLQESSGMLPSISGSAFGDEGMSALPNGSVTSISKLLDQHCSKATPRSVLHLRPLLLIVVAKADNQVGIGDTVKECPLQADETMKKDANGSLGTKRKNRDWRGTEEVKAKTAKKKVNAEGSMQQIHANDHADECVKKNTPKASGVTVSQNKKIIANSTMQTATTSIMDIVRETDRLAETSSRSRENAAGLRVKKILRRPTEDKESSILVQKLRKEIRDAVRNKTSKELGDNHFDPKLLTAFRAAVVGQVPESKNSLPVDMKAKKSLLQKGKIRENLTKKIYGMGGRRRRAWTRDCDIEFWKYRCSKISKPEKIETLKSVLNLLRKSPERTEIKHKNESAGSSSILSRLYLADSSLFPRKEDIKPVSTLKAAAIAAQNKNVRSTENPLTSGYGNNCLETLVTEVSASQMKVPPPNIAATTSIVPTLKGEVPSHKSKSNRCTVGSSIPTIAASQSQKDPNGKHDMHTDKRKWAQQFLARKAAVAGNNALQEQDDTAVLKGQHPLLAQLPRDMRPVLAVSRHNKIPLSIRQAQLYRLTEHFLRKTNMPVNRRTAQIELAVADAVNIEKEVANRSNSKLVYVNLCSQELLHRSESTLSSKAMESISNPVPEISADRLVKSTIDCSTSLAVDEALRNAGLLSDSPPSSPHRQLEEIEKADDSKRFVHEGPDSVFEIDSEPELDIYGDFEYDLQDEDFIGASTLKGSESQAEEPKLKVVFSTIDSDRPNDTVKLDNIEKPVIAGEPDNSSNCIDSRADTTSTGSLIISGKADRSDPQSSLLDEGEELSLAECEELYGPDREPLITKFPESVCMKPYDLTATNLVPDNNKDNLSSQTLEASDEKKALARNQALTAVVGDVEYESKQSRECVIIKEKTDSRKQQDGCNSVHKKVEAYIKEHIRPLCKSGVINVEQYRWAVGKTTEKIMKYHHKDKNANFLIKEGEKVKKLAEQYVEAAQTQRG >KZM81670 pep chromosome:ASM162521v1:9:4342195:4348600:1 gene:DCAR_029283 transcript:KZM81670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLTSKLLQSLIISTLTLIFLYTTFFTINPPFQATPSPPKHSISPQSHCNLFTGKWVFDPTREPIYDSTCPFHRNSWNCLRNQRENMGRINSWKWVPEKCDLSRVDPVGFMGLMRNKRIGFVGDSLNENFLASFLCILRVGDLGAKKWKRKGAWRGAFYPKYNLTVGYHRAVLLAKYQWQPKQSSASGKDESEGTYRVDVDIPADDWVGIADFYDVLIFNTGHWWGYDKFPKQTPLVFYQAGQPILPPLGMLEGYKTVVENMAAYVQREFSRKTIKFWRLQSPRHFHGGEWNQNGSCLFDNPLEESQLDSWFDPNMNGVNKEARQFNQLIRDAIQGTDIRVLDFTHLSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILSQLIRDSLETR >KZM81808 pep chromosome:ASM162521v1:9:6257809:6258405:1 gene:DCAR_029421 transcript:KZM81808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNFLQFNILFFFVLGTSTTFVYSFSNNVTDQQALLSFRYSITDDPSGVLNSWNNSIHFCHWAGVTCSRRRQRVTALNLSSLDLGGTLSPHTGNLSLLRSISLHRNRFHGLIPNEIGRLVRLQYLNLGNNSFQGGFPANLRHCRDIRYINMDGNDLQGKLPTFSSWTKLAAFGITHNHIDGSIPPSIGNRDEASKHL >KZM83443 pep chromosome:ASM162521v1:9:31865894:31868533:1 gene:DCAR_031012 transcript:KZM83443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKYICLLLICALGVLVHGSCIESEKRALLIFIKALIDNSNYLSSWVGDDCCAWQGIGCDNITNHVTRIELQYGFLSGKILPSLLDLKYLTHLDLSSNSFDGIQIPEFFGSFKNLIYLNLNYSNFEGLVPHHLGNLSNLQYLDLSNYDYSWDLRLKMDSMSWLSKLSLLKYLDLSGVNLSSVIDWFPSLNMLSTSISVLHLSNCHLSNNVPSHLPSMNLISLVSIDFSRNDLRSSFPLWVLNNSNLAHLSLRANNFYGLIPESIGSLSSLTLLDLSENSFQGSVPQSITNLTSLSTLALAFNKLSDSISPEIGNLTELTSFFIAFNDFRGSLPETFCRLKKLESLDVGDNQLTGHIPKCIGKLSNLNELNLCDNSWEGFVTEDHFINLTKLNSLCISSHSKLISRISSEWVPLFQLEYLYMYSLKVGPKFPHWLLTQRKIQGLILSNASISDTIPIDWFLSLFSSSPNVDLSDNDIFGDQLSLISRAPNGLGALILSNNRLSGELPAFLCSQTTLRTLDLSHNKFSGELPLCLGNLTELIELDLMNNSLSGKIPSLGVLRGLQYINLHNNKFQGKLPLSFQNLTELFVLDVGKNNLSGVLPTWSAEQLPNLKYLILRSNNFYGAIPTQLCHHSTIEVLNFADNQIKGNIPACFGNFSAMVTGDITPNHLDYWGSVQMMDSMKGYEQVYTSTLQFLFSIDLSNNKISGEIPKELMDLQGLLNLNLAGNHLAGKIPEEIGKLKNLIFLDLSRNELHGPIPQSLAYLNFLSQLNLSFNDLSGRIPSGNQLQTLNDPSIYAGNEQLCGHPILKPCATDTDSHIVEDHNEVDTDLDSDDEHMWLFAGLGPGFSVGFLGFCLTLHFSKIGIHVSNLANRFLGR >KZM82717 pep chromosome:ASM162521v1:9:22944201:22945655:-1 gene:DCAR_030286 transcript:KZM82717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTVRKSEALQKCILNASTYPREHQQLKKLREATFQKYGSAEWGVPLDEGLFLSILLKAMDAKRTLEIGVFTGYSLLTTALALPHTGQIIAIDPSREAYEVGQPFIREAGVEQKINFIQSDAFVALEEMLTKGEEFDFVFVNADKTKYKKYHEQLIEIVKLGGIISYQNTLCSSSVASEEEVTDEAIVDFNNSLASDERIEISQLPIGDGLTLCMRMI >KZM83392 pep chromosome:ASM162521v1:9:31419727:31420710:1 gene:DCAR_030961 transcript:KZM83392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKQGRKEAASSSSMDLSSPDTDIRSIMRDIESFVSSKHMTWKEKKELENKKVVSLGGKPVKKQRLPLSVARVQMKKQKQREQKMLQESAILGRFGTRFNGEKRSVDKRRPEDRVLKSTAGNFRNGILDVKHMLKPAPSASRDGDGGSHGGGKVPKRKGGKKNKGKKGGGRKRH >KZM81473 pep chromosome:ASM162521v1:9:1619585:1621051:-1 gene:DCAR_029086 transcript:KZM81473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHAMNSQDLVHVLLVSFPSQGHVTPLLRLGNLLASTGLLVTFSTTENAGDFMRKANKNIDEATPVGDGMIRFEFFDDGLSGDNEERRRLDLEYKIAQLELHGKEAVTRFVEKHNKEGRPVACLINNPFIPWVTDVAHALNIPNAVLWVQSCASFSAYYHYNNKLAIFPDESDPEIDVQLPSMPLLKHDEIPSFLHPNAPFSFFGKGILGQFKNMSKTFCVLVETFQELESEVIDYMSKLCPIKPIGPLFKNPKSSNSSIQGDFVEADDCMDFLNSKEPATVVYISFGSIVSLNQEQTNEIAYGLLNSGVSFLWVLKPPTMGSASAVVLPENFLGAAGDKGKVVQWCAQKEVLEHPSVAYFVTHCGWNSTLEAISSGVPVVTFPAWGDQVTNAKYLVDVFEMGVRLSRGEQTEKNGVSRDKIEKCLREAIGPKAAEMKKNALKWKEAAKEAVADGGSSDRNLKEFVEKIRNVSKLGSASVNLVNGTCT >KZM82400 pep chromosome:ASM162521v1:9:18368099:18373593:1 gene:DCAR_029969 transcript:KZM82400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLAVGLAVGVAVATSGIAAVMVGKRVSSRRKWRKVVRVLEELEQVCSTSVERLRQVVDAMAVEMHAGLASEGGSKLKMLLTFIHNLPTGDEQGTYYALVLGGTNFRVVRVRLGEEKSSILKRDVDRKPIPEHLMTSTSEELFDFIASSLKEFVEREENVVEVSQVKGRELGFTFSFPVKQLSVSSGTLIKWTKGFSIPDMVGKDVSACLQQAISRRGLDMRVAALVNDTVGTLALGHYHDEDTVVAVIIGTGTNACYLERADAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTSYDIDLDGESPNSNDQGFEKMISGMYLGDIVRRVILRMSLESDIFGPVSSKLSEPFILRTPLMSAMHEDNSPDLREVANILSDVLGICEVPLKVRKLVVNVCDVVTRRAARLAAAGIVGILKKIGRDGSGGITSGRSRGGTSIKMRRTVVAVEGGLYTSYALFREYLNEAVAEILGDDISPYVTLKVMEDGSGIGAALLAASHSAPSVDTVQLL >KZM82407 pep chromosome:ASM162521v1:9:18474246:18474971:-1 gene:DCAR_029976 transcript:KZM82407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKRLRFLWMQYEVVLKVDEDKCNMLDLVIEFEDEGKKSGAKLDYKYPVFSYVYNMKHVKLLNDNDLMSMFDRLSKKKVIDIYVGVQDNPNPLYELVLQLREQNNAEVGKMMDNHVNDMLENENEVGDIVEDVNNVDNMVEDCKNQGNEGVDSDSDSEYIGESEFDEENGHSEESVDDEASVHSAGSEDDDKMLFDRNNNGEEIVEKYGVGGNATIIFEDDKYASDDDDRVLLEEIEEGG >KZM82495 pep chromosome:ASM162521v1:9:20150668:20154664:1 gene:DCAR_030064 transcript:KZM82495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVDLLGSFDWEQESYPQYEDFVVLPLFALFFPTVRFFLDRFVFERVGRYLIFGKGQQNIAIETDERRKKIHKFKESAWKCIYYLSAEVLALAVTYDEPWFTNTSNFWTGPGDQVWPELKTKLKLKGLYMYTGGFYTYSIFALIFWETRRSDFGVSMGHHVATAILIILSYIFRFARVGSVVLALHDASDVFLEVGKMSKYSGAEALASFAFILFVLSWVLLRLIYYPFWILWSTSYEVLRTLDKAKHRAEGPIYYYVFNTLLYCLLVLHIYWWVLMYRMLVKQIQARGQLSDDVRSGTGPPSFMVELRIILEVFIKTLVTSSFLITGGTMYIYLRAAVWKHVKDGMLGAFLVSGEGLVR >KZM81888 pep chromosome:ASM162521v1:9:7789192:7793089:-1 gene:DCAR_029501 transcript:KZM81888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMESTPEEKPKPQKNKPICPHLRVPMSLEEVMEDMRLMTGSRPKRVSDKRPKKVKQTLELLPECAPQSVSYILELLATHHCPGCHLYRAENHIQDAPFGPPFAMIQGTLEAHGTIVNKILKELSYQKNRGSVAWIGSGPEFFISLANHNEWKNEYSVFGFVLPEYMKVMEIISQLPTKPDLWNNINVSVLKKTVPIRFRRFSEDLAAQ >KZM81333 pep chromosome:ASM162521v1:9:120159:123225:1 gene:DCAR_028946 transcript:KZM81333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCDVCESAAAIIFCAADEAALCRACDEKVHMCNKLASRHVRVGLADPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRKHGRYLMLRQRVEFPGDKAGSNDELGLQPIDPGESRRESNYPFTFVTRENQQNQRAENQQNQQNHRAEHQQNHRVEDQQRRVENQQYHRTAPVPMLDNVDDGGRNMDTSLIDLNARPRNVHGQTSNNQEHGMDIQSGGDHESESVVPVRSFMRET >KZM81536 pep chromosome:ASM162521v1:9:2227788:2228950:1 gene:DCAR_029149 transcript:KZM81536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVRLTAEIAMKGDTVKFSGQRPVATWSKNWIIGRWIALVFLVFFLMVVDIHCFGLLRKYNPEDTVYGLFIMGLGNLIGLGFLGTQAQELIQDAKTVKDVPFWCYGLASSRSN >KZM82192 pep chromosome:ASM162521v1:9:13356816:13357598:1 gene:DCAR_029761 transcript:KZM82192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRMLLMTKEVSRLVNALKEAKDEVFATKEEEARLKNTLEEAESEMHYLKEVTGEAKAESMKLQESLTDKENELRSVIQENNELQNTESASLLKVKELSKLNSSWLSI >KZM83057 pep chromosome:ASM162521v1:9:28403799:28407362:-1 gene:DCAR_030626 transcript:KZM83057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSVFLVLGWLLLKNINGPHEKHFEELLRYLEFGLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAMKCGRVDIKSAPYDTIQLKRAPSWLGKDCPDFGPPVFTSSHGSKVPLSSILPKVQVEAILWGFGTALGELPPYFISRAASLSGEKMNLEELDTSLSKDEGRIATLLNKFKRWFLSHAQYLNFFTILLLASVPNPLFDLAGIMCGQFGIPFWEFFLATMIGKAIVKTHIQTVFIIIVCNNQLLNWIENELIWVLSHIPGFDSILPDLVTKLHAMKEMYMKPPAPATTNSKVNSWDLSFALIWNAVVWMMLINFLVKIVTSTAQRYLKKQQEEELATSNNTADH >KZM82014 pep chromosome:ASM162521v1:9:9926618:9927607:-1 gene:DCAR_029627 transcript:KZM82014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLFLILLFSGVISSEPCHPDDLYSILAFKNSFSNGDILHSWLSDMDCCSIFDCKSNRVIDFTITNSELSGSIKPDAFAGFTFLQRLRLHKLPNLVGQIPRSIENLTHLTYLEINWTNVTGHVPDNLSKLKDLMILDFSFNKLYGSVPPSLPLLPSIFAISLDRNQLTGSLPESYGLFPTTLNSPVLILSHNKLSGELPLSLGTTKFQRIDLSRNSFSGDASMLFGEDKSCQLLDISRNSFEFDFSKVGFMNEALVTLDISHNKIYGKIPSKITEAFMLQQLNMSYNRLCGEIPQGWKLKYRQEGFDNSSFSHNRCLCGAPLEPCKP >KZM83427 pep chromosome:ASM162521v1:9:31710712:31715746:1 gene:DCAR_030996 transcript:KZM83427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSESVQLRCDKLPGQVIPKTRLQVWFIRICSSIVIWTCLVQIVTVGELWHPHLLSGLLNHSDGSSKVFKEKKAVQLLPPLPPARNYTSNGFLKVSCNGGLNQMRAAICDMVTVARMLNLTLVVPELDKTSFWADPSDFEDIFDVRHFIDSLRDEIRIIKRLPKRFTRKYGYQPLEMPPVSWSSEKYYMEQILPLFGKHKVIHFNRTDTRLANNMIPLDLQKLRYAFPWWREKDIVSEERRMQGLCPLTPEETDLVLRALDFDKDIQIYIASGEIYGSERRLAALRASFPRIVKKEKLLDPDDLRQFQNHSSQMAALDFIVSVASSVFIPTYDGNMAKLVEGHRRYLGFRKTVQLDRRKLVELIDLHHNGSLSWDQFSFSLRSTHEKRMGQPAFRKVDIDKPKEEDYFYANPYECFCDRPSCDDLLVAGNRTEVLQ >KZM82823 pep chromosome:ASM162521v1:9:24903150:24906560:1 gene:DCAR_030392 transcript:KZM82823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIMRCCSLQSLHKLPPHLESLTVRDCTSLQDFPDLPELRDLKHLDIYCNGSNLEVSLEENHLQICFRTWPSPLKTLHSRVPTSILISVSLRLYNERHVHLCLAAPPPPVHRVRHSPSFLIRAILHLLPLPPEATNSYLYMVTSVLIFTGKVYDREVRSGALRQPLILLPGQEFTFTIRRGVGTTDCVSVNYDDFANDVDAGDMLLVDGFKSHDPNSTFVTILWYDALVVKCKDEDLVKCEVVDGSELKSRRHLNVRGESATLQSITEKDWDNIKFGMDNKIDFYAVSFVKDAEVAMVARGDLGVELPGDEVPSLQYGKSFYSGNKYVRNHDCSSTPKRAEVLIDIAIAVKEGSDAVIPSGETAHGKVSHFV >KZM83300 pep chromosome:ASM162521v1:9:30609086:30610109:-1 gene:DCAR_030869 transcript:KZM83300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPVSYLPESQFPTGSPGLQTENQDPDFWHADGWEEVAGVVAVAAVDTSLEVNPPKSSSSRNWKNDSRSLSEFMISSNPGAN >KZM81436 pep chromosome:ASM162521v1:9:1186289:1186699:1 gene:DCAR_029049 transcript:KZM81436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKCFCGKWLAAKTAWTDANAGRRFISCERCRFFRWLNEPLCERSRAIIPGLLRRINKLEAQQRPEACKRIGFVDDTIGGHMVCSEEGGRLELSDRSGMEVQKEKCSCNISLTFLIVTWIAIILYSFCKMQEGED >KZM83062 pep chromosome:ASM162521v1:9:28442264:28443289:-1 gene:DCAR_030631 transcript:KZM83062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTEYPPVHASLSRKPSSLIIPVDSPDANELHDRQQEVANAWRRRNFSSLLRFVPGRVIHPHAKSVQNWNKFFIFLCLFTILLDSLFVFLLYANQVNKCIGVNRTLTKVFITLRSQTGIIYLLYMLHNFRLAYFAPKSQVLVAHPKRVALKYLSGHFLVDFFVVLPLFQVYMWLILDRLHLSFRAHGAAMVLAVAIFFQYMAMLYRVLHAYRFTSFIFQSWWSLCVTNLLGFVFCSHLIGTGWYFLALMRVKKCLRNACGAFWCYKYIYRGQGNDNQRFRYDPISWKRWENNNNASACFGAGYFNYGIYSQAVNLTTESSGPMRYVYSLFWGFQQISTLA >KZM82369 pep chromosome:ASM162521v1:9:18007109:18010838:1 gene:DCAR_029938 transcript:KZM82369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPGFQLVMALFTTYNIVASASKSIVNELPGFPAPLPFNLETGYVKVDGLNDVNLFYYFIESENDPSKDPLVLWLSGGPGCSGFSGLVYEIGPLQYDFSSFDGGLPSLTLNPYSWTKIANMLFLDAPAGTGFSYATSEPYNSDSKTAQDTWLLDHPRFSRNPLYVAGDSYAGKLVPLVVHEIAKGVLIYLGYILGNPLVNYQTAVNSKFIFVHRMGLISDEYYELARKNCNGEFFYVDPDNVPCLNAIQRIKECISKLDPEHILEVNCGGDQPESDEVQSDYRFNGDVLFPSWHDKPWCRKYSYLLSYAWANNPHVQEALHVRNGTISKWKRCYRNIPYVKDVTDVLEYHYVLTKEKYRVLAYSGDHDMVIPYIGTIGWIRSLNLTMDENWRPWFVDDEIAGYTEKYKQHGYNITFATVKASTFCNEPGKKKRLEDDSISKFNLYLLPLLN >KZM83483 pep chromosome:ASM162521v1:9:32370152:32372851:-1 gene:DCAR_031052 transcript:KZM83483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQNYISFLLLVCAFGVLANSEFGTGSVAGGNLPRSCVESEKQALLLFKNSLVDDSSSLSSWVGDDCCAWEGIGCDNITNQVTQLEVRNVFLTSKKIHPSLLDLKYLTHLELSISSSQGIQIPEFFGSFKDLIYLNLSNSNFEGWVPQHLGNLSKLQYLDLQTNEDSYQELRMDSIQWLSKLSLLHHLDLSGVNLSSAIDWFSSINMLSKSISVLHLSGCALPSNIPRHLSFINLTSLVSLDLSANRFHASFPSWVLNNTNLEHLFLGSNYFHGLLPESIGSLSALSVLDLADNKFQGTIPLSIANLTSLSQLDLSVTRLSNPFPPNMGNLTELRYLGIAMMGLRGSLPETFCQLKKLEHLDAGGNRLTGQIPECIGNLSNLNDLSLTENSWEGFVSEYHLINLSRLLSFTISSDSNLISNISSEWVPPFQLQVVDMHSLKMGPKFPQWLLTQRNIQYLLLANASISDTIPVSWFSSLFSSLEYVDLGDNDIHGDQLSLISGASNVLTSVDLSNNRLSGKFPAFLCNLTALAYLELSNNNFTGELPRCLGNLTQLNYLVLMNNSLSGNIHFLGSLGSLTYLNLYNNKFSGKLPRTFQNFSQLIAIDLGKNNLSDVLPTWTAEQSQIKYLILRSNNFYGEIPTQLCQHKSLEVLNLADNQITGNIPSCFGNFSAMVRGLITYNFLNYSTRGAKEFEIVDETKGYEQDYTSTLKYLVSIDLSMNNISGEIPKELMDLHGLLNLNLAGNHLSGKIPNEIGKMENLIFLDLSRNELHGPIPHSLSYLNFLSQMNLSFNDLSGRIPSGYQLQTLDDPSIYAGNKQLCGPPILKPCAADTASHSVNDHNEADSDLDSDDEHMWIFAGLGPGFAVGFLGFCFTLHFSNISIHVSILANRILRRYR >KZM82278 pep chromosome:ASM162521v1:9:15508174:15509309:-1 gene:DCAR_029838 transcript:KZM82278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDWFACRVEPVAGSGMNKETRRRKPGGWLFLWGKWVRIGTKEWEDCHTSVSRIGGIRNNRRPLENEKPKDYANRCAVQWHRVSIYREKLGDVAIKHVTPGSILYLEGNLETKVFSDPITGLVRRIREFAIRQTSMVFSNLSLIL >KZM81864 pep chromosome:ASM162521v1:9:7083751:7085546:-1 gene:DCAR_029477 transcript:KZM81864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAIGIGYAHSLPSAAALNWVLKDGLGRLSRCIYTACLASVFDTNLKVYLEHLLVIYVSMPSAGSALCQRLAIEEIVGMLGLDLNKFAPSCLRSSHPNTPERHQCSQKQEGSASWITQEIMESRSKTNDSTHYSLARGKNLLSAS >KZM81898 pep chromosome:ASM162521v1:9:8132995:8133349:1 gene:DCAR_029511 transcript:KZM81898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTAHFTKILERERKYQEPEEVEIAEDLNYFLIWVPLGRRDSRGASLSGSNNNIPAPNNTLQTILTKSKLQGLDIVDLEALS >KZM82129 pep chromosome:ASM162521v1:9:11848144:11848320:-1 gene:DCAR_031836 transcript:KZM82129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSFRVNSLQARLNLAIHCVSKSDVRHVYAEVTTEDFEVQERGVQGERRESVRSEKN >KZM82867 pep chromosome:ASM162521v1:9:25381698:25382198:1 gene:DCAR_030436 transcript:KZM82867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSYTIKCLTLLLAASLITISSADQALIKSICSKARNQALCYNIFKNSGNVDRGGLGQISTNVAFGKAQTTLNLVKSLAAKETNPKIKGQYKTCLEVYGDASDNLNECKPAFAKNEFRLANLKASAAYTDVDTCSDDGKNIAPQLKAANQENQDYIDVVMAVSYI >KZM82730 pep chromosome:ASM162521v1:9:23124372:23125130:1 gene:DCAR_030299 transcript:KZM82730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQHPYNTFESEDDSEVSSQVASNVSIYDKSPGSNHSTPTSSCLTNPMQLQNPIFNTLDLTLGFNHSNVEATNDTNMHDHNVEESAPNMPPGTMPRVFSCNYCRRKFYSSQALGGHQNAHKRERTLAKRAMRMGILSDRYASLASLPLHGSAYRSLGIEAHASMHHGVVPPVRPPFHGAKFDQGFCGLPVFVEEDESEMFWPGSFRQIEQRSNAHVLSGYELGQNSNFVTMAPQPAPPQPEFSQPDLTLKL >KZM83512 pep chromosome:ASM162521v1:9:32554139:32555251:1 gene:DCAR_031081 transcript:KZM83512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNILNLLSLFILTLSTLPSSSLSLPSLPSLPHFFPNISSIPHSNLSAAWDSFHALAGCHSGDQKPGLAKLKQYFHSFGYLTISNFSDNFDDSLEAAIKTYQLNFNLNSTGELDDSTLTQILQPRCGVADIVNGTTTMKSNSNVTKRRNLGVVKHYSFFSGSPRWGGKKSELTFAFLPENRLDESVKAVFATAFDRWAEVTPLTFRETASYSQADIKIGFYSRDHGDGEPFDGVLGTLGHAFSPPSGHLHLDGDENWVINGEILRSPAEDAVDLESVVVHEIGHLLGLGHSSVESAIMFPSISSGVRRVELAGDDIEGVQALYGSGPGYSGSPNPTLEESDTNGAGIIARSFFMGSLSLSFGILLMNVL >KZM81980 pep chromosome:ASM162521v1:9:9505631:9513709:1 gene:DCAR_029593 transcript:KZM81980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFRSVSLLNKLRSRAVQQSNLSNTVRWFQVQTSASDLDLRSQLKELIPEQQERIKKLKAEHGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPEEGIRFRGLSIPECQKLLPGAKPGGEPLPEGLLWLLLTGKVPTKEQVDALSAELRSRAAVPEHVYKTIDALPVTAHPMTQFATGVMALQVQSEFQKAYEKGIHKTKYWEPTYEDSITLIAQLPVVAAYIYRRMYKNGQSISTDDSLDYGANFAHMLGYDSPSMQELMRLYVTIHTDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVSECGENVTKEQLKDYIWKTLNSGKVVPGYGHGVLRNTDPRYICQREFALKHLPDDPLFQLVSNLFEVVPPILTELGKASSPFVSVNNNGLRVDHIILLEQYLIDSYQMNAMFLALSFSHDLIRTEVKNPWPNVDAHSGVLLNHYGLTEARYYTVLFGVSRAIGICSQLVWDRALGLPLERPKSVTMEWLENHCKKSS >KZM82687 pep chromosome:ASM162521v1:9:22519949:22529311:1 gene:DCAR_030256 transcript:KZM82687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHADHQLVIKTNHVTWLLTQIQHENVLHASSTNPKKAETAASKMLSLHKEGTSSDHSSPESILLEYISSCQTLQLLSQYTALRGVINAEHIHKAEQQKIEWLRQASEGESMIDYLNMDDRSTGMFWALSYVSEPHACDTVISWLKLGGTELLSASHLQSSDRITMMREVVPVPVSLLSGLSLHMRVILASQLEETMFSGKVVASIALVETYARLFLIAPHSLFRTHFSHLTIRYPSMLREPGPSLLLLELLNYRFLSLYRYVGKNKTLMYDTTKIIACLKRKRGDHRTFRLAENLCINLLLSLKEFFAAKKDQEGPTDITETLNRITIMSLAIAIKTRGVTDADHLLHLPPMIEQILATSQHTWSEKTLRHFPSLLRNNLIGRTDKRGIAIQAWKQAEKTVINQCTQLLPPDADPSYVETYISHSFPQHRQYLCAGAWILMHDHPEDINSANLALVLREISPEEVTANIYNLVDVIFHHLQMELQRQQPLQVYPGHYTAIPFYFAQLFSLDILLLALIDRDDDPHALSIVVSLLESPVLQEKIKVYIDNCGGPEHWLFSGTFKRGELQKALGNHSSWKERFPPFFDDIAARLLPVIPLIIYRLIENEAMDAADRVLQLYSTFVQYYPLHFTFVRDILSYFYDYLPRKLILRILNVLNFKKIPFSKCLLLHVNASNAVTSPPLEYFTVLLLGIVNNVIPPLNNLIYGPGGDVRVPDNNIPMSSQAGPSFAFKGRKAFYQIPDPGTYAQLILETAVIEILSLPGPASYIISTLVQIVVYKPPTLIQTSNCLHGISPSNLLSQSGYTSQQLPCMLIQACGLLLAQLPQEFHAQLYTKAAQVIKDSWWFVDGAASTGFDVSYALQDPTQASNDNTCTPVGNVVSLLHSFFSNLPQEWLEGTNLIIEHLRPVKSIAVLRIVFRIMSPLLPRVANSRSLFTKMLLMLLNVLVDVFGKDSQPSSPVEAADIIDIIDFLHHIILYEGQGGPVEATSKPRAETLAMFEKMLEKLRPDVQHLLSHLKTDVNSSIYAATHPELVQNAM >KZM81567 pep chromosome:ASM162521v1:9:2720047:2722370:1 gene:DCAR_029180 transcript:KZM81567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDRQQPPFLSGSLQGFDSFGSGTMPNDTWNSAYVCDAMKSTTDVVGREKSSFGSTVIEKPKGFRNNIITNNKFDSRPSNFQLNTNSQRYAASPNISKSHVHTQRLKSSNQLSSGYRSAGQFQPHGKFSSYTAHNQRSYCARDAGLNDRLNARMWNGSDGFNEKEKSNINGEIEATTELTRGPRGQGNKDVSTLVEDKRIGVRRDQYNLEDFQTNYDNAKFYVIKSYSEDDIHKCIKYNVWSSTPNGNRKLNAAFHDTQAKTSDSGMECPIFLFYSVNGSGQFVGLAEMTGQMDFAKNMNFWQRDKWNGFFPVKWHIVKDIPNTQLRHIVIESNDNKPVTHSRDTQEIGLQQGLKMLKIFKSYSGVTSMLDDFNFYENREQSLKAKRNQMRAPQTEIHTHHEYPKHQRARERTNRGRFTHNSFPDAASSIIRQTRKLSINH >KZM82469 pep chromosome:ASM162521v1:9:19847949:19850207:1 gene:DCAR_030038 transcript:KZM82469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLGAFMFLLSMAAQSNGASYCICKDGLSDAVLQKNIDYACGAGADCSAISQGGSCFNPNTVKDHCNYAVNSYYQKKGQATGTCDFSGTAIPSASPPALATTGCVFPSSGSGGTPTTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPGTGTPTGTGTGTPGIGNPGTGTPSTTVPGTSPFPGLAPTGVISNPDGSGSASPHQCAHSSYSLTLTLMFSVLFCFKL >KZM82482 pep chromosome:ASM162521v1:9:19965537:19966496:-1 gene:DCAR_030051 transcript:KZM82482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAAGLPRIHDKGNQKSFHQRHNSGELDVFEAAGYFSGSNDITGASTTPQPKMMRPSGRMSLDIPVMSSKRSSIPAPTYQHKVSKDEKKMRQPNSPGGKLASFLNSLFNQTSSKTKKSKVSSSKSMKDDQDHEMGRRKRRSSISHFRGGKTTSSNCNSSDSKASSFYSSSSNSEKRPPACAKISSRSEHKPEVMVNSLSKIKSSSSHRKVHTDENTENSWLDEEKFRFRTPSENSSEKYYNTSVKGVSADKINHSKDSANFINFINNEDDGAESDSSSDLFELQNYDLISCYSSDLPVYETTDIDSIKRGAPIVSGAK >KZM82268 pep chromosome:ASM162521v1:9:15162326:15163802:-1 gene:DCAR_029848 transcript:KZM82268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKFTEAIEKVEEYPTIIIISSAKVTSWQPPKTNAKQYELANVTATVFYLNYDDESVAALRNMWEISILAEDETGQIDIVLLDREIRSIFNFTVRDFDDEVLQSERVPTILKALEKQIFTIKLQIKEANILRQLKSYYATGVSVSQSAVSNITEETLTPSTSIANTLTQESGPSCHLEDFYDPNIRSPEVDKRPQWKKKLTKKLLYWNTHEITTSSSLMHLKLCGF >KZM82649 pep chromosome:ASM162521v1:9:22205529:22208715:1 gene:DCAR_030218 transcript:KZM82649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLTSSLVRVPVSPSSHSVYSGVKVKCIAVAKTTQDLPIQVRSKEEKKDQSRKTLTTVSRRALATQLAIASLVATTTFLPESAEAQILNPEIKRKIFEKLKLLREKVGLSKRDTENGEKTSSPAAAAEKEKPLPRLPLSPLPVQTAEAL >KZM82215 pep chromosome:ASM162521v1:9:13886681:13896182:-1 gene:DCAR_029901 transcript:KZM82215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPDLGDMLMDNGSLIAEQERQIIHDLTLKSQSNKPGNLFFLISNRWFTEWKKYAGFGAYPYDEVLPEPHISISNAADRPGPIDNCNIVANGSNCEGEDLQLLDNLEEQRDYVVVSQDVWEKLFDWYKGGPVIPRKMISVGVRQELVVEVYPLCLKLIDAGDKSESTIRISKKASLHELYERVGSLKETDPGKVRIWDYFNNLKHTLLEDSTKTLEESNLQMNQCILLERQVDGILPTGFGMDSTGNELALIPIEPLRSSLTIAGGPTLSNGNSTGYSSSLHQGSSLSSVLTDMEDTSNNIKPVLKGNGGGLAGLQNLGNTCFMNSSLQCLVHTPPLVKYFLEDYTDEINKKNPLGMHGELAIAFGELLRKIWSSGRTSIAPREFKGKLGRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIELKDCDGRPDEEVADEYWRYHKARNDSIIVDVCQGQYKSTLVCPDCKKISIAFDPFMYLSLPLPSTATRTMTVSVFYGDGSGLPMPYSVTVLKNGACKDLKLAVSSACCLKDDEDLLLAEVYEHTIYRYLEVPLESLSSIKDNEHIVAYRHPKTSSDATKLEICHRYLDKCSPDNLKVGEWKLFLAPLVSYVKGIESGADIDLAVNRLLSPLRRKAFASSTKDSQEKVGSTSGDEDHQSMKEVDMEDISSKELSFRLCLTDDRGLSCRPIAKDSPIKPGRSVKVMLDWTEKEHEFYDASYLKDLPEVHKTGPAVKKTRPEAISLFSCLDAFLKEEPLGPDDMWYCPRCKKHRQATKKLDLWRLPEILVFHLKRFSYSRYLKNKLDTFVNFPVHGLDLGKYMKTKDASGQSYVYELYAVSNHYGGLGGGHYSAYSKLIDDDRWYHFDDSHVSPVSEADIRTSAAYVLFYRRVKVESAGVGEPSRSHGIS >KZM82598 pep chromosome:ASM162521v1:9:21674411:21677826:1 gene:DCAR_030167 transcript:KZM82598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTASHRSFVFKLKECNGEKGSHLFISAALSTFHPSPSFSRSIDHVRRISEGRTPHSDITNIVQSAAKQASSSLRAKNEVCNFIFKHQESHPFLRALRLCRFAKLMSEVLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLIHTYIRAAPSVAESGAPPAVEAEASTSAESGVPPRAETGEESSALAIESITLPTSEK >KZM82300 pep chromosome:ASM162521v1:9:16987451:16987930:1 gene:DCAR_029798 transcript:KZM82300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSPTPITHSGSNSFSGHSVKKEEHRTCFCGRRARICTSWTLKNPGRRFYKCEASKGIEGCHFFEWFEEDFSHKTLEVITHLNQRRIFLEEKMELVEGNLAEVIEKKKVLKEEKKLLFGEISRVEAEKNKLKK >KZM82929 pep chromosome:ASM162521v1:9:25977608:25977916:-1 gene:DCAR_030498 transcript:KZM82929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKSNLMKAAHEGFAMLDEYFERKRGKARSQPLQKQHEQFMNMNQQLPQKPHVYQVLVPAQEAKAATATIDCYQAAKLFNGTVIVDYPITKKLPEARRYG >KZM83423 pep chromosome:ASM162521v1:9:31668114:31669385:1 gene:DCAR_030992 transcript:KZM83423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSYLPATTESLIQATEAKDAQESISILYRILEDPSSTSEALRIKEQAVSSLSDFLREQSRAEELRNLLTQLRSFFAKIPKAKTAKIVRGIVDSVAKIPGTSDLQVSLCKEIVEWTRAEKRTFLRQRIEARLAALLMENKEYAEALSLLSGLIKEVRRLDDKLLLVEIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTGYSYFFEAFEAFNALEDPQAVYSLKYMLLCKIMVNQADDVAGIISSQKVGLHYQGPELDAMKAVADAHSKRSLKLFESALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEITHIAELIELPEDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKSDAIYPATLETISNIGKVVDSLFTRSAKIMG >KZM82821 pep chromosome:ASM162521v1:9:24874728:24877863:1 gene:DCAR_030390 transcript:KZM82821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTRDEDSIENGHNQEAVAGHEREEETLHRRKLMGDSKDEVEDEVKEIQSIEMIFKDTKVPSWQSQLTVRAFVVSFVLGILFTFIVMKLNLTSGIIPSLNVSAGLLGFFFVKTWTKFLDKSGLLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSEIIANQSTTANDSQNIKNPSLSWIIGFLFIVSFLGLFSVLPLRKIMVIDYKLIYPSGTATAHLINSFHTPQGAKLAKKQVKALGKFFSFSFLWGFFQWFFTSGDGCGFASFPTFGLKAYENRFYFDFSATYVGVGMICPYIINISLLVGSILSWGIMWPLIENRKGDWYSKDLSPSSLHGIQGYRVFISISLILGDGIYNFFKVLSRTIVGLSHQLRNKDPNSILPLADKTSAEAKAASFDDQCRTEMFLRDQIPSWLAVSGYVIIAAISTAVLPQIFKQLEWYYVIVIYIFAPALAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGKDNGGVLAGLAACGVMMNIVATASDLMQDFKTGYMTLASPRSMFVSQIIGTAMGCVISPCVFWLFYKAFDDLGIPGSEYPAPFALVYRNMAILGVEGFSSLPKNCLTLCYIFFAGAIVINMIKDVTPNKYAKYIPLPMAMAIPFYLGSYFAIDMCVGSLILFVWEKINKAKADAFGPAVASGLICGDGIWTLPSSILALAGVKPPICMKFLSKNTNNKVDAFLSS >KZM82605 pep chromosome:ASM162521v1:9:21786927:21791684:-1 gene:DCAR_030174 transcript:KZM82605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGGLKLNIGIIHPDLGIGGAERLIVDAAVELASHGHNVHIFTAHHDKNRCFEETLSGSFPVTVYGSFLPRHIFQHFHALCAYLRCIFVALCMLFMWKSFDVVLVDQVSVVIPLMKLKRSSKVVFYCHFPDLLLAQHTTSLRRVYRKPIDFVEEVTTGMADLILVNSKFTATTFAKTFKNLDSQAVRPAVLYPAVNVEQFNKPNAFKLNFLSINRFERKKNIDLAVSAFSILCKDERNLLQGRNLADATLTIVGGFDRRLKENVEYLEELKVLADREGVSDRVKFITSCSTSERNVFLSDCLCVLYTPKDEHFGIVPLEAMAAQKPVIACNSGGPVETIKDGITGFLCDPNPGAFSFAMGKFITEAQLAESMGKEARRHVEESFSTKIFGQNLNGYLVDIVREKID >KZM83041 pep chromosome:ASM162521v1:9:28231697:28232611:-1 gene:DCAR_030610 transcript:KZM83041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIARGSKMRSLIVSLLVVLVSLNLASQTTAKYSYSSPPPPEHSPPPPEHSPPPPYHYKSPPPPKHSPPPPTPVYKYKSPPPPMHSPPTPYHFESPPPPKHSPPPPTPVYKYKSPPPPKHSPAPVHHYKYKSPPPPTPVYKYKSPPPPKHSPAPEHHYKYKSPPPPKHSPAPEHHYKYKSPPPPTPVYKYKSPPPPTPVYKYKSPPPPKHSPAPVHHYKYKSPPPPTPVYKSPPPPEHSPPPPTPVYKYKSPPPPMHSPPPPTPVYKYKSPPPPMHSPPPPVYSPPPPKHHYSYTSPPPPHHY >KZM82957 pep chromosome:ASM162521v1:9:26333457:26335819:1 gene:DCAR_030526 transcript:KZM82957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFKAFFSTFLVLIISLFSTANAASHPGLNLFRNCKFDKIFQFGDSLSDTGNLLHERPFDMSGKLPYGRSYHNRPTGRYSNGLIVIDYIASAAGLPLLNPYEGRRAHFRHGINFAVAGSTALPVRTLASKNIHGAPTNSSLDVQLRRMSDYLSSYCKTGSDCRDKLKNSLFMMGEVGGNDYNYALFGGKSIEEVKNLVPEVVQVIMEATRQIIKLGARKIVIPGNLPIGCVPSYLTMFQGNSTFDENHCLREYNEFSIYHNRQLRGAIEKLKKENPAVTIVYGNLYHALQRVFSRATYLGFDGNSLQKACCGSGGDYNFSFTRFCGFPGAAVCSNPNKRISWDGVHLTQQANRYLATWLISNMAQMLKCPAS >KZM82436 pep chromosome:ASM162521v1:9:19143256:19147396:-1 gene:DCAR_030005 transcript:KZM82436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVLFGEDGWESRWVKSDWKRSEGKAGNFKHTAGKWSADPDDKGIQTSSDAKHFAISAKIPEFSNRNRTLVLQYSFKLEQDIECGGGYIKLLSGYVNQKKFGGDTPYSLMFGPDICGTQKKKLHVILSYQGQNYPIKKELECETDKLTHFYTFILRPDASYSILIDGRERDSGSMYTDWDILPPRKIKAVNAKRPADWDDKEYIDDPNHVKPEGYDSIPREIPDPKAKKPDSWDEDEDGIWKPPRVPNPAYKGPWKNKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVFDNVLICDEPEYAKQVVQEVFANREIEKEAFEEAQKEMKAREEEEARRAREEGGRRKKARGYDRRDRYKDRRNRHRYDDMDDYHVSILPPVDNILSHPVSHIDTKRIGYKDVA >KZM81375 pep chromosome:ASM162521v1:9:515275:520205:1 gene:DCAR_028988 transcript:KZM81375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESLIKRLESAVTRLESLSDGLRSGASAATGGDAASDPSVVAFEDLMSEYVGRILKAAETIGGEVFDVTRVLEEGFSVQKDLIIQMKQSQKPDMAGLCAFLGPLNQVITKANEMTGGKRSDFFNHLKTVAESMSALAWIAYTGKDCGMSMPIAHVEESWQSAEFYNNKIIKVVMWLVASVLPQSLLLLPLMAKVTILLCKVLVEYRNKDPNHVEWAKAMKELFTPGLRDYVKAHYALGPVWSATGKTIQSAPKSSPAPPPAPSASLANSGTSKPSSSNSAGMSAVFNDISSGNVTAGLRKVTNDMKTKNRADRGGSVTTTEKESRPKSFSSAKTGPPKLELQMGRKWVVENQTGVKDLAIDDCDAKQTVYIFNCKDSVLQIKGKANNITIDKCNKMGVVFEDVVAACEIVNCNRLEVQCQGTAPTISIDNTTGCQVYLSKDSLGSSITTAKSSEVNMLVPGASPEDDMVEHPLPQQYMHVFQDGQFVTSPVSHSGA >KZM82766 pep chromosome:ASM162521v1:9:23753656:23756799:1 gene:DCAR_030335 transcript:KZM82766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLKNDDEDDCLEMLEAIKSVEQILNYKFKDQKLLEKALTHPSYTKSESYERLEIVGDAALGLAIINFAYLAYPHHDPGVLSIIRSANISTEKLARVAISSGLYKYVRHNSPSLDHKVKKFTELVQQEEDITIYGGEMKAPKVLADIVESVIGAVYEDLCFDLKATWLVVGGLLEPIITPQMLQKKPQPVSQLFELCQKNGQQVDIKQTRENDKTTAKVVFLKMVRSELPNEKQFSLKKKWAKPTYRLVREEGPNHAKTYVCSVSLKVGDYLFCQEGEVKSRRKDAEGSAALAMLLGLHDKDLL >KZM83296 pep chromosome:ASM162521v1:9:30543845:30549572:-1 gene:DCAR_030865 transcript:KZM83296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDTPDHDQKSPLLPLHDQPISPKSLLSLQNFYILLGPLLAGLICLTVKLDAPSSRNMLAVLAWMFAWWMTEAVPMPITSMSPLFLFPVFGILTADEVAHAYMDDVIALMLGSFILALAVEHYNIHRRMALTITVLFSGEPLNPQILLLGICGTTAFISMWMHNTAVALMMMPVATGILQRFPTGPRSDVVTTKFCKAVVLGVIYSATIGGISTLTGTGVNLILVGMWKSYFPEADPITFSTYILFGFPLALFIFFAMWIILCLWFVPKGSGPTLSTYLDKSHLKRELDMLGPMAFAEKMILTIFSILIFLWMTRSITDDIPGWGALFNGRAGDGTVSVMMATLLFIIPNKKQPGEKLMDWNKCKKLPWGIVLLLGAGLALAEGVQTSGLTNVLSKALDFLEQVPYLAMAPAVCLICATLTEFITSNNATTTLVLPLLIEIAKTMHVHPLLLMLPGSIGAQLAFLLPTGTPSNVIGFTTGHIDLKDMLKTGIPLKFAGIVVLSVVMPTLASIGALENLVPEFLMYLMYKVHVYIGGARTYIVRGHSKNFHPNTRARKFKVSFSYHIMQITVLFSGEPLNPQILLLGICGTTAFISMWMHNTAVALMMMPVATGILQRFPTGPRSDVVTTKFCKAVVLGVIYSATIGGISTLTGTGVNLILVGMWKSYFPEADPITFSTYILFGFPLALFIFFAMWIILCLWFVPKGSGPTLSTYLDKSHLKRELDMLGPMAFAEKMILTIFSILIFLWMTRSITDDIPGWGALFNGRAGDGTVSVMMATLLFIIPNKKQPGEKLMDWNKCKKLPWGIVLLLGAGLALAEGVQTSGLTNVLSKALDFLEQVPYLAMAPAVCLICATLTEFITSNNATTTLVLPLLIEIAKTMHVHPLLLMLPGSIGAQLAFLLPTGTPSNVIGFTTGHIDLKDMLKTGIPLKFAGIVVLSVVMPTLGM >KZM83458 pep chromosome:ASM162521v1:9:32064195:32065310:1 gene:DCAR_031027 transcript:KZM83458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGENNMRRPNFVPLQLLEKRDDEACSSSGFGFSGAETKLSPPVTTEISKKPPPKRASTKDRHTKVEGRGRRIRMPATCAARVFQLTKELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANFTSLNISLRSSGSTMSAPSLRSSYLHSSLANSSRIRSDWERSIEESQRRIFGLASASENNSYSVGQPFNFGNSYMSLNSLLNAKQELRDTCLESEDGLTGRKRRSDDEDLSLENQMGPGNYMLQSSSGSIPASSQGHTPSATFYMVTNPSTQLVNGGVDPMWTFQNAMNSNNNTSSTNMYRGANSSVTNSGLHFMNLHTPMALLPSQQLGSGGGGVAMPDGHLGMLAALNSFRPISESSHEASGSDRHD >KZM82602 pep chromosome:ASM162521v1:9:21725768:21726289:1 gene:DCAR_030171 transcript:KZM82602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKYMNPILRKVPDFLKISVFLAKTVKKPILPRLIAFKNSRKHKKVSLLQHYGYGYVKEYQFSPSRSPLMSYYKRKQYKKRSYKEMCSVLYVYNCLGKFEEDHRADEICDYSMDLNLRALPEKEAMEYAESGGEEEEEEDSVDERAERFIERFYEEIRLQKKEPFLQLGGIN >KZM83628 pep chromosome:ASM162521v1:9:33495597:33496341:1 gene:DCAR_031197 transcript:KZM83628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKDGEDFYVDIGYSESGKNVAREDMSSKDMLDTGNATEALTRVDLDLAYSSEKSVDLDTLMMHVWAWEKEFEGLATDDISIDCIEKALAYDLFSGILESEENALEMKMQLTKLQMTSLAFNPTYWKYNSITGLPDDSQGSLMRPLLQTAEQRHVLRMLEKSLA >KZM83198 pep chromosome:ASM162521v1:9:29541320:29545925:-1 gene:DCAR_030767 transcript:KZM83198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQDDEGVPSTAIREISLLKEMQHDNIVRLMDVVHSEKRLYLVFEYLDLDLKKHMDTCPEFAKDPRIIKMFLYQMLRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRVFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNLRPLFPGDSEIDELYRIFRIMGTPNENTWPGVASLPDFKTSFPKWPATELSTVVPNLDSDGIDLLSKMLCLDPSKRPSARSALKHEYFSDIALVP >KZM82987 pep chromosome:ASM162521v1:9:26964598:26964900:-1 gene:DCAR_030556 transcript:KZM82987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSIAAGYGIGNVIDSGYQRWFHDPNNKNFTPLGLSKDRMATSSRKESPCWSPRGSLDDNRRDQESPQSGMLIDLNSHQQPANFQNSVFTTDSTLPDDI >KZM81725 pep chromosome:ASM162521v1:9:4934550:4936146:1 gene:DCAR_029338 transcript:KZM81725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNYQASGQVSNMEHKGDNLAIVPYEPSQQPIQYLYGAVLSPEPISYAFPTAQCCEKFQVVPTLSFRRSFPAAMKSEKLSEDFFTLTLPKDCIDHLSSKETAVKENIDLISSSRHKEWLTIQDRLKDWRCEKRKRNTGNQVDKYFYHHNMKLRSIKELRFYVKYGFMPCRSQGKRTIEILQEGPSINKRVSYLDGKEDYIITKFNIDTDIASKETRWKVKPDQGPGELES >KZM82959 pep chromosome:ASM162521v1:9:26343399:26346257:1 gene:DCAR_030528 transcript:KZM82959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLDNALPLVQERPKKQAKVSVAAKLNAVNDENVAPLPPVTIPDAVDYVASDDLEPLDDPDLKIQSLIEGLESKDWVKVCESLNDARRFALFHSDLLFPISDKVMLVQVKAMKNPRSALCKTAIMAASDMFKCYGDKLLDDTTADALDQMLLQLLLKASQDKKFVCEEAEKALKIMVDSIAPLPMLQKLRVYGTHANPRVRAKAAVSLSQCVSKMELEGMKEFGLVPLIQMAAKLLNDKLPEAREAARNMISLMYKSFTEGEEQKEEAWQNFCQTSLSAIDALAVQDIIRDILLRLPVESLAQCKCVSKPWREIICDPHFVKTYLKQGFHDHKLILTSPPNSLYSINLSKQPLSNIEDEVPIKLNFEHPNHWLQVLSSCNGLLLLVYDDNTLVLLNPTTLEFKKLPDKSSGWSKCRTIYGIGFDSSTNDYKIVTITFPDIEMVENHDSENCPEMLVQVYTIRQNCWKQIQNSPFNHSDTWPCSGVFLNGSVHWLATITWNYKWIIAAFDIVTEKFREVPLPDIYADEEGQIDTARLTVLGKCLSLCLYVYHLTVDGNQTYTWDIWMMKEYGVTSSWTRVIINEPDNMFYTLGPVCMLGEHEILMESNGRRLVMYNIENKSFNDIVICGLPDIFRDHVVCPESLVSPHCNRWN >KZM83358 pep chromosome:ASM162521v1:9:31132161:31134994:1 gene:DCAR_030927 transcript:KZM83358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGFIIRDRDKECSNYARLRKPEYVSKSLIQMWVLSYKTNEEGEDYCELSTQNEPFYIVSDDDDGGLASYRMHSVAHTIMPDEVNEVEGQKQEGVISRVFKNQRPEMSPDISCYSLQEYPHRDFALSCGIRSSLCVPIYQDIFSYESPGGVLEFVSTSTDQLKSFRNYCRYYEAFVFRGTKMNLMIDQLYCDPRRHYLRRNVEMDHLLTVVCQKYPLPLAQYWEVGDVNVRDLGVVNQEGNIDFPNVTPWLQFKNACKNIGLYIDEGLVGKSYLSHKSFLCRDIKELSITNYPLAHYAPNCRPIPCFTICLCSFKPWYREYVLELFLPSQEMDSYDPRTLLNSLMETMKEHLPDYMVASGEQLGQVLSVEVIKSSTHDKPEYLKIGEPQSSLPPQEGLNNEGDASHQFPFVLPSLFKDGAVQDGNVMNIEESNSTINSYEEIASGETSTSRPNIVPETIHADESVQESPLENIAYALTNEDVNYALNNKEDMNLENVSKHFGRPLNDAAKSFGVSRSTFKRICRGLGIKRWQSGKRRMKGNFSSRLGKGINQEQPGRRNFGSTSMAAVNETVVAHSSQDLNKMTVKATYKDVTIRFKLPDLSGIAELENNVIERLHLGRNNFTIKYQDEEGDLVLIACDKDVRECIEISRSLKETTIKLLLDPPLNRNAL >KZM83502 pep chromosome:ASM162521v1:9:32482416:32484097:1 gene:DCAR_031071 transcript:KZM83502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTEFPTVENCSSVGREKDTVVADLDGTLLRGRSSFPYFALIAFEVGGVLRLLFLLLCSPLAGLLYYFFSESAGIQVLIFATFVGMKVSDIESVARAVLPKFYSTDLHPESYRVYSSCGKRCVLTANPRIMVESFLKGFLETDLVLGTEIGTFKGRATGFVLKPGVLVGENKANALRKAFGDAQPEIGLGDRHTDFPFMALCKEGYVVPPKPEVEAVKNEKLPKPIIFHDGRLVQKPTPLMALITIIWIPIGLILAILRVAAGALLPMPIVYYAFWALGVRVTIKGNPPPPVKKSNGKSGVLFICSHRTLLDPIFLSTALGRKIPAVTYSLSRLSEIISPIKTVRLTRDRASDASMIKKLLQEGDLAICPEGTTCREPFLLRFSAMFAELTDELVPVAMENKMTMFHGTTARGWKGMDTFYFFMNPSPAYVVTFLNKLPKELTCSSGKPSHEVANYIQRVIAATLSYECTTFTRKDKYRAVAGNDGIVGDNKSAKANKVN >KZM82545 pep chromosome:ASM162521v1:9:20928134:20930620:-1 gene:DCAR_030114 transcript:KZM82545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLSMIKLLVRLSFIFLVVPCLCCPAYQKQSLLHFKSSLLNNYHTSNSSSFGLESWDSISDCCKWTRVVCSSYSRDITALHLGDLHMHGEYSPVDIRILDPIFDIRSLRFLNISHTGIEGEISGEGLANLTKLTYLDMSQNGLNGSIPAQLFQLRFLQSLDLSDNSLKDGLSREIGKLENLRSLKLDRNSIDGNIPVQIGNLTRLQKFSVSRNKLLGPIPDSILNLKGLEKLDANYYCLEMHIPNGIGTLFHISILELSGNSITGLIPPSIRNLSKLETLQLEDNMLSGEIPSWLFDIESLKNLYLGRNKFIWNNNVKIVPKCMLSQLSLKSCKISADLPEWISTQKNLNLLELSDNQLTGNFPLWIAEMEIESLLLARNKLTGSIPFPLFQSHNLSVLDLSRNNFSGELPQNIGHALKMTVLMLSRNNFSGSIPKSIVDIPSLILLDLSRNRLSGNTLPVFGPYWSPAYVDLSSNELSGDIPVSYFSRISILALGKNKFSGSLPRNLTNVQITEYLDLHDNNITGYFPDFISQFSNLQVLSLRNNSLHGSLPSNSFYNGRSLQILDLSSNNLVGSIPSELGNLSGMSGIDEVYSVSDSIGEIELNWIEATVSLFSGMYTIEIEMNDLTVNWKNAMQGLSSHNRRIYTLLDLSNNKFSGDVPDSLGNLKRLKLLNLSYNKLSGYIPQSFGDLQSIETLDLSNNNISGTIPQSFSKLKQLSVLDVSNNKLSGKIPRGGQMDTINDLSYFANNSGLCGMQIKVKCSEDEPTPDDVQEEDDDVEQEPWFLWTGAWIGFPLGFISSVLTAFLSGYFVIPTPKYHSIHYRH >KZM83599 pep chromosome:ASM162521v1:9:33204564:33208467:-1 gene:DCAR_031168 transcript:KZM83599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNEDESNDAVLSDVEDEDPAPIEVGSSSLDENVSVEKFREIFAELEREKLAREAAETSKSELQVSFNRLKVLAHEAIRKRDETNRVKDEVVRERDEAIRSRDEALRESEKLRIELEEVGRVRDEVLEKCEAVRGEIETGARMLMNGIEKISGKVSGFRDFSGGGLPRSQKYSGLPAVAYGVIKRTNEIVEEMIRQVELKGKERDEVREQMEQRNYEIAIEVSQLEATIDGLKEEVVKKGSVVEGLEKLVSEKDGKLGDLEREMLEKQGLAEDELVRLRGLVDESEGKLRNLEVKMDSQRPLLVEQLKFVAKIHDQIDNVIKIVEGNKLDQSELSESLFLPKETDMEENVRASLAGMESIYELSRNVVEKVRELVEERSNEVKRLNDTVSQLVKEKEHIGTLLRSALSKRVSADLSSKTNELFKVAENGLKEAGIDYKFSNHMRDGKGLPLYDKKDALVAEEDEIYTLAGALENIIKQSQLEIIELKHTVDELRAEADLLRQHVEAQAKELNQRKQKLEELEEKERVANENVEGLMMDIAVAEEEITRWKVAAQQEADAGKAVEQDFMAQLSVVRQELEEAKQAVIESEKKLKFKEETADAAMAARDAAEKSLRLADLRSSRLRDKVEELTRQLETHETSRSVLSRPRYACWPWEWLGLNFVGSHQPPTHQESTNEMELSEPLI >KZM81865 pep chromosome:ASM162521v1:9:7114083:7114346:-1 gene:DCAR_029478 transcript:KZM81865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPDMNSVVAYARNLVEEEEDNNVGYIIEARLVIVHIQLSDVAKGWYDRGNREEVKTCSVCLKDIENGMEFSRFLCFTEVVLIVGW >KZM81643 pep chromosome:ASM162521v1:9:3915999:3917863:1 gene:DCAR_029256 transcript:KZM81643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPGESLPVLQLPTDEEPRPVVKCTRHMCPVTIHWHVKESYKEYWRVKMTIMNQNFAQNYSHWNMVVLQPNLRSVTQVFSFDYIPLNQYGSINDSGVFYGVSLYNDMLLQSGPQGNVQSEMLLHKDPDMFTFRQGWTFPRKVSFNGDECVMPQPDDYPSLPNGAQPSSPATLLFIMLLSLLFSVLAL >KZM82206 pep chromosome:ASM162521v1:9:13730989:13731814:1 gene:DCAR_029775 transcript:KZM82206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDHQKDSGNSDFGRDVAVGNNGFQDFAEAKTWNFRPRKPLRRLSNGNDGAGHESKAETRQQLDVITKTHQVKKEKRQKFSVLLTHEEIENDIFLMTGGRPSRRPRKRAKTSLLPGSWLSAVTPDCYKVHDPPIKG >KZM81890 pep chromosome:ASM162521v1:9:7935570:7937904:-1 gene:DCAR_029503 transcript:KZM81890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWGNAVRWGANHKAGSAQECCKACKKMCGGEKGECLCDSWVYCGDKDACGNKFGECWLKKQKDSLDPDRRESGDQIMWTSGIIYGKGEVHNLSMQGIQMTSRKLMICRNRKYLIA >KZM83024 pep chromosome:ASM162521v1:9:27766611:27766928:-1 gene:DCAR_030593 transcript:KZM83024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAVETTAETIYNHLTNDWFDPLLQVCCICLSKCADKDVLRQFPCSHFFHRRCIDKWMKINELCPLCKGKVDKDVLRNQIKVSWKEPERAFWTRVAGYVAGGKN >KZM83329 pep chromosome:ASM162521v1:9:30877443:30878922:-1 gene:DCAR_030898 transcript:KZM83329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLNEVARIGNIDLLYDLIRQDPCMLDRVDESPFVETPLHVAAMAGNVRFAIEMMRLKPSFSRKLNPDGFAPIHTALQSGHGDLVIRMINVDRELVRVQGKECNTPLHFVADQEGNVELMVELLLACPESILDVNVRKETALHIAVKNNKIDTVKVMLEWLKLLDSVFVMGWTDEESNTILHIAASRNYIQMVKMLIPKTDLYARNSRGLQALDTMDAQARTMLQKDRKLKIARWWVQTKSYDNETVFCDTNNNSSLVKSLKKGFPWYKRWIFSNHRIISLADKNGILVVAVLIATNAYQAVITLPTIFDNQFIAASSHYYLFIFQLFNAATFIAAMSLIQVLLPRGVSYSVQLIMPIIVCYFVGIYLPNLEGQILLFTLALFVFQLLWHGRLDTNGNRRDRHSLLKHSASFSKELERKGYRYQ >KZM83418 pep chromosome:ASM162521v1:9:31612834:31617189:-1 gene:DCAR_030987 transcript:KZM83418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELNREEAATVKPIRCIVKLGGAAITCKNELEKINEEDLVTVCSQLRLAMMSGSLSDEVLSLDWSKRHGGSVTVPVVEDFTDQLVSGSNNFVVVHGAGSFGHFQASKSGVHKGGLNQPLVKAGFVATRISVTSLNLEIVRALAREGIPSIGMSPFSCGWSTCKRKIDSADVTMITKAINSGFVPVLHGDAVLDSSLDCTILSGDVIISHLAAQMKPEFVVFLVSIVYKTPSQSHNFFFPHCLTTYIVIFFFGH >KZM82231 pep chromosome:ASM162521v1:9:14170958:14171800:1 gene:DCAR_029885 transcript:KZM82231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSFVHNEGIHIRTSLSNIILRSTNTLDSIFSHCSSSVPCYNPVAEPLGSSMYSQQKFSEENLSRNVHLQTQIPNHFQKPEYRNSNTRLSKKNMYRGVRQRHWGKWVAEIRLPHNRTRVWLGTYETAESAAYAYDRAAYKLRGEHTRLNFPNLSKLGTGDDSRLNALRNTVDAKIQAILQKLKQEKSNKISRKCAGIESQKVMIVESSSSTSLTNDDNSLSNEMVSSSVSEDGSSKGLNSPYSVTGDCLGLAGDVEFKGFTLAHMPSYDPELIWEVLAN >KZM82855 pep chromosome:ASM162521v1:9:25286514:25294527:1 gene:DCAR_030424 transcript:KZM82855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNDLTKKTPIFHLPVWALVVIFVVVPVVLLVLPMCISRRRSKKATEKLPISQNQIVSSEFKEIRMDQNSAKNFVAYGGEFSTSEDKYNGKDSDKLLEHLRDDQIKNPNINSRSGSFNKLEDTTFQNVSSPLSGLPEFSHLGWGHWFTLRDLEVATNRFSKDNVIGEGGYGVVYQGYLPNGSIIAVKKIFNNLGQAEKDFKIEVEAIGHVRHKNLVRLLGYCIEGTQRMLVYEYVNNGNLEQWLHGAMSQHGCLTWAARMKVILGTAKALAYLHEAIEPKVVHRDIKSSNILLDDEFNAKISDFGLAKLLGAGESHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPADEVNMVDWLKLMVGSKRSEEVIDPSLEPKPSRTALKRALLTALRCVDPDSQKRLTMSEVVRMLESEDYPLSREGRRRQRNQGGATEAESAGSKVTEIHKL >KZM81945 pep chromosome:ASM162521v1:9:8915828:8916907:-1 gene:DCAR_029558 transcript:KZM81945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFQLRAGVIRGLPPNISFTPQLSIPYSSDLTFGMNYFNNSVHVTTPNTMPHAYSAQNDLINGASPIFNNAGGVAVSNTSASRAGRPRGSRNKPRGEDSAPITVILKVPRGVDLIDWVVSYASSKKAHLTILCGSGNVLRADLSHMGSQAPPITFTEPLSLITMSGMFLFSGSKDGPLALFNVTLGRLSGDIVSGTAVSMITMDEVTLTATVFYNPEMLAVRATEEMAMESNYNLLSGRNLKWSVVLSFEPGTDVIKALVQFARYYSLNFSVLCCSGLVSEVDIGNSRSHPLSVDVLGNFQIISFSGTCNGRVANSLDDIQKSFVVSMVSQNNVLTNGTVVKSMKAASYVTVVALAKDA >KZM81767 pep chromosome:ASM162521v1:9:5795673:5797408:-1 gene:DCAR_029380 transcript:KZM81767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKFCSMALLSIFIILSLFTSFTTSSRETTCNNTPFPKFCKSVIPESDNIHNHGRFSIRSSISITTNFLSLVNRYLNSRNTLPEKTVLALENCQFLAKLNLDFFSKALESIRSSDSIESSKAYDMHTLLSATLTNQQTCFSELDALTSPSIPKNEFLAPLSNGRKLCSVSLAIFKHGWVNTTRRGRGRGRGRGRENPGEVVPEDDGKLRLYPGGTVVNVTQKVVVDPSGNGNFTTINDAVAAAPNNTDGTNGYFLIKVVAGVYEEYVNIPVNKKYLMMIGAGINQTIITGNRSISDGLSTFNTGTFIVVGEGFVGANMTIRNTAGAKNFQAVALRNGADLSTFYRCNFEGYQDTLYAHSLRQFYRECDIYGTIDFILGNAAVVLQNCNIYPRLPLQGQFDPITAQGRTDINQNTGTSIQNCTITPAEDLAATKIFLGRPWKEYSRVVVMESFLDSLIDPAGWSIWDGDFALSTLYYGEYNNRGPGSDTSKRVTWPGYRVINVTDAGNFTVSNFLGGDSWLPKTGVPYNGGLL >KZM82146 pep chromosome:ASM162521v1:9:12211912:12216418:-1 gene:DCAR_029715 transcript:KZM82146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQREYVKLLHRELDIKLIYHNYQLESERERERGAIEMAFTMRAVKVPPNSVSLEEARTRTFEFFKSACRSIPTVMEIYNLYDVVTPSQLRSNIAAQFRKNAHITNTKVIDMLLFKGMEELGDVVEHAKQRHHIIGQYVVGHHGLSQDSGTKDEGASDFLKNFYKTNYF >KZM81605 pep chromosome:ASM162521v1:9:3357787:3358176:1 gene:DCAR_029218 transcript:KZM81605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGEFVSVYSQLDIEVAQMKRERKDLENEQSNEKEKLPNPFQAAFASALAFTLGALVPLLAASFIEDYKVRLVVVIVAVTLALVLFGGAGAVMGRTPILKSCVRVLIGGWVAMGITFGLTKLIGSNGL >KZM82591 pep chromosome:ASM162521v1:9:21636728:21639395:-1 gene:DCAR_030160 transcript:KZM82591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNKCVNSPFRPIELSIAPVGMKSLVKMKRSILRPRVVIMATKEPVIDGLTDEMNDIAIRNLDFAPSRRLVRSVFAEVQQQLDHCLFKMAPPGIRTEEWYEINSKDQEIFCKSWLPGPDVQLKGALCFCHGYGDTCTFFFEGVAKQIAASGYAVYAIDHPGFGLSEGLHGYVYSFEDIVDNVIEQFTIIKGRPEIRGLPHFLLGQSMGGAVAIKALLKEPQQWDGLVLVAPMCKIAEDVMPPAPIANALIMLAKVLPKAKLVPQQDLAELAFRDLNKRKLASYNVICYNDQTRLRTAVELLNATKDIESQLDKINSPLLILHGAADKITDPKISEFLYEKASSEDKTLKLYKDGYHCILEGEPDEIIFTVIQDIITWLDSQCSVHKESLQVAKCSES >KZM81907 pep chromosome:ASM162521v1:9:8295138:8295445:-1 gene:DCAR_029520 transcript:KZM81907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLIVDDEALTRTVHEFTLRHSGFTKLQMAENGMQAIQLCQSGEHYVIITMDFSMPLINGVRQPNY >KZM82334 pep chromosome:ASM162521v1:9:17395030:17411772:1 gene:DCAR_029903 transcript:KZM82334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMTSASQIIKVKKPCESGVALALAPFRSSLSLYAAKMDGFLAKLQGSLLLYILILYSQVNIIGALSPDGQALVSFRTNIVNSDGVLLQWRPEDPDPCGWKGVTCDPKSKRVTSLSLSNHRLSGSISSDIGKLENLQFLALYDNNLYGNIPPELGSCTKLQSLYLQGNYLSGVVPFEIGNLTELLNLDISSNSLGGNIPPSLEKLTRLQGFNVSTNFLVGAIPSDGILHKFAKDSFVGNRGLCGKQIEVTCKDDSGGSSNSNSDSGQNQNGKKKASGRVLTSAFATVGALLLVALMCFWGCHLYKKLGKMDRRGLIMDVGGGASVVMFHGDLPYTSKDIIKKFEYLTDEHIIGSGGFGTVYKLAMDDGNVFALKRILKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLPGGSLDEALHGLYWIFRAMMLLSFVFAVNTLNFNHLNFLVTECRQREIVDQHCEGVRAESLDALILVAIQCVSSNPDERPTMHRVVQVLESEVMTPCPSDFYDSSSE >KZM83543 pep chromosome:ASM162521v1:9:32805251:32805906:1 gene:DCAR_031112 transcript:KZM83543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESPADLALSMPESSEKSHAGAYAVAILAVILVLALGALVWLLWYYYCYKKKNYSNDDSKSKQSEGIRKSSTSRRDLESRLDGKRIDSEFEAERQFMSSAKDVKGSGKKNKAEMVHGYDKRLSSAKVNSRYFRKFMEEKYDLLKMPRKVLILKNTRQFVVKKQEQRGLLEALDGKSI >KZM81819 pep chromosome:ASM162521v1:9:6416007:6421193:-1 gene:DCAR_029432 transcript:KZM81819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLTNTEMENQNTDGTQNNKPFVIEIPSFQEVIEGSNINSQPKTTPSLFQPSSSFSQAFSFIKKSDLYTPPPAPAPAPPPPASSSGGINSEAPGAGAASSSGSGYVRPRGNSRNAILVSNRQKGNPLLKHIRNVRWEFADINCDYLLGQNTCALFLSIRYHLLHPDYLYFRIRELQKNFKLRVVLCHVDVEDVVKPLLEITKTAMLHDCTLLCAWSLEECGRYLETLKVYENKPADIIQGQMDTDYTSRLTGALTSIRHVNKTDVVTLGSTFGSLSHIMDASMEDLGRCPGIGERKVKRLYETFHEPFKRVVSHKTTVLATPTQTAPVSDGKENEKHTKDASKRKQKEPELTVKSALLEAYVKYSSKVGAKNNNTELKEGEALSKDKISVDDPPIHERTSETDA >KZM82617 pep chromosome:ASM162521v1:9:21885547:21887403:1 gene:DCAR_030186 transcript:KZM82617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYDHLEELRERLFVSVLGVGAAILGCFAYSKELIMILEAPVRTQGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRSERRFLGPIVIGSSVLFYVGIVFSYLVLTPAALNFFVNYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAIVTPSTDPLTQLLLAGPLVGLYFGGAWTIAGIRIDGVGVAIYIRAGMRSISSPRYYFVCVSSAFVSTEVHEHISCASYRFM >KZM82163 pep chromosome:ASM162521v1:9:12677465:12680978:1 gene:DCAR_029732 transcript:KZM82163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRGLKVIDGADLRSTDLTLPELTSTVTGDHLIKLVESAVSASLFGLTLPEYLKSSALRRLDIGESFATKEFQVDEARVVAKDFIAAIADQLQDDPLVVAVLDGKPISMFLEDEDDFAMLAEDLFTDLDTEDTGKISKKEIRNALACMGVEMGIPPPSEFPPLNEILKKHGADEDKQLGQAQFALVLQAILQDLADALAKKHIVIIQNIKISNGSKLIKLLANEKLLNNTIDKILQDSHLGNDEPTEQVIRQYLEENGGELGLPPKAADDDVILLYDSIFANVVTKKQSTSESEKDDFKLLMIEILGKFAEQLEIKPVLHDIDN >KZM82620 pep chromosome:ASM162521v1:9:21902520:21903692:-1 gene:DCAR_030189 transcript:KZM82620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKKFIQLVEEKKKRILEKKEAPLKWEQKLEAARLKAEAEAEAKARKKKARKHKRRSVSASDSETDSESTDGARKSTKRTNRKHSKHAHSDTGDDEKGKEKRWKRKSKRHSGSSESNIDESERDVKYELKKKRVTKKRKNHDFDLDSSASDDSGDEDTAAARRSHVKRHKRHRRSHSRSSDFSSEEGGEVRKRNHRKHDNHRHRRSHFSSSDSSSDEDGEVRKRRDRKQKNHHRHRRSQLSSSGSSSDEDGEITRRGHRKHSNRHHHRRSDSVHSDSSSGEKTLKRKNHEKSHKHHRRSRSHDLVSSALADDRCGGSWSRGDSPGYKNDGLKRGEKDHNHAQDYGQDKLDEPEQLNDLANREAQTNGNFIEKSCAGQAEGHSEGDKKKA >KZM83565 pep chromosome:ASM162521v1:9:33021063:33022408:1 gene:DCAR_031134 transcript:KZM83565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLNSAVVDDEHKRVVVGDDEYFQDDIVVYPYLHGTSYPFDEYDYDHLVDFSKRSIEKYNKDEGRKFEFVELMQGLLFSTYGCDNVTAHFKASEPDNCKIMTFKAYITCRWDDDSSPSLEDSSPRWVSPLPDNEAQDVEKRLQGIQEKILSSLLDRMGDDEMDDDEMDDGINFESEEWNTFAKLHT >KZM81854 pep chromosome:ASM162521v1:9:6929305:6935125:1 gene:DCAR_029467 transcript:KZM81854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLDNEDTVRRCKERRRLIKDAVFARHHLAAAHSDYCRSLRVTGAALSSFAAGEPLAVSDHSPAVLLPSANPAPPRVPQHHHFPQTHKPKLPHILSQSSLSTSPPKQHTQVKLPHILSESEAEPDHHTPLRKFNLNTTTNVNPIISHKPAEMERKMKPKPKPKLPHILSESSLASTPKGTRRHLKNQYSERFTYDAQATYSSTPSQASSVWNWENFYPPSPPDSEYFNQKDNHHNDDYDDNSSVYSKRDLDSASVYSKKSGSFRHGDDASSMYSRHDPEMASVYSNHEKKSSRHDVDTASMYSNYQRDSSRYGHMDDKSSVYSKHDPETASMYSNYEKKSRSYKEEESRNHHIHHLESDCEEEESETEAEEQEEVQCSEWGDHYSTTTSSSDDELAERESRSEATRSNFGSSVHSEAKSMPFKAAVPASKSDKFDYDAGSSASWNHNSSNNVNYNVGRNESEISDMNIVVRHRDLAEIVAAIKEYFDKAASAGDQVSEMLETGRAQLDRSFKSMKKTVYHSSGVFSNLSSSWTSKPPLAVKYKFEPGSIDEPSGSKSLCSTLERLLAWEKKLYQEIKAREGVKIEHEKKLSTLQSQEYRGDDEVKLDKTKASIKRLQSLILVTSQAVSSTSSAINGLRDTELVPQLVELCHGFMYMWKSMNQFHEVQNHIVQQVRGLVNLATKNVSTSDLHRQATSDLESAVSAWHSCFCRLIKFQRDFICSLHGWFKLSLIPLNSEPNNGSEGSLDALTFCDEWKLALDRVPDTVASEAIKSFINVVHSISTKQAEELKIKKRTESASKELERKASSLRSIEKKYYHSYSMVGIGLPDTGPDNGHALDARDPLSEKKSELAASQRRVEDEMVRHSKAVEVTRAMTLNNIQTGLPGVFQAMTSFSSLIMEALEVVCNRSYAIK >KZM82343 pep chromosome:ASM162521v1:9:17609160:17612731:1 gene:DCAR_029912 transcript:KZM82343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLKTPTAFQGTLRIRQFLVLTIWLVHREDATALKLPDKLTNISSKSKLGSPPTTGLFQPIQISPAVLPHYHDPSSGHSLPPMYPSFPTTYDPVLTGRCPVNFSAISTIMERTASDCSQPLASIVGNVVCCPQLSSLLRIFQGYYSQGTDSLVLQNAVADDCFKDVISILASRGANSSVPSICSIKSSNLTGGTCPVKDVTTFEGRVNTSKLLEACSRVDPLKECCRSVCQPAIMEAALQLSLSSNDNKNSVASEESMHIDALNDCKGVVYSWLSKKLSPDNANKAYRILSACKVNKVCPLEFTQPSEVIKSCRNVAAPSPCCSSLNSYISGIQKQMLITNRQAIICATVFGSMLKKAGVLTDLYELCDVDLKDFSIQGCLLKSLPVDVEYDNSTGISFTCDLTDNIAAPWPLSTSISSLSLCAPEMSLPALPTSVRNNGEHLGI >KZM83199 pep chromosome:ASM162521v1:9:29547759:29547926:-1 gene:DCAR_030768 transcript:KZM83199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVILYHIIFNKGKKNPNYAKHYPGIYSSLYHYCAEGNIKNQRLLMKQQVVKYR >KZM81986 pep chromosome:ASM162521v1:9:9581632:9583097:-1 gene:DCAR_029599 transcript:KZM81986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYACLTCNYYLHQTCSRLRDKTTHQSHSHQLKLTFSPPYPNQVFSCDVCSKAGAKQWLYRCDCCEFDAHLICATSVPAQNLAPVPSAAEMLLKSYNDSYNASLAAIAAGTSRQQNQLMNQVFQQTSNDVTSYNRILQGLMGGGSTGGTSQLQGLMGAGGGAGSPLQALMGGGGGVDLQALMGGGAGVDLQSLMGGTGGAGTADLLQSLIGGGGGGAGAGLLQGFLGGLEYDQSDHGVEALADFALTGMKPPILTDEEMSITTVLPSPTPPRNQGKGSSGKRSQPKTQNEDE >KZM82951 pep chromosome:ASM162521v1:9:26267492:26272680:1 gene:DCAR_030520 transcript:KZM82951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPPTTTLDCRMYEAKYPEVDTPVMIQVKEIGDVCTHVSLLEYNNIEGMILLSDLSRRRIRSVGSLIKVGRIEPAMVLRVNPDKGYIDLSKKRLSEQDVQVCEEKFSKSKLVHSIMRHVAETLGLDLEAFKLIVNDPDSVLNPLTREVREVGPGGKEVIKKVPAVSEDVKDALVRNIRRRMTPQPLKIRADIELKCFQFDGVLHIKGAMRKAEALSTKECPVKIKLVAPPAYVLTTQTLDKDQGISVLRKAIVACSQEIERHQGKLIVKEAPRAVSEREDKLLAEQLAKLSLQNEEVSGDEDSEEEEDTGMGSVDLESSNSLIND >KZM83520 pep chromosome:ASM162521v1:9:32620776:32631950:-1 gene:DCAR_031089 transcript:KZM83520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAIRACKTAAEERAVVRKECATIRASVSDSDNDYKHRNLAKLMFIHMLGYPTHFGQMECLKLIAAPGFPDKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHANQYIVGLALCALGNISSAEMARDLAPEVERLLLFRDPNIRKKAALCSIRIIKKVPDLAENFVNSAAALLKEKHHGVLLTGVQLCTDLCKVSAEALEYIRKRCTEGLVKVLKDVVNSPYVPEYDVSGIADPFLHIRLLRLLRVLGHGDADASDCMNDILAQVASKTESNKNAGNAILYECVETIMSIEDNSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDSQAVQRHRATILECVKESDASIRKRALELVYLLVNENNVKLLTKDLIDYLEISDQEFKGDLTARICSIVEKFSPEKIWYIDQMLQVLSEAGNYVKDEVWHALIVVISNASDLHGYTVRLLYRAVQKSVDQGVLVRVAVWCIGEYGDMLVNSVGTLAIEEPITVTESDTIDVIETAIKHHTSDLTTQAMCLIALLKLSCRFPSCSERIQDIIVQNKGSLVLELQQRSIEFNSIIQKHQNIRSALCERMPVLDESTYSVRRAGSLPAAASSLQASAVTLSNGVTKPNAAPLVDLLDLSSDDAPVPNPSHGNDFLHDLLGVDLSSGLSQAGTNQPQKSGTDALLDLLSIGTTPAPSNFSALDGLSLGQGADTTVSALAGLASTSTAIPATQPSPLVGSSPVLDLLNGFGPSEHIQEESVPAYPSIVAFESNNLKMTFNFSKQPGNLQSTHIEATFINKSSNVYSEFMFQAAVPKFLQLHLDPASSNTLPASGEGSITQKLRVTNSQHGKKSLVMRIRIAYKLNNEDVLEQGQISNFPHGL >KZM83220 pep chromosome:ASM162521v1:9:29746357:29750726:1 gene:DCAR_030789 transcript:KZM83220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGTMFHKRKNSWPPEEYIHKATLQLLDFDSAAPPVQAWRKKLNSHAGLLKEFSVTFKEAIKMVRLGLRLWSYVREEASHGRKAPIDPFTRISCKPSASQGVPLGGMGSGSITRGFRGEFRNFQIVPGTCDASPIMANQFSVFISREGGAKQYASVLSPGQHDGLGKPSDQGISSWGWNLSGQHSTYHALFPRAWTVYDGEPDPELKICCRQISPFIPHNYQDSSLPTTVFVYTLVNTGKERANVSLLFTWANSIGGVSHLSGDHVNEPFIGEDGVSGVLLHHKQVIEPLSYI >KZM81662 pep chromosome:ASM162521v1:9:4248989:4249639:1 gene:DCAR_029275 transcript:KZM81662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPRSSLLQFLLLVLATCAIVEIALAGDPDILTDFIVPSNLTSGSGNTTIDGNFFTFTGFRVLVGGQVPPTFKVFKAGMLEFPALNGQSVSYAALQFPPGTTNPPHTHPRASELIFVLDGTLEVGFIDTTNKLYTQTLQTGDLFVFPKGLVHFQFNADAKKPVLAISAFGSCNAGTVSIPSTVFATGIDDNILALSFKTDVATIQKIKAGLVPKP >KZM81861 pep chromosome:ASM162521v1:9:7048228:7053279:1 gene:DCAR_029474 transcript:KZM81861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKVLNKYYPPDFDPAKIPRRRQPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEITMKTDPQNSDYVAESGASRNFEPWRAEDEVVDEDKRRRDAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDAMLEALQRSAPEESQDEDKLVEEDEALIKSIFQGSREFVKRINDEDLEDDEDLTESAAENGESSNSSKRRKLSEESTGNPTDHLTKISTLDVSKSKDSTPGRSTFIFKSSTVKVSVKKKPVASEEGENKQEGKQKDNTQHAEEKANIPSNGLLSLGQYDSDDEDDQ >KZM82658 pep chromosome:ASM162521v1:9:22289765:22293308:-1 gene:DCAR_030227 transcript:KZM82658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHVAELGTGADLPSRMAVHPKGEGVMCSMPKSCRWYEWEAIERDGVHGWSPKSSEKIFKQLEDVGRQLALTFNEEGSVLATGGEVGFVVPVMFALTCCDGKLRVFKWPTMDSILDESGAHASVKDLAFSPDGRFLASVGSSGPGRVWDIASSAPIASLKTKKDEQFVLCKFSQSLDNNHQILYVTAMQGKGGSIVKWKAKSWKPKSWKRISSKLVTRDSITAFSVSADGKYLAVGTMEGDILVLNSSSMLVHSVIKKAHLGILTSLMFSEDSRALLSTSFDSSARVTLIDDEKMVTIINDQKIKGMYSLTT >KZM81867 pep chromosome:ASM162521v1:9:7134789:7137633:-1 gene:DCAR_029480 transcript:KZM81867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYMRTRSEMHQYGEAECPDYSEFPTYFTIKMYHGGHFENYNSKFVGYKVDYFDFCNVDLMSVFEVRAMVSIAIGRKSATFDLYFKSPKESMELKQEATSERPSEGPNEGQNQMENATEDATEGEATRDATGDATEGGDAFNADQQEGGENFNAAEFVPGESQPTAEMDASQGGVFTAQATTTNPGEGSSGVTLKTWQKKKKTITTRAEIMRARSTRERKLNKKYYD >KZM81336 pep chromosome:ASM162521v1:9:135284:137077:-1 gene:DCAR_028949 transcript:KZM81336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLPPHVALNPQAPEYFPSTFTYYVFFVPHIYPLTLYNINNYHAQPPPQAPHNQLKSQETGQSHQRRRFGGACSKTRFMNTKGYTRFERGKRGNKDDVEDKDGCFRVVQTCVMVNKRQKPVMPLEYGEENLDVGANITTVMIRNVPNKLTRDGLLILLDKHCMLMNEGGKDCGDGNYSAYDFVYLPIDFQTGVNKGYAFVNFTNKRGVKMFYNEFHNKAWDIGFKTPKICEVVCAKIQGREGLVKHFGGTLFICSSDEYLPVCFSPARDGSGMAVKEVIVGKRSSQNLPLQTTQKVWEGCRQWKSNSWGAGTQLQKSF >KZM81579 pep chromosome:ASM162521v1:9:2820191:2821800:-1 gene:DCAR_029192 transcript:KZM81579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDGTHIGNQSKEPEAKGMSKNMKKFLLVFNCLILSIGNCIAPLTNRLYSVKGGKRVWLMCALETAGFPFLLIPIIISYFHRRRKGGPKTKFFTMSPVLILPCIVIGILTGADDYMDSAGVSRLPVSTYSLVLASQLGFTAFFAWILVKQKFTFLHFNAILLLTAGAVVLAFHSGSDLPAKESKADYILGFLMTLGAATLYGFVLPVIELVYKKAKQTITYSVVMEMQFYMALAATAFCMVGMKINHDFQAIPREAEKYELGKAMYYLVLIGDAFLWQLFFLGAVGVIFCHSSLLSGILISALLPLSEVLAVFIFNEKFTPEKGMSLFLACWGSLSYFYQEIQLNKKKTLAAENECKSIEMPGEIKKENGITEDDGQSSDIP >KZM81959 pep chromosome:ASM162521v1:9:9154513:9165121:-1 gene:DCAR_029572 transcript:KZM81959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHSVVIQKLLSTNAHLGRRVATNHFKIYTYGLRNRMAIIDSDKTLICLRNACDFIGNLARNDAKFLFVNTNTLFDEIIDQMTKRIGVKNDTSWRLSGFLTNSSSPKKFRSRNKKMVLGVINQPDCVVIFDTERKSSVVQEASRLHIPIVGLVDSSMPLEIFKRITYPVPANDSAKFVYLFCNMITKTFLYEQKRRGIKPAKSAEIEVKIGKETVQVLEDGRIPCASDEIFVRSYENLLPASDDPLEIKKILEKLVIVKSDAGIDTKMGFDKPKSIIEVCNGLTCLDLLVNYIESLNSKYGCNIPLLLMNKLDTNDAILKVLENHSDKNIHTLVQVPSYRKIDDDFEPTSSEERNSDSELQVLMSLKSSGKLDDLLSQGKEYVLLLKSNNLAEVVDPNAVFLNWVVAEILNYMIQSKIEYCMEVMPMSSSDFKDDASHSLDTKFKLRDIARTQVDDQPRENVRTQDEESDETPKSRDKTRLSDTSNVWMDMNGMLGIVDRAGLDRGESPTLKHFNCAVGVNVPKSRYLPLESTSDLFLFQSDLFDSSEGILSRNKARKDPSLPSIELGPEFAKVGDFKCRFESIPSIIELRSLNVSGDVWFGSNVTLKGLVSITAKPGMKIEIPDGTVLENKMITRQDDL >KZM81370 pep chromosome:ASM162521v1:9:419096:419962:-1 gene:DCAR_028983 transcript:KZM81370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMKLATICVIWCMMAVVASSAVVEYEEIGEKPLGYVTFELARGVQSYSSFLTRLRNAVEAPTRACGLQSTRITPLPGTEYGYANLLLSNTEWITLGIETKNLYVWAYQDNVKYDGKYRANFLSNAPTEAKSNLFSGSTIRISRLPSDYTDLERAARASRYDLILGIEKLRGAMRGVYGRQENQLNQGKEEAKFLLLAIQMVAEAARFKFMEEGIVRNDNTPYMKLKMVAFENDWNKISKAIHQAEGATPKCIKITPTLVISNIGYRQEVNEVAEIINDIGILKYYG >KZM82629 pep chromosome:ASM162521v1:9:21969618:21970270:-1 gene:DCAR_030198 transcript:KZM82629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQAANQAAKRKRFQDIKNTIVDEIYNLVIASNAFVTEVESTSEQFSGIFQDMEKRQSELIHQAVLINEKYGSIADLVEEKKKPKIGEVSSSFETLPISYSNSTNRFLDDSECSLQRHVENTNKALETIINKFNKSVETWKKKYEELKEQAKVLENNRTLHRMKVNQFRSVLYSFIPGVDSSDSDESD >KZM81887 pep chromosome:ASM162521v1:9:7746119:7746878:1 gene:DCAR_029500 transcript:KZM81887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRPRKRQRLGWDVAPLPLSHLALLHTQILVSQNIHMLNYLGCRDFLILRVSH >KZM82135 pep chromosome:ASM162521v1:9:11932190:11932821:-1 gene:DCAR_031842 transcript:KZM82135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHECIFFGTDDFFGYNQGDHPAGWQSVAVHDPVVQDAADHAIKTIQQRSNSLVPYQLQEIVHANVEVVEESAKFDIILKVSRGDKEEKLKVEVHKSDEGAFKLIHMAPHDE >KZM81455 pep chromosome:ASM162521v1:9:1378860:1381456:-1 gene:DCAR_029068 transcript:KZM81455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNTNPDAAGSSGKDELRVHLDLNKLEGDAYGEFIKGIVNIYNPQEKRQRLCDTVGKHVPRETEIFKEMNDQIGLCFDGWNLPKLDDMDDNCGEDPRAQTPLEAEIPASEKFFQEEEAMLNPDDKAKIDDNADYWIILSGGCCKAAVQFVEEFLTPETKTGETNKDMLKRKEKEKKKRKREGKTEEELKKDLPEKIRLIKLLEKVIPQEDGSTGEIKKILTEELEEECGQLLETDKAAKLDRGIIDSYREVMMATDLYDFYEKLEKIRHVLENQMKALGIGASCRVQNEKIKEFELNRHALVRAFEHLTQYPFDEDDQKDFAKHITHLVVMICEATRFEPIAEHIKRSYTLELGSKLSDKNVALINMWSDISGVMVRGWSYKGERDFGLEDLMKAVTVSRAREWKGLGKEL >KZM81534 pep chromosome:ASM162521v1:9:2215320:2219959:1 gene:DCAR_029147 transcript:KZM81534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINFRRSFRDSVNLVDRSSRWTKEKRDQFVDCVTLWFVMDKGEILSKCEKNVAVCLAVIVQLAIIVINSFLLGDAEVLPRWKATWIARMSIFAIFFLTLKICVEMKRFKLTWRNNKEVRVFIITHVLQFLIPLLYLSLAYAYLLGVDTTSHHMRSDWKLSASSIKTWIHVLGSLLSFIGALYYWTPDDHQCIIAFATNVDNSSVAENVSHVVVHVDAGNQAVGDANAESHPVCVENQPAGDTAFEVAINMNVDQTVGAADATCEVAVKIPVDQVNLEMVAQNNAESEPVGVENAGTNLEAVGQTNMKYQAAADSSVAAVQSNLENQPAAYAKLDVVMLINSENQPVEHADVEGVVRKDAEEQHFADAKLDVVMENKPANANLYYWTPDDHQCIIAFATNVDNSSVAENVSHVVVHVDAGNQAVGDANAESHPVCVENQPAGDTAFEVAINMNVDQTVGAADATCEVAVKIPVDQVNLEMVAQNNAESEPVGVENAGTNLEAVGQTNMKYQAAADSSVAAVQSNLENQPAAYAKLDVVMLINSENQPVEHADVEGVVRKDAEEQHFADAKLDVVMENKPANANLVHVP >KZM81818 pep chromosome:ASM162521v1:9:6393027:6395135:-1 gene:DCAR_029431 transcript:KZM81818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVYSTSIDSEQGKVTVMGNVDPNALVKKLVKSGKHAELWGGAQKGSNNMMMNNMFKNMQMDNGSNKGGKDNNKPQQKGGKDQQKNLQQQQAQFQQILQQHGKSSKDFKMPSKDQKTVKFDFPVDDDEYSDEYSDDDYDDDDEYDDEDDEEFGGHGFDDHRNVQKFQDNKMKLGMGNGGMGMMNFGGNSKKGGFDLPIDVKGKKDGKDGKKDGKKDDKKEKGEKNKGGFSFKSLMGKSDGKKDGGKKSGEIGGKEGKKGGKDNQGGDGKKFDSKNFGGKNDGGKNGGGGKNSGKLQGEVKVNNGGKNKGGDGHNNGGHYGGGPGGDPYSNGSRGINVKGAAKHDGFHDMKSHNGGAAGRPMGQMGQMGSYPMGQMGNNPMGQMGGQMGSYPMGQMGSYPAVQGHPAGAISGGYYQGMGQGNPYNQQQQQYMAAMMMNQQQAAGGMYNPAMYGRPQHAMSYGPPPMMPPHVNDNITHYFNDENTDSCSIM >KZM81389 pep chromosome:ASM162521v1:9:644999:645730:-1 gene:DCAR_029002 transcript:KZM81389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTKEFISHFSHPQHKLKFEYTETPFKCDGCKEAGIGSKYTCKICDYDLHMHCAIPTSPSIFHPFYRKCSFEFLARPPGTKARYCNACRKDVTGFVYHCHSCGYDLHPCCAKLPTMLDDGEMKLFLYRKVRSTCHKCGRKGRRSWSYRSECKKYNLHVACVKEMLVETWHEIYFGGRLNSYGLRNGIPSLKGTLMQNQHRRSKTKVRKCCEIGALALQFVISAVLGDPTTLIVGVVGSLMSK >KZM81421 pep chromosome:ASM162521v1:9:1042649:1044411:-1 gene:DCAR_029034 transcript:KZM81421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHLMKRSSALKKHFSTQASLHPPRHSALHKIPPLLTTPLTPPDMITSLPFVSYLDHLDAQVPEKPVLDLRLATCAVQSLQPSHTTIPNLRINSLRPSKIEVLNNVSYVTHLNNTLPSSTHLARLENEANQIALRQMNFHNINQRSLSTFWYEDKCFKKDKGHISREMVKHSQYSKNVEVHQYVPLLNSLGSTLFSEGPDDIRRYLKDLGFITGRFYDAQDFANKLKCFFHNHDVYLTFKEHPLDRYIYLDHIAILLTTYGKLIDHPMAPLIPSGKFMLRHI >KZM82664 pep chromosome:ASM162521v1:9:22324884:22327066:-1 gene:DCAR_030233 transcript:KZM82664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKMVKNEVAVGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERFIGNAARNQSALNPVNTVFDAKRLIGRRFSDSTVQNDMMLWPFKVVGDNGNKPKIVVNYKGVERRFSPEELSSMVLLKMKEIAEEYLGKKVKDAVVTVPAHFNDSQRQATKDAATIAGLNVLRLLVEPTAAAVAYGLDKNLTSGMKGEKTVLIFDLGGGTFDVSLLKIKRDNIEVLATAGDTHLGGEDFDNRLLDHFVKEFTRKYGKDISRNAKSLRRLRNECEKVKRILSHNATTTIDIDSLYEGIDYSTKITRARFEELNLDLFKSCLDTVGKCLEDAEMDQSRVHDVVLVVEGQLMAVIIPRNSTIPTSMQKLFCTSRDDQKSVKISVYEGERTRTEDNNLLGEFALYGLPPGPRRQVKISVTFKIDSNGVLHVYAENRVKGLRSSIEIKKSGTLTATEIERMIRDAEQFKVEDEKFRRKIRAMQASEDYVYNTRNNTVGNYSLNASVKKMLRILSRRLLCG >KZM82745 pep chromosome:ASM162521v1:9:23266741:23268236:-1 gene:DCAR_030314 transcript:KZM82745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVEIPQTRIGSMGATMPLICFGTSYSVLAPSEFLKPSVVNAIRLGYRHFDTAAFYNSEECLGEAVTEALSLGLIKSRADLFITSKLWCSDAHSDRVLPALQKTLKNLGMEYVDLYLIHFPVSLKPGSQGIPFPSEDVLLMDFKSVWEAMEKCQSLGLSRNIGVSNFSSKKLETILSSAKIPPAVNQVELNPLWQQKKLREYCRKNNVHLSGFSPLGAPNTWWGNNRVLDCEVLKSIAKARGKTVAQVCLRWCYQQGASVIVKSFSKERMVENLEIFDWELSAAECREIEQIPQQKGYTGHHFISSEGPYKSFEQFWDE >KZM81549 pep chromosome:ASM162521v1:9:2372328:2379614:-1 gene:DCAR_029162 transcript:KZM81549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVKSAADRTKVVVRHLPPTISEAMLLEQIDDKFTRRFKFVSFRPGNNSQRHQSYSRAYIDFQRPEDVIEFAEYFGGHVFVNEKGTQFKTVVEYAPSQRVPKQWSKKDGREGTIFKDPEYLEFLEFIAKPVENLPSAEIQLERRDAERAGAVKDAPVVTPLMDFIRQKRAAKAGSRRSLPNGKPDRRSSGTSSASTSSASLKRGSDKRRTSNAMYVLRDTTKSSVGKDKSAYVIVPKKKDEQISEKSNSVSAAGSDFSSESGVPGSSDIGKKKILLLKGKGKEIPNVASCLPSQHSAVTPIKNSVIVNAPRPNHRHDASGRIIKSILLNKDSRQNPSTPETPIQVRNQEKDRRPPRASNVQLHKKDTNEAPEDRTLGNDLHGSYAEKPEKRTRNKDRPDRGVWTPLRRSDGLHASNESLSSSASQHMTSLLDTSEADSSALIRDLILIELLTQNWDEREKTGGSDIKGYGNRTNTRTTICLNFKCTRGDTKNDMTSGRSGESKGSGRGGHMSVDNGFYKQSNRRGPFQNGKDADGSLNLGEAKPLKRGNFSGHGSQEVYPYDLEESCVLEVADIDVLTLSRIKGILISLTHR >KZM81842 pep chromosome:ASM162521v1:9:6792676:6793722:-1 gene:DCAR_029455 transcript:KZM81842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPLNTWILISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPSNLNPVNGVFSFDMIIDRPTSLLTRIYRPAEAAEEAPRYADLEKPLNSDIVPVIIFFHGGSFAHSSSNSAIYDSLCRRLVGLCNAVVISVNYRRAPEDPYPCAYDDGWTALRWVHSKTWLQSRDSKVHIFLAGDSSGGNIVHNVALKAAESGIEVIGNILLNPMFGGEERTESEKRLDGKYFVTVRDRDWYWRAFLPEGEDRDHPACNPFGPKATSIVGMKFPKSLVVVAGLDLVQDWQLAYADGLKKAGQEVKLLYLDKATIGFYLLPNNDHFYTVMAEMKNFVCSDCSIDQLNTGTSTSEALPNA >KZM82056 pep chromosome:ASM162521v1:9:10548461:10549105:-1 gene:DCAR_029669 transcript:KZM82056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVEVPILSRLDRLDNILKQVEDIRGANGTPRSSCGSTGTLTSDGQVSSVDFLSPKSLEKHCRPIDDVLMETEMKGSLMERIVHVEDRLLQLEEEIAGYKKVEGEGQPSTPTTPDEKGLKKKNLKQLVKSCVKGKTKHKNK >KZM82139 pep chromosome:ASM162521v1:9:12032449:12032661:1 gene:DCAR_031846 transcript:KZM82139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKQRRINYGPDSLENNNPTIQKIVVLFVEEPVVFKAKEGASNPEIYEDKTEFVSVDSVDDYYTLEELD >KZM83499 pep chromosome:ASM162521v1:9:32458237:32465521:-1 gene:DCAR_031068 transcript:KZM83499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSDVKLLGRCASPYVNRVQIALNVKSIKYEYLEENLSSKSSLLLNANPVKKKVPVLIHGNRSVSESLVIVQYIDEVWTSGPCILPSDSLDRAEARFWAAYIDDKVLNYGRERSVIVKASEQDPSCVLANILTAHFYASSSNPSLTSSHLQASKAHLDHATSYEKAVFDAVSYLMTPDRDDDVAVNLHSKLLNDFPKDLVSLKRAQVLCFYMGRPDLSLELVEQVLPVNEQEDYIYGMLAFPLLELGRMADAEKAARKGLEINEEDPWVHHAICHVLQFECRFKEAVEFMKDHSHSWNALSSFMITHNWWHVALCYLEGQSSIEKVVEVYDCFIWKELERCDASPAEVFLNALGLLLRVYLRGRITEFGDSLKILATYLTDKQYWHLEWHLDLLILWALACTGKLSEAEELLESLKNRMSGMTTKKQQIMQRGIELAEAMYRYGKGDYEIALELLGSAFNASNCKMIGASDEQLDVLNEIRYIMLLNTGRAKEAISGIEIQLKKREAAPFLWYLLEKAYSEMGMSREAAAAGEKGKALELACAFDQEKAYAGLGMSKEALADEYAAKYADLIAT >KZM81480 pep chromosome:ASM162521v1:9:1705777:1706499:1 gene:DCAR_029093 transcript:KZM81480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRYLVVDDVTTCLMVTCAMLKRWQSCEVVGVHDAYEALQKLRTESFDLVISDVQMPGMDGFQLLEQIKKEFKLPVILISADDRKEAAIDAGAVSFILKPISPDVARNVGTYVIPKMEIGDHVNGQGNQDVGV >KZM81817 pep chromosome:ASM162521v1:9:6387614:6388903:-1 gene:DCAR_029430 transcript:KZM81817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSILKILVVFLSILSPGVLSKYQLPFQLDTLVLPVTKDSATSLHVTNIQKGTPRAATPLLVDLSNKFLWIDCERNYTSTTYQFPFCHSTQCSRVGNHYCHNCSAPARPGCHDNACAVMTTNPLTHWNVLGELGQDIVSIQLAHGPTSGVFVDVPQFIFSCAPSRLLAGPLPKDVVGVAGLGHNPVAIPHQLASHFGFRPKFGLCLPSSNKGNGVIFFGKGPYELDPGYDTVSHPIVGYTPLTIGPRGGYHIQVTAINIGKKALPFDISSFFRTKRGSFAKALISTTTPYTTLHHSIFMAVTKFFGDQLSWAPQIQPPVSPFGLCFNTSNIATSRMGPAAPSIDLLLQNENVTWTISGANSLVLARPDVWCLGFVDGGIKPRNPIVIGSYQLENNLLEFDLARSRLGFSNSLLFKRTTCATFNFTSTP >KZM82607 pep chromosome:ASM162521v1:9:21805968:21806425:-1 gene:DCAR_030176 transcript:KZM82607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAAAITVLLFLVITGTEMTKIEGARICAEQIDLKGKVCSDQICNAACVSRHSGNGKNFPARGYCNPSGQCACFWYCGPGR >KZM81812 pep chromosome:ASM162521v1:9:6297847:6302491:-1 gene:DCAR_029425 transcript:KZM81812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRARAPGKIILAGEHAVVHGSTAVAASIDLYTYASLHFPPLSDNDDTLKLELKDLDLEFSWSVKKLKKTFPVDSGCIPLSPTACSPESFRIIAALVREHNIPEAKIGLAAGVTAFLWLFKPAKVIITSELPLGSGLGSSASFCVSLSAVFIALSGTVNLDLNNKGWFTFGDNELDLVNKWAFEGERLIHGKPSGIDNTVSTFGNMIKFRSGALTRIKSSMLLKMLITNTKVGRNTKALVASVAERKLRHPDAMTAVFNAVDSISNKLATIIESPAVDEIAITEKEVILEELMEMNQGLLQCMGVSHASIETVIRTTLKYKLSTKLTGAGGGGCVLTLLPTILSKTIVDKVKAELESCGYQCLTAAIGGNGLQICFGGSS >KZM81665 pep chromosome:ASM162521v1:9:4293021:4296983:1 gene:DCAR_029278 transcript:KZM81665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGLDDEREGEELDTLNREHLFGVPLIEPHHYRTRFPGAVRLKAYIFDGLGNYYNKEWDLQEGSGQEFCWYHVELPKGNQKLSQSAQYLIDALCPPLKLQDILSLVSTGPFCGHVDGALVFRVNSPGPASSKFTFRIAARVTENSVITVSLGRVPRLGFSPVGQSLLSEVPRVESPSGEHKDRSGTVIEEHVLDFLLRMNHSEEADNPVPKSVSNLVVHIIDTHVDHLQDVVTKFEMDLDSVELDLDRGGFALKKQMLDDRRFPKMHLDLQRLLQVLAHGEQVFPRVKEKCSLKVWFASEDINSLEELTGRFKRLKENVGFIANRVTAVQAGLDSWQAEQINRKLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTGQKDPKLKNGFLNVMLLCVATLVLVLLCFLFSALYTRMDAWRRRKDLTRSLSINRRFSKKSERAGYLRI >KZM81418 pep chromosome:ASM162521v1:9:975039:977378:1 gene:DCAR_029031 transcript:KZM81418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNKALDTKKKILKNREHKTTVSSDLDKGIAPKVETGDGHEFDGEKSSPMDRALEIQANLASKFPSFVKNMLPSHVAGGFWLGLPKKFSEMHLPKHDKTVVLVDETELEHETKYLAEKTGLSGGWRGFSIAHKLKEKDVLVFHLIQECKFKVYIVRANALSEIDGAISLLNLGARVTKMNGVSAFDMNLKNLYEEGLKITVMAPEEHFNQYSPVHSVQEKDMVALRNNVDAAADNYKMDTDNSGSDDVDGIRFAHSVVDFKDVKGYENFSIIVDRLIIDCEIPHDLRVKYYELCCSQNSYLHDELIDGLNLRLVVGVIFEIISIADAIRSSKTRKCEDLKAWDNSLKAFEILGMKVGFLRARINKLLILSSDLEDALKRKIVEKAKAEEELEVLEIKRSRVKELIENSDYEIQAMKKKSKNLEAAFLEESQAPW >KZM83508 pep chromosome:ASM162521v1:9:32527217:32530610:-1 gene:DCAR_031077 transcript:KZM83508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAMSAFRSDNATTPYSLTEIWPFQFGQFSDNPTREDDPIGVEQRGEKKRRNDDVSTSTAPNVMIDSDGKRIKGSGSRDDNPESKVETEAKSAGKQSEQSVKPNEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKSLVLDEIINYIQSLQRQVEFLSMKLEAVNSKMSPSIEGFPSKDEHKLRSNVPHIRARLVSMNRVNRESIDFSVPCVATWCLLFAYESFGQQAFDMAGVTFNSQAPRELSRGSSPEWLHMQIGGNFDRSA >KZM83247 pep chromosome:ASM162521v1:9:29988808:30003043:-1 gene:DCAR_030816 transcript:KZM83247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHIALLRLLAAALLAVAVAGEYFKPFNVSYDHRAIIIDGHRRMLISAGIHYPRATPQDEMQRFVKKIVELMREENLFSWQGGPIIMLQIENEYGDIEGAYGQQGKDYVRWAAKMAVGLGAGVPWVMCKQVDAPEFILDACNGYYCDSFIPNSKKKPMLWTENWDGWYTTWGGALPHRPVEDLAFAVARFFQRGGSFQNYYMYFGGTNFGRTSGGPNYITSYDYDAPLDEYGLLSQPKWGHLKDLHAAIKLCEPALVAADSPQYMKLGPQQEAHVYRGNITQGLNTAFSKSKSVCAAFLANIDEHTAADVKFLGQVYTLPPWSVSILPDCRHVAYSTAKVGAQTSIKLVDLNSLPSKMYVPQRLTFEEEVTYIANDWVTWKEPIGLWGKNNFTSPSILEHLNVTKDKSDYLWYTTRIYISDDEMSFWEENEVSPELSIDSMRDVVRIFINGELSGSKRGHWIKVVQPVQLVQGYNDIVLLSETVGLQNYGAFLEKDGAGFKGRIKLTNSKIGDIDLTESSWTYQVGLKGELLKLYTREKAESVRWKKLMPDATPTIFSWYKTYFDAPGGTDPVVLNLGSMGKGQAWVNGHHIGRYWDLVAPKDGCGTCDYRGAYSSDKCTTNCGKPTQIWYHVPRSWLKSNQNLLVMFEETGGNPFKITINTISTQSVCGQVSETHYPPLHMWWHPEFTNGKISMNDITPELHLQCEDAHTISSIEFASYGTPQGNCQNLLKGNCHAPNSLSIVSQACQGRNSCSIAVSNAVFGDPCRGIVKTLAVEAVCSPSAFSGSASM >KZM83374 pep chromosome:ASM162521v1:9:31259416:31259983:1 gene:DCAR_030943 transcript:KZM83374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTYHETESKRMEIPENLGSQLLLLQLLFLPNHNFLETKRLLIIWAANKQTKAAIPSPYDSLFAIQRAQERRAIRMTRVKISAISNLFLSMRN >KZM83019 pep chromosome:ASM162521v1:9:27721240:27724605:1 gene:DCAR_030588 transcript:KZM83019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLIRRSSRCFISDYCGNAAALTALCRSMATFARTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETERRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVELELRDLLSFYKFPGDEIPIVRGSALSALQGTNEDLGKKAILKLMEAVDKYITDPVRQLDKPFLMPVEDVFSIQGRGTVVTGRIEQGTIKIGEEVEILGLRQGAPVKTTVTGVEMFKKILDSGQAGDNVGLLLRGLKRDDVQRGQVVSKPNTLKTSKRFEAEIYVLTKDEGGRHTSFFSNYRPQFYLRTADVTGKVELPENVKMVMPGDNVTATFELISPVPLETGQRFALREGGRTVGAGVVSKVLQ >KZM81323 pep chromosome:ASM162521v1:9:19195:19674:-1 gene:DCAR_028936 transcript:KZM81323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRGYKLSVAFWKYKLQSFDFVCAVDGAWGRNEGGDIGGGMGGFIKNNQGIILLLFSGPSSASNAEEAEILGVIYVLRKVIEKKYHMKRVVICSDSSVVLGASNKGLDNTYLPNFSFQPFIHVSIFVQYVPRTLNDTADLLAKKGICRPKMIDYWARP >KZM81393 pep chromosome:ASM162521v1:9:659999:663526:1 gene:DCAR_029006 transcript:KZM81393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVFKRFVPEKRLGSDVDDEYNSEYSFATEYTGPLVSYDVPCVAPVHVDRIPIAASIATPDRTKNMALPVVQPVARVDSGKLSKKSKKGLLGDASSMSVTHSNELDVARVLDVSKRHRTDFRVVNVLDTSGELEFLETEECIHRNLNRCASSGTLGFSGSHETSCELSESSGMESLHEDKYNGASFKGHEQEAVKCTVRKGSCHRCLVKIRFREKEVCIVCSAKYCSSCVLRAMGSMPEGRKCITCIGCRIDESKRSTLGKSSRMLRRLLTDSRAKEIMSHEISCAVNQIPPELVIEGEKPCQIITPQLAVGDPIMKNASNGNTNILVNNREITKPELWIMQFAGIRCEGQPHFWCSADGSFQEEGHGNVKERIWFKPKIKLLCSVLSLPIPPESANSDQGKVDDKVVFSTQKRNEPYKLLMVGYEKSGTSTIFKQAKLLYKIPFTEDERQNMKNIIQSNLYRYIGILLEAREQFEEETLNEMRMKFINQPGLSVMLRAVEISSMYYEPSDMDILYAEGIAASNGIASMDFSFSKSKKDSILDSNDEDDPLISGQQSSRSSSSKNPVATLAQRLESDSVDEAIKYATEILKWENEKPNFSMNDWSSGSIEATTTT >KZM82667 pep chromosome:ASM162521v1:9:22353967:22355679:-1 gene:DCAR_030236 transcript:KZM82667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDHPHPHPLPHPQPNQPSFSDCPGGSSSTITDNIYSPGKSKMPWNDCEQDAGVDELLAVLGYKVKSSDMIDVAEKLQHLEEAMGQAHQEGITQLGSDTVHYNPSDLSSWLESMIGELNPPEIGTQSSIIFDDSVSDYDLKAIPGKAVFTNQNQNQPVCKKQKTVALPAVSSPWPVSSVETQPVQVLVDSQENGVRLVHTLMACAEAVQGNNLKLAEALVKQIGFLAVSQIGAMRKVATYFAEALARRIYRLYPQNSQDSAFTDMLQMHFYETCPYLKFAHFTANQAILEAFADKKMVHVIDFSMKQGMQWPALMQALALRPSGPPSFRLTGIGPPSHDGTDHLQEVGWKLAQFAETIHVKFEYRGFVANTLSDLDASMLDLREGETVAVNSVFELHQLLARPGGIEKVMSAVADMKPEIVTVVEQEANHNGPVFLDRFTESLHYYSTLFDSLESCGNNVSGVDGAEIVSNQDKVMSEVFLGRQICNIVACEGVDRVERHEPLTQWTDRFNSAGFEPVHLGSNAFKQASMLLALFAGGDGYRVEEHEGCLMLGWHTRPLITTSAWKLSG >KZM81838 pep chromosome:ASM162521v1:9:6713124:6714076:1 gene:DCAR_029451 transcript:KZM81838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKNLDEKNTIENTHALSYWTTERMQTIDIAQFYSGKVSKMREANKPVALHTTKGEIYLFVVGC >KZM81741 pep chromosome:ASM162521v1:9:5315741:5317454:1 gene:DCAR_029354 transcript:KZM81741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLAHILSLSLFFLNVSASPRTEAQALVQWKESFSSSSLDSWSLSNFRNFCKWTGISCNSAGSVSRINLHDANITGTVALFSFSSFPDLTRLDISSNHFDGPLPSVGTRFSIITYLDLSAHTFEGGIPPEIGNLKELRYLSFYDNNLNGTIPYQPRMNYEEREARKKGYSLEEARSKMTECRKELRNRDSKGDSNEVDTTFSESMRYDVKLYYGGHFVQVPTYSYTSSQFKLYKNIDLENITVNGLKVFLGEIVGEFDSLYFGIDNGRLELLNNASKFEVIEYSRTYCSDEEFVQIKKKGKEDEQRLDELQNEKCNNEEGSDSKDSYYSEDENDLLNEYTSEESDDEICYATPPKSKREKRVDCKLFEFSYEIATYR >KZM81727 pep chromosome:ASM162521v1:9:4959495:4961916:1 gene:DCAR_029340 transcript:KZM81727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNDDEQIVSTENPAAGDLADEFKGGNAKRRIMNAPTAPLKECNFRVLLELTGQANLNHRAGLDLVTVLDVSGSMQGDRIEKLKKAMEFVVKKLSPVDRLSVVTFSLVANRLCPLRQMNESSKVDVIKLINKIAADGATNITDGLQMGLKVLNDRQFKDGRSVGIMLMSDGEQNTGGDAALVQVSGVPVYTFGFGTGSQDPEKMANLLTAIAKNSGEGTYSDVQKTDDLGLAFASCLGGLLTVAVEDLRLTFSPESNSIVQSVSAGDYPQSRDKENDVLSPITVKFGTLYDKETRKVIVDLALPKVTEDISLQVLRVTYKYRNASGRELRYPPLSARVKRTADFKDDEDGEEDEVVVEGTRVETAKMMKDARELADNKKMDDAKKVLDNAQNMLDNVQVDDTRLLEMLKREVGQLLEYLQKPDLYIKHGRSFALSSELSHDRQRFAARGDIEKLRLFSTPRMDAYQEQAKTFIKDPTKPVPTVEEDEKKEIAADPLGPIKGPLGFLLEQIFVAVKAIESLVTSGGR >KZM81765 pep chromosome:ASM162521v1:9:5760888:5762780:-1 gene:DCAR_029378 transcript:KZM81765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLCTVSLLSMFLILTLSSSSTSASKETTCNHTPFPTFCKSIMPESANIHDHGRFSIQCSISMTTNFLALVDRYLESPNTVLSDNTVLALQNCQLLAKLNLDFFSKTLESIRSSDSIKSYKAYDMHTLLSATLTNQQTCFAELDALTSPSIPKDEFLAPLSNGSMLYSVSLAIFKHGWVNATRRGRGRKNPDVSVPEDDGKLRLYPGGPAVNVTQKVVVDPSGNGNFTTINEAVAAAPNNTDGSNGYFLISIAAGVYQEYVNIPVNKKYLMMIGAGINQTVITGNRSISDGLSTFNTGTFIVVGEGFVGVNMTIRNTAGAKNFQAVALRSGADLSSFYRCSFEGYQDTLYAHSLRQFYRECDIYGTIDFILGNAAVVLQNCNIYPRLPIQGQFDPITAQGRTDINQNTGTSIQNCTITPAEDLAATKTFLGRPWKEYSRVVVMESFLDSLIDPAGWSIWDGDFALSTLYYGEYNNRGPGSDTSNRVTWPGYHVINATDAGNFTVSNFLGGDSWLPKTGVPYNGGLL >KZM82853 pep chromosome:ASM162521v1:9:25273995:25281096:-1 gene:DCAR_030422 transcript:KZM82853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGEVKTKLLLALDSRFFWVLSPKYFNFPFPITLTMIHMGFSGAVAFFLIRVLKVVAPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLMAVLCGTDKLRWDVFLNMLLVSVGVVVSSYGEIHFNVIGTAYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFAFLFVPWYFLEQPGMEVSQIKFNFWIFFSNAVCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESIITGLNITGYAIALCGVVFYNYIKVKEVRSSQLPVESIPERITKEWKLEKKSSDIFTPDTEDDGNNGRIVSSASDLNVDEEAPLVPSSRISHIGRSQLSNRDA >KZM83196 pep chromosome:ASM162521v1:9:29525617:29527557:-1 gene:DCAR_030765 transcript:KZM83196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLDFSAPQNDAVTPPPTIIQPKLEPLDSVSDSDSLAPSHPPGIPFPLSQSQPSPPPNPNSNANVYSEFSRISQLFNTAFSDKAPQNDVVSSDKAAQNDVVSSPDALAIVARPQEGLSASVNRIRHPQHSSELVRVTDLNIEDERYFREVVRKTRMLYENLRIYGNLNDEKGLVGRRSRNDLKASSVMRERGLWLNRDKRIVGAIPGIEIGDVFFFRMELCVVGIHEQPQAGIDYLPSSRSSNGEPIATSIIVSGGYEDDVDTGDVIIYTGHGGQDKCFRQCAHQKLESGNLGLERSMHYGIEVRVVRGFKYEGSASGKVYVYDGLHKVVDCWFDVGKSGFGVYKFKIVRLENQPERGSLVLRFAQNLRVRPLEVRPRGYVCLDMSMKKENVPVLLFNDIDRDHEPLAYQYLVKSVIPSYVYQFGSSGCNCVLGCLSNCFCAMKNGGQFAYDERGILIQGKPIIFECGPSCSCPPTCRNRVSQKGVKNTFEVFRSRETGWGVRSLDLIQAGSFLCEYAGVVLTRDQAQVISMAGDNLVYPRRFSERWAEWGDVSRVASNYVRPSHPSIPPLDFAMDVSSMRNVACYMSHSRSPNVMVQFVLYDHSNVLFPRLMLFALENIPPLRELSLDYGVADELSPKLAICN >KZM81619 pep chromosome:ASM162521v1:9:3595468:3595737:1 gene:DCAR_029232 transcript:KZM81619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKGADEVDIMEEGDDIDGDKYNERSYNTEDSDYNEEKEPDEDIESNEESFVYKNNEVAEDNGEDIHINEAVDDSIEDIFLSECKILS >KZM81356 pep chromosome:ASM162521v1:9:285697:289436:-1 gene:DCAR_028969 transcript:KZM81356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDILGVSVDASATEIKKAYYVKARIVHPDKNPGDPKAARNFQELGEAYQILGDSEKRETYDKKGKSGVQGESMVDPSTVFGMLFGSDLFEDYIGQLALASMASVELEMESVVPEAIQKEREEKLIKILKGRLQPYVAGNKDAFVNWATTEASHLSQAAFGEAMLHTIGYIYTRQGAREIGKGKRYMKVPFLAEWVRDKGHIIKSQVSAASGAVSLMQVQEEMKKLNQGENKEDMVSKTMQEKKDSMIQSLWKLNVVDIEATLSRVCQAVVKDTNVSKDIHRQRARAMKKLGTIFQGAKSTYSRENSLRHESDKPEDSSAASK >KZM81616 pep chromosome:ASM162521v1:9:3526364:3526780:-1 gene:DCAR_029229 transcript:KZM81616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFTCKSTVSATAMMNSSGSPQASVEHLLTNDSFRHVMVGAIPFLTRLANQLWAIYAPLSRAIIKGYASVILETDNWEAFRTVRDFLMGAPAAVYDLVSQIDILLKDRSWTCVIAYVLGSIIQISRMWCFLTRPKIL >KZM82768 pep chromosome:ASM162521v1:9:23769804:23769983:-1 gene:DCAR_030337 transcript:KZM82768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEAAAANLCKYKLRGELKELEESCDDDKCRDYCKGRGFYSGICAGNGCFCLSECPRD >KZM82074 pep chromosome:ASM162521v1:9:10816522:10817197:1 gene:DCAR_029687 transcript:KZM82074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSCKGWTYTLIRERQKIEETEGTFGLGEIMAPVEDNEFIKDNAQLKSNSDDDKSATNEMDDVEGHNDCETDDNSVKELVKNDN >KZM81452 pep chromosome:ASM162521v1:9:1369271:1369459:-1 gene:DCAR_029065 transcript:KZM81452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETHDETHGTAILCANCITSVYNWSSRSAFRQRGWVYAGETPMKGEDPSVFKDMDDEEAIK >KZM82685 pep chromosome:ASM162521v1:9:22505258:22506265:1 gene:DCAR_030254 transcript:KZM82685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPASNPNPYGKPSGYQAQCSTKAPTSWSTGLFDCFSDVPNCCLTCWCPCITFGQIAEIVDMGTSTCFTSGAVYALVLAIMTGCGCCYSCFYRTQMRDQYMLTESPCPDFLVHCFCEPCALCQEHRELRIRGYDMSLGWEGNMEKQNRGMEVAPEVEEGMNR >KZM82609 pep chromosome:ASM162521v1:9:21819679:21820161:1 gene:DCAR_030178 transcript:KZM82609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNTNANTKHPFLVTALILLMLTPSHAATLSLWNRWKARVAGNLFPKVEVTLYNESDDKVLYMCSFDENQRSLQVLGAGQQNSWNFTQFAFPLHWCYLYVNEERHGFFWAYGVRSRCIKCFWKVGKFPFLYRSDRNRWERQQLFPPQNLKFNLTWPRDN >KZM82256 pep chromosome:ASM162521v1:9:14849412:14850064:-1 gene:DCAR_029860 transcript:KZM82256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGRNQLSVIREVCLYRCKLDWDPGASEAELLKPLEDLLNSPTPFVSGTKVPPPGEKNDNDVEDAASEQVPYYASDPDVGFATSDFPEVPKESLPSKYSY >KZM82723 pep chromosome:ASM162521v1:9:22984310:22987529:1 gene:DCAR_030292 transcript:KZM82723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNVMEPCRTRKRKRVWWVRESGSGLNGPFRENVRLFLQEFGEVEKGGGASGMDVWCVYVGGGERSEVGLKLFVVEECVESSLLPFCNHCKFIGWGHHFVSKRRYHIIVPSDDNLNKPLNGDFLQNRNHLLHGLIHCNGYGHLLAINLLDDDDSSSLHGNDIMELWDRLCTTLQIRKVSLHDYSRKKALELRLLHGVSYGTTWFGKWGYKFGHGSFGVTEEKYDRALQFFSTLRLDKIISDFKNTVRGRKIEQIVRSYREVNEVPLVTISDLLQFVLSFVSKTSIERKVALPSPRRVYQSDGKKIDKPLILSTFVSLANIDCRWPAKRVEYTVHVIVNLLNQNRAIAGGKSSMTRQELRAGARGYVGDTGLIDFVLKSISCISVDNQIVSRSINSLTKLYEFEIHDNVEEQEDSANEPSTFASSLARLDSRWPKQRLEHAADVIVNILKVNKAMLIGSGAMSRQELRDEATQDIADTGLIDFVLKSINNYSMIGNEIISRVKNPSTRLIEFAIRDENATVVAHQPGLNVYADVLFLYRNVLLGYPEWDPVSIATRVILDSKQFVKEWLHDQEEISDQFMTLTCRVLPRFDELETELTRPLCPGEVVMVPSGMTVGELKLEAQCALRDTYCLMKDFVVTQIGGLKGIEEGLMVSYALDHGAEVWVRGIGLDLETGLRYQGGPDDGKVDCVCGTRSDDGERMVACDGCHVWQHTRCRGIDDDESSPALFLCYKCVVHG >KZM81853 pep chromosome:ASM162521v1:9:6893676:6900221:-1 gene:DCAR_029466 transcript:KZM81853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEEKQAEHIAHFVQQASILKGASLSKLIVDATSHPSLFAFSEILALPNILELQGMENSAYLDLLRMFAQGTWSDYKRNADHLPQLVPDQVLKLKQLSMLTLAETNKVLPYDLLMQELDVTNVRELEDFLISECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPEQLGSMIQTLSSWLTTSENLLSTIQEKIKWADSMSELDLKHKKEVEERIEEVKKTLPHKIILVFLLPGLKNSSERHEVKTYEQAELEFRGHEEFFSESGGVMDYDEDRTRPKRRVIVDIL >KZM82857 pep chromosome:ASM162521v1:9:25307182:25307598:1 gene:DCAR_030426 transcript:KZM82857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNSGDRVEVLSKQDGFLGSYYEGIVIKEVADREYQVMYKNLVDEVSKMPLLEAVKEEDLRPAPPKVHAMQFGLGELVDAFDRDGWWVGVVVSEKSPGTYGVFFDLYPEYKCVYPASSLRVHQDWVNNKQWLSSLQY >KZM83415 pep chromosome:ASM162521v1:9:31594765:31595457:-1 gene:DCAR_030984 transcript:KZM83415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNSVAAKRLIIIVQIILAVFLTFSANSEARLMLHNRRSSLALFHKLGFKLPVHDTGAAKRLVPSGPNPETSPGTPPPGAETKRLVPSGPNPETSPGTPPPGAEAKRLVPSGPNPETSPGTPPPGAEAKRLVPSGPNPETSPGTPPPGASEVKRLVPSGPNPETSPGTPPPGVGGVKRLVPSGPNPETSPGTPPPSSGEVKRLVPSGPNPETSPGTPPPGVLSATRLG >KZM82666 pep chromosome:ASM162521v1:9:22337789:22338856:1 gene:DCAR_030235 transcript:KZM82666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKQKKNQGDKRNRLLVSVTVMGSAGPIRFVVHEEELVANVIDQVLKCYAREGRLPVLGSKPDNFVLYSPIAGTEALSPADTIGSFGVRNFMLCKKPGLDKAIEQDAAPKMTRKSSGSWKTWFNKSLNLKISSH >KZM81343 pep chromosome:ASM162521v1:9:192296:195447:1 gene:DCAR_028956 transcript:KZM81343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVKVIREALSKTLVFYYPLAGRLRQGAGRKLGVECSGEGVVFVEADADVTLEQFGGEFQPPFPCFTELLFDVPGSVGILDCPLLHVQVTRLRCGGFILGLRINHVMCDGAGLFQFMFALSEMARGASAPSILPVWQRELLNARDPPRVTCNHHEYDDGDVTNRATTPDDKMVHRSFFFGANEILALHGLIPRNFMITCSTSEVLTAFLWRCYTRALRPSPEEKVHLLYLVSARSKFNPPLPRGYYGNAFASPAGFMTAKKLFKSSIGHTIEFVKQLKRDVTEEYMRSVTDLMVLKGRKPNSIVARSLIVSDITRAPFGDIDLGWGKPVYGGPAKGGLGGDQPVATCSYLSFKNKNVTRRSPELIRPAKPTPHEYKLLSDRDDQEGLRFQIPVILFYQNKNDVEKMDPVSVIREVLSKTLVFYYPLAGRLQEGGKKLCVECTGEGVMFVEADADVTLDQFGDELGPPLPCFDQLLFDSCTHPQFNSVASLDIPLDDIVHRSFFFGPAEISTLCQSVPPELGKFSSFDLLTACLWWSCIRSLHLDPEEENPIGHALKLVKKAKEHVTEEYMKSLADLMVLKGRPQFSTSARIYVVSDVRHAGSGEVDFGWGKPAYGGPVRGEVMVSSYISVKNKKGENGTLVPVYLPSFAMERFVVELDRMLKNNDQSVIGDTSLHIRSAL >KZM81702 pep chromosome:ASM162521v1:9:4643866:4645630:1 gene:DCAR_029315 transcript:KZM81702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATRLSLLDEIGKRKAEDALGLMYSQWQRVADLLLAQSGLRFPAPSNCMQFEIGPVEQFCQELVVTRFVSEALGQGLARAETEIAAEEIFKAKDQEISRLRDRLQYCEAVNHEMSQRNQEVIEVARKQRKKKKTLQKWLWCGLGLSITIGASVVAYSCLQQTSKYRAFADFK >KZM82895 pep chromosome:ASM162521v1:9:25687467:25687808:-1 gene:DCAR_030464 transcript:KZM82895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIYFSQNTSNRTYKYEKDFIRDEDVDAILPGKMGSSGHDLVATEYCAHPRHNKVMKPHQVAGFNFLARNPVNDNPGGCILAHAPGSGKTSMMISLPEFHGKRSFSKTTCSAA >KZM83402 pep chromosome:ASM162521v1:9:31507309:31510659:1 gene:DCAR_030971 transcript:KZM83402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAGSTLLRTGIGLKLHSIYSSIPALVFHPNPKPTLLSSISIHINNPKHKHPFKSFASLTESDPPSSLPSQDPLQDLVGSFELPDDYFKQLPSDLRLDLNDAAFDLSKGPVIDECGLELGETLLNITRAWEAADTSTSTTLVGKLPMLVASASANTFGKRLVSSGRRFQSMGQYGDGELQKIAEAMITVGKLYSASPVSTAANEQPAQETRMFKFGELQVALTADKAYIGAVIAFTFGLLSWELSQGIQSIPESSLQYANENALMLAKSLRGAALAVCYASTVLSAFTAGGLVLLGGQLKSERK >KZM82759 pep chromosome:ASM162521v1:9:23692179:23695301:1 gene:DCAR_030328 transcript:KZM82759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVKQNEEKEGPPHQITAEEEALKRNTDCVYFLASPLTCKKGIECEYRHSDIARLNPQDCYFWLNGNCLNPKCAFRHPPLDGLLGTQVTTSLNLPPSHTMVPVSSQGLNVPGKQSVPCIFFQKGYCLKGDMCPFMHGPYSLNNKATQSAGATPVPESQPNKKTFGGGLGNHTQVQKLSQGNAPKSANLPTQEKSADVLAKVPLRNRISTGRSASIATGLDNELPQYRPGNVHSFSNGNLVSRSNRNHQVHIVEDQSVSNVKDADEVSRELSPGFDVLVDDELRDSEYYPDEDQFGRSTGHNGMNLNASNEYENKRSGDYGPVADVDRDLYDKRNYDSFERLQGHSGRDVQRSSERVLGGPAYTHRRRYPRADSPGQINGSDLRHHLAKQKRNTGLRSIISRDRTLLNSADDRSFQAPRRDLLPTHDTMVSSRLQGRIKLPGRSASPIPPLSENEIDRARNRSRLSAERPLGRLRDRIKGRVQEDFINDRNFRGSRIRRDIVDDNNAAFSGPKSLSELKVAKTSEIYEKHPSERMSHGKRKYQKLEEGQLGEGDVSFEGPMPLSEILKRKRGGNVVSQESGSTTAEEHNQMEIKQHLMIPTEAKESNDVLFSAAKNEGSIPAAGKVVVTEGNNKLHEGQPSLQRSTSELQIEDGMIGDEAFDQKGDDFDYDEQADGKDYNLDDVENGDPEDDYFDEDDDADDFAKKMGVVFS >KZM82317 pep chromosome:ASM162521v1:9:17204250:17211536:1 gene:DCAR_029815 transcript:KZM82317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYLHDLQLHQEEILNLGVAVTRKWAETDSAERVHGMNLIIVDKYVTTTSMADNHMHCWIPSDLVNTLAKKFVEGGHYVITDFPVQPYNQKNHCFDAEIHIVLHNNTIITDMQGSNIDIPKDVFHFTSVKTVDLKPMEPVNGPAYEKHIYLDFYLIDLIDRVPVRFWDHFALQLQKGMTENRRKPSIITISSCKIMKNQYNGENTIRNVKATRMFLNHQSDRDTVLRKRFMKIKKMEFITHSPITKLNSSTIKWTIKARAQAVWKGITRDSKEFRGLNILFVDDSRTRIHGFIAAKLAPMFEKEIMEGEIYQITNFTVQDYTGLEFNRCVRFEKHIFFSHYTKMEICNTDVNVTLFDQLARAFKEAMKNRETGNTVVILSSAKIGKFQGDLNLTNYPSTRFYINPDHPAVKRLLKRSKDKTFLVEKEVKLTQLEKAKVNCKVAVKKIQEHLNWFFYVCTKCNVELDVVDGRYKCTGCSRFFPWPQKRFRLFALCYDKTGVLPLMFADREIRRLTGKMVFDVELHLTEEEEGKFPVLLKNLLNKEYDLTISINEDNISKNNEVYEVCDIQIDLQETAGNMKDVDHMSEDAEDDNDNPVMDAETEGSHNISKEISLPTMHNKEKKVQAAGVSSQKASFKRGKAIKIKKEKNATKQKKTVNEGDDSMLETKKKGRKLIEVISESEDEDMTLNNYKKKTDFNCLSELSAGSFSWKIKVRIVRNWKGVSTSGDGWKGDCRMHAFVPGKVYEEHEAKLKDGNICIISNFTIKEYDTSEKFRFVNHDKQIILTNFSQIEQLDHEDGLIKKNMFDFFDLSQLELISDKNTSLTDVVGIIEKDTPLGDLINRFGKKQKQVKFNIVDGRTSVNVCFWDAMAEEYNQAIEDNSKQYEVANVTATKFYINYEDESVATLGKMYAQGKFSKYDFVNHVKQKNVTLVLADIKKLPIEYAEEIHVVAEDKTGEIDILLLDREIGTIFNLAVIDFDEEVIQNKKVPHIIKALENQKFGIKLLIKDTNVLKQLDTYYATGIYACSSNENRPEGEILTPHSTIPTTVTLGNTEESGPSYHIEDFSDPNIKSPEVDMRPKRKKKLTKKYCD >KZM82691 pep chromosome:ASM162521v1:9:22560503:22562557:-1 gene:DCAR_030260 transcript:KZM82691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQVNSKLPSSDNHYDGMSMEDQNILQKHVAFFDQNNDGIVYPWETFKGFREIGCGVLLSSVAAVFINTGLSGKTRPGRCPSLLFPIEIKNIQKAKHGSDTGVYDSNGRFIASRFEELFRKHARTNPAYLTSDELMGMLKENRDPKDYVGWVASYSEWKILYMLCKDNNGVLTKDTVRAVYDGSLFEQMAKDKAAASSRKRT >KZM82567 pep chromosome:ASM162521v1:9:21207493:21208180:1 gene:DCAR_030136 transcript:KZM82567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIEEIPVICADVHRHVDSFESDDSVVSSDNENSEFEIDAKQVSENNKADPTYQVGADGTKYWTSKCDEKKKPQLNQHFTTLDEISRSSKIDGNAHRVDNFSGPVPEGEISMQNLDISRNKGCGSCLKSSRELGAQDEKKKKKCGNCNQLVSRNARRTCPEPPKNIVEPL >KZM81558 pep chromosome:ASM162521v1:9:2620808:2625270:1 gene:DCAR_029171 transcript:KZM81558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKRDLRSSYPPPPLPKHPPTEDDTDPIRTTTSTTALRKKATGTRPWLVLDSSAQTHVMEAGKHSIMRKTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVFLLNAKDPSVAPFVEHLVRRVMRHCQAVKSQEGGVNADNTDWTNLYDLEDSQSRPASPPKISGSFPDNENGSMQDGKQALENRDGLKLLPFEFIALEACLEAACSCLDNEARTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEQLLDDDEDMAEMYLTDKLLQHLENSTVSSINEEEGIEDEVLQSEVGDKSPDDIYAEVDLQHADSQRNHLFGASNALGRESHGTRTSTTRSAISKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVVAGVFGMNITIDLFDPKKHGLREFLWTVCGSATGTIFLYVAAIGWCKHKRLLE >KZM81718 pep chromosome:ASM162521v1:9:4785249:4785671:-1 gene:DCAR_029331 transcript:KZM81718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSSSTVQNTDLCQCGLLPVLKTSWTDNNPGRRFWSCRMYLRNERKGCGYYRWHDPPVEGRSKNIIPGLLRKIEMLEEEIKELKRKEQKDAMWLRVVIVVVVLILGLALLR >KZM81830 pep chromosome:ASM162521v1:9:6619266:6625694:1 gene:DCAR_029443 transcript:KZM81830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIDYNSIGTTRSTTTIHRITNLNNSQLFTFPSQNQVKITNTHLRNSLNLCLKCSKVENFETHQKILPRIMSDPHSIDQDLLQKLVYDALVWSSLHGLVVGDRNIQRSGVVPGVGMVHAPVALLPTSFPESHWKQACELAPIFNELVDRVSLDGKFLQDSLSRTKEVDDFTSRLLDIHSKMLQMNKKEDIRLGLHRSDYMLDEQTKLLLQIELNTISSSFAGLSCVVSELHRSLINTYKKQLGLDSQRVPINTAVTQFAEALARAWTEYNNPRAAVLFVVQPEERNMYDQHWFSLILNEKHKITTVRKTLAEISAQGKLQPDGTLVIDGEAIAVIYFRAGYAPTDYPTESEWRARLLMEQSSAVKCPSISYHLVGTKKIQQELAKPDVLERFLDNKDHVAKLRKCFAGLWSLEESNVFKDAIERPELYVMKPQREGGGNNIYGNDVREALLRLQNEETNEDAAYILMQRIFPVVAPTILMRDGSCHKDSAVSELGIYGAYLRNKENVVVNDHAGYLMRTKVSSSNEGGVAAGFAVLDSIYLT >KZM82901 pep chromosome:ASM162521v1:9:25722347:25723943:1 gene:DCAR_030470 transcript:KZM82901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSHQSGPIPCLTLYVLVALTFISSLDVVHCGSSKQKPNVVDAHLLTEKIHSNRTIKVCQDGKGEFTSIQAAIDSIPEGNKDWVTVHVRKGVYREKVTIPPKKPFIYIRGNGKGRTAIAWSQSSTNNTESATLKIQAPNVVVFGLSIKNEAPTGIPFSSQNQSVAVLAGADMIAFYHCAFFSTHNTLFDYKGRHYYDTCYIQGSIDFIFGRARSLYHMCEIFVIEDKKVEIHGSITAQSRESLKENSGFVFQYGRVYGMGHVYLGRAKGAFSRVVFANSYLSNTIVPEGWSLWRYDDGGTQDLFQAEYKCHGPGATTEKRANWSKQLTEKEVGAFLTIDYIDGKEWLPAWI >KZM82232 pep chromosome:ASM162521v1:9:14172911:14176541:-1 gene:DCAR_029884 transcript:KZM82232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAIKEKCPFKIDIGAVYSVDPAKRHAYAQAGDNVFTPVERELVFDIDMTDYDDVRYCCSGADVCLDCWPLMTIAIKVLDTSLRDDFGFNHILWVFSGRRGVHCWVCDAKARRLNNEQRAAVADYFRVYKGNENSHRKVSLMGQALHPFLARSYTNVLKDFFERKLLSSQQLLSEERYEKILDMIPDEGITSDLRGKWQDNRRSSMGKEDINIFRWDQLKHLLQSGKQKAPGLRRCVEEIVFSYAYPRIDMEVTIRLSPSSLLLVSKHMNHLLKAPFCVHPKTGKYLKSCRVCVPIDPDRCEEFDPTTVPTLSKLLGEINMGLRTEGDNEWDATSLGQSVRYFRSSFLQPLLKSCKEEIESSYIAKKAQSRNSLSW >KZM82595 pep chromosome:ASM162521v1:9:21657435:21657911:-1 gene:DCAR_030164 transcript:KZM82595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKGSLTLLQELYAGDDDDENERKEDEDDALGAEGFGKVEFVDVHSLFEVRDFDENAVNPYVMNLEMNHHERNDIVHPQEEVDDDDDEDGKGSLEFQRLYDLAFKNKKMVASCDVQEDDEDDDDAAEGDDVDNQEGDVALVQEQDEVEIDIEYKKI >KZM81780 pep chromosome:ASM162521v1:9:5900498:5908813:-1 gene:DCAR_029393 transcript:KZM81780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHLHHHPSLSLTLLFSLSVAAGSLSNPNSKHSLRRFRPVSSATPSHLISLLGSPQQASSVNAYEARQLQSCFKFIVPFDPTRPGLDFGSGVDPVRRVLSGGGVESGLVEATRPGFGSGWPEMRREEENEAVWWPPEAVRELARIAVDSGGDVGAIQWALDPSIIPVPDIEGSKENRCELTRTPYGLQFISEDLNSYLEFLFNLIAVRGPDVGLNVSLNRYDFFHGHIFLASDSGRLGSNVQYDESMNLRNILWLAPLPDTSTTAWLAPGVLVVLDARPDGIIYKDLIPRYAHYVRTLYEEDFGECVADVNYLNCGGATPEYKIFIC >KZM83643 pep chromosome:ASM162521v1:9:33648442:33651031:-1 gene:DCAR_031212 transcript:KZM83643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRLASEMEVQPGDECRNRFSGRRATNLNPQTIGLHAAGVVVNNISNGNYNNTGSSNHPQIPNYSTTTVMFSSSAINTSTCIMDGSSHQSASPSVCVFSGLPLFPPVLQQQQQPLLSSPPIVPLITPTTTTSPIGTQVGTDSNSDSSAAMAWIDGIIKDLLHSSSPVSIPQLLQNVKEIIHPCNPSLASLLESRLRTLTTTTTMDPDTMRKETTSPAIRNSQYPHYHHNLQITSKVTPQLHPSSAAPPTGLVDVDNFVAVAASASASVNHYNNWELPQTATSSNEAMPTAHIPVMLSNQIKMHEDSMLPPPPAAADASQVMDDAAVFREKRKEDIRQLKRDEEGLYLLKLLLQCAEAVSADNFEEASTMLLEISQLSTPFGTSAQRVGAYFSEAMSARLVSSCLGIYASLPTTMVPPHGQKMASAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPSVRLTGFGTCMEALEATGKRLSDFADKLGLPFEFSPVADKVGNLDPERINVSKKEAVAVHWLQHSLYDVTGSDANTLSLLKRLAPKVVTVVEQDLSHTADSFLGRFVEAIHYFSALFDSLGASYGEESEERHVVEQQLLSREIKNVLAVGGPSRSGGGELRFNWREKLQQSGFKGISLAGNAAAQATLLLGMFPSDGYTLVEDNGTLKLGWKDLCLLTASAWKPLYN >KZM82904 pep chromosome:ASM162521v1:9:25759226:25761168:-1 gene:DCAR_030473 transcript:KZM82904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLVREYDEERDFKMVVELEKSCKTTASPSNEGMSILSNMMGDDPLCRIRLYPLHVLLIAEILSEGEIVGIVRGCIKYVEIGVHERRRQVTMGSILGLRVSPTHRRKGVGVKLVQSIEEWLSKNGATYTFAATEENNAASTNLFTLRRNYIKLSSLSIFVQRLNPPLDDLPEGVNFEQLPTDQAISFYQTRLGAKDMYPTDIDMILKEKLSLGTWLCHFKEDNVKINHNDNKITGDSWMIFSIWNTCEAYKLQVKRTNHHSSPVYHAIEDDEENPKKMACDSIEKPFGFLFLFGLLGEGDRLGELVKTLWSFALQIAENVKDCKAIVTELGFSDPIREHVPEEVSTACIDDSWYAKKINNISSEDDDLVLKGELGNVFVDPRDF >KZM82940 pep chromosome:ASM162521v1:9:26073990:26076270:1 gene:DCAR_030509 transcript:KZM82940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEHKMISANGLNIHIAEKGEGPLVLFLHGFPKLWYSWRHQIHFMASHGYHAVAPDLRGYGDTTGAPLEDISKFSVAHVVGDIVALLDVIAPKEKVFVVAHDWGAYVAWHLSLFRPDKVRALVSLSVYYMVRDPARNMVEALRAFYGDDYYMVRFQEPGDMEAEIADKIGTKTFIKKFLSHREPGPFFFPKGAGFNGDSTDSPLPSWLSEEDLEYYSTKYEKTGFTGPINYYRALPLTWEITAPWTSAQVMVPTKYVVGDVDLVYHMPGAQDFIHSGEFKKYVPLLQEIVVIKGAGHFINEEKPDDINQHILDFLQQF >KZM83042 pep chromosome:ASM162521v1:9:28248911:28249156:-1 gene:DCAR_030611 transcript:KZM83042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYDQSQLIRAIKIGLLCVQPRPEDRPTMSMVVLMLTSDIELPQPKDPSLDLYENNFNMNLDLDNISQNSLSTSSVLAPR >KZM81673 pep chromosome:ASM162521v1:9:4360207:4365487:-1 gene:DCAR_029286 transcript:KZM81673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDLNEELSKKTAVFGLQLWELIAIIMGLFIVVILLVSAFLFTSRKRPRKITEIIPLTRIPAVSKEIKEVKVEQVSSNEYVPREGILLTIHDKASDNEADKVLVHLGMGKKKNGDNNSSQGSFNHIDKDGYGSHSGEGSSGTFPADKPSSSHQLTAPSPLTGLPEFSHLGWGHWFTLRDLEVATNRFSKDNVIGEGGYGVVYRGCLVNGSSVAIKKLFNNLGQAEKEFSVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLRGDMRQHGYLTWEARMKVLLCTAKAYVAPEYANTGLLNEKSDVYSFGVLLLEAITGRDPVDYGRPAQEVNLVEWLKMMVGTRRSDEVVDPNIETRPSTRALKRALLTALRCVDPDSNKRPKMSQVVRMLESEEYPIPREERRHRRSQAGSADFESYDTDRSDNPESRSVIEMVSDSAEAIGVVSKDMKEFLQSDCDQIPHSLTQLSKIARCDEFSMSLSRASEAVTLGVLRGCRGGIEDENGGVLGFADRVFDKMTSSAGTGFVSVVAGSFAKNLVLGSRTNKGVVSVGSRLPDWVVDVMCDERCKVVVAEYIKAFVSTAVGVYLDRTMSVNVYDDMFSGLTNPKHQGRVRDVFVSVCNGAVETLVKTTHEVLTRPNSDSNPSSGVIETIGSPRATVDALFDQNARSLQEKISSFDIQNTDWVSSFSSTLSVPSNRRFVLGMTRRVTYETTRSFMAFFLRKLVFGLRRGFYLVHDEVMDRGLEVIRYVGAKSFVVITVCLVLSVFCILCKQTPFLYM >KZM81960 pep chromosome:ASM162521v1:9:9170940:9182774:-1 gene:DCAR_029573 transcript:KZM81960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSHVWVEDPQVAWIDGEVTQIKGKDATIVTTNGNTIVADLSKIYPKDTEAPPAGVDDMTKLAYLHEPGVLENLASRFAINEIYTYTGNILIAVNPFRRLPHLYDTHMMQQYKGAAFGELNPHLFAVADDCYRAMINEEGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKHGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEEAKKFKLGDPRSFHYLNQTNCYEVANVDDAREYLETRNAMDVVGISEDEQDAIFRVVAAILHLGNIDFVKGKEFDSSKLKDEKSLYHLQTAAELLMCDEKSLEDSLCQRVIVTPDGNITKPLDPAAAVLSRDALAKTIYSRLFDWLVDKINNSIGQDPTAKSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKATHETFAQKMYQTYKNHKRFSKPKLARTDFTINHYAGDVTYVADQFLDKNKDYVIAEHQALLDASKCSFVANLFPTLAEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNSVLKPGIFENFNVINQLRCGGVLEAIRISCAGYPTKRSFDEFLERFGMLSPDVLDGSDEKSACVAICDRMGLKGYQIGKTKVFLRAGQMAELDARRAEILAFAARRIQRQIRTYLTRKEFITLKRATIQMQKHWRAQIARKLYEHMREDAASIRIQKHARACAQRKSYKKLQEAAVVIQTGLRSMAARNEFRHRRRNRAATTIQTQWRVFYARSTYNQQKKANLILQCLWRSRIARLELRKLKMAARDAGALREAKDKLEKRVEELTWRIDFEKHLRVDLEEAKGQEITKLQNALNEIQEQLEEARAAVIHEREAAKIAIEQAPPVIKEVPVVDNTKLEQLTSQNQELEEEIMELRKRADEFEHMFNEAQTESKERLKEVEESQLKLAELQTTLERLELNVSNLESENQVLRQQALVASNNEELSEEIELFKSRIKNLESENEFLRNQKVVVEQIPVLEQAMPPAQSLNNGHLNEDKNEAAKIQEETTKVEEQTTKENHDVLIKCLMEDKRFNKSRPLAACIVYKTLLQWRSFEADKTSIFDKIIHTIRSSIQDQDSVEDLAFWLSTTSTLLFLLQSTIKASNAPNVSSQRHRASPTTLFGRMAQGFRASSMGGGISSGYSGMEGKPNTQSKIEAKYPALLFKQHLTACVERIYGLIRDSLKKDISPFLNLCIQAPRSTRIRSIRGSSRTIHSNIVAKQQASNIHWQSIVKSMDSTLKILSENYVSSVITRKIYTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLQEFEQWCIKAADQLAGSSWDELQHIRQAVGFLVMHQKSQKTLEEITNEVCPMLSIPQVYRIGTMFWDDKYGTQGLSTEVIAKMRAVMAEDSISVPNNSFLLDVDSSIPFSMEEISRSFLDVSLSDVEPPPLLRQRSDFHFLLQQID >KZM82677 pep chromosome:ASM162521v1:9:22436209:22437859:-1 gene:DCAR_030246 transcript:KZM82677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFLLTCLLVFASALIVHGATGSLCSQTPYPELCTSFMVSHKPDQETTSSIVHRDSALSATMAQAVQAHRLVSDMDTSSFEERAKSAWADCLEHYEDSIHQINRSMSTDKRDKSNNNVQTWLSSALTNHQTCQDGFTDFNLSTTYSNSFPLNNFTKFLGNSLAINKALMSSQTFGLSSGNSKGRHLLAQDMPEWISVSDRKLLQATAPKPDLVVAQDGSGHYRTISEAVAAARTGTKRFVIYVKKGVYKENVEIKKSVKNLMLVGDGMDATVVTASKSNADGSTTFRSATFAASGDGLIVRGMTFENTAGPQSHQAVALRSGSDLSVFYSCSFKGYQDTLYVYSQRQFYRNCDIYGTVDFIFGNAAAIMQNCNIYVRKPMSNQFNTVTAQGRTDPNQNTGIVLHNCRITAASDLKTVQGSFKTYLGRPWKQYSRTVVLKSFLDGLIHSTGWLPWSGDFALKTLYYGEFMNSGSGAGTGGRVRWPGYHVITGVQEAERFSVRSFIGGDSWLAATGVPYTSGL >KZM82716 pep chromosome:ASM162521v1:9:22929305:22933780:-1 gene:DCAR_030285 transcript:KZM82716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLELASGEGPSPSLGRMSRRIPRVMTVPGIISDLDGDPSESVCSDDISSVAQSDRLIIVANQLPIKAQRKTDGSNGWTFSWDETSLLLQLKEGLGDDDIEVFYVGTLKEEIPPNEQDEASQILLETFNCVSTFLPPDLYSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNADLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMSQLQSVLSLPETEAKVADLMTQFRDQGKIMLLGVDDMDIFKGISLKLLAMEQLLIQHPEWQGKAVLVQIALPARGRGKDVKEVQAETDSTVKRINETFGKPGYEPVILIDQPLKFCERIAYYVAAECCLVTAVRDGMNLIPYEYIISRQGNERLDQVLGLTSNTLKKSMLVVSEFIGCSPSLSGAIRVNPWNIDIVAEAMDCALEMAEPEKQLRHEKHYRYVSTHDVGYWARSFLQDLERTCKDHVGRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQCAIDKSPTSRTIEVLNNLCRDKNNMVFIVSARSRNTLSEWFSECENLGIGAEHGFFFRLNKHAEWETCAPVVDCSWKQIAEPVMKLYTETTDGSTIEDKETAAVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNVVEVKPQGVSKGLVAKRLLSAMQERGMIPDFVLCIGDDRSDEDMFEVITSSIAGETIASKTEVFECTVGKKPSKAKYYLDDTMEIVRLMQGLAYVSEQALLEMPR >KZM83630 pep chromosome:ASM162521v1:9:33518601:33521370:1 gene:DCAR_031199 transcript:KZM83630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRSRNHSRLSGGSLVSIPTFSSSSITQKRAYAPPSVVSTDDATCGTPMWMEKGFACVCFKPKGSYRRMCINLTPSQASVDCILKYKAICMIIDRRRDDLGNFNSYVSMMPALNLQEARLKRLKHRMKVNYEPSRSEHREALRALWYAAFPRKELHDLVSEQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFHNLLKKQGGKRATWEYPFAVAGVNITFMIMQMLELHSTKPASLARSVFIQMLSENEWAFDLLYCVAFVVMDKQWLQRNATYMEFNDVLKYTRSQLEKELIMEDVLRIEDMPSYSFLC >KZM82610 pep chromosome:ASM162521v1:9:21821511:21821810:-1 gene:DCAR_030179 transcript:KZM82610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGAAVLIMFVITTLVISPSRCAAAAVTCNAVELSPCANAITSATTTPTPMCCSKLKEQTPCLCNYMKNPFLQKFINSPNARKVADTCGTPFPTTCT >KZM83391 pep chromosome:ASM162521v1:9:31400202:31401530:-1 gene:DCAR_030960 transcript:KZM83391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHLISLLLFLCSEAHASLESSPPTGFSLDLIHRDFSPLSPFHDPSATHSQRFRNLLARSKSFHPKCRSLSKSKSSCVPESIVRQIDGQYLMKLLIGTPPFEVLVDVDTGSDLTWIQCKPCKRCFHHVNPLFNPKGSSSYRTLKCASRQCKAFDNVMGKCTRKHNKCRYNYTYVDGSATYGHLATETLTLGRTKIEKYVFGCGHDNRGEYYGNMSSGVLGLGGGPVSIISQLEEWIQGRFSYCLVSFFKNETSTIQFGEQAQVASGKAVSTPFFQGLDKTSYYLYLEKMKVGNKSFEVPWKESGGYIESGFITLDSGSIMTYLPSGKLYDGIVATLKEAMGDVETIDDPEGNFHFCYKTNANVKPPPIAFQFKDATLEIPQTSTFVEAADGVSCFTVAPTRWPILHPIFGSIMQWNFLVSYDLRKKMVSFQASDCSKQILN >KZM81372 pep chromosome:ASM162521v1:9:491839:497676:1 gene:DCAR_028985 transcript:KZM81372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLRGFCLSLFVMLLLFKPTLQQPRAVELSSRIERVALLQLRSSLGLRSKDWPIKADPCTVWAGILCENGKVIGINISGFKRTRIGSQNPQFNVDSLALFSGLLSFNASRFMLPGSIPEWFGQRLRTLQVLDLRGCSVVGAIPPSLGNMTRLSELYLANNDLTGTIPDSLGQLSSLYILDISQNGLTGVVPPSFGSLKNLTSLDMSVNYLYGIIPPAIGALSKLEILNLSNNSLSSLIPSQLSNLSSLVDLDLSFNSFSGSLPDFRVLKNLQRMVIGSNQLSGYLPDNMFAPLTKLQFVILSHNNFTGEIPSILWSMPSLRFLDASANNFTGILPNLSFVPSANSAIFNLSRNMLYGGLTTVVERFSFIDLSDNYFQGKVPDYARSNVSLAENCLRDQTSQRATSPCASFYAERGLAFDNFGVPNATQPPPRKPHKNNHKRLIILAAVLGSVGFIALMIIVLVLLIVCTRKRTTTSQRGNVVEPVLTSTSPPPPGVSLNFSSLGEGYTYQQILQATGNFSDADLIKHGHSGDLFRGILEGGISVVIKKIDLNIVKKEAHMQELDFFSKISHLRFVPLLGHCLDNESEKYLIYKYMPNGDLPSSLYRKTRSEDDSLQSLDWITRLKIATGVAEALSYLHHECSPPLVHRDIQASSILLDDKFEVRLGSLSEICAQEGETSQSKITRLLRFPQSSEQGASGGTGTPAATCAYDVYCFGKVLLELVTGKLGMSASSDGAMKEWLEGTLPYISIYDKELVTNIIDPSLIIDEDLLEEVWAMAIVARSCLNPKPARRPLMRYILKDLENPLKVVREESTGSARLKTTSSRGSWNATVFGSWRTTSDVAAAPAATTSNKMEGANSLKHSGTSGSQGSGQNNGGDHSSSYRRQQSRDIFPEPLDEGNLESRQG >KZM81983 pep chromosome:ASM162521v1:9:9546370:9570820:1 gene:DCAR_029596 transcript:KZM81983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNRRGSDQQQQQPPPPRRGLLRTQTAGNLGETMMDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHKLDPTSSGRGVRQFKTALLQRLEREDKATIVGRKKSDAREMQNFYQHYYAKYIEALQNATDKADRTRLTKAYQTAAVLFDVLKAVNLTESVDMADEIIEAHNKVAEKAEIYVPYNILPLDSESKNQAIMRYTEIQAAVQALRNTRGLPWSKGKKKKEDEDILDWLQAMFGFQKDNVSNQREHLILLLANVHIRQLPKPEQQPVLDDRALTEVMKKLFKNYKGWCKYLGRKSSLWLPNIQQDVQQRKLLYIGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSQMTGEHVKPAYGGDDEAFLRKVVTPIYNTIAEEARGSREEKSKHSRWRNYDDLNEYFWSVDCFKLSWPMRADADFFCQPIGHLSIQKNNAMIIMAWSESGQPTSIFEDDGFKKVLSLFITAAILKFAQAVLDIIMSWKALHSMSAHVKLRYILKAVFAAVWMIVLPVTYAYSWSNTTGLAQTIRSWFGNGQSSPSLFVMAVLLYLFPNMLSTLLFVFPFIRLRLEKSNNMIVSLIMWWSQIKPLVGPTKAIMKVHVRNYQWHEFFPQAKNNIGVVISLWAPIILVYFMDTQIWYAIFSTILGGMYGAFRRLGEIRSLVMLRSRFQSLPGAFNNCLIPVENKERPKKGLKSTLSHKFPEFQIPSNKDKEAARFAQLWNQIITTFRDEDLISNSEKSLLLMPYWSNRDLDLIQWPPFLLASKLPIALDMAKYSRGRHNDLIKRLETDNYMRYAVIECYASCKNIINFLVLGEREKLVLEEIFSKVDHHIHNADVISGLNISSLPSLCDQLIRLIEYLKENNKDDKDNVVIVLQNMLEVVTRDIITEDLIHSLVESSHGGSYPVDEDEGMKPLSAQSQFFGKLNFPVTEETEAWMEKIRRLHLLLTVKESAMDVPSNLEARRRMSFFSNSLFMDMPTAPKVRNMLSFCALTPYYVEDVLFSIKSLEKPNEDGVSILFYLQKIYPDEWTNFLERVERKNEEELKESLEMEDQLRLWASYRGQTLTKTVRGMMYYRQALELQAFLDMAKDDELMKGYKAAESNTEEDLRNERSLLAQCQAVADMKFTYVVSCQQYGIHKRSRDPRAQDILRLMTTYPSLRVAYVDEVEETNKGQTKNKLKKVYYSVLVKAVPKSLDSAEPVQNLDEVIYRIKLPGPAILGEGKPENQNHALIFTRGEGLQTIDMNQDNYMEEAFKMRNLLEEFLPRKNFQNDWNKDSEKRGFKNPTILGFREHIFTGSVSSLAWFMSNQETSFVTIGQRLLASPLKDLALYDSFNSTLRGGNITHHEYIQVGKGRDVGLNQISQFEAKIANGNGEQTMSRDIYRLGHRFDFFRMLSCYFTTIGFYFSNLITVLIVYVFLYGRLYLVLSGLEEAMSKEPAIRDNKPLQVALASQSFVQIGYLMALPMVMEIGLEHGFSKAFTDFVLMQLQLAPIFFTFSLGTKTHYFGRTLLHGGAEYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMVLLLVYHIFGRAYEGVLAYLLITISIWFMVGSWLFAPFLFNPSGFEWQKIVEDWSDWKKWMLNRGGIGVSQEKSWESWWEKEQNHLKFSGARGIIVEILLSLRFFIYQYGLVYHLTFAKHSKSFLVYGLSWIVILVILFVVKAVSVGRRKFSANFQLVFRMINGVIFIISVAALITLVVLVDMQFRDIVVCILALMPSGWGLLLIAQALKPLVVKAGIWASVQTLARGYEMFMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPEDKSSRNKE >KZM82188 pep chromosome:ASM162521v1:9:13197402:13198295:-1 gene:DCAR_029757 transcript:KZM82188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSDIPSVGKLVDRISNAAVDAIFRGFRYMFCYKDLVKTLDSQVEKANTEEERVSTKVAAERANGELIKPHVDKWQKEAEEIKESAHKFAEKYKNRHSCRCIQCVPIPNPVSRFRLGREAVQKTERLTELINSGKELLANEIAHLAPGENLPKTNTEFQEFQSRKYAYVELWHALITESSPVLGIYGMPGVGKTRMMEQLWKDAEEKKIFNKVTRGNVGNEKLDVIHLQKQIAGHLDCNFVSEDNVESRASQLKQSLLNAGKTLVILDDVWREIPLDVIGIPFGDGNSPMGSKIS >KZM83484 pep chromosome:ASM162521v1:9:32381505:32382284:-1 gene:DCAR_031053 transcript:KZM83484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANQSAVYARNEANELFTPQKSGQVLTFHSSSKWRSHFQSSRQTNKLMVIHFTAAWCGPCRTMEPVIRDFAAKYAVAREDAVQALPAFILIKKGKTVDKVAGAEKAALQNKIEKCMFYF >KZM82280 pep chromosome:ASM162521v1:9:15723736:15724831:-1 gene:DCAR_029836 transcript:KZM82280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSGICGDLLFGNVPFCRCQEVPGCEPAFGYPLSRSFSNRCNSNRSTRPDQFPVHQLFPAPVSFSMVCCLPDRTSMDVERKAREATEKKARELGQAAGHFQDGTSQSTISPEAVVQHDLQTVIRGSKQAISVCTELIGHLYMTQVNNAESALEGLNMGVISHKDRIDALENQVKDLTDWEKDLKDQLHRRTEALRASRYNVVADPMGQEVEVDVARVVSTDSDSEYSK >KZM82816 pep chromosome:ASM162521v1:9:24811831:24812880:1 gene:DCAR_030385 transcript:KZM82816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHFKLISCISLFLLLLVPSNAEKPTHKKPLLPSKYENLEIKKPLIVSSNSENPKLLHAPSNSENLATKKPQPFGFLNHLKGGKKGENLAGIRELKKYLNKFGYLNYKSINGHDNDHFDEMLEAAVKTYQANYNLKITGILDSETISKMVMPRCGFPDIINGTNSMTKKHEGHQHHGSNKLHIVAHYSYGSYKWPAGKTHLYYWFETHITYSSIKRAVARAFNRWASYTQHFTFEETLDYQSSDLTVTYYRGDHGDGSAFDGPGGVLAHAFFPTDGRLHFDVDERWSIGAIPNYVDLESVAVHEIGHLLGLGHSTVPDAIMYPSISYGVVKTNFHADDVRGIKALYNI >KZM82964 pep chromosome:ASM162521v1:9:26373722:26374609:-1 gene:DCAR_030533 transcript:KZM82964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRNLDITLISAKDIKNVNLISKMDVYALVWIEGGDPRAVIRTPVDKEAGPNPTWNFSMKFAINEAAAQQNRLTLVIRLRSQRDLGDRDIGDVRVPIKEIIGQNVGNDKVEQLMRYQVKRPDGRTKGELNLSYKFSDKITGEQATEKSLDEPVTAYPAKHAEAAPSSGYQPPPAYAYPSGGYPPQGAYPPVGYPQQGPPPVAGYGYPPQQPYGGYPPQQPYGGYPYGHPPPQQGYGYPPVQQPPKKSKFGGGLGMGLLGGALGGMLIGDMISDVGAYDAGYEAGFDDAGDDLDF >KZM81601 pep chromosome:ASM162521v1:9:3302931:3303311:1 gene:DCAR_029214 transcript:KZM81601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCFSGLSGFSSRSRVASPSRSRARVCRAMECVTLFLSLSLPPLLSQFYLSDFDDGCVSFLVDRENLVFICRPRSIIYKAMEWIMMRKPPVSNV >KZM81943 pep chromosome:ASM162521v1:9:8885258:8889060:-1 gene:DCAR_029556 transcript:KZM81943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPPIPRSVSAPDVFVSNHSSHRSASSAQGTSWLKKTSSLLTYTKKESELTEQSLVALNKRNEALEDKKASHSSPYYKGLTDFTLDINKEKLPSATSLAIDNHEAISFTSSTRSSFIVTMQQLGTFCLFFRSKDEKESSSSASTLSSKQQKDIDSDKGKKEDKSSLVESKSTYILSKEMPMRERVSVITYEPPALQISPPRYKPMTGKSVLPETRSATKASLNEEELSGSVERTYVFTWADKYRPHNLTEFICNREKAMELKAMANSQDISHFIFEGLPGVGKRTMILALLRETFGADKIKARDECMEFYLKGEALSSIEVNVKRSSHHVELNISDLKGYEKHVIVKLINEKCNKSSDSVLESNLDNCQVIVLHEADKLSSDALLYLRWVLEKYKGYNKIMEVLDFIAKEEGIELASKVAETITDNSKNNLRQAIRSFEATWRYNATSQLTEDQEIMTGWEDTIAKVARDIVKEQSPRQLFIIRGELQKLIEYNVSPVFIFKTLVGELKRHLDESSQTHVDILFMEARKREGIDCAAFIHSPTEEMCKRKNDPSRKNVHNFMKIEEFIAKFMSWYKVLLTNTKLQDVKMKGILDDLDPTKGVAIK >KZM83496 pep chromosome:ASM162521v1:9:32439736:32442364:1 gene:DCAR_031065 transcript:KZM83496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAVTKESIIEVGLEERMIKGRLYAAKFQGQYLPLQDVEIEMHEVKMKDPEKRKNKRQRVKDLTSRYVEKYEKAINTHIIVAALIATVALTAGFAMPGGFNSTEGSKYAGSPMLLPKAAFWIFIITDATALVLSIISLFLYFLTILYKEEGIVGQSMFWPSCVLNGLSIVAMMVAFMSGTFAILAAHALPLAIIVCLVSFPFFLFLLYAFISLYIYQVAEYKMVVFGTW >KZM81742 pep chromosome:ASM162521v1:9:5323322:5323669:1 gene:DCAR_029355 transcript:KZM81742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYHKDHQKHKTVKTLPGTVCNLRELEVLSVGHSRGLEALLVELGNIESLKELNVHDVIVSKLPDSIGCLINLVKLRFTDNKNLETLPHTMRTMRSLKTPDIDDCSNLEPSRLV >KZM81843 pep chromosome:ASM162521v1:9:6796064:6801109:1 gene:DCAR_029456 transcript:KZM81843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYSHYMSSCTFRVRIALRLKGLDHEYIAVDMINGEHLTDEFLKLNPLGMVPALVDGDIIIADSFAILMYLEEKYPEHPLLPNDFQKRALNYQAANIVSSNIQPLQNPRIQMYIGKISSPDEMLPWTQHHICRGFAALEKLLANCAGKYATGDEVSLADCFIAPQVDAASKRMITLFYQDYTRHMLSYLLSRMQCPKISQTVQLWASNYNVCAGWCINVYLYLAFLSDHPS >KZM82494 pep chromosome:ASM162521v1:9:20138653:20146332:1 gene:DCAR_030063 transcript:KZM82494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPAILHLAGKSLKKTKLPSILLLFSILPSSPFSTSCKTHHYLHQTPPPPVPKKVPFKASAHGVTWQDPYHWMKHTDDPDLINYLDQENSYADAFMDDTKSLQQTFYSEMIARLPSKISTPPERWGPWFYFQYIPEGKEYPVLCRKLAAEKNGWIQTIYNYVMGEWRKVQILLDWNEIAEQYGYVHVGTCRVSPDHNYLAFTLDITGAERFMLQAKNLSNGVLENLRVDDVVSLAWAPDGCTLFYTVYVVDATNPQSGLHRVNIRIPGVQYFLEHHHGFFYALTNQLPCGNNLLSTGNYYLIRCQVQDLQTAKWETIILPSEDISIHDMDIFNGHLVLFLNKNGSPVICSIKLPIDESFKKEMKIDDLNPWYFPLPSNLCEIVPGSNHDFNSSQYRAIVSSPVIPDVIVDYDMSRYTFSIVHQDEVPGSTPNFNSNSSSLTCKRESFDFQNTQSERGEDVINDEGQKWSNFSDAYCCELKEVVSHDGIKIPLTILYSRRAHHKGQSPGLLQGYGAYGQVLDKSWSSDRLSLLDRGWVVAFADVRGGAGADPSWHKSGSGLFKSNSIADFVACAEYLISEHYVHKYHLGAFGGSAGGLLVGAAANRYPQLFRAIILKVPFLDICNTLLDPSLPLTMLDYEEFGNPQIQSQFQSILSYSPYDNVPSGVCCPAMLVTASFNDSRVGVWEPAKWVAKVRENACSDCSQAVILKTNMKGGHFGEGGLYSQCEESAYEYAFLVKTMGLMEKDRK >KZM82503 pep chromosome:ASM162521v1:9:20405473:20408680:-1 gene:DCAR_030072 transcript:KZM82503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVESKNSNVVKRKKGGLVTMPFIFANETSEKLAVVGFGTNMISYLTVQLHMPITKAANTLTNFNGTASLTPLLGAFIADSYAGRFWTITIASFIYLIGMTNLTISAILPKLRPPPCSGEQVCEEADSGQLLILYVSLLLTAIGAGGIRPCVVAFGADQFDETDPKQKTSTWKFFNWYYFFMGASMLLAVTVVVYIQDNVGWGWGLGTPTVAMALSIIAFLIGYPLYRNMDPAGSPFTRLIQVCVAAFKKRNLPMVSDPKLLYENDELDAPISLAGRLVHTKHMKFLDKAAIVTAKDNVNSSSKLNLWRLSTVHRVEELKTVIRMAPIWGSGILLITAYAQQGTFSLQQAKTMDRHVTESFQIPAGSMQVFTLTSMLLTIILYDRILIPLISRYTGVERGISFLTRMGIGFVISILATLVAGFVEIKRKQAATDNGLIDKPHSIIPIHVYWLVPQYCLHGIAEAFMSIGHLEFFYDQAPESMRSTATALFWTSISAGNYTSTFLVYLVHKYTAGPGGSNWLPDRNLNKGKLEYFYWLITLLQVVNFVYYLLCAKFYTFKPVQIKNTKSDDAVKDGGIELGSQV >KZM83228 pep chromosome:ASM162521v1:9:29791791:29793003:-1 gene:DCAR_030797 transcript:KZM83228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGERLARWKEMEAVGEERGGWTKMIGGEWEKKREAIVLGGRWSEFMEVVGWWRKRWSEFMKQRIERRGRDERRKAGAVERNGSGRRGKRWVDKDDRW >KZM82374 pep chromosome:ASM162521v1:9:18042310:18048696:-1 gene:DCAR_029943 transcript:KZM82374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSTFHVFFMFLMFLMLYNVAFAKECTNIPTELSSHSLRYQLQVSTNQTWKDEMFSHYHLTPTDTSAWASLLPRKIFKEEHRDSWMMLYRNIKNPGNSKVAGEFLKEVPLDNVRLDPSSIHGQAQQTNLEYLLLLDVDSLVWSFRKNAGLPTPGDAYGGWEAPNVELRGHFVGHYLSATAQMWSATHNDTLKEKMSAVISALSDCQEKMGTGYLSAFPSEQFDRFEAVKPVWAPYYTIHKIMAGLLDQYLFGKSAQALKMVTWMAQYFYDRVQNVILKYTIEQHYRSLNEETGGMNDVLYNLYSVTGDPKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPIVIGSQRRYEVTGDPLYKEIGKFFMDVVNTSHSYATGGTSVSEFWSEPKRLASTLQTENEESCTTYNMLKVSRHLFKWTKDMSYADYYERALTNGVLSIQRGREPGVMIYMLPLGHGQSKARSYHGWGTKFDSFWCCYGTGIESFSKLGDSIYFEEEGDVPGIYIIQYISSSLDWNSGKISLAQKVEPVNSWDNRLHVKLSILSKEGSGRKSTLKLRIPPWTYSNGAKAALNGQELSLPDPGNFLQISRQWNINDEIAIELPISIRTEAIKDDRAAYASDSAILYGPYLLVGLSSGDREIKTENSVSDWISPIPAEYNSHLITLSHESTNTVIAKSDKSLRMETTPESGSNHSIHATFRIIPKELTSSKTSSIKDFIGKLVMLEPFDLPGTVVAHGKDGSLGIEDFSSSSASVFHLVTGLDGRKNSVSLESGSLRGCYVYSDPELLADVKLECISRSRDSSYNEGASFTISDGISKYDPISFVAKGRSRNFVLQPLHSIRDENYVVYFDIQS >KZM83490 pep chromosome:ASM162521v1:9:32415416:32416442:-1 gene:DCAR_031059 transcript:KZM83490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLQNSPPENGRLGGFLPCLEKEENGIEDYDPCLHPPEKKRRLTVDQVKYLEKSFEVENKLEPDRKVQLAKDLGLQPRQVAIWFQNRRARYKTKQLEKDYDSLKECYDKLRDDHDRLSKENEKLRLEVESLKGKVPGAEKQSLDVTNSDDPEPTEVPPHTSSISQNVISENVMVIKQEDANSAKSDVFDSESPPCADANLVSLFQPVDSSRVLDQDPSDFSQDDEDDSCRILPPPCLPKLEIESYEDLNADTCNLGSSVDDQTFWFWS >KZM81330 pep chromosome:ASM162521v1:9:96256:96933:-1 gene:DCAR_028943 transcript:KZM81330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPFLHLLLIFLLSGFASSTTLSNNIFESQVLPGRSLLQQKAACDIDFENQNYTIITSQCKGPNYQAKPCCGAFKELACPFSDKLNDMKNDCATTLFSYINLYGKYPPGLFANLCHDDKEGLDCNEVMEAKQKQQKASSASAAEKSTVLMISAASFLVLLFNLF >KZM83429 pep chromosome:ASM162521v1:9:31724098:31727509:1 gene:DCAR_030998 transcript:KZM83429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFISQMVPEWQGAYMDYAYLKTLLKEIHLFKFKTKPPQSTTNAQGLTRRLTLYRAFSGLTQRITSPGISSNTNDIESQPILVNSVKKSDGEFGLETMFLMTGDEGGEYELVYFRRLDDEFNKVIKFYRGKVDEVMKEAAVLNKQMDALIAFRIKVDDPHYEWPDSAREMTRLASDVAASTASLAASTPGMKASRRVTVMDMIEEDRSLEAQSDEPSADQEKGKQGNKFVQGLKAMNSKDYDDQKEVFNENRGTGQELKPMSNMRTKRPAPLEILNRVTINNTLETPRSSIRGILNVPNQTELKFSKENLSKVEDQLKSAFVEFDHKLRLLKSYSFLNIMAFSKIMKKYDKVTKVMDRVEATFIKHFSNSNRKKGLSILRPKAKRERHRVTFSLGFFAGCTITLIFALVLLIHARHIMNKEGQRKYMVTMFPLYSLFAFIVLHMLMYAANVYFWRRYRVNYPFIFGFKEGSALGYREVLLVAFGFAVLALASILANLDMEMDPKTGDYKRFTELLPLGVVLIAFFVIICPFNIIYRSSRFFILTCVFHCICAPLYKVALADFFLADQLTSQVQALRSLEFYICYYGSGDYAHRDSSCSSSEIYNIFHYIVAIIPYWSRLLQCLRRLVEEKDGMQGLNGLKYFATIVAVTTRTAYSRSHSDEWYKIAWVASGVAAASGTYWDLVLDWGLLQRNSKNRWLRDKLLIPRHSVYFGAMVR >KZM81580 pep chromosome:ASM162521v1:9:2830809:2834685:-1 gene:DCAR_029193 transcript:KZM81580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNMSGPSSAFPRLQTQKVFSLSNAQLVNLTEANIFTSDSSSAVGSRSGFCIKAINKVNSTLPSGSRGLYSHPKSWRSTLHCSSSAGIEVQPDSIALGTLSADTSPSGSIFPVDSNEYDLDHPTVGLSSIPQAIEDIRQGKMVVVVDDEDRENEGDLIMAASKVTPEAMAFIVKHGTGIVCVSMKEEDLERLQLPQMVTHKDNEEKLTTAFTVSVDAKKGTTTGVSARDRATTILTLASKDSKPEDFNRPGHIFPLRYREGGVLKRAGHTEASVDLTVLAGLEPVSVLCEIVDEDGSMARLPKLQEFAKKENIKIVSIADLIRYRRKRDHLVERASSARIPTMWGPFTSYCYRSIIDGIEHIAMVKGEIGEGVDILVRVHSECLTGDIFGSARCDCGNQLGLAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYIGLKGYGLAVSGRVPLVTLITKENKRYLETKRAKMGHVYSQGFNSNVINVVTEDGKPSTGEQSKL >KZM81597 pep chromosome:ASM162521v1:9:3209505:3211659:1 gene:DCAR_029210 transcript:KZM81597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVVARSVIRRLINSQKSPISTFRSHDDIAITNRQRLGIIGGGARVLGTRMMSAEGGDEAAKENKPWETYQADVSIDLKKHHKPKGFLDKVAYKTVKILRLPTDILFKHSGGWIKALLEEAENERMHLMTMVELVQPKWHERLLVLAVQGVFFNAFFVLYILSPKLAHRIVGYLEEEAIHSYTEYLKDIDRGLIENVPAPAISIDYWRLPQDAKLRDVILVIRADEAHHRDVNHFASDIHFEGKELRDAPAPLGYH >KZM82375 pep chromosome:ASM162521v1:9:18053483:18057847:-1 gene:DCAR_029944 transcript:KZM82375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDFNNPVRNRTLMLCFVLHLMLYLLISCDFVVSKECTNAFLPTLSSHTLRYELQITNNRTWVNEMFSLYYLDHSSPWANVIPGKVLRGDDELGWNSMREKMLGSSGFRVPHSLLKELSLHNVRLDRDSIHGRAQQTNLDYLLMLDVDRLVWSFRKTANLPTPGAPYGGWEAPNIDIRGHFVGHFLSASAQMWASTHNDALKEKMSAVVLALFDCQNKMGTGYLSAFPSELFDRFEAIKPVWAPYYTIHKIMAGLLDQYLFASDARALGMVTWMADYFYNRVRNVILKYTVERHWQSLNEETGGMNDVLYKLYDVTKNSSHLLLAHLFDKPCFLGILAVQADDISGFHSNTHIPVVIGSQQRYEITGDPLYREIGRFFLDVVNSSHAYATGGTSESEFWQEPNRLANYLQTETEESCTTYNMLKVARHLFRWTKDTVYADYYERAFTNGVLSIQRGTEPGVMIYTLPLGHGQSKAVSQHGWGTKDNSFWCCYGTGIESFSKLGDSIYFEEEGQVPGIYVIQYISSSVYWVSGDVLLVQKVMPVVSWDNHLHVTLTVSPRQTEAKRSTLNLRMPIWTYLDGATATLNKKQLPLPSPGSFLSVTRKWRTNDIISLTLPMSIRTEAIKDDRPEYSHDKAILYGPYLLVGLSDGDYHLNPKPADPPSGWMTPVPAEYNSHLISLTRESEDSTLSLKKNKTSIVLDHLPEPGANHSVHATFRIVTKDRYLNNFTTREIMGRAVMLEPFDHPGMVLMHNGENENLEVQPDSDHSYSVFRFVEGLDYKEGSVSLESSSHKSCFVYSEHEIGAAVKLKCCLKSLDKRFKEAASFRVREGVSKYDPISFTAKGSTRNFLMQPILSIRDENYNVYFSI >KZM83140 pep chromosome:ASM162521v1:9:29113750:29118900:-1 gene:DCAR_030709 transcript:KZM83140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNSNNPDADSKAPPKITGDQIPSPAAGESNSPPAENINDARQTRTAFTELSQERAYMMIRMNGDGSDYGSWETGSYSLALIVVAGYSEELDDRGGDSQDAWEEVDPDNLSYEELLALGDVVGTESRGLSADTIASLPSMSFKMESNQDGNMESCVICRLDYEEGDTLTLLSCKHSYHPECIDNWSARFVALRSPRQETVSSDIIGVLIVKQFSREADDDLHLQVSKLYGITWWADNKSGSLGLVSMLLCFLLA >KZM83413 pep chromosome:ASM162521v1:9:31589329:31591518:1 gene:DCAR_030982 transcript:KZM83413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALVKVGSIKAGSFWLSKKAKEEYSNISQDLTTLSNTVEEKAKWIFDKLKGKPLKTLPDLLREYNLPIGLFPQNITCYEFDDTKARLIVHLPSACEITFKDSSVIRYATRVKCNLIRGKLTGIDGMKTKVVLVWTKVTSVSVEGYKSDKVWFTAGLAKSRPREAYDIPRDAIRIKEF >KZM83321 pep chromosome:ASM162521v1:9:30755992:30758516:1 gene:DCAR_030890 transcript:KZM83321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDPNKGNDVDAIFNRARQLGAVEGPLEVPRPSSSSTSFTGTGRVLSGDVVPSAPTPQQPETVVHNIVFWRNGFSVNDGPLRRLDDPENAPFLESIRRSECPKELEPEHSKTLVHCNLIKRDENCPEPKARKVAFQGVGRTLGGTSSSSGEPEPSNATSNNAAPTPSMGLVVDQSLPSTSIQLRLADGTRLVAHFNNHHTVGDIRAFIDASRPGGSRTYQLQTVGFPPKQLTDHGQTIEQAGLANSVIIQKS >KZM82485 pep chromosome:ASM162521v1:9:19987362:19990535:-1 gene:DCAR_030054 transcript:KZM82485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGVYVRKPQRVHPRACSVGKTLKSCMKGKGKEKGKGKGKKVVFIDVECDKYDDVSGVYRGCGSRRKRKDGSYSYATVICIDDDDEGCEETVRGNDLGKGRYFRGSSAPVKLSKCKRTYSGKASTSSRYGLGTKRGLSDDDVIDIEFEGSSGKIKQEWEKAFLRRKKEYSSSVDQGGTSKFCSDSHQDIATGDGTEKGSASGGLDSTNHTSHKEVDKSPSIPMDEVKSENNACDNGCEASNFDRENSTCNNQPSTSDLASPNSIDNRHTGTVMKNNEDGFLVAMVDGATGQSFGDTNNDSVFFNREMHKETDEYRFSVEAELAARQRELQIQAEEAQHLKRMRKREKAEAMRMLDMERRQKLRVEEMRKNQKKDEENLHLKEVLRAEVRKGLDRLEAASHDITSILHALGINVNGINGVHAAFKRALFTFHPDRSSQCDIRQQVEAEEKFKLVNRMKEKYLSTLR >KZM82601 pep chromosome:ASM162521v1:9:21714028:21715044:-1 gene:DCAR_030170 transcript:KZM82601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKEEEFVCGRSRVLHNFKLPSLKWGSRKVMRCMRVDEAAAARCRRSSEGRRVNFRFSPGEKVGFGVEAAAEKMMFDFPAAGEKRRREESEMMKPWNLRTRRARLDPDVREGAKLNSNSNSRARMKERDSSARDDKVSVKVKSPWCLRGSGEKRERERARFSVSLSKDEIEEDFVAITGNRVPRRPKKWPRNVRRQLDMLFPGLWLTEVTADLYKVPDLTVTGKVIN >KZM82196 pep chromosome:ASM162521v1:9:13480410:13483030:1 gene:DCAR_029765 transcript:KZM82196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLAPGSRMKIKSIINKEGPNRHALKRGTPIMGGLLFVPIGVIIAEVIVGFSSIEVSAVAVATLSFAAIGLLDDSLSLINKHNGGLSSWTRIVLEVSAISCFKMVVLVPLPVPVGLVCMGNLNLFLTSSCFVSMANGVNLTDRLNGLAGGTAALALIGMSIAVLPICSGCLDIPVKA >KZM83347 pep chromosome:ASM162521v1:9:31065792:31068171:1 gene:DCAR_030916 transcript:KZM83347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDGNDAASPGLGPGVNNFINNLQTTPDHLSGFAPANISASKDTQQKRRGRGPGVNNVINSLNTTKDHSSGCTPGQKLDANISLQLPHDNPHNKGLPQKRHNKGIFS >KZM82356 pep chromosome:ASM162521v1:9:17834991:17836457:1 gene:DCAR_029925 transcript:KZM82356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCLENDSHSNNGLNKEEPMLNDGLSNYNHNVNNHRRTSSTENAALRPHTGGDVRWEAINSISSREPLSISHFRLLKRLGFGDIGSVYLAELRGTNAFFAMKVMDKESIASRNKLVRTQTEREILGLLDHPFLPTLYSYFETDKYYCLVMEFCSGGNLYSLRQKQPHKYFTEEAARFFASEVLLAIEYLHMLGIVYRDLKPENVLVREQGHIMLSDFDLSLRCSVNPTLVKSSSTHMNSGNASAAGILENENVTHGAHPSSFFPRILPSKKSRKSKSDFGLFVGGALPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGSGNRATLFNVVGQPLKFPEHPHVSSAARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGTNWALVRSATPPHIPDPVDFTQYACKDAAPLDKKVQDVIQDKNSANHDDPPYVEFEYF >KZM82200 pep chromosome:ASM162521v1:9:13639648:13647821:-1 gene:DCAR_029769 transcript:KZM82200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVFVAWAFIAWVGSVLVAKKGESGGNVFVSLMGALPNLSFIAEAKAAATRLSVMITRKPEIICEIRKIILPGITFSSFLSIMNHSDQVQLEPMSSWALLRKELHGTSKYTGMFQAAKEDRIPLSPYLSYVSGAAAKPPQFVKQTIFTSFWELFYLFVVGIIVCYGLFSRRNCENKNLGCSSSDFSASYVSGISYVSSFFENGVGNTYGYGEKNVTRDRGFGESFDFDEFTDSGSSRLRKGVSDENVRKEWDSKCAVTESMVFVANGKYEVKPERRNGHRPLNLPVRSLKSRIIDIAGDHEGNNLAASDISKKLRFRGLVPINLDEKFRETVVASPVPWGLRSERMDLRENMGNVKPAFVGKTELVCPKLPTAKPLSPTILTSEMEEFERKDSFRVSFPPALESSLAPLRNKPSSSRFSSQRFGTEVAAEKNNKNNLKSFSKGETEALSNRATKGVVSSNLDSKPANLVKARSMVGSFSAMSVDNICKNDLKGLHKGQKEESMYKPNECIEFLKSDVRHADLVKGQLQVGSTSQMSNERSSDNNLKASFRTDKGDLLEGENTSNDFWNLDLNTACLLNGSFGTALSSENDGEKKSETASVDFGNPKKEDLRSRNSIDSLKPDVIPKSTMNCLKRGKSVRTIRSNELSVDVKKSEEAYLIHTGDKSVKLCDEDKAGLQKKYDWRDGLICEVEEHSRKNKNISSDMKNSKLRKNRHGERQKNGTRNSVKCESIFMESDNFQVIADKEETRPGIVGDTHIEFNEVDKKAAEFIAKFREQIRLQKVASKREMNFL >KZM82744 pep chromosome:ASM162521v1:9:23264726:23266163:1 gene:DCAR_030313 transcript:KZM82744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFLRLTLFIIFLVNSALAARVSINVLRLGARADGRTDVSKVFLRAWNSACASTRPAQIYVPRGRYLIRGPIVFSGHNCKHSMFIRIQGTIVASTNYNLIATNGNWIKFENVNGLSISGGIIDAKGAALWNCKKSGNNCPAGATSIGFYSSTNVVVSRLTSINSQMFHMIVYKCRTVRLRGIKILALGSSPNTDGINVQLSSGVSIFNSRISTGDDCVSIGPGTTNTWIENVFCGPGHGISIGSLGWDLHEPGVQNLTVKSVAFRNSDNGVRIKTWARSSNGFVRDVLFKNIAMSNVKNPILIDQDYCPNNQDCPGKVSGIKISNVRYQDIRGSSATPIAVQLQCSKKYPCSGIRLQDVVLTYKNQAAKASCANAGGTASGVIKPSGCL >KZM83257 pep chromosome:ASM162521v1:9:30188785:30191215:1 gene:DCAR_030826 transcript:KZM83257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNQNNNQQAQLINLQKLPHLSHHTQNLESLPNPLQTNSFYHPNQDFYISDSDVILTPIAFDLSSPVSFLAYHRAGPRTRVIFQPNRVRAAIVTCGGLCPGLNTVIRELVVGLWNMYGVRDIFGVKSGYRGFYSGEEPLRLDPKLVHNWHKRGGTALETSRGGFDLHRIVDAIQNRGYNQVYIIGGDGTMRGAVKIFDEITRRKLNIGITVLPKTVDNDVGIIDRSFGFQTAVEMAQQAISAAHVEAESAVNGIGLVKLMGRSTGHIALFSTLSSRDVDCCLIPENEFYLEGKGGLFDFLDNRLKENSHAVLVVAEGAGQALIPRTDAEKEEKDESGNPVFLDVGVWLKSELKKWWNRDHPGELFTVKYIDPTYMIRAVTANATDNLYCTLLANAAIHGMMAGYTGFVTGPIHGNYAYIPLDVVAKAKNEVDTKDHKWAWVRSVTNQPDFARN >KZM83090 pep chromosome:ASM162521v1:9:28638308:28640107:1 gene:DCAR_030659 transcript:KZM83090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELKRNKALRHNASAIAIPMPVNSPPSDDLTSPNDVHTNRPFHALRRRMFSWVVINPNSRNAQIWGKFLYLFFIYAFLLQTTFVFLQAARQDRNCISLVDYELRITLYILNKLNDIIFLLHILIKFKMASVDLKTRVLVYDPKKVALNYMKSYFFIDLFLIVPVFLELVQYIIIQTKWSNETTRAFLFLQNLAVLCRLLSSFGELPVSAYFDSLSTIFLFSNIAFFLFTHAVGVFWYYFALSRVEECLKKACGKPWCFDLISCEPAYEDKKLQIDLTSLNKWKNNKNATACFGPGGYNYGIYVQAVSLMRNSDIKMRYIYSLSWGFQQISTLAGNQIPAFSFVEVLFTIFITVTGLLLFSFLIGNMQSHLQALSRRRLENSVRSSEIELWMSHRLFPDELKAKIRESERYNWVATRGLNELMLLENLPEDLQRDIRRHLLKFDKSFPVVALMDESILDAIRERMKQKTYMKGNRVLVRGGLIDKMVYIVRGKLESISEDENVYPLSEGDVCGAELITLCLEHYVLNRDNDKFRIPAGKLVSKRTVRCLTNVEAFTLRAADLEDVFSLYSGLLIQNPLVQGAITKESLHPKSLLRSRSY >KZM83395 pep chromosome:ASM162521v1:9:31453859:31457114:-1 gene:DCAR_030964 transcript:KZM83395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYTSWDGTTPQTSASSKAIPLVADGPSAATAPSVIPEMTHTTVPLKCNWTEHTSPEGFKYYYNSTTGESKVFLLSEEK >KZM81559 pep chromosome:ASM162521v1:9:2626058:2626975:-1 gene:DCAR_029172 transcript:KZM81559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEVVEVVENAVRRVIGLGASQVIVPGIFPMGCLPGILEDFKSNDKSAYDEHQCLKEFNNFVAFHNNYLQKTIITLQAENPHTTIVYADYFNAFKWILYNAPRLGFDATSVLEGCCGNTGPTSDASSTEGCGSSNVPVCSNPDRYINWDGVHFTQKTHSVLATWVVADMIPKLNCVLQVEDH >KZM82262 pep chromosome:ASM162521v1:9:15093294:15096231:1 gene:DCAR_029854 transcript:KZM82262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIVKEQRSYFDVELLKLRDLALKKDTETDDVPHQVNDDYFTPECVVPRENVVNEVANEDIENDDVPHKVNNDCFIPECVMPQENLINEDPNKESNAHDKGDRTVGFDDLSPHTIEELEVAMKDFDNKKTSENETILSPVNGRNESGDGLEDNSHVIKVVLEDIGGHGDAGDVVEEVAVDVNREVEVEERVASGVDVVESVKSVVGTTSNMTSNVVSGVAEVDAENAEHIVLEVARDISKLELANETMDDVETAFLKTSSDYMRDAGLVASKVSVLRNFSSKLDLRNTIIHFLEEQSSVHAICNRYFSNILGLVQLKLRMQLMKKIYSREDNLISPPLKLGSKLISEKTWFHTIEYGSSNLSDSHVNVIFYYLRKISKYNANCKMRFTTTNTKFERKIVSIDDNSDSDDIVSNSSVKQDVLDEINGSFLPFSTLRMSVDIVLIPIWLPEQKHWLLAILNFCERELHVYSTLSIHGAAFHCLRCSRIIWTRLVFTQGPMLISLPSVIRFREELTTLDRSRHVAILVKHPELVFHCILMKWQIYDYDSETEYADAGNEKEVMVQ >KZM82600 pep chromosome:ASM162521v1:9:21705273:21705863:1 gene:DCAR_030169 transcript:KZM82600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEICSEISSPRISFSYDLNETEESLPAECCHRRSDALLLDFSTDFDFCISVNNFHQDNLSADELFSDGKILPTQIKKVKSITLDSDTHRSKPCTTSRVGLRSGNVNDETKKKRLKEFLFESIEEDKPASKSFWHFKRSTSLNCENNRRNKGLIGSLQVLGRSNSTGSAPNYCEKESHVSRHLSRVISSLNNMPI >KZM83150 pep chromosome:ASM162521v1:9:29192011:29193939:-1 gene:DCAR_030719 transcript:KZM83150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKNALVISSKPAPSSCTIKISPTSISWPVISTQDPPMGYTENNSATYLSSGNPCVDFFYHVVPDTPRSQLLKRLEASWAHDSLLTLKLICNLRGVRGTCKSDKEGFFTAALWLYENHPKTLACNVQVIASFGCFKDVFEILFRILQGHDARSRLKTEWISRKAPRGKRARRMTMVFCYHSRRWRENRDSRGLVMKKRRLRAQVPREIRIEANKAKVMREKQKARASRKRKQLELSKKIQELYISDANYRLLHDKISSFFANMLKADMECLNSGKAKNISLAGKWCPTIDSSYDKHTLICASIARKLFPRELYPEYEGIEEAQYVYRVRDRLRKQVLVPLHKALKLPEVYMSAKKWQSLPYNRVASVAMKTYTEIFVARDNERFKKYLKDVEKGKKKIAAGALFPHDITRSCVLGSDEERTVGELQWKRMVDDMLQKGKLTNCIAVCDVSGSMTGTPMAVAIALGLLVSELSAEPWKGQVITFSHDPELHLIQGDTVQKKTQFIRYMNWNMNTDFQKVFDRILEVAVAAKLTEEEMIKRLFVFSDMEFDRASLNPWETDYRVIQKKFRENGYQKVPEIVFWNLRNSSATPVKATQNGVAMLSGYSKNLMNLFLEGGGIISPENVMEAAVSGSEYQQLVVYD >KZM81734 pep chromosome:ASM162521v1:9:5169608:5170042:1 gene:DCAR_029347 transcript:KZM81734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFVDGKGIVEEDGGNTDTGCRGGGDSSTDSEDGELRPPPNIRSKGGPVIKG >KZM82994 pep chromosome:ASM162521v1:9:27442533:27447143:1 gene:DCAR_030563 transcript:KZM82994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGKDLSSSRPSSSGVVAVRERDRVVESDLSIPVERREKVVVENGEGREGDGDVHNGGDQERKEGRVRGRGERRRKANPRLSNPPKNVHGEQVAAGWPSWLSAVLGEAINGWTPRRADTFEKIDKIGQGTYSNVYKARDTVTGKIVALKKVRFDNLEPESVKFMAREIIILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPTIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLLDNSGTLKIADFGLASFFDPKHKQPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFRPQQSYKRCIADTFKNFPASSLPLIETLLSIDPAERQTATTALRSEFFATKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAAGKPSADGAKKTRTRDRAARAVPAPGANAELQANLDRRRQITHANAKSKSEKFPPPHQDATLGYPLGSSQSIDPAFDPPDVPFSSMNFSYAKAPMQTWSGPLQDPAGGGDPRRYKPSKKDSQRSTSSVRTRQ >KZM82553 pep chromosome:ASM162521v1:9:21026038:21026229:-1 gene:DCAR_030122 transcript:KZM82553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYMVSERGLAGGLGFEPLPPPIFSQFKMDTKAIYVPKMGARDPLFNPKMGFRVRGSVEYKV >KZM82788 pep chromosome:ASM162521v1:9:24163550:24165449:-1 gene:DCAR_030357 transcript:KZM82788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGGYLQRPFLAASAVAIASVYTDLFEKLRPSKLLNIDFSPDQRTSTSHSCTQVHSSRVSQLSDSKLSDLSFVAKIRLPVPNIRGLVQFTSRGPVTNFLFSSVVSSSVLLNIYNSAELAKAKPTAYTHDFPIPSSSSDDELYRWHLPEPYTIDVSGNSKCSLAKSRTVVVLLGWLGAKQKHLRKYAEYYTTRGFHVITFTFPMSEIVSYKAGGKAEEHVDFLVNHLSDCLEEELEKNFVFHTFSNTGWLTYGVILEKFQQMDYTLRERIKGCIIDSAPVASPDPQVWASGFSAAFLKKQIVVAKQDKNTKVPCRTYVSEESKPPFKEAALHVILQKVFEVVLRLPPVNGRLTSLMDQLKSGQPRCPQLYIYSTADKVIPAESVESFIAEQRRIGHQVRACNFISTPHVDHMRNYPEFYATQLTEFLEDYVLTCCKQDHGH >KZM81891 pep chromosome:ASM162521v1:9:7940301:7945694:-1 gene:DCAR_029504 transcript:KZM81891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAAKRGAGGRGKRGGRGGRVSKSVPKEEPKEEPKEIEVPKEEVKIQEEEKVEEVSKEEVRIEENYEEDEDPEEIFEEGAEEIQQKPPSFTNQEEVKESVEEYERGERLDLEDNEPEDEAEEYGGVDYDEKEPEHDDFQEVEEIEEDSEGAIVGEEEGEEVEEINDGHEELGEEDLEHGGEGPTDLADAEEQEHHDVFKERRKRKEFEIFVGGLDKDASEADLRKVFSEVGEVTEVRLMMNPQTNKNKGFAFLRFATVEQAKRACSDLKNPVVNGKKCGVTQSQDSDTLFLGNICKAWTKEALKDKLKHYGVDNIEDLTLVEDSNNDGMNRGFAFLEFSSRSDAMDSFRRLQKRDVVFGVDRPAKVSFADSFIDPGDEIMAQVKKVFVDGLPASWDEDRVRDLLKKYGKIEKIELARNMPSAKRKDFGFITFDTHDSAVTCAKNINNTELGEGESKAKVRARLSRPVPRGKGKRNSRGDFYPAHGASHGFKAPWGRSIPPRSLPVREARGVRSNLPPINRGLRRPPAFRDRREVIAKPPRGRTMLAPIPRSPISRSPISRSYDRRPPPVPSYPKSSSRKDYGRREELPPRSRPAVDYGPRVAPPERRPSYRDEYPPRGSGYTDVPRSAARPASRRAYVDDGYGQRFERPPPSYREGRPRDYDSISGSKRPYGALDDVHPHYAEPVLRQSRPRLEYEPRGSASQYGDSYSESARIGRSEIGYSSRSSIPSQDSRALYSRRQIMDYGGGSYSGSDVGDGMYSSSYSDYMSRGGDVPSRSYSSLYPGSGRGLGGSSYMGSSGSGSYY >KZM81974 pep chromosome:ASM162521v1:9:9432274:9435077:1 gene:DCAR_029587 transcript:KZM81974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGTPLSLIEIPEELQIEIFLKLPVKSLLSCKCVCKHFHSVIENPNFVRTHLTTINNNHSDSQSLVLLHYGYQSYTHRFAQLEHYLLSIDINNTKEVISYSPLSPPIPLIKESYRTFVVGSCNGLICVAVWFSRNDKYCNQNTPDFSLLLWNPVIRQCRYLPKHQSICRPEVFEFGFIPETNDYVVVKVGSPGFDIEVYKMSTDSWTTIDCNLFNGSRNIPTVFRVQSPVFLNGSFHWAVKKSKPNSYNDTTCNYIVYYKLKDEEVGVMNVFDDDRAILDVVVDEWRFSTRYKLSVMDEKLAMIFWNGEQGNIFEIWVMNDYGVGNDWSRRFQISETFSDYIFPLGFWTKGLMLIDKTYTSRERLQKSEAFFYDLETSSLKKIPFMGTTSCLNGFSSFVETLVPVRSLRDALPPLIPASMQTPTSFEALPTWFIRSNLVFFGLHQVLLSSELDQTASSKL >KZM82087 pep chromosome:ASM162521v1:9:11160027:11192346:1 gene:DCAR_029700 transcript:KZM82087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSAKRVKVSRGDDDYMPGNIVDIEIRNFMTFDKLKCQPASRLNLVVGPNGSGKSSLVCAIALGLGGEPLLLGRASTIGAFVKRGEESGHIKITLKGSSNDDLITIMRKIDIHNKSEWLYNGVGVPKKDVGEIVKKFNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPRLPVQHRALIGKSHELRNLERAVRQNRETLDQLKSLNAEQERDVDRVRQRGELLKKVDAMKKKMPWLMYDMKKADYIEAKEKEKDAKRKFDELAKAMNELQGPIEYHKKEKTAQDAKLRKLRAILDGNSKKRMQLAERENILSAQVRGKFKEMEGLRRQEQSRQERILKAKEDLAAAESELANLPHSEPPKDKLDSLCARILELEGRADNVRSQKREKEKSIYQKKEQLARCIDRLRNMEDSKNKSLHALRNSGAEKIFEAYKWVNEHRHEFNKDVYGPVLIEVNVKDRVHANYLEGHVAHYIWKAFITQDSGDRDFLVQNLMPFDVVVINHVDEGPNKESFEISQEARNSDFFSSNHLGMHAIGIYSRLDRVFEAPSAVKDVLIGQFGLEYSYIGSKATDERANEVQRLGIMDMWTPENHYRWSKSRYGDHVSAVVESVNQSRLLLCNVDVEELESLRTRKTELEDVISDLESSLKVLQSELRHFEDEAAKLQREREDILSIAQLERKKRRELESRVNQRKSKVKSLEAEENIDTLMANLISSASDLNAQRFQCAIEIKNLLIETLAYRRSSAEENMIVIELEAKIRELEVGVKEQEKIAVQASQQFEYCKSEAEKHRQQLQAAKKHAESVSMITPELEKLFLEIDSLSGKQDTVELELRRCMDEINALKESWLPTIRDLVAQINDTFSRNFKDMAVAGEVSLDERDIDYENYGILIKVKFREAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPVNERKMFQQLVRAASQPNTPQCFLLTPKLLSDLEYSEACSVINVMNGPWIEQSSKGYFAYVFISIFVCTIDYFLRLFSLNFNKV >KZM82619 pep chromosome:ASM162521v1:9:21895301:21900191:1 gene:DCAR_030188 transcript:KZM82619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTLLLRRVLSTAAALRQTSASLHPVSLSSISPAAESLFHVSLDVSSSPSLVSSYTRPGQYLQLRLPHNNRSTLLAIASPPSLAESSGVFEFLVKSVRGSTAEMLCELKEGDIVELSHAIGNGFEVEEIRRCETVFIFATGSGISPIRSLIETGFNADERSDVRLYYGARNLIRMAYQDRFKDWESSGVRIVPVLSQPSDNWTGERGFVQAAFSRSKKIYNPHSTGAVLCGHRQMAEDITSALVSDGVSIRKILKNI >KZM82442 pep chromosome:ASM162521v1:9:19307953:19311785:-1 gene:DCAR_030011 transcript:KZM82442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNNIGDKRPLAKVYRRPDNKVIKATAGRNIKTLVGNKLVDEVEGDEHNCNNLVDEQDGDQDNDKQSDEDMQEGEEDSAQEDSAEDMEQDDSAQEREEGDEDSAEEEDDEQDDVLNESEEENEDEEEEDEQEEDETENQAQVNNAQPKIKITKYKRKKFYDFAGYIVQCRRSTRLRAHSLSKFTNTADTPVDLESEDQANMNTNTIGDKRPLAKVYRRPDNKVIKATAGRNIKTLVGNKLVDEVEGDEHNCNNLVDEQDGDQDNDKQSEEDMQEGEEDSAQEDSAEDMEQDDSAQEGEEGDDDSAQEGEEGDEDSAEEEDDEQDDVLNESEEENEDEEEEDEQEEDETENQAQVNNAQPKIKITKYKRKKEAAFETHIPRKRIAGTLYPLLKFMNKDVKKTEGAKHINKKKDEVKIRISPRHFSKMVGELTKEQRDWVTRAGFALLLDFELDILPTKIAYNVLQIFDHHSISLKLKDGDINITSEDVYDVLGLPNGGHPIILASPGKYSQRIKDWHAQFTLSDQITTQMIVQVMKNQEVNDNFKLNFLLVMSNVLIGTKGASYVDKQLLQLDDNLDNLKKYNWADFLLGYLVIGMESWNRTTTTFFRGSLIFLTLLYVDRVRYKGMNLVDRQFPSYNGWTLEMLRQRQEIEVIDGAFGVGSIQPSLKEYLQKIDPSEPPKTKVNDNENGAWDTWQYWSEVDRIEKDYLKRKESTSQQPHESTQCQSPQNTQYYTPPTEAADGNVEQTEEGRGTCGHKVQI >KZM83577 pep chromosome:ASM162521v1:9:33087358:33087696:-1 gene:DCAR_031146 transcript:KZM83577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTKLNLAAILLILISICMSCSKGDIRNSNWFSDWQSGFRKHDLINFENAGGLTNIESQRGTWSESLRAKLSPPPSPFKRPRPPHMLTFPPSRPWSPPAPGPVADPPPYR >KZM83343 pep chromosome:ASM162521v1:9:31039761:31044060:1 gene:DCAR_030912 transcript:KZM83343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSNARRGKSSVPQRGQYRGVRQRPWGKWAAEIRETSGRRLWLGTYNTAEEAAVAYDRAAIRIRGDHALLNFPDCSKIWRAKLVSPVGGSTSGDKGVAECGASCRDDMKLGLGKEIGERNAEVMQDNIWEQFLQLDTPESLCGTDENQGTENRDDLGSIASGTRTDGDCSENEAMSSVYDCMHRSGISFNHDLPSSFDVWQPDWERSDLMPDGVSDMFWNNWNWEVPFNFHIPTDEMQGAKNRDDIDSIISGTNTDGTCSENEAISGVYENNSSMLILENFSSADKWEKLKGDVRGDIAVASRQYGSVSGVLPAHQAGSWNMGSLPQEQAEKETMISEKQENTSQQLQLIAQSLFKDVTDKNEQVLDTRKSTLNIDLHEEIAAGEMYRRTSNNIQDITHLHKNLDRTEEDLPIKCAEPRPLTHEPKRSNEKGNTMEINFSASEVILKEVIRERTHTLADQGVDELEQHMPSKSIEPRSLTSQPINSVEFLEDERNAVRSELPGRDGVMKIHHSALKGTSEEIVKEHAVAVERDEPIEESAADDFEYAASCEDVGHAMITASQPSSLQTMKSLPQQVNMKKVVLENEGDASSQLPCILQPCEDGNELEDQVLEMQKSTPIINSHKGIATGETSSYAPENIPEDIHCWSFYLYVLLDISYHACVPFMVKISVWLVCS >KZM81443 pep chromosome:ASM162521v1:9:1268721:1280587:1 gene:DCAR_029056 transcript:KZM81443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVKDIHGMARDSVKYIPLHEEYMATAPLVNSNGASKKRKIPERNIDKKDVGDVYWPLDDDWYCGRILGYNLSTERYHVKYNDGVEEHLCLSFERILFYVSPEEIQQRKLCCVQHPDVDSHDIDNMVSLAASLNHCSRVDPIPGDMIWAKVTGHPMWPAIVLDESACSNLKILNKISGERSVLVQFFGTHDFARLSKKQVTSFIKGLLSELHRKSKTSDFLRSLIEAKTYLSTEKLPSRMLSLQSSNAANFESASGEEDCTRDEEIPKNVIFRSCPFDIGGLQIISLGKIVKDSELLYDRKYICPEGYTAVRKLPSISDPKKCISYTMEVLRDGNTLNKPLFRVTSEKGEQFEGPTSSSCWDKLYRKIRKLHGHDALKAFGEDNKIVRSGPAMFGFSHPKIKELIRLSSTCGSPLDSSKLTSRRCRDPAIGYRRIHITWKDLDKCNVCYTDEFEGPTSSSCWDKLYRKIRKLHGHDALKAFGEDNKIVRSGPAMFGFSHPKIKELIRLSSTCGSPLDSSKLTSRRCRDPAIGYRRIHITWKDLDKCNVCYTDEEYDISPFLQCDNCRMMVHTSCYGELEPADGTLWYCNLCRVGAPESPPPCCLCPLAGGAMKPTTDGRWAHLACAISIPETCLLDVRKMEPIDGLNRINKCSNSSCYVAYHPLCARAAGYFLEFYEEDGLRLCSEKEDEKDQCIRLRSYCKRHRRPSDKCIVVQESMGTCQRPDYIPSPNPSGCARTGRKQPQSHEPASLKHLYLENVPYLVGGIRQHEFLSKVVSSQCNISSNISSMVEKYSHMKETFRRRLTFGKSGIHGYGIFAKQPHRAGDMVIEYTGEVVRVNVADRREHLTYDSLVGAGTCMFKIDDDRVIDATKAGNIARLINHSCEPNCYSRIITVNGDQHVIIYAKRDLNQWEELTINYRLSSVDEQLACSCGVSRCRGIVNDIKAVEQVAKPCIPQ >KZM83305 pep chromosome:ASM162521v1:9:30676211:30676678:-1 gene:DCAR_030874 transcript:KZM83305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNLCCMTYTSAGSTNGSNVSKKRHLDRKNKQVHCSSEKKVKFLTLEDYLEDSPAVVNIQSQCSTNAGDQVQVVHKGYQKIPPASPDVTAGFYTPRISFSSDKIGLLGRVEKSEDGSSGSSISGRAKKRVSFKLPDESDIIVFYPAEETFEDFR >KZM83595 pep chromosome:ASM162521v1:9:33182371:33184887:1 gene:DCAR_031164 transcript:KZM83595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAMNSIDTSFSPKKSSFSLNGSVNSCSLKRKRPPTIEIPRVLTEIPVDSAKKRVGSGGNCCGDDGFVCSSGFGVGVYAVKGKKKTMEDSYTIVSCPISKKGVFGVYDGHGGSKAAQFVAEKLHGNIKEMLDKVPENGDKEEAVKLGYLKTDQDFLKQGVSSGACCVTALIEGNELIVSNVGDCRAVLCRAGTADAITKDHRASEEDERKRIEDKGGYVEMHRGAWRVHGVLSVSRSIGDAHLKNWVLAEPDTKILHLTSDMEYLVLASDGLWEQVGNQEAIDILLRSCSVERKPGASVDTVSVNDDAYDCKGSSTSPKLRRLSLIKKNTRLGSSPIYRKRISCCTKSDDFGCENESPTSKARRISLVHHMKLKPQMPSEEHTVNQCKEIQCDYLCENVSPPSKAQRISQANQIKTRPQMSSQEISINRWKEPLLANQTNMKPRTQTQENSIYQQKENVKNSTGEDVFLPSKVQELSPVNQLKIKPQAPSHQHSIDKKRLSSGGLIAACKELANLALSRGSLDDITVMIIDLHRLKD >KZM82872 pep chromosome:ASM162521v1:9:25395825:25396145:-1 gene:DCAR_030441 transcript:KZM82872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGKNPRFRQQQETDIKHTPSRKAKTTSPANKTFIRKTTKHTNNNNQNSLLNLYHTPNLKQQLPYKLNKPRLQQQHQARNSQTKELQNVATTSAPKQKSSSIPKR >KZM83341 pep chromosome:ASM162521v1:9:31026963:31027715:-1 gene:DCAR_030910 transcript:KZM83341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIGCHYVKPVHDPEAIRDYYFLEGFVEKEIMKDSILKARQHLSAAGWTFRYIFDKSGNKKKIKYVPPNGSKKHVTLRSACRYYLKSESGGAEEIISAVKGRAKRKARLDDDNVGGIESEGYGLCLHNRQFSFDDFEMEDGVEEVQDKMRGLNLEEGEFKFDGLGENVDAGISKLNYAGGDDQVIEVHEIREEEEEVQEISLRNYKKKVSCDREVDAGKTKSKALKKKKRRITSCDLFGDRMLRCMQGY >KZM81932 pep chromosome:ASM162521v1:9:8686650:8691349:1 gene:DCAR_029545 transcript:KZM81932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVTWGVVQGRKEKLVSRVIVCDYLKGIGIIPDELEQLELPSTVDVMRERVEFLQKIGLTIDDINEYPLMLGCSVRKNVIPVLGYLEKVGIQRQKLGEFIKNYPQVLHASVVVELVPIVKFLRGLDVEKQDIGYVLMKYPELLGFKPEGTMSTSVAYLVSIGVNPRDIGPMVTQYPYFLGMRVGTMIKPLVDYLVSLGLPKKVLARMFEKRAYVLGYNLEDTVKPNVDCLISFGIRKEALPSVIAQYPQILGLPLKAKLSSQQYFCNLKLKIDPEGFARVIEGMPQIVSLNQNVIMKPVEFXGRGISPEDVAKMDNIIRSHYINGSLLGKCWCKFSQTADT >KZM82737 pep chromosome:ASM162521v1:9:23192125:23195150:-1 gene:DCAR_030306 transcript:KZM82737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPRLVASKILRKTLGSNNFVADFPSGFEDSSLELPSLDGASEYNPKSISTDHKESKNYKVFVSTWNVGGVSPTDDLDMEDFQDTQNNAPCDIYVFGHLASGRRLGDEKLRNSNVADILSRTSFPRGPTLDFPKKILDHDRVIFLGDLNYRISLPEDEIRLLVEKEEWDILLGNDQLKQETRDGEAFEGWHEGVINFAPTYKYNFNSDTYYGTIDGGRKDQKKRAPAWCDRIMWFGEGLKQHLYTRNESKLSDHRPVKAVFTTQVEVLHTVEGFQGFFLSQSFDFKPIKDDNGS >KZM81954 pep chromosome:ASM162521v1:9:9092359:9116460:1 gene:DCAR_029567 transcript:KZM81954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELEPRVKALGYKVKGMSRESPSQKGIHVLDNDLRTHWSTATNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRYKPEAFVKVRPRCEAPRRDMMYPMNYTPCRYVRISCLRGNPIAIFFIQLIGVPVTGLEPEFQPVVSYLLPHIISHKQDAHDMHLQLLQDMTNRLTMFIPQLEAELNSFSDAPESNIRFLAMLAGPLYPILYIVNERETARLAGSSTESEASKSGQSSSALLVSSNFELLRVVTNFLLDDQPRRSRTASPTLLPTSSSIVFRPDALVLLLRKAYRDPNLGTVCRMAARILLKLVEPQSKQKASIASDTTASISDESLINDQSDPVSLVDYSSLFGEEFQILGDQWDSSYLTFLDNRAIEGVLHVLYACASQTLLCSKLANGTSDFWAALPLVQALLPALRPNVSSPDQVDDNFTQWKQPYVLYALSQIVAISSSASFHPLLRGCAGYLSSFSPSHARAASVLIDLCSGVLAPWLGQVAAKVDLTVELLEDLLGVIQDARHSLTRARAALKYIILALSGHMDDIMGRYKEVKHRILFLFEMLEPYLDPAISPLKSSIAFGNVAPVFLEKQENSCAIALNVIRTGVKKFSVLPSLESEWRRESVAPSVLLSILDPHMQLPSDINLCKFNVNENSEAQVSTGPPLSSVHYQIGVSTKHNSQVDTDLKADVSDATKTDVSEDVSLVFAPPELRSMALSTVCISPEKISDISCCLVDTKDKNAIERNVKNQFQNNIGVDDSYAIRCTDLNADYLQLVTYHDSELWATEFRRLALDLNSQNENRPESHLAAIDSLLLAAECYVNPFFLNSFKQSDISKISKTYDLADLKRVLEESHSKLDTVSHLERRRDTVVLQILLEAAQLDRKYKLISLDGEHTSSGTEGNEEVINLSQHDTYYVDAITLVRQNQELLFNFLIKRLLADKNSMHEVLMQSLVFLLHSATKLYCTPENVIDIIIESAEFLNGLLMSFYCQFKEGNLKLDPVKVHEVQRRWLLLQRLVAASSGNEGLNKPMGFTNSLRFMNLIPPSAWIQKIPTISSSSFPLVRFLGWMAVSRNAKVYQKEKLFLASDLSQLMYLLSIFSDELAVLESSANQQNQEVSINELKHLPPDKDIKLPNQKAVDQSFRAIYPEISYFFPDMKKDFESFGQIILEAVGLQLRSLSSSVVPDLLCWFSDLCSWPFIQKRDDSRLSSTEISVQYKGFMGKNAKAVILYILESIVVEHMEAMVPEVPRVVQVLVSLCQASYCDVSFLEAVLLLLNPVIAYSLHKVSDEEKLLVDEPCLNFESLCFDELFHNIRPNSEKQDEMPKKSNYSRSLTIFILASVFPELTFKRKRELLQSLGFWAAFTDCEPTMAFHDYMCAFQRIMERLKSLLSETLRVWGVLPLRVPLHSDTAVFAPCDDTFESQSWFLNDVCNNNSLTAADVKSDCTIEDAGSMNRRVCHLSVEEAICFSEEVESLISKLNPTIEVCSKLHPQMARKLTLTSAQCLMYSRCSTLVAKFISSSIGVEKQNILPADLAADFPAHWRTGLEGLAETVHVLLESHCWVVASIVLDCILGLPMCFSLDNVIASICSAIKTFSCSAPKVSWRLQCDKWLSSLLARGIHDDHGTDHSIIDLLLSMIGHPEPEQRFLALNHLGKLVGRDVDGDTEILAFTACSKLNQPELVASSCHSISAALVSSTWDQVVVVASSDMSLPLRIRAIALLISYVPFAERHQLQSLLTAVDTVLYGLTNLAQPTCEGPLIQLSLALVASVCLYSPVEDISLIPQAIWQNIEIIGKLETEKRSGDLERMACQALLGLRNEEDGSKETLEKLFSSSFHKHMDPDFESTRGTILQVLSNLTSVKSYLEFFSKKTDQKVMEMEEAELEMDLILNEQGMSESFKELKDFPDLPFLSTNAKDDNRIQQIRDRIRSLEKLKLKEDIIARRQKKLLVRRTRQKYLEEAASREAELIQRLDSERTAEAEREIERQQLLELERAKTRELRHNLDMEREKQTQARKNKLRELQRELEQAEAGIRSSRREFSSSNRQPRERFRERENGRPVNEGNLRTSTASMQPDSMMPTVVLSGSRQFSGQLPTILQSRDRQDEGGGSSYEENFDGSRDSGDTGSIGDPELVSALEGQAGGSGSSQRHGPRGSKSRQIMERRDRDGRREGKWERKH >KZM82797 pep chromosome:ASM162521v1:9:24469694:24470617:-1 gene:DCAR_030366 transcript:KZM82797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEATERIYFYFCHQCNHNVAISRTPNTDPLCPSCRDGFLEEIDVPRPNPNRDPVDPLAPSNARTVTGVHYGPRGPTRVIMEAPEFDRGYHVGNSRAGGVNFQFVFQNHPSGGEGLPSNLVDFLMGQGLEELIQELAENESDRYGTPPASRTAVEGLPDVVVDDKVLGSDLAQCAVCQDDFEKDMVLKQMPCKHVYHSECLLPWLELHNSCPVCRYELPTDDPDYENRTRGVAASGGENNSSGNVRFESSGSGTNTGDDEEGRHLRGFVERTFSIMFGPSSSRTDNSGDSGGDSGSGGPQNMDTN >KZM81776 pep chromosome:ASM162521v1:9:5866783:5868923:1 gene:DCAR_029389 transcript:KZM81776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEKLTLIMVNLAGIMERADESLLPGVYKEVGQAFDIDPTSLGSLTLFRSMVQSACYPLAAYLALHYNRAHVIALGAFLWATATFLVGFSSSFLQVAISRGLNGIGLAIVIPAIQSLIADSTDDSNRGVAFGWLQFTGGLGSIIGGFVSVLIASTSFMGIPGWRIAFHLVGLISVVVGILVRLFAHDPRFSDGKPQIPHQPFWSQTKNMYAEAKTVINIPSFQIIVAQGISGSCAWSALSFAPMWLELIGFSHKTTALLWTTFAVGTSIGGLCGGKLGDTLAKYFPDSGRIILSQISAGSAIPLAAILLLAIPDNPSTALTHEIVPEKSRTSIYALDCSFESVLASFAPPTVGILAQYVFGYKPVPKGSTGSQETKADRENAAPLAKALYAAIFFPMVICVFIYSFLYCTYPRDRDQARMYALGALEIEYFESEIRNSGKEYLQLSVTRSDELHERDRDNQVEGSLDFDENDTKRLLSQ >KZM81870 pep chromosome:ASM162521v1:9:7218366:7223983:1 gene:DCAR_029483 transcript:KZM81870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSGRKRTVLGPHSPASSKNGGYKFLVIQVVECIQQHSPASSTDGGGIILQEAVELSSGFSVADMYPSVKLLYVISGIRYRLKKVHENMIMGTKLLDLTVIITLAPTASFPHLAAEDRAATYEVDSYLTKTKDVFSSIVEKDYVLGKALAVGTSDKVQKVDQNYQVSQKVKSAVAKSAELSSEYASIGASWVTVTFDSIAKAAAEVSQHAKKVALAEEEQKRKTTDVMGMVEDLEPIQESQTNHGPVEIIKFTIYDGSVRHKVHISGPFNPDALSLYDDQFANPKIVIMASTRISEFRGTIKITNLSSTKIYVNLECPEVTTFRQW >KZM82907 pep chromosome:ASM162521v1:9:25779448:25782732:1 gene:DCAR_030476 transcript:KZM82907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEILPIEQLSFTEIYNHCNNFQTHEWGMSKEVKYENQSVKEEFFSSDPLQEQPVEFFSEIKGFDESFSDIKYLPVEQCHKVRKISNGQRMAGAESVRETTRTIPLAGLEILNNYGSRFSLSHGEMEIASSYEIAQTKVGVRQLTTDGILRLAGEKFIRSCSPRNADLSLLVGPISSSFPCLTDDEVNDVELVQILLMSAEKVGXTWLHFEPLTHEWGMSKEVKYENQSVKEEFFSSDPLQEQPVEFFSEIKGFDESFSDIKYLPVEQCHKVRKISNGQRMAGAESVRETTRTIPLAGLEILNNYGSRFSLSHGEMEIASSYEIAQTKVGVRQLTTDGILRLAGEKFIRSCSPRNADLSLLVGPISSSFPCLTDDEVNDVELVQILLMSAEKVGRQQYDCASKLLDLCDGLSSPEGNPVQRLVYYFVKALREKIYHETGVIPSNCLDIKHFFDVKEALMSPTPTVISFHKEVPFSQINQFIGVQVIVENVGEAKRVHIIDLEIRSGMQCMVLMQALVNRRQSPLDHFKVTALGTKSKEKIEETGRRLMTFAMSMNIPFSFNVIMVADMLALNKSLFTLDPREVVAVVSSYYLWTLLAVPNQLECLMGVIRKINPCIMVITEVEAKHNSPVFVNRFTEALFFYGVFFDAVEDCMGHKNPNRLTTESMFLNQGIRTIVAAEKEERTIRHVSIAVWRAFFARFRMVEKQLSMSSLYQADLVLKNFSCSNSCTLVRDEKGLIIGWKGTPVHSLTTWKFL >KZM82764 pep chromosome:ASM162521v1:9:23735746:23740170:1 gene:DCAR_030333 transcript:KZM82764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVKLSDGGAVSSATALQTCRDSKIVPVEDDLLARSINPESGIKICGGDETESIERRFYEEEDFSGNIYDQVGGSSDEDDSGDDFREKIDEKVSLSSAEDLDEGFSMNEKNVNDGKKINGEFEVLITPNPQLPRPEAPPGLTMSEPESSPGDVSGESETSWKYFLEKSSSLSSAITKRLYSYNSSSDGDEHVDGDSDKNLGLTKVTEFLSGVKLVVNSKSNDEDDERVKATGFRGRISFFSKSNCRDCTAVRSFFREKNLRYVEINIDVFPRRVKELIERTGSSSVPQIFFNEKLLGGLVALNSLRNSGMLEEKLKELLSEKCPDTAPEVPLYGIDDPEEDRMDEMVGVVRVLRQKMFIQDRVMKLKMVRNCFCGAEMVNEILKLYAGLDRLEAVEIGKQLAQKHFIHHVFGENEFEDGNHYYRFLEHEPFISRCFNYHGSVNDCEPKTAVLISQRLTKLMFAILESYSSDDRQHLNYAAISTSEEFRRYVILVKDLQRIDLFSLSAEERIAFFLNLYNAMVIHAVIKVGHPLGMVDRRSFNNDFLYVIGGQPYSLAEIKHGILRSNRRAPYSLVKSFGAGDKRLALTLPKVNPSIHFGLCDGTRSSPVVRFFTPQGVDSQLRYATREFFQRNGIEVDLEKRTVNLTRIITWFNVDFGQEKEILQWLMTYLDATKAGLLSHLLEDGGPVNIVYQDYDWSLNS >KZM83536 pep chromosome:ASM162521v1:9:32771376:32774581:1 gene:DCAR_031105 transcript:KZM83536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSRLFSTHAVTQSSPSPGISHIHTVRNDTQLSRITDVGEAHGLARSSCRESTTSTVLKDIHIPARLMEDFLELARDNTNKDVETCGVLGASLKEGTFYITTLIVPKQESTSSSCQALNEEEIFAIQYEVSDFPIGWIHMMVPEAVAIVMAPTDKSRSYGVFRLTDPGGMSILKDCPERGFHTHREPPDGSSIYEHCTNVYINPHLRLEICDLR >KZM82568 pep chromosome:ASM162521v1:9:21229898:21233032:1 gene:DCAR_030137 transcript:KZM82568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKTAQAVFCFVVFLGLWTSVNSLLTAKGVNLEVQALMSIKAALKDPHGVLAKWDSDAADPCSWTMVTCSPDYLVIGLGTPSQSLSGILSPSIGNLTNLQSVLLQNNNISGPLPPELGGLSKLQTLDLSDNLFTGIIPSSLANLASLQYLRLNNNSLSGAIPLSMANMTQLTFLDLSSNNLSGPVPRFLAKTFSILGNPMICATGKEQDCNGSKPMPLSLNLNNQNPQSAGRSKSHKIALAFGSSIGCICLLIIGFGVLLWWRQRKNQQIFFDINEQRCEEVCLGNMRRFQFRELQVATHNFSSKNILGKGGFGIVYKGHLQDGTIVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTTTERLLVYPYMSNGSVALRLKSKPALDWGTRKRISLGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLDMLVDKDLKGNYDRIELEEIVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAEKWEATQRAEATRCRANEFSSSERYSDLTDDSSVLVQAMELSGPR >KZM83073 pep chromosome:ASM162521v1:9:28525761:28526321:-1 gene:DCAR_030642 transcript:KZM83073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQHISKHFYLEKVFDDLSTDRPKQVWRYRNFFGDDASSSQKTTQSGSYSENTNVEPKPISNESSTDDDTMADPFDWIVHTPVPERVEDNEKAASCTMSHRRHKRSHKRSHRRLISRREASTDMRHALADKGMASI >KZM83469 pep chromosome:ASM162521v1:9:32210058:32211835:-1 gene:DCAR_031038 transcript:KZM83469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLTATFHGCEANAGTVKHDEKYGAHDFVKVAMIDNKLHVYNITSFSYNTLKAATQKFSKKNLLGEGGSGDVFKGWINLSTMTAAKPKGGHPVAVKRLKQERLQGREEWMTELSFLKRLNHPNVVKIIGYCCEDDHSMLVFEYMPKGSLETSLLEGESDLNWSTRLKIAVGSAKGLEYLHGTSLPVIHRDMKSSNILLDDDYTPKISDFGLAKFGPQDDKSHTSSRVLGTKGYFAPEYVGTGHLTMQIDVYSFGVVLLEILSGRLAVTRSYSGGSEDLVEWGKPFLDSKQQLHCIIDKKMGRTMTRKEANRFAKIIRQCLNQKPKRRPTMKEVVRSLEELEQGLNQNGQKPVHCFMKNQKHNHPQYLHDHKKDYCSTTSVA >KZM82811 pep chromosome:ASM162521v1:9:24737226:24738554:1 gene:DCAR_030380 transcript:KZM82811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLKKEQNTRACFVSIIQNELPHMLRAAAPLDVGPQDIHWHCAKILRLWIERKILPKSYLQPYVDGIDTFIKYPPLSDKSLNSQAREIDGVLIEEYGSNNWLFLPGHSVTQPLIEDGNTNIACNPDLHLEIEVVSLYQKDKKEGFGKGTRLDSTERNSPPSPPDSPPLTPPLPLSPMPPLPPNPPSPPPPPPNSPPPTSPMPQLQPYPLPHVPIRSSHLRRSQPPILGPWGHPMMHHGQPPTFYYSWRPT >KZM83370 pep chromosome:ASM162521v1:9:31227492:31228236:1 gene:DCAR_030939 transcript:KZM83370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAELCYFGGFIVDNLVEVSSDDEDVEPVTPESEQLKKSKIPCTVENVNKHFGRPLKDATKSFGLSQSIVKRRCRDVDIECWESGKSQKIDGKSEAKEFSLATSSLPNRRVVTDISHDINMMTVKVTYNARTIRFELPSSSGLDELENSVIKRLHLDRKSFSIKYQDDEDDWIDITCDEDVQECMKVSRSLKKPTIKMKLGPAY >KZM81430 pep chromosome:ASM162521v1:9:1143001:1144292:1 gene:DCAR_029043 transcript:KZM81430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSQQPMINCSSSGTNLSPLSSGRRYALVAVTCESEYIGKVTGRTPLSAVDQNASNQSIRRSPLCNVDQNAVPGFVLDSTITGS >KZM81563 pep chromosome:ASM162521v1:9:2669719:2670383:1 gene:DCAR_029176 transcript:KZM81563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLFERECSSGYESGWTIYFEHENSLLSPHQKSANFYITEDHDEEDSSMVSDASSGPPHICDDGNFFIYPPNDVMFPEKNGQRKKNHDLRRKKDQEQVSFLDDTASSPIFNLSTNNLASSNVETSTETMLSFSQGHSSTQFEVLVEFISRIFHTGMRTSKFLSCTSFRRVNLDSKGIMVAASLLHPVKNCNNIS >KZM82105 pep chromosome:ASM162521v1:9:11516635:11520151:-1 gene:DCAR_031812 transcript:KZM82105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDESALNTYNYGDAYYWDARYIQEASAGGAFDWYQRYSALRPFVRKFIPTSSRILMVGCGNAVMSEDMVKDGYEDIMNVDISSVAIDLMRRKYEHIPQLKCILG >KZM81814 pep chromosome:ASM162521v1:9:6308473:6321221:-1 gene:DCAR_029427 transcript:KZM81814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHGDGDNDGGSSGSSNTCPICIGPFQNESYLDKCFHKFCYNCILRWSQVVAGRHSKPPSSVKCPLCKTENFSLIHGFDGISFHKQYFDQNVGNSAFFSDVHKYRLQCYYVEPGILNEKFSVSLYWKSNKYVQKNLFLFNWLRRELQALTQEEDVDIIAHHLHGIIDSLGRSKKTAPGDSPGTKREEFKAMVSQAAEPFLTGRTNRFVEELELFLASGLNIEAYDKVYIQHLGWKNDKITPDDDHDEIHENVPVVPSLSLFNDDDFE >KZM81796 pep chromosome:ASM162521v1:9:6153727:6156596:-1 gene:DCAR_029409 transcript:KZM81796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNFPALVCKDVLISILEHLSVDDLARAACVSRLWNEVASDKEIQVRAFMMPWKLKQVVGKPSSGGFWREVSLAKFAISHRLAKGDSVASLAVKYSVQVTDIKRLNNMISDHGIYSRERLLIPISNPDLLIDGTCYIELDAYAKREVAVLYLEGAPDIKLNSSLNRVTSEQGKKKILNTLRRSMQVDDETAEYYYSLSNGDPRAALMEFSQDIRWERHVGLA >KZM82081 pep chromosome:ASM162521v1:9:10980103:10980579:1 gene:DCAR_029694 transcript:KZM82081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSALTSPKLFIHVSPSITDEKIREAFAPFGNLRSAKVIKNKALAIVTYDTIQEAEKARKRMHFRRFGGFFLLIESESEFLNPNYEYPQSGCYVYVDLDDLDDYEGVLDLTTRDKFREAFAPFLGCKNP >KZM81369 pep chromosome:ASM162521v1:9:411556:411888:-1 gene:DCAR_028982 transcript:KZM81369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGAQLEFFNAQKPNGLKSFGSALYMTSISLGNYVSSLLVIAVKKISTTDQVPGWIPGNLNYGHLDRFYFMLAGLTAMGLVAYIFCDKWYKNIKLEDNVESARSEGKSVS >KZM83170 pep chromosome:ASM162521v1:9:29320676:29321128:-1 gene:DCAR_030739 transcript:KZM83170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGMPNNNANNTTELRYRGVRRRPWGKYAAEIRDSARQGARVWLGTFNTAEDAARAYDRAAYSMRGHLAVLNFPEEYNLPSGGLSDHFSGYSASASGSGSGSGSSSSSAMQGSNEEGKEVIEFEYLDDQVLEDLLDFDQPKNNNRRKNK >KZM81658 pep chromosome:ASM162521v1:9:4202553:4208497:-1 gene:DCAR_029271 transcript:KZM81658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEHYSWRDTYRGMSSDNIKGLVLALSSSVFIGASFIIKKKGLKKSGVSGVRAGVGGYSYLYEPLWWVGMITMIVGEAANFAAYAFAPAILVTPLGALSIIISAVLAHIILQEKLHIFGILGCALCVVGSTTIVLHAPQEREINSVKEVWDLATEPGFLFYAALVITLVFVLVFHYIPQYGQTHIMCYIGVCSLVGSLSVMSVKAIGISLKLTLSGTNQLVYPQTWAFTVVVVLSVITQLNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQSPTQIVTEMCGFVTILSGTFLLHKTKDMVDGPGVLPVRLPKHMDEEDNFGQEGIPLRRQQT >KZM82731 pep chromosome:ASM162521v1:9:23129455:23129969:-1 gene:DCAR_030300 transcript:KZM82731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYLTNNVPETISLNVSGSLTFSTTSANKVYINPEVDHVTSIKERFSALSIQAKPIQGTSAAKLTPEEEMFINRMTVDALVKATGAGEMKESVVTLKATITGINSGQGWYYIACRSCVKKAEFENGVYVCRSCGTLEYPLAL >KZM83334 pep chromosome:ASM162521v1:9:30965492:30971661:1 gene:DCAR_030903 transcript:KZM83334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGGGGLANGEVWKAHTALALVQVLSGGYHVITKVALNVGVNQLVFCLLRDLIALSILAPVAFFKEKRIRPPMTKRFLLLFLFLGFSGIFSNQLLFLIGLGYTNPTYAAAIQPSIPVFTFILAAIMGTETVNLLRTEGQLKVGGTLVCVFGAILMVMFRGPAVFGYKDAEFAAQSEISARGQPEPAGWFVYSLSNFGLDTWHLGVLCLIGNSMCMASYLATQAPLLAKYPASISVTAYAYSFGVLFMVVTAFFMTNRSTDWNLTQSEILAVCYAGIVASALNYGLLTWCNKVVGPSLVALYFPLQPAASAFLSRIFLGSPIYLGSILGGFSIIAGLYLVTWVSYREKQAASGIIPHVSRSSDPHIPMVSSIGKIPYQLGHIFSGPPNSVPKIMD >KZM81377 pep chromosome:ASM162521v1:9:532091:540720:-1 gene:DCAR_028990 transcript:KZM81377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFVKKDDDLDGEEVSYIQKDNPQAEYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGESFTKFEATDVFFAVTKLFQSRDLGLRRMVYLIIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIVDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQVRQNDRLAVSKLVTSLTKGTVRSPLAQCLLIRYTSQVIRESGMNQAGDRPFYDYLESCLRHKAEMVIFEAARAITELSGVTSRELTPAITVLQLFLTSSKPVLRFAAVRTLNKVAMTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYKSLMNFLSNILREEGGFEYKKAIVDSIVIFIREIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGAMVDPLKPRIFILLRRCLFDSDDEVRDRATLYLNTLGGDGSVVETDKDVKEFLFGSLDVPLVNLETSLKNYEPSEEPFDIYSVPKEVKSQQHVEKKSQTKKPSGLGAPLPAPTSTTDAYEKLLSSIPEFSNFGKLFKSSTPVELTEAETEYAVNAVKHIFDGHVVFQYNCTNTIPEQLLENVSVIVDASEAEEFSEVAIKPIRSLPYDSPGQTFVAFEKPVGVTSFGRFSNTLKFIVKEVDTSSGEAEDDGVEDEYQLEDLEVVAADYILKVGVSNFKNAWESLDPDCERVDEYGLGPRETLAEAITVVTNLLGMQPCEGTEVVPKNARSHTCLLSGIYIGNFKVLVRVSFGFDGPKEVAMKLAVRSDDISVSEAIHEIVASG >KZM82024 pep chromosome:ASM162521v1:9:10065326:10074255:1 gene:DCAR_029637 transcript:KZM82024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQVIDLAPYLQSNDSDPTHLDPKLISLCSEVSRILKETGALLVKDPRCSVEDNDRFIDMMEKYFEMPAEFKRLQERPHLHYQVGVTPEGVEVPRSLVDEEMQERFKEMPKEYRPLTPTGPDPKWRYMWRIGSRPSNTRFQELNSEPVIPEGFSEWKDTMDSWGYKMVNAIEAVAEMAAIGFGLPNDAFTSRMKQGPHLLAPTGSDLSRYGKEGTVFAGYHYDLNFLTIHGRSRFPGLSIWLRNGQKVEVKVPIGCLLIQAGKQIEWLTAGDCIAGMHEVVVTKRTTDAIKLALEQNRSLWRVSSTLFAHIASDAILEPLGHFAESPLAAKYPPICAGEFVEQELSAINLKGNKGAM >KZM81432 pep chromosome:ASM162521v1:9:1162447:1162806:1 gene:DCAR_029045 transcript:KZM81432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQVVKHSQFSEQVGVYDYVPLLHSTCSELFDEGPNDIRRFLKDNGYITGQYYDSKSFADVLKWYFNGHDVYITFKQHPQDLYIYLDEIAILLRTCGRMINHPMVPLIPDGKFMLRHI >KZM83624 pep chromosome:ASM162521v1:9:33455297:33457074:-1 gene:DCAR_031193 transcript:KZM83624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPKTDDYYSPILPGLPDDVAKYCLALVPRFSFPAMGGVSKCWRSFIKSKELLTVRKLAGLLEEWLYVLTMLDDGKGSRWEVIDHLGGKHHVLPPMPGPVKTGFGVVVLNGKLLVIAGNSVIDGTGCASADVYQYDSCLDSWSKLADMNVARYDFACAEVNGMIYAVGGYGENGVSLSCAEVYNPETNKWTVIESIRRPRYNCFACGFEGKLYVLGGRSNFTIGNSRFVDVYTPESHTWHEMKNGCVMVTAHAVLDDKLFCIEWKNQRKLSIFNPKDNSWKMVPIPVTGSSTIVFQFGILEGKLLLFAVDAEPGYRTLLYDPMATPGKEWQTSNIKLSGSCLCSVTIKA >KZM81615 pep chromosome:ASM162521v1:9:3521418:3522244:-1 gene:DCAR_029228 transcript:KZM81615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGREKDVVVFSCVRGSKDKGIGFSADSPRMNVTRARSSVWVVGSASTLRKDEHWKNLIKSAEKRNALYKVSKPYADFFSDANIASMEIKKTVPELQEVPNDDIGFDMAIDVNVDDGPADVQDWGGGDAEGFDGAGDDD >KZM83547 pep chromosome:ASM162521v1:9:32830524:32832492:1 gene:DCAR_031116 transcript:KZM83547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSCSFSTCINLLLIVGLVVAEAFSNSSSLLETTKTETDVLLSWGWWDPVSSSITSCDLKGVKCNKAGRVISIDFTTIPTSKHLIFHPVALMEAYLTRLACFQNSNIYPSAATISLLQVLDVSHGGLTGNLPSSLGNLTLLEELDVSYNSFTGSIPVFQNCSRLKYLHLSTNSFSGHIPEELGRCPSLESVDSYNNNLTGSIPYKILCLPNLGFIDISSNNISGPILSSDYLPDPNKRYAYNDGEFIFLCSKKTHLPIIYIVLPLTIGILLLILASVFCCRHKHAENQSNINTRNGDFCSIWNFDGHIAYEDIIGATNNFDIRYCIGTGGYGSVYEARLPSGKTVALKKLHRLEAEEPVFDRSFRNEVHVLSNIRHKNIVKLFGFCLHNRSMFLIYELMEKGSLFCAVRDDAHAVELDWNKRINIVKGIAHALSYMHHDCTPPIVHRDISSNNVLLNSEMEAFVADFGASRLLEPDSSNQTLVAGTFGYIAPELAYTMVVTEKCDVYSFGVVALEIMMGSHPGDFLTSFPGSTSNRMLNDLLDTRLPRPTRQQEHDIVLILRQSFACLCSNPKFRPSMNTLSHEISQTTKMLTANSIYTMSVEQIC >KZM82930 pep chromosome:ASM162521v1:9:25980903:25983224:1 gene:DCAR_030499 transcript:KZM82930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKIAVISVCSILLLAMVVAVTFTSSGENSENSDDTNTKSAMGVTTSQKAIKDICRPADFKETCETKLTAEAGNTTDTKDLIKAAFRVTEKEIREAMQKSPTIQNAAKDPRAPSSIHSPTGAPEVVPVVAAPPAPPPDLTQPNAVVAQDGSGTFKTIDAALQAMPPGNTKRYVIHVKAGVYQEYIRINNTQTNVVLVGDGPTQTKITGNKSVILDHLKTYHTATVGVDGFGFVARDIGFENTAGTGGEQAVALRVSSDQAAFYNCKIDGFQDTLYAHVHRQYYRDCTISGTIDFIFGDAAALFQNCTLVVRKPGVNQANMVTAQGRKFDYEPTGFVLQNCKFTGEPDYLAAAATFKSYLGRPWKDYAKTMVLYSNIESVIDPTGWQPWPPNLYIDTCWYAEFGNTGPAAATTSRVTWQGIKHVAKEEAETYVPGKFLRGDDWIPATGVPYTSGVMPAA >KZM81669 pep chromosome:ASM162521v1:9:4327478:4338920:1 gene:DCAR_029282 transcript:KZM81669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMRVLLPAEEVDIKAQKYEPELTKAPQMTGWMLKLFVKLVEAPVIGSLIIEYMKKQNNFNEMLRRTVIPETPMFRPEFPPQETESDVVILDEDLKSEDRVALALSCLPHYDPASTCTNDLNVFRYWKIRDYAYAYRSRLATPSMVAERIISVLDDFSHQKPPTPLLISFDEEDLRNQAAASTQRFEQGNPLSILDGIFMAIKDDIDCATSWLHEVRQVKKDATCVSRLRRCGVIFVGKANMHELGMGTTGNNSNYGTTRNPHALDRYTGGSSSGPAAIVASGICPAALGTDGGGSIRIPSSLCGVVGLKSTFGRTDMKGAICGSGTVEIIGPLASNVEDVLLVYAAICGSSPADKISMKPSVPCLPNFLLDESSKALGSLRLGKYTEWFNDVFSTDISDKCEEALSLLEKTHGCKTVDIVIPEFDHMRMAHVVSIGSEAACGLSPDYEAGYGVKLTLDSRINFALFQSFSASDYVAAQCLRRRMMYYHMEAFKEVDIIVTPTTGMTAPLIPVAALKLGETNMKVTANLMRFIVDPNLLGLPAISVPVGYDKQGLPIGLQLIGRPWAEASILRLAAAIEELCANPKKPQSYFDVLKGT >KZM81468 pep chromosome:ASM162521v1:9:1579486:1583467:1 gene:DCAR_029081 transcript:KZM81468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTSTLGKESTRADQKFEKKLQFYAKIRDKVSSLGAQKAIGKKKKLRSRQRKLKAYDLSALSEFLPDEKKETKLPTAADFKLTCKSRQTLVLKEGKQLNNVLNHPAFKADPLGAIHQHLQNTQPLTDVKQIKKPKKVGKKKAKGKKSKASSAPQSMEM >KZM82039 pep chromosome:ASM162521v1:9:10390081:10392191:-1 gene:DCAR_029652 transcript:KZM82039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEHFSHEHSLVLSKYNKSPKDALCRACHDPISSNTSAYHCIHRSISAQNSPCTHFFLHKTCANLPMMIQHHLHAEHMLTLSQNAWLNCNVCDKSLSQWFVYECTRKCFFAVCLKCAFLDRELKHPSHKHTLTLLPLKGSHMCIACGMEGTKDFSYLCQTCFFWIHKSCASAPAELMRKDHHNDHPLVLAYSLPKEYQSFGVSCSLCPEKVYPFYWLYYCAGCRYFAHVQCALSATNSAKDGNEISNEDAEGSNLVNLPVTENQPYSFHHLIEQFAKKFTTYNEITKCHNGHSLTFFKKFRNKNFLDEGICDGCVQPLSPPHNTFYGCFNCKFFLHDVCATALPREFQHASYPENKLTKCYQTSKPFQFYLCNLCGIYCNGILYSDKSNPFWVDIVCASLPSKFKHDSHRHTLQLSASPFQNCKGCAFHIFRSSFGCEFCDYYIHINCALKPGKIKHRWDEHQLVLMYPPVKGHPHAFNCELCSEDINPNFWFYHCDPCDTSFHTFCADKGHYSNIKYGGTVKYDDLHEHGLELTGTRMNFECGDCGRDRIMHYKWEPCLKCVSCKFLVCMTCIQRRSYGTVQVL >KZM81704 pep chromosome:ASM162521v1:9:4659660:4660028:-1 gene:DCAR_029317 transcript:KZM81704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKTASLATLLALNLVFFAVVSATGATPIPDSTYYPVSKCDPLKLGICANVLNLVDVVIGSPPTLPCCSLIEGLVDLEAALCLCTAIKANILGINLNVPIALSLVLNNCGKELPSGFECY >KZM81707 pep chromosome:ASM162521v1:9:4713773:4716463:1 gene:DCAR_029320 transcript:KZM81707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGTSTGEAPVVKDKVTARRKLDLHTGPSEGERTEENDVLKIDDPEHAEPSDAKVFVETRERKKGKEYKINTKTNLKYMLSSGQQDEADALVSHDKEHGSTWLVGRGGKASGVKDHAPSDRYVQELATKIKRDLEQELESKVNKKVQENMAWFLKKLGDANPGLKLDIVDYCPASSSEHDENGTPMTQDGATS >KZM83251 pep chromosome:ASM162521v1:9:30148040:30154370:1 gene:DCAR_030820 transcript:KZM83251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTITTPTSSNLLPYSSTSLQKPLVKKPNNLFISTLTTNKTITKFLANPSYRNRNRNQTVLASASGPPEAEPEQEEGALGSAIEAVSEIMRECSGGSLSGEECYRMAENSPNYVKMLVGSVCELGFDQNSGDFKERVFEMGVEKGDKGIVPFLESSFELSLPAAMHISRLCLSSNQSLPNLVVKVNYLKEMLFSDDGDEGSGDIGKDARKMMMRLSISVDDDGLQQTLAFFEKTQARRGGLNMLGDRDASFQRLIHSFPRILLLSLETRLKPIVEFLKDVGVPKRKLRNVVLLYPPIMFQDVEKDIKSSFFKVNAGNKVLGRLLLKYPWVLSSSIQRNYTEIHSFFDTKMVPKSSVDLAIKSWPHLLGCSVDKLKLMVVNYLKESGLDEETVGRVLGRCPEIFATDVDRVLRKKIEFLNSIGVSGVCLPYVIKKYPELYVCDIGKALHPRVMYLMEIGLSRKDVASMVSRFSPLLGYSIKEVLKPKSEFLLNTMRKPLSEVVNYPRYFSYSMEKKIEPRYWELRSRNVECSLKDMLSKNDEEFAAEFLSIEKNPDHVR >KZM82799 pep chromosome:ASM162521v1:9:24525474:24526478:-1 gene:DCAR_030368 transcript:KZM82799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKLSPPLAHEHLKDILSNEEFVDILTTFPKERGIISSYSYQYQGFWHNPVHLHGIINFQKHYEPRENDIFLATAPKSGTTWLRAILYALINRKTYPPNDPNHPLLSKNSHSLIPPFELLKPSEYDSVCNSSDPSTRIFGTHCAFGSLPESITDIAKSSNRKIIYLCRDIKDTFISNFHFANKANLRPSPISLEDAFDLYCKGISAGGPIWNHILGYWKESLEKPDKVLFMRYEEMKSEPHFQLRRLAHFLGKPFSQEEENLCLVDQIISLCSFDVMSKLEVNKTGKGKQLISTGALFRKGVVGDWKNILTADMASRLDQITEEKFRGSGLSL >KZM83138 pep chromosome:ASM162521v1:9:29107233:29109536:1 gene:DCAR_030707 transcript:KZM83138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVSVSSLNSVPRFGFGFKPDPCSSRARVLLQTPLSSVKHGRLSLKRLNAAGLADIEPDLNEDPKDRWATNGVDPDDFIYGEYDDHHTYHPGDHEKVSFWGSIAEDYAAIDPPTGFQGLISWLFLPAVAVAMYFDAPMDKPSEAYNFEPQIYNLESKSRDKLIADYNTMDIWDFNEKYGDLWDFTVTKDDIMTR >KZM82007 pep chromosome:ASM162521v1:9:9836401:9838752:-1 gene:DCAR_029620 transcript:KZM82007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALDFFIILLFYFLTILSNAQKLNQIKLGSSLTAGDDSSAWTSSSGDFVFGFRHLDNQNLFLLAIWYDKIPDKTIVWYANGASPAPKGSKIELTSDGKFSLSAPNGQSIWKAENVADGVSYASLLDTGNFVLAGENYDKYMWESFRYPSDTILPTQVLDVGGVLSSRMTKNNYSKGQFQLRLRPDDHLVLNTISSRMEFVYDPPYYKSGTSDTNNSMNSGFRVVFNETGYIKVIKRNGVTVNLTLGNIASTKDFYHKATLDFDGIFTQYAHPKNPNNGVWDKAWFSVWYEPKDICTSLIGDLGDGACGFNSICAVDVEGRPTCECIPGFSRVDSSNQYSGCNQEKVQKCNQGSRPEELFEMQAMNNAFWPFSANYESFPLQNEEVCNSSCFNDCNCVVAVVKEGTCWKKKLPLSHGRLDRNTYGKALVKIPKVDGSAGNKISQHPNRTKKDQSAVILVVSILLGGSLLFNFIFVATVSLVVFFAYRRSQRVNKVSSLLEMNLRVFTFQELQEATEGFHEEVGKGSFGTVYKGIISTSTSKAIVAVKKLERLSQDGEKEFKTEAGAIAKTHHKNLVRLLGFCDEGSNRLLVYEFMSNGTLASFLFGISRPDWNKRLQMAYGIARGLMYLHEECSTQIIHCDIKPQNILLDDTFTTKISDFGLAKLLGSDQTRTSTVIRGTKGYVAPEWFRNSPVTAKVDVYSYGVMLLEILCCRRNIEMERDNEEEVILVDYVYDCYKERVLEKLVVNDEEVLNDLKRFERLVMVGIWCIQEDHSLRPNMKT >KZM83525 pep chromosome:ASM162521v1:9:32665120:32670111:-1 gene:DCAR_031094 transcript:KZM83525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESIVISVPKDMPVKRPRDGEEEIVVLQEVKTNEKDAEYSISSVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQVLVIGGGDGGVLREVARHSTVEQIDICEIDKMVVDVSKQYFPDVAVGYEDPRVNLHIGDGVAFLKAVPEGTYDAVIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVANCRHIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGTPVDFKHPVNPIDDSKSDRPLKFYNSEIHEAAFCLPSFAKKVIEGIAK >KZM83107 pep chromosome:ASM162521v1:9:28761673:28763361:-1 gene:DCAR_030676 transcript:KZM83107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKLAKFGAFWRFRTRFDVKVCRGDVYTRNGVCSLLCSSFSSMTMSKDVDEASNLVESPELPSWVKFSEKGVLSSSPDDDFVLPSISHWVDENKVLDLKVGLESQGGDVDGSDVDKISRILKNPFDSPDDVVQALNDCGVAVSNDLVDQLLKRFSKNWIMAFGYFKWAQMQEGFKHFPHSYNMMIDILGKSKKFDLMWEMVEEMDQLGGHVTFDTLTKVMRRLAKACKYSDVIEAFRNMDQFQLKRDVVTLNVLIDILAKEGTVELAQDMYNEFRNQITPDRVTYNVLIRGWCKVGNMDKARQIMEEMQKSGVCPDVISYTPFINAYCHERNFRKVDEILEEMQEKNCPPNVVTYTIVMHARGKAKEIDEALAISETMKKNGCVPDAAFCSSLIYILCKAGRLKDAQDVFEDMPNQGLIPDVLTYNTMITSACHHLQEENALKLLQKMEENHCPPDLETYIPLLKMCCRLKRIKMIYFLLSHMLRNDVSVGLDTYVVLIRGLCRSGKLEHACLFFEEAVLRKFVPWENIYKDLEKKLEERGMSKAKKQIEELMLLAKQQKT >KZM82560 pep chromosome:ASM162521v1:9:21127341:21128409:-1 gene:DCAR_030129 transcript:KZM82560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPYQMISNLRPQITTAWRLKVRVTRIWQAITQQGDTVGINCIFVDELGGRIRAWIAAANMNQLQSLITEGETYNVHNFVVRQYGAMQTERCFGNDFSIQLCDMTNMLVAEDVYYIQRHVFQFTNLSAIIDAARENNFLIGEVKLTNYPATRFFINLHHEAVEDLRDALTLVNSPM >KZM82785 pep chromosome:ASM162521v1:9:24087370:24088263:-1 gene:DCAR_030354 transcript:KZM82785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQIPGQVIGIPISSTVYPAEMPREGMLCIACNQYYASPKFRQSTIELMKDRINKLGIIVENLAQGIRDHVKIGPKLSETVGGKLRLGAKILRVGGVRKVFKQNFSIRSGEKLLKASQCYLSTTAGPIAGLLFISTDRIAFCSDRSIKVSTSTGDLIRIHYKVSVPLNKIKRANESEIVQDPSRKYIDIVTEDDFEFWFMGFLNHQKIYKFLQLAVYESQ >KZM82740 pep chromosome:ASM162521v1:9:23228771:23230363:-1 gene:DCAR_030309 transcript:KZM82740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTQDAPATASRRPKQVRPKRSKRPRVEASAPEPESHSLIEDIKGNREHIPQVVESWVASYVKDPKPAMVELLTMLVEACGAKYRIQGKFLDKADFNKVVVDLSRLAQDGSMEDYKIFNKGFKNFENNLVLFWGNLVNECKCGLLYDGILFDKCIIEFIIALSSR >KZM81444 pep chromosome:ASM162521v1:9:1285288:1288406:-1 gene:DCAR_029057 transcript:KZM81444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMWKLTYYGTAEVCILKAINHEKWFRSTEEYFKGWPNQELTFPVKLYYMCQCGFYLYSIGALVKWETRRKDFSVMMSHHIITVILISYSYITRFFRIGSVVLALHDASDVFMEAAKIFKYAENELGASVLFGFFAISWLLTRLIFFPFWVIHASSYHLAKVLRLSEAYDMLLYYVFNTMLLTLLVFHIYWWILICFMIKRQLKNRGQVGEDIRSGMHTSSSVLVI >KZM82382 pep chromosome:ASM162521v1:9:18183622:18191031:1 gene:DCAR_029951 transcript:KZM82382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAIPSSWSSHNRPELLIARLRSAGEGRLKALRELKNQIIGNRTKKLTYIKLGAVPSVVEILTSAVFDTSGDVHSILIQSCAVLGSFACGVDAGVKAVLEAGAFSHLMILISHRNDKVVDAAARSLRMIYQSKLAPKYDFLYAKNIEFILSLLNKGNENVTGLGASIITHSCWSKMEQRALSDAGVLKKLVSLLRGSLSQRDASLESLASLIKDNPEVISKFVGPESGRALSAVTELTKDRYPRTRLLACMCLIVVQSSSPASLQVAGIKMKLITILLELMDDPGEVGDEAGFALSSFISESEDLQKLAFEANVVDRLCDHLQNCLLQTRRYQGILLALAGLCSKLECCRSKIFSHKAFNLIIDALNHERSEVRVAACICLKSLSRSVQHLSAGHFKTELIIMPLVKLLCDTSTSEQVAALGAISNIVIDFAMHKSLLVKCGGVKQLVQLSKSMDSTIRVNAVWALRNLMFLVDNTCKEGIFSELTAMTLRSLICDPKPSVQEQALGLVRNLVDGSVDSIEYMFLEDCLLLNTVGRQLLSASKTEVLIQGMFVLCNVSSGNEFHKEAVMHQLMTPADPDTLSIVVKFLQNSDSQLRVAAVWALVNLTSPSSPGAFARVVRLRNAGVVQQLKTMVTDPCLDVKLRVRTALGQTMTFDASVV >KZM83506 pep chromosome:ASM162521v1:9:32506004:32507822:1 gene:DCAR_031075 transcript:KZM83506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSVERIRYPTHNFHVDNGTIYVGGDGGSIADAYTLWHRVLEHQRFTVGNRGMRSTCEARNAIRLIVYSEIAPGGNNFGDNPNNMGYTFMGLYKVHDETLVETELGFIVYQYLLIPVEGCVKESFIPRNMGYTFMGLYKVHDETLVETELGFIVYQYLLIPVEDGKSTTVGIIKNKLVNYMELTTVGMTFTTGRILLAESDKKAEREEKAERKKWKDHMEAENIRIEENQNKAESWGKWLEQNQQKMKQSIERIRVVWTRARNLVSVHGD >KZM81429 pep chromosome:ASM162521v1:9:1136896:1137596:-1 gene:DCAR_029042 transcript:KZM81429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANSQISTIGRGKNKRVWKKEEEEALIKCLLDLSADRQWKGEGGFKNGYLSHLEVMLNTKFPGCRLKAIPHIESKFKWFKDKYAVVSEMVNKTSGFQWDDQTKMIQCEKQAYDDFCKNHPKAGGLWRTPFPYLDKLDIIFGIDRANGMASELPEGSVNNLEDIVNLANDESDDDSLPQLPVNKERKERKNPKRNGEEKICSNHRFNF >KZM83434 pep chromosome:ASM162521v1:9:31792563:31796292:-1 gene:DCAR_031003 transcript:KZM83434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSHIETGESSKTPAPAAGINRGMAILDVILRIVAVASTLASAVVMATSDQQLQFFTQVAVFNVEYEDFSTLRKSRVFEKQAKVISGMKASEIETGEASMIQKSPAAGINRGMTILDVILRLVAIASTVAGAVVMATSDQQLQFFTQVAVFNVEYDDFSTLRYFVVINSLAAAYFALSIPLALMQIARSAAKQSRALLIIMDTSACAYPVL >KZM81794 pep chromosome:ASM162521v1:9:6078742:6079179:-1 gene:DCAR_029407 transcript:KZM81794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESALLCQKKDSYTYSVYSKDALGTILTISQRFSFSKLKLTYLIFYGIDPPLTVQEYVELSMSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDPLEQLDEFSRSF >KZM81648 pep chromosome:ASM162521v1:9:3994946:3998668:-1 gene:DCAR_029261 transcript:KZM81648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIREGRSLAETPTWSVATVTTVMVFLCLLVQRSLYKFGRWLKKTRRKALFASVEKIKEEMMLLGLISLLLGQWAGAISQICVDSSLFNSKFFLCSEEDFGIKEHIRLRNSLSLNETVVSSKEVNHHSSHHCGEGREPFVSHEGLEQLHRFLFVLGITHVLYSCIAVGLAMTKIYSWRKWEVLASLSPDSSMQAKLKCSCDTGLNIYFWLSFIPVILVMLVGTKLQHVVSLLALEIVDPRGRPGENQIKPRDGLFWFGKPEVLLRLIQFISFQNAFEMATFIWSLWGMEQRACFMKNHGMIVVRLTSGVIVQFWCSYSTVPLNIIITQMGSRLKKSLIAENVRDSLHSWCKRVKERSKHNAVNSITTRSTCSLGSTIDEGDEIITVASRTISPCSSTGSLNHLVDDSMTNELPDATIIGSSDRSNHELSFRVSDYASYGFNYESEIQDNVDDEEGKVVTLFDLLRKT >KZM81871 pep chromosome:ASM162521v1:9:7250357:7254278:1 gene:DCAR_029484 transcript:KZM81871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDQIKKHNTNVLHDEIFYETDEDGYTVLEVAVEQNQMEVVNLILDLQHPAASKRNDGAFISLMPVIYKADEKRYTKIVDLLTQRYDEGSKLSKDFKDQVRLISAIKSGDKGENQSGT >KZM83307 pep chromosome:ASM162521v1:9:30685711:30686310:1 gene:DCAR_030876 transcript:KZM83307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPLSFVAVVQNLGEGEVIDASPPKNAKKRKLDMTQELCLGRNKTFVTSEKVSQLDLNSGQPDMMSSFEANDPLEQNKGKEKLMEGYAFNVDGVLSAEAVCILDEGKLDVYSEVFKKKPVDLDEVVGDGVYVKDVMHHAILGSVASVLPKLNVFKSQAGCSVMDEVGDFIKVDQVLKLMGFDKEIGVVIKNVTRKIYA >KZM82491 pep chromosome:ASM162521v1:9:20057002:20063412:-1 gene:DCAR_030060 transcript:KZM82491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRIGRSGGKKYKFKSSGSAKHGKSQKRLKQDSDDEVEEQLSTAVFDDYWRYVLSILQTNSKKFIFVHDGNHWNLLIICNIGEDMNSETKSPCMFLLDSLQLGESTRLQTKLRENRKESVEEIFNIPYNIPSIPQQEDGTKCGYYMLFYMFKFLTACPYHFDMSKDYPGFMNEDWFDLDEFQNFYEDLTSVKEKKFSLYDTTTDKNKDGEGSSIQMIKNENHDMLQTPPSHDLFKSNDALPALVSYTPESSQEELKITQCKKSSPLPAIEESKIEGDGVKKKKTIKFKFKPGEQRKSPRLIEAKKNPNDYAKHDTKVAAEEGERNSTEDISFEPVLIVVVDKNEDEGNMDSNNEDKADEDDFIEDGKSKKTTKPKKKKRKASSPVKMNRAKKRKNVKEEEEETEEEEPTENIRNPETEMQNKILLHAYPKTFTDAIQALTEDQKKWDEKVFYNQRLKITEQDVADTLGLPKGEKEICFKKGKVNRDKFSRWRAQFPDKDGNRITELTVYEAITRSRVVDLHFKQNFMILMMNLFVYTNNSSFLCQDVLGFEDEFENASQYNWCKLVIESLRSTHEEWWDDPHNKYYTGSLVFLLESDNLKTLKETLRGVEISPQLFKEDEINTEIMSVARDINFMYEDNEIITEEEMMSQVDKDIKELEFVYKKCKNNLKMANDLFPNHPSLKLYEDTFAKIYQPPNQEEEEEHQRLEPENDRDTEWPYYTNKDRKTIDILALPKFDRAYNKMIDIDDFLGDLTLGGERIDFDRFAREEDNEYIPGRLRREVKVGDSQKSPYLDRTIDFNRQKITKADEEVWNWITGDTSDPTQVIFFWEDVICMKYQIKTFQFNERISTAVVDVYASILNEDEKYRSPDSPHRFFCNTSITGMSLTKRNHVETPEEAKAKYRRFCSRLNIWLLKHDSKIDDIDLIFFPIHDVDHYYVVYFNIKNPSIEILDNNRSGDGSNAVYDGLPECLVFFYFYL >KZM83005 pep chromosome:ASM162521v1:9:27568625:27570062:1 gene:DCAR_030574 transcript:KZM83005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIKFLDWYVKIGVAAAIVGGGMELFMIKTGFYDKVTELEAEKRAWENSPEAEARREALNPWRHLDTPAKKSS >KZM81657 pep chromosome:ASM162521v1:9:4184907:4195166:-1 gene:DCAR_029270 transcript:KZM81657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDLKLISTRTKQPNTIIPRYIHTYTYIKTTQLWNLVLMENMKPVMKDLQRKVKQAALLWLDGFKEACSLHRVVIYCLRSRELMIRTGQCFLLNGFLFLGSIFVLKSVIIPTLEWILPDQCPLVDFQEPCLRGDILRLHYLLRLGLIQIVYVLWFYPLYVFSFILSNIWYNDIAKYGFFAIEIHGPDVKVSPSKNKSSSDSKNLTDKSTDLEGVMINIAEQAYSVLLLTVFFVQMESFWAEFETKVRLLREQLGLLCGFWKSMCIGDIPFLSSCQFWGYGYIISTEWQGLGLGRLPVFSLADYLSIRVLSFFWEQPQEQKPDNKTL >KZM82683 pep chromosome:ASM162521v1:9:22486864:22487820:1 gene:DCAR_030252 transcript:KZM82683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPASNPNAQYSSNAPTSWSTGLFDCFSDVPNCTSTDLYYVLRSILCQTLCRSCLTCWCPCITFGQIAEIVDKGSSTCTTTGALYALLAFVTGCPCCYSCCYRTKMRNQYMLKESPCADFLVHCCCEACALCQEHRELRIRGYDMSLGWEGNMEKQNRAVAMAPKFEGGMNR >KZM83075 pep chromosome:ASM162521v1:9:28540379:28541708:-1 gene:DCAR_030644 transcript:KZM83075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVTSTPESSVYSTTEDDKSETRDTSSSFPGCRRDANCTCEMCLASINATLDLMPLSAQRSSLTKISSTPISRTPVPFSPNVLLTPQSTVSPVVMASPPMLKSSKKLDFGGGVEKKVKGEVGFVGVVLRRLFFGVCLVLGLEFGFSWVVSRGLRPELSKELVRNLGEDSWGFMDLNDRFVYLSNGIKGLIGAKNLNGSFVDHTWEINQDGLLLNSYLQLYKSMVEEVRIWGWPLQTAGLLTTTFSSKSYTVLSGRVTEWTNGKAGYVIRRANSSWTQEKWSASVVQLDRNTWILEYKRSSLTENARLLSAILEFLKFRITRLLQIMNQEFWLLSIQGSQFKGRAESLKVPT >KZM83183 pep chromosome:ASM162521v1:9:29450160:29452543:-1 gene:DCAR_030752 transcript:KZM83183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSETVFSASLQKMKHVKSDEGDMLTKPFLDVCKLVLPIIDKFGPAMAIVKSDVGGNISRLEHKYESNPTRFNYLFGYVQAEIETDTAKSWTSCTNAILWLTRAMDFLVELFNNFSQHQDWGMQQCCNDSYSKTLKKWHGWLASSSFTVAIKLAPDRKRFLEVLGGAENIQADMEKFCTEFSPILRKIHKFLV >KZM82519 pep chromosome:ASM162521v1:9:20641344:20641565:-1 gene:DCAR_030088 transcript:KZM82519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARAKSTINGLLRRFKLKDDEHLAELIEVPDVHVEATRKAKEEARKWKMCCVFLLLYIFHRIFSSGEKEVEI >KZM82247 pep chromosome:ASM162521v1:9:14564091:14570128:-1 gene:DCAR_029869 transcript:KZM82247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPQAVEDEDKSKNSTRKPGNSASYPVGLIDRESLMMMDVDLDLDASWIFDQISTDPASPFLLSDQPFSPLWPFSDDASALSAPLTGVSLPLLPSCDPQLTYDNKQCSSNDKKSLPSPLLGLVPLDYSDGSCLIKERMTQALRYFKESTEQHVLAQIWAPVKKGDRYVLTTSGQPFVLGLNSSGLHQYRMASVMYMFSVDGESNLLGLPGRVFQQKLPEWTPNVQYYSSKEYPRLDYALHYNVQGTLALPVFEPSGGACLGVLELIMTSQKINYAPEVDKVCKALEICNKGRQNALAEILEVLTLVCETHKLPLAQTWVPCRHRSVLAYGGGLKKSCSSFDGSCMGQVCMSTTDVAYYVVDYHMWGFREACAEHHLLKGQGVAGRSFSSQSSCFCEDITKFSKTEYPLVHYARMFGLSSSFAICLQSSHTGNDNYILEFFMPPNTLEGNKHYTMLDTMLATMKKQFRSLKVASGKELDEEGRSVEVIEASSDGDPDSRIISVQKLESNVIPCTVTRDGENGHVDLSGKPIIQKSDAVTNIANVAGSGEISNGAPLSENKDAKKKSERKRGKAEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGTFTLTSLAKSSLPAGVGSVSWPVSLNGSSPQNSPVSIPSEVRAEINNDGQAENIDLPSGGRLQCEDEYIHLQGGYIPELGEGSNRSKTGSGSREHSTGTPTSHASAQGSPFNVNNSSQNDQVVSLLGEDCLKVGASFEMARQPIKEANLPVAFPVPNVVVGAETEELFGGMLIEDAGSSHDLTNLCQTGGTFPDERIPESSWANQPPSDEFLKGSVPHLEEKPQIPSRTEVKTVTVKATYKEDIIRFRLPLSSGIIKLEEEVSKRVKLEVGTFDLKYLDDDQEWVLIACDADLQECVEISRSSGRNIIRLLVQDIMANLGSSCESSG >KZM81625 pep chromosome:ASM162521v1:9:3629989:3644927:-1 gene:DCAR_029238 transcript:KZM81625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEPSFVPEWLKSSGSVTVAVSTNHRQNDHMTLKPTRNKLSADVSAHDSGRSPVSDRTTSSYFRRTSSSNGSGNSRSYGSFGRNNRDRGWDRDKNEYRDHDRLRLGDRRHQNYSGSLGSDFSDRFEKNGLRRTQSSVAGKHSEPLSRRVSADLNSSNKSNYNNSSSRLLGSSGISSVRKTSFDRDFPSLGADERQTDHGIRNIPSPGLSTNMQSLSTGYSTVANEVGWTSALAEVPVMVGANGPITSSVLQAALPSSTSVPSSTAASLNMAETLAQGPLRVDTAPQVSVETQRLEELAIKQSRQLIPMTPSMPKSLVLNSSEKSKVKVSQQQHQTSSIHSLRGTLEKSDVPKTLSLGKLQVLKPARERNGVSYPEIDNLSLTNDSTVANNPLTTLPAVVPPPSRTQIKNPNPLNVNRKPAAIMVPATLEKKPSAQLQSRNEFFNLVRKKSLTKSSSVADSVSTVSQFVVEQPSETQTASPLSQGKDSLSANQSNMDHYKENVNALISNINNGNGHQQSCGNGETRSRSDMILCSEEEEAAFLRSLGWDENAGEDEGLTEEEINEFYRDASKYGDGGDWEIIGGDLVAATAICVGGDVAGMITERLRDPRGIRRGGLSGSGPGNRGFVPGGNRQRNFANRNEENQPAPKRRLSSAVVKIEDGEIVDDDDVKDVGNKESAVEEKESIEVVEPSQNEKMNPTWPRRVVDQRPRMMDSEAPPVPRVLPKDEDPSIVKRNKRMLGQLLGTLERFRKEDAKISGTEAYMRRSDSLKRAEEKAREESEMLRQQEREQIAEKRRRDLTLRARVAAKAEEKELEVLFIRWSEHHSKLGKFLRTKAEPPIHYSFAKPLDEDVTSVEQRKEKIFQEWKAARREELSQYQKQLAERSVANVEKELERWQNARNTRKGNNDALNLQETMDQELETHRLEHGPKRRKISGGSNNEDDDVEDINAGEDDMMDDVLDVDENNGRGEQAIKADTDNGSPAAEKKEEVA >KZM81677 pep chromosome:ASM162521v1:9:4384144:4391386:1 gene:DCAR_029290 transcript:KZM81677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRIGTRSGGKKYKFKSSGSTKQGKSQKRLKQNTDDEVEEQLSTLVFDDYWSLIWKRIPSERIEGCVYYHPLSFRSYLTEKSVKKLKKWVSRHKVFSSRYVFIPMCQSNHWNMLIICNIGEDMNSETNSPCMFLLDSLQIGEATRLEPRLREFVFHLYESGNRKESAEEIFNIPYNIPSIPQQEDGIKCGYYMLFYMFKFLTACPYQFDISKDYPGFMTEDWFDKDEFQKFYEDLTSEKEKECSLSDTTTDKKKDGEGSSIQKIENVNNDMLQTTPSYDLFRSNDALPPLVSYTPESSQEEQKITQCNKPSPLPVIEESKIEADVVKKKKTIKFKIKSGEQRKSPRLIEAKKSPNDYAKDETKDAAEEDERNSTEEEAEPVVIAVVDGNEDEENLDEDKADEDDFIEDGKSKKTTKPKKKKRKASSPVGPLHISNTGERQSTRNVLAEEHRQQDGNHENEEAAILKLRKNKGKLPAEEFVDIFQEGENFKTPKETLRGVEMIPQVFKEDETYSGIMSVARDIKYTYEDNEIITEEEMMTQVDKDIKELEFVYEKCKNNLKLANDLFPNNHNLKLYEDKFAKMYQPQSQEEEEQQRIEPESDRDPEWPYYTNKDWKTIDILALPKYDRAYNKMIDIDDFLGDLTLGGERIDFDRFEREGDTEYNPGRLRREVKVGDSKKSPFLDRTIDFNKQKITKAEEEVWNWITADTSDATQIIFFWEDVICMSYQIKTFQFNEKISTAVIDVYATILNEDEKYRSPDSPHRFFCNTSITGMSLTKRNHVETPEEAKAKYRRFCSRLNIWLLKHDTKIDDIDLGVQQKKQLEKARQIYASKIIYSPINLYKNKMVNEIKSLCQPR >KZM82036 pep chromosome:ASM162521v1:9:10245920:10246165:-1 gene:DCAR_029649 transcript:KZM82036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIQQVFRKLKKKKEKIRTLEIWVEALMDIYQRDLGNFESDEEQMNFLLYGLREEMTLIYKRHRAREMERILLHQSNLTG >KZM82254 pep chromosome:ASM162521v1:9:14794921:14807112:1 gene:DCAR_029862 transcript:KZM82254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVASSVVHEVLGRRLLEVDQPIVDYIVNVLADEDFDFGDQGEGAFDALGELLVDSGCVYDHIECRSVCTKLCDKFQRHGLVKAAPAVRSLAAPMRMYDGMDEEQAPKKKPEVLDGPLLSERDKLKLERRKRKDERQREMQYQIHLAEMEAAKAGMPVVSVNHDNTVEGPVVRDIRMENFNVSVGGRDLIVDGTVTLSFGRHYGLVGRNGTGKTTFLRYMAMHAIDGIPKNCQILHVEQEVVGDDTSALQCVLNADVERTQLLEEEANLLTLQMTEVASSVVHEVLGRRLLEVDQPIVDYIVNVLADEDFDFGDQGEGAFDALGELLVDSGCVYDHIECRSVCTKLCDKFQRHGLVKAAPAVRSLAAPMRMYDGMDEEQAPKKKPEVLDGPLLSERDKLKLERRKRKDERQREMQYQIHLAEMEAAKAGMPVVSVNHDNTVEGPVVRDIRMENFNVSVGGRDLIVDGTVTLSFGRHYGLVGRNGTGKTTFLRYMAMHAIDGIPKNCQILHVEQEVVGDDTSALQCVLNADVERTQLLEEEANLLTLQRELELNGPTGSNKGDSNVEIENNNIAQKLERIYKRLEFIDAYSAESRAASILAGLSFTSDMQKRATRTFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLVKWPKTFIVVSHAREFLNTVVTDILYLQGQKMTAYKGDYDTFERTRAEHMKNQQKAFESNERSREHMQAFIDKFRYNAKRASLVQSRIKALDRLGHVDEVVNDPDYKFEFPTPDDRPGAPIISFSDASFGYPGGPILFKNLNFGIDLDSRVAMVGPNGIGKSTILKLISGDLQPSSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYLMRSFPGVPEQKLRGHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGVLMVSHDEHLISGSVDQLWAVSEGRVTPFDGTFQDYKKLLQS >KZM82787 pep chromosome:ASM162521v1:9:24162091:24163275:1 gene:DCAR_030356 transcript:KZM82787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYGPIMDQPLHQQVNDENFHSQEFKDLLSSLPKERGLAPTYSYQYQGFWYSPLALRGVTNCQKHFHPRENDIFLVTAPKSGTTWLKAILYTLINREAYPPQTPSHPLLSKTPHQLVPFFETLDPSEYDAVCNSSDSRTRIFATHIPVDSLPRFFTDDSTSSNCKIVYLCRDIKDNFVSLFHFANKVNMRPSPISLADAFELFCKGISAVGPIWDQILGYWKESLEKPHKVLFMRFIPMCIFM >KZM83173 pep chromosome:ASM162521v1:9:29341095:29342702:1 gene:DCAR_030742 transcript:KZM83173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQQLEVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFSISMVTKLLGRLYYHKVGAPKPGVLPPGVSSSVTGVALVGTLVGQLFFGWLGDKMGRKKVYGLTLLVMVVCSVASGLSFGSSPKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIMAGGIVGVIVSAAFDHAYPAPTYEVNALASTVPESDYIWRIILMFGALPAALTYYWRMKMPETARYTALVAKNAKQAATDMAKVLQVEIEPEEAKVAQIAQDTRNSFGLFSKQFAKRHGLHLLGTCSTWFLLDIAFYSQNLFQKDVFTAVGWIPPAKTMNAVQEVYRIAKAQTIIALCSTVPGYWFTVAFIDIMGRFAIQLMGFFFMTVFMFALAIPYDHWTEKSNRIGFIIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFLYSSQSKDPEKTDAGYPTGIGVKNSLIVLGVVNFLGMAFTFLVPEPKGKSLEELSGENEEDDDVPAAAPSRTVPV >KZM82969 pep chromosome:ASM162521v1:9:26626092:26630980:1 gene:DCAR_030538 transcript:KZM82969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERKTIDLEQGWDFMQKGITKLKNILEGFPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYREAIEEYITSTVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFIARRSLPPLHEVGLTCFRDLVYQEINGKVRDAVISLINQEREGEQIDRALLKNVLDIFVEVGMSQMDYYENDFEADMLKDTAAYYSRKASNWILEDSCPDYMLKAEECLRREKDRVSNYLHSSSEPKLLEKVQHELLSHYATQLLEKEHSGCHALLRDDKVADLSRMYRLFSKIPRGLDPVSNIFKQHVTAEGTALVKQAEDAASNKKAEKRDVVGLQEQVFVRKIIELHDKYLTYVNDCFTNHTLFHKALKEAFEIFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQSNFEEYLNNNSNVNPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNIIGKFDPKTMELIVTTYQASALLLFNSSDRLSYNEIMTQLNLSDDDVVRLLHSLSCAKYKILSKEPNTKTISPTDCFQFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLERDKDNANLFRYLA >KZM81700 pep chromosome:ASM162521v1:9:4630513:4634490:1 gene:DCAR_029313 transcript:KZM81700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIDYYSSHTEVCEILWVVRLASFQHAPSIPPFLMLPKQSKVGSESSSVPKDMDSRKVNEVAVGGGGVAVAVTRFPGEQKRPVGPLVVVGVRDGVLWLIDRYMSTHAISLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDIGNETVGLNLNDIMSLTVKKEDIVEAVDGVVKFGKEFLDLIDAADATAQGEIAREALKRLAAAGSVKGALRGHELRGLALRLANHGELTRLGGLVNNLIAVGAGREAAFAAALLGDNALMEKAWQDTGMLAEAVLHAHAHGRPSLRSLVQAWNKVLQKEMEHGPSTKTDAAAAFLASLEEPKLTSLADAAKKLPIEILPPGMASLYAPNPGQKKSTGAIQGSLQAANKPLLLEGSNSTPATAPQAAESGTSPAAEESGAPTSESGAPPTSESDAPPSSESGATPASESGVSPEPESGVPPEPESGAPTTPESGAPTTSETGVSESVQVPTPETDAHSTSESGSNPPPESTNGATGPTSESSAAVALDTSERCSDNPSYASPVSMSSIVPAETPQTSSNPVPTEAPNQLPEVSKPSVRPELPMDFFT >KZM81723 pep chromosome:ASM162521v1:9:4841706:4842038:-1 gene:DCAR_029336 transcript:KZM81723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNLHKKTGRLLVRAKPIFLKRIADNLSDAQRQWVVETRFEKILLFNIKEYPQPLSFLISKSYNPIDSSISIGENIINFSEDDVQNVLGLPKEELMFENSYKIEYTDV >KZM83176 pep chromosome:ASM162521v1:9:29370465:29372692:1 gene:DCAR_030745 transcript:KZM83176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKMGLKKGPWSSEEDQILISFIQQNGHGNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEETIIELHQKLGNKWAAIAAKLPGRTDNEIKNVWHTHLKKKLKNYDQVKNESNVKMQVESENRRNSPQHSTSELSSVTDSSAKAKCVIKSEQNTELSESSKIPEIDASFWSEEFTINNQDKGVPGIIEEFQESGNVDAEMDFWCNLFSGAEDFKDLPEF >KZM82113 pep chromosome:ASM162521v1:9:11638146:11639957:-1 gene:DCAR_031820 transcript:KZM82113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSWFSVLRKFFTVEARSNRDKGQKRRKWISGRLFINPHVVISAPRLSGERTVISETELVHSKHEYNGDILAANPVPVLVQSPQRSKEELQEFDAIKAECNTPPPTPQCEMEIQESAAIKIQTAFRGHLARKALRALKGLVKLQAIVRGRAVRRQAIVTLNRLQSIVNIQSQFRMNRSQTMDKTQCFQQNKELQEFADKDIKMDFNSQKRRDSGLLTKEEENILCSTRRMAAIKRERLKEYTFSNRRSAELELNKVDGRWRYWLQQWIDTRMANTEDLHDVASVSSPKTKGREESRSRLTKSRTTRQQCNKDNELDITPRVRPFRHLKHQSIQEDGNFCRGKSAVVPTYMAATESAKAKVRSTSSPRPRPVNFDAQSETLSPYKHKISPISSINSEVTAISMLANSCTGFVQKTTGSKGHVRSKRTLKYLSTD >KZM83359 pep chromosome:ASM162521v1:9:31139426:31140016:1 gene:DCAR_030928 transcript:KZM83359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPHQFIFSNYSMQLNVAFINIAPTRARENNNHAIVGILGIAVTVLLSALQLKYQSGTDSAFQDHPRAMVFAIASFLAFCLVCDLEQYFRSTHNTAAFATVLHHILRLSGFVSLASLAFVIFSPSTSSGTSLIVYLIFPGFFSARSVLHWIQNRKLRGNRGACNFDNSHPNFVDSDYLNYIDTLPVYRRAPANLV >KZM81493 pep chromosome:ASM162521v1:9:1803620:1804606:1 gene:DCAR_029106 transcript:KZM81493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDNKKYYYTPEQFGINVRKHDYGLKVFGTCNGLLCVSHVNLGVDSIVYLLNPVIRRAKNIGKIPIEVQERKGNLCLGYYDGDYKVIIIVRGCGNYRVGVYSLSTNGWKILSCDSNNFSSNSFCGYVSSKCVNGVAYFVKNRDGIVCFDLNDEMIREIRFPEKFSPAWWPWTCDSFTLEECGESVALMEIRGDGHLVKWGLRSCGGAESLWEKECTIALEADPRQIHLIGLKYNGEYILRLARAGLFPPTNFRGCYLCDLRKSELKKCSLPQDVQAIDYLVGNLVLLNEQTLYPLVDSEATCTETTLVLCKRRPAREEEENIAEGI >KZM83189 pep chromosome:ASM162521v1:9:29477564:29486467:-1 gene:DCAR_030758 transcript:KZM83189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNNAGQGEDLIWPFGRLEGLDEDDLCMAAYEIFFTACRSSPGFGGGKNALSYYPSEGEGGGGGGGGGGSPKGHGVGMTVTSKIKRALGLKLIKKRPPSSRRTHSWGSMPNNVNSPRDSPRASPSPSGSPRIGFTVPAHKPKRPLTSAEIMRQQMQVSEQSDNRLRKTLMRTLVGQTGRRAETIILPLELLRHLKPSEFKTGGEYHLWQKRQLKLLEAGLLLHPSIPVEKSNKFAMKLNEIITSSEIKPIDTGKNSEQMKTLCNCIAALAWRTSDNESPTDACHWADGYPLNVHIYIALLRTIFDTKDQTMVLDEVDELVELMKKTWSTLGINKLVHNMCFTWVLFEQYILTREVEIDLLAASLAMMSEIAHDVKTVDRETVVHVKMLKRALSSIKRWCEKKLLDYHANFNESRLGVMEILLPLASAVTKISEEVPGYATLVQDDGDIATDLTGNRVDLYIRSSLRNAFAKMLDDGNMSSDIIEVQDVTDALLQIAQGTHELAAKERETYSSILKKWHPVAAGAAAVTLHTCYGTLLKQYLTGTSHLLSNEAIEILHTAGKLEKFLVQMAVEDSAECSDGGKAIVREMVPYEVDAIITRSLKQWIQERLKSVKEALQTAKESETWNPKSKNEPYGHSAVELMKHAKQALDEFLEIPVGIAEDIAHNFTQGLEELFRDYATFVSSCGSKQSYVPTLPPLTRCNRDSKIRLLWKRASPCTVTLQDAVDTDNLEDIRGSIESVKRRSGKTYNQIAEETGLTNVYVAQLLKRQAQLKPDTAPKLKAALPELSDHVLQRMMEPPMRCYDPNLIQEPTVYRLNEAVMHFGESIKDVINEEFGDGIMSAIDFYCSVDKVKGVDGKDRVVVTFDGKYLPYSEQKSEHMVSKIKESCK >KZM81784 pep chromosome:ASM162521v1:9:5971635:5973002:1 gene:DCAR_029397 transcript:KZM81784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIQFILGGLVIIVSLSSLFRFYSAGFFLENEDICRQFYGPSDGVMGFDVQALSARVGEVLEKMEALQDKLEATVNLIDKNKEASNGGSISKLEYKKFLEEEVIQPLYSAHIALRQIRLPKVEGLGNNTTVREEPLINTFIIEEIRKYLSPKENRIGKINIYGTEKIYNTIGHACVLMKKELEEYMDYDIASYCKDDWNLAQKLMINGCDPLPRRRCLTRASKLYKKPYPINESLWKLPDGGNVRWSNYQCRNFECLSSKNPKRGYSKCTGCFEMEKEKLKWITNTSLVVDFLIKDVLAIKPAEIRIGLDFGVGTGTFAARMREQNVTIISTALNLGAPFNEMIALRGLIPLYVTLNQRLPFFDNTMDLIHTTGFMDGWIDLQLMDFILFDWDRILRPGGLLWIDRFFCKKKDLDDYMYMFLQFRYKKHKWVTFTKSKDEVFLSAVLEKPPRSL >KZM81979 pep chromosome:ASM162521v1:9:9499241:9499579:1 gene:DCAR_029592 transcript:KZM81979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILAAHAELSMPKQCHVRVRPKIRIIHVLEPRIIQTDVSNFREMVQRLTGKPTGTEMKRKARVWDSDWKIMEKERKVLYGQSAYGNQYFDGFLELDGLFEEEEKKFSAFP >KZM82908 pep chromosome:ASM162521v1:9:25783248:25785063:1 gene:DCAR_030477 transcript:KZM82908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKHMIEKTGMIETWLRKHTLLYTGATRHPFLLSIRDGTIDLSSFKKWLGQNIIVFRALVPFVASVLVKAWKESDDKSDVDMLLNIMASMNHENSCFKEEASKWRVSLTSVVPEKAILEYCSFLESLMNSEVEYAVALTVFGIMETAFYEGFAHCLENGTKIPEELTEFCQRYGSDGFRHQCQSLQNAANRLLEKAPNEVRSKAEVNLVKGLEHEIELWNISRREV >KZM83169 pep chromosome:ASM162521v1:9:29315910:29317226:-1 gene:DCAR_030738 transcript:KZM83169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSDRGQSSRLKFDTCFGRYIYVHDLPSRFNVDILKNCSSIAKWYNICEYLSNFGLGPETKDSGKILQKRSWYATDQFMLEVIFHNRMKKYKCLTNDSTLASAIFVPFYPGLDVGRYLWDDAGFDRDFNSVELVKWLTEKTEWKRLQGQDHFFVTGRITWDFRRRTDTQSDWGNKLLVLPETKNMTILGIESSPWTTNEFAIPYPTNFHPRRDLEVFQWQKKIKNITRPYLFSFVGAPRPKSENSIRSIIIKQCIASKRHCKFYNCNVRSCNNPVNAMSMLQRSNFCLQPSGDSYTRRSIFDSILAGCIPVFFHPGSAYIQYIWYLPKNYTKYSVFIPEKTLRDETKSIETILKAISDNQVRSMREEVIGLIPRVIYADPRSSLETLEDAFDTALRGVIERVDRIKKITKDGSNASVEFLEDKQRIEKQWDEMFRQ >KZM81805 pep chromosome:ASM162521v1:9:6239915:6240178:1 gene:DCAR_029418 transcript:KZM81805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHCIRVRHPTSVDAGFPRTRDGVVRKYPKKLETNFLEDMSLLNISSQEEEVPGQKTNRVVDRNNETTLLENMSMLDISEEDRNLQS >KZM83029 pep chromosome:ASM162521v1:9:28113740:28114249:1 gene:DCAR_030598 transcript:KZM83029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSKSKVRRHLKPSPSSNQEMPRFGLISLIRCFNGCRDQTQVTGIGTRVWNLSDKPVELQIRVGSMLKKAHSLKPGSSKRLKCKSIYKAYMPSVGGTRGVGIKSFLYYYDETCHPYIWIHDTGADFTRMVKQQYISLEDLRDCSEITIYRDHLRGCISIRKKSRPEFC >KZM83279 pep chromosome:ASM162521v1:9:30358389:30358745:-1 gene:DCAR_030848 transcript:KZM83279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFEHPAAGPIRFIDTVDPSGPSDPSDPGIPFPLESVESFDPAGIPFPSESVDPSDFGIPFPSVLLDLSDPGIPFPPGLVDPSDPGIPFPSKPLACMWYIHGQMACMQCLSISNMYI >KZM81451 pep chromosome:ASM162521v1:9:1362271:1363675:-1 gene:DCAR_029064 transcript:KZM81451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSQPTAVSSDEPLTLNLDKNEKDEYEKFIKSLIKEFPPCDVEKELRNFDKESADKELKSKIEKCFPGIPGNAASTRQKESVRRTCSIEELKDKNWLLKVRLKHECRNLDILIKKNNLYLVGYKGKYKEKEEDGAVNAETEQADYWIVLTGKNHREVVKSFQKFPPSKADRSAEKEEKSKMVLARKGRDKERKITDAVTELKAMKEQIERLKGKDNKDLIKRVDTLLAMVPRDITLERVKELIGADDKAALDFLNYLKEPREDYNPERQHRKFIEQSVKVDNEIRRVKDRLAKVKLNRRAFIKAVNYLTASSLDQEDRKFAKHIIKLALMICEAVRFQSIAEHVACNYYHKETHTDSNLPENCITSIYYWGDRSALMQRGGWYDAERRLLGENPTVIRQMDKDKRDKE >KZM82270 pep chromosome:ASM162521v1:9:15185511:15187118:1 gene:DCAR_029846 transcript:KZM82270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMESTGIVADKFYILLTSRDTKCNKLKLPTKFCLKYNDVLLDSMELKLRNGYILRVEVDAVKCELKGVLWFFNDMELEGGEVLLFEYFGRFKFNVYILGRNGSEINYLDKVHCLQQCSSGIVTLGDGGWRFIIFRPPSSGIFDNVDPPAAYINRCGFALPKRIVYVLRNGKKFVSAYKSQTCRFSGLNSMFEILGTDIVHEVRVFLFTFSDTKEVFIIAFDSQCNEIVFPGTPLCMDSSGSYPLLGTYFQIIVESKHRLDDCFVVGIPNDFKELFDEWDNFQCINVYSESMCWRLLIRKRDDYHSATIEDGWQKMRDDLGLIVGNICVFECPIQSYDHFKIRVLDPEEVI >KZM82082 pep chromosome:ASM162521v1:9:10981125:10982090:1 gene:DCAR_029695 transcript:KZM82082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVDPTSLVLQCDSGYVFGEFGASGYPFSDKRLEFMLAEDDAAAKQPSLRTLLATSERDYVISNKGHKVSIETLEDKVVALYFYHARSANHYLIETLKVAKNNYKFEVVLLYITEPDLCDGRSYGENSFWKEFKTMPWLALPFRDECCKKLGRVFRQSNNDAEGYDKLVIIGPHGKFIEPFGADILLEYGISAYPFTFSKAVELEIEKIKQLKLEKLWDKNTVCRRNNGSQVSFSELCGKRVMLVLERIGGRKSDFCESSPNAYFLIMLKGRYLLTKGTDDEFEVIRILADNLEFSNGRHFDLKIEGYSTSLEGDLGDHN >KZM82274 pep chromosome:ASM162521v1:9:15248439:15249925:1 gene:DCAR_029842 transcript:KZM82274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYATFVPDFFKYITPDDCSSNDLFLPEKFCDMQQDNLKENCILTIRNGYKMHVPYDRNNRKFGEMGALFRDFGLAGGEVLIFELVDGRNFNLYIVGEDGNEIDYSAIPQSCQASSSCGVSTYSTGWKFVKFITNAHPTDDEVTIPVEFKSLTDQWKKKDIIMVYKGRFSWKLEIKKAREGNRTSIKGGFIQFRNALQLDVGDCCFFRWINESYNEFRVEIVKAAFIAHAE >KZM83465 pep chromosome:ASM162521v1:9:32170439:32177253:1 gene:DCAR_031034 transcript:KZM83465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSIRVPYKHLKQAEVEMNRFDESVDRRGRLDHVNLNGAAANAESAENASSSQQQQQQRSEGISMVTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILVGSLMISVAVIIIGFSADIGYLLGDSKEHCRTYKGTRTRAAIVFIVGFWMLDLANNTVQGPARALLADLAGPDQRNSANAIFCTWMAVGNVLGFSSGASGDWHRWFPFLMSRACCEACGNLKAAFLVAVVFLILCTLVTLYFAKEVPLILNQAQRLSDSAPLLNEHQVGYNPSKSGTGMQLVDNSSGMNSDTDLKVGNDQKSENHEVEEDKVESFSNSPGAVLVNLLTSLRHLPPAMHSVLVVMALTWLSWFPFFLFDTDWMGREVYHGDPNGEITEVKAYDHGVREGAFGLLLNSIVLGVSSFLIEPMCKLVGSRLVWATSNFIVFACMAATAVISYISVKESSTQVGHTIRGNESIKIASLVVFAILGFPLSVTYSVPFSVTAELTADSGGGQGLAIGVLNLAIVVPQMIVSLGAGPWDELFGGGNVPAFVLASLSALAAGVIAILKLPNLSSSFRSTGFHFGVYLIYDTEGTFCMGKQYLRGVYCPTDDGFSCDLDIANKLHVEIHTVVCSVGWHLYSAEWKFSWGLSKSMGRPETHWRNETVFTGPSGDLTSAKWTTEVRNLYHCPSLYVGAAESF >KZM83613 pep chromosome:ASM162521v1:9:33348539:33356716:1 gene:DCAR_031182 transcript:KZM83613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSDSGGSYISATPPRHSSPPPPPPHHSPPSRKPQHPSPPSPRSNTLFSTKCKPKSKTKIPNTSRPILRPKPKKHSFKPDPIAVQPDPFPEPVPSVRPVSLQDLPFRLINPCGFDQCESETRPAKCLAVLKFGSFSKKNVACLNFEATEEEKNKDFGSAPSGSEKAKSSLESGENGKDGCFGGSGSKIEVEAGKAAGCAENVVRPVKKHPNLISSGDFVQPVKKAKCSNEGNFVRLNINGYGRSKFSYKSKNRNFKSSRRRNKNWNVRGNDSKEEGEFVEEEGLVFEKKGEKGSEKIRGEFEMLVEEAVLNVRNEVSDENLVKLLKLTHGFDEFRDGQLEAIKMVLDGKSTMLVLPTGAGKSLCYQLASMVLPGITLVVSPLVALMIDQLKQLPPVIPGGLISSSQTREETEETLRLLQEGALKVLFVSPERFLSNEFISIFSAGTLVSLAVIDEAHCISEWSHNFRPSYMRLRASLLCDCLNVKSILAMTATATKKTLLDVMNALDIPPANLIQAAQLRDNFKLSVSISENRQYETDTISKFLADGNIKAKSYHSGLTAKERSRTQELFCSNKIRVVVATVAFGMGLDKSDVGAVIHYSLPESLEEYVQEIGRAGRDGRLSFCHLLFDDTTYYKLRSLMYSDGLDEYAVNKFLRQVFSSDEFAGKVCSLPKESLSRKFDMKEEVMLTILTRLELGEVRYLHLLPEMNITCCLNFYKTPPAVLASKDVFVAAIMKKSVTKDGHYVFDIPTVASSMHVQVMDLTNQLQYLKLKGEVTYELKDPAYCYTILNSPKDICSLTEDLTKWLLEVESCKVKKLDAMYNAAVFACDKMLGCNDCTPFLQKKILEYFTADDGNEIPNKMGQSSPFLRSDIKVFLQSNNHAKFTPRAIARIMHGIASPAFPSAIWSRTHFWGRYTQIEFKAVMDAAKAELLNIVGKDVI >KZM82335 pep chromosome:ASM162521v1:9:17419205:17423192:1 gene:DCAR_029904 transcript:KZM82335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTMLKADSESSTQATSRSIQNEQVDDWQDAWDSESNKDIDIKGIEEHDGFWEKLRSAAAKKLGAAEADRFCKEFQHVHKKLVHEELSLDAARRFLNSTKACGK >KZM82414 pep chromosome:ASM162521v1:9:18591369:18591686:-1 gene:DCAR_029983 transcript:KZM82414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKELAAKLDAATTNRGGGKAGQADRTGQVKGGHAKLECPHCKITAPDVKTMQIHHDSKHPKIQFDESKLNNLHATIPAAESSMPRPGIRGSLKK >KZM83456 pep chromosome:ASM162521v1:9:31963454:31966820:1 gene:DCAR_031025 transcript:KZM83456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAGADCSVLPNLNFDTHHHSDSRTIDDSGSKVKIFQPCKARYTDHTPCQDQKRAMKFPRENMNYRERHCPPPEKKLRCLIPAPKGYVTPFPWPKSRDYVPFANAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADRYIDQLASVIPIDNGTVRTALDTGCGVASWGAYLWKRKVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSKAFDMAHCSRCLIPWGANDGIYMMEVDRVLRPGGYWVLSGPPINWKNNYRAWQRPKEDLEEEQRKIEDIAKLLCWEKKTEFGETAIWQKKLNTESCRASQANTCESSDPDNVWYKKMEACITPSTKSDSEEGGAELKAFPERLYSAPPRIVSGSVPGISVETYLEDNKIWKKHVNAYRRINKLIDSGRYRNIMDMNAGLGSFAAALESPKLWVMNVVPTLHEKNTLGVVYERGMIGIYHDWCEAFSTYPRTYDFIHASGVFSLYKDRCDIEDILLEMDRILRPEGAIIIRDEVEVVVKVQKIVGGMRWDTKMTDHEDGPLVPEKILVAVKQYWVMDDNNSTSPL >KZM82213 pep chromosome:ASM162521v1:9:13790350:13794771:1 gene:DCAR_029782 transcript:KZM82213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLDFNNFTPMSSYSISTVQNTDLCHCGLVPVLKTSWIDNNPRYERKGCGYYRWHDPPVEGRSKSIIPGLLRKLSCRSLGDDQVLKTSWIDNNPRNERKGRGYYRWHDPPVEGRSKGIIPGLLRKLSCRSLGDYQDLD >KZM82520 pep chromosome:ASM162521v1:9:20644979:20645320:1 gene:DCAR_030089 transcript:KZM82520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKSPLRSPKKFITSISNKAITPFLMYHKRGGDGDDDQASRGEKLLEEEGFGEGGLWQKDILMGEKCQPHEFSGVIYYDCDGNQISEMPPRSPRASTHVPAFSFPVVANDEH >KZM83354 pep chromosome:ASM162521v1:9:31106759:31107148:-1 gene:DCAR_030923 transcript:KZM83354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLRRRSSDDEQAGKNFHSGLPPGEKAPAVDQISQTLNEVTVRAMFNGVTIRFDLSDSSGIAELENNVIERLHLERESFSIKYRDDEDIWILIACDKDVRKCIEISRSLKKTSIMLLVEPPINHRKQ >KZM82589 pep chromosome:ASM162521v1:9:21604312:21606924:-1 gene:DCAR_030158 transcript:KZM82589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRSDGDDRDDKGDKGRCEVFMDNRDHWRILAKKAWIDRQSISEDEVLELKSNVLKYWFLLDYDLLDAIQKGDVRWADIAMARIEYLGVVLDQELIGKCLEGDEEALCETHRFLMNEGWWDRADKLDLSKTIWRRDKEWEVKMDKQAKDLKDYVISRKELVHPNVIKMVLQGNPEGLRMAMNHIHYNSLRERRVIKNMQAVLEKDKGDAEKKLKEGVKSGKIEEQSYKDALVEKGDQKRWVRKEHRLLGQKEGGNHDRFQKNSVVFVHNLPEKSNSLQIWNFMRKWGRALDCILPMRKDKLGKRFGFIKLQSITEAENFIKGVHGKMLDGNIIRAQFANKQIKQVGIKERKDFKQSKMSSSSQGRKQEPLPCTPDEIIKKTVGAIKLEDADHNLSLEIERSLVVSTLKACSIVEVLNTIESLGYDGVLVRRLSSTKFLVTFSSSDCFSNLDQELFGLGFLDCKSVSIEDLILPRKAVLVCLGLPVTLWKFSNFSKIVEGIGHITAISRLLDENLQFKNPLFEVETKEMSEINKILTVQHEGRQFVVQLKEMARVEIEDIIMEELKGTEMDEDHEEVNHNICSQVGSILEVEIEGREEEAAVPILTNNDKEVNGDQTLGKDSAQKANSVDNYLGAAPVHDNNKDNEIDRHLVQGDFFKSQNTLELRDTVLETQETLETQEEMVVQESQVESVIQEATKDLEVLETLETREDLMGQEPQVESVIQETSDEVVLKDPIENSTSSKCNPIWSVREAESSSIADAHNSEATSRSSSVYVARLEKEQSSSGSIKKAEDCFLKLKLGRKRGRPPKRKLRKGGQPFAPRPNGGQWTCQGRASEAEKIFESCLLMGLESQVDKGEALKRIALRLEDN >KZM82961 pep chromosome:ASM162521v1:9:26351108:26352431:1 gene:DCAR_030530 transcript:KZM82961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDDRGIYTGGFTTFALCGFVRAQGDADSAVDRLWQKKKAELKQ >KZM83326 pep chromosome:ASM162521v1:9:30851818:30854944:-1 gene:DCAR_030895 transcript:KZM83326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEVAETSASESVKHAARGDMSGAEAEAGDCSLKGTASVSDAQPESQPQPPVSTSEDNHQKLGSTPDRASVQMAECNGGDYVGINEVTLPRLDRTNKVSLIPLIFLIFYEVSGGPFGVEDSVGAAGPFLALLGFVVFPLIWSVPEALITAEMSTMFPENAGYVVWVSTALGPYWGFQQGWMKWLSGVIDNALYPILFLDYLKSGIPAVASGIPRVAAVLVLTVVLTYMNYRGMTIVGWVAILLGVFSILPFIVMGFISIPKIQPSRWLVVDLHDVDWSLYLNTLFWNLNYWDSVSTLAGEVHNPKKNLPKALLYALIMVVLGYIFPLLAGTGAIPLQRDSWTDGYFSDIAKILGGVWLRWWIQAAAAMSNMGLFMAEMSCDSFQLLGMAERGMLPAFLAKRSRHGTPLIGILFSASGVLLLSWLSFQEIIAAENFLYCFGMILEFIAFIRIRIKYPAASRPYKVPLGTAGSILMCIPPTALICVVLALSSLKTFLVSMVAVIIGLVLQPCLKRVEKKKLLKFSTSSDLPDFDGVANNNTQPAESSAL >KZM82150 pep chromosome:ASM162521v1:9:12316144:12316761:1 gene:DCAR_029719 transcript:KZM82150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILYGHIRKVFSPDFKLQVTFLKADPEEQNKINWVKAGLPMVCGKFIHDDTIETSKRLMFSHQMHIKKGSDDGTYLIYPRKGETWALFKDWNIGWSSDPSNHRTFKYVMVEILSDFKQETGVEICYLAKVKGFVSVFRRVAKDGIVTFPIPSSEMLRFAYNVPSTKLTGAEREGVPAGAFELDPASLPTDPNKLCQPEDREADT >KZM82350 pep chromosome:ASM162521v1:9:17743395:17750928:-1 gene:DCAR_029919 transcript:KZM82350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSLGGGSSEYLGEGSGYGYDERKVITYFSNSYVLALTLVAGFGGLLFGYDTGVISGAILYIRDDFQAVDQSSFLQETIVSMALVGAIIGAAAGGWINDAYGRKKAALLADVVFIVGSLGMAAAPDPYVLIFGRFLVGLGIGTASVTAPMYIAEASPSEIRGGLVSTNVLMITGGQFLSYLVNLAFTEVPGTWRWMLGVSAVPAIIQFVLLLFLPESPRWLYMKKSKAEAIVVLSKIYDPFRLEEEIDQLASTLKEEQLRKSAVSYWDVFVVKEVRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFNSNQLALLLSLIIALMNAIGTILGIYLIDMYGRRKLALSSLSGVTVSLILISVAFIMGSSGPSEGYYGWIAVFGLALYIAFFAPGMGPVPWTVNSEIYSQSYRGICGGLSATVNWVSNLIVAQSFLSIADAVGTGPTFLILAGISVAAFLFVMLYVPETKGLSFEEVENIWKTRARSSNTDKEPLLEQGR >KZM81599 pep chromosome:ASM162521v1:9:3241592:3245024:1 gene:DCAR_029212 transcript:KZM81599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLCCFGSQASGGNSTHGAGKGKGSQGPIKFGYNLVKGKASHPMEDYHVAKFVQHQGHELGLFAIYDGHLGDSVPAYLQKHLFHNIIKEEEFWTDPGRSISKAYERTDQAILSHNPDLGRGGSTAVTAILINGQILWVANVGDSRAVLSRRGQAIQMSVDHEPNTERGSIENKGGFVSNMPGDVARVNGQLAVSRAFGDKNLKNHLRSDPDVTNANIDGDTDLLVLASDGVWKVMSNQEAVDIAIKIKNPQKAAKQLSLEALYRESKDDISCIVVRFKG >KZM81425 pep chromosome:ASM162521v1:9:1117127:1117740:1 gene:DCAR_029038 transcript:KZM81425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTAAYLANYGLANMSDFGEVQGSVGELDYYLARDMGRTLIPPYVAGNQIPGIHAKDVLHHAVNGTISSIIPKLFVPRVSPPLENIKGFMTVEQVLTLMGFQADGDGPVVASTSGPVA >KZM81881 pep chromosome:ASM162521v1:9:7539501:7541183:1 gene:DCAR_029494 transcript:KZM81881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTFIMIKPDGVQRGLVGEIISRFEKKGFTLKGLKLITVDQPFAEKHYADLSAKPFFRGLVEYIVSGPVCAMVWEGKNVVTTGRKIIGATNPAESAPGTIRGDFAIDIGRNVIHGSDAVESARKEIALWFPEGIAEWQSSLHSWIYE >KZM82866 pep chromosome:ASM162521v1:9:25379499:25380002:1 gene:DCAR_030435 transcript:KZM82866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSYAIKCLTLLVVALLITISSADQALIKSICSKARNQALCYNLFKNSGNSDRGGLGQISTNVALGKAQTTQNLVKSLAAKETDPKIKAQYNTCLEVYGDALDNLNDCKPAFAKNDFSTANIRASAAYTDVDTCSDDGKNVAPQLKAANQENQDYIDVVLAVSNIH >KZM82190 pep chromosome:ASM162521v1:9:13261911:13265054:1 gene:DCAR_029759 transcript:KZM82190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHLKKDMLGFSWRWQKSLNIISSYLDLVARPTLLGKHLFSCMATAMSMFRSHSGKRYSAMMFNNFRWSKVQCPKQTMKDSTYSGHFVGSFIEDLLCAGATKIDANVNAKDEVFATKEEEARLTNTGLEEAESEMHYLKEVIREAKAESLKLQESLTDKENELRSVIQENKELQNTESASVIKVKEWSKLKSSRLYI >KZM83380 pep chromosome:ASM162521v1:9:31343833:31344837:1 gene:DCAR_030949 transcript:KZM83380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRNEKTLDEAIINDACARNVLEGYQTKGIEGIEILLDSYQKIQRKLVVRIIEEKYRAECKEPLQELAKKFKDLNNDYNKIVECVLDGSK >KZM83589 pep chromosome:ASM162521v1:9:33159856:33161941:1 gene:DCAR_031158 transcript:KZM83589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLTRFCEKVYMMVEGGSDYCSKKSDDICGNASGEDSGRMLSMTRLRCIIRGLDLKMFLFLLILIPTCVFGLYLHGQKISYFLRPLWESPPKPFREIPHYYHENVTMKNLCKLHGWGIREFPRRVYDAVLFSNEVDLLTVRWKELHPYITEFVLLESNSTFTGLAKPLDFASHREQFQFVESRLTYGQIPGRHLKGENPFVEEAYQRLALDYLLRKAGIQDDDLLIMSDVDEIPSRHTINLLRWCDDIPPILHLRLKNYLYSFEFLLDNNSWRASIHRYQTGKTRYAHYRQSDDILSDAGWHCSFCFRRISEFIFKMKAYSHNDRVRFSKFLNPKRVQAVICRGADLFDMLPEEYTFKAIIGKMGPIPHSYSAVHLPAYLLENADKYKFLLPGNCIREDGG >KZM83507 pep chromosome:ASM162521v1:9:32519349:32525701:1 gene:DCAR_031076 transcript:KZM83507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSETDVTPFSSPPLRLRWDVFLSFRGEDTRDTITQDLYSALSARNVRVFIDNDGLTQGDSLDPTLFEAIKDSAASIAVISVRYASSRWCLEELARICEGGRLVLPVFYGVDPSDVRRQTGPFAGDFRKHEGMYSSEMVGRWRNAMKRVGAISGWVLQVDQFRGKKEAETLVNKKAIETLVNRILAELRNSPEVVAPCAVGVEPRLETLWKMIDLKSTEVQVLGLFGMGGVGKTTLAKALYNKLFGYFGCRVFMSDVRETSDRIGLVALQNMLIHHISKSAKPVSDEKAGRKTLKSVLREMKVLLVLDDIDDLRQLKALAARRKWFHEGSILIISTRDREVLPAYLVNKRYEVRELDSSDSIKLLSYHALRRDQPTETFLDKAKEIVSLTGGLPLALEVFGSFLFDMRKEKDWEDALEKLRQASPRRLLDVLKLSFDGLDKQEQCIFLDIACLLQTLRLTKDDIVDIMRGCGFGAESAIKVLIARSLIKVDVDNTFWIHDQIKDMGRQIILSENLVDPGMRSRLWDHNDVQGVLLNRKGTRNIQGITLDFDKRQFKKNVVVSDLSIAWYNLQNSPGVVSKLRYFLEVFKTYYEHDGGMVFFTKSFEPMINLRLLYISNSTLKGSFKHFPAELKWLQWRKCPLDYLPSFYPRELTVLDLAESKLKNLWGQQRWSWYNKKVEGKLMVLNLDSCCYLTAVPDLSGHPNLEKLILEGCIELTRVHESVGDLKKLLYLNMRRCENLVELPREVSGLKCLKTLVLSKCSKLKELPQDLGNMTSLVELLLDRTAIEKLPESIFRLTKLEILSMMDCRSLKQLPFCIGKLVSLRKLLLNDSSVEYLPDSVKTLGNLEELSLMRCKSLTAIPDSVGDLKSLSNFWLNGCSLTEMPDCIGSLYYLRVLSVSDCHNLNAVPISIGKLASITELQLDKTSIVDLPDEIGSLKSLQKLEMRYCKSLKSLPETLGELLSLQTLIIVDAAIEKLPEKFGQLENLITLRLNNCKLLRSLPSSFGNLKSLCHLHMVHNELRSLPETFGMLSSLMVLEMGKKMWAEAPLDYQEPAVIALPLNFSNLSMLKEFNACAWKITEDIPNDFEKLSLLKELKLGHNDFCHLPSSLRELHFLEKLDLAHCKRLRVLPPLPSSLTELNAANCIALETISDLSELEHLEELHLSNCEKLVDIPGFECLKSLTRNLSMPGSNLPQWLTQKVVRFSEHKNLVIRGIIIGIVVSVDQDEQNDTRDQFPVLYGIKARILRTNMPVFTTAMKLEIPKTHEDQIYLCRYTHHTPLVSLLEDGDVVEVFVTEGPYLKGVTVKKSGIYLIFENDDDYDEDEGSLDEAQLSVSEKITKFIHSSELDNATNSSSEAQKIMQETSSHRNMIFGIFLLSCFMVLISWLVLPWSLEDALV >KZM81449 pep chromosome:ASM162521v1:9:1350163:1350360:1 gene:DCAR_029062 transcript:KZM81449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATHTHAGIVNQFRAPRQYPGKAPLGVEPENYNTKDGKMYTSQRKLTEALQKKKKEVEAKRPEK >KZM83238 pep chromosome:ASM162521v1:9:29883271:29892391:1 gene:DCAR_030807 transcript:KZM83238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDVKETLLKKDYYENCPGCEVDQYKAVQHGLPIKKLFLIWIVVLATVLPISSLFPFLYFMVRDFNIAKQEEDISYYAGFVGSSYMLGRALGSVFWGKVADRYGRKPVIIIGTFAVVLFNALFGLSTNYWMAIIMRFLLGCLGGVPGPIKAYACEIFREEHQALGLSTVSAAWGSGLIIGPALGGFLAQPADNFPDLFSSQSLFGRFPYFLPCLVISLFALVVEITCLWLPETLHKHESHKISSVDSFENLESAPFLSETTEYTHGQKGSKPEGSLFTNWPLMSSIIVYCVFSLHDMAYSEIFSLWAVSPRRLGGLSFSTEDVGEVLVISGVALLTFQVFLYPLLEKRLGCIMLTRILAVLSIPLLTSYLYIATLSGILLSISINCASALKNIFSEFIVTGFFILQNRAVDQHQRGAANGICMTLMSLSKAVGPAIGGALLSWAQGRLETDFLPGPQMVWFILNVVEAIGVAMTFKPFLVERQL >KZM82147 pep chromosome:ASM162521v1:9:12221893:12225556:1 gene:DCAR_029716 transcript:KZM82147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVVRGKDEIDKYKPHECPGYQSPEEQFTIKLYLDGKFEENPKSYRGGSVHYIDFCEGDQTSLLELYSMIVSCGSEKQANTSSNAEGQDQQYIPAMFNWQGGQSATQGSSTTRATKLNPKRKR >KZM83466 pep chromosome:ASM162521v1:9:32179221:32180474:1 gene:DCAR_031035 transcript:KZM83466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNHQHQEQEEQVHQFPHIQNHMLPPQDFHGTIGSFLGKSSMTYSRVDQHLHEESNVNGDHVDDLSDADDEDGSGALGSGGSKKRRLNIMQLKTLEKSFELGNKLEPERKLELSRALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKSQFDSLKAENDSLQSRNKALHAQILALKNREPTDSINLNKETEGSSSNNRSTENSYEIKPDFSRTPPAIDSYPQKITSIPFFPPNTSNFIRLQQPALHLSRPSDHHHHQPVKEEDFCNMLDDQTAFWPWLEQQQPFN >KZM82729 pep chromosome:ASM162521v1:9:23113330:23116428:1 gene:DCAR_030298 transcript:KZM82729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYYSCSRGEYLYYLFMTSIHFGCGSCDESEKQALLLLKESLTDEQAFNCLSSWVGDDCCAWYGIGCNNITGHVLQLDLRQCYLTGDQINPSLLDLKYLTHLDLSYNSFENVQIPEFFGSFKDLTYLNLSHIYLKGSVPHHFGNLSNLRYLDLSAYFHYDLSIDNMEWLTRLSSLVHLDLSFVDLSRATNWFRDINMLPDSLLVLKMVNCQLPNNIPLHLPFTNITSLISLNLGLNQFNSSFPLWVFNNTGIAYLSLRSNQFNGPIPDSIGKLTSLVNLDLSSNNFQGPVPESIGALAYLANLDLGENYVHGLIPQSIGNLTSLQQVNLAGNEIIDQIPSGMGNLTGLRFLNINFNELRGFLPETFCQISKLETLFARGNQLSGSIPRCIGKLSNLGYLDLSHNSWDGFLSEHHFANLTSLRDLSISSRSNLVLNVSSAWAPPFQLESIYLESLKVGPKFPNWLLAQGDLQYLLIPNTSMSDVPTDWFVSFLSRATTLDLSNNEINSEQLSLISVDSSPFMSALLLSNNRLSGEFPAFLCNLTSLSILVLSNNNFSGKIPQCISNMTRMGDLDVMNNSLSGEIPVFLGFWGELAYLNLHNNEFEGTLPWSIQNLRKLVALDAGKNNLRDILPPWTRDQLPHLRYLILRSNNFYGNIPTELCHHTLIQVLDLSQNLFTGNIPPCFNNFSAMITSDSSEYLYYHAFSVGEVRRIVDDAKGYEQIYTSTLRFMFAIDLSNNNISGEIPEELMDLSGLMSLNLAGNHLAGRIPDKIGKLGKLEYLDLSRNELYGPIPQSLSDLNFLSRLNLSFNDLSGRIPTGNQLQTLDNPSIYAGNNQLCGKPIQKPCTIHDSSETNSDSDDGHVWFYAGIGPGLLVGFLGFCASLHFIKSWRYLYFQTVEKAFDKIAIKVALLRRKIHNKQT >KZM82774 pep chromosome:ASM162521v1:9:23820862:23823654:-1 gene:DCAR_030343 transcript:KZM82774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRSNLLCILVLMVIVGLESVSASVYNENMESLVKARRSLLNNGLGNTPPMGWNSWNYFYCDVTEKVIRESADALVTTGLDKLGYKYVNIDDCWAEQTRDKKGYLVANRTTFPSGIRALADYVHSKGLKLGIYSDAGEYTCSHRMPGSLGNEEKDAKTFAEWHIDYLKYDNCYNTGLKPMERYPIMTRALMKAGRPIFFSLCEWGDMHPALWGSRVGNSWRTTDDITRNWDSMVSRADQNEVYAEYARPGGWNDPDMLEIGNGGMTYDENVVHFSIWAISKAPLLIGCDVRNMTKETFEIISNKEVIAVNQDRLGIQAKKVRMEGSAEVWAGPLSGYRVAIVLVNRSILPANITAHWDDIGLPPNTEVIARDLWKHRTLKKRLVGSLTEVVYPHACRMYVLKPVF >KZM82563 pep chromosome:ASM162521v1:9:21165396:21172274:1 gene:DCAR_030132 transcript:KZM82563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGRRKLRLSKIYSFRCGKKSFMDNQSLIGEPGFSRVVYCNDPSCFEASISRYPGNYVRSTKYTLVTFFPKSLFEQFRRVANFFFLVVGILAFTPLAPYTAVSAILPLTIVVGATMVKEGIEDWRRKKQDDDVNNRKVKVHKGNGAFEHTEWKHLRVGDIVKVGKDEFFPADLILLSSGYEDAICYVETMNLDGETNLKLKQALDVTSSYRNDGSFRDFKALVKCEDPNANLYSFVGNMEFEEQHHSLSPQQILLRDSKLRNTEFIYGVVIFTGHDTKVIQNSTDPPSKRSRIERKMDKIIYVLFGILFLMAFTGSIIFGIKTKDDLHGSRMKRWYLKPDDARIFFDPERAPFAAIYHFLTVLMLYNGLIPISLYVSVEVVKVLQSMFIGHDINMYYEETDKPAYARTSNLNEELGQIDTILSDKTGTLTCNSMEFIKCSIAGTAYGHGVTEVEKAVAKRNGSPVRVNGNDQKENSANVDINSYIKGYNFIDERITGGSWVNEAQMGIIQKFLRLLAVCHTAIPDLDPKTGKVSYEAESPDEAAFVIAARELGFEFYKRTQTSVSLIELDPVSREKTERVYEVLNVLEFNSSRKRMSVIIKDEEGKLLLLCKGADSVMFERLAENGREFEDETRKHVNEYADAGLRTLIIAYREISAEEYEAFDKKFKEAKNLVSADRETIIDEATELVERDLILLGATAVEDKLQQGVPETIDKLAQAGIKIWVLTGDKLETAINIGFACSLLRQGMKQILINLDAPGIRELEKMGDKDAIIQASKSSVLQQIHDGKNLIKSSSSEVFALIIDGKSLVYALQDDIKDMFLELAVKCASVICCRSSPKQKALVTRLVKLGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMASDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNLTFGFTVFLYEAYTSFSGTPAYNDWYLSLYNVLFTSLPVITLGVFDQDVSARYCLKFPSLYQEGVQNLLFTWRRIIGWMLNGICSGIIIFFLCASALEPQAYNNDGKTAGRDVLGPIMYTCIVWVVNCQMALSISYFTLFHHIAIWGEIGLWYVFLLVYGNMSSTFTTTGYKIFVETLAPYPFYWLITLCVVIASLVPYISYKSIQMNFFPTYHGMIQWIRHEGHLDDSEYINMVKQRSLNRSTVGFTARTLARTNPLIGSTHRRR >KZM83603 pep chromosome:ASM162521v1:9:33283615:33285552:1 gene:DCAR_031172 transcript:KZM83603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLAEEQLDYEEEEYGAPQKMQYHGGGAISALAEDENIGEDDEYDDLYNDVNVGDENILPKEANIHGVPNDGKYTNTGVPFPDPKERLPTEMVPNIGSNNTSQKSVAPGTIHDAQASNMGFRGPAPVAQNTGLNPVDMSGKVGNEPVPSHNPNRGVPQGVSQVPPNHSNVNANMNRQIANDNPIRPAGDNGATMLFVGELHWWTTDAEIESVSSQYGPVKEIKFFDEKASGKSKGYCQVEFYDSASAAACKEGMNGYIFNGRACVVAFASPQTIKQMGASYMNKSQVQPQSQQQGRRPMNDNAGRGGGTNYPSGDSGRGYGRGGWGRGQGVGNRGGGHMRGMGGNMGPKNMPNAPGVGAGGNAGGYGQGMGGPAFGGPPGGFMHPQGMMGGGFDPTYMGRGSGYGGFPGPAFPGMMPPFPNVNAMGIAGVSPHVNPAFFGRGMAANGMGMMGNAGMDGPHSGMWNDSNMGGWAGEEHGQRTRESSYGGEDGGSEYGYGDPGNEKSTRSNPASREKERGSERDWSGNSEKRHRDERDQDRDRYDREHRYREEKDDYREHRQKDRDSYKDDGDRGQASSRSRSRSRVAPEEDHRSRSRDADYGKRRRLPSD >KZM81802 pep chromosome:ASM162521v1:9:6215956:6217932:-1 gene:DCAR_029415 transcript:KZM81802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLDDVYKVVVAMVPLYVALLLGYGSVRWWRMFTPDQTDAINRMNCYFIMPLFTFEFTTHLNPFKMNYLFVAGDVISKCVIGVVLGVWVYFFGGSYEWCITGFSLSTINNTLIVGVPLMRAMYGALGEDLVIQSAVLQSIIWVMILLFMLEVRRARRDFDSVAAVEMSSGKDLEGNSDGEVNVSVVRPSAWILMRTVLGKLAKNPNCYACIAGLVWAFLAARWHFEMPSIMEGSILVMSKAGTGTSMFCMGLFMASNDKIMACGAKATIIGMILRFIVGPMSVGLACLAVGLRGDVLRIAIVQSALPQAVATFIYAKEYGLHTNAISTAVIFGTVVSLPILIGYFAVLDLIRI >KZM82161 pep chromosome:ASM162521v1:9:12648896:12663758:1 gene:DCAR_029730 transcript:KZM82161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSAPKIAQPESSSSASWMVFDENNKADADAIRERTAEWGHMINPEDGDRSRNASERFGRNNSTESESGIPRVSQELKDALSTLQQTFVVSDATKPDCPIVYASSGFFEMTGYSSKEVIGRNCRFLQGPETDQNEVDKIRHAVKTGTSYCGRLFNYKKDGTPFWNLLTVTPIKDDSGKTIKFIGMQVEVSKYTEGVSEKLLRPNGLPNSLIRYDARQKEKALGSMNEVVQTVKHPRSHKDEKDYPNIDFTHLKPSEVESLHAPNRQTPRVMSTSDPYLRNSTQDSKSKFRKSQHAASMDGYQERSSSTAVKLEDQLSIEPEVLMTTDLERSDSWDRADRERDIRQGIDLATTLERIEKNFVISDPRLPDCPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVQKIRDAIKEQREITVQLINYTKSGKKFWNLFHLQPMKDQKGELQYFIGVQLDGSNHVEPLKNRLSESTEQQSAMLVKATAKNVDEAVRELPDANSTVDDLWAIHSQSVVPRPHNRYNSGWLAIHKITATGEKIGLNHFKPIRPLGCGDTGSVHLVELKGTGELFAMKAMEKTIMLNRNKVHRACIEREIISLLDHPFLPTLYTSFQTSTHVCLITDFCAGGELFALLDKQPQKIFKEDSARFYAAEVVIGLEYLHCLGIIYRDLKPENLLLRKDGHIVLTDFDLSFRTFCKPQVIKHPVLKRRRSRSQPPPTFIAEPVTQSNSFVGTEEYIAPEIIKGGGHSSAIDWWALGILLYEMIYGRTPFRGKNRQKTFANILYKDLTFPSSIPASLAARQLIHALLKRDPESRLGSNGGSTEIKEHPFFRDIKWPLIRCMQPPPLDTPLEIIGKESNAKELNWDDDGVLDTTIEANGDRQKLIILNIVLSLFCWKNHPMESLIRVCVSSL >KZM83293 pep chromosome:ASM162521v1:9:30532203:30534335:1 gene:DCAR_030862 transcript:KZM83293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTILRGILFSCLLLSLILSSSAQKCAKYSFASNELFKACNDLPYLNAFLHWNYDPSSGNFQIAYRQTKITSSNWAAWAINLDGSGMLGAQALVAYQKSDGTMRFYTSPEGDLKFPVADFSASFANNEIIIFATLNIQNTTTLNQVWQIGPVSGDKPGGHAISGANVQSAGSLNILSGQPGTTTGGASSKTKKRNIHGVLNTVSWGIMMPIGALIARYVKVFEVADPAWFYLHVSCQTAAYLIGLVGWGTGLQLGNQSPGIQYSSHRYIGITLFVFGTLQVLALLIRPQKDHKYRLYWNIYHHTIGYMVILLSIINIFKGFDILNPEKKWQRGYVAVIVILSITAVILEGSTWCIVLKRRKAASAEKTPNAMNELSGYGARTNHRV >KZM81642 pep chromosome:ASM162521v1:9:3902528:3911440:-1 gene:DCAR_029255 transcript:KZM81642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAGFASIPNDPTSSSPLRFNRVGPTPLHLITTSAGLRLGDVLRRRFTADATHLGLVLNSSIEEVLHRRIHHFDGYIFLQRSYDAWAAKWSSTLLFFGGEGSKNNYLRGRNSQMNSSRKDAFSRRGLTTVLIAVNVVVYLAQMATQGKLMLWGAKINSLIDRGQLWRLVSSSFLHANIGHLLINCYSLNSIGPTVEKYSGPGRYMAIYFISAVAASTMSYWFCKAPAVGASGAIFGLVGSYAMFIFRHRYLSNESGEGLQHVARIIMLNAVIGLMSKGIDNWGHFGGFIGGVGASWLLGPAWEYKMLTNDKRRAFVDKAPIFSLIKPKRRPS >KZM82071 pep chromosome:ASM162521v1:9:10732625:10734665:1 gene:DCAR_029684 transcript:KZM82071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATPKKAVNVPFGRNYVPTWALDHIKYFNGGNEIQLLLDKYTGTGFQSKGSYLFGQFSMHIKMVPGDSAGTVTAFYLSSQNSEHDEVDFEFLGNRSGQPYILQTNVFTGGKGDREQRIFLWFDPTKAYHSYSILWNLHQIVFFVDDIPIRVFKNSKDLGVKFPFNQPMKLYSSLWNADDWATRGGLEKTDWSKAPFVASYKGFHIDGCESSVNAKYCATRGKHWWDQKAYQDLDAYQYRRLKWVRKRFTIYNYCTDRVRFPKMAPECKRDRDA >KZM83171 pep chromosome:ASM162521v1:9:29330319:29332280:1 gene:DCAR_030740 transcript:KZM83171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLCKRSKPDLLKRGFTTLLNPQHINLVPRPLARCMSSQTLGASRYDYPYHHYPPMALTKNNSPAYSSAGNPCIDFFFQVVVPQKAPTSIAYHLNKAWDHDPLTTLKLICNLRGVKGTGKGDKENFYSAALWLHENHNRNLANNLLAMASFGCLKDLLEILFLLVQGANARSNIRSDWELKKKRCECRNSMRAYNRKRPSKVEVEKAKRLRVLLPREGRVEANELKMRVESEMASILRKEKRLERAKKLWKLYSRDRYFEFLYEKISGLFADMMKVDMACLYSGDTNGISLAAKWCPSLDSFYDKYTFICGSIARKVFPRESYPEYEGVEDAHYEYRVRDRLRKEVMVPLREALQLPEVYMSAQKWNALAYNRVASVAIKNYTDTFMWHDKERFTKYLEDVKAGKAKIAAGTLFPHDIIKTCLQGESEGKETVAELQWKRMVDDMLQKGKLSNNIAVCDVSPYMPALPKNVSLGLGLLLSELSEGPWKGQVITFSQNPQLQLIEGNSLLEKCKFFESLECGANTNFRRVFDQILKVALVAKLSKEQMIKRVFVFSHMEFERASQNKWETDYHAIRKKFKKNGYEVPKILFWNVSGLCATPVTAKQNGVAMLSGFSKNLFKLFLDHDGAINPEIAMERALSGKEYEKLVYYDD >KZM83585 pep chromosome:ASM162521v1:9:33135029:33135709:1 gene:DCAR_031154 transcript:KZM83585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSFAEASQPDHIALLLALSYNAQSSRRRGYWEVEMLKRCAQLLGLVHYSDRDSRKRKELRRPVRALDMSIQLKDITVRIIHAGGRQELYKTAIPASRLMEKYPGMCVARPEVFKKPQESILSAEEQLLPGQKYFIVPCTTVQKVKRRHSSKRKVKEPEVMLLDSKAASNVDDYSEESVRSSDFYESTSDSKKSNKRFVPPIQRSKSLRGYTWEPSLRSVEELSS >KZM81905 pep chromosome:ASM162521v1:9:8248609:8248863:1 gene:DCAR_029518 transcript:KZM81905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHFPRSKSTRNVPKGHFAVYVGKNERKRFVVPLSYLWHHEFLKLLREAEEEFGYDQPMGCLTIPCNEESFISVTCTLSSYKW >KZM82753 pep chromosome:ASM162521v1:9:23413490:23416994:-1 gene:DCAR_030322 transcript:KZM82753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEEEKAGGSEMKPWEQHSAVISIPRFDYNAPSSLLSHSFTGFLVTCPIKREKSATKEAMSIFQKFIVSSQICTSEDLDNTNNTNVSKRRKVFITETDEVSVNCVETKSADIDEKLFEGSCAPSVKEETDVGVTHVLSLVKLIRSGLLLFIFHKDHSHDVANTVAKIMQSLESGSLKSPLWCHRIFPIQATCTLNEKELTALVSKLVLQFVNDGKNKFVRPVKFAVGYNRRGFEEAEIKGTKKTLNESDVIELLDRNKCFTVVAAAVKEAIPDSMVDLSHPELSVLIELLPISRVTSGSLVAGVSVLPYNLVSTKPKLGIKALVSDMKTGNGKKNSKNIMVQK >KZM81728 pep chromosome:ASM162521v1:9:4968310:4968879:-1 gene:DCAR_029341 transcript:KZM81728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVTSSTPTESNCKKRRKIDNNVTIKNNQSHDQENKSQWKSASQHKIYATKLVDALRRRTSNSTVRETADRVLALSGKGRTRWSRAILTNRLKIQMRMIKQRNKTKNTKAAFTKRPRKLIRAPVKKRSLLAVEEKARDLSRLVPGCRKITLPNLLEEVGDYIAALEMQVRAMTALTGLLTGSTRSV >KZM83563 pep chromosome:ASM162521v1:9:33014083:33015168:1 gene:DCAR_031132 transcript:KZM83563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLPQEIFLEILLLLPVKSLLRSKLVCKSWRFLISNPDFVKQHVARTTNNPNNHDLFIAYDEFNHFFSVIDVNSIDRAIRLNPPIKFSYVVGSCNGLLCLADRANELYLWNPVTRQVKNMPGYKYSVRENSNDYRIAFGFGFDHASSDYKAVRIVQKFDDIELVNRVEVYSLNENCWKEINVELDIGYVDGRRCSLNGSIYWSAQKRPHDFRQLVLLSFNVQSLIFCTIQLPDDLSARLYSHRRRYYEIGLFQYKEYVALCYRFDRGGCLIYTVLDGNCWCTNMTVKRLDYLAGCLKTGELIGLRDHCFEEEGQRSGRRICYGNVVVLYDSVNDVVKYIQPIPNGRRKLYHYTESVLDLN >KZM82708 pep chromosome:ASM162521v1:9:22812278:22814823:-1 gene:DCAR_030277 transcript:KZM82708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLLVYAISLTFSCGSIDGGHQNGSCIHSEKQALLLLRKSLLVRNNDSWLSPWDGDDCCSWSGVYCNNITGQVIKLDLQNCCDKGSISQSIGNLTALTQVYLSDNSIEGIIPESIGALKSLTYLDLSFNNHGGFIPQSIGNLTSLIILDLYNNQIRGLIPESIGALTSLTRLDLSLNYLHGLIPPSIGNLISLFDLYLKYNRFSGSIPAEIGNLTKLSDLTVSGNRFSGSLPESLCQLNNLSALLVDDNQLGGSIPKCIGKLSNLVIMDLASNSWDGIISEHHFVNLTNLYSFRISSKSNLMVNISSRWVPPFQQLNDIYMDSIKVPKFPKWLITQRSLADIRMRNTSISDTILAIPNSVRYLDLSNNHMFGNIPALLCNLTSLSTMLLSDNKFSGALPPCLGNLTDLHDFSVMNNNLGGDIPISLGFLRFLWYLNLHNNNFQGKLPLSFQNLSSIIGLDVGKNNLSDILPGWTSKLLDLRYLILRSNNFYGKIPTDICHPSIQVLNLAKNDITGNIPPCFGNFTAIITSYNSGKEEGPIYGGLSYEDIIIDDPKGYELTYSSTLDFLYSIDLSNNNISGEIPKELMNLHGLLSLNIAGNRLSGRIPDTIGKLDKLEFLDLSRNELAGHIPQSLSNLSFLSHLNLSFNDFSGRIPTGNQLRTLDDPSIYVGNNQLCGPPILKPCPGDTDSHDFHNNNEVEFYSDDEHVWFYAGIGPGLLVGFLGFCASLHFIPTWRYFYFHSVERFSDKIALSIALWRRRFQN >KZM81739 pep chromosome:ASM162521v1:9:5291181:5291762:-1 gene:DCAR_029352 transcript:KZM81739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGSHIVFVEARRCREMVGETARSKTGHFTLLDCFDLTTGSVACTVKETVKLYSNSIKGTHAELIRQKSVKNALADAASQGLSEKEAEKHAKKEGTKAAKQADRKADRVLGPITSSQWDFFEVMYYGGTITEGILRAGGTLVGTYTFGFLAKQKLGNFGYLLGSQVGSWIGGRIGLLVYDVVNGVHYLLNFA >KZM82309 pep chromosome:ASM162521v1:9:17038558:17041528:1 gene:DCAR_029807 transcript:KZM82309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWWSMIVAVVALVLVVESVMAQQKNDTILNSGCSQYNASSTLEFFRNLNTTLADLRREITVNRTYFGTQQQARTTNPVYTMFQCRKYLSTAECVSCFDRAALDIRSICKLANGARVIYDDCFLRYESNGFYTTATALGNTGRCNNDTVANSTSANFNTAAGDVLNNLLLATPKIKGYYAATTEQVVGSNTTVYAVAQCAETVTETECQNCMNVAYENIKSCPPRSGARAIDAGCFMRYDDSAFFASNETTNIKKYLKDGGSSDKKAIIGGIAGGVGLLLILLALLLWYRLSGKKKVAQRGHILGSTELQGPVTYNYKELKSATKNFNEEYKLGEGGSGDVYKGIVKNGNIVAVKRLALSTTKAKASFESEVRLISNVHHRNLIRLLGCSSKGPDLLLVYEYMENGSLDRFLYGGKRGTLNWKQRFDIIFGTARGLAYLHEQFHVRIIHRDIKPGNILLDDELQPKIADFGLARLLPEDQSHLNTKFAGTLGYTAPEYALQGQLSEKVDTYSFGVVVLEIVSGRRCSDTNIESDTDFLLEYAWKLHEKDMHLKLVDETLDPNDYTTEDAKKIIEIALMCTQSPASLRPTMSEVVVLLLSDDRSLEQRPLSKPTFVHSENRIREDTHSTPPQSVSNATATLSDFVGR >KZM82707 pep chromosome:ASM162521v1:9:22801094:22809738:-1 gene:DCAR_030276 transcript:KZM82707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMGMQKRMSLLILAYAIGFLVKLNCGSRVGSNWNQSCNERDKQALLSFKESLSDDTNYLSSWVGDDCCAWHGIGCNKQTGHVMKLDLQSGYLRGGHINHSLRNLRYLTYLDLSNNSFHGIRIPKFLGSFKDLTYLNLSHSNFIGLVPHQLGNLSSLKYLDLNGNFLLSIDSMGWFSRLSLLEHLDLSDMNLSRATDWFPAINMLPNSILVLRLHDSFLPNKMPHHLPVLNLTSLVFLDLSSNQLNSSFPLWVLNNSGLAHLNLRFNFFSGLLPQSIGSLTSLLELDLSDNDFIGPIPHSIGSLTSLINLGLLRNSLEGLIPKSIGSLTSLSELDLSGPSFQGLIPESIGTMRSLTILDLSSNHLQGNIPRSLGNLTSVLELKLSSNSLYGLIPESLGTLTSLTYLDLSDNKLQGIIPRSIRNLTSLSILLLSNNKLSDFPLEMGNFTELQYLSISSNAFRGSLPQTFCQSLELTALDASDNQLTSIPKCIGQLSNLTDLSLLSNLWEGFVSEHHFVNLTRLTTLEISSESNLVLNISCKWIPPFQLQYLYMKSLKVGPKFPHWLQTQRQISDITMANTSISDIIPADWFVNVLLSQASTVDISDNDLNGKQLSLVSAARTHGLSVLALSNNSLSGDIPQFLCSLKYLTVLVLSSNNFSGPLPRCLGNLKNLKELDVMSNSLSGDIPSSLGSLQLLLYLNLHNNKFQGKLPLSFQNLTFIVALDVGNNNLSDTIPPWTAEKLPDLEYLILRSNYFYGDIPVQLCNNSYIKVLNLARNQITGTIPPCFSNFSAMITGENNDDYFDFGLGNGEAISDDAKGYELKFTSTLRKIPTGSQLQTLGVIDPSIYAGNNQLCGEPILKPCIGDTESNKFHDHNGSDTDSDEERMWFKAGIGPGLLVGFLGFCASLHFIKSWRVGNCIQSEKQALLLLKKSFVEDRNGLPSWIGDDCCSWQGIGCNNITGHVIKLDLQGCGLSGVIAPSIGNLTYLSELYLSYNGLRGQIPDSIGALTSLTVLDLHANYFSGPIPQSIGNLTALSRLILWGSGFDGLIPESIGNLASLSILDIRENNFNGSIPQSIGNLSSLSKLDLSVNQFHGISVVDLYCSHVKMKQNQIMFTIIMNLSQILSDCGFMLE >KZM81964 pep chromosome:ASM162521v1:9:9247577:9251785:-1 gene:DCAR_029577 transcript:KZM81964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPPAFAPSPAIKAHQAHQTGYPINVWPKPTTFEWTHPESVPLAPSFTITSPYHKYLTAAVNRYVRQILKEHHTPLITPSVDSPSTFPLKSLRISVTDISAPLTHGVSESYNLTVPGAGGVTAVMTAATPWAAMRGLETFSQLVWGNPGRVGSGLFISDAPLFGHRGVLLDTSRNFFSVDDIMRLIKGLSMNKMNVFHWHITDSHSFPIVVPSEPMLSEKGSYGGGMVYTPEDVKRVVEFGFEHGVRVLPEIDMPGHTGSWAEAYPEIVACAKMFWWPAGSEWADRLASEPGAGQLNPLNPKTYEVLKNVIRDVIAMFPEPFFHAGADEVIPGCWKVDKTIQTFLANNGTLSQVLEIFINTTYPYIVSQNRTVVYWEDVMLDDTIKVDPTFLPKETTILQTWNNGPNNTKRIVNAGYWTIVSSSDFYYLDCGHGGFVGNDSQYAQKPGTDQGKGGSWCAPFKTWQTIYNYDITYGLSKEQAKLVLGGEVALWTEQADATVLDSRVWPRASAMAEALWSGNVDESGMKRYAEATDRLIEWRQRMVNRGIGAEAIQPLWCIRNPTMCNTVQDV >KZM82243 pep chromosome:ASM162521v1:9:14476585:14478106:-1 gene:DCAR_029873 transcript:KZM82243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHGIGDEIGNIEDEDPGSDWEDKIGTSDRNSNKRISEDAESLVSSPSSVNEEDDGGQRGCGWSKRKRSFRANVGSYSSTYPSSEDEDVVLAKCLMALSNARVDPVDMEQEESCESASKDEERRNAVTAFLAPLTKPNYASFDKAKAASKGMFECKACKKVFTSHQALGGHRASHKKVKGCFAARDNQFDDSLATEDVITHEEFFSLKAPSSLQYDHSPSAPLVGASRRKSGVHECSICHRVFSTGQALGGHKRCHWLTSNTPDSTSSLKYHQHPHHFQDHNTYERPPLTQHKADPLDLNLPPTAPDIVGIRRDPHNPLSFEVSTEINLHSWANNQQHKYENEDENDHTKKTSNVVSLQNVDDEADSKGKFAKLSELNGLNVTGTSSQWLQVGIGSATDAAANP >KZM82108 pep chromosome:ASM162521v1:9:11582315:11582932:-1 gene:DCAR_031815 transcript:KZM82108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQERFPELNLGKQDCSEVGYTQSALDFPLDLPNRPLEVLLERSTFKLPKKVKSVHVTRPISKQGLQGMWDFLRKYETGTYIVLTSFGGRMDEISESAIPYPHRPGVLYMIFWRVRTSEDPAAAFSWIRDFYSYMAPYVVSPRTAYAACTDLDLGVNNQNGVTTYAQASKWGKMYFKNNFDRLVHIKTKVDPANFFRHEQSIPPL >KZM82880 pep chromosome:ASM162521v1:9:25581404:25581703:-1 gene:DCAR_030449 transcript:KZM82880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTKLQEKIEVQDMKDVLKIEREAIWSLRKVSDHGRSEVGSEENVEGRERLKRRDMYEVGLLGSVVLYTYLLTANTNGHIRHESLKKAINRSFSPKST >KZM82674 pep chromosome:ASM162521v1:9:22422793:22425616:1 gene:DCAR_030243 transcript:KZM82674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSRSFLQALNPFKSRSRRGGEEDVETVASQEQKQFTLHTLVVATKDFHPSNKLGQGGFGPVFKGKLDDGRLIAVKKLSQSSSQGKREFLNEAKLLARVQHRNVVTLLGYCAHGVEKLLVYEYVANESLYKLLFNPDSQSLLDWVYKLYKLDRTLEVVDAALASTVVPAHAELCIKIGLLCTQSDPHLRPTMRRVVVVLSQKPVTLEEPTKPGYVGATYRRNRRHNASSSTARANSSSQSFGSLTNSNTASLSATATTSGTATATVTEAARRSALTNSSRLADPRGKRPVED >KZM81713 pep chromosome:ASM162521v1:9:4767644:4769636:-1 gene:DCAR_029326 transcript:KZM81713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQVFVHLFWPILLFAISGVLAEKAEVAGEKCKTSRCSHHGPEIRFPFQLRDRQPEHCGLPGFRVSCHKGKTLLELQCTANTTLPGIQLSLSKELVIMFIDYTSQTIFLSESLSQNANLTLVSTPTSSHRAISPPPFDLSPRLIPCTFASCSSRAEGHQLHLTSLSGQAFPVYYFTEMVTRPDYTTSCTKLFNSYLPTFLLDDQSSFLTWSTPNCEKCEAKGEYCKLMKNSTGSNIQTVDYSTTCLSKRRRRSSVQPIAVIIPGATFIVLVLVVLLYYFVRSYRQKKYDQLKIEMFLTDYKAMKPTRYSYADIKKITSNFSNKLGQGGFGSVYKGQITSDIIVAVKVLNTDPKANGNDFINEVGTIGRIYHINVVRLVGYCADGCNRALVYEFQPNNSLEKFKYSAQNHNNFLGWEKMQEIALGIANGIEYLHQGCAQQILHFDIKPHNILLDHNFNPKISDFGLAKLCSRDQSIVSMTMARGTIGYIAPEVFSRNFGKVSSKSDVYSFGMLLLEMVGARNNNAVENTTDTYFPEWIYHHLEEGGEVAIQIENEEDSNIAKKLTIVGLWCIGWHPADRPSMKHVIHMLESPECPTMPPNPFGTPSIRSFGTDLEVISESNDQKL >KZM83006 pep chromosome:ASM162521v1:9:27583188:27583859:1 gene:DCAR_030575 transcript:KZM83006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLWKRKKKTQDKYVMVEFHVWMHCAGCERTVAKVISKIKGVETFTTDIIRHQVTIIGRINPEKVAKRIKKKTGKIADILSLKEYTEGFKNDEDSFLEEMIQKQFIDSLIIEYVGPSEAYLLFSDENANACSIM >KZM83012 pep chromosome:ASM162521v1:9:27658898:27659923:-1 gene:DCAR_030581 transcript:KZM83012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGFEGFEKRLELHFSGDDPITGLRQIEFETLEEILSAVQCTVVSSVGNHYFDSYVLSESSLFLYPTKIIIKTCGTTQLLKSIRPFLHYACTIGLSLTRCMYTRGNFIFPKSQPYPHTSFKEEVIYLEQTLPENLFYKKASVMPSKLSSHAWHVFSAVDQSYLIDNADDHDDQLFTLEVCMTELDCLLAKKFFRRHNDGKTSDAAGKEMTLMTGICDINPNAHICDFAFDPCGYSMNGLDGDRYSTIHVTPEDGFSYASFECVGSVYDDCRKISEVLKKVVQVFRPGTMSVSTTSTSHELWTRVATAVEQQGMKCRSCTVDEFPAAGSVVFQTFTSRRK >KZM82091 pep chromosome:ASM162521v1:9:11275445:11281111:-1 gene:DCAR_029704 transcript:KZM82091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAPSTLTLSYTIPQDFNSSSSPSLCFKSKPLFFNSPKTFTSHPLIVKCKDRKLSNWVAEKKSFVVSAVAEVDVEEVLDDGVVENGASSAPVAKPKKGKAALLLKRDRTRSKRFLEIQKLRETKKEYDMKTAITFLKEMAKTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKFDEAKSAGADLVGGEDLIQQIKEGFMDFDKLIATPDMMPKVASLGKILGPRGLMPNPKAGTVTTNIPQAINEFKKGKVEYRADKTGIVHLPFGKANFSEEDLLVNLLAAVKSVESNKPPGAKGVYWKSAHDVSKVLVSSEVHFPLWSPRMEILVLRR >KZM81947 pep chromosome:ASM162521v1:9:9012013:9012984:-1 gene:DCAR_029560 transcript:KZM81947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFNNSVHVTTPNTMPHAYSAQNDLINGASPNFNNAGGVANTSASRPGRPRGSRNKPRGEDSAPITVILKVPRGVDLIDWVVSYASSKKAHLTILCGSGNVLRADLTRMGSQAPPITFTEPLSLITMSGMFLFSGSKDGPLALFNVTLGRLSGDIVSGTAVSMITMDEVTLTATVFYNPEMLAVRATEEMAMESNYNLLSGRNLKWSVVLSFEPGTDVIKALVQFARYYSLNFSVLCCSGLVSEVDIGNSRSHPLSVDVLGNFQIISFSGTCNGRVANSLDDIQKSFVVSMVSQNNVLTNGTVVKSMKAASYVTVVALAKDA >KZM82803 pep chromosome:ASM162521v1:9:24586743:24587741:-1 gene:DCAR_030372 transcript:KZM82803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKTSQLPHKPVEDETLLYNQEFLEFLSGLPKERVIEKFESYQYQGFWYNPPLLHGIFNMQKHFQPCENDIFLVTAPKSGTTWLKALIYALMNREAHPPQSPHHPLLNKTPHQLVPFLEFINPSEYGSVCNSPDRTTRILATHCPLVSLPKSITDDHSPSSCKIVYLCRDVKDNFVSLFHFAQKNQWHISLEESFELYCKGFSGAGPVWDQISGYWKESLDRPHKVLFMRYEEMQNEPQFQLKRLAHFLGKPISEEDEKSGVVDQIINLCSFDTQSRLKVNNTGDLVCGLSNSSFFRKGVVGDWKNCLTIDMASKLDQITEEKFHAFGLSI >KZM83436 pep chromosome:ASM162521v1:9:31827379:31829901:1 gene:DCAR_031005 transcript:KZM83436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCGIFVIGFLAMASSVYGYGGGGGWTNAHATFYGGGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIKCVDDPRWCLPGSILITATNFCPPNNALPNNAGGWCNPPQQHFDLSQPVFQHIAQYRAGIVPVSYRRVPCRRRGGIRFQINGHSYFNLVLITNVGGAGDVHAVSIKGSRTGWQAMSRNWGQNWQSNNYLNGQSLSFKVTTSDGRTVVSNNVAPAGWSFGQTFSGAQFN >KZM82013 pep chromosome:ASM162521v1:9:9922746:9925169:1 gene:DCAR_029626 transcript:KZM82013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQNHDQNKNPNQNKNSNPNQNQSQNQKFNPKPHSNPNEEFDLRETAPRLGGGRFPGNDRVGTAFDLVEQMHYLFVRVVKAKELPKKHNDQFPDPYVELQLGNFRGHTKHFEKVCNPEWNQVFAILKDQIQATSIGFFVKDKNDNNKDHFIGNVCFDVCEVPKRVPPDSPLAPQWYRLDNKEGKRSQGELMLAVWMGTQADEAFPEAWHLDASAVSGDGVANIRSKVYLSPRLWYVRVNVIEAQSLRISDRNFRQLELFVKVELGKVVLRTKNSSSRTPLWNEDLMFVVAEPFEEPLVLSLVDRQSANKDEVLGKCFVSLQNVEKRVDLKFATSRWFNLEKHGTGDNGAKNEGKLNSKIHLRICLEGGYHVLDELTHYSSDFRATARQLWTPSIGVLELGILSAQGLSSMKHKGGNGSTDSYCVAKYGQKWIRTRTIMNTDSPKWNEQYTWEVFDPCTVITIGVFDNQHLQGVDKGGGAKDSKLGKVRIRLSTLESDRVYTHSYPLIVLEPSGVKKMGEIQLAVRFTCTSLVNLLQMYTQPLLPIMHYLYPLSMHQIDSLRHQATQIVSTRLSRAEPPLRKEVVEYMLDVGSTMWSVRKSKANYCRIIEVMTRFTAFCRLFNQICMWKSPFITVLVHILLLVLVYYPHMILSTMCFTIFMIGISKYRFRPRHPPHMDIKLSLAHITHGDELDEEFDTFPTSKQGEVLKMRYDRLRCIGSRIQVVIGDLATQGERVHNLLSWRDPRATSLFLILCFISAIVLYVTPCRVIAVLMGFYVLRHPRFRHKLPSTPLSFFRRLPARSDSLL >KZM82257 pep chromosome:ASM162521v1:9:14935767:14938989:-1 gene:DCAR_029859 transcript:KZM82257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGHRSIVIVLFSVLCMAFHACSDELDMLLQIKASLKDSDSRVLDTWRAEDSVCSFSGIMCNDKQKVQEINLSQENLAGTLPFDVICSLDSLEKLSLGSNFLSGKISDHLANCTKLTYLDLGNNSFSGEVPDLSSLTRLSFLSLNCSGVSGSFPWSSLRNLTALTFLSLGDNLFEKSPFPLEILNLDKLYWLYLTNSSIEGQIPEEIENLSLLENLELSDNFLFGKIPEGITKLAKLSQLELYDNNLSGNFPEGFGNLTTLRKLDVSNNSLEGDISVLKSLVNLESLQLFENKFSGEIPGEFGEFEFFQELSLYTNQFTGSLPQKIGSWSDFIYIDVSENLFTGLIPPDMCKKGSLTDLLLLQNNFTGGIPETYANCSSLTRVRVSKNSLSGSVPDGLWGLPNVNLMDLSMNQFEGSVTSNLREAKSLSQLFLSNNKFSGMLPVMMSDASSLVEIELGWNNFSGEIPSTLGELKNLSSLHLEANSFTGAIPESLGSCLSLNDISLSGNSLSSGIPYSLGSLPSLNSLNLSHNKLSGKVPLSLSSTRLSLLDLSNNQLSGPIPDALSVEVFSDGFLGNPGLCSNKRISGLKSCSSPGSTESAQLKIIVSIVIAGAFVAILSLATFLYVMKSKKNGQNCPMTRRDSWDMKHYHVLSFSEEEVVKALKQENLIGTGGSGNVYKVPLSCGKTLAVKHVWKSNSGGHKGFQMSSPMLGKGKSGSPEYDAEVEALSSIRHVNVVKLYCSITSEDSNLLVYEYMPNGSLWDQLHTCQKISMDWSVRYDIAVGAARGLEYLHHGYDRPVIHRDVKSSNILLDENMKPRIADFGLAKIVQPNGAKVAATQMIAGTYGYIAPEYAYTYKVDEKSDIYSFGVVLMELVTGKRPTEPEFGENKDIVQWVCDSMMRSDDSGIGLVDSTISEESKEDAARVLTIAIRCTMKVPNLRPSMRVVVQMLEEVEPFKLSEIVVSKDGENCKQ >KZM82260 pep chromosome:ASM162521v1:9:15085654:15090919:-1 gene:DCAR_029856 transcript:KZM82260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPTSTSRRFEDGGSMPFVGSLNPKSRPSPVVSIVLVVLGAFLIIGYLYSGSGGSAGKEALMKLEAGASCSSEVLKSIPLLKKVYGDSMHKILHVGPETCSVVSKLLKEDDTEAWGVEPYDLDDADAKCRSLVHKGIVRVADIKYSLPYSRNSFSLVIVSDALDYLSPKYLNKTLPELARVAADGIVVFSGYPGQRRAKVAELSKFGRPAKLRSSSWWIRYFVQISLAENESITKKFEQAATKKFVVLNNNLLRDFVSSVNVVNVGPVLGISCNIQGRTIHITENTLNTAIHQPTDNFEDVLDKPERISFFMGIHCIREATGALPSKIYFLRLLKIYAEEVVEPEPEPEPVIQEKNPEALDAEVQAEEVVVEEAEENSAVDQIPSEPEAEAEGEDSLQDNTTDSEDELVAPVQPSEVEADRGVEYDDLFTNTYLPELTSGMKNPHLVHLSFGTNDDWIQNLLELNPLTPLPAHASGFEIPQIHNPGITIQTLKEKTSQPSGHKRTISEREGDSAFTAPHKENVSDSAALPPSKARRIEPEASAYLSTSSLQQPNIIPTHREAAHTSEELMVAKTLSSMSGIDTAVLDPSRGQVP >KZM82417 pep chromosome:ASM162521v1:9:18596422:18600969:-1 gene:DCAR_029986 transcript:KZM82417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNGSPRTDTSTDGDTDDRAPGFNNGQMAVSDASERSRDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRMQLTQLEQELQRARQQGKYISSSGDAQSISANGALAFDVEYARWLEEHTRRANELRGAVSAHAGDAELRIIVDGLLTHYDDIYRIKSEAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKMLISKLEPLTEQQLLAITNLQQSSQQAEDALSQGMEALQQSLADTLAGSQTPINSPGNVANYMGQMAMAMGKLGTLENFIRQADNLRQQTFQQLHRILTTRQSARALIAVNDYSSRLRALSSLWLARPRE >KZM82241 pep chromosome:ASM162521v1:9:14429783:14430411:-1 gene:DCAR_029875 transcript:KZM82241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCLVLLSSRACNARSFGAQLSCKEDLDCIMNKSALSAGQPIISKQVEEAEENSMEKLSTERSNMDEKRRECDPPKTHPPSLPLLESYVEVESYVEVESHHSVPWCVPRKRIRVKRALFNLDYNPPTTHPPIHN >KZM83394 pep chromosome:ASM162521v1:9:31446844:31449337:-1 gene:DCAR_030963 transcript:KZM83394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHSCPRSNHEMMIGAVFLWAFWVASVVNGIGANWGTQATHPLELSTTVKLLKDNGFQKVKLFDADSVTLSALKGSGLEVMVGIPNEMLYSLANSVSAAENWVAKNVSTHISSNSVNIRYVAVGNEPFLAAFNGTFLDTTLPALQNIQAALIKAGLANQVKVTVPLNADVYQSSTDMPSTGDFRPDIHDLMLKIVKFLSDNLGPFTVNIYPFISLYNDANFPVDYAFFDGYPSPITDNGLVYDNVFDANYDTLVWALQKNGYGNMSIIVGEIGWPTDGNGNANLKYAQRFNQGFMSHMTKGTPMKPGPIDAYLFSLIDEDAKSIQPGNFERHWGIFYFDGTPKYDLKLGTAGKGLVPATNVQYLSKKWCVMSSSASLDDSGIAASVSYACENADCTSLEYGSSCANLDERGNISYAFNKYYQQQNQLETACKFPNLSVVTTSDPSTPECKFEIMILPISTNKKVSSGASKKPIGVLMFVFVLLLAAS >KZM82795 pep chromosome:ASM162521v1:9:24438888:24440919:-1 gene:DCAR_030364 transcript:KZM82795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLDMKGCRNLKLLPEQLGELKGLKRLDASYTAIEQLPDSIAHLKKLVHLDLRGCRNLKLLPQQLGDLKGLKILDASFTAIEQLPDSIAHLKKLVYLYLSNCEKLRKLPEQFGNMESLEKFDAANSAIEQLPDSFSDLLNLVHLNLRGSSQLKRLPEQHLRCDGSDNRTLTETLEDRRASQGAVSTTLSLLEFL >KZM83077 pep chromosome:ASM162521v1:9:28550381:28551895:1 gene:DCAR_030646 transcript:KZM83077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVIWPRLLTPTYLSQLIRKQKSPVKALQIFNEAKSKYPNYHHNGPVYATMISILGSSGRITDMRKVIDQMKADSCQCKDTVFATAINTYAQAGLLDEAITLFRNLPKFNCVNWTESFNTLLGILINESKLETAHRLFLEHSYGWEVKSRTRSLTLLIKALCQSGRSDLAVHVFLEFNSQCCYPGKETYRILMRGLCDDGRLDDATHLLYSMFWRISQKGSGEDVVIYRTLLHAFVERGHIEEAVELLGKILRKGLKAPKRCLKQLDLSSFHNCDDKGAKYLIDDALIKGGISSSDSYNVMAVDLYSEGNIGTANRVIQEMHYRGFRPTLLIYEAKIAALCRHGTVDEAIEVIEKEMVNDNCLPTIKLFNTVMKGLCDEGRSVMAIGYLKKIFRQVGCVPDKDTYSILVDGLCAEAKYIEAGQILEEMLKNSYSPGENTYNSLIQGLCSMGRPFEAIMWLEEMVSQAKMPNVSVWKSLVASVCAEKFSTTTVSAVLEPISKTIN >KZM82928 pep chromosome:ASM162521v1:9:25945441:25945656:1 gene:DCAR_030497 transcript:KZM82928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVMKLQFVRVQIPKTLKILQFHIHESFDSSALARARQIQEEHAEIEIFDAKSSLFTLTLDIDKRHYTGY >KZM81475 pep chromosome:ASM162521v1:9:1632059:1642597:-1 gene:DCAR_029088 transcript:KZM81475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSKRALSSNASTKSTNKKNKTNQKTLGVAWSAAGSRASTFKSSPFSDTASYMAVKNQKLHEQFDAAASTTFQGELSSGKPIFHGVSIFVDGFTIPSSQELRGYMLKHGGRFENYFSRHRVTHIICSNLPDSKIKNLRSFSAGLPVLKPTWVLDSVAANKLLSWHSYQLDQIATETQGQPKLSAFFPLKRSPFSCNYATNAIGQPLSKIEDQTPKLDAVVTNNSFGEGETRKETEQCDVLSDIQLKVDSAVNKNSYGESQFLEEITHANIETDIPLNESLIKAKDEEIGCNDVKLCEPSTSEPGDATSDSILAENELKFISPGEPSTSHSTYSTDKHNISESSNSRIVMSSSHSTLEDPNFVENYFKHSRLHFIGTWRNRYRKLFPATYSGTKGTNSSLHTRLTDEQATVIHVDMDCFFVSVVIRNNPELQNKPVAVCHSDNPRGTAEISSANYPARAYGVRAGIFVRDAKSMCPDLVIVPYNFEAYEEVADQFYGILHKHCNKVQIEDHQCLASIIRKEVFDTTGCKASVGIAGNMLMARLATRNAKPDGQCYIPADKVEEYLNELPIKALPGIGHVLEDKLKRREVKTCKQLRLISKESLQKEFGLKTGDMLWNYSRGIDNRSVGAFQESKSIGAEVNWGVRFNNLEHCQYFLLNLCKEVSLRLQGAGVQGRTFTLKVKKRKTDAGDPVKYMGCGDCENLSHSLTVPMATDDVDGLHRIAIKLFDLFHIDVKEIRGMGLQVSKLENADSGHQGNQKNFLRSWLISASSTNRDQCEVKSIAEGSCERENQNADGNLGQQSCTNMIVGSDILSSEGHVNRDSVLPPLCDLDMGVIENLPPDVFSELNNRYSGKLISFMSKRKGKLAESNIGGMASEERGTSVPSVVPLRPCKAEKTSTVKEVSVTAASGMVAGNNGSSFSRNIDVMPYSLSQIDVSVLHQLPEEMKAEFLELLPAHRKQECNSDPVPAESCPQQSSDSEYTHNLSGSRGSISANEYWLGNPPKWVENFKMSSCLVLNIFADNYYKSGSAGKLSSILQRARSNFVPSLGLTPDGLDDAILCLCNLFKQYIEVKIETDIEEIYLCFRLLKRFNCNVDDLYYTILYYVFGSLDVREQYLPVIEVDIDL >KZM82720 pep chromosome:ASM162521v1:9:22965658:22966581:1 gene:DCAR_030289 transcript:KZM82720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTKSKEARTVRDWESVEEESHLAISSGADSSPQIYALKAEASLNLRKHQEAYTIIQKGPNYDTNLCIQFLGATGCSDLLTTKAQVYMAASRFEEAVAAAQCAAKLDPTEEAKATAERALALASPRLEGNQLFKALRFSDALKVYTEGLQHQALNSILLCNRHQHTCQQIV >KZM81489 pep chromosome:ASM162521v1:9:1767401:1768561:-1 gene:DCAR_029102 transcript:KZM81489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHMWDVPAVGYYKINVHCEISTVPSAVGNSVAAGVIIRDRGGEKAWGAQGPMNHLTEEQAIMAGIQAAVVHAKEKEWELTHIETTHRGIFELISDQDQFIIPEELLEAFRLFNSVHANHQVQEAQDPIVRKISLIPDHMNSVAIYLADYGLHHSHDLVELPGKTTVGNLQYLLDRDMGLVFAEPGIELVENMGLGEVIDDAPPTPPPNRKRKAEALCIECGSVGMHIPARAFRASEVPSVALSHPAFAHARKGKAKDYETYSFYDNGAFTRRAIEVLNSGALLQFHPSFGESELNLEAHVMNGFCVKDILHHACLDTLGMVQFMLEDSFAQAPADGDHDSDLMPYDQVEAALDFDDAVVPVLVEAGMPGASVAVIKEPGFGASCS >KZM81904 pep chromosome:ASM162521v1:9:8247265:8247516:-1 gene:DCAR_029517 transcript:KZM81904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHFPGSKRAIVPKGHFAIYVGEIERKRFIVPLSYLKHHAFQKLLREAEDEFGFDQPMGCLTIPCNEETFVNVTCSLSSYKS >KZM82459 pep chromosome:ASM162521v1:9:19689720:19697507:1 gene:DCAR_030028 transcript:KZM82459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTHSHVFTHFSFTATRASHLSSRRYIRVSDRDSSRSFVISNVLSNPHQKLQQSSSQDGIGDALDRFDPDAASVSSSIKYHAEMTPSFAPEKFELPKAFYATAESVRDMLIVNWNATYKYYEKINVKQAYYLSMEFLQGRALLNSIGNLELSGAYAEALTKLGHKLEDVAKQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQLITKDGQEEVAESWLEMGSPWEIVRNDVSYPVKFYGEVISGPDGKKEWVGGEDITVVAYDVPIPGYKTKTTINLRLWSTKVASSEFDLKAYNAGEHAKAYEALKKAEKICYVLYPGDESHEGKTLRLKQQYTLCSASLQDIIAQFERRSGEAINWNNFAEKVAVQMNDTHPTLCIPELIRILMDLKGLSWTEAWNITQRTVAYTNHTVLPEALEKWSLNLLKELLPRHIEIIELIDKELIDTIIYKYGTEDLELLQEKFKEMRILDNIELPSSILELLVKPEESTIITLEADGEISEVEPEGQDSNISDTDKKIKVTFEPDLQLPKLVRMANLCVVSGHAVNGVAEIHSEIVKKEVFNEFYKLWPEKFQNKTNGVTPRRWIRFCNPELSKIITKWIGTEDWVLNTDKLAELRKVKEIKSLQFADNDKLQSEWKEAKRLAKEIVVSFIKDKTGYVVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSPEERVLKYVPRVCIFGGKAFATYVQAKRIVKFITDVGATINHDAEIGDLLKVVFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFAMNGCLLIGTLDGANVEIREEVGDENFFLFGAEAHEIADLRKERADGKFVPDPRFEEVKAFVRSGVFGANYDELMGSLEGNEGYGRADYFLVGKDFPSYVECQEQVDEAYRDQKKWTKMSILNTAGSFKFSSDRTIHQYAKDIWKIEPVALQ >KZM83195 pep chromosome:ASM162521v1:9:29520201:29523587:1 gene:DCAR_030764 transcript:KZM83195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVVEQVENAKKEWEETYNKAQQHINSIHDFGKSRTEKSSSSLPRLNALAQDELGLLNSLVFKLDLLAPQLPSHLQVESALSLLKSWKDQIQRLRLNLRNANLQAKENMRKSAKEERELLLGGGEESTIRRRNLQTKAGMTSAAETITDSLRRTRQLMVQEVERSGSTLMAFEESTGVLKKAESEYKGHRSLLMRTRNLLSTMQRQDVLDRVILALGFLFFSLAVLYVVSKRIGILKLQRTVTAAIRSGMAGQANILQRPGGDGLQPPHVHRNAVPDPGIEVALGQPMHDEL >KZM81391 pep chromosome:ASM162521v1:9:651363:652382:1 gene:DCAR_029004 transcript:KZM81391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIVATAFLLITYSRLLFRKFLHLRAKFRRYRRRRRLITSYNPSSAGDIAAESPPYISDHIENYQIFSPYGLDDSTIKTIPLTIYTRKSISHECAVCLLEFEESEYIRTLPVCNHTFHVDCIDIWLRSHANCPLCRTGIFRPSSPFIPVMASRIRPSLDEIILESTILETLTETPPHYDTTADEITVDLSEDRLIRRNFLLKRSYSFGFERNLGSERLLNEPAMTSPWRYRRSGGFWSTKRPSPFSTLTKHRVFSLRYYRGMKSPFFRQKGVSGLSGGSFPMSESRYGCGSSRRRKSFASPMFIRSSERRTVLSSSSESRLRSGDPEALLSPDRYNRR >KZM83468 pep chromosome:ASM162521v1:9:32207997:32209658:1 gene:DCAR_031037 transcript:KZM83468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLKTISTIPSVTNEDLLFTILGASKAISKSTTSTLTSGATTMTSSSWNSSIESLPTPRSESEILSSSQLKAFSYNELKNATRNFRPDSLLGEGGFGYVFKGWIDEHTLTATKPGSGMIIAVKKLKPEGFQGHKEWLAEVNYLGQLHHPNLVKLIGHCLEGDNQLLVYEFMPKGSLENHLFRRGPTPLSWEIRIKVAIDAARGLSFLHDAKEQVIYRDLKAANILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTQGYAAPEYVATGRLTAKSDVYGFGVVLLELLTGRRAVDKSKVVVEQNLVDWALPYLSDKRKLFRIMDTKLEGQYPQKAAFAAATLALQCLNADFKSRPEMSEVVAALEDLQLSKSAAKKHSSKNQTGPDPARKSHLSHNRSPLNLTPSASPLRSHQSPYRRG >KZM81366 pep chromosome:ASM162521v1:9:380734:381089:1 gene:DCAR_028979 transcript:KZM81366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTCPSYFAKNSCKPEPCNVSSSGKSSKNAGGNAAAIFSVEIERYQKSADCSDPKMSTSASSQAAANIALVGIVCMDFWEDFVKLKQM >KZM82332 pep chromosome:ASM162521v1:9:17303210:17303853:-1 gene:DCAR_029830 transcript:KZM82332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPNSHLCCIAIFISLLAVSSCEQSALTGQKDVVIPPQTWCVAADGATIDKLQGFIDFSCGGKIDCLLIQPGQACYEPNNPRAHASWCLDAYYRTYKTCDTLGTIVYIDPSYGDCLYI >KZM82001 pep chromosome:ASM162521v1:9:9733975:9737239:1 gene:DCAR_029614 transcript:KZM82001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFPYQMISNLRPSTTISWRLEIRVTRIWRSIDRYGLPLAVNMIFVDELAGRIHARIPAENINTLETLFTEGETYHVRHFVVWQYGPIHTDRCFRKDVFIQLYHMTEIFPAGVVENIPQNVFHFTELSGIINAAMEDNYLIDRMSDLMLSYTDVVGIMEQVHPLITYKNKYNQQKSSINFTMNDLTGTAEVTFHNEMAESFQQGVNDAQQHPIIVIIASYKSNFIEGEPKLSNLSPTRFFINYNHEAVEELRNAIRNVLFYAQDQPQSLNFIPPHVFAFTELSNLMEVAMEPKFLIDVVGVLQRVQPMTSFTNKRHQQKSCIRFSITDMFTSIEVSFYDELAESFEQGLRDATRHPIIVIISSCKAQRFRGELELTNLPATRFFINLDTEAVHDLRDAFRFAILHTYLKDIPIPNN >KZM82580 pep chromosome:ASM162521v1:9:21517930:21518214:1 gene:DCAR_030149 transcript:KZM82580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKRKQGESSSKETKETTGETTVTDGEVEEFYAILRRMKVAVTYLKKDDSKGVLNNAFELEDFERVRGIEELRGGEKRMEFDLNVEPDDEAA >KZM83416 pep chromosome:ASM162521v1:9:31601760:31606505:1 gene:DCAR_030985 transcript:KZM83416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRYDLHSEFEFTVGYHDDLATHVDFSSETCQVITAGLDRKIKLWDIRSAEALKSLNSIPIEVDSLSLSGVTLLVAAGPSVYIYDLRKFDKSTGTQKTCMDSRITCVRPSIDFEEFVVGSIDGRVAVKSPSTFDGYTFRCHPKQKNGRTYTVRVNDIAFLPSISGAFVTGDNKGYVILWNARSKKRLLEFQRYPNGIASLSINCGGQLLAVASSYTYQEANEMTESDHSPRNKWLEHIDYIAPSVESIASQTYYIYVKIPSHSSFLLLSGP >KZM82475 pep chromosome:ASM162521v1:9:19894647:19897206:-1 gene:DCAR_030044 transcript:KZM82475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSNETKKTSKEMENLSLDNTAPRDFLQRFSGVSNESEKKIDSSSDAIELNLGLSLGGRFGVDRSTSSTNSNRLVRSSSVAGVIPLVRDEPEVLTPPATAPAPYSGLVRTSSLPVETEEQWRKRKEMQSLRRMEAKRRRSEKQRGRGGEEDRRGGQRQLYVEAMSKVGSSAAPQFGLPSWAVAAKQTILGGGIEGVIARGRGNSGGSGQELARGGSSSVSDMESSKAPQGASSCGDISPASIQSARRSQDSEGSLGKKEGENAGRPPRAELENPNKRMNAASSGTKDSGMNSLEDMPCVFTKGDGPNGRRIEGILYKYGNGEEVRIMCVCHGDFYSPAGFVKHAGGTDIAHPLKHIVVNPGSS >KZM83339 pep chromosome:ASM162521v1:9:31006955:31020802:-1 gene:DCAR_030908 transcript:KZM83339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:IPPI-2 description:isopentenyl-diphosphate Delta-isomerase I-like MGEHSEQQQPSEQEEHFDVLDKMGHKTGFTKPRRAVHRDGDYHRAVHVWIFAESTQELLLQRRSDCKDSWPGLWDISSAGHISAGDSSLVTARRELQEELGVVLPKDAFEKLFIFLQESVTNGGTFINNEYNDVYLVTTLEPIPLEAFTLQVSEVSAVKYISFEEYRSKLANEDPQYVPIDVNGPYNQLFDILARRYKGNVEKRSMDLQKKLSRYAPVSLKAELTGLTDADKGALEYIIKAARIMDDIFHLQTWYSNPALRDWLKKNADVSDLDKLKWRYFLINKYPWSCIDENEAFLTTADSAIKLLSEATKPVTGWKGLKYRSAFPAEKSPCANFYPSDMDKREFELWKDSLTVDQRLEAIGFFSVIKRQSELDLDSTKSINASDNSTHHHDLYVVPYSQEYKTFLDKAAELLRKAGDMTSSPSLKRMLHGKADAFYTNDYYESDIAWMELDSKLDITIGPYETYEDAIFGYKATFEAYIGVRDDKATAQLKLFGDHLQVLEKNLPLDDVYKSKDVFAAPIRVVQLLYNAGDVKGPQTVAFNLPNDERIVKDRGSSMVMLKNVSEAKFKLILRPIADVCISKEQQHHVDFDSFFTHIICHECCHGIGPHSITLPSGRKSTVRLELQEVHLPLEEAKADIVGLWALKFLIDKDVLPSSMIKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLFEKGAIVLHDDATFSINFDKVEGAVESLSRTILTVQAKGDKDGASKLLKSYCEMTPPLKIALKKLEMVQVPIDLAPEFPIAEKILHTSC >KZM83403 pep chromosome:ASM162521v1:9:31511550:31522821:1 gene:DCAR_030972 transcript:KZM83403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAADVVAAAPPPEKPEEMNEEDDKVSANELLLIKAQRLMDKITSSPNNPSPSVLHALASILEAQESRSIHTPSLETVFVNVVLFCYCENSSSVTRYMEHTFDSTVNNVRAPHTIGRLGNLIRDNDDFFELISSKFLSEPRYSISVQAAALRILFSCSLTWMHPHVFEENVLENIHGWIVSDASKSSAEDHNSNNVHGLQKSSEAEMLRTYSTGVLATCLAGGAQIVEDVLKSGLSAKLIRYLRIRTLGEPNRKDVNHSVERKLASSTSVRGKEEARNRFRYVSENFQLNAPRMIDGVTGNQIEEKDSDRNFTRPSYKHRNDGGESPDKLADDDDFAADAEGCEGWHIQDLRERYGKSGDRHGHGRPLREEDFDNGGQDSSERRVSHGSVKLRGRGKINGYVDAKHGLASPGTVIGHECRTMDVTTTTDQNLRSIIDVEDNDGHATDREDYDDCFQECKIGSKDISELVKKAVRAAEAEARAAAAPAEAIRAAGDSAAEVVKTAALEAFKETNDEGAAVLAAANAATTVIDAGNAIEISRALSAVDSNPISQVTEQKKYDETEEFYIPDSSSLENLRERFCIQCLVILGEYVEVLGPILHEKGVDVCIALLQRSSRPEEPKVVLLLSDVLKLISTLAAHRKFAAVFVDRGGMQKLLNVPRVAQTFLGLSSCLFTIGSLQVIMERVCALPSDVLYRVMELALQLLECPQDLARKDAALFFAAAFVFKAVLDCFDAQDGLHRLLNLLHNAASVRSGVRGTFASGGSHNSDQSPPLEVLTSSEKQVAYHTCVALRQYFRAHLLLLVDSIRPNKSNRSVARNVPSVRSAYKPLDISNEAMDATFHQMQKDRKLGPAFVRAHWPAVDKFLALNGHVTMLELCQAPPVERYLHDLIQYALGVLHIVTLVPYSRKLIVNAALSNDRVGIAVILDAANGAGHVEPQIIQPALSVLVNLVCPPPSISNKPTLPSNGQQSIIQSLNGPIDGRDRRAERNIPDPTIPNQNETREQTSDSVLEKRISSASLSTTSASNLSLTPVSAVPSGLVGDRRISLGVGAGCAGLAAQLEQVFHQAREAVRANNGIKVLLQLLQPRVLTPPGALNCLRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDSGGQSSASEQGRWQAELAHVAVELIAVVTNSGRASSLAATDAATPTLRRIERAAIAAATPISYHPRELLSLIHEHLQASGLATTATTLLKEAQLMPLPSLAAPSSLSHQGSGQEIPSVHVHWPSRNTSCTFYSDKLKSASLDEDFSIKLDSSVSSSKKRSLVFASTLGLQLKYYDSCPASKGNSTMKNLCVPEGTSETPSLISAIKPSGDADNSFKTPNTLLMKRKLSKTVETASFTSRKRVKISDNGIQNADYMTPGSVRRSSLLAESNAFTTPRVLKDSHGRLISGGLLSENLEDISNSAQATPSVHRGLLNDPQSVSTERLTLNSIVTQYLKNQHRHCPAPITTLPPLSLLHPHVCPESRQSIDAPSNVTSRLTTREYRSKYGGIHGSRRDHQFVYSRFRPWRTCRDDSGAMLSCMTFLGDSSQIATGCDSGELKIFDSDNSNILECFTSQHALTALQSHLFEGTQLILSSSSHDVQLWDASSVSIGPRHSFDECKGGKLSNSGNSFAALSLESTRREILLYDIQTCKLDSKLTNRNSSSSGRSHVYSLIHFSPSDTMLLWNGVLWDLREPHPVHHFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVPSLDQTVLSFNGSGDVIYATLRRNLDDLTAALQPRRVKHPLYSAFRTVDAVNYSDIATIPVDRCVIDFATEPTDSIVGLVTMDDPAEMYSSARVFEIGRRRPTDDDSDPDDAETDEEDEDDDEDLDVNQLLEIVGNGGSDMDDMSDDDDAPSELEDDEDEDQDEDDGVFMIDDQDEDGGMLEFVMEGDDSQVDESSSSDTDDDDSEGSF >KZM82261 pep chromosome:ASM162521v1:9:15092701:15093239:1 gene:DCAR_029855 transcript:KZM82261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEVFKLTLESLKNNVKTNSKDNYYRLNGFPYAFRVRFNECCPYLNEKYCQRSGGNIPCSLNWINDYLGRFEEFYTTLSLSSEKLELRELVATSKEIEKYRLDVIVARTNDVNKVTRIACLETSDDDFVDLVPRSTNRVAKSSDDLMKKFE >KZM83246 pep chromosome:ASM162521v1:9:29984589:29985695:-1 gene:DCAR_030815 transcript:KZM83246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKNVCNAPLSLQSIPKEMLKEILLLIPVKCLLNLRCVNKSFCSLVNTHLKRQILFLPCSKEQLADNGMRIISPDAGCGSVEKLVPKTSFFNEFIDSAKIYGYCNGLVCLILDMDRLGLWNPSSNEFKILPRTGNPFHGGVFYGMGNYDSHIDDYKLVRAAASRFKDDGELVYIDSPIKFEVFTGRSNSWRRIEDIGTTQCYLQKQAVHLCGSLYWLKQITTLDDAYVLSQCLVILCFDLADEKVREVRLPNEEFLILAWSRLGVLGGRLCVCSYEETDVKIRMIDFNEKASWSKVISVIKPTGSSFAIPICISRKWEVITLADGRDLVIYDSHGNSYRKIAVGDGQNLYNAAFYVESLVRLGPRLS >KZM81762 pep chromosome:ASM162521v1:9:5745857:5751725:-1 gene:DCAR_029375 transcript:KZM81762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITNNLKPTISSQITSFGSSSIKTTPPTPVKFSRNALFSSTILSMPVNDHSPVGIFTRNRMVPRVSGIWDAVTGGNSGREALLAIRRGMQLFREGDVLGSVVEFDKAIQLDARQKAYLWQRGLSLYYLDRFEEGAEQFRIDVAQNPNDTEESIWCFLCEAQLYGVDEARKKYLEVGRDPRPVMREAYNMFKDGGDPEKLVKEFSSGRESEYFYASLYAGLYYECQGIPDGAKRHIVAACESPYGQKSDDYMAALAKVHTQCRNWNQKA >KZM83022 pep chromosome:ASM162521v1:9:27746645:27755084:1 gene:DCAR_030591 transcript:KZM83022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNLSPAIWESVLELTKTAEANQSDPTMWAIEVSTTLNSAGVALPSQELATLLVSHIFWDHNVSITWKFLEKALSFRIVPHVLLFALLSTRVIPNRRSQPAAFRLYMELLKRHVTELTSDIKSPNNQTIISTVDKVLQLSKLFDVPATEPGVFIVEFTFSIVCQLLEASIDDEGLLGFTPEKKFKWISEPHCMDLDSHDSFGVEKNAKHHKRLQSANTVMAIDLIGQFLQNKVTCTIIHLARKSMPANWKGFTQCIQVLVMKSSALRKSQVLTPEALIQLTSDTRKVTYQKRKTLCMENLHEVTTYGPLDSSAGLCHGTSYSSLWLPLDLVLEDAMDLSQVHVTSAIEILTGSLKTLRAFNGTTWKDSFLGLWMAALRLVQRERNPIEGPVPHLGTRLSMLLSIVPLVLAHLIKEEEIALDAQMDCSIGKEQFRGKRSRDLVFCLQSLGDYQALLNPPESVVSAANQAAAKAMMFVSGIKVEETTINCSGNLHHLVVEACITRNLLDTSAYLWPGYVKGPSEQICLSVPAQASGWSSFMKGSPLTPTVINALVSSPASSLTELEKIYEIAVQGSDDDKITAATILCGASLVWGWNIQEHTVNFITRLLCPPVTTNYSGNESHLIRYAPMLNVILLAISSVDCIQIFFLHGVVPQLAGSLMPICEVFGSCLANVTWTLTTGEEISAYAIFSNAFAVLLMLWRFNSIPLEHGVGDVPRVGSQLTPEYLLILRNSHIVSSKSIWNDKNNRTPATDASSLLSQPVYVASFPKLKVWYRQHLACIASPLSGLIHGTPVHQIVDELLCMMFKKITGGKQPVTSGCSASSVTGEGTCSGPNFAAWDVLEAVPYVVDAALTACSHGRLSPRELCTGLKNLADFLPASLATIVSYFSAEVSRGVWKDVMMNGVDWPSPAANLSTVEEQIKKILAATGVDIHSLDAGRNSPATLPLPLAAFVSLTITYKIDKASQHFLNLAGPALESLAAGCPWPCMPIVASLWAQKARRWSDFLVFSASRTVFLHNKDAVVQLLRSCFTATLDLKGTAISSNGGVGALLGHGFGYNSDGEIKPVAPGILYLRIYRSIRDIMSMREEIVAALMQSVSDIVCNGLPKHLRKPKKAKFGMRYGQISLATALTKVKVAASLAACLIWFGGLALVKSLVKETLPSWFICVHRAPHEEDSGIVATLSGYALAYFSLLCGSLAFGMDSSSSTSKHRRKILQYHLEFIACAFDGKITVGCHPATWHAYVTGFVSLMVRCGPTWLFEVDAEVLKRVSNGLRHWNEELALALLVAGGVGTMGAAAELITQTQT >KZM83369 pep chromosome:ASM162521v1:9:31223095:31226838:-1 gene:DCAR_030938 transcript:KZM83369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQGQTYTLDEALETVGFGKFQIFVLGYAGMGLIAEAMEMMLLSFVGPAVQSAWGLSPRKESYITSVVFAGMLVGAYTWEAYSLRLKVPKQFWKGFLITAMVTAGAGFLSAFAPNYICLISLRCLVGLGLGGGPVLSSWFLEFIPSSTRGTWMVVFSTFWTLGTILEASLAWFVMPSLGWRWLLALSSLPTSLLLVFYVLTPESPRYLCLQGRRDEALVILKKIAKLNQTKLPPGILVLDQQIELQETSSEAEDKHLLTPKTMESASNDESSKMEKKTESSVLTLLSPELLRSTLLLWVVFFGNAFSYYGLVLLTTELHSEHSTCKQTQLKSNDSQDVNYRDVFITSFAEFPGLLVAAATVDRMGRKFSMSAMFFLCCTFLLPLVFNQPQGITTGLLFGARICITATFTVVYIYAPEIYPTAVRTTGVGVASSVGRIGGMLCPLVAVGLIHGCHQTSAILLFEAVIFLSGVCVLLFPFETSGRELTDCVPPEKGSTQPT >KZM83231 pep chromosome:ASM162521v1:9:29804295:29808341:-1 gene:DCAR_030800 transcript:KZM83231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGSIFLIEIIVFWGFLSVQGHIKTTLEGPFKPVTIPLDETFRGNAIDLPDDDPRVVRIVNGFQPEQISLSLSSTFDSVWVSWVTGEYQIGKDIKPLDPESVASVVRYGEESSSLNYEAKGNSLIYSQLYPFEGLNNYTSGIIHHVRLTGLDPDTKYYYQCGDPSIEAMSKIFSFRTMPVSGPTSYPKKIAVLGDLGLTYNSTSTVDHIKSHSPDLVILVGDVTYANLYLTNGTGSDCYSCSFPDTPIHETYQPRWDYWGRYMQPLLSKVPIMVVEGNHEIEEQVHNKTFEAYSSRFAFPSEESGSKSTFYYSFDAGGIHFVMLGAYTAYDKSAEQYKWLESDLAEVNRKVTPWLVAVWHPPWYSTYVAHYREVDCMRVEMEELLYKSRVDIVFNGHVHAYERSNRVYNYNLDPCGPIHVTIGDGGNREKMAILHADEPGNCPEPSTTPDDFIGGFCAYNFTSGPAAGKFCWDQQPEYSAYRESSFGHGILEVKNDTHALWTWHRNQDMYYEAGDQIYIVRQPDECPVEEKETKLWDSSR >KZM81848 pep chromosome:ASM162521v1:9:6819884:6827276:-1 gene:DCAR_029461 transcript:KZM81848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTFKSLVLLFIFFVPLYCNATDDLGIEISQKIIAAPLKNTSVIDGSGLEGSYISESSREELGNVKGASSRVSVSTVALFTLAMAAATGLGAIPFFFVELDSQWEGICNGMAAGVMLAASFDLIQEGRDHGDSGSLVVTGILAGAIFIWLCKKMLEQYGEVSMLDIKGADAAKVILVVGIMTLHSFGEGSGVGVSFAGSKGVTQGLLITLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFIFADTFNKFLPFCTGFAAGCMIWMVIAEVLPDAFKESSPSQVASAATISVAFMEALGAVFKNVSHNYNPEDTSAFLVSLLFGLGPLLGGNLLVAFALAFRLQHTLLTGLASGIAIVLGAWRPLQLLLSSKMGFFPLIFLLVLGSAFVHVSFSSLLKVTSQKRSSANTLSAAAGFSVSTLTLQSILSCAAVALHAMAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIYGATDSWYGSLAAAALIGFVGPSSAIGAILAGLDNYGGLDHLMVFACGGLFPCYISMFRRASRLDSRKSAMGLLTGIGFASVCLTFTKLVCLHTPYCNSAPEAVR >KZM82195 pep chromosome:ASM162521v1:9:13459923:13461160:1 gene:DCAR_029764 transcript:KZM82195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALESSVLLKKQVFEYFASYRCPDGKVFMKPPDREGINALKEAKDEVFATKEEEARLKNTLEEAESEMHYLKEVIREAKAESMKLQESLTDKEKELRSVIQENKELQNTESASVIKAHLVGAGVETCFEGVLYDRR >KZM82293 pep chromosome:ASM162521v1:9:16278998:16280857:1 gene:DCAR_029791 transcript:KZM82293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKITNSDVMSSLKATVLYLKTFHTAHAQTSKSVDGLRTEVANFKETQNMDKKRHLLPLKEDMKKLVSANQSLEQRMSNIESTQETMSKQLEAIQSSLSLITSVLIPDEDDVKKGERVAKVKCKSTSQTLKRKKNDDDDDVDDFTKNKRFQAATGGRVSNSDSAKQSKQISKSAPAPTHNVTSGSKQRPVAGSDKPMTDEEYARLIFEQENPEAKLDLELIAAEEAELKKEYVEAINSGKIQKPAKSTARPKEKGIMIKETTIADQSLPIKKVYSEDEYTSKGKSIVDDRLEKGWIQKKPTTSDRDQVVKGKKSEAAISDKAHIAESQEEKLTSDTAQVNEEAKKDTTSDKAQVVFKPTITPLSGFVKPSLMTEIKFDKGSIQPISHQKAGRNKGGLGSKYEVFEQSIASMPNDPSSLCAPKTGALQERMDKLDSVQLVKNDRGDNVLIYFMSDGTVFRVIEADLYAKHWEELRYVQYIFQVKNKSCQHISNLIKDQIKRKMGITGNKNAGPFIPKYFNDQGQLVEMKKNSAKIETVAGISTLTFNEESDKTYYIRLDKDMRRNKIYNLRAAIYQTGVSDPELREIKRQMITALAEAERELLKEYLKTAHGVYEAKE >KZM82061 pep chromosome:ASM162521v1:9:10588346:10589332:1 gene:DCAR_029674 transcript:KZM82061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLPAFKESNPQLEVETKHIGGQHPHLEGIYRNKNERTVCVRNMTPEEVLECATKLRNSLGRKVVKLKTRHVTKHPSVQGTWTTALKL >KZM82453 pep chromosome:ASM162521v1:9:19594326:19601388:1 gene:DCAR_030022 transcript:KZM82453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSAKEDLEAQNNQKPLDRVVSHRNFTIKNQKSLERVVSQRAFQMSNSYPCQLCVLGFLCGVCITTVFLAAVTSFGTLEFGSLSISTFPAGPLSSNFGSRVGDVAQKVSTLDVEKKVYSEKNSELTIDERVSFMYSSWSTFLNKSIEDLELLNSNGLGLPNPPNPKAPHLENCKLNAEVNQQLDKRMENELLPPWTIWKGTLQNYLLSSAEEQLRNYNYRPIPGASYPPWITGSDEENYPLTRKVQSDIWFRQHPSNCSDPSVKFLVADWERLPGFGIGAQFAGMCGLLAIAINERRVLVTNYYNRADHDGCRGSSRSSWSCYFFPETSQECRDRAFQLMKEKKAWEKGMITTKENYTSKDIWTGRIPAIRYLMRFKSEYTCNLLNVARHAAFGLEAAKLVLSSRSENYSTLCRQQLSHTSSHVVYVAALSDYPVQNLPSCFLLFPTPKWPPPYPVQSLPSCYRSRMGLLLPKEKAVKNIETVSDGHRADIEDFVWSNHKPWMPRPLLSMHVRMGDKACEMKVVEFEEYMRLAHRIRNRFPHLNSIWLSTEMQEVVDKSRSYPHWKFYYTNVTRQVGNMTMATYEASLGRQISTNYPLVNFLMAAESDFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >KZM82724 pep chromosome:ASM162521v1:9:22990336:23002172:-1 gene:DCAR_030293 transcript:KZM82724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRRRNLSFELLSTGLDDNDDHIAPENNNFYRSTSDPLQGDDAEVLKSAKRRKKRRNRGGKGKKSLLDCVIAEETVDFGSKSCSSSCVTQTVVFDGVSESNGGDRAGSSEFLGLKSLKGGGLRQRNVNSGDDIANGGELECVSSKDGDLRHRNVSCGGDNVANDGELECVSSKDGELRQRNVNHGGNVVNGGELEHVSLKDGELRQRNVNRDDVVNGGVNSSEYVSLKGGELRQRNVNGGKEEERIVCEDVKGGELRQRGSVNGSDEEKVVVEDVKATERFGDSNVREVNGNVMRKLESETWLDWKKLMAEDPNYNLPLEKSPLKYFIEEMYDGNSLRNTTITANEKDRERVYDTIFRLPWRCELLINVGFFVCLDSFLSLVTIMPTRLLVTTWKHLQARQFRRLSAAELSDFGCFLALVCGVILLQQTDISLIYHMIRGQGTIKLYVVYNVLENASMVFICEVMIDIIKHSFIAKFNDIKPIVFSEFLEDLCRQVGCTKRLQKRKVEWLRSLLRVKFFTICDDHKDLRKNEKNLFCIDCNMCFCKHCVCTSSHCSSFHRRLQICRYVYHDVVRLQEIQKFFDCSKIQTYKINGEMAIHLNPRPQLKDVKAAKLRNGAQCQGCGRHIHDFPNQFCSIACKVNILNRMSEGEEHDMISIPLDEFDAVSWKDNYDQSEYRDDNESSLSPTDQSSEVIQCSWLSSASKPKKLVHKRKGVPRRAPLS >KZM83048 pep chromosome:ASM162521v1:9:28306696:28312662:1 gene:DCAR_030617 transcript:KZM83048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEISTPMVELTATTIDIVLALASFILLLFVLVLFFFLLFFFCKPWRLFSSRFFHRSSTRAAPSRAIKAEDLERPLVSEDLDRNSEVARSYDLEGASTQIEGHFSSPRTQGLAFKHRPPSPQHSHSDSLILDIPEDTSVGQTLKRPSLTNISGDLQKLAEDLSHDSEFVSEDKPNKSVPKSTLDQRSILMLEVISGPSRGLRYSTHSTNKSGLPLTLGRVSPSALLLKDSEVSGKHAMINWNSNKLKWELVDMGSLNGTLLNSQSINHANSGSRKWGDPTELASGDILTLGTTSKILVQITSQTEQIPFGVGVASDPMSLRRGGKKLPMEDVSYYQWPLLGIDKFGLFGICDGHGGAAAAISASKLLREIVGDILADSVKRKKILTKCDASDVLREAFTQTEACMDHYYEGCTATMLMVWTDDHENYFVQCANVGDSACFINIDDKHMKMTEDHRITSISERQRMQATGEPLKDGETRLCGLNLARMLGDKFLKQQEARFSSEPYISQVAYINQASSAFVVLASDGFWDVVNSRKAFQLVHEARDRSAKHGENTAEKVANILLAEARTQRTKDNTSIIYLEFGSSNIDSFPKS >KZM82630 pep chromosome:ASM162521v1:9:21970972:21977968:1 gene:DCAR_030199 transcript:KZM82630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPNKDAEYSLNMETKYLHDLHLDEEVLFSIEVTVTRKWAETTSDNRVHGMNLIFVDKYDNRKHCWVPVECLNTVANRLTEGNSYILNKFSVQPFLQNDRCFDDELHLVLNDNSIVTPIDSSSAGIPKDVFHFTNMRNLSNPASEITYLIDVVGIIEDLKPLETVDGPNLYEKHFYHQFYLTDLIHRVRVRFWDGFALQFQKILRNNVNNPPILTISSCKVIKNEYTGEITMRNVKATRLFINYHSDVETNLRKSTDVSVTLFDDFAKQFEDAIKNLGSGNKFVIISSAKIGKFQGDVNLTNYPATRFYINPDHHAVRKLQKRAEKNNFLAEKEVIPTQVEIVAIDDMSIADIKNMNKEGKVGCKVTVKKIKEDLNWFCYMCTKCNLELDLIDGRYKCSECGRFFPWPQKRFRLFVLCTDKTGALPLVLGDREIRRLTGKMVFDVELDLTEEEDGKFPPVLKNMLNKEYGFTILVNEENILKNSEVYEVCDVQINVDESDENAADVQQISEDAEDDQILDEMHVETETSRNKPKKMAKKKQEEKINAGGGKPKQHTSGSKEKIIKIKKVRIIRNWKGVSNSGDAWKGINILLLDDKNFRMHAFVPGKIFEEQETKLKDGNICIFSNFTIKEYDSSEKFRCVNHDKQIILTNYTQIEKIDKEDGLIQKNMFDFYDLNQLETIADKNLYLTDVVGIIENDTPIADLVNRFGKKQKQIKFNIVDGRASVNVCFWDAMAEKFNDALEDIEEFPTIIIIASAKITSWQPARQNSKQYEIANVTATKFYINYDDRSVVALRKMYSQGKFSKYNFVNHVKPKNETITVSDLKKLPVEFVEKEVICKIKVKKVLETGAWFRYHCTSCYKTIELKNGNLKCYRCYDRNVPEPDLRWEISILGEDATGDIDILLLDREIRTVFNLAVMDFDEEVIKCPNVPQIIKALENQHFAVRVKIMEPNVLKQMNTYYSTGIYAFPKEDLRPEDEMLTPHSTIPSTMTQESGPSYHIEDFSDPNLKSPEVDKRPRRKKKLTKKYCD >KZM83349 pep chromosome:ASM162521v1:9:31081693:31084214:1 gene:DCAR_030918 transcript:KZM83349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAADGEQLGQADVVLEIQKCATNIYLYEEISITATSKRTPEGVEHIISLDELEQHMPSKSIEPRSVTSQPTKSVKILEDERNTVISELRGRDGAMKTHHSALGGTSEEIVKEHAVAVERDEPIEESAADDFEYAARYEDVGHAMITASQPSSLQTMKSLPQQVNMKKMVLENEGDASTQLPCNLQRCEDGTEQEEQVLEMQKSTPIINSHKVDKLQEAPPTISKESLSQHFGKSLDEAREIFGVSRSEFKRRCRDVGIKRWQYGKRKMGSNSSSKHKQRLNNGYSTNMPHVQDQPVISHTTRVLNTMDEKVMYNGLILRFELPELSGISELEDNVIKRLHLDKQSFSIKYEDDGGHWVLITCDEDVQYCMKMSRLWKKTTINMLVSQTANH >KZM83187 pep chromosome:ASM162521v1:9:29469692:29472616:1 gene:DCAR_030756 transcript:KZM83187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSRSCRAFNEESLAFQKKVLERSGIGEKTYLPEGLLTVPAKEGLSEAREEAERVMFGAVDELFSKVRLKVNDIGILVLNCSMFSPTPSLSSAVVNRYKLRSNILSYNLGGMGCSAGMISIDLAKHLLQVQPNCYALVINVECSNENWYRGNDRSMLISNCIFRVGGAAILLSNRSIDRFYSKYQLIHTVRTHKGADDLAFNSVSQQEDDDKSLVGIKLSKELVAVAGDAIKTNITALGPLVLPFSEQFLFLATKLAKKIFKMKIAVYVPDFKLAIEHFCFHAGGPAVLDAMEKNLQMTEWHMEPSRMSLYRFNNTSSCSIWYELAYAEAKGRIRKGDRVWQIAFGSGFKCSSSVWRALTDVDPIGEENPWMDEINDFPVIVPKVQVFE >KZM82372 pep chromosome:ASM162521v1:9:18031593:18035866:-1 gene:DCAR_029941 transcript:KZM82372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSPTNASFSSISSPASSHRSTPLSSPNNTPLVSIQCFKTNSKAEEWTADTLHTGDIVEIIAVGNLTVRAPFKNGRNGVQKILHECYKSRDTSIRVRVRRGLDEFAEFEGCIVPNESAGRKQYLLRSTRDPGYAVGFVNRTEAECFNLQASRNSRMVDALAKTPLQDGHVAYPWGRKMLEQLQVPNSSFFLSMLFLPRTSDRNPSRYNDLEDTLARSNAWLTSSQASGVPIVFMNIQTESLLTKISGETASSTVNTGSLSDLANLANASLYGFEDYHGVDIGVVRAVRLWYSPQEGEVPIEIKIKESDTKLGFAISRTEEGFIYISSVAEDDDDAPSTRSGLCSLYKEANRSGKLLVISRVSNQKVLPWIVSQTGAIRCYDTVSLSQKLSLHRHARVSIYIHIFSWDQGVGSLIKGFSLMPPTECADDKQATPFRYDESNESSHSSIRSKDGLELRP >KZM83163 pep chromosome:ASM162521v1:9:29277563:29279364:1 gene:DCAR_030732 transcript:KZM83163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVCDFKSGTPEIIQACYAILVLVLPSGQLRCWGNQEIEIVNAVADQVAVALSHAAVLEESQLVREILAEQNRALQQAKQDAMMANHARNSFQTVMSNGLRRPMHSIMGLLSILQDENLSNAQRTLVHTMATTSDVLSVLINDVMDISPNADARCPFNMKYFSLHSMVKEAACLAKCLCAQKNYLFAIEVEKSLPDYVMGDGRRVFQVILHMVGNLLKDSSVWGCLSIRVFPESGVCLERNDQEWGTRRTNSFDGHVYVKFEIGINNNGAQIESSSDERHWNMGIEEGLSFGVCRKLVQMMQGNIWVVPNPVGFDQSMALVLPFHLRRSIAIGGVETGETSERPDSNSIFRGLQILLADYDHVNLAVTRRLLEKLGCVVSVVSSGYECLGLLGPSPPFHVILLDLHMPDLDGYEIAMRIRKFNPTQPLIIAMSASDTKDVWEKCLQVGFNGLVEKPVLLGGIADELSRVLFEASNVV >KZM81502 pep chromosome:ASM162521v1:9:1856505:1859570:-1 gene:DCAR_029115 transcript:KZM81502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPTQPSFNFVYQPDGSESGWVEARTHCDHLGSVSSDMTHIPIPNTPCNRCQHPSENWLCLCCKEVLCSRFVNKHMLEHFQQTNHCLALSYSDLSVWCFSCDAYLDAQVISSLRPVYETAYILKFGEAPPLRSEV >KZM83332 pep chromosome:ASM162521v1:9:30956790:30957512:1 gene:DCAR_030901 transcript:KZM83332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMQDTLALERRRGRQNLFTQSLGDADRNVLNIVRGKETRGISSGELKRVSNLPTSQLNKTIKALIASQLIKEVPNVRSTYGKHYMGVEYEPSTELTGGSWYANGKLDQDLINFFRGTCLQILQKKLKVATAEGVHSFFAKAKFYKGDVSREMIAEILRSLVLDNEILEVKSTGLAEYHSIPVGEVCYRYVIGGGTGEASRTGVMVSIPCGVCPQIRLCTPDGVISPTNCVYYTKWLDF >KZM81698 pep chromosome:ASM162521v1:9:4603750:4607449:1 gene:DCAR_029311 transcript:KZM81698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKAFRPTNDKIVKIQLHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDTGSSGFVYVFNDLDHKMPYYIRMKPETPNGGYVLSVKQVSFYDDDVHFWQLSRNRSAAAEAPTAVTNVTSAFSSPAPSTKGRHFIVICCESKAIFLDLVTMRGRDVPKQDLDNKSLLWQVFSIFTLSSVCCIMEFLSRSVVGDGPLVAFGGSDGVIRVLSMITWKLARRYTGGHKKSISCLMTFMASTGEALLVSGGSDGLLVLWNADYGQDSRELVPKLSLKYTAKYGQ >KZM81806 pep chromosome:ASM162521v1:9:6243834:6246520:1 gene:DCAR_029419 transcript:KZM81806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALTEANIYDASPEFRIIFFPAQSSDHLKIPPTFNKKLEGVFPSDYLLESSGKTWHVTVKEVHDNLLQLENGWPEFVKDSALAEGDFLVFSYIGNLKFHVGIWDKNGCRRKIQDSNAGEIQNICNTFQVNIRPAYLTYVNIPRDLAKLLNKKTKCTLKIAEDSWVVNFTGDVNDKPRFGGGLRQFCMDNEVKADDILTFQLISEHDNSFTVDISRK >KZM81949 pep chromosome:ASM162521v1:9:9055598:9057473:1 gene:DCAR_029562 transcript:KZM81949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKHHIESSSRIPASEYTKDLRICVCGEPFRLNSGVMALRSAKLDKLLKENHVEEVPRLLRDIPADPKTFELVARFCYGYEISITAENVIHVCCVANYLEMTESHSLNNLLVKAFTFFKKDVIPFWNSSVRALKSAENVLQQVLHLGLVDALIESIIEKVLADPCLLGKPIKSLTFGGDGERDEDLYRPSARRRLFGSNLISEDLATLSVQLYEPIIQEMTLHQVPSEYVVASLCQYVENWVSATSRVDDDKSFSKKMSQREAIEAVQRLLPHECRLLPCNILFEMFQFAIALEATTECRNGFEVRIGKQLDQATVKDLLIPSFGYAREQEYDTDCIRRILKHFYSNLSSPHNSSMLKVAELVEQYLAEVASDVNLKKSTFMSLAEMAFAASVETQRLSDGLYRAISIYLDHHTYLTEYEKEDVCKLLDCSKMSPEACEHAATNKRLPLRIVVNVLFVHQLHLRETIVKEVNSPDEGLLKPIEEEIWKGDGASKDRAMVEMERMNCKVRELEKECSAMKEEIWGVFDSRVKREKGGVWREMKRKFRCISTTQDYDNCHVKKKKVHQK >KZM81953 pep chromosome:ASM162521v1:9:9080395:9080978:-1 gene:DCAR_029566 transcript:KZM81953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQNDPDDIEASEDEFWPLSDKPNFNLVVKKTQLKPQYTLYVPAKMSNELPTSDVPVVLTYRGKKWKTRSFVYPRGDHTLRTSIRWREFVIDNNLQEGDACVFELAECSESLMEIKVQILRGDFPSQLLLASADGTTADKPIVL >KZM83127 pep chromosome:ASM162521v1:9:28992780:28993013:1 gene:DCAR_030696 transcript:KZM83127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRLMESESENLNANVAEADLPEIDRPAENQNTNDDVVEVESGTGSDEKY >KZM82818 pep chromosome:ASM162521v1:9:24842426:24843364:1 gene:DCAR_030387 transcript:KZM82818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHFHLISCISTLFLLLLVPSNSENLKAKKPRPFGFLNHLQGCKKGENLAGLRELKQYLSKFGYLNYNFSKGPKNDDFDEVLEAAMKKYQANYNLKITGTLDPETLSKMVMPRCGVPDIINGTTRMKNTKANHRHRNHRPKKLHTVSHYSFIPGYPRWPAGKTHLTYWFDLTTTHPTAIPPFVRAFDKWTTLTQYFSFEETSDYEASDIKIGFERGAHGDGSSFDGQGGVIAHAFAPTDGRFHCDADELWSIGAIEGYMDLESVALHEIGHLLGLGHSSVEDAIMYPSIPSGVVKDLHADDIGGIKALYNI >KZM81412 pep chromosome:ASM162521v1:9:906516:911656:-1 gene:DCAR_029025 transcript:KZM81412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSPLNLFILLIPLLHSLSHATATVDQAGLLTALRRAKMQSQGEHTFNEKWFDNMSFEVDEETTDRKMQDDLMKDGLPGQPFPVKFKQFAGYVSVDKSTGRSLFYYFTEAVENPASKPLILWLNGGPGCSSLGVGAMVEIGPFGVKSDGKSLYAREFAWNKVANVLYVESPAGVGFSYSNATSDYELSGDTRTELMQSPYLTAQDAYTFLLNWFARFPQYRTKDFYIIGESYAGFYIPELADVILKRNTDKKPFTKIQLKGIMVGNGIMNDATDNNGTFDYMWSHAMISDETYQGLLKFCTSPNFNRTKCDLVQFAVDEEVGTIDFYNIYGPVCSHSNASKKAKCAQDYDPCELSYVRNYLNLPRVQEILHANGTNIPYAWDACSEMVHSYWKDSPTSMFPIYKSLISSGLRILIYSGDMDAVVPVTSTRYSLNALKLDVKKPWHFWVDDLGEVGGYQIVYEGLTFSTVRGAGHEVPRVQPQRSLSLLKKFIAGQF >KZM82224 pep chromosome:ASM162521v1:9:14040780:14043752:1 gene:DCAR_029892 transcript:KZM82224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLLAQEKDKTVDRKRVAELSECDDHGVSPKESIHQLNTGYSTPMRNNSTQSDHVPENTVGTYIASGISVNSICGSIVPDRTPLSVIDCNLRGQTMSPLVRGTNDKHYKCVEGHESGWLHSAAVAMPLLMRVFDLAHS >KZM83548 pep chromosome:ASM162521v1:9:32833312:32844265:-1 gene:DCAR_031117 transcript:KZM83548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGENGSTKFSLFIDENRLQYPAIGSSQLQLFGNTGYSADPGNISAREHNNATFGPNKRGRKGESLSRRQKLHFSLNQGPYHDECELATCFPVQNPVSTGLRLSYDDDERNSSVTSASGGMTASPPVILSLEENLLNELNQQKKEFDQYIKIQEENLVKGLKDITQRQMASFLAAIEKTVAKKLHDKDIEIENITGKNRELIERIKQVAADTQGWHHRAKYNESLVNMLQSNLQQAISQGAEPMKEGFGESNIDDTASYIDPTHSLCIPSIPVKKSSGNDSNMICRVCNMKEVSFLLMPCRHLCLCSNCEGMVFSCPVCRLTKTAGVQTFIITQMSVVSDSPVHSSSSGDDFASFLDAELDSASDTSPEPGDEDDENENDENENDYDSELFSTKKQKVELSDKAVDSYGSTSSGTGTKLEVSIEEDICTHPGVIGGMCIRCGQKTDGEQSGVAFGYIHKDLRLANDEIARLRNNDLKNLFRHKKLNLVLDLDHTLLNSTQFRHIMPEEEYLKVPPDSLPDHPCMIQVPTSMIFGFYPMAKYAQGVWAKHKENLILMERYHYFVSSYRQFGFNCKSRSELKCDESEEDGALATVLEVLKRVHSIFFDPEQGADITKKDVRQVLKTVRKEVLKGCKLVFTRVFPAKFPAESHHLWKMAEQLGATCSREVDPSVTHVVSMDKGTEKSRWAVRENKFLVHPGWIEAANYLWRKQAEENFPVDEAKQTK >KZM81414 pep chromosome:ASM162521v1:9:930772:943331:1 gene:DCAR_029027 transcript:KZM81414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAVLLRSISGTTSRVCTRLFSSKVCNFTAKRHRSVLNTRRRSLLLQHLRLISTSPSSVHLNRPFCPLAPRAIATSAPQFSPDVSDAPEKLGFEKVSEEFIEECKSKAVLYKHKKTGAEVMSVSNDDENKVFGVVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLNTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNDPSEDITYKGVVFNEMKGVYSQPDNILGRSSQQASLRLSLMRLCHRSAVFPDNTYGVDSGGDPLVIPKLTFDEFKEFHRKFYHPSNARIWFYGDDDPIERLRILSEYLDMFDASSAASESIIKPQKLFTKPVRIIEKYPAAEGGELKKKHMVCLNWLISDKPLDLETELALGFLDHLLLGTPASPLRKILLESSLGEAIVGGGVEDELLQPQFSIGLKGVSEEDIQKVEDLVMDTLKSLAETGFDTEAVEASMNTIEFSLRENNTGSFPRGLALMLRSMGKWIYDMDPFEPLKYQKPLMALKARIAEEGSKAVFAPLIEKFILNNPHRVTIEMQPDPEKASRDEADEKEILDKLKASMTEADLAELTRATQELRLKQETPDTPEALKSVPSLSLEDIPKKPIEIPIEIGDINGVKVLRHDLFTNDVLYSEVVFDMSSLKQELLPLVPLFCQSLMEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVRGKVDPCSHIIVRGKAMSGRTEDMFNLINRIIQDVQFTDQKRFKQFVSQSKARMENRLRGGGHGIASARMGAKLNVAGWISEQMGGVSYLEFLQSLEEKIDNNWDEISSSLEEIRKCLFSKEGCLINLTADGENLTKSEKYVAGFLDSLPKSSLATSESWNARLPLTSEAIVIPTQVNYVGKAANLYETGYEFKGSAYVISKHISNTWLWDRVRVSGGAYGGFCNFDTHSGIFSFLSYRDPNLLKTLDVYDGTGDFLRELEMDNDALTKAIIGTIGDVDSYQLPDAKGYSSLTRYLLGIKEEERQKRREEILSTRLSDFKEFAEVIDAVKDKGVVVAVASPDDVENANKERPDFFEIKKAL >KZM83396 pep chromosome:ASM162521v1:9:31460713:31467107:1 gene:DCAR_030965 transcript:KZM83396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKGNGLPRAQISKGLQAEGPNWILIAGGALLSTLSMRLGYKLKQVLDTKEVQSSSKGSTKSTEKKKLVNCHLHSDAYCLSQNDDGCYTYMSGTKNLETKQQRNQTLNEHDMALSPVTVSAHEYNKENGVIWQSSPDRLELPQKPFHHSNSSDSPCVSDSGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIAELQNSLSAQLSHSTHVQSLLDAANRDLFDSEREIQRLRKAIADHCVGQVSAYDKPPTVNVWPTEGRNGHASGYVDVESNWDSSEKGRDGDKIELLRKEVCDLKEVIEGKDYLLLSFKEQKTELSMKIKELQQRLDSQLPNILISLLPRRSIAIKESNNVLAPAYEYQGFWFRSVALRGLLWAQDHFKPHPAATLVASYPKTGTTWLKALTFSIATRNRFDLSSNPLKASISHQCIPFLELEIPRSPSHKYYPDVPLFSTHVPYTCLPDSIPASDCKILYIWRDPTDTFVSWWHFARKVAPEDMEFVPIEEGFQQFAEGASLDRPGQILFLKYEDLKLNTSFYVKKLADFIGSPFSIEEERDGVVERIIDLCSFKHMSGLEVNKSGKHSNGLDLVTLRNSTYFRKAEVGDWKNHLPAKVKEQMDQIMELKLKDSGLTFGSTSNS >KZM81604 pep chromosome:ASM162521v1:9:3345250:3347953:1 gene:DCAR_029217 transcript:KZM81604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIYIDNNYNLRKVMALSGVVSLINNSPVTLYNHSLRNSGFDSRADCGVLSSLENLDSPIVRYYRSGSPSSKSPIVFTTPVKVEEDVVVMDGVLVGPVSGGRHRSLSMSDSGGSSSSAGKGFYKMEMCRTWEDFGSCRYGAKCQFAHGKEELRPIRFSNKSKLEAQICKSYTSGSCSYGSKCRFIHQQNNSPESAKDSPFTVISSTPQTVSQSDSSASATDSPVSVISSKTRTVSPIKLENAMNTSESSSFTFAKTDWSPLDDGIDVVLPCSSSTDKAPRGEIDAYINSVLYGPSKRMKLPVFAAICPSPR >KZM81697 pep chromosome:ASM162521v1:9:4598940:4599296:1 gene:DCAR_029310 transcript:KZM81697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSQKLWLLKSEKKRSLRSRSFMLLRWNMQALMQVRRRVTNATTTDAKYQALSHADEATKIAEIHMEKVETMTAELAYLKALLDCKNESVAQNNDNTVLELRSTIKTLKQDLKSKRF >KZM82044 pep chromosome:ASM162521v1:9:10444803:10446014:-1 gene:DCAR_029657 transcript:KZM82044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELNLVKCGKLNDIQGLGNLPYITELYFTCCTSLQSLTVLPPNLKKLYLSGCEGLKSLPALLPPTLESLNARNCISLEKLPNLGHLQRMTELILVNCSSLNDVIGLGGLLDIEDLNFSGCTGLETLIVLPPNLKRLDVDGCKRLLSLPDILPTNLKHIFARRCESLEKVQNMKHLQSMIDSIFKNCSRLDDILSVDNLFWLSLISYQGCINMARADHTLQSLIMPPFSEYRPDFTLVTPKIEIPDWFICRKNDDPIHIDMPHTSIQRFIGFMICFICTSSFPSYNIVIDNQTCSSIYTHKFDNGSHTITDEVISVVIQVQKQIPMRGGDSIKIYGSPASGITNIGVYLVYKPSDENEKKDDKPAIIENVK >KZM82765 pep chromosome:ASM162521v1:9:23742540:23743319:-1 gene:DCAR_030334 transcript:KZM82765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSLKLSWIIVLVLMLRPVIYGMEMVHAADEERKSENRKVNESAPAFHEKEQKEMKKGGTEEAEKRELKKYHEECTSDPPCIAACKKDGFCSGQCLEYICYCLPNCTSHHNHYPPQVN >KZM81467 pep chromosome:ASM162521v1:9:1575478:1577252:-1 gene:DCAR_029080 transcript:KZM81467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKACTSSRKCASRSRGGVMQGAWCGCRGPLRREVYDEGQVFDISHRIQSAALLVNVPCHRNITVEAMKSLHIPIGVKRVLFRTLNTARRLMWKKEFDKCYPPFASPWSAPVDNTDIKLVACVRVMEVIKVLDLLVLHIAGVDYLSAAAYDDLIPSHHAFLEGREIILVEGLKLDNIEAGAHTVNCLPLRLVGAERSALRCILVK >KZM83241 pep chromosome:ASM162521v1:9:29936923:29944453:-1 gene:DCAR_030810 transcript:KZM83241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEEAELELGLPGNISKLNSNIPVNSGATNVNGKRGFVEPANVDLKLNLVSSKADGEIVDQAAESKEIKNLLGGAGSTDSVVLKPPTKAQVVGWPPVRSYQKNIISPEKVASKKGDEENEKVMSLDGAPYLRKEIKNLLGGAGSTDSVVKPPAKALVVGWPPVRSYKKNIISPEKAASKKGDQENEKVMSLDDLRKVDLKMYKSYQELSDALGNMFSSFTIGNCGSQGMMDFMNESRLMDLLNKSDYVPTYEDRDGELDVCGFLQALAHKEKSGSNNLGLKRPPLSPLSPSSLRESETXGLKRPPLSPLSPSSLRERQRRRCGAHDSTDGNGSLLCKENQNPLRKAQTFGSGGNTIPGILHKGSLKTSQCSAPSTAESVVNSENQHKYLKETCPAERKSFLGNRRENNPTRIPISRGNDDVNPGSGTSFGLTNRVFAAVTQRTPLARLIAIQSNKQSVSNRFQPTVFNCFQISSLKASQCSVASTAESFLPAEIDKTMSENRALLMGKHVVKILITQVYALELQLSPTIHAKCHYILPKHQLALSTPSDLQYNKLGSVGHASDGGFLLSITSLYNK >KZM83033 pep chromosome:ASM162521v1:9:28135031:28137747:1 gene:DCAR_030602 transcript:KZM83033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSSILSPPDELVAAGSRTPSPKTTSAALMKRFLESNASAVSMQIGDDAHLAYTHSKESAVNPRSFAVKDEIFCLFEGALDNLGSLKQQYGLAKSANEVVLVIEAYKALRDRAPYPPNHVVGHLDGSFAFIVFDKSNSTLFVAADQSGKVPLYWGITADGHVAIADDADLLKGSCGKSLASFPQGCFFSTDVGELRSYENPKNKITAVPATEEEIWGAKYMVEGPAFVAATE >KZM83087 pep chromosome:ASM162521v1:9:28612332:28614171:1 gene:DCAR_030656 transcript:KZM83087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFATRLYIKSTIPRYNIRACKLNMNGLNASAAIAIPASSQPSNNQTFPNNIQPNRFHALRRRIDLEVMNPYSRCGRIWRKFIISFFISVFLLQTLSIFLQYVKQDKNCIAQIDSRLEGISQILLKLSNIIFILHIIIKFRTAYVDPKSRLLVYEPKKQISTLAGNQTPSVFVVEVLFTMFITAMGLLLFSFLIGNIQRFLQARGSRSFENSLRGSDIEQWMSHRQLPDDLKAKIRESERYNWLATRGLNELMLLENLPEDLQRDIRRHLFKFENKLPIVASMDESILDAIRERMKHNTYIEGSRVLVRGGLMDKMVYIVQGKLESTSEGETVVPLSEGDVCGAELITLCLEHYVLNRDGDKFRIPAGKLVSKRTVRCLTNVEAFTLRAADLEDVFSLYSGLLIQNPLVQGAITKESLHPKSLLRSRSY >KZM82813 pep chromosome:ASM162521v1:9:24775934:24779780:-1 gene:DCAR_030382 transcript:KZM82813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDECRHCNRIQDDRLSPLSLKIFPTSSPGHSSSPSSSCSPLSGRLSVGCTNYIEHHVSKYDTLAGVAIKYGVEVADIKKINGLVTDLQMFGLKTLCIPLPGKYPPSSIMANGFDTERPSSSEPTSPSRRQESDFLNSFRSIKLTSPSKWKESPATDNLQKYYGLKPPDQEVAPKLCEMAVYPRGGSPYLEDGQFGNSLTYINLPLSVHRKSKSATDNHKLENGDLANGESVDASKKSDLNNWISNLFFQAMDEDRDGQVDSWEFMQFLRRQQATKTKPTVNCFRYYT >KZM83209 pep chromosome:ASM162521v1:9:29638491:29639939:1 gene:DCAR_030778 transcript:KZM83209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDEFLNFSDEDEKTKLPLSSSPLFSFNGLKDDDPPSVTEFVEEELEWLSNKDAFPALESCMDIILDQSAIFQSDQNHLSPVSVLENSGTSYIGNLMVPTSFPVRGRSKRTRKRRRRFPELSIRQPWQWNQMSMEDLKQEHENPFVSKTVTRVATIGRKCQHCQTEQTPLWRAGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFSSKLHSNSHKKIMEKRRQSQLSEMVVNGVCGYGVG >KZM82502 pep chromosome:ASM162521v1:9:20402369:20402884:1 gene:DCAR_030071 transcript:KZM82502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSCMKRVREDPDESVSSQDVKRLKEDLFNMQDESYPSHGLDSFIQIFENEISGFSPAQEKVEYSGESQPELGFLLEASDDELGLPPSTSLGEKVETELFRVTSDSSELSESWGSGYDSFDLGYGDGLCDYGCSNDSGEFVTVDGLFDYSDMGFGSGEIFLKPKILPAL >KZM82288 pep chromosome:ASM162521v1:9:16102968:16107285:-1 gene:DCAR_029786 transcript:KZM82288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVTTAIQHQEASWHFFLPLNHNFLRYRAMHARPGFLKSKLSSSHAATVELSFAMPSPIIILTPSSTDQPFPAVLISPFPLLPILSNINVYARDIALLGALNLAETFHSFMEKQKTMGKEVEMALMDMYGKCGAPEKAINMQLLNAMSEVYGITPRSEHFGCMVDILARAGLLEEADIFIRNFPFKADVGVWGPLLGGCRLHNDVLMGQKVAEILIELDLSHSRRYVLLTNIYAADNRWTDAEKVRRGMRISKVQKTPGYSLIEQSQWPAYIFQFLFLLEIFGTSGNIAGFICEAIQGVGGIVELALGYLSALYSIIKKARGLFISDEIQSGFVRTGSHVWGFESHGIVPDIVTMAKDRLDEQPEFLLDPNEISEDGTVVLISVSAGCVLI >KZM82547 pep chromosome:ASM162521v1:9:20937968:20940525:-1 gene:DCAR_030116 transcript:KZM82547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLSMIKLLVRLSFIFFLVPCLCCPAYQKQSLLHFKSSLLNSYNTSNSSSFGLESWDSISDCCKWTRVVCSSHSRDIIALHLDDLCMDGSKSSMNVRVLDPIYGIRSLRFLNISYTWVQGEISGEGLANLTKLIHLDMSQNLLNGSIPAQLFQLRFLQSLDLSDNSLKDGLSREIGKLEDLRSLKLDRNSIDGNIPVQIGNLTRLQQFSISHNKFLGRIPESILNLKELEKLDASNNYLEMHIPNRIGTLFHISILVLGVNRLTGSIPLSIRNLSKLETLRLEDNMLSGEIPSWLFDIDSLKNLYLGGNKFIWNNHVKIVPKCMLSQLSLKSCKISADIPEWISTQKNLNLLELSDNQLTGNFPLWIAEMEIECLLFSRNRLTGSIPFPLFQSQDLSVLDLSRNNFSGELPQNIGHALKLKVLMLSGNNFMGSIPKSIVDIPSLMVLDLSRNRLSGNMFPVMGTYRSPRYVDLSSNELSGDMPTSFGRGTSILALGKNKFSGFPKNVTHFVNLEYLDLHDNNITGPPMDGARERDYQVREPGP >KZM83175 pep chromosome:ASM162521v1:9:29361721:29363619:-1 gene:DCAR_030744 transcript:KZM83175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILDDQGILIKPVFRTKLERGVHDDDHNKIRKRMLDSDVPDELHCVRKKSRSENCEKDLNGIREQYGFGSNKPKRRVKKPRERFGDWDDCEDTSRNIDPWYQNRHEARPLYGRGLLAGIDRREQKEAAKKEIRDAIRRREGMVEKPEMGNAGHQLKRKGDFDCFYDEFDMRVDRHWSDKRRGEMTDRDWRIFREDFGISYKGGSRNTQPMRSWDESKLSNELLKAVKRVGYKTPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVIPMLAYIARLPPISEENEAEGPYAVVMAPTRELAQQIEHETVKLAHFLGIRVVSVVGGQSIEEQAFKIRQGCEVVIGTPGRLIDCLERRYIVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSTNFKPRNEDEELDEKKIYRTTYMFSATMPPVVERLAKKYLRNPVVVTVGTTGKATNLITQHVIMVKESEKMSRLHKVLDELGDKTAIVFVNARTSADTLFRALDKAHYRVTTIHGGKLQEKRDISLESFRTKKYNVMVATDVVGRGIDIPDVAHVINYDMPDNIQKYTHRIGRTGRAGKTGTATTFLTIHDTDVFYDLKQMLIQSNSPVPPELSRHEASKFKPGSVPHKPLRRNDRVFAH >KZM81630 pep chromosome:ASM162521v1:9:3676911:3677976:1 gene:DCAR_029243 transcript:KZM81630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGRHRHHLHHHHGHKSHETVHFKPSTDTVPFPSIPWIVPAVYNVSWNFNVKDWPHSNSTFRAGDILVFNYDQDLHNVVPVDEANYLNCSIPEVAAVIYNSGHDSIVLGPGTNYFICGTMGHCIAGMLMNVTAT >KZM82692 pep chromosome:ASM162521v1:9:22571188:22574795:-1 gene:DCAR_030261 transcript:KZM82692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFTSSTPTKAISMATQNIYFLTKSTKPSLTHFRHLACYASAASLYPQRLEPNPPDLISWVKKEGGFVHPSVRIAENGQFGLGLVAGDDIASRSDLIGLPKHVPLRFGLSDGDGGDNGDSVLANVARQVPEELWAMRLGLKLLQERAKVGSFWWPYISNLPETYNVPIFFPGEDIKNLQYAPLLYQVNKRCRFLLDFEKELKRVLRNLTSNDHPFGSQDVDASSLGWAMSAVSSRAFRLYGKKLQDGTHSNVPMMLPLIDMCNHSFNPNAEIVQEQGNVNAKMLVVAGTDIKQNDPLVLNYGGLNNDLFLLDYGFVIPSNPYDCIELKYDGALLDAASMAAGVSSPNFSTPAPWQKDVLLKLNLDGESPLLKVSLGGPELMEGRLLAALRVLLERDMEKVQDHDLDTLKSLSVEAPMGIPNEVAVLRTCIALCVIALEHFPTKLMEDEALLKKDISDTAELAIQFRIQKKSIIIDVMRDLTRRVKLLSSQESVAS >KZM82128 pep chromosome:ASM162521v1:9:11831334:11844950:1 gene:DCAR_031835 transcript:KZM82128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKISSSPWILGTSKLSVYENQTRPYFTHTLATKTKSSTSVNPTICGLESGSDDYKFVLHDALDSSGNNTTHARAARDGFCSQVKKLTVVERETSIATNRRVDLARTALYIAAEDDSLISHSSVPLPVEAFLERLDDLSMGYCSHFGSSFQSSPENFLECMDTYLYINKAFCRHSSKNPSEQRTLYLHSVLTHRLGSAAMLSLVYAEILKMFRIWGILNFDVEIFFPHDSSSNPRGYIKQKSKESDQAHIMTTESLLVKILTELKVAFWPFQLDQDKSLFLRAAEAANCSRRSRNVNESASELASVKAAQHRLERGVWTSVRFGDMRRALSACERLILLNTDPKELRDYSVLLYHSGFYEESLHYLKLYQDAEKSSNSTSDLEKNAVDMLLIRLNLILMEDGWSSPPHIRNFLHNSDPW >KZM82645 pep chromosome:ASM162521v1:9:22149572:22152816:1 gene:DCAR_030214 transcript:KZM82645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVEIVLRHEMLLVLAIVISSAFTLAAKEASLLSPSALELFVDELPDMPRISGFDVVNGVSVPKSLKIGMFSKKWKFHRDLPATTVFAYGESKSTATVPGPTIETLHGVDTYVTWQNHLPSKHILPWDPTIPTAMSPSNKGIPTVVHLHGGIDEPESDGHAYSWFTSGFKARGPAWTKKKYHYHNNQQPGNLWYHDHAMGLTRVNLLAGLIGSYIIRDHKVEAPLNLPSGLEYDRPLIIFDRSFRTDGSLYMNPTGNNPTIHPQWQPEYFGDAIIVNGKAWPHMTVKRRKYRFRIINASNARFFRFFFTNGLKFIHVASDSVYNERPVVVNKLLLAPSEIADVVIDFSKSTSDSTILSNDAAYPFPSGDPVNEINSKVMKFTIKTRQENDTSTIPNHLINYPMPKLSSASRTRYIAMYEYASPIDEPTHLNLNNKSFEEPTTEKPKVGSTEIWYVINLTEDNHPLHIHIGLFKVLEQTELLDVEQFRDCMTKVNDAIKCQISKYARGKKLAVVAHERGWKNVYKMTPGVVTKIVVKFSYVHTNESYPFDATAEPGYVYHCHILDHEDNVMMRPLKFVH >KZM81688 pep chromosome:ASM162521v1:9:4506855:4507211:-1 gene:DCAR_029301 transcript:KZM81688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVVKGFANSPKNGVFLNSCFAHCQSERQDTWFSDNSPLIGNKGIALAVGDWYFDRAGCKAIDCAYPCDKTCHNLVFR >KZM81350 pep chromosome:ASM162521v1:9:245666:251573:-1 gene:DCAR_028963 transcript:KZM81350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYGNVTDIMNSLLEDRMSSLKPFYKLLPESACSRSIEDSRDTSTESGEKSVKLKNGANCGKVSQHIVIIDSDEEEVEDQAPVQPCQDVLFSKTFPSSAPKAMADGDAGFVGATNIKKYDSMHALGNDDFTDETEQPSPVAGTLVEKDKGIYIGVEDSSTDEDDQHSYNNDDALGDIWQEMSFAMESCKDTSVNPASDEYVTDEEEDCEHSYILKDDIGYVCRICGVIQKRIESIIEYQYAKRTSTRTYKYEDRSSRDEEANDILPGEVRSAGFDFVETDICVHPRHSKIMKPHQIEGFNFLARNLLTDNPGGCILAHAPGSGKTFMIISFIQTLMAKYPSARPLVVLPKGVLPTWKKEFLLWQIEDISLLDFYSVNANSRSQQLEVLKQWVEKRSILFLGYVQFSSLVSNPNTDEITAACQKILLKQPSVLIMDEGHTPRNENTDQLAALQSVQTPRKVVLSGTLYQNHVEEVFNILNLVRPRFLKMEVCKGPKRHILSIIETRKKGNLLKKSDHEFYEMVEESLLKDGDLNRKALIIQCLREMTSKVLHYYKGDSLDELPGLVDFTVFLNLSPRQKREVIELKKLGGRFKISSDGGSIYVHPKLKDLLKSTAGKKRFDQVNIDKMLNKLDINEGVKAKFYLNLLRLCESTEEKLIVFSQYLPPIKFLERLTVKVKGWTPGKEIFMITGDLDNDVRELNMERFNNSPDSKVFFGSIKACSEGISLVGASRIIILDIHLNPSVTRQAIGRAFRPGQVRKVYTYRLVAAGTLEQEDHYTSFKKESIPKLWFEWNGACRAEDFQLEKVNVTNCGDNFLETPRLHEDVITLYRRCA >KZM83545 pep chromosome:ASM162521v1:9:32821440:32824109:-1 gene:DCAR_031114 transcript:KZM83545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKKKEKNHGSNHQHNHGSRSKPKISPPATPGPPKTGHNSAVIFDVLHFGAKGDGKTDDTKAFQAAWAAACKVEASTIIIPSKYEFLVGPISFSGPYCQHNIVFQLDGTILAPTNFKPFGSGLYQWLEFTKLVGITVQGKGFIDGRGAIWWQDYSSNDPLDGEVELIVPLNNSVAENLQTPVSSSLVGKMPNIKPTALRFYGSFNVTVTGITIRNSPQCHLKFDNCVGVSVYSMNVLSPADSPNTDGIHLQNSREVVIHNTNLACGDDCISIQTGCSNVYIHNVNCGPGHGISIGGLGKDNTKACVANITVRDVNMHNTMNGVRIKTWQGGSGSVQGVLFSNIQVSEVQVPIIIDQFYCDKSKCKNQTTAVALSGITYERIRGTYTVKPVHFACSDSLPCTEVTLNTIELKPLQERYHMYDPFCWRTFGELYSETVPPIDCLKNGKPLKNQIQTYHDVC >KZM81417 pep chromosome:ASM162521v1:9:968350:972123:1 gene:DCAR_029030 transcript:KZM81417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKSSKALTPIPQAQPSSKNNKALEPKKKIFKNRDGDGLHASKHKKVVSFDLDKQIVSYQPKAEASDSRGSDPKVSSPMDRAREIQANLASNFPSFVKKMLTSHVAGCFRLGLPKKFTRRHLPKQDVTVVLVDESEGEHKTKYLAEKSGLSGGWRGFSVAHNLQEKDVLVFHLIQECRFKVYIVRANSLSEVDGAIDLLNLCACERKTGSDHHEEGLKMTAVAPEEHLKQYSLVHSAQEKDMVALSYNVDVAADNSGMNSDNSGSDDFYGLWIAHSVTDFKDVKDFENFSITVDRIILDNQIPHDRREKYYELCCSQNSYLHDQLIDEKLSLHLVVGIILETVSISDAINSSKPGSYKDLKAWDNTLKSFEILGMKVGFLRAKIDKLLTLSSESEDALQRKIVEKAKAKEELKALEIRRSSLKEVIENLAHEIEAIKIKAQTEH >KZM81395 pep chromosome:ASM162521v1:9:666296:666550:-1 gene:DCAR_029008 transcript:KZM81395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVDAWTKKVQELCSKGQTLWSSGSSPDGQLASEEAQKRDSGSYEAGAGSWPTGARFLRVNSPAGFHYSETSVYMVVECFSP >KZM83255 pep chromosome:ASM162521v1:9:30178533:30182496:-1 gene:DCAR_030824 transcript:KZM83255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKVGLLRWKTMVILVLMLITVLSPIFLYTDTRFSIPSSSSFILDPIPDNTSIHQVSTFAVRPLNVLPQESLTVLKQEPGIINANNSESSRKTRQLTEEDNHEEAKDENPIRQVIEEEKVESKAVDKDEPLEVEKNDPVEQEKVILTTTTTKKEDPKFEQKPAKTSKKVSSREAPKVKPEKQNAPTVPVDARVRHLKDQLIRAKVYLSLASTRNNPHFIRELRLRMKDVQRVIGDSTKDSELPRNAVEKLKAMEETLVKGRQMQDDCSAVVKKLRAIIHSTEEQLRVHKKQALFLTHLTAKTLPKGLHCLPLRLTTEYFTLNTSERQFPNQEKLEDPRLYHYALFSDNVLAAAVVVNSTVSNAKLNSPSMIDYYFKNRRADSDPNMKFRNPKYLSIMNHLRFYMPEIFPKLNKVLFLDDDVVVQKDLTRIWSLDLKGKVIGVVETCGESFHRFDRYLNFSNPLISKNFDPRACGWAFGMNVFDLEEWRRQNITEVYHSWQNLNHDRQLWKLGTLPPGLITFWKRTFPLDRSWHVLGLGYNPNVSQKDIERAAVVHYNGNLKPWLEIGIPKFKTYWTKYINYDQLYLRECNLSP >KZM81993 pep chromosome:ASM162521v1:9:9675911:9676270:1 gene:DCAR_029606 transcript:KZM81993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHEYSLRISAKKTMSFTFIMVTVNESMQFHMGAIARIPLNNLTYWIHVIKICLKSVNKFSTIYKANFPSTLPSYKCLLTILPLLRKKIMESLSWVHYRFHMATVSEFLQKIIHMGAL >KZM81387 pep chromosome:ASM162521v1:9:634864:640392:1 gene:DCAR_029000 transcript:KZM81387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEECTSIEDYCYSDYEQDSVDENYGDLEAESQMILPKRPSTKVITKESLLAAQKEDVRQVMELLSLREQHARTLLIHYRWDVVKLTAILVDRDRDYLFSQAGVPLDENHVVGSLVCSSTVMCTVCLEDVPGREVTKMDCGHSFCNDCWTGHFIVQINDGQSKRIKCMAEKCFAICDEAVIRQLVSKRHPALAEKFERYLLESYIEDNKMVKWCPSVPHCGNAIRTEDDEFCEVECSCGLQFCFSCLSEAHSPCSCLMWELWSKKCQLESETVTWISDNTKACPKCHKPVEKNGGCNLVRCVCGQYFCWLCGGATGRDHTWTTISGHSCGRYKEDSSKNSERAKRDLYRYMHYLNRFKAHKDSFKMESELRETITEKIEDLENKYSNLRDFDWVRNGVSRLFRSRRALTYSYPFAFYMFGDYLFKDEMTPKERERKQLLFEDQQQQLEGNVESLSKFLEEPFHEFLEEQLMDTRMQILNLCVITDNLCKKMYECIEYDLLGSLKYRTQCIAPYNSEGIEKAAELCCNAEASTGRLT >KZM82283 pep chromosome:ASM162521v1:9:15785651:15787261:-1 gene:DCAR_029833 transcript:KZM82283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPGLKFILKQIILSPISPKRIAAVQNLHSIIVQKNRSQDRHVKRWINLSNAARKEKGGSLIYLAFNEVVQEAVVVGQEAGDPREVEAAVNTVQPKETEATVDEGIPIEIKVAVDRNTPVGTESTVMRAAVIKAILREIEDIVISTVRYTQMRKKEAFKDFLEKRAARWTSRMWSRTKHPANDHFKRLHNKTEVTPIQKDEGNNFVVMKRLLWARVIDAGVDYDKEEEEEDQIDELPDDVIDLTTDDEVKQPQQTSQDHIPHVLSNPIRYKNSDQEIPINSLKKLKTIILKEMYIRVVRSLNNMEAQFVEECEVVLEGRENEDPKMDEKRGEALYSVPEKKF >KZM81610 pep chromosome:ASM162521v1:9:3457926:3458910:1 gene:DCAR_029223 transcript:KZM81610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVMIAALFLAMFVLSSNIKLVESGPEDCIDACYTGCVFPNDGKALRECENKCDIRCGKGGKAAENQD >KZM81726 pep chromosome:ASM162521v1:9:4939584:4942731:-1 gene:DCAR_029339 transcript:KZM81726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRGSIAFFTTYRPPVPLEIFSCPYPPTSNKDELPMTDGVSYNFNGHSIPPAALKTILRRPKLVPEGIKDTDVDSGRVSGLVFVSERDNLETIQFALRFHDQKPIKTKVFSFADVYSTSDKARMEDSPCIAGNYLVYVSTKEAADKPRQPWTAVYKTNLSDGKTERLTPSCKYTLCLIVADLSPSVSPSGKMIAMASFQNRSGWDGEIQDLKTDIYVMFVDKPSNRRVIRRTMILKNGGWPTWGSEDVIFFHRKVGDYWAVFRFDMNTKEQTRVTPDKCNAMTPVAIDANTVAVATIFDIAKFGVNRAENQYRHIMVFDSTDPEKKMKISQISKPLADHFNPFVIMDGEKKRIGYHRVNSGLVKCGAQIERQFSKIKSPLEDVGLFRLSGAFPTFSNDGKRVAFVDNEFKSVWVADDKGLRIVYETEGANKIFAPVWNQKEDILYVCRGPSFSDKETLDIYRIPNVSTARQHAEQLTDGSNNAFPSTNKDGTKLVFRSTRDFKDSEKKYKNLYIMEDAESGDFGEGEITRLTNGHWIDTHCHWSPSGDWIVFSSTRDKPASAPESDNGLDAGYFAIYLVNPKDPSVVVRVLGSVPATDLTNPLAGHVNHPIFSPDGKSIVVVADLAAVSVDPISLPLVEHSVRPYGDIFTVDIDKDDIKKNQDLTKYERITHTRYENSTASWTMFSTEDPNAAWNLQFSGKYSPACPYAPADGRESWHMTGHLCIPKRCC >KZM83459 pep chromosome:ASM162521v1:9:32104425:32105540:1 gene:DCAR_031028 transcript:KZM83459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGENNMRRPNFVPLQLLEKRDDEACSSSGFGFSGAETKLSPPVTTEISKKPPPKRASTKDRHTKVEGRGRRIRMPATCAARVFQLTKELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANFTSLNISLRSSGSTMSAPSLRSSYLHSSLANSSRIRSDWERSIEESQRRIFGLASASENNSYSVGQPFNFGNSYMSLNSLLNAKQELRDTCLESEDGLTGRKRRSDDEDLSLENQMGPGNYMLQSSSGSIPASSQGHTPSATFYMVTNPSTQLVNGGVDPMWTFQNAMNSNNNTSSTNMYRGANSSVTNSGLHFMNLHTPMALLPSQQLGSGGGGVAMPDGHLGMLAALNSFRPISESSHEASGSDRHD >KZM82999 pep chromosome:ASM162521v1:9:27487851:27488679:1 gene:DCAR_030568 transcript:KZM82999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRMGLAKSSVSLSINGISFPSHGLKSVSRRFSVSCAAKPETVDKVCEIVRKQLAIQPDVAVSGDSKFAALGADSLDTVEIVMGLEEEFGISVEEESAQTIATVQDAADLIENLVEKKGSA >KZM82434 pep chromosome:ASM162521v1:9:19118963:19128300:-1 gene:DCAR_030003 transcript:KZM82434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGPCSKVHSLQLRKEYEESRAKGQDNYERELEDVIDRLIVECDRKIARALKRLTDEDAKAAIAISVSEVTTTPEILEMSKEIKEKLKEADQYDLEGKTDMKIRALEVVEELRTKRADKQSMLLLDAFNKDRASLPQPLPNPPSLAPLPVAVPDARTQEMINEKLKKAEMLGEQGLVDEAQKALEEAEELKKLPGPRQEPVVDTSKYTAADVRITDQKLRVCDICGAFLSVYDRQRTSLGVSSTSVFDLAIYLLVTSRLLAQFYEDKLMQLKNRARMISVSSHCGLISDRRLADHFGGKLHLGYMQIREKLADLKDERDNKRKASEELRKFPGDLIFLKFFDSYGFDFFADQKSRAGNVIEKVAGIEEAVVKEQGIMIAGAETATGMLTVIMGMIENVKERGTDPAATIQGVVVGHVHDQESELGIMIAIAGVMIDTSCHMKLKGWIREHVLLTYH >KZM83222 pep chromosome:ASM162521v1:9:29758683:29761280:-1 gene:DCAR_030791 transcript:KZM83222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKVEYVLKLFQEDGDSFIQKAEMYYKRRPELIEFVEEAYRAFRALAERYDKLSTDLQKANTTIATCLPEQVQYSMDDYDDDDDDFVAAKPPKDLPQGPPPPNVPKVPDAPKKLFVTDASKKHHQKTKPTYTQIKPKAPPKSGLTKAEAFAEIDKLQKDILELQTVKEYVKSSYESGIEKYNGIENEITEKHDKVSRLEEEFGIGSLIEDNDARTLMAKAALKSCHDTLAQLQSKQMKSSVEAEAESQKLEEARRRLKLLKQEFFPDQTDDDEIRPDQHYDPEAIAKEFNVKNQDELGPEGQKLEALRDKFKEEIAAKSITVTEMAHKIDNLVSTVINLESLVSSQTALIDRLRTEASELNSHIRHLEDDKATLADDQQHLSNRVKNLEDKFKGLNNLNQNVVIYNTSLRTHFNEARSSLDQLSEKLQNVKPDAEEERITQEASDDKKLGVSGGDSEMTSNASKTEEISADTNTTKQNQITLPFLDQKSDEDEERHDKPDEAEKEGLSQSTEKPTNVETSNKDEEPKEDLNWPQLLLNGLEDKEKILMKEYTTILRNYKDVKKKLLNQENKNDDLFQTTLQVRELKKAVAKRDQEIHSLRQKLQENAPETSTLPEREVPHSPPKDVPEDGIKTPVSPKPLSPVEEMLRSQIDSILDENLDFWLRFSATFQKVQQFKNEIRDLQDEISSFKTRPDKKSTTDEVHADIKSEVRAVFKHLNEIKAGLKLWLEQSESLKEELQRRFSSLCNIQQEITSALKEGVEEDEITFSSHQAAKFQGEILNMKQENNKVNEELQTGMDHVFSLQTECEITLRRLDNEFGLTEKAALRSNNRPRVPLHSFIFGVKERRKKQSILSYMQPSKKSNP >KZM83388 pep chromosome:ASM162521v1:9:31386724:31388961:1 gene:DCAR_030957 transcript:KZM83388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVEAADLEVKRNVPDFKADKLDTVKNTVTGLEVDNVGTLNKSFNNNSVKTNSPEKVEPTSFANEKELYVADKEKELSVAAFRVLLSKRERLYWQRQSESKSLTFAEHYGLQTTYTFNYESNVYIPEAKAHFLDKNIQSIYGESKIIEHQAEEYSFKVRRFLMIIANIQGRNSPHH >KZM81683 pep chromosome:ASM162521v1:9:4436194:4436976:1 gene:DCAR_029296 transcript:KZM81683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNMALHWAPPPPGAIKINVHGHTISSPLSNGNSLGIGAIYITSGAEISLLTTSAIPALTPLGNQLWAMYVPLRRAVVQEYDDLRLETDNYEAYMTIKHFHVGVSASIFDIADQVARFLSKLGMDHASKFTPSTCLLGVWRSFWIGTMGLHHPSYMDTFIPSNAPNPIDFNVSLSLTEQVDDLGLGQLDAPRVSRIELEPEELEDLMEGAVEDSFVGSLGPRRSLLAHEPPFPDLD >KZM81758 pep chromosome:ASM162521v1:9:5517740:5521963:1 gene:DCAR_029371 transcript:KZM81758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNKVLKMRTKPQLVPLGTLIGRELRKEDNVEKPTIKYGQAGLAKKGEDYYLIKTDCERIPGNQSTSFSVFGIFDGHNGISAAIFTKENLLNNVLSAIPEGATREEWLQALPRALVAGFVRTDIEFQQKGIHFLWETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNLCAGNEVGPLRCWPGGLCLSRSIGDTDVGEYIVPVPHVKQVKLSNAGGRLIIASDGIWDTLSSDIAAQACRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDMIPSDHPAVLPTPKRKQNSLTSLIFGRKSLNSTSKGTNKMSAVGAVEELFEEGSAMLAERLGKDFPLNSDSGIYRCAICQVDQPPGDGLSVNSGPFFSPSSKPWEGPFLCTNCRKKKDAMEGKIGTRPTAAV >KZM82357 pep chromosome:ASM162521v1:9:17837690:17840584:-1 gene:DCAR_029926 transcript:KZM82357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVLAVKFHISDEGEKGDCVFPSYSTENVIDLDRKGFKGTNKKTLGSGRPLSWDIRIKVAIDSARGLSFLHESDPTIIFRDFKASNILLDSVMLSRLTTKCDVYSFGIVLLELLTGRFAVDRSRPRPEQKLLDWVKPQLPDKRKLFRIMDSKLEGQYNRKGAYVAANLALQCAHIEPKYRPRMSEVVNILDKIPSMKHSPSRTYSDVGEDVADDASLWKHSHVRSYKQSKVTPVSSHQTEKLSNGAPPAARTMSSRSEGSAPSAWQTSRGVATRR >KZM81732 pep chromosome:ASM162521v1:9:5028865:5034295:-1 gene:DCAR_029345 transcript:KZM81732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPGILTDYPWKPIGNYKYLLVAPFVVHSVYSFITKDEKERDWTNFLIFPFMLSRMLHNQIWISFARYKTAKGDNRIVDKPIEFEQVDRERDWDDNILLIGIMFYLANYKLKGASNLPLWRTDGIIITILLHAGPVEIIYYWLHRALHHHYLYSRYHSHHHSSIASEPITAVIHPFAEILMYLMMYSIPIATGILTETSSIVSLFGYITYFDFMNNLGHCNFEIVPTKLFSIFPPLKYMMYTPSSHSLHHTKFQTNYALYFPFYDYMYGTLERTTDTLQETSLKREGESPDVVHLTHLTTSESIYHLRLGFASLASIPQSTSQWYIRLLWPLTTWFMMVVTWLYSRPFVVERNIFKTLKLQTWTIPRYTKHYTSPKQTKCINNMIEEAIIEADKKGTKVLTLGLLNQGDEMNKNGELFIKRNPQLKLKLVDGSSLAAAVVLNSIPEGTTHVAVKGKSSKVSNSVAIALCRRGVQVSISNEHAYRRLKEKCDSEIQDNLILSESYSQKIWLVGDELGKTEQMKAPKGTLFIPFSQFPPEKLREDCFYSNIPAMSAPRHFENLDSCENWLPRRVISAWRIAGIVHALEGWNVHECGDAIFSIDKIWQATLQQGFRPLPVCTELMRK >KZM81681 pep chromosome:ASM162521v1:9:4418907:4421410:1 gene:DCAR_029294 transcript:KZM81681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVLPNEFTFSAVLPVCGQVGVVFNGEQVHSLICKHGYVMCLFVGSALVDMYGKCGDMGMAKKVFDEMPKRNLVSWDSMISRYLGNKMYVDALGVFKEVFGGEFVPNEVTISSVLSACGNMGGLDFGRQVHGVVVKYGLVSLAYVKNSLMDMYIKCGLLEDAYRLFQIIEERDVVTWNVIVMGFVQKGNFEEAWNLFGAMRRKGISPDDVMFSIVLHAAASIAAFDQGSLVHNQTKMLLSAWITGCPFSELVFGLPYQSNAC >KZM81611 pep chromosome:ASM162521v1:9:3463044:3464334:1 gene:DCAR_029224 transcript:KZM81611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVMIAALFLAMFVLSSDIKLVQSDSDDCIDACYTSCVFPGDDTGEARVECEKQCDERCAKEANRWES >KZM81744 pep chromosome:ASM162521v1:9:5358926:5360383:-1 gene:DCAR_029357 transcript:KZM81744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKIIVAVDEGEESSYALSWSLKNIFCSSSDTLILLYAKPPRTVYPSVDGTGRPSDILLRQGYLFSQDIVDTMERYGNEVAESVIRKAKKLCQDYPQVKVETKVECGDARDVICEAAEKLKVDMVVLGSHGYGALKRAFLGSVSNHCAQNIKCPVLIVKRPKKASPSSTPVAAMAASSAGGKQE >KZM82854 pep chromosome:ASM162521v1:9:25282594:25284284:1 gene:DCAR_030423 transcript:KZM82854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCVAVVGHQNNPLYIQSFTGADDALKLHHIVHCSLDVIDERVNNPKKAGPLLNETFLGLLYPADNYKVYGYLTNTKVKFILVTTDLDVRDASVRNFFRRFHSAYVDAVSNPFHVPGKKITSKTFAGRVSAIVNSFGSSTATE >KZM82031 pep chromosome:ASM162521v1:9:10173853:10174350:1 gene:DCAR_029644 transcript:KZM82031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHWIPPTQGTLKINVHGTYSTVPSDAGNTSGLGVVFLNSTSSLRHVTVGTISFLSRLGVQLWAICVPLRHALLKRCRSVVLATDNLEAFRVIRDFNLGASASVYDIISQIDILIKDRRWTCTISYVVPARNHVARFVALLGKDLTDRLYTLNKMIGPMEELLN >KZM82157 pep chromosome:ASM162521v1:9:12561743:12562299:1 gene:DCAR_029726 transcript:KZM82157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKACKKLNQIELAECEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGVYQKSNLEIKKADGNGAIIAEQVFEKTKEKFTMY >KZM82525 pep chromosome:ASM162521v1:9:20674729:20676518:1 gene:DCAR_030094 transcript:KZM82525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTNKTSFALFTAIVVLCMTSIFWCNGQETETEGAPMEKREKEALYSAIQGFVGKDWNGSDLYPDPCGWTPIQGVACDIYDGYWYVTTLNIGPIHENSLDCAPNMQFSPPLFELGHLKALSFFNCMAHQSHQITIAAQNWSALSGSLESLEFRSNLGLIGQIPAAFGGLTRLQSLVLLENGLSGNIPPNLGNLPNLKRLVLSGNQLTGQVPESFGALSELLILDLSRNSLSGTLPFTLGGMESLLKFDLSKNQFVGKIPNQIGNLKNLTLLDLSNNKLSGGLPQSFQELRSLQELVLARNRVGGDIMRLSWPNLRSLIILDLSNMGLTSEVPESISELKNLRFLGLNDNNLTGKLPSKLATLTNISAIYIHGNNLTGELKFSQTFYEKMGSRFGAWDNPDLCYQTDELSTSKVPYGVKACQQDVNLYGKNVGTDSKLRSDQDSQMVASLGSSRNGMNGFLYVYLVKMVMTVVLFNIAM >KZM83470 pep chromosome:ASM162521v1:9:32219504:32221371:1 gene:DCAR_031039 transcript:KZM83470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTRKRCENLVCFLLIIPLVLFIVADYAEAEWYNHGGDISNSRSAVGEFKISRKTVKNLHLRWKFFVGNDISATPAIANGVVYVPSWNGYLYALNAFTGDLVWKQHIGQLTGLPATRTNVNASVSRTTPVVAGDMLLVGIYGAGVMIGLERLTGELVWSTTIDSSPLVVITSSGTVHLGALYVGVSSLEETLPANLCCTFRGSMVKMDVRTGAILWQTYTLPDNGGRLGGYSGAAIWGSSPSIDIPRGLVFAATGNLYTAPEEVQRCEEEQNNQTTATGPDRCIGPDVNFNSMLAFDMNTGRIRWSRQLGGYDIFFFACLVPNNPDCPSGPNLDADFGEAPMLLTIFADGSVAANGPLYAMDADTGAILWSYNTGATIYGGVSASYGCIYLGHGYTVGVGLFHPWTRGIAIYAFCVF >KZM81692 pep chromosome:ASM162521v1:9:4558123:4560028:-1 gene:DCAR_029305 transcript:KZM81692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFEKFMVVMLVAAAAGFISVSADPDLLQDVCVADLSSGVKMNGYACKENFTADDFFFAGLSKPGLTNNTFGSVVTGANVQKIPGLNTLGVSFSRIDYAPGGLNPPHTHPRATEIAFVLEGELEVGFITTTNTLFTKTIKKGEIFVFPKALVHFQKNNGKVPAAVIVAFNSQLPGTQSLGATLFAASPEVPDYVLSQAFHIGSTKQVEKIKSRFAPKK >KZM82078 pep chromosome:ASM162521v1:9:10944351:10944959:1 gene:DCAR_029691 transcript:KZM82078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRLQALFVINLLLLLQFGTHQCLMATDLRPISGGDETSTTTSISKLSSGDNFRPFCFPRFCHTEQLRTLGFVHCWCCFKNFHLRCFSKRFVCKELC >KZM83298 pep chromosome:ASM162521v1:9:30570397:30571545:1 gene:DCAR_030867 transcript:KZM83298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEVDEDSLSLTFSVETDKLGCRNVVELYPDGENIAVNSTNRENYVARLVQYHFVDSIKDQVAQFAQGFNDIISSGRLRKSFFQFLELEDFDRLLYGSEKALSVEDWKSHTDYDGYEETDRQISWFWETVASMSAGQRKALLFFWTSLKNLPVEGFGGLTSRLYIYKVSESCNRLPTSQTCFYQLSFPPYQSLKVMQDRLSLITQDHVGCSFGTF >KZM81483 pep chromosome:ASM162521v1:9:1726048:1729204:-1 gene:DCAR_029096 transcript:KZM81483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDENCVSADGSSKKRAFGSSNTLNQQAVSPRKRSVLGELSANGGNVNLGFEDVCTPEMIVKKTLKKIAEKKDGQLKKGHSPLIYQCLRSMEAEEKRRPLSNYMEKVQTDITIGMREILVDWLVEVADEYKLISDTLYLAVSYIDRYLSSHALSRNKLQLLGVSCMLIASKHEEITPPRIEDFCYITDNTYVKEEVVEMEKDILKFLNFEVSNPTTKTFIRSFLRAANENPTPNLKFEILVCYLAELSLLNYGFLRFNPSMIAASAIFLSNFTIQPEKHPWSLALQLYSGYEAFELVECVLALRFLQLCKGFSSQAVRQKYMHPKFKCVAELRPPSAIPPSYFQGHQPNKC >KZM83397 pep chromosome:ASM162521v1:9:31469874:31470884:1 gene:DCAR_030966 transcript:KZM83397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLNSADGNTTNCTYSARISLLPHRSIAMKEANNDLAQAYEYQGFWFLKQALRGLIWAQDHFKPHPAATLVASYPKTGTTWLKALAFSIATRNRFDLSSNPLETSISHQCIPFLELEIPRSPSHKYYPDVPLFSTHVPYTCLPDSITGSDCKIVYICRDPTDTFVSWWHFARKRAPEDVEFVPIEEGFQQFAEGYSLYGPYWEHILGYWRASLDRPDQILFLKYEDLVLNTSFYVKKLADFIGSPFSIEEERDGVVERIIDLCSFKHMSGLEVNKSGEHSNGLDHVTLPNSMYFRKAEVGDWKNHLPAKMKEQMDQIMELKLRDSGLALGSTSNP >KZM81746 pep chromosome:ASM162521v1:9:5373699:5375869:1 gene:DCAR_029359 transcript:KZM81746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLASVPFLVLGNKIDIPYAASEEELRFHLGLDNFTTGKGRVNLEESGVRPLEVFMCSIVRKMGYGDGFKWVSQYI >KZM83248 pep chromosome:ASM162521v1:9:30127955:30128413:1 gene:DCAR_030817 transcript:KZM83248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENSTGDASAAPDFSEDAYEIPDPEFYNFDGNKSLEKFEIGQVWALYSDEDGMPKYYGRIKKIDLLPHCKLHVAWLSVCSTSNDIMQWNDKKIPVTYGRFQLRKLKPSEYTSTAPVSHQVRARVETRGKKEEYVILPRKGEICDSQLTLCL >KZM83408 pep chromosome:ASM162521v1:9:31548459:31549921:-1 gene:DCAR_030977 transcript:KZM83408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESSSSEGAQLGKRPASTALENEGKKRALGPMEESHDIMTIKVKSQVKEVHFSVKRNTKLQKIFKMFCDKAQVEYRSMRFLIDGARVSPTATPEELNMRDGDEVEAMIYAGGGGNAAIV >KZM81856 pep chromosome:ASM162521v1:9:6962108:6982110:1 gene:DCAR_029469 transcript:KZM81856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASPEITELPQSMASQSTSQSASPDRSPSDLSSRSPSKREIQVLIQDFNCALQESFILQKIIPFEDISSVRRAKTAGLFPTAIEITAAGKKFFFSSFLSRDEALKLIEDGWSEHGNGAKSVMDQQDVSPVLSTPENGSLAGEQPRTSNEAVDELESSERNNDVAEDYDTPPGTEAESVSTSSRLQINLEKDAEDIVDTSSSPAKSMVWEPEDSDAPKVGFVKLYEIVFELSFCKVSPYRYSIVGLQVPECYTMVAEGKFPLEVDEFFNLFLSDNALDFLESYHNKCGDKELKCSPWRPHEKYGHARDVSFKHPIKIYLGARYGSPRKIQKLRVYRNSHLIVETTQEISDVPYGDYFCVEEFWDVERIGDGTRVRIYINVAFSKNTMWKGKIVQSTIDECRDAYATWIAHAHASLQKMDLEKEGRNAANLIADGQVHVETQEDIVENSGAPHVQMSDSYGVNQDSIQLEENFRYRDSVLSFLRGSLMKLGSYSKSHSQFPSVVVIIVAAIFLLMQISIIVLLARPQQIHVVPQANYLSSMNVGPAEEAKTMLLLDKQISHLKEEMLMVETLLEKMQLQHALLKAQLQDVLRDRMNR >KZM82992 pep chromosome:ASM162521v1:9:27400126:27402116:1 gene:DCAR_030561 transcript:KZM82992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGQNVDNEIAAEEIDKLSKDEEEAEMVVQCEPIRSLVVYTPESSQEQQEPNQPTPPASETIATHEKDGKEKLAAAAPSVRKSPRLQPIKEDEEDFSIHIVDPEGGENADKGPAEKAQRKVRATKNEGVNKRKAVEEVQHNKEKKLKKKVEAENEDEEVEEEEEKDDDEKPKKILIRAYPSTFSKTISRLSEAQRQWVKSAGFGALLHFTLGEELPHKTIVNCLWWFEHNKCEFGLFPNRNLKITEDDVFDIIGLPQGKLDVKLEDSKDKIQSWGKQFKERQPSRITEKMLREKIAESRDADEHFKQNFMILMANLFIRTDKTSFVCPKILRFSGNFDNARDYNWCKLVIQNLKEAHEQWWNDPKTQYYTGCFVFLLYFYLARTSHPDVRVKKTWPAFVGWKNSCIDDRAKREGLDNNFGYGDIS >KZM83258 pep chromosome:ASM162521v1:9:30191873:30195164:-1 gene:DCAR_030827 transcript:KZM83258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKQSRTRSQGSGPHFQPTPQANSRYARIGDDYSSLEQVTEALARAGLESSNLIVGIDFTKSNEWTGARSYQRRSLHHLGDSLNPYEQAISIIGRTLSVFDEDNLIPCYGFGDDDKPCTGFEEALTRYREIVPQIRLAGPTSFAPIIETAIGIVNETGGQYHVLLIIADGQVTRSVDTNPGQLSPQEQSTIAAIVEASKYPLSIILVGVGDGPWDVMVQFDDNIPARAFDNFQFVNFTEIMSKNVPLSQKETEFALAALMEIPSQYKATLDFQLLRYHHCIYFTLLLSSDRPTSFAPIIETAIGIVNETGGQYHVLLIIADGQVTRSVDTNPGQLSPQEQSTIAAIVEASKYPLSIILVGVGDGPWDVMVQFDDNIPARAFDNFQFVNFTEIMSKNVPLSQKETEFALAALMEIPSQYKATLDFQLLSNQRNTTRRHALPPPIQNSSTTTSGWNYMQSPTVVQGDRMYYTPQPPSTQNILHSQGCPICSYNIKDLAFGCGHQACYECGKDLLVCPECDMRITTKIRLYG >KZM83214 pep chromosome:ASM162521v1:9:29663995:29665724:-1 gene:DCAR_030783 transcript:KZM83214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRSRNQNPEPFFPEEENDSKSKSSTKHSKAPKHHQQQNKLIASDMSAKILKEALIQQKEIQDEADALKPGAASFAFLKDNADALQLDEEEIDHFSGFSETQSQYGGDEEEIDEDDEKLLEAFLSKDYCPQRTLADIIVDKIKE >KZM81492 pep chromosome:ASM162521v1:9:1800087:1800837:1 gene:DCAR_029105 transcript:KZM81492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLSDEFLNPFEVGEGQEVKTSFVVGATGTGAAEHFADMAFVGWLQRPKRDGCGPGGRGGAPDGIEADVCGFWSPFWYGQRHNGIAIRFLE >KZM82817 pep chromosome:ASM162521v1:9:24838499:24839536:1 gene:DCAR_030386 transcript:KZM82817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSLFLLLLLVPSNAENPTHKKPLLVPSNKNLEIKKPLIVSSNSENPESLHVTSNSENLATTKPQPFSFLNHLKGCKKGGNLTGIRELKKYLNKFGYLNYKSTNGSHDNDHFDEMLDAAVKTYQANYNLKITGILDSETISKMAMPRCGFPDIINGTNSMTKKIQGHQHHGSTKLHIVAHYSYLGGSYKWPAGKTRLYYWFETHITSSSIKQAVARAFNRWAAATQYFTFQETLNFQSSDLTITFYRGDHGDGSPFDGPGGILAHAFYPTDGRLHFDADERFSIGAIPNYIDLESVAVHEIGHLLGLSHSTVQNAIMYPSIPYGVVKTNLQPDDIQGIKALYNI >KZM83154 pep chromosome:ASM162521v1:9:29212062:29212722:-1 gene:DCAR_030723 transcript:KZM83154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPRMKMAITENPKKLANLIDLVNLPTPLRDFLGQSQISRLGCFMRVWSYIKTNNLQDPNNKNIVNCDEKLRSILLGKSQIELIELPVLIKLHFPKEPK >KZM83368 pep chromosome:ASM162521v1:9:31220189:31222482:1 gene:DCAR_030937 transcript:KZM83368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEIEIHRDVELVKSPGLQHSLVLFWRVSWKDDHVELTIDANRSGMIGCDEEDETTLLPYQTRFAKSVISLDGGDMPKGVIERVHKKWSSEMSPDIKYYSEEEYPHRDFALSCGIRASFCFPFLFSLSILEIVSTRNQDVGTLETFCKSLEYLSALRYNFRDHELLQDCRNSVLEAVLEAVRQRFDLPLTQYWYNDDYNYNLLHQFGDGNSKVLASWFQFKDVCLHMCFRCLLGVSNESARAFFCNNISALSIINYPLAHYARNCGPIACFTIYLFILVEGKNYGSEYVLEFFLPSQEVDNDYPQNLLNSIWTTVKDSVSNCKLAAREREKLEQVLSVKVINSSSTQTEPASFELGQPQSSLLHYDGSELTPTLKMFDKGRDQNSNSNSYEEAAAGETSILNEASQPRRSEEYFKTKGKTMAAERVDNLVEVSSDDEDVEPVTPASKRLKKSKIPCTVENISKHFGRPIKDAAESFGLSVSTFKRRCRDVGIEWWESRTSQKTDGKSGAKNCSLDTSSLQNRHVVTHSSRVFNMMTVKVTYDAGTIRFELPSSSGIAELENSVIERLHLNRKSFSLKYQDDEDDWINITCDKDVQECMKVSRSFNKPTIKMKLGPAY >KZM83002 pep chromosome:ASM162521v1:9:27502098:27503815:1 gene:DCAR_030571 transcript:KZM83002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGVAVLSSSAGVSGTIYFTQEGDGPTTVTGNVSGLAPGPHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDENRHAGDLGNVTVGEDGTASFTIVDKQIPLTGSGSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KZM83495 pep chromosome:ASM162521v1:9:32438092:32438950:1 gene:DCAR_031064 transcript:KZM83495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANQSAVYASNEANESFVTKKPASNRGDGLFMPNKTGQVLKSAPNGAGLFMPNKTGNKTGQVLKSASSGADGLFMPNKTGQVLIFRSSSKWKSHFQSSKQTNKLMVIHFTAAWCGPCRTMEPIIRDFAAKYVAVEFIQIDVDELEAVAREYAVQALPAFILIKKGKAVDKVVGADKAALQKKIEIYMA >KZM83242 pep chromosome:ASM162521v1:9:29945715:29952129:1 gene:DCAR_030811 transcript:KZM83242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQPPRFSHGSHGRGPPPQFNPILPPNPNFLPPHLNQFLPNPRIPPNFPFQNPNFRLPNPGFPVLRPDVPVVNPNEVLERVDRAVKKARADLVAAGDSVSTWKVRQAALVILKIDSWDSFGFQMQEVPSLYRLMVTEGKINAFIHCFVGVRKITSLHDLELAICKNEGVAKFEELDLGPILRHPLVVQYFGASPNVKDVFKITSEEIISFISKLIRKKKQKEITADELLDYIAKKKSVDKKELLSVRIRSLVMHISYIQQGWNSEQAAINNCLGDANITSGKKSRKLPVSSLKKELDEHIGLKSEPENLGDGNTILGEKRRKHSVPSPQKKKLDEHIGVISEQVSSFTSRHKDISEKHIRFTSSEDDGDTSNNNKGNGNESVPQNSCSSPSQIVKFDCSSCPFPSLDEEIKRLRSKGKAGPIPSPASKTLTHNGQDRPLKRKRISEDLSTGTPSSQMLKRDEIEAHGLSNKKLLSKDKKEQSFLRRINEGDLARDVDTLRTFICIWKEACMENKLTQLPCTLPSLSVVSGSSGTYFAFSTFPNPVFFQSVNSSAKYLATEVHCILSRISNLTCIFFYFHAGSIFLLSLFSVAIVPVSVQTSISGLAQYDVDAPWADLTGTVHLAEPRAPQLAFNNIDRNKILVLDMMIEFYQTRNGDRVKEIFSQKPFAELLNVAVEAIKGVSDSMHGTSQISNHQGGANPHSINNTVIDQLSVGTVEDKVLTGRIGELVAFRYFLGKFGGTCVKWVNETFESGFPYDIAVGNEEMGREYIEVKATKSDRKDWFNLTAKEWQFAVEKGECYSIARVTLQSNDMAKITIYKNPVRLCQLGQLQLAMLIPRQQHGKEIPVSS >KZM81481 pep chromosome:ASM162521v1:9:1710547:1710967:1 gene:DCAR_029094 transcript:KZM81481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGSLTRALVSTARSPSLRPSPSLPRLRPPPLSSPRRRISFTNPRNLGELGCAQSLLPMLAGTRLGSHLSVNVRAFCELTHGTICCSCQDR >KZM83372 pep chromosome:ASM162521v1:9:31246982:31249380:1 gene:DCAR_030941 transcript:KZM83372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEIEIRRDVELVNSQGLRHSLVLFWKASWKEDHYELTIDANRSRMIGCDEEDTTTLLPYQTRFAKSVISLYDGEEEYPKGVIERVYENGRPEISPDIKYYSEEEYPHREFALSCGIRASFCFPLNFEHGVMEIVSTRNQDVGTLETFCKSLEHLSGFRYNFRDHEDCRNSVLEVVLEAVCQRFDLPLTQYWYDDDDDYNLLRQFGDGNSEVLASWFQFKDVYLHMRFHCLLGYSNESARAFFCNNISALSITDYPLAHYARNCGSIACFTINLFSLLEKEEIKEEEEEGEEKEIEGEEKEIEEKEIEEEIEEEIEAYALQFFLPSQEMDNEYPQNLLNPIWTIVKESLANFKLDARERENLEKVLSVKVINSSSTQTEPASFELGQPQSSLPHCDGSELTPTLKMFEKGPAQNSNSNSYEEAAVVETSILNEASQPRRSEEYFKTKGKTMAPERVDTHVEVSPDEDVEPVTPASKRLKKSKIPCTLENISKHFGRPIKDAAESFGLSVSTFKRRCRDVGIEWWESRTNHKTDGKSGVKNCSLDTSSLPNRRVVIHSSQDLNMMSVKITHEANTIRFELPSSFEELENIVIKKLHLDRKSFSLKYQDDEDDWVDMTCDEDVRECVKVWGSFKKPTIKMKLGPPINSYPG >KZM81479 pep chromosome:ASM162521v1:9:1697236:1702096:1 gene:DCAR_029092 transcript:KZM81479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDDEWVMVGRQREKDLWHPSGHVDRISDESKALKVRFNGPAEYWTDALPIGNGRLGAMVWGGVLSETINLNEDTLWTGNPGNYADSNAPEVVSEVRGLVDAGKYAEATTAAAPLLGKPTEVYQLVGDLKIDYDDSHAAYDKSSYQRELDLDTATVKVQYFVGDTEFTREHFISNPDQVILMKISGSKSGSLSFTVYLDSLLNHELQVSTTNQIIMKGSCPGQRTPPELNDNPQGIQFSAVLDVQISENAGKLYALEGQKLKVEGADWAIMLLAASSSFSGPFTKASDSKKDPTQESLKTLNLIRNFSYTELLARHLDDYQNLFHRVSLQLSKSSKTVAKDGPLVTDKYLKDSGDDRVSTAQRVENFQIDEDPSLVELIFQYGRYLLISCSRPGTQAANLQGIWNSNIQPPWDCAPHLNINLQMNYWLSLPCNLNECQEPLFDYMSSLSINGSKTAKVDYEAKGWVAHQVSDIWAKTSRDRGKVVWSLWPMGGAWLCTHLWEHYTFTMDKDFLEKVFSLLEGCVSFLLDWLIEGRGIYLETNPSTSPEHPFIAPDGQPASVSYSTTMDMAIIREVFSAFVSAAKILGKSEDDLVAKVLEAQPRLYPTKIARDGCIMEWALDFQDPKVSHRHLSHLFGLFPGHSITLEKNPGLCKAAENSIYKRGEDGPGWSTMWKAALWARLHNGEHAYRMVKHLIQLRYPTETNFEGGLYSNLFGAHPPFQIDANFGFPAAVSEMLVQSTLQDLHLLPALPRDKWPNGNIRGFKARGGLTVNIYWGEGDLREVGLWTNHSDCTTRLHYRGTIVTIMLSHSRVYTFNYQLKCIKSCAF >KZM81785 pep chromosome:ASM162521v1:9:5977402:5977799:-1 gene:DCAR_029398 transcript:KZM81785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEQDRLLPIANVGRIMKQILPPAAKASDRCHKENRKTVNGDDVYWALCSLGLDNHAEATGKYLHKYREFERERALQNKASTVTQGNSEECRPTTDPSSLEITVMDRK >KZM82005 pep chromosome:ASM162521v1:9:9802609:9810074:-1 gene:DCAR_029618 transcript:KZM82005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEPFDSSGTDDDLPPSHQNRVIRGANVAGNGRSGVVGAVPYARVYGETDMEAQIHHLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGKVNADDVIRRIREWRQSGGLQPGLHTASQAVHDPVPSPSVSASRKKQKLNQSVPSQSFGGPSPFHPAVAAQNQPSSSAARRGPISRVKGKKQKSGHILPGVPSKTQYPPPGPTPRGLLGNRISGAHGSEPAGASSYSPLIGRKVRTRWPDDNNFYEAVITNYDEAQGRHSLVYDMGTANETWEWVNLTEISPEDIQWVGEDPGISRQGGYGGSGPGMNRSGGPGPGRGRGLPKGQSRKDFPPSQNGIGKKSIDDIQLLHTDALIKEVERVFGASHPNPIEMEKARKTLKDHEQALTDAIARIAEISDGESGLSYRLDVITWIQQCARLVLAPGACSALSAYRTSLWTLLSSPNRPHNVSDVSQMNVVISCMVKRWTENNGGRIHEIIE >KZM83318 pep chromosome:ASM162521v1:9:30738304:30739926:1 gene:DCAR_030887 transcript:KZM83318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSPRSSIHLALGGDAVADVLLWKNWIGSLIVLVSSTVFWILFKIAGYNLLQFIANVLLLLVTILFFWAKSASILNRPLPPLPDLDVSDETFLAVAEVMCDWGNRALSIARDIAIGGDLKVLLQVVSSLWLISVIGGLFDFLTLIYVGILVCLSVPVLYEKRQDQIDDKLSTAHSVFQAQYRKLDDMILSKIPFPKSKEKKTQ >KZM83424 pep chromosome:ASM162521v1:9:31677066:31680328:1 gene:DCAR_030993 transcript:KZM83424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSEGSSGHSAGDFAAVAPETKKQSKAKVSRTSMILWHAHQNDVVAVRKLLEEDGALVNARDYDSRTPLHVASLHGLIDVAKCLIDFGADVNSFDRWKNTPLADAEGAKKHSMIELLKSRGGLSYGQSGSHFEPKPVPPPLPKKCDWEIDPTELDFSHSSIIGKGSFGEIVKAGWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQYLKGKGALSPARAVSFALDIARS >KZM82810 pep chromosome:ASM162521v1:9:24724328:24724726:-1 gene:DCAR_030379 transcript:KZM82810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYTTSKFAIFALVFLAFSSQFISTTSCKTSKKDQNQILCPIESETLKSKCGHYINNGGLGVSDDCCELLRQADDVDASLASCLCPRKLHKNRRCHHHHHHHHRVYKPQTAANIVNACNLLREYNVDLSCK >KZM82775 pep chromosome:ASM162521v1:9:23836683:23837111:-1 gene:DCAR_030344 transcript:KZM82775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHKGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAEKINNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIIETVYRGARKGRGLVIAPRDYSTRYRY >KZM82780 pep chromosome:ASM162521v1:9:23906058:23913552:1 gene:DCAR_030349 transcript:KZM82780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIGSPVSASSIKKDSLTFLKSLFNSTEDDVVDSQAAPLPFLSPLANSVISSCSKILQKPTEELQHCFDTEHPNIMKESLVYARSFLEFCSYQALNLMTRRPDYLADKNFRRLTFDMMLAWDGPSAENELNILQETASCSNQEVEDEEGWSLFYSNSTNMAAQVDEDKTVGLEAFARIAPACTVIADVITVHNLFDVLTMSSGHKLHFLIYDKYLRSLEKVIKSAANVNTSSSASNLQLAEGEIILEIDGTVPTQPIFQHIGISGWPGRLTLTNNAMYFESMGVGLYDKAVKFELAADMKQVIKPDLTGPLGARLYDKAVMYKSTSITEPVYFEFTEFKGSSRRDYWLDISLEILYAHKFIRMYNLKKFQQLEALARAALGILRYRAVKEAFQNFPSNYKTLLCFNLAESLPGGYTILQALSSRLSLLDDSSSQHDSVRSPRANRQLRQPISYLTLCRHKIVSIDEVGMDKEEMQQIGDVCVGEINPLEMAVKQSKQDIGRAEAAQATVDQVKVEGIDTNIAIMQELLFPLIESFNHLQRLASWEEPSKSTVFLVLVTYVILGGWMKYVLPSIFIFVAIMMGCNRFANYGKPLEAFNITAPPYRNAVEQLLALQEAISELEALIQAGNIFLLKIRALLFAALPQATDRIAILLVILAVVFAFVPVQYLILLAFYEAYTREMPLRKDSSDQVLRRMREWWYNIPAAPVQLIKPDDKKTK >KZM81408 pep chromosome:ASM162521v1:9:867512:875880:-1 gene:DCAR_029021 transcript:KZM81408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEITGIAGDLVNTFFPTEVGGYKEMKHLKNIKSSYQVKDYKSAMNGINKILAVKKDHGGRHMIVHVVAFRLLHSAMSYRYSFVKIAKNDDKSIFCLIILGFICQSDFDYEKAIEFVQNALKISPSNILLLRYLSILQGQMRNLPAFVECRSQIVTLEPNICFHHIAHAVSHHLNRNCLKAVEILESYEKSQADVSEGMLLYKISLLEESGNIEKALKELLNKKSVIVDNVAFKEQHVSFYHAGLQRCVVSSDTGQYSSNLIEKLKDLYKSLGDQHSSSSAVKRIPLNFLQGDEFRTALGIYIRPLLHQRVPSLFSDLRPLYEQPDKADIIDKVMLELESLIRENNESIPIPLWTLCLLAQHYDRRGRYEIALSQVNEAIKQNEAVAVALGQVNEAIKQNEAVAVALGQVNEAIKQNEAVAELYLVKARILKHTGDLETAASMAEKAKDMDPKNSFTKKKYIKHMLQIDKDVMFTKDKDDQQTSLPNMECMWYELALGDCYYRRGDLGKSLKNYLAVLTYYTKLSEERFDFRSYQSGGLTLHTSVETLRCQERLDPGSYFHKAATGIIRCYMKLYDSSTKLDDEALMSTTVKMPLSKKKMQETTVTRVSNTKNLVDTKARKGVKSHAKELCNKDKETSRSSKYLDPIGAKLLQVENPLLEATKYFNLLQKIHPDSFGTHVLLFELSMRKYDMLEVCKASKNLVRLEEDNPDTLRYLVRFLGRLDSMVLEESVRTALAIPISTLREKSLIKLIEDFLVRNKGWITKEFKELFPFPSYFRTLANNDTSSKSEEEVWVFDSGSTRHGCSKISRLLRKREEVGHFSTSSGKIALYTVVGDVLVNSEDCGRSYFLEDVAHFPRGRNIISVRRFVEQHHCLAQFLLRDSRYISMVAREYILARGFTSGNKYKFIEKFPDKKETDSGWFVDSGSSCHTTCDESCLTGIEDCEQIALRDVDGEVTTSSRKGACELTYTENGVTTRFLMKDVYVFTEGVSLLSVSCFTRDNKCSVLFASDQYYILDAEHDMILKPELICGYGTEHIGIYNLR >KZM81570 pep chromosome:ASM162521v1:9:2746659:2747231:1 gene:DCAR_029183 transcript:KZM81570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRSFPITLLVLFAIILMKTDALAPYAGKSLLDMLMLPMEDPFRILEQTPMTMPKGLVESVAMARADWKETSAAHIISLEIPGIKREDVKIEVEENRVLRVSGELKAEEEIEGEKWHRAERTSGKFWRQFRLPGNADLERVSANLDHGVLKIVVPKLAEEKKKEPRVISIAGDSGSSGESVKTSKAEL >KZM83059 pep chromosome:ASM162521v1:9:28416113:28417837:1 gene:DCAR_030628 transcript:KZM83059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAYTVASDSETTAEEKSSSPFPEIAIGVDIGTSQCSVAFWNGSQVELLKNTRNQKMMRSYVTFKDETPTGGVNNQLSHEYEVLSGAAIFNMKRLIGRVDTDPVVHASKNLPFLVQTLDIGVRPFIAALVNHMWRSTTPEEVLAIFLVELRAMAEVQLKRPVRNIVLTIPVSFSRFQITRIERACAMAGLHVLRLMPEPTAVALLYAQEQQVNVHENMGSGSEKIALIFNMGAGYTDVAVTATAGGVSQIKALAGATIGGEDLLQNLMHHLLPDFDSLISSRGINEIKIMGLLRVATQDAIHKLSYEENVQIDVDLGNGTKICKVVDRTEFEEVNRAIFEHCEKLVIQCLNDAKVEVGNLNDVILVGGCSNIPKVTNLVKGVCKRQELYDGINTLEAAVCGAALEGAVASGISDPFGSLDLLTIQATPLNIGVRADGNCFVPIILKNTAMPVRKELVFTTVHDNQPEALIVVYEGDEKVVEKNHMLGYFKITGIPPAPKGNPEITVCMDIDASNVLRVLAGVVMPGAQTPVNPFMEVRMPTVDDGHGWCGEALLRTYQTNLDVTVLQKNKLH >KZM81388 pep chromosome:ASM162521v1:9:642150:644549:1 gene:DCAR_029001 transcript:KZM81388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGIGLVLARASELRSKIGSYILKKSAQINGITEEDGAEKTHFSGSDMVDNEAVDEDLCNIQDAFESLEAQLSSLQALQQQQHYERETALAEIDYSRQKLLKKLKDYRGEDLEVLKEATAFASETVEDNNDLLLPPYPSRPSRSLASENGFLAHLPSTFTHPQNGFTNGDPSNNLGASPQDSKTYKGQTESKSPFRLFINVATKTVLTVVSVVGFLSLAGFDPKLRKRDAQFKVSGIFQSQETEGKRPWIQCPPGKVLVVEDGEARCLVKERVEVPFKSVDMTPNVNYGCG >KZM81524 pep chromosome:ASM162521v1:9:2140849:2142479:1 gene:DCAR_029137 transcript:KZM81524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNLTRICRDFLELSEAGTRWIEETRDRYVNSVVLWFHMNKEQILIKFKKNPVLCVAVVLLLALIVIHSPILGYEGAVPRWIASWIAWMSIFAVISLTYQIFVEMKRFKLTWKKYKKVYCSGMLLDT >KZM81322 pep chromosome:ASM162521v1:9:16857:18315:1 gene:DCAR_028935 transcript:KZM81322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSISGAFATQQGDCSAFKYQQPHCCKKTPSIEDLTPEAAPQNRSDGCCHGGVLAARAINPFESYSSFEIRVGNLDRNSTEGKPLNLTLLAPGLGYTCGTVEETDPTISSVIGGRREEQVFRTWKSTCTYSRYIANKSPVCCASLSTFYSPTISSCPTCTCGCRPAGQKNTTTCVREDETLSQSNSLANPDIVRCTNHMCPLRVHWHVKNNYRDYWRVKLTISNYNYNRSYPDWNVLIQHPGFSRPALTYSFNSTLLPTAGIPDDVSLFWGLEHYNSDILNAGETQVGSVTTDILLEKDMKSFTLSNGWAFPRKIYINGDNCEMALPDTFPMLPNGSSKQGGGFSNGSCNFLFVLFLILNVFIAV >KZM82860 pep chromosome:ASM162521v1:9:25317867:25319823:1 gene:DCAR_030429 transcript:KZM82860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDQSPNPPDHLYSQALQLKLYQAFIFSIPILFSIILFLLFYLFYLKKRASTFAHSPPVLPRTLTQPPPFIISVCEVGLKEELKEKLSTIVFDEELRARDSLCCVCLGEFEMKEELMQVPLCKHVFHRDCIRNWLRSNTTCPLCRCSVFVVDSTKRVLPPPPQTSPAQLPPTPLQSDSPIQANLTD >KZM83001 pep chromosome:ASM162521v1:9:27495114:27497823:1 gene:DCAR_030570 transcript:KZM83001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRIAARYVSRRLSSSGKILSEEEKAAENIYIKKMEKEKLEKLARKNTKPGEAPAASSGSVSDAKPSK >KZM81975 pep chromosome:ASM162521v1:9:9453502:9455055:1 gene:DCAR_029588 transcript:KZM81975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSQMWSFLGLLTVLQNILPTQILSLLHSFYESLQDLFSPYSTFEIPEFNGYCNVDVNELYRHVNLYLNSVNPSTTCRRLTLSRSKSSNRISFTVAPNHTVRDTFSGHRVSWTHNVETVQDSVDEKRSFTLKLPKRQRAVILSAYLEHVTSRAQEFERVSRERRLFTNSGHAGSFESGWSGGALVPAQVGEILLRNRRDADVAIKGVVLAMQAKILGGDMEAVEGGLEFDYSSGASESLELRLMESPENSDGSPVRNGGKKRRTEGSSWDRKVKFLARLKSLSKSDTERRGV >KZM82225 pep chromosome:ASM162521v1:9:14084088:14085819:1 gene:DCAR_029891 transcript:KZM82225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALPQTYTKSHRSLSCPFFFAALLSLSLLVVIDPALFPVVESIFKARIQAPEFVVAICNYVDAFWEWRNSLLEGVPPEHEFIVQQLNQRKADPNFDLEPSLCDDNANALAEYLADYGADNFKVMVVIAQLFGRIFELWSLDMGLGSSEQRFVAVHEADLAPAVEDGVDAQAPMVDNDVQEGQEVLVGDGGVEIVEMIN >KZM81719 pep chromosome:ASM162521v1:9:4792115:4792831:-1 gene:DCAR_029332 transcript:KZM81719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKAYFYAVKDCFCEKTWSDSPQYKIGYCQQCPNKVQWPANLGPKPPLYFNAGMFVYEPSLSIYDDLLSSVKTTPPTSFAEQDFLNMFFRDGYKPIPSDYNLVHRIEEENMDRKDIKKLVKMWWDIYDDKSLDYKPTTISAPIVAAAEVDHEGIAELLAALSKSGIVKIISTPPAA >KZM81876 pep chromosome:ASM162521v1:9:7469494:7470483:1 gene:DCAR_029489 transcript:KZM81876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSLSPQDLPNPPLAPPANPSGPTNPRRLPPPCWSHDETVALIDSYREKWYSLRRGNLRACHWQEVADSVALRSPNEPGKTSVQCRHKMEKLRKRYRNEIQRLASAVGRNRYNSSWVHFERMDCMEKGPTAVALPVNRMTKIEDDVDEDEEEEEDSDIGFYPKSVRNGYGLGGNGNVGNGVNLCSWNRIPGQGNLVSPTPLAMYNKFDGYSTPKASEVPSFGGFKNGSVGRFGMGNNGNEGRVESKKESNDPAMELVGAIKALGDGFVRMERMKMDMVREVEAMRMDMEVKKTEMILESQQRILESFVNAVSEGSNKKAKRMSSPSS >KZM83475 pep chromosome:ASM162521v1:9:32255782:32256126:1 gene:DCAR_031044 transcript:KZM83475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSRFILVTCIRDLLTSVTKKIKNQLFLVHIESELIVSWRCFYKECTIAGTLLSEKIKADLKMRSAKDNFTSRIPSTRERWECNVSFSPLIVPSISLNVVIESPRQHRHSLRH >KZM82539 pep chromosome:ASM162521v1:9:20836289:20838559:-1 gene:DCAR_030108 transcript:KZM82539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWKKATQGLSSHNQHIYSLIDLSKNKLSGAIPASLGNLKRLKLLNVSSNKLSGHIPQNLGDLESLETLYLSNNNISGTIPQSFTEIKQLSLLDVSNNKLSGKIQRGGQMDRINDPRYFANNRGLCGMQIRVQCSQDELTLYAHEEGDGGEQDSWFLWAGLGIGFPLGFILSVVIAFVSGYLVPTPKHHSVHHRQRVTRNLSSNELWGDIPASFCTLTGVLALGDNKFSGKLSKDLTNLMTLEYLDLHDNNTDASNKLSGKISLYFANNRGLCGMQIRVQCSQDELTLYAHEEGDDGEQESCFLWAGPGIGFPLGFILSVVIAFVSGYLVPTPRHHSIHHRQRVTRSFKSYITLYKSP >KZM83600 pep chromosome:ASM162521v1:9:33215108:33218134:1 gene:DCAR_031169 transcript:KZM83600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVEKEGFSSEPSEDDFLIREVVLTHDPDGRYLDSELLLQAVEDVLCSTATADVSDPYDDATIIEVVGSEESLEQIIYKVSSEMLLKCCGDSDLHTKTMVLLQMLGKYTWDAKAVIILAALAMTCGDCWLIKQLYPYNYFAASAGMLKQFPSDLNLLGIQFKALSMLANTMVELAKCVIKYERLPMKEVHLDYNTMALTKTQIYVATYRIFKGSLEFSAQTTDFVAMNQEKISQTTTIAAWGLSYMVPRLRRLCNDLLKQVDSCHDQTETKQYKELSEIFSKPHNDNQEVFQMLFALKDEIPLKDCSSQAQVNIFELEEKVVLILVSTPEVLPVDQIHFLEQRTHNHHYHKKIGRNYEIVWVPFPTSDTWTILQKRNFNILSKSLPCLCIRKPWLLNSAVLKFIRQEWNYKKQYPLMVALDSQGMVSHYNAMDMVFIWGAKAFPFSTSKEKELWGEQSWSLKLLLDGVDPLLMKRVEEGSNICLYGGDDLYWTKEFTSRLDEIRAAGLNFEVIKIDDKSPSVYDPHKLIQSKKFWLRLGSMKRSILCAENTAPNHRVLKEVLWLLEMNNTSKSWVLIGNKNSEDVLKLQEMEAIECLDLFPVWKEYVGRMGLVRAIKTAFQPNLSGGP >KZM81518 pep chromosome:ASM162521v1:9:2084996:2085605:1 gene:DCAR_029131 transcript:KZM81518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYKSSGRSASSQPLKFAETSSHQRPVAVWSKNWIVGRWIVLVFLAFFLVVVDIQCFRLLRKYNPEDTVYGLFMMGLGSVVGFGFLGTQAQELVEDAKTVNDVPFWCYGLVSGRSN >KZM81847 pep chromosome:ASM162521v1:9:6814103:6817700:-1 gene:DCAR_029460 transcript:KZM81847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRPRATEHTGGNITIDLPIGEIVGANFDLGNAVCSHGLFMMAPNKWDPHSKTLARPLRLNSDGDYDSPSVNVQISHPEDTPSALRLRVFDVEFLSNNQKQSLMDQVRRMLRLSKEEEQNVREFQEMYIEAKKKGFGRLFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQMELKSPLLGSPVCEANNCSASRNRVADIDQFLPKTPVGKEVKRRNGTRKDSSLSAISNAEVEKDVSVNIDCAEISGFHRLDKQGTSFHMRTDKNIYMPFDDSSTLAESAGVNLNLISDANSSEIAHDYSSNYIGNFPSPAELVSIDENILANRCKLGYRAKRIISLARTIVEGRIQPKQLEQACSRPSVSIYNKLADQLKEIEGFGPYTCANVLMCMGFYHVIPSDSETIRHIKQVHKRNSDIRTIGQDVEVIYGKFAPFQYLVYWSEVWSFYEERFGRLRQMIYSDYKLITATNLIPKTPAKQKKNKRIKLT >KZM81608 pep chromosome:ASM162521v1:9:3409041:3409259:1 gene:DCAR_029221 transcript:KZM81608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHMIGFEEIQSDLGTETTTNDCLCKTGHTSRKHLASGTVNRVNLVFRCGGGTSLSGFLGGIITYIIRGAA >KZM83096 pep chromosome:ASM162521v1:9:28671383:28683580:1 gene:DCAR_030665 transcript:KZM83096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKMKKKAKLQRVIRSMKKQQLQSSEKTTSFRSYSPLNHLHDAQGFVEKLYSRLQTADKFEGKCCVSSSFNRFCSYELHNMNIIKNLPGVDALTAFAYFFDAESTRKYVSSRSLAEERQISSLLLGSMLDVVEEVQLARLELRNLIQCIFCSESGNFCTSPLESFFSQNSTMIYHAARHVRARLVSCGRIISKGYNANSFNDVTEKVPLNKRTVFRAKPRVRGCSWDYPGVLLARNITDPSTPSVAAILRGSKTVCRPPRRPIPEEDRRLILRIQILPGYSNFNIHCLATARYNDLAKYLTDEQKRKDSEQRRSESKLESIYIDKPQQNVTEVVKEIKSLPFLKRLTNLWMTKKKEDDELFIAREYIKHSNWTEYEQMSVAEYCYVAMILAERFINGKDNLAHILPLLKYFHNRIYQCNLMEFRVSYVVGFKVLGYYLPSAAHNHQLVPIRKLEEFHVAVSHQRSIMDHPDDYTEGIFLLP >KZM81548 pep chromosome:ASM162521v1:9:2370918:2371085:-1 gene:DCAR_029161 transcript:KZM81548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILKEMIEQMSWCISVRWELQDMRFDAIYNDVRFIAMAVVSTALSCFIAVRSRR >KZM81824 pep chromosome:ASM162521v1:9:6533852:6535123:1 gene:DCAR_029437 transcript:KZM81824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGVSSPADQREKDGAIVPLTSAVPSFPCILKRSHWNKTIADPELTCLLKVPRNPSAVFVRRLVLQEDHDVVKYTHIPLEGVTRGGRVRVRRSPLSLNLMEEEEFNQASFVIQHSSNGLMICSSCTGRCYFLFNPTTKKRVRIPVLINVCWVISMHISFLAPGRHKIIAVYLPRYCDRLQLLVLEPNQNPLQWRNTGVEFPVTGTEVVNYWHGVDMDGVIYWPCYKSSGLMFFDVREETVHWLPEVPHPYNKFSGLAYFGECKGNLCMVADVSMRRGTFDMLELKTDRSMWFIKYHIDLTGRVSRDENLQILVLALLPGEEEESDSYLVIHFLREVVSINLRDGTIRKLCDLGLRTTESRLLRSEPWHYLHPYRQNPVYPRLTAS >KZM82363 pep chromosome:ASM162521v1:9:17924888:17925355:-1 gene:DCAR_029932 transcript:KZM82363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRGHDLYTVGHLDRYRHAFLLVQERKSQLRWTIKCLRHYGIRHTMMHAIGDSYPALEAVAQIRRAELSIGQQGFLTALNHLLVENPLANIRNTGFSYLFLCVFVCEGRRIVLVRDSIVHNFTGLGLPVPEYLLQYVYGWAGASFILEAFATAN >KZM82887 pep chromosome:ASM162521v1:9:25617366:25621137:-1 gene:DCAR_030456 transcript:KZM82887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDTNSRTPEDDGQMDTDDSITNDDITESMGKLIIDESRSSSFKNKPVIIFLVGMEGSGKTTFLRQMVCYTQASNISSYVINLDPAVMNLPFGANIDIRDTIQYKDVMKQCDIRANGAILTSFNLFATKFDQVISAIQNRADQLDYVLVDTPGQIDMFTLSASGTMITEAFASNFPTVITYVADTPCLQVPTTFMNNMLYAGSILNKAQLPLVLAFNKTDVAQHQFAFQWMTDIGVFHAALASDNIYTPNLTQNLSLFLEEFSENLRAVGVSAVSGAGMVEFFEAINTSANEYLKIYRSDLDQGRIERPWLVVERCKEYMYKLRKEMEKTKKETVALSTSLKDREANSMVMTVKECVEGDDKFFGRYSDDEGFTERDGDGEEDDEAVASFCT >KZM81428 pep chromosome:ASM162521v1:9:1128006:1135158:1 gene:DCAR_029041 transcript:KZM81428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQITSSSLYLPTCLFTFFSFCPGNSCDSASLHYPSKPPSSSSPVCLLCAPKLPVLLFQSWSGFLAAVVSPKTLLTIVVIIKIVLCGGSIMFKDFHRRLQRDVKKIVDAGVLASDGRVSDEIKGNTKDTMSGAAVLDEVVKKKKKETKVKKVLLQINLILQRKNEGENKDKKSFDFSDESERVESLADTVVDENNKLTDKIKYKKIKKRKSNKVDQEDKALMEVVVEEQSKDLSTAACDVDNEKKSSKKRKRLVFDENEIQSNSKEVEEAKPKKAKGPEKTTKKDKSAPKTVNAFQRVKIDEVEFADKRLQDNSYRAKSGAEIGYGAKEQQVLGQDRLDGQLEVLLDLNELSEDETVALTVPAVSKDVPAVSKDAKYLAYALSSSGSDWSSNDSKGFSIVVSQLPGRPEI >KZM82914 pep chromosome:ASM162521v1:9:25832884:25834291:1 gene:DCAR_030483 transcript:KZM82914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTHDDLAPSRRGMDLRSRTGAVLMIISVVFGLICFIFSLIAEATRSQVNWASTTNGDAEKHECTYSSSGKTPLFCACGAFGALAIAMIVEHTYMLIAVSKSTDLVTWEPESNSAKNLTWQAAFFFFTTWICFAVGEILLLVGISVESGHLKNWSSSRPNCLVLKQGLFLAAGVFGLTTILLASGLYITILRVQKLLLEEEIVRRQALEAAMLYASPPRSPGHRLETLRNESPAFCDVHNEYVICIINRDKL >KZM81649 pep chromosome:ASM162521v1:9:4001110:4003752:1 gene:DCAR_029262 transcript:KZM81649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLLRLRRATLSLSSVFLNKPISSLSSTLPLTPSNPTPTIPTVVSHFRAFRASNIYLARPRNAFQNDNDDFKIGPDDILFEGCDYNHWLITMDFPKDPRPSSEEMVQTYVETAAKIFGSVEEAKKKIYACSTTTYQGFQVECSEETSKKFEGLPGVVFILPDSYIDPVNKQYGGDKYDNGFITPRPPPTQYGRTGGRFGDRNRDSNRPMRPRGEFNQGNPAYDNRSNTVGSAGNFGPTQHPPPQQNYGPPGVAPMNNSAGGQENYQGYRRDQMSPNQGNYNQGQRGNLYPQGPSVPPVNLNNNAPPPQPPQSGNFSQGVPGQPPVNFNNNAPPPQSPQSGNFGRGAPGSYNQGAPGSYNQGAPGSYGQGAPGSYGQGAPGSYGQGAPGNYSQGAPGSYRQVAPSSYGQGSPGSYVQGAAGSYTQGASGNHRQGASGYEQDAGNYGQGGGGYFGQAAAGSLGHGGGGQEKFPNFGRPDSVHEENQRFSQGEQMDGMRQVPK >KZM81341 pep chromosome:ASM162521v1:9:171889:172638:1 gene:DCAR_028954 transcript:KZM81341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREFNVPPVVFPNSNPNPTPPTQRNRPATAPFQPPRPTTTTSLPFMSFDIGTAPTSTSSTSFSTPQYGAVFPANFDDEPPLLEELGINTKQIYHKTISILNPFKIQPDLHEHADLSGPFIFLLAFGLFQLLAGKLHFGIILGWVTVSALFLYIVFNMLAGRNGNLDLYRCLSLIGYCMLPIVILSALSLFVPQGGAVIFGATGVFVVWSTRVCTRLLVELASCGDEHRGLIAYACFLIYVLFSLLVVF >KZM81926 pep chromosome:ASM162521v1:9:8566816:8571628:-1 gene:DCAR_029539 transcript:KZM81926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDTVPMEDIIARASRLGINLNDVKMDSIHLPPGQDFGILSDDEDLNEEDSLEFEGGFGNIIVVDNLPVVPKEKFEKLEGVVKKIYSQIGVIKDDGLWMPVDPATQKTLGYCFIEYNTPQKEAELAKEKTNGYKLDRAHIFAVNMFDEIEKFMKVPDEWAPPETKPYTPGENLQHWLTDEKARDQFVIRAGSDTEVLWNDARQLKADPVYKRNFWTESYVQWSPLGTYLATVHRQGAAVWGGASTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDSHRVVLNIFDVRTGKIMRDFKGSADDFAIGGTGGFAGVSWPVFRWSGGKDDKYFARMGKNVISVYETDTFTLIDKKSIKVENVMDFSWSPTDPIFALFVPELGGGNQPARVSLIQIPGKEELRQKNLFSVSDCKMYWQSNGDYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAVIHGDNPRPDISFYSMRSGTNTGRVSKLTTLKGKQANALFWSPGGRFIILAGLKGFNGQLEFYNVDDLETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFHGKLLYRIMKDHFFQFLWRPRPPSFLSPEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQEREKRKKLKEEWEMWVSQWKKWHDEEKLERQRLRDGEASDEEEEYEAKEVEVEEVLDIKEEVLSLDYGQE >KZM82715 pep chromosome:ASM162521v1:9:22900150:22903908:-1 gene:DCAR_030284 transcript:KZM82715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGDAIVRRALSSLGKGFDLTSDFRLKFCKGRDSLVNLNQEQTRQLHVPGFGQFEGVSTDIKCGRGDRTRYQSDILDFNHMSEFINQKCSVPGKIPSGLFNSMFGFESESWGTDASKTKYLGLDGYYILLFDLHINRFPLQLRDEVKNAVPSTWDPLALARFIEKYGTHVIVGLGIGGQDTVVVRQDKTSNLEASELKKSLDELGDQLFNGTCSYTPKHQKHKAPPAFNVFDHQSSYFGSLSSVKTKNGINVICSKRGGDLSATTHCEWLLTVPLKPDAVQFNFIPITSLLKGVPGTGFLSHAINLYLRYKPPLTDLQYFLDFQSHKIWAPIHSELTLGPNSIKSRNPYLQFNLMGPKLYVNTTQVTVENRPITGMRLYLEGMKCNRLAIHLQHLSNTPQFLQNRVSDATFWRGSEDIPSDKYLEPIQWKKFSHINTVPVKYDPDWSTKSENATFIVTGAQLYITKHDNSKTALQLRLLYSKVSNSCIAQSSWMQCQSDVSQKSGFFSTISQSIILGGVPDKDDAKKVVVDSSVFPSGPPVAVQTKKLLRVVDMAELCRGPSDSPGHWLVTGAQLQLEKGKICMHVKFSLLNIF >KZM82905 pep chromosome:ASM162521v1:9:25771491:25772710:1 gene:DCAR_030474 transcript:KZM82905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVSHSGCICKIPDKLFSHDEEKYTTPTLVSIGPLHHGKENLTFMDGQKWYYINTLLSRTPNLEKYLDICVEKLRELEWKARNCYEDLKLETNEFIEMMLLDGLFIIELFLKLAVKSLRRRGDIIFNAHETFIRLRSDLILLENQIPFFILRELFTLVPIPEQCSLSLTQLALHFFRSMISGDVQILQKKFGQDFSHLLDLVHQSYLPTYPMVQHSEAETNLHCATKLKALGVDIKKSKNDILLNISFFDGVLQVPYLNINDHTEILLRNFIAMEHCNKNCSKHVTSYVYLIRYLMQSEEDARLLHRRSIFTGLEEEKIVIMFTRMHVEIEVEEFYYKGLCDQIDKYVKAGKQEKMLIGFARFCRKAKALIT >KZM83100 pep chromosome:ASM162521v1:9:28700954:28712472:1 gene:DCAR_030669 transcript:KZM83100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFCTEQIYSDPVFQRTDPSHQGLHSSSTIWLDEVDSSDWFRYITYSKEEEAVRCIQSVYGYTLEGRPLRACFGTTKYSHACLRSVANNTGTMSSKMKKKAKLQRVIRSMKKQQLQSSEKTTSSRSYSPLNHLNDAQGFVEKLYSRLQTADKFEIKGKPDSAETVVLVDGYLTKRACCWFSRLDMQNLPGVDALTAFAYFFDAESTRKYVSSRSLAEERQISSLLLGSMLDVVEEVQLARLELRNLIQCIFCSESGVLLARNITDPSTPSVAAVLRGSQNVCRPPRRPIPEEDRRLILRIQILPGYLNFNIHCLATARYNDLSKYLTDEQKRRDSEKRRSESKLESIYVSEPQQNVKEVVMTHN >KZM81733 pep chromosome:ASM162521v1:9:5038893:5042667:-1 gene:DCAR_029346 transcript:KZM81733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLQYVVVAPFVAHSIYSYLTNDENGRDLTNFLILPFLLSRVLHNQIWISLSRYKTAKGDNRILDRTIEFEQVDRESNWDDQILLTGIFFYLGNLTVKGGANLPLWRTDGILISMLLHAGPVEFFYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEIISYFILFFIPMIMVALTGTGSIVSFFGYVTYIDLMNNLGHCNFELIPRKLFTIFPPLMYIMYTPSYHSLHHTQFRANYSLFMPFYDYVYGTMDKSTNTVYESSLKREGESPDVVHLTHLTTSESIYHLRLGFASWASKPQTASQWYMKYLMWPVTWWSMMVTWFHSRAFVTERNIFENLKLQTWAIPRYNIHGEEMNRNGELFIRRNPKLKVKLVDGSSLAAAVVLNSIPEGTNQVAIKGNSSKVSNFVAVALCRRGVQVSISGGSDFRRLRELCGPETRNNLILSKGCSQQIWLVGDKLQETEQVKASKGTLFIPFSLFPPKKLRRDCFYCNTPAMSAPRNFENLDSCENWLPRRVISAWRIAGIVHALEGWNVHECGDMMFSMDKIWEATLKLGFHPLRVSTSE >KZM82650 pep chromosome:ASM162521v1:9:22211907:22212344:1 gene:DCAR_030219 transcript:KZM82650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPHKAIFTTFILLSIFINSPTTTTSQECPYPCLPSPPIGGGGANSPPSNNPSPPGPFLPPPGPFGNPPPTGFLPNGPPPPYYLNTNAPPPPNAMVPWFPYYFRKPPHGSDQSSSPSTRLSGWTAVIVSTTSVLVFSYGFEFNL >KZM82049 pep chromosome:ASM162521v1:9:10489205:10489402:-1 gene:DCAR_029662 transcript:KZM82049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKQMACAALVAAASMSAVLAQASVEAPAESPLAEGPTNGASAALPALGVIGASLVSVIAFYLQ >KZM81382 pep chromosome:ASM162521v1:9:569641:576816:-1 gene:DCAR_028995 transcript:KZM81382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKYAFACANFASRASPELGMIEDLWLIVIWHVVHFIISIWYCALRLAETVESYLISSGLLMWNKTIDTSRVQYLAIVLDSEEACRTSEVIKLLQCIERIGLRNICLYDREGVLKKSKDIIMEKFSRAKLFEEACTTATTLLDKEPFTLEFVSFSDGKEAIAQAANYIFTNYHKSRYQKDLPCTESHIDEALKATGYGQPYPDLMLIYGPSRCNLGFPAWRIRYTEMVHMGPLKSMKYGSLIKAIHRFTMVYQNYGM >KZM82798 pep chromosome:ASM162521v1:9:24483592:24484505:-1 gene:DCAR_030367 transcript:KZM82798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAATERFYFYFCHQCNHNVAISRMPNTDPLCPSCRYGFLEEIDVPRLNPNRDPVDPLAPSNARTITGVHYGPRGPTWVIMEAPSLTGVIMWGIRGLVGPTFSLCFRIILLAELAENDPDRYGTPPASRTAVEGLPDVVVDDKLLGSDSAQCAVCQDDFEKDMVVKQMPSKHVYHSECLLPWLELHNSCPVCRYELPSDDPDYENRARGIAASGGENDASGNVRFGSPGSQTDTGDDEEGGQFRSFVERTFSIMFRPRNSGTDNSGGDSGSSCPQNMGTN >KZM81879 pep chromosome:ASM162521v1:9:7517136:7519756:-1 gene:DCAR_029492 transcript:KZM81879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELRFIISSIPIFNLSAAVAASRIYNNRKKSLWNLLYIVMLGLLIISLGGTMTTFMASYENYPGGSALKELHNFGYVTNSTDEVRVHIDTFSAINGVSRFCENGFPWRYSKEEEIPLDEYCHRNFTYLLNEHHNIKGFKCLFSVNGFSRLRLQTGFPQVSLPPLGILIFALEGGLPGASNIRPQCPNYISENFGFTEFRTLARKSRHVTKMLLHQV >KZM82869 pep chromosome:ASM162521v1:9:25385840:25386358:1 gene:DCAR_030438 transcript:KZM82869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSYSFPWLPLLLLVAVSLTTTASADQALIDSICSTTSNQTLCHQILSPSVSADRAGLGKIAVDAALQKIHKSQNLIRKIDHEKAYNKTKYQFDSCLEEYDDALSYLKEAKLYFGEHVFEEASSQASAALTMMTTCLDDAPSAPPELTAAINEYKAYLCIVLAVAYVPRNV >KZM81910 pep chromosome:ASM162521v1:9:8343428:8351134:1 gene:DCAR_029523 transcript:KZM81910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIGLVNRIQRACTALGDYGGADNAFSSLWDALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLQQTDKGQQEYAEFGHLPGRKFKDFGMVRQEIQEETDRITGRTKMISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSESIVEDIENMVRSFVEKPNCIILAISPANQDIATSDAIKLAKEVDPAGERTFGVLTKLDLMDKGTNALDVLEGRALRLQQPWVGIVNRSQADINKNTDMMSARRREREYFATSPDYGHLASKMGSEYLAKLLSMHLESVIKTRIPSIISMINKSIDELESELDYLGRPIALDAGAQLYTILELCRAFDKVFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIEGSLNYFRGPAEASVDAVHFVLKELVRKSIGETKELKRFPSLQVAIAGAAGEALEKFREESKKTVVRLVDMESSYLTVDFFRKLPQEVVNPGNPGQSGTPVSTKMDRGNPAASRGERGVTPAAINVDRYAEGHFRRIGSNVSSYINMVSETLRHTIPKAVVYCQVKEAKQCLLNYFYTQIGKKEGKQLAELLDEDPALMEKRLQCAKRLELYKAARDEIDAVAWIR >KZM82426 pep chromosome:ASM162521v1:9:18829091:18829544:1 gene:DCAR_029995 transcript:KZM82426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFEILTQANPTVTMTYITVFGPSLADCLKDASTPVRLAAERCALHAFQLSKGTDNIQTSQKFITGLDARRISKFPEHR >KZM83139 pep chromosome:ASM162521v1:9:29111898:29113320:1 gene:DCAR_030708 transcript:KZM83139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRGKYKDEEKPQVIQKKKAVDVDLMDDVSDCLDKYRVFGIDELVEATEGFDEKWHVQGSVYKGYINGILYAIKKMKWNACEELKILQKVNHGNLVKLEGFCIDPEDGNCYLIYEYVENGSLHSWLHSSRSEQLTWKMRLRVAIDVANGLQYIHEHTQPRVVHKDIKSSNILLDGHMRAKIANFGLAKSGCNAITMHIVGTQGYIAPEYLTDGVVSTKMDVFSFGVVLLELVSGREAINEEGKVLWSTVDGILEKKDGKMERLIEWMDDSLRTGESNLLDSVANVVSVAIACLHRDPSRRPSMVDIVYALCKSDDLFSDLSQDFSPGMILAR >KZM82487 pep chromosome:ASM162521v1:9:20016632:20016832:-1 gene:DCAR_030056 transcript:KZM82487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKYKYPLHAFSLNYWYPLHHVPIELSFELSTRTAIPYKLSSSNQTPLTIKKRKTKFSPGRENNLR >KZM82675 pep chromosome:ASM162521v1:9:22426574:22426903:1 gene:DCAR_030244 transcript:KZM82675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSGVRKYDCGEWVVQRVAWTELNAGGRFFGCVNGRNGCNYFRWFDPPVCDNARGVICGLLRRIKEHEDELERLKMEHMNELQAVKRTSNWFKYVVFVVVFLFASLIM >KZM82966 pep chromosome:ASM162521v1:9:26581754:26584464:1 gene:DCAR_030535 transcript:KZM82966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDVYRVAGSTSKKVLPRGTKCVNLEKENMEKKYVNSKDVASLGLTSGVRKLILSGYTNGANGDIACDEYHKHKEDVHLISETGLGAYRYRSVKSAYYREAVRAMVVYDMTKRLSFDHIPGWLDELRVHADKNIVIMLIGNKSDVGSLGAIPTEDDQKLTEKEKLCSMETSSL >KZM83583 pep chromosome:ASM162521v1:9:33116412:33124099:1 gene:DCAR_031152 transcript:KZM83583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLCNHLHSSCTLISSLQESQNFINLRPNSLKFRLDKSRIRLVYPTRRKRVSSFASVNGYSAVPGSDSDETHVEFGEKVRNFVENFMAFLPGGKWWKFDDDVQIEMMAKPVTVWKALSRMWELISEDRVLVFAAFAALIVTALSEISIPHFLTASIFTAQSGQVAVFHRNVRLLVVLCVTSGICSGLRGCFFGIANMILVKRMRETLYSTLLLQDISFFDAGTVGDLTSRLGADCQQVSRVIGNDLNLIFRNVLQASGALIYLLVLSWPLGLITLAICCALFTIMLFYGKYQKKAAKLTQEFTASSNEVAQETLSLMRTVRVYGTEQHETRRYNKWLDRLADISLRQSAAYGIWNLSFNTLYHSVQVTAVLIGGMSILAGTLTAEQLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLSPSEQFTHQGLKLEKLDGHIEFKDVCFYYSSRETVSVLQYINLVVHPSEVVAIVGLSGSGKSTLVNLLLRLYEPKSGQILIDGYPLKDLDTKWMRERIGYVGQEPRLFHMDISSNIRYGCTREITQEDVELAAKEAYAHGFISALPNGYQTIVDDDLLSGGQKQRIAIARAIIRDPSILILDEATSALDAESEYNVKGVIRAVRNDLKSRRTVVVIAHRLSTIQAADRIVVMNGGRIVETGSHMELLKKDGMYSQLTGKQAGAVA >KZM82360 pep chromosome:ASM162521v1:9:17870053:17870355:-1 gene:DCAR_029929 transcript:KZM82360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYQNLGVLVLVFLLTLRVSHAQSICNVSTASLTECKPSVSGPKPTPPTEACCTAISHADLNCLCSYKKSPMLTYFGIDPVLANQLPAKCNIANAPKC >KZM81415 pep chromosome:ASM162521v1:9:944482:956231:1 gene:DCAR_029028 transcript:KZM81415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAHMELKTSSVSNKRKRLHSADASIRLHSSRDHPHAAQCPASAAISSENSCSAMKMCQDLGHIPASSLGLMNQSMQKCPVSLDLKGDEITLNNNGGFSWFSFSSGRKTKEFMESSETKRKKAEEAMESSAKKRQKVDSSMPSAEEIEEFFSAAERHEQKRFAEKYNFDVVKDMPLEGRFFPEKATSHAFSVLKELLKELRKKPCPVVLAAFSGGAKACMYKIFQIIQGASEAQLSLGDSQLVINCISGQIYDSSPVDFTDDLGARFALHPALLKVPGSTKLASLLGKGVTSSLDALFITRFGSQRSEYWQTLYSSVNLGSPYLLLCSGNDDIAPYPVIHNFALRLQDLGANVQLVKWNDSPHVGHYRLCPIQYQAAVSELLETAAQVFSHKILKYGERSGLEGMHDQICELICDLQIAAVDSNQSLRRVALGPNDHFFLPSSAEQQNEGNYGSLQEDRKERSPRSSSPPRINGHSVLGQVLFDACVPKNIEGWDIKFSGSLNGQPLASVRRNSPLSALKSFRRSRL >KZM82557 pep chromosome:ASM162521v1:9:21069053:21070318:-1 gene:DCAR_030126 transcript:KZM82557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGMAPEKLFADSINLSNNKLSGDVPDSLGNLKGLKLLNLSYNKLSGYIPRSFGDLESIETLDLSNNNISGTIPKSFQKLNQLSVLDVSNNKLSGKIPRGGQMDTMNDPTYSANNSWLCGMQIRVKCSGDEITPEAQEEDEDDDEDEPWLLWT >KZM81396 pep chromosome:ASM162521v1:9:671379:672296:1 gene:DCAR_029009 transcript:KZM81396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFLGHIVPGFAFALLGAWHVVNTIRGYHLRGSSFVSRFWHPFHSPVLNLKYLELILLLSFAVFAILMQILDFPLMRFSFELDNFEHASMFLHVTIFASFTLFSELSQASESLSGVSGMLAASVFGQELFLLYYHSADHVGLEGHYHWLLQLIVIVSLVSALSATSFPSSFPAALVLSISVVFQGCWLMNMGFMLWVPKFVAHGCTVKLGEASNSMLGAITCESHDAGMRARALANLQFSWILSGILIFVGCLCLAFTRKFPFRGKSAGYEQLHSRGRDVTVAIDSGKQVHPQSSLSMDGVFEL >KZM83384 pep chromosome:ASM162521v1:9:31366468:31366917:-1 gene:DCAR_030953 transcript:KZM83384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGWPNDIDNIATILNNSGPAPPEHIRKDVLRRCKRYNYVWVGKNKVTCLEPHEIEYIMGYPDDHTSVLNTTDRYKCLANAFQVNTVAYHLSVLKNLFSDGIKVLSLFSGIGGAQVLK >KZM83609 pep chromosome:ASM162521v1:9:33324161:33325738:-1 gene:DCAR_031178 transcript:KZM83609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGFYLQSKFCDDDEKNNHARLLNYKLSGTKRYSAPYGYKFEESLSDCFTPFVDETQNHKRLKETSCTDEFVSNEESIYSSSANTSGFYSGNSSGSTESLNSVSKPHFRDHIWAYTQRYLAAETMEEAAAALVAGDEDEVKEEGSADGMRLVQLLIACAEAVACRDKLHASTLLSELRANALVFGTSFQRVASCFVQGLSDRLALVQPLGTVGVVIPHLETMAISLEKEEALSLVYNICPHIQFGHFVANAMILEAFEGESSIHVVDLGMSLGLRHGHQWRSLMYSLANRAGKPRRLHITGVGNCAEHLLEIGDDLEQYAFNLGLNFEFSVVECNLENLQPRAFKLIEGETLVINSILHLHCVVKESRGALNAVLQKLHQLSPKYLILVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDTMLPRYDTRRAKIEQFYFAEEIKNIVSCEGPARVERHERADQWRRRMSRAGFQSAPIKKITQTKQWLEKMKVSDGYTTVEEKGCLILGWKSKPIIAASCWKCSSV >KZM83566 pep chromosome:ASM162521v1:9:33027630:33027806:1 gene:DCAR_031135 transcript:KZM83566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGYKYTVDKNSDVDSVAFGFGFDHATSDYKAVRIVQKITEGELLEGNQCGVRYRTFS >KZM82464 pep chromosome:ASM162521v1:9:19766218:19775536:-1 gene:DCAR_030033 transcript:KZM82464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRRCMSLVLVLGVLFLRKDCLAADNGSVVIKRSSFPEGFVFGTASSAYQYEGAVKQDGRGQTIWDKFAHSFGKVVDFSNADVAVDQYHLFNDDIQLMKDMGMDAYRFSIAWSRIFPNGSGKINQAGVDHYNNLINALLANGIEPYVTLYHWDLPQALEDKYEGWLSSQIINDFATYAETCFQKFGDRVKHWITLNEPHTFATQGYDVGLQAPGRCSILLHLFCRAGNSATEPYIVGHNALLAHATAVDIYRKKYKGSQHGSVGLAFDSFWYEPATNSTEDIQATQRAIEFHLGWFVDPLIFGDYPSSMRTRVGSRLPRFSKEQSSLLKGSLDFVGINHYTTWYAENNSTKIIGILLNDTLSDSGAVTLPFRNLKPISDRRANSIWLYIVPNGIRSLMNYVKTRYGNPPVIITENGMDDSNSPFTSIKDALKDEKRIQYHNDYLTNLLASINEDGCNVKGYFVWSLLDNWEWAAGYTSRFGLYYVDYKDKLKRHAKDSVQCANKQHSILYKFSVNTKMNCSQSLANPSTFANNASIVIKRSSFPKGFIFGTASSAYQYEGAVKEDGRGQTIWDKLAHSTGKVIDSSNADVAVDQYHRFHDDIKLMKDMGMDAYRFSIAWSRIFPDGSGQMNQAGVDHYNNLINALLANGIEPYVTLYHWDLPLALADKYQGWLSSRIINDFATYAETCFQKFGDRVKNWITFNEPHNFATYEDIVGLQAPRAGNSETERYIPGHHVLLAHAAAMDIYRKKYKGKQQGSVGITLDSFWYEPATNSPEDIHATQRAMEFYLGWFLDPLMFGDYPYSMRTRLGSRLPKFSTSESTLLKGSLDFVGINHYTTWYAKNKLNNSTGSVHNDTMPDSGAVTLPFRNGKPIGDRAHSKWLYIVPHGIRSLMNYVKTRYGNPLVIITENGMDDQNIPTMPIKNALKDEKRIQYLNNYLTNLLASIKEDGCNVKGYFAWSLLDNWEWTSGYTSRFGLYYVDYKDNLKRYAKDSAHWFKNFLASS >KZM81757 pep chromosome:ASM162521v1:9:5503765:5511043:1 gene:DCAR_029370 transcript:KZM81757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGILQFSVASVVGDVLQKHGKELHAIDLESRKANEDFVNRSEATHWLRKMIGVVAAKDLPAQPSEQEFRLGLRSGIILCNVINKIQPQAVSKVEAPTDHTVIIPDLKAKSTNQYQENVKKFLLVAEELGFPTFELTDLEKGGNTSNVVETVLAMKSYYEWKERGALGTWKYGEMWDADKQVPRRSTEIPIRRSLSRMSSGVSLDSFSSEGFGLNPTDMSGASPLHILIRDLLCDKKRDEIPIIVENMLHKVTEEFEHRIANQTEQAKENRIQEHESMKQDIRDMDGPYSSQTEQAKENQIQEHESMKQDIRDMDGSYSNQTEQAKENQIQEHESMKQDITDIEGPDSNESISSPGSAESKIEDKESSKYVKEEDFNENYEHDYGGITQNMKQLVEHYQKNCITQSMKQLVEHHQKNLQVLKHDLYTTKADMQNLKMKYQDEVHGLGEHLHKIAHAASEYRKVLEENRKLYNQVQDLKGSIRVYCRVRPFLRGNSNQGSGTVANIDNGKITLITSSKNGKDEHKSFSFNQVFSPSATQEQVFSDMRPLVQSVLDGYNVCIFAYGQTGSGKTFTMSGPDNLTEETLGVNYRALGDLFLISEQRRKTIAYDIGVQMIEIYNEQVRDLLAPDGANKRYPSHSQKGLNVPDANLVPVASTLDVINLMNLGHKNRAVGSTSMNERSSRSHSCLNVHVRAKDLTSGSEFYGCMNLVDLAGSERVDKTDAVGDRLKEAQHINKSLSALGDVLSALANKTAHIPYRNSKLTLLLQDSLGGQAKTLMFVHISPEYDALGETISTLKFAERVSTVELGAAKTNKDTSEVKDLKEQIAKLKASLAKKESENIQQSKLVRMMSAGASISSSNSQGGGNTSSEEDGYLKDNTSAWASPTGSPQSNVKPDSGKWVDRIMVNKPNSRKIYPEQPDKKHIIRSNSSSEYEMSINRYDLATTTDESDIEAAASDNSEPDFAKVTTMTVLGSKNRSPTPRQAKTTPIRTPIPQPSVRKPSNGARSGIPKTGRQPVDARRKQGGGK >KZM82104 pep chromosome:ASM162521v1:9:11508852:11516572:-1 gene:DCAR_031811 transcript:KZM82104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFPYKNFEDMQMDVKDMSFFPDESYDSVIDKARHKCWGKLLKPGGVYMLITYGDPKVRVPHLRRPVYNWNIELYIIPKLRRTAKGTYKTEFKLKATDYKHEFSDSSEVAVQVLDSGFLKELSPLFEAEVIDLEAVVWEGICAKNIFEQAVHSWSENLAKEQDADANSPLEDSLAFTREREQDLDMIEVMETHGCGASFG >KZM83194 pep chromosome:ASM162521v1:9:29515330:29518739:1 gene:DCAR_030763 transcript:KZM83194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAISSSFSTSMQSLNCIRSKNSSHLSDHLRLATFKPQVSPISHSLFIEPVIIIAATKGHARRSMTAAVAQEQAALTADTEDVEAEVVLKAEDEVVNDEVESEGEQVMSSGEDSPVNTKLYFGNLPYLCDSAQLAGIIQDYGSPELVEVLYNRDTGKSRGFAFVTMSTVEDCQAVIDNLDGSVYGGRTLRVNFADKPKPKEPLYPETEHKLFIGNLSWTVTSESLTQAFRQYGNVVGGRVLFDGDTGRSRGYGFVCFPSKAEMETALQSLDGAELEGRAMRVSLAQGKRE >KZM82554 pep chromosome:ASM162521v1:9:21048649:21048819:-1 gene:DCAR_030123 transcript:KZM82554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSLSKCSAKYFACLIYHFQAAEEVEGFDDFENKQKVISTESSLSFCKNNRKPS >KZM81632 pep chromosome:ASM162521v1:9:3689125:3690410:1 gene:DCAR_029245 transcript:KZM81632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGFQLVMVLPIFMALSFFGMYEARAGRLLMNAPFQLPAIPNFPFPPSLGSQGFITLTFLLNVSGTNAIARLVYYVDWDFNTKDWPSGKPFHAGDIIVFNYNRNLHNVVTINETKYEDYLNCVVSDKANILSTGKWK >KZM83286 pep chromosome:ASM162521v1:9:30417948:30419957:-1 gene:DCAR_030855 transcript:KZM83286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSKRLAERKIAKFEKNISRKGSSANSWKKKLTAENIALALFASVIIGSFVYQIIKLAMNAE >KZM82963 pep chromosome:ASM162521v1:9:26366439:26370447:1 gene:DCAR_030532 transcript:KZM82963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQESFIYSFVARGTMILAEFTEFTGNFPAIATQCLQKLPSTNNKFTYNCDHHTFNFLVEDGYAYCVVAKDTVGKQISIAFLERVAADFKKRYGGGKAGTAVAKSLNKEFGPLMKQHMQYIIDHADEIDKLIKVKAQVSEVKSIMLENIDKTIERGENLTILNDKAETLRDSAQEFKRKGTQIRRKMWYQNMKIKLVVFGILLLLVLIIWLSVCHGFNCAN >KZM81575 pep chromosome:ASM162521v1:9:2802171:2803169:1 gene:DCAR_029188 transcript:KZM81575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVLPPAKQSFAVSGFNPFAFSANAPIPKFSSNGLCGSYGLSGGLPLLTESSLPPLVPYIKESQYAFPHKLGVETQAKNVTSSSQRNYVICDQSDDLIRVFLSSFGPSTGKLIDSPVKPVQVGCTRVVEQISINEQTIPMNPKIQGASDDHHIFVEESEFREDSEEINALLYSDDEYDYNYSDEDCDGEVTSTDRSPSKVEENLYNDEQVGDMIEEVATSDGSTKRQRLLDGGYKKSLVVEHANSGENDTSREYNNDLESSSACPRAIADVLSSNLSIKRSRKDKIHETLTIIETIIPGLKSKEPTLIIDEAINYLMSLKLKAKALGLAYS >KZM81465 pep chromosome:ASM162521v1:9:1514739:1521602:1 gene:DCAR_029078 transcript:KZM81465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGIHGHVLEVTVVGCTKLKDTEWISRQDPYVCLEYASSKFRTRTCKDGGKNPTFQEKFVFTLIEGLRELSVVVWNSNTITYDELIGSTKVQLQKVLSQGYDDSPWTLNTKTGRYYFYSIIYYPSSMVFFSYLLHSLVMSAAPVSRYAGEVRLIMHYANANSQKQPKTYAPSAPPYAAPSVLSDYAYSRPTSYPAPPSSTPPYPQPSSSPYPPPSSASPYLPSLSTYPYPPPSSASLYPLPSSASLYPPPSSSSPYPPSKSAALYPPQASAYPNPSNISHQHDSAPYPPQPYPPPSVYPPQPYPSPSVYPPQPYPPPAQSSSQYPPENLEAFESFEEEANEKECNFDLVEKDLSWEDEEFVSLFHREKQTHVFPEEIDHSLVVARRRAIEWMLKVKAHFGFSCLTILLAINYFDRFLCSFQVEKNKPWMKHVAAVACVCLAAKIEETLVPPLPDLQVISDHMFKAKTIRQMELLVLSTLQWRMNTVTPFSFLDPIVRRLGLKSDLHCVIFKKCEALFLSAVSDGRFVRYLPSVLATSALLHVIRQVEPLNAVNYQNQLFNVLKSSKENISGCYELIGNISTTCSIDQKKSRKRNSEEMQDTKNETIDVF >KZM82552 pep chromosome:ASM162521v1:9:21023458:21023709:1 gene:DCAR_030121 transcript:KZM82552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAYLAVVLVVVAARMLVEPGEALTCGAPEEQRTGAVCNVYTRGDQPEPSGQCCNAYRALRATAKTRAERSQLCSCVQEAT >KZM83453 pep chromosome:ASM162521v1:9:31934500:31935444:1 gene:DCAR_031022 transcript:KZM83453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAHYGDIAVLSSSDLSETKLLDVTSAELHMLGSINGLVCLFFDKQDQFIVWNPAIRQAMKFGSPRKQFLLGDMKRKFHGFCWDAVENDFKVVVSYYEWGNFDSPLSLYIYSCNLGSWSSPRNSLFNEVWCVGRGLPIAIVSGVPYWTYCRGTLKLFKFDVISKDFRELPELHLFDSKKDVSVVNLKECLSALVYDYVQSINSLVDVHCFDKGLGVWSKMYSVGPINGDMFSCGWSDMQNVRVTGKLLGCFKHGGEIVFSANSKYRCYDHKTDEIRNLRSQEGYTHKCFSYKASLIFLKGMKPQHQVEPTLWK >KZM82695 pep chromosome:ASM162521v1:9:22600878:22601392:1 gene:DCAR_030264 transcript:KZM82695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLSHLNLRSNELWTILLGFRESYYEDEEKDELETGLGDKRFILKKPLIRVSRYRQARFLAKDGASTRTSHVEFRRAFRRVRKSRAWTWCWAGLVIDSELVEEVDYLIQSLVEDELMRQWLVHDGSKEESCADEVIVIDD >KZM83310 pep chromosome:ASM162521v1:9:30697389:30700032:-1 gene:DCAR_030879 transcript:KZM83310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAKRFEGKVVIVSASTQGIGFGIAERLGLEGASVVISSRKQNNVDEAVEKLRAQGVEVLGLVCHVSNAQQRKNLIDTTVQKYGKIDVVVSNAATSPSFRSILDSEESLLDKLWEINVKSGILLIKDAAPFLSKGSSVIFISSVGAYSPGSLKGMYAVTKTTLLGLTKALASEMAPDTRVNCVAPGFVPTRMNDYISRNELLLKAIEEKILLEGFGRTQDMASAAAFLASDDASYITGETLVVAGGIPSRL >KZM82772 pep chromosome:ASM162521v1:9:23801047:23802857:-1 gene:DCAR_030341 transcript:KZM82772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKGSAIRIRSCARELLSIRDDLMDDDESWDFFRRNLELKSTFLYCDLSKVISGAPHDQKKSLTKLGNRLFCLIEELDDAVKIQSISQAQNRFSDLALVLEEVVAMDLMPPPMDSDD >KZM83542 pep chromosome:ASM162521v1:9:32804065:32804775:-1 gene:DCAR_031111 transcript:KZM83542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEPSEDHRKFFPTLPPPPPPPSDMFTVPPPREKSDSNGSVVVVLVVIVVAVVLLFCWVLYKLSLHEKKKREDSKKNNVCKVEAFHNELKKSRSGKIADVPVYGPDRRAGKYSGRFLEARYNEEISYAYEGDVESGLDNVDSSPGEEFFKNKPKKLANAAKDDAKSNAKKGEQDMSTGSKSESAHNGQSKEVESVEDDANSNAPDRNLKAGGFEEGPSRSKDEMTRNARATKKGKR >KZM83620 pep chromosome:ASM162521v1:9:33393116:33396767:-1 gene:DCAR_031189 transcript:KZM83620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAEVEEHLFSIGEPKLHGGMCKILSAIYCRVLAIFPDLEAARPRSTSGIQALCSLHIALEKTKNILQHCSECSKLYLAITGDSVVLKFDKARCALEDSLRRVEDIVPQSIGCQISEILSEIQGIDFSLDPLEKQVGDDIIALLQQGKKFNNSCGDTNELEIFHQAASRLGITSSRAALRERRSLKKLIERARVEEDKRKESIVAYLSHLMRKYSKIFRSDFSDDNDSQGSTPCSPTVQGSFEGISGSDSNGQAFERQLSKISSFNFKTNFRRSGQVAVPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFGDGHNTCPKSQQQLSHLCLTPNYCVKGLVTSWCEQNGVIIPDGPPESLDHNFWRLCLSDSESADSKLLESLGSCKFKGMKVVPLEESDIIEEAEENEQENGSAVEEEVNVFARYEEFLTILENEKNLRKKCKVVEQMRHLLRDDEEARIYLGANGFVEALMRFLESAIQTGNEMAQEIGAMALFNLAVNNNRNKDLMLEAGVLPLLGNMMGSSSSLSAATALYLNLSCHEEAKSIIGSSEAVTFLLDVLQGDTNPQCKIDALHALYNLSSLPSNIPHLLSAGIVSALQALIKDSSDRTWTEKSIALLINLASSKTARDDIVSSPDLISVLSAILDIGEPIEQEQAAACLLNLCNGNDNCCQMVLQEGVIPSLVSISVNGTMRGKQKSQKLLMLFREQRQREQPPVEVDDMPESSEMALPSEELKPVYKSISKKKLSKNLSFWWKNKSFTVYQC >KZM82760 pep chromosome:ASM162521v1:9:23697243:23699360:1 gene:DCAR_030329 transcript:KZM82760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISIFVCLCFLARATDSKNLACDLQDFTALVGFLNDLESGIGGWGNDSVADCCTWPGVTCEFVHHIANITLSRVVSLELPHKRLKGKLNKSLGGLEYLRVLNLSNNFFWDHLPNELFRFKFLEVLDLSSNDFIGNLTAEISLPSLLVLNLSDNWLEGLFSTGICGVASRLQVLDLSLNLFTDKIPQTIRNCSFLEVLSLGSNYFEGNLPEDLFQLSKLRELNVEDNQFTGLLSPNVSKLTNLVEFEISTNGFFGTFPDTFYNLRKLKRFSANSNRFIGSLPPSLTSSQSIISLNVRNNSLNGSIALNCSSMVSLISLALSSNSFSGPFPENLSSCKKLTSLDLSRNKFSSQLPESFKNLTSLSYLSLSNCSLQNLTATLAILQHCQNLSFLVLTLNFRDEVMPDYNHLQFSRLTTLVIANCPLSGSVPYWLQSCSNLQLLDLSWNRLTGHIPNFLGAMKLLFYLDLSRNMLSEEIPEGLTKLENLSNQNVTLVELSKDFYIFTSSSTARGLRYNLIQGLPPTLDLSSNHLTGPIMAEFGNLKQLHVLNLSNNNLSGHIPESLSNITSLETLDLSDNSLIGIIPPSFARLSFLSKFSVAFNKLSGHIPTGGQFSTFPESSFAGNEDLCAYKSTRCPSVPEEKPGENPIELEDEKVFVIGLPFATGAVAGFILVVTICYLSGWLFREEDTQKRVEIAWKRMFSTSH >KZM83181 pep chromosome:ASM162521v1:9:29414701:29423780:1 gene:DCAR_030750 transcript:KZM83181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKCWFNKFRSKNKARSSRRNETTSNGREGSNAPTEEAPSVATKQKVAAAKQYIEKHYKEQMKNLQERRERRNMLEKKLADTEATPEEQTNILKYLEKKETEYMRLQRHKMGAEDFEPLTMIGKGAFGEVRICKEKTTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEENLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRHGHMKLSDFGLCKPLDCSILQEKDFSVGKNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEKRLGTKGAYEIKAHPWFAGIEWDKLYQIKAAFIPEVNDELDTQNFEKFEEAELQISTAAKAGPWRKMLSSKDVNFMGYTYKNFEIVKDHELPGISELKKKTPKTKRPTVKSLFNEEWDSASPPPAQGSFLSLLPTQLEVSKQDGSA >KZM83557 pep chromosome:ASM162521v1:9:32976169:32977959:-1 gene:DCAR_031126 transcript:KZM83557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAQSEPERGGNNRPFSIPVDSEHKATKLKIHSVAAPHMRAFHLSYVSFFACFVSTFAAPPLIPVIRDNLNLTSTDIGNAGIAAVSGAVFARIMMGTLCDLFGPRVASASLMLLTTPAVYCCAIANSAASFIVVRFLIGFSIATFVSTQYWMSSMFSATVVGTANGLTGGWGNLGGGATQIIMPYVFSIIHGIGATKFTAWRIAFFIPALFQTLAAYAVFFLGQDLPDGNFSRLERSGDKHKDKFSKVFYQAVTNYRGWILALTYGYCFGVELTVDNIIAQYFYDRFQVNLHTAGIIAASFGLANIFSRPLGGYISDVMAARFGMRGRLWTLFVVQILGGLFCVLLGRLGSLTASVTVMIIFSVFVQASCGLTYGVVPFVSRRSLGVISGLIGGGGSAGAVLTQVIFFRGSRFKTETGITLMGIMIICCTLPILLIYFPQWGGLFWGASSKGITEENYYMSEWTEEEQEKGYHKASLKFAHNSKGERGKRVNSAPSPPKGTPESTLPG >KZM82705 pep chromosome:ASM162521v1:9:22787366:22787660:1 gene:DCAR_030274 transcript:KZM82705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMLIQFNRTRLDIVEMVFFPICAFEHFYLIFYNIKNAAYEIIDNIDREIDAQIVTVINQGFWYASIM >KZM81836 pep chromosome:ASM162521v1:9:6675989:6677000:-1 gene:DCAR_029449 transcript:KZM81836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMELSWPIFPPRSLLSRRRKRLKKYKQGLGTSDTAESNQYFKDLGKHGKDFIWVDGDAILLAFGKKKIEAGKNWLRQFKLGTFLDQNEKRDRIFTGNSFSHFLFTDYELL >KZM81572 pep chromosome:ASM162521v1:9:2787877:2794060:1 gene:DCAR_029185 transcript:KZM81572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASTASDPSWFSPKRLLVIFCVINLINYVDRGAIASNGVNGSQRICETGGTCSSGSGIQGDFNLNNFEDGVISSAFMVGLLLASPIFASLAHRVNPFRLIGVGLTVWTVAVVGCGISFNFWSIAVCRMLVGVGEASFISLAAPFIDDHAPVHQKTAWLGIFYMCIPSGIALGYVYGGLVGTYISWRFAFWGEALLMLPFAILGFVMKPLQMKGTSLVGDHFAVAYLHYTLNVSKQFVDTGFSASGLSKKFGSLENNVPEVEGFPNGSHSPSEDYKPSSLKDESAITAQLSRFLKDMKVLLADKVYVVNVLGYIAYNFVIGAYSYWGPKAGYNIYNMNNADIVFGGVTIVCGIVGTLAGGFILDLMTATISNAFKLLSVATFFGAIFCFVAFCFKSLYGFLAFFAIGELLVFATQGPVNYVCLHTVKPSVRPLAMAMSTVSIHIFGDVPSSPLVGVVQDYLNDWRKTSLILTSIFFLASAIWFIGIFLHSVDRFNEDSEHSVNGSGASSSIPLLKEDAIETAEPTAEL >KZM82158 pep chromosome:ASM162521v1:9:12568463:12569614:-1 gene:DCAR_029727 transcript:KZM82158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYGADEEEPDEEYDDVDDQNDAVDDEDVDVDDDSISSADPPPPSAVTIAVAGVLTTPEPKQTADSLALTVNAERKPLPLPLEESRKLFQRLWTDEDEIELLQGFLDYTLQRGGHSHNSHHDTTAFYDLIKCKLQLDFNKNQLVEKLRRLKKKYRNVLSKINSGKEYVFKTSHDQVTFDISKKIWGNVGTVHRELDEDEGNGNLHNLNLPLMNDDNNMNVDGNGDCSGSKAVKSRKRSRANVKIEEKQIDHTPVLAQPQPQPQPQPQSVVVPPQAVVTNSLMGSIPNLIEETVRSCLSPLFKELLSNAMSGPRAGGMINSTMTNSMIAPLGIGGAPMNFAGGDMDDKWRKQQILELEVYSKRLELIQDQIKSQLEELKSMGN >KZM81803 pep chromosome:ASM162521v1:9:6223138:6225284:-1 gene:DCAR_029416 transcript:KZM81803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELSDVYKVVVAMVPLYVALMLGYGSVKWWRMFTPEQSDAINRMTCYFIIPFLTFQFTTHLNPYKMNSLFVAADVISKCGIGAMLGLWAYFFGGSYEWCITGFSISSITNALIVGVPLMRAMYGSVGVDLVIQSAIMQNTFWLIVLFFMLEVRRARLDFDSVAAVEMSSGKDLEGSGGVHEVDVSVVRPSVWAMLKVVFEKLAKNPNCYACIVGLIWAFVAARWHFEMPSIMEGSILVMSKAGTGTSMFCMGLFMASNKKIIACGAKATAIAMGLRFITAPLAVGIACVAVGLRGEVLRIAIVQSALPQAVVTFIYAKEYGLHANTMSTGVIFGTALSVPITIGYFAVLDLLQI >KZM82402 pep chromosome:ASM162521v1:9:18388588:18390035:1 gene:DCAR_029971 transcript:KZM82402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDASMTIAIKAVAEAIKDVAKVREVPAEADREFADYTCACYSLQKCWIATIATLIATIISIVAAIPLAGFQEIYQDNLMSARDERMRKTSEYLRNTRILKLQAWEDRYRL >KZM82721 pep chromosome:ASM162521v1:9:22969164:22970093:1 gene:DCAR_030290 transcript:KZM82721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINNQDNNNNRQINNVASSKHPLQSAKSIDTQSVLKRLQAELMSLMMGGDPGIYAFLEEDNIFCWKGTITESKDTVFEGTEYKLSLSFPTDYPFKPPKVKFETGCFHPNVDIYGTICLDILPDKWSSAYDLSIILLSIQSLLGGSSTVGKSRRIQEDGGEIVQACSLASNFFTFGQRERGG >KZM83626 pep chromosome:ASM162521v1:9:33466574:33477379:-1 gene:DCAR_031195 transcript:KZM83626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKSDGNNSGGMSSAFNLLFSLLIIYGLMSYFVYSLVHMKFVKPLPLDAPLHRFSEARAIQHIRVLAHDIPARQEGQPGLRQAADYIKSQLHLLQDRAGPDFRIEIEETSINGSFNMMFLGRSISLAYRNHTNVLMRISSAVSQEDDPAVLLNGHFDSPPSSPGAADCGSCVASMLEVARLIVDSGWVPNRPIIFLFNGAEELFMVGSHGFMKTHKWTKTIGAFINLEASGNGGPDFVCQSGPGSWPSLVYAQSALYPMGSSANQDVFGIVPGDTDYRIFANDHGNIPGLDIIYLIGGYYYHTTTDTVERLLPGSIQARGENVIRVVKAFTMSTKMRNAYDRESHLVDEGIEHERPIFFDYMSWFLIYYTRRQAMVLHSIPIAVFILVPFFLRLSHLGFWCSIAMFGDFIIGLIFHVTGIILAILVPIIFSIVRLLFVSYSMNWFGNPYLAYMMFVPPSIVGLLIPRFVWRSFPLCQDPSKVNSSAEELAGEARFWGAFGQYALMTLGYLVAGLGGGFLYFFFSAFMLLAWFSFSLSIKSFGRHSLRSAACYIVPLLPCILYVVYFGGVLIQFLIEKMGMMGSLPPPYGYFIPDVIVAAVIGAVTSLSVGPLVPVIGNWLARSSIMQFLLHITVVTLALSSQLFPYSKDAPKRLVLQHTIQTDAGQIIDSTFDISVLDSNSLVFLFKHAPGIASELEIGPDFSLETADLSSRERWMMDWEGQKLAEQLMQTMLMAFALVAFVTGYLLGSFETVLLIYAAGLVFTSLVTLPDWPFYNRHPVKWLDPSEAEIHPKPQFDSTSAKRKASNK >KZM83015 pep chromosome:ASM162521v1:9:27695443:27696741:1 gene:DCAR_030584 transcript:KZM83015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFKSVFSDDPDDSTPQTTPTLPPNPEPNPNPNPDPAHDPTREISTVTTAWSFGTTLLKNLASKSESVIDTYRRDLEEFSSGIRKETEVIRQAASKAVHDLPGSLEAGAAVAQEKLESVGQVIDDFTDIIVRNRDILVVNDRGEEYDYPRGGGEGGGNVRAYSRVEALVRGVECDLNTYCREVEEVEEFEEWRKGFGEGERAGAIEEAVEGNGVIREIFEEVVPGKVSEEVFWERLFFRVWKVRKAEEARSRLVRRAISGDEEELSWDVDEEEFEECEERTLERGDAVEGKGRKLENEEEGGGKELGIGSLEETDLNGDKKVEETELSVDKQAGESGSSVDKQAGRIDEKVTSEGKTDSDISVISSQLSPEEEDLGWDEIEDIGSGDERKAAADVSPNKADLRKRLSVAAAADEEEDLTWDIEDDDEPVKS >KZM81547 pep chromosome:ASM162521v1:9:2365015:2367609:-1 gene:DCAR_029160 transcript:KZM81547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQTALMVVSSSLRFADSLVSRVQHDGTEKSAWRTCLFFYSQAAFRLNRSLDSSLGSTADDVQTWLSAAASNIRACHGGFFDVNVTSDIFPLVMLNNVTELISNCLAVNFGFLRQEGIRREGVIKQSQTDKVLKKDGALMKRADCVVAQDGSGNFRTIREALQAAGRRTRRISDFVIRVKQGVYSEIVEVRQSNIVLIGDGVGKTVVTGNRRVADGFTLYESATFKVSGDGFKAVGMTFENTAGVAAGQAVAMASTADRSVFYRCSFKGYQDTLLAQSNRQFYKNCQIYGTVDFIFGNAAAVFQDCTIHLRKPQPGGGLVVTAQDRNGPHENTGFTIHRGRVMAAPDLAPFPQIKAFLGRPWGDFSRTVYLRSTLDTLVDPAGWLAWGGAPPRRCDTLDYGEFENHGTGASTHRRVKWRGYRVIRDRRTAEAYDVDRLINGKVWLPGTGVPFDADF >KZM82000 pep chromosome:ASM162521v1:9:9725524:9726918:1 gene:DCAR_029613 transcript:KZM82000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAIYSTDAYRVKLRRRHLFAKLPTASHGSLLFKSKKSKQKEWLKLLSYVSSGADFHFPVPGDCSLLSHFVGNGSCYKALKRRKTTRNVKKKERGSTAAAQAFCSDNSDAPRTAESSAVVAEMVRSTDRKRLIKEDIPARRPFTDITNQDRTVKRKRRETRDKSRTKNTRIENAELKEYSRNLFEEEFIHGGITTGIVYDENCEETRFQECGDTLFDSDNSNDDYVDPISEDSSSGESDIGL >KZM82301 pep chromosome:ASM162521v1:9:16988468:16988815:-1 gene:DCAR_029799 transcript:KZM82301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEEQGEEEPIGGQNETEREETGSAADPLPFFHRIPKKKMPLLRGEDTDEWEKSGKSYAEFREKLRGPTGYKAVFMPTPGHRKFQPPLSQQGGTPLVSTPPLNLQGGQNHFQPLH >KZM82043 pep chromosome:ASM162521v1:9:10432821:10433135:-1 gene:DCAR_029656 transcript:KZM82043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRDGWMEKEGGGTGGERERGGGGGTERDGWRETEGGGTGGERGGTGREREGGRERGGEVRGGYRERRGERLKFKTREMEGGRGWGICCKSIYVVLNILVKLN >KZM82931 pep chromosome:ASM162521v1:9:25985471:25987989:1 gene:DCAR_030500 transcript:KZM82931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNRIVGIICLFLVLLHVILVAAQDIPQPQGDPAAAAAGGGDPAAAAAGGGDPAAAGGGGGAGGGGGPADGGGAGGAGGLGGGGGLFGGPLGGGGGLFGGGLLGGGPGGGGMPLLTGNPQDVIKAGMHFTLNHLEEVVKKSPLLVEAKNDPRTTAAYNVCMNVLDRSTKDLQRTIDRMNLFDFDLDDLDDRLFDLKVWLSSASKGQHTCCDAFEKTSGEAGEKMKELLKVSQEVTVIGFNMIDKLTIVFQGLQGKAGGQSMAASRKLLQDPLPPDQVPAWVKPNWKNLLSGDAAKAKANAVVAADGSGKFKTICEAVKTIPADNPDMFIIYIKAGVYAENVVIGPNQPNVMVIGDGPTATKITGSKSEKSGANTLESSTFGAEGFNFLAKDIGFENTSPADAGPAVALRIASDKAIVHNCHMSSFQDTLFAQVYRQFYRDCEISGTIDFIFGGGITIFQNCKIITRKPAPGQADLVLAHARHFANDVSGIVLDGCSISSEPDVSPDKGVQVYLGRPWKPYSKMLVINSQIEGSLSPAGWDVWTANEPNTENSYIVEYNNKGPGADVSKRVTWPSIKKITPQEASTYTPSGFLKGDSWIPVTGVPSSGCTGGAAASFPDATAASPGASAPSMASTAPANAPSPGAAA >KZM83313 pep chromosome:ASM162521v1:9:30708147:30712853:-1 gene:DCAR_030882 transcript:KZM83313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAKHHQTQEPTSPPKSPSSTSTLSRSDDHKSFFTWVFLDQSNFWHAGLSWSIFSLLAIAVPLLSHFVYHCNTCDRNHRRPFDAIVQLSLSVLSLISFVSLSDFSRKYGLRRFLFLDKLSGVSDQVQRGYTQQVHVICHLQILRLEDFAQVFHKDSDVGLILLEHLAIRRTLRIISHRFRRFILLTLILVMASQFASLLVTTKKGSDFNFFNGGELALTSITLVTGLLICLRSAAKITHRAQAITSLAAKWNACSTINSFDDMENETPRAQVAAPRVDCIAGNLDSNNEEGEEDAIDNTNMMPIFTHTMSYQKRQALVTYFENNKAGITVFGFMLDRSSLRIVFAIQLSLTLWLLSKTIGSSPIFNTNLE >KZM83511 pep chromosome:ASM162521v1:9:32549487:32551022:-1 gene:DCAR_031080 transcript:KZM83511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTHSEYDLEIEHVREPVHNTKATEGEYGLGIEHVREVVPSHIRELKDTKATEGEYDLGIEHVREFVPSHIRELKDTKATEGEYDLGIEHVREVVHSKLKDTKATEGEYGLGTEHIGDPFRSNIDDTKATDGAYELSIEHVGEPLHSYIFDAPEDTRKETEGEYNMEIEQMRRADHRICISSELECRKAIEGERDMGIEHGQSSNRLNRYDEEVQEIGDTKTKVLPIFFELLELVEKGDQAVEEHSIPRSEVLDVTELLHDIEGVDVARSVLEKEVEYMKNESDVHCPITQFQKEILEILLKICDTEACQTTICKLIGKAKGGRVSCKHCKVVQVNEEANQ >KZM82837 pep chromosome:ASM162521v1:9:25118450:25120777:-1 gene:DCAR_030406 transcript:KZM82837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSNKGAPSNGSVYVCNLPFGTDDNMLAEHFGTIGVLKKDKRTGKPKIWLYRDKVTNEPKGDATVTYEDPYAASAAVEWFNNTEFHGATIGVFMAESKKDDNSYSAAVPVEEISLGGEFGGQEESAADRNGGGGRGRGRGDVPAAKAWQQDGDWTCPNTSCGNVNFAFRGVCNRCGSARPSGPFGGGGGSGRGRGRNSESGGPGRGVAAPTGLFGPNDWPCPMCANINWAKRNKCNVCNTNKPGTNEGGVRGGRAGGYKELDEEEIEETRRRRREAEEDDGEMYDEFGNLKKKFRAKLQQAETGQVLPGTGRAGWEVEDLGMSDRDRRERSRDRGRERERDRGRDRDERETNKLREPEDRDRRRSRSRERDRGRDRGRGYDNDRDREYGRDRERDRDRERERHRY >KZM83333 pep chromosome:ASM162521v1:9:30960465:30964006:1 gene:DCAR_030902 transcript:KZM83333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPGGDSGSRVKFARRTSSGRYVSLSREDMDMSGELNNDYMNYTVHIPPTPDNQPMDSNVATKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSDVNHPQMAGSRGSACSMPACDGKIMKDERGRDITPCECRFKICRDCFLDAQKETGLCPGCKEPYKTAEDYEEEAEYSSGGLFLPAPDGKKRDGKNMSMMKRDQTGEFDHNKWLFETQGTYGYGNAYWPPDEASGNDGNEGFEGGMVETSDKPWRPLSREIPIPNSIISPYRLLIAVRFIIMIFFLIWRLQHPNEDAIWLWFMSVVCELWFFFSWILDQVPKLRPINRSIDLDLLYEKFDRPSPSNPTGRSDLPGVDFFVSTADPEKEPPLTTANTILSILAVDYPVEKIACYVSDDGGALLTFEAMAEACSFADLWVPFCKKHEIEPRNPDSYFSLKGDPTKNKKRSDFVKDRRRVKREYDEFKVRINGLPDSIRRRSEAFNAREEMKMIKQKRESGGDPLEPIKVKKATWMADGTHWPGTWTSPSADHAKGDHAGILQVMLKPPSSDILLGESDDNLIDFSDVDTRLPMFVYVSREKRPGYDHNKKAGAMNCLVRASAILSNGPFMLNLDCDHYVYNCKAVREGMCFMMDRGGENICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGVQGPVYVGTGTMFRRFALYGFDPPDPDKLLHKETDATSPETQPLNPMDFDPDLDPNLLPKRFGNSTMLVDSLPVAEFHARPIADHPAVKYGRPPGALRPPRQPLDATAVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVLSGYRMHNRGWRSVYCITDRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFFASKRLKFLQRLSYLNVAIYPFTSGFLVLYCFLPALSLFSGQFIVQNVNVAFLSYLLVVTICLISLALLEVKWSGVGLEQWWRNEQFWLISGTSSHLAAVVQGLLKVIAGIEISFTLTAKSASEDDDDIYADLYLVKWTSLMIPPIVIAMVNMIGIVVALIRTVYSTTPSWSKFIGGAFFSFWVLAHLYPFAKGLMGRRAKTPTIVYVWSGLIAITLSLLWVAVNPDAGPSNQAAGGDGFQFP >KZM82052 pep chromosome:ASM162521v1:9:10498712:10501130:-1 gene:DCAR_029665 transcript:KZM82052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYSNFFTGGWYNFVPLQQHYSQPQNQSFPPPHSPPLSEKEALPLLNKLTRNNQEPSSSSSSCFSIDVGQNNDGHLSSASDRVDESMNVTLNIGLPSPSFSSTHLEPTSEEKSEGGVDVLLGLPLNRLNKGQYWIPNPSQILIGPNQFSCPMHMWGHGSQYRKGPDSLKGTQPTAMLRLPCYCCAPGCKHHIDNPRSRPLKDFRTLQTHYKRKHGIKPFMCRKCSKAFAVKGDWRTHEKNCGKIWYCFCGSDFKHKRSLKDHIKAFGRGHGAVGIDCLDEEDEAVSDNEIEQDGESSV >KZM82127 pep chromosome:ASM162521v1:9:11826123:11828290:-1 gene:DCAR_031834 transcript:KZM82127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNIKPLALDKSLLVSLIKKCTTSRDLKLIQAHIIRAGLAHDTILASSLIQAAAITLRRHVAYAHCIFSWTHQPNVFMWNTIIRGYALSDSPTKAVTLYKHMHLCGISSNSFTLAFVLKALCKLSRLEEGRMLHCQILKKGLCFETPVINGLMRLYCICGCVKFARYLLDEMRDKDMASWSILISGYVENDMKSEALALFKYMQVEGVDTDEFTLASVARICGHLGALDLGRWVHSYIDLKSINIDVVLGTSLVDMYSKCGSLDDALIVFQKMVKRDVAAWSAMIGGYAIHGYGQKALELFDSMRKADVYPNSVTFTSVLFACSHSGLLDEGCKLFDSMQVEYGCVPELEHYGCMVDLFCRSGHVNRANEFIRTMPIKANAILWRTLLTACKTYGYKELGERIIRDLLELEPLGGDNYVLASNLYASLEFQAWKVRIQDAEQLVSGY >KZM83032 pep chromosome:ASM162521v1:9:28128874:28129265:-1 gene:DCAR_030601 transcript:KZM83032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLDEAYSEEVAVILRAMYAAKRAKENDVPDQIEEGLYLGSIGAANNRSVLKSLNVTHILTVASSLPPSYPNEFKYKIVDELINFWS >KZM81427 pep chromosome:ASM162521v1:9:1122692:1126456:-1 gene:DCAR_029040 transcript:KZM81427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLGVLFRLRGASSLITSPATANSSNMSRNIMSVPTYRFGENAAKYREARLKGEILKSNCRNADQDPDNQFVQKGDTIDLNDLLFTKERDYLIKNNNQQVKAEHFEGKVVALYFLPLPHHTFDYNMIKWDASFVMDEYKELQQHNNFEVVLVPVSGKSSFKDSTDFPQFVSTDYQHHFDVLFSYMPWTAIPVFDVASRERLQKSFGLSQRYIYSRQMLAIVDPMGKVLQYDAWNIFFEYGALGFPFSDERIEYLREEDDDVIKQPSLKKLLTSPQRDYVISNKEDQVPIHTLEDKVVALYFCTGTKSPGNIEFFEELKLAYKELAQVGNVFEVVLIYGREFGYSSDWPSEELFLEELKGMPWLALPYQDPRCKKLNRILEISSSKQDAPGFGGLVMFDPRAEFIEPFGSHIFCLYKIHGYPFTRRRVARLETEKVKDLKLEMICEQNSVRRMKDGAQVPLCQLAGKSILLVLEHEDRDGYESPNADFLTMLKKLYRKAKGTCHEFEVVRVLLGSEESATSNQFVGRMPWLVSQASEWIHANLGSYIWHNKSLDTLVYFPLFAFDQDGKLVRKTKYPTIDDTCFPFTGYDLEEEALAQLNTQFEWNYWDYKGGSIYSHSDFKKSVDLSRFLLGR >KZM81710 pep chromosome:ASM162521v1:9:4742045:4742671:-1 gene:DCAR_029323 transcript:KZM81710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGTERKKRKVEDDEEETEDEEMKKFFALIKSTRRLGGVLDKWKESQEDPVKENQEKLLEAATGWCPKFQPEDFEIDKIISVQAPAINVAGPSTPAEDDQQKEEIAGEKKPVKRAVWQDPSRSENRSKYDTNRV >KZM82277 pep chromosome:ASM162521v1:9:15436008:15436360:1 gene:DCAR_029839 transcript:KZM82277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVINIGSVPEMGGVPEIGNTNWPAWPGQNVLHNMFNWGLGIRCRICTVARRAYHASFVSTIWCTRNSGKK >KZM81422 pep chromosome:ASM162521v1:9:1088747:1091247:-1 gene:DCAR_029035 transcript:KZM81422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYKDLRPYNNFEVVLVPVSGNSSFKFPSELHPVGQQHFDILFSDMPWTAIPFSDVASRELLQRIFGLSRRHITSHYMLAIVDPSGKILQCDAWNLLFEFGASSYPFSDEKIESLREEDVAIIKQPSLRKLLASPQRDYVISNKGDRVPICTFEDKVVALYFYTGTKSPANLELFEELKLVYKELAQVKENFEVVLIYGREFANLSNWPSEELFWEEFKTMPWLALPFGDPNCKRLNRIFEISRNEQFANGGLVIFGPHAEYIELFASDILRLYKIPGYPFTRKRVAQLETEKVKELKLEMICDPNTVVRMKDRSQVPFSQVAGKRIILVLEFNERSGDKSLNANFLMMLKRLYRKAKGTADKFEVIRVLIGREESSMSNHITGRMPWFVSQASEWTLANLGSLIWHDKPLDQLSYFPVFAFDEDGKLVRKTRYPTIEDTCFPFSGCGLEEEALSQLNTCFEWNYWDYKGRSIYSHSDYKKRVNLSNFPFGRHY >KZM81354 pep chromosome:ASM162521v1:9:274774:275815:-1 gene:DCAR_028967 transcript:KZM81354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLRDEKGNPIQLTDQHGNPVQLTDEYGNPVHITGVATTGATTGHHDHGVGGASHGAHGGTGTTTGLGTGTGTTGQTHGMGPTGIGGTHGVGSTGIGGAHGGTGVLGQTHGMGPTGTGAAHGGLGTGQSGLGSSYATHGGLGTGIGTGSAPASAGSHAHAPGKKTALGEQLHRSSSSSSSSEDDGQGGRRKKGFTTKIKEKLGGGKHKKDEHTTVATTKTTTAAHPGGAAVAVEHHEHEKKSMLDKIKDKLPGHHSPTSH >KZM82233 pep chromosome:ASM162521v1:9:14184343:14184699:1 gene:DCAR_029883 transcript:KZM82233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGYSFNNNGVLRSEAIKLLENGKLGCYADEFTKQVVNLEAVVGEGIFAKDVLHHAIMGIMHKIVPKLYISPSRSSWRDDVSGLMSVEQVLVLMGFTPGAAEVSKEKEGFEGGSNNV >KZM83501 pep chromosome:ASM162521v1:9:32474666:32474848:-1 gene:DCAR_031070 transcript:KZM83501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNRLGIALTLSLFLENRPNTACQRAAGILAQVTANSRLALGAQSQGPSYHWLVSTSDE >KZM81668 pep chromosome:ASM162521v1:9:4313543:4314913:-1 gene:DCAR_029281 transcript:KZM81668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFSYNSYPESGDSSPRSREIDFENPPPWEDSNYKVKFMCSYGGKIHPRPHDNQLAYVGGETKILAVDRSIKFAHLAGKLSAICDNSEVCFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRASSKPARLRLFLFTVSSQTGSFGSGDAKSDRDRFVDALNTGPVQAPVVPPPNNVDFLFGLEKGAVPPSAVVAAAMARARDPGYSEADVVIQNHEDRIVSDRHDPIQKHIQDLQRLRISEEQQPQAAAFRRKSDEYYVQKMPEKLAPSQTLPAHLPAQSVPIPATYWPEKQMPYPPSSIGPDHQQQQQQQQQVYMIPSQPNMYQMARPVTGPAGQPNQGYYMQRMTPEVYREQPVYNVVSQAPQPTLPPQVVPKTTPFQATTTVMDSGYTQVAYDSASGRQVYYTTPPGVVSQPQGPVPLQYQPAPGYAVAGNYNQEGGSKVVPVKVSQTSG >KZM81327 pep chromosome:ASM162521v1:9:87011:87874:1 gene:DCAR_028940 transcript:KZM81327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSFLLLPLALCLVFQAHYAAAQGAVMEKAAKESMVRTACAATTSPELCSTTLGAVVNSPYLDPTAITVTAVQAATKTAVAAFDIINMSLTVDVQLLADPSVRQSLSICASEYKAAIDSMNKAVSSMTQHVDTTQVPTLLNTAMGSVTKCDSSGIKTTNKVVQIAAKNIDCSKLIKNALEIYQVYATYIMNPTHDPQKGTAPIPNVGGGGAGKIGAALAGGQMGAGGGGKPFGGAVAGAVKNFQGSMKVGNSMPRPLLGGGTGGGYMPALMKGGSAGTPPGNTKR >KZM82217 pep chromosome:ASM162521v1:9:13958493:13963443:-1 gene:DCAR_029899 transcript:KZM82217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRRNCVRCVRILKGQAAIFGKWTCFRSLFYVDRVWIKIETEVFRTIPRFTAWSDKDLRARKRKETSENLFGKGRIRSVDESTEQTQEVARNVDEERKREQMIVELENLAFILVESRKQFDAANIQFNKFEETIGTTNKQFDTSIQQGAEVETSKINDPVSEEREVEAEKQVEEERQVEKERTIEEAEEEREVEAEKEADGAQKEIEEERPVEKTVSPVQSSKEIEQEKPVENIVSPVQSSMGSEVLRMVDDAEKDYQKKIMAQEMASNVNVVGIATEAVSGLQDERTSDTEIPVAEHKASEQEQAAQEALDPKQLVTTQVVDAFCHILNMNEMYKAEQSPLRLFVPHHVTVYVLSHSNLEEREQHFKKFVRDFDDVLGAYDHIKFNDVDLIFFSMTIAEHHYLLCFNIKKPSFEVIDSSALQPDFDAKYQQIPQNIRDFLVRYMVLKIHPNAEDIASLVPVRLEMKWRTEHNHIDCGLFVMRQMEHYQGVSKNWDCGLAVEGKVQDQQLDVLRTRYARQILLHECNKQKHHVEFQIF >KZM81962 pep chromosome:ASM162521v1:9:9202401:9204391:-1 gene:DCAR_029575 transcript:KZM81962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKLRVVKKLGNQPQVNYGVIERQNRQYTYSEVISITRNFQRVLGKGGFGTVYHGYVGDNQVAVKMLSPSSTQGYKEFQSEANLLMSVSHKNLTSMVGYCNEGTNMGIIYEYMANGSLHDHLSGRSNGILSWEVRLQIALDTAQGANLSRGLSQPKQGEAPPQWTSSLGKILLCL >KZM82348 pep chromosome:ASM162521v1:9:17731967:17738065:1 gene:DCAR_029917 transcript:KZM82348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMRLIRANTSSCFLLSTTARTFSTSSASASASAAAIEAERSIKEGGRNNWSRDEIKSVYDSPILDLLFHAAQVHRHAHNFREVQQCTLLSVKTGGCSEDCAYCPQSSRYNTGVKSQKLLSKDAVLEAALKAKEAGSTRFCMGAAWRDTVGRKTNFKQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKEAGLTAYNHNLDTSREYYPNIITTRSYDERLETLKHVREAGINVCSGGIIGLGEAEDDRVGLLHTLATLPTHPESVPINALLAMKGTPLEEQKPVEVWEMIRMIASARITMPKAMVRLSAGRVKFTIPEQALCFLAGANSIFTGDKLLTTPNNDFDADQQMFKLLGLIPKAPDFSNDAKGKDFEAENLQGHINPMLQFSKRLASKGLMVTLAATSTISKSLIAEHDGPVKMVSIPDGSQGLSTQQWDSSLAESKEASDDDSPAARWARYQPAVSQGLIELIERHNKESPCNQFRVLIYDSMMPWCLDIAHRLGLKGASFFTQSGTVSLLYHHAHVGTLQLPSDGTVVSVPQLSVPLEVRDLPSFIYDTGANPAIVKTVTDQFSNFKRADWLLFNTFDKLEDQVSFLLAKHALVIV >KZM82871 pep chromosome:ASM162521v1:9:25394195:25395378:1 gene:DCAR_030440 transcript:KZM82871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDEISKDHLKHVLEYRKSVLQKEEAMACINQEAPVWMEALGK >KZM83225 pep chromosome:ASM162521v1:9:29776942:29778310:1 gene:DCAR_030794 transcript:KZM83225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNISKSYDRGSELKAFDDTKAGVKGLVDAGIAKIPRIFVEPATDDTGILPVSEGTPKVHAPVIDLTGIHDDPVRRKEIIQEVGDASEKWGFFQVVNHGIPLDVLEDMLTGVRNFHEQDFDEKKMWYTRKPISWRKLVYNCNFNLYRSSTTNWRDTFNVPVAPSLPKPEELPEICRDILLQFIKEVQKLGCSVLELLSEALGLPKERLIELGCGEGLNILGHYYPGCPEPELTLGTSRHSDPTFITVLLQDLTGGLQFVHNNQWFDVPPCPGALLLITNDRFISAEHRVIAKHVGPRISVACIFYTGLNPTLKRYQPIQELLSDDNPPKYGKTTVKDYLLCCQMNNIFGKDALSYFKI >KZM82975 pep chromosome:ASM162521v1:9:26748428:26748748:-1 gene:DCAR_030544 transcript:KZM82975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVTKMVSGRPVVIFSKTNCCISHTVKSLLHDFGVNPVVYELDEISRGREIETALAMLGRNPVVPAVFIGGELVGGANEVMSLHLQRXSLMKSLGAVKSRQLWQC >KZM83461 pep chromosome:ASM162521v1:9:32125983:32128152:-1 gene:DCAR_031030 transcript:KZM83461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAWYFQAVSSCSEEVAAVHRKDFIIPPGDVTRKPDDPSFVQKDAYWTAQDRFLIVIGVTTFVDALRRPQIDVAEIRFRAVTPEAANMTLPRKPTVRLSEKNYNAQKRSITK >KZM81906 pep chromosome:ASM162521v1:9:8283094:8286081:1 gene:DCAR_029519 transcript:KZM81906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHEAYEKWGFFQIENHGIDKQLMEKVKDLVNQHYEEKGNRTTIDRETVFFIRHHPDSNINEISNLSQEFRVTKCMNSKTRSLATDLQSLSMELREKQSTYLERLKQQKEGPDGVDLEMNLNRTQSGMEDADLDDMVGSPSGMDNLGFDRKLMPEDYLRFVSGSVGMH >KZM81835 pep chromosome:ASM162521v1:9:6672345:6672560:-1 gene:DCAR_029448 transcript:KZM81835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVNGGEGIGTGWSTYVPNYNPRDIAANIRQLLKGDTRQPMDPWYKGFSGTIEKSATKKAGAGYTVSWLN >KZM81770 pep chromosome:ASM162521v1:9:5814236:5815503:1 gene:DCAR_029383 transcript:KZM81770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIEHKLVSVNGITMHVAEKGTGPVVLFLHGFPELWYTWHRQILAFAASGYRAVAPDLRGYGDTDAPEAVTKYSCHHVVGDIVALIESLGVDKVFLVAHDWGAMIGWYLCLFRPDMVTAFVPLTVPFRPRNPRWKPVEAMKAFFGDDYYMCRFQEPEIEAEIKKYGTANYIKKVIASRKPGPPCLQKENPFGNPDTDTPVTLPSWFSEEDLKYYVNKFEHKGFSGPLNYYRTLDLSWELTAPWTGSKVKVPVKFMVGDEDVIYTTPGTKEYVHSPSFKKDVPLLEDIVILEGVAHFLHQEKPEEVNAYIHNFFKKF >KZM82392 pep chromosome:ASM162521v1:9:18246688:18250475:1 gene:DCAR_029961 transcript:KZM82392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGMLFDHIQNLDKSRSNWRIKARLTRFWTTFSPKTSTIKGYNLILLDDDNSHVHAYVYPDNWRAIDKEVVEGNIYVIQNFQVRDTIGKLKPISSRLCIRLLSSTYIEPVEDDAMIPRHKFEFMDMGDLLDECNRLTENQNPEFAYDVIGVVEHFKRVKRVQTKYGERDQACFTFSDGKHDNLRFKVTLWGDLASSVSASWKPDLEKPVIGILTSAKFSTFRGKLIEEGYKAPDESDEGTSEPPVTTVIEKTSFRDLIENSVTYRDKRSFGYSEKRFRIVVLVDDSTLVTNVILLDRFVKRVAGTTVANILNEIKKDSSVTVLSTLFKTIIGKEVTVLIKLTDANVAGDSNLYNVVDLFDSGTSEVAIVEASPSHAAGSFTMDGVVAGIELFQTPGSSQSVTKKIKMGTPE >KZM81709 pep chromosome:ASM162521v1:9:4728114:4728422:-1 gene:DCAR_029322 transcript:KZM81709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKEGKKRKVENDGGETEDEKMEKFFALIKSTRRVGGVDRPKENEVLVKDSEEKPKINMVGVRSSSWRISRSINLSPSQLLQIILQVHLPLQKMIKINKR >KZM82875 pep chromosome:ASM162521v1:9:25478493:25479638:-1 gene:DCAR_030444 transcript:KZM82875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSSFGSLGLMTSVLAKLDDNAALLSFAEKLEAACVGTVESGKMTKDLALILHGPKLGREHYLNTEEFMDAVASDLKARLNKFKCMCVCCVMASVCLNCFIQLRSL >KZM81952 pep chromosome:ASM162521v1:9:9075733:9076373:-1 gene:DCAR_029565 transcript:KZM81952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFQNDPCDVEGSEDEFWPLSGKPYFSMVLKKSHVKQYTLSIPAKVTKLLPPVDVPVIFTYCGKKWETLYTIVPREGRGHSLRTSIRWRKFVTDNNLKEGDACVFELSECSNTLVKIRVQILRGDFPSQLLNGVSGLTSNNPIII >KZM82126 pep chromosome:ASM162521v1:9:11822576:11824395:1 gene:DCAR_031833 transcript:KZM82126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLRSSGVEIGLGIPLETNLIWGKTRYRWVIKLVIFAGYGEQNSTLANRMAGNFPGSDPSTTPGSFGGGLTRNEGTIPGIGIAMPLSIPSLETSAQGDQKPPISASIPSGAPPLPPGPHPSLFSANQQQPYQQNAQQLQHHQLHQQQMTSLPLPPPNMSQLQHPSHLPMLQHPQLPRPPSQLPSHNMPSMPMRPMGMQNTMNQMGPPMPQNHFMNMNHLHSGSPGGPPPGGIPNGMQNMQGSSNPSGNQMFPQGGSFNRPQAPQMPPMPGHNPFQSGNQNINAMGALPPNFGMPSGMHPPLPPGPPPHGQQPQ >KZM82604 pep chromosome:ASM162521v1:9:21772247:21772576:1 gene:DCAR_030173 transcript:KZM82604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGIQKCDCGEWVVQRVAWTELNAGRRFFGCVNGRNGCNYFRWFDPPVCDNARGIICGLLRRIKEHENEIERLKAVHKNELQVLKRRSKWFKSVVFVVVFIFAYLML >KZM81552 pep chromosome:ASM162521v1:9:2516210:2519965:1 gene:DCAR_029165 transcript:KZM81552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLGRVLGLACVNILLLLLFSNNSVYSQSIVRNLPGFHGDLPFKLETGYTATGEKDDVELFYYFVESERNPADDPLVVWIAGGPGCSSLRAFFYEIGPLTFDYSRSKDEKPSLLLNPYSWTKVSNVIYLDTPVGTGFSYARSSDAYRTSDTLSSKHVYNFIRKWLIDHPRFISNPLYVTGVSYSGIVIPIITQEIYNGNEAGNEPQMNIKGYMIGNPLTDRNIDFNSRIPYANRMALLSDELFESAKENCQGNYISVNPSNGLCAKNLKEVEENLNFIYGYQILEPSCGLVVSGTSSLRKSRVLNSHRKNLFNPLRLPQQHQQPRCRGDTYDYYLVWANDRNVQRALRIREGTIREWEACNTDHYLVGKDDTETYSYNVASSVPYHRNLTNKNCRALIFRYGTTFSHNDYSLTFATVKGGGHAAPEYKPEECLAMVDRWFAQSPL >KZM82208 pep chromosome:ASM162521v1:9:13740215:13743029:-1 gene:DCAR_029777 transcript:KZM82208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGDRRDYLDPSWAATAGDRKWDPPLAEPGLTRAFLTGQKLRDTLAFPIHRVLVSPFYRCLQTAAQAIAGLSDQNHALNLKVSVEYGLCEMLNTEAIKPEMAPKDGKFVFNRSDCEAVLPAGMVDHTVEHLYQTLPQWEETVAGANDRYVQVFKALADKYPSENLLLVTHGAGVAVSVSAFVKNTIVHDVEYCAFSQLKRTVSPGKDNAFTAGDFEAFLPEGETGIHYSKATS >KZM82943 pep chromosome:ASM162521v1:9:26111289:26113342:1 gene:DCAR_030512 transcript:KZM82943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSLYVGLIGNVISVLLFLSPVPTFWRIIKHGSTEEYESLPYICTLLNSLLWTYYGLIKPGELLVATVNGFGVVVEAIYVILFLVYAPKHLKVKSAVLAGLLDVGFFGTAVLVTQLALEGEARMNAIGFLGAGLNIIMYGSPLAAMGTVVRSKSVEYMPFLLSFFILLNSGIWTFYAFLLRDYYLGVPNGTGVLLGLMQLVLYGIYRNSKTSESGVVCSADEIEDGLLHEPLVSASS >KZM81663 pep chromosome:ASM162521v1:9:4256865:4257460:-1 gene:DCAR_029276 transcript:KZM81663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDLFDEEALLIKRELNDENGVNDSKIANENSTVKRLVAVDSLHSQTPRSKNAVNKAATKSFKGIVKRKPKIVTFVTAVKTVEANDAIKGNSTSTFECAVIIDVTKSKNRNGKINQGKDGEYAKSAPRKLCNNCGSSHHLTHACKKNVATAILSMPIVIYTGHL >KZM82408 pep chromosome:ASM162521v1:9:18499943:18500767:1 gene:DCAR_029977 transcript:KZM82408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDHYAVLGLPSGVQGAKLSHKDIVKAYKLKALKLHPDKRRDDPNAHKKFQKLQSSYDVLKDQNTRQVYDDRLRVECERGLRDLMRKKMMADLRKKDLAEKQAREEEERRRWKMMEEVRRRQELLEKKARREEKRKRRKMMEEMRMRRELEEERRRLEMQEYLERKKLAEKQARDEIARFVKDGQIGLDREKVVKVSWDRIGEQYSVPGLRELFQQFSEVRYVLIRSCDINQRSAFIVMASKDAVFAATRSVVGYIDNPLLVLPVLRGSKHLV >KZM83021 pep chromosome:ASM162521v1:9:27734868:27737732:-1 gene:DCAR_030590 transcript:KZM83021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFITFAANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKLDIMTDFLGTPSPEAIARIRNEKARRYLSSMRRKRPVPFSQKFPNADPLALRLLERMLAFEPKDRPSAEEALSDPYFKNLAKVEREPAAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEFLEGSEPTGFMYPSAVDQFKKQFAYLEEHYGKGGTVAPPERQHASLPRQRMLYSNDTAHGVAEVTNDLTKCCIKDGERSHTDKTSGIPVTRPPIQVPQNIQGAAARPGKVVGSVLRYNNCGAAAAATESLEHRRSVRSPAVPAQYAVPSSSYPRRNPGCKNEKVEDTIEGSNGLQPKPAFTATRKVAAAQGVPGNQWY >KZM82347 pep chromosome:ASM162521v1:9:17724374:17729734:1 gene:DCAR_029916 transcript:KZM82347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYDSTAKTNSVTMLAFRFLMLQLLIINPISSIRLDVSFQPKQICRTTVQGRYLLSDDKGYVCDALSLDPQSRCCHGKSEQYSCHGCNLGLNCCNSYEYCVSCCLNPTKTQEDVAIKTKIAKPITAGTYSSVFDYCTGRCRHSSESVIHENTYYSDFHHCFGLPSNSSGGTNLSSEVKLSGINVIVGKQGESCDTACKSNGHSCVPNKLVLLSQCEMLQKYMNCRGGCLASIGADQPAEVVHDAPKNLNPGACLYTNKQSILSCDGSHMHTRRLCPCA >KZM81471 pep chromosome:ASM162521v1:9:1611060:1612475:1 gene:DCAR_029084 transcript:KZM81471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQDLVHVLLVSYPAQGHITPLLRLGNLLASTGLLVTFSTTKDAGSSIRKASKNIDEASPVGDGMIRFEFFDDGLVQNNDGQERPSLDYKVAQVALHGTEAVTRIVKKHEREGRPVACLINNPFVPWVSNIAETLNIPNAVLWVQSCACFSAYYHYYNKLAIFPTESDPEIDVQLPSMPLLKHDEIPSFLHPQTPFPVLREVILGQFKMLPKTFCVLVETFQELETEVIEYMSKFCLLKPIGPLFKNPKSSNSSIQADFIKVDDCMDFLNSKEHATVVYISFGSVVSLNQEQTNEIAYGILNSGVSFLWVLKQPTMPGSGLSVVLPENFLEKIGDKGKVVNWCAQKEVLQHPSVACFVTHCGWNSTLEAISSGVPVVAFPAWGDQVTNAKYLVDVLEVGVRLSRGDQTEKVAISRDRIEKCLKEAFGPKAAELKKNALKWKQVAEEAVAEGGSSDRNLKDFVDKIKNVPP >KZM83633 pep chromosome:ASM162521v1:9:33537377:33537652:-1 gene:DCAR_031202 transcript:KZM83633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIMEFAENLVLKLMEDPRERDRKFREHLYTLKDKCAKTKEMWALPIRPYGFWTFDRHNSQIFWDSQISQVPGRRDPYDDLLQDNSNSST >KZM81810 pep chromosome:ASM162521v1:9:6293324:6294771:1 gene:DCAR_029423 transcript:KZM81810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGQFPPQKQESQPGKEHLMDPSPQHASPHYKPANKLQGKVALVTGGDSGIGRSVCYHFALEGATVAFTFVKGHEDKDANETLELLRKAKSSDAKDPIAIAADLGFDDNCKKVVDQVVNAFGRIDILVNNAAEQYKASTVEEIDEERLERVFRTNIFAYFFMARHALKHMREGSAIINTTSINAYKGNAKLLDYTATKGAIVAFTRGLSLQLISKGIRVNGVAPGPVWTPLIPSSFDEEEVKQFGSEVPMKRAGQPYEIATAYVFLASCDSSYYSGQVLHPNGGAIVNG >KZM81811 pep chromosome:ASM162521v1:9:6296130:6297159:1 gene:DCAR_029424 transcript:KZM81811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEPKSSWFSPKCVEAQQLTGHLGIGARALEAIGKHCKSLAGCLNTHPLDMRGKHVQKDMAHAIATTMTKLKHLELTYIRLDKKLVS >KZM83275 pep chromosome:ASM162521v1:9:30339560:30340866:1 gene:DCAR_030844 transcript:KZM83275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKMTTMVLTVDLQCSCCYKKVKKILCKFPGITDQVYDEKNNQVRIKVLCCDPEKARDKLCCKGRKVIKSIQIVDDMPKKKEPEKVKPPAEKPADKPKPPAEKPADKPKPPAEKPADKPKPPAEKPADKPKPPAEKPADKPKPPAEKPADKPKPPAEKPADKPKPPAEKPKPPAEKPAEKPKPKDPAPEPAPICGPVHGFPPMYPNGPCCGSCAQGYGGGPCYQGFGRPVPPPPGPCYDYGRPVPCYDYGRPVPPPPGPCYDYGYGYRGSRCCVSTDYFSEENSQGCTIM >KZM81672 pep chromosome:ASM162521v1:9:4351405:4353108:-1 gene:DCAR_029285 transcript:KZM81672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNFRPTFALVYPLCASVQAIETNSNCEMRKLVTYWVLFSLISLLDHTFGGLIDWLPVWPYAKIIAIFWLVIPRFDGAGIAYNCFVRPCLSGQLPQVVLVLLNKNQDPSLEEESFPVPAQRDIIEHGGEALEELISTKSTMKPNTRVDVKVLTLPAPLVQNVQREWTCAVCQVTTTSEATLNSHLQGRKHKIKCEELKAGKQTTMRVVTIGGTNRVRYCTICQVKTQSEAIWNSHVQGKKHKSKCKELRAIVKAEKNNFSSTATKSCQANQDPNNRFGCDICQMTLQSEVTLQSHLQGKRHKSNSEQFKARKMAEEYAFPAS >KZM82246 pep chromosome:ASM162521v1:9:14560592:14560900:1 gene:DCAR_029870 transcript:KZM82246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVQLYHHHHGAYLPVRIPFERNLYYDPFGFRVYIDGQAFHPTDVPESVVHGLSWGDQLEIQFYTLETHLKVERREEEEEHRRLEALEIHREYHLSFYLYV >KZM83556 pep chromosome:ASM162521v1:9:32975267:32975617:1 gene:DCAR_031125 transcript:KZM83556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKKKQKGKLPKEARDMLLDWWKVHYKWPYPTEADKNFLAESTGLDQKQINNWFINQRKRHWKPSEEMQLAVMDSISGQFYPTDD >KZM81639 pep chromosome:ASM162521v1:9:3875759:3878284:-1 gene:DCAR_029252 transcript:KZM81639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNFRKFFNAAQYWNDPSILQKLGEAMGLPVSGDAVASAETSGQDESDEVGNEGESIVHNTASVGDVEGLKKALASGGDKDEEDSEGRTALHFACGYGEVKCAQVLLEAGAKVDALDKNKNTALHYAAGYGRKECVSLLLDHGAAVTLQNMDGKSPIDVAKLNNQQEVLKLLEKDAFL >KZM83591 pep chromosome:ASM162521v1:9:33165681:33167283:1 gene:DCAR_031160 transcript:KZM83591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGDYKQQRYTHDGYSSKDGQELGLYMFLTPPLSSSDRMTNSFRHGPQRQTIDDFHSSASKGHNSSPFSHSSSCSRRMPLPTGRNGSSCYGATRNSSRGMPPDGYWSLYNVAADSPVGSKIESLKHDHDDYGHSSMPHSPMHQGHQSAEWELRLND >KZM82465 pep chromosome:ASM162521v1:9:19812601:19814579:-1 gene:DCAR_030034 transcript:KZM82465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHMNGDLIKISDIFSFFMKSFVALCIIVSVSLVSYSAFWNHSQWHLCPDCQEQNFIATVTKFTQGPVSNETDISHVLFGIGGSAKTWNTRRHYSEVWWKSNVTRGFVWLDDAPDSTIKWPETSPPYRISEDTARFKYTSWYGSRSAIRIARIVKESYDLRLDGVRWFVLGDDDTVFFVENLLTVLRKYDHNQMYYIGGNSESVEQDLIHSYDMAYGGAGFAISYPLASELVRVLDGCIDRYASFYGSDQKIQGCISEIGVPLTKELGFHQVDLRGDAYGLLAAHPVAPLVSLHHLDYLQPIFPGLTRVGSVKKLVQPYNADPARILQPSFCYDLQKNWSVSIAWGYTVQLYPKLVTSKVMETAFRTFVSWRSWSHEPFTFNTRIMSSDPCQVPVIYFMDRVEASNETGQTVTRYVQAPKQGKLCERTEYTGAMNIQNVNVSADRLEPAIWNKAPRRQCCEIIEGGEEDLQVRVRDCQRWESVTPP >KZM82002 pep chromosome:ASM162521v1:9:9738344:9738591:-1 gene:DCAR_029615 transcript:KZM82002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAIIPEVYNLSAADEDEDNGMVDNSDAESGYQQELANEDVQGIIHGHSLLCDMFE >KZM83161 pep chromosome:ASM162521v1:9:29268091:29268699:1 gene:DCAR_030730 transcript:KZM83161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERSVTRDDLFSWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLLRHSPPRTGQFSILNPNLDPNQNLNPNPNPKPQPNFSSSSTSSILFRQPFTSNLAPSVTNISSPSSTSQTKRWARSTTKDPSVIDHLSRNSGENISNKRHTKGYFGVGKLDGFCIAGKESDNVKKPEVVRLNLEIGIISDSSSDLDLELRLGHS >KZM82282 pep chromosome:ASM162521v1:9:15767052:15767522:-1 gene:DCAR_029834 transcript:KZM82282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPPGKLPMGFTSNYTDVTAIHDYLNAHNQARAQVGVGPIKWSDKVAAYAQNYAAQRANDCKLQLSGGPYGENIAEASWNFSPTEAVKMWVDEKPFYDYGSNKCVGGHDCLHYTQVVWRKSVNLGCAKVQCKNNKWFFVICNYDPPGNFKGERPY >KZM82665 pep chromosome:ASM162521v1:9:22330010:22332425:-1 gene:DCAR_030234 transcript:KZM82665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEVAVGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERFTGDAARNQSALNPVNTVFEYLGKKVKNAVVTGPVHLNDSQRQATKDAATIAGLNVLRLLVEPTAAAVAYGLDKNLTSGMEGEKTVLIFDLGGGTFDVSLLKIKRDNIEVLATAGDTHLGGEDFDNRLLNHFVKEFRRKYGKDISRNAKSLRRLRNACEKAKRILSHNATTTIDIDSLYEGIDYSTKITRARFEDLNLDLFRSCLDTVEKCLEDAEMDQSSVHDVVLVGGSTRIPKVQQLLQQFFEGKELCKYINPDEAVAYGAAVQAATLSGEGHQKIKNLVLLDVTPLSLGVAIEGGLMSVIIPRNTTIPNTMQKVYYTCSDDQKIVKVSVYEGERARTEDNNLLGEFKLSGLPPGPRGKVKFLATFTIDANGVLSVSAKNEDNGVRNSIKIKKSGTLTAAEIERMVRDAEQFKVEDEKFRRKIRAMQEFEDYVYNTRDNTEGNYRLKASVKKMISYSIKEAIEWLDANRDAEEYEYEFKKQQFEAMCNHLIPGMGGISIEEIE >KZM83581 pep chromosome:ASM162521v1:9:33106696:33109258:1 gene:DCAR_031150 transcript:KZM83581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIDSITKLPLLSPRREPDGDHTPNFLLGRSSVYSFASYSSIFVPDADDIAPIEGCRDFYREFIAESSKLWCLAGPAIFTSICQYSLGAITQTFAGHVGTLQLAAFSVENSVIAGLSFGVLLGMGSALETLCGQAFGAGQIDMLGVYMQRSWIILNCTSIILMILYLFAANFLRLIGQTEDISREAGKLAVWMIPQLFAYAMNFPIAKFLQSQSRLMAMAWISGVALVLHAFFSWLLMLKLGWGLAGGALVLNLSWWFIVLAQMVYIFSGTCGEAWSGFSWKAFKNLWGFVKLSLASAVMLCLEIWYYMALILFAGYLKNAEIAVGALSICTNIVGWTTMVSVGCNAAISVRVSNELGAAHPRTAKFSVLVIVLSSFVIGLFFSLVLIIFQDQYPSLFTDSEEIKKVVNGLTPLLAACLVVNNIQPALSGVAIGAGWQAVVAYVNIVCYYVCGIPIGLLVGYKLNMGVTGIWMGMLCGTAIQTLVLLWMAYKTNWNKEASIAGKRIKQWGGESDHAAESDHFEKGLID >KZM82718 pep chromosome:ASM162521v1:9:22957395:22958891:-1 gene:DCAR_030287 transcript:KZM82718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHAKDAKMLTMTSMQYIFDVSTKGREHEQLKNIREATFKKYSTRLVMAVPPDEGLFLSILIKLMNAKKTLEIGVFTGYSLLTTALALPRDGQIVAIDPDREAYEVGLPFIREAGVEHKINFIHSEAFPALAKLQKNGEQFDFIFVDADKDNYKKYHDQVIKMVKVGGVIAYDNTLWLGTVAGEENGHGSLSQGALVTRDNIVDFNSNLALDSRIEISQISIGDGVTLCRRIL >KZM83327 pep chromosome:ASM162521v1:9:30867251:30868078:1 gene:DCAR_030896 transcript:KZM83327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNTQYAAPPRICDVCEDTVEGLFYWCQTCEFSVHPLCTQFPPSLRHAVHLSHPLMFQKSYKDAYCCVCNTAVRSYFWRYRCGCCDGFDVHVECAALLQIPHEQSSSDDTTSRRLPPWAGLYTYGGVSSAVNSQNMYHQTTPPYPMYNPYGGVSSMHNFYGHGMYAQQPHQLYGQMQHCAYPNMNSYYMYNPSIGLPYYTNPHNMYNGAPPCDFNSQPSQIHHGDTSHQGQAHNGQNSPAGAQKFGKMVFKIVANLTLGVMSNVIFGALGLAAL >KZM83478 pep chromosome:ASM162521v1:9:32277050:32282204:-1 gene:DCAR_031047 transcript:KZM83478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALQIRSKIKEEVALVPEIPKSKSSESPAQEMDETREEMDHIREDDLVALCLGRSSLSVAKNKDHLPKASKQEDDSLNQGLVLRLDGRSYEYSGDQNDAGVSKSQSPTKIEEESETDQKWGSSIGLKAARSEEDEALLQQHPAKKARVAVRAICNGPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTMSTSCPVRKHVQRCQEDLSILLTTYEGSHNHGLPPAATAMASTTSAAVSMLKTSSTSTSQPSLSLPSATSATTKFPPFYAPNTTISTSQSHPTITLDLTTPSAYSHYNKYSSTFLPPHHTTYSPAASLNFSASSSSSSASFEHWRNQSISSSSYASLYNRTELQLPLMPHKNNFINQTQPQFSIEKIADATKEITSNPSFQSALAAAISSIVGKKSGGIAETMQSQFATSHFNSIFPQAGNLKFDFSPFASSKGIRVNMAQFQALNQVLQNPSSGAYFEMVQKRKKLPMSLQETLNKAFANIPVSTFPIVPGGKAADTLGDDFYKVLLEEEPFASTTVRSIITSYRWAPFIPVAADSSMLGVLLLLSKYRLRNVPIIEDGKPTIKNFITQSAVVKGLDNCQGRDWFDCIAAQPITELGLPFMSNDEVISIKSSELVLEAFKLMKDNQIGGLPVIEGPEKNIIGSLSIKDIRFLLLNRELFAKFRELTVKDFMNTIASEARDLGKGTIPATCSLSSNLGSVIHILASKMVHRVYVVGANGKEVVGVITLRDIISCFIFEPPNYFENYLGLTSYEVKIDAFKTK >KZM81324 pep chromosome:ASM162521v1:9:59593:69059:1 gene:DCAR_028937 transcript:KZM81324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPAESLPTSKRPISTIAIIMAMQTEALPLIDKFHLSLALDSLFPNGVPWVRYHGTYNDLYINIVLPGKDVVLGVDNVGTVCASLITYASIQALQPDLIINAGTAGGFKAKGACIGDVFLVSEVAFHDRRIPIPLFDLYGVGQRQAFSTPNMSKDIKLKAGKLSTGDSMDMCPMDESSIIANDATLKDMEGAAVAYVADLLKIPAIFMKAVTDIVDGDKPTSEEYLENLSAVTAGLGEAVSQVVYYLDGKCIAEL >KZM82112 pep chromosome:ASM162521v1:9:11631359:11632858:-1 gene:DCAR_031819 transcript:KZM82112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENATGDATEGEATGDATGDATEGGDAFNADQQEGGENFNAAEFVPGESQPIAEMDASQGGVFTAQATATNPVSGLL >KZM82481 pep chromosome:ASM162521v1:9:19957276:19958590:1 gene:DCAR_030050 transcript:KZM82481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVPPSEHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCSNLSFLSTRPPLQGQCLDHPASLQAAFGNTDYNKKGQSSSSSSSTSSEPASPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKTANPEIPHREAFSAAAKNWARYIPAAASGSISGTSNAGVSD >KZM83023 pep chromosome:ASM162521v1:9:27760412:27762502:-1 gene:DCAR_030592 transcript:KZM83023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSLKLKCCLQSPLLFTTKHLKCITTLPIFSPQDHLHLFFDRNNANSIHQDYELSLVTALKSCAALFAVSQGEQIHGLILKSGFMSNIFVQNSLINLYAKCGKLGCAELMFDSCGVVDFVSSNIMVCGYVRMGRLDDARKVFDEMPKRGAVTYTTMIMGLAKKKCWGEAVELFRDMRLAGVMPNEVTMSSVISAYSRIKGVKNGNVIHGFVVKIGLASFGLVLTNLVNIYCVSLCLWDGRRLFDEMAEKNIVTWNVMLNGYAKAGFVDLARDLFDRIPDKDVVSWGTLIDGYVQVGRLNDALIMYREMLSSGLVPNEVMAVDLILACRQAMAFGTGRQLHGVSVKLGFDNYDFMQATIINFYAASQEIVLAQLQFELGNKAHIESWNALISGFIKNGMIEPARNLFNKMPERDVLSWSSMLAGYAQHEQPNLALELFNEMVFSEFRPNEITMVSVLSAVATLGSLSYGRWAHEYIQNNSIPLNDNLIAAIIDMYAKCGSIETSVDFFYQIRYKIKSISPWNAIICGLAMHGHAKLSLDIFSDLQRCSHKLNSITFIGVLTACCHAGLVDEGREHFRNMKDIYNINPNIKHYGCMVDLLGRAGRLEEAEELIGRMPMKADFVIWGTLLAACRMHDNVEVGERAALSLARVEPTHGAGRVLLSNIYAEAGRWEDVGSVRQAMQSQRLTKLPAYSGVL >KZM83328 pep chromosome:ASM162521v1:9:30870759:30877158:1 gene:DCAR_030897 transcript:KZM83328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQGHALLQIHNTQDYLCGGCKTNGEGTNFGCESCNFHMHDFCSNCPKILSSFLHPQHPLSLMISSNTQFSATPRICDVCEETRLRVHVECGALHIPHEQLTSDDTTSRRLPPWAGLYTYGGVSSAVNSQNIYHQTTPPCHVYNSYGGVPSPLNLYGHGMYAQQPHQFYGQMPHYINPYYNMYNPSVGLPYYTNPHNMYNEAPPCDLNSQNGQIHHGDTSHQAPEEEEKMGLQEDFEQYAEKAKTLPESTTNENKLILYGLYKQSTVGPVNTSRPGMFNMKDRAKWDAWKAVEGKSKEEAMGDYITKVKQLLEAAA >KZM81434 pep chromosome:ASM162521v1:9:1165441:1166138:-1 gene:DCAR_029047 transcript:KZM81434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLKKIPEFFKPFVAEDRERRLLIRPFFSEIMKDRLPNNAFIRDRKENFWPVEVLQREKKKFFEAGWTKFVQDKNVQYGDFLVFEYDGGSFFDVRIFGVTACEKEFSVEGFEADEMEEEEDEKGENYVDEEGHDKEMEDEEDEHKADNRVEMDDDELALLEN >KZM83610 pep chromosome:ASM162521v1:9:33331195:33333092:-1 gene:DCAR_031179 transcript:KZM83610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLIANLIVIGSTYLARGLIEGYRKALANAKQSGVAHETLQKVRNGSKAMTEQEARQILGVSAESSWEDVLQKYNTLFENNAKVGSFYLQSKVHRAKESLEMVYGRKDQETAG >KZM82638 pep chromosome:ASM162521v1:9:22019277:22023735:-1 gene:DCAR_030207 transcript:KZM82638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISLFFINHLALIWVLFSASVSSISALSARNDQESVKIVTGDAGLGRWRNGILSAGAEAPGPGTETVKSTIVLAEKRTNRPDILSKFRRYRGGWDIANKHYWALMSGAAGFILAAIWFAFFGVALVDEFCGEALHTLNYVVSQSDYTVQILRNVTGFLSLAKTVNVAQIVLPSDVKDDIDKLNTDLDTAAETLWEKTDENSHKIKRVFDVVRSVLITVAAVMLLVSILGLLLSLLGHRHAIHIFVVSGWLLVTVTFILCGIFVILDNSISDTCMAMGDWVDHPHAETALSNILPCVDQRTTNKTLIKSKQVVNDLADIVNGFIDTFANPDPPRQDNNPYYFNQSGPPVPHLCYPYDSNQLQDRQCAPQEVSMANASLVWQNYTCAVSESGLCSSVGRLTPDMYAQLVGTVNISYGLEHYAPLMLNLQNCDFVRATFRYITTDYCSPLENHLQTINAGLAMISTGVLLSLVLWIIYANRPQGEKIFGSFSFGIRGRCGNKSCKDMNKDDVASRTSNGRNEV >KZM82098 pep chromosome:ASM162521v1:9:11420404:11421713:-1 gene:DCAR_022672 transcript:KZM82098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNPLGSSPPSTNHALYAVIVIGLNGDIEQHHWQRRMKLRITTLIRFQEYTHAVHQLTDTMLRHVVMVLAYFGQAAYLMKNKEHVSDAFYRAIPGAMKIAGPTVHGQFKEKKTGNSSYSDQPSNSSYFGFPVYCPLKVPDVVVDKRMQNR >KZM81423 pep chromosome:ASM162521v1:9:1095096:1096184:-1 gene:DCAR_029036 transcript:KZM81423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCNLRSKISQFDHLTNKKGNVTTIWDVGSMQGGIHKRIGKGAADYQHLTLKQTLRFLDLFVQKGMRRSLGVLFRLRGTSSLITSPATANSSNMSRNIMSVPTYRFGENAAKYIEEARLKGEIPKSNCRNADQDPDNQFVQKGDTIDLNDLLFTKERDYLIKNNNQQEGEPN >KZM82115 pep chromosome:ASM162521v1:9:11669840:11670991:-1 gene:DCAR_031822 transcript:KZM82115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELSEMSDSYDIQDFKNSVPKCKPFSDYQSYKSLAVLSGHIGSISCLALCGEFILSASQGKDIIVWQQPDMRQYTKFGQGDGSVKALVTVGNNVFTAHQDSRIRVWKVSRRSENVFRLVDTLPTTKDYLGKFMNQKNYVQTRRNHKQLWIEHTDSISCLAVSGGFIYSGSWDKTLKVWRIEDFRCVESIKAHDDAINGLAASKGVVYSGSADGRIKVWERGVRKSGHCLKGILEGHKDVSFNSVIVSEDGRMVYGGGSDGYVMGWLGNEGLDSWVGVCEVKAHEIAVLCLCLRGEILCSGSADKSIGIWRKEMNGGLFRVGVIRGHEGPVRCLQASPSSVGGGFMLYSGGLDRSLRVWWVPKYSVDKPDAKKSPEKRLIPIY >KZM82388 pep chromosome:ASM162521v1:9:18219504:18229804:-1 gene:DCAR_029957 transcript:KZM82388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNGSHGRSTSIESVKNWEHKHCFCGKIARLCTSWTLKNPGRRFYTCAVAKDVQGCHFFQWCDEAFTGRAFDVVTHLNHRRLYLEEKLKLVEEDLEQSYDPKKTGHLLALEGAKDRLQLFKANLLEEGSFDAAVAGCEGVFHTASPFTHAVDDPQAELIDPAVKGTLNVLGSCAKAPSVKRVVLTSSVAAVAYNGKPRTPDVVVDESWFSDPEFCKESKMWYVLSKTLAEDAAWKFVREKGIDMVTINPAMVIGPLLQPTLNTSAAAILNLINGAQTYPNASFGWVNVKDVANAHILAYETPSANGRYCLVESVVHHSGVVDILRKLYPSLQLPDKCADDKPFTPTYQVSKDKTKSLGISYTSLEDGIKETVESLKEKKFFVA >KZM81538 pep chromosome:ASM162521v1:9:2265666:2267229:-1 gene:DCAR_029151 transcript:KZM81538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNSGMNFANLALGDLNTGTDSCVKYVDSDDIVVLVQIINHARHSGKDIEEVRREAYGTANRINHIYGSCGYQPVILIDRPVDQCEKSAYYAASECCIVNAVRDGMNLVPPMYIIFRQGSTTMDEARGIISHSPQTSVLIISEFVGCSPSLSGAIRINPWDISSVAEAMRSAVSMDDSSRQLRHEKNYSYVQSHDVAYWARSFM >KZM82378 pep chromosome:ASM162521v1:9:18079692:18087345:1 gene:DCAR_029947 transcript:KZM82378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQQQQQWMMSAQASITATPTVTYSSLPYHQPTTHEEVRTLWIGDLPYWADESYLNSWFSPAAQLLSIKVIRNKLTGQPEGYGFVEFPSHAIAERILQTYNAAQIPGTELTFRLNWASFGIGDKRPEAGPEHSIFVGDLAPEVTDLLLQETFAAQYPSVRGAKVVTDPATGRSKGYGFVKFADENERNRSMTEMNGVYCSTRPMRISAATPKKTPGFQQPYAGAVKEREGGKKFGGVCREKQRSRINRLTMENQQQQQQWMMSAQASITATPTVTYSSLPYHQPTTHEEVRTLWIGDLPYWADESYLNSWFSPAAQLLSIKVIRNKLTGQPEGYGFVEFPSHAIAERILQTYNAAQIPGTELTFRLNWASFGIGDKRPEAGPEHSIFVGDLAPEVTDLLLQETFAAQYPSVRGAKVVTDPATGRSKGYGFVKFADENERNRSMTEMNGVYCSTRPMRISAATPKKTPGFQQPYAGAVKAAVYPATVYPAAVQQAVPADNDINNTTIFIGNLDPNVTEDELKQIFRQLGEISYVRIPATKGCGFVQFVSRASAEEAIQRMQGTVIGQQVVRISWGRSPSSKQDQPGAWGVQGDPNQWGAYYGYGQGYESYAYGTTQDQSTYAYGTYPGYSQYPQQAEVNQDIAVVVPPVEQREELDDPLATPDVDKLNAAYLAVHGRAILGHPLWQRTSTFSKQAQTSN >KZM82668 pep chromosome:ASM162521v1:9:22361800:22364370:1 gene:DCAR_030237 transcript:KZM82668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSKQEKGRLRNRGNHRSQDNPTRVRSGNQQDGATNKPPSGNEASSETNDKLRVLQHWFLLESDWVDAINKGNHSLIPAALARVDLLCTVVCPDLLKEGLKGEEEALWSIHESLYNNGWWERARNLDLGRKNWSKKDAHSDLLLNNFMSSYERFVDPNVQLMVKQGSQEGFRMALNHIHYGSIRESRENGMRNNHSSSSVSQHQAPAKHTSQVTPRTYAFMTSSLPVANPSPVPLVEEEQSIPFHTSNWKPRDNNSSISVIQSGSVEEDSTVDDAIEEAADSLGEIQQKIIMDMEKLKGLSEAESIFETGVLMGLLPLDSKEKSLELIALNLKA >KZM83217 pep chromosome:ASM162521v1:9:29683999:29685887:-1 gene:DCAR_030786 transcript:KZM83217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHS-1 description:Chalcone synthase MVTVNEFRKAQRAEGPATVLAIGTATPPNCVDQSAYADYYFRITNSEDKPELKEKFRRMCEKSMINTRYMHLTEDLLKQNPSFCEYMASSLDARQDIVVNEVPKLGKEAALRAIKEWGQPKSKITHLIFCTTSGVDMPGADFRLTKLLGLRPSVKRFMMYQQGCFAGGTVLRLAKDLAENNKNARVLVVCSEITVITFRGPNDTHLDSLVGQALFGDGAGAVIVGSDPVIGIEKPLFEIVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIRKSLVEAFKPLGISDWNSIFWIAHPGGPAILDQVETELSLKPEKLKSTRQVLRDYGNMSSACVLFILDEMRKASAKDGHRTTGEGLDWGVLFGFGPGLTVETVVLHSVPT >KZM83331 pep chromosome:ASM162521v1:9:30933596:30934059:1 gene:DCAR_030900 transcript:KZM83331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIVYLTMVFATFLAAFLMVEQGEAFTCGTTLQERTKQLCDPFHRGEQQDPSAECCNSLKAFRDTAKTREERIELCRCVQDRSNRNRAGVPAPDARIPKIDALPAKCGLPFIYSADRKFDCNTVN >KZM83355 pep chromosome:ASM162521v1:9:31107575:31108218:1 gene:DCAR_030924 transcript:KZM83355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLENVSKHFGRPLNDAAKSFGVSRSTFKRICRGLGIKRWQSGKRRMNGNFSSRLGKGINQEQPGRRNFGSTSMAAVNETVVVHSSQDLNKMIVKATYKDVAIRFKLPDLSGIAELENNVIERLHLKRNNFTIKYQDEEGDLVLIACDKDVRECIEISRSLKETTVKLLLDLPLNHNAL >KZM81655 pep chromosome:ASM162521v1:9:4133897:4134522:-1 gene:DCAR_029268 transcript:KZM81655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVLAKLDDNAALLSFAEKLEAACVGTVESGKMTNDLALILHGSKLGREHYLNTEEFIDAVASDLKARLNKFKCMCVCCVMASVSLNCFIQLRSL >KZM83492 pep chromosome:ASM162521v1:9:32430008:32430628:1 gene:DCAR_031061 transcript:KZM83492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANQSAVHSSNGTGGLIMPKKSGQVLIFRSSSKWRSHFQSSKQTDKLMVIHFTATWCGPCHTMEPVIRDFAAKYVGVEFIQIDVDELEAVARVYAVQALPAFILIKKGKTVDKVVGAEKAALQNKIEKCMV >KZM81583 pep chromosome:ASM162521v1:9:2927925:2931017:1 gene:DCAR_029196 transcript:KZM81583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNGAGRDVEVAENGGGFGSVDGAYLTWEELSVTVSGGKNGPKSILQDLTGYARPGELLAIMGPSGCGKSTLLDALAGRLASNTRQTGDVLINGRKQQLTYGTSAYLTQEDVLTCTLTVREAVYYSAMLQLPNAMSRAEKMDRAEKTIREMGLQDSVNTRIGGYGNKSLSGGQKKRVSICIELLTRPKLLYLDEPTSGLDSAASFYVMSQIVKLARQYEMTVLASIHQPSSEVFALFHNLCLLSLGRMIYFGPSNVSNQFFATNGFPTPELQNPADHFLRTINNDFDQDIEQGLPGKLTTEQVTNVLVGAYKSSDTCKEIQRTIAEIMTQERGVIERKGSQASFITQCMVLTQRSFVNMYRDKGYYWLRFFVYIALAIGIGTLFYDIGSGYESIHSRGSMLMYVASFLTIMAIGGFPSFVEEMKGLYKNEFEGLKFPSSPSEGAPLIDGATILKNIWQVETGYSKWINVVILLAMVPTYRILFFFTIKIAERIKPVVRVFMSDSPHSDEETGKQ >KZM83013 pep chromosome:ASM162521v1:9:27670913:27685592:-1 gene:DCAR_030582 transcript:KZM83013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRDWINLILPDELILEIFDHLDDKSSRDACSLVCKRWCSLERLSRDTIRIGASGRPDELVRILSRKFVNVKNLYVDERLSIPLPVESGRRRPSVLSVRLHLLSETSGSQDNETEAYCLSDAGLAAIGDGFAKIEKLSLIWCSNATSAGLKSMAEKCKFLKSLDLQGCYVGDQGLGAVGECCKKLEDLNLRFCEGLTDAGLVELAIGCGSTLKSIGVAACAKITDISLEAVGSNCKCLESMALDSEFINNRGVLAVAKGCLKLKELKLQCINVTDEALQAVGLFCLCLELLSLYSFQRFTDKSLYAIGKGCKKLKNLTLSDCYFLSDKGLEAVATGCTELSHLEVNGCHNIGTFGLETIGRSCRQLSELALLYCQKIGNFALSEVGRGCKYLQALHLVDCSSIGDDAICSIARGCKLLKKLHIRRCYLVGNRGIIAVGENCKFLTDLSLRFCDRVGDSALIAIGRGCSLHHLNVSGCHQIGDAGIIAIARGCPKVSYLDISVLQNLGNMAMAELGEGCPLLKDIVLSHCRQITDVGLEHLVKRCPLLETCHMVYCPGITAAGVATVVSSCDKMKKILVEKWKVSQRTRRRAGSIISYLCVDL >KZM82582 pep chromosome:ASM162521v1:9:21550480:21551617:1 gene:DCAR_030151 transcript:KZM82582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRYRMPRHPEPYRGPRDDPRVLMHRGPGPLPPHPAALEEEIEYQHRDIQRLLSENRHVMDENIILQRDLAAVNDEMHRLGQVIHKLHAEKDAKTKDLIERGLKLESELRDIEPLRAEVVQLRAEAQKLNSVRRDMVTQVQHLTEDITRVTSENQQISAMRNDIDGMRKELTEARRAIEFEKKANEEQSEQKQXQKQLMEKNLITMAREGEKLRAEQLSLDRRGRGAGGYGILNGSPDTRYAGGDTRYASGAYGDVYGGGSWGVYDSRGSTRR >KZM82829 pep chromosome:ASM162521v1:9:25042340:25060060:-1 gene:DCAR_030398 transcript:KZM82829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSLANIIKPTKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILLTKEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEQPPIPDSLSPAMTDFLRQCFKKDARQRPDAKTLLSHSWIQNSRRVLQSSLRHSGTLRIKEEDDSVDAETSNGDDLRNVEDPNALKAKDYQTDSLSREAIAEFDISSKDKDAKHEILEDINATPEEEISSDQVPTLTLQEKLPINSSSVKLSSNSEAATSTELHEPSESHTREKILPNGDLGSPNSRKKNIVARKTEVKGSSHVEQGLLTSALKSHEYIPTKAPKASTISGGNELSKFSDSPGDASLEDLFRPVDKTLDNQAAKPSTSASSSHVNQGNAFATDEGRNDLATKLRATIAQKQMENESGQTNGGDLLRIMMGVLKEDAIDINGLGFDDQMPAENLFHLQAVEFSKLVSSLRPDESEDVVLSACQKLTVFFHQRPEQKFVFVTQHGMLPLMELLEVPRTRVICAVLQVLNQIVKDNTDFLENACLVGLIPVIMSFAVPDRPREIRMEAAYFLQQLCQSSSLTLHMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVIKLQRSTPRNDFCRIAAKNGILLRLTNTLYSLNEATRLASVSGGGGFPVDGVILRPRSGPLDPGHPAFGQSLAPPFGFDHPDYLKVKHGVVDNPISSGTHDSTRASVSLSSDSRFLPLDSDRPRLSASSLEGPVTSKSHDATSFDKLAHATLKERSDPLRAAHRNSTDRMPTGADGFSNGHSTTATQQDNVRPLLSLLDKEPPSRHVSGQLEYVRHLTGMEKHENMLPLLHASNERKTSNGLDFLMAEFAEVTGRGRENANLESMAKSPLKITNKKVGPPSFNEGTTASTSGLASQTASGVLSGSGVLNARPGSATSSGLLSHMIPPWNADVAREYLEKVADLLLEFAGSDTTVKSYMCSQSLLSRLFQMFNKIEPAILLKLLKCINHLSTDPHCLENLQRADAIKYLIPNLDLKEGPLVSQIHHEVLNALFNLCKINKRRQEQAAENGVIPHLMHFVMSGSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDEFWSVTALDSIAVCLAHDNDDKKVEQSLLKKEAILKLVEFFQSCPEQHFLHILEPFLKIITKSSRINTTLAVNGLTPLLILRLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >KZM82988 pep chromosome:ASM162521v1:9:26980952:26986233:-1 gene:DCAR_030557 transcript:KZM82988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDGNQVSEQNKGDEATTKVWKKVELKFARKSNNKPKETAKRPKTISNDKESDDFTISIVHEEDFIVPQINTGGNEDDEIMLDTTGANEDDEMMIDTTCGNEDGEKFSDTTDGNEDGENNEGGEIFAEDAEKNLNNRKTKFKRKKENDDENEANRRKRVNILYPLVKYTKDNIQKIEGAKHFNRTKDEVKLRVSPRILSEMIFNLTEEQRKWVQRSGFGLLFNFELEMLPAKLAYNVLQIFDHNSVTLKLKNKDIQITEDDVFDVLGLPYGGIKIQLADETKFKQREETWNAQFANEKEREQITAQMLVHKMRKQGVSDNFKLNFLIVMSNTLIGTTSSAYVDKQLLRIDDDLEHLQRYNWSEYLLHYLVIATECWNRTASTFFRGSLIFLTLLYVDRVRHMGIKLVERTLPSYIGWTHDELKERQRMEVTDGVFGVGSLVPPIREILKEIDCSKKENDCSKGQAEKNEDEDEWDDPELWKQMDEVVKIHKEKKNSKTTEQGDDMTVDDTDQEHPAEIIQDHQLRRLRFKYNTAILSSGLNAFHKGIVDEAAKLAEKAATYKDFKVAAFEKNPTVPNSILKNTSSSAKKKVIFATNLTTIFEAAAEEQSTQEEQDNDN >KZM81438 pep chromosome:ASM162521v1:9:1197126:1198093:1 gene:DCAR_029051 transcript:KZM81438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCVRCRSCGGIADDGPETYGEEDGGSSVNENCQSDQEDIGGFAGITGCLHKLKSSERQIGTPLEEDLGSWGHHFNPTSVPDAILQASAGNEVTFVFTNQVSGKLVPVEPVVPRTGRMDENKNQCQSKENLFPKSLSISRQPHARPWRSSNGDTTKNNLSASLKPSHGKYIKPVTPHLEGTKHVNLKPKLSLRKQLPQKRHSPDYIDDDDDFQ >KZM81774 pep chromosome:ASM162521v1:9:5857944:5858288:-1 gene:DCAR_029387 transcript:KZM81774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPPPLKTGRITNFLKPYVLRMHFTNKYVSAQVVHTPTASVASSASSQEKALRPTMENTRDVAAAAKIGKILGERLIQKDIPAVTVFYKKDQRYHGKVKAVIDSVREAGVKLI >KZM82468 pep chromosome:ASM162521v1:9:19835037:19838751:1 gene:DCAR_030037 transcript:KZM82468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLANAMQFSIQRYQFPSGNKLFGARTSSSGLIPCKIQSVSSSLGFSPESFSPVGIPGKALKFSGWDHLLRRRGAVDFQVTKAAAADAGDHEIESVEGISKANPGFFEKYPALLTGFFFFTWYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVVYCLISWTVGLPKRAPVNKELLGLLTPVAACHALGHVMSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQQIPLPLWLSLAPVVLGVSMASLTELSFNWLGFTSAMISNISFTYRSIYSKKAMTGMDSTNVYAYISIIALLFCLPPAILIEGPKLMQYGFQDAIAKVGLYKFLSDLFWIGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRISTQTGIGTAVAIAGVAIYSLIKANMEEEKRKAAKALSS >KZM83144 pep chromosome:ASM162521v1:9:29153344:29155334:1 gene:DCAR_030713 transcript:KZM83144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTELCLGLPGGGEAAGEIVKTSGKRGFSETVELKLNLQGDDSALDLKHDKTKVSLDQKNKDQVSRPPAKAQVVGWPPVRSYRKNVMAVQKNTTEEKTEKSAATGNSMIGTAAAFVKVSMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMSNYGSQGMIDFMNESKLMDLLNSSEYVPSYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRC >KZM83191 pep chromosome:ASM162521v1:9:29499545:29501945:-1 gene:DCAR_030760 transcript:KZM83191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKFPRNGYDEWRVLMSKFKERDYETMLQDVVNHPEQQLPIEDISSPLSAQILEFCESELFPETLQNSEVASSSNGCYEEHSSYTTNLTFSTPEANKDNIANNVTNKTFTNTPPITANNNTNISNLSIIFDSTEDLDNDISASIDFSSSPTLSVPQFLNGQQEQFDISLLQNQIGLADNAVDAALSQYANDPAVPQLMGPPFPAINEDECLSSMPSYMRMNPTSPACSLLDLGINSYLPSNLNRTLSTENSGIFTGNLFLGTDLQPQELEYQGDNGGIFCTEPLPRGYNSDLQTLSSESQHLVNSGANSTPLASELTNLEDSTFKVGKLSVEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGENTRTTCGIHEDDTDDDMRSIHVVVKEEDEMIDSSDIFAHISGVNSFKCNYSIQSWI >KZM82897 pep chromosome:ASM162521v1:9:25697079:25698298:1 gene:DCAR_030466 transcript:KZM82897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVSHSGCICKIPDKLFSHDEEKYTTPTLVSIGPLHHGKENLTFMDGQKWYYINTLLSRTPNLEKYLDICVEKLRELEWKARNCYEDLKLETNEFIEMMLLDGLFIIELFLKLAVKSLRRRGDIIFNAHETFIRLRSDLILLENQIPFFILRELFTLVPIPEQCSLSLTQLALHFFRSMISGDVQILQKKFGQDFSHLLDLVHQSYLPTYPMVQHSEAETNLHCATKLKALGVDIKKSKNDILLNISFFDGVLQVPYLNINDHTEILLRNFIAMEHCNKNCSKHVTSYVYLIRYLMQSEEDARLLHRRSIFTGLEEEKIVIMFTRMHVEIEVEEFYYKGLCDQIDKYVKAGKQEKMLIGFARFCRKAKALIT >KZM82477 pep chromosome:ASM162521v1:9:19912949:19915374:1 gene:DCAR_030046 transcript:KZM82477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGACRDGMEVRIQESVEELSTDLADYISDLSEATIKERGAFCIAISGGSLVSLMRTLISAPYIKTIDWAKWHIFWADERVVAKNHVDSNYRLAKDIFLSKIPLVPSHLHSINDSLTAEKAANEYEFVIRQLVRTRVVGVSEISDCPRFDLILLGMGYDGHVASLFPNHSVLNEKDDWVTYIIDSPKPPPERITFTLPVIKSAANVAVVVTGSNKADIVHMAIDDVGLECPSVPAKMIQPINGKLIWFLDKSAASKLGGASQTSA >KZM81445 pep chromosome:ASM162521v1:9:1291613:1294066:-1 gene:DCAR_029058 transcript:KZM81445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSVKFLAPPMLQNTSFYTKNSRLHATPPAQTAVPPPSAAVEGGDGGERLEARVEKREGYWVLKEKFRKGINPQEKVKIESEPMKLFVENGIEDLAKIPIEDLDKLKATKDDVDVRLKWLGLFHRRKHHYGRFMMRLKLPNGVTTSAQTRYLASVIRQYGKEGCADVTTRQNWQIRGVVLPDVPAILKGLDEVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSQFITANSRGNTDFTNLPRKWNVCVIGSHDLYEHPHINDLAYMPATKNGRFGFNLLVGGFFSPKRCAEAIPLDAWVPADDVIPLCKAVLEAYRDLGTRGNRQKTRMMWLIDELGVEGFRSEVVKRMPQQELERSSSEDLVQAQWERRDYLGVHPQKQEGFSFVGLHIPVGRVQADDMDELARLADEYGSGELRLTVEQNIIIPNIENSKLDALLNEPLLKERFLPEPHILLKGLVACTGNQFCGQAIIETKARALQVTEDVGRLVSVTRPIRMHWTGCPNTCGQVQVADIGFMGCMTRDENGKPCEGADVFLGGRIGSDSHLGEIYKKGVPCKDLVPLVAEILVQKFGAVPIEREEAED >KZM83271 pep chromosome:ASM162521v1:9:30318573:30319774:-1 gene:DCAR_030840 transcript:KZM83271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPYGDFNAKVDYVFKIVLIGDSAVGKSQLLSRFAKNEFSLDSKATIGVEFQTKTLVLDKKTVKAQIWDTAGQERYRSVTSAYYRGAVGAMVVYDITKRQSFDHIPGWLDELRAHADKNIVIMLIGNKSDLGSLRAVPTEDPQELAEKEKLCFMETSALEATNVETAFLTVLTEIYKIIGKKALTASDVDYANSATLKGSSIVIAGQEQNTSASSGGCCV >KZM83055 pep chromosome:ASM162521v1:9:28369548:28373613:-1 gene:DCAR_030624 transcript:KZM83055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGMVGSSGGTEFEAKITPIVVISCIMAATGGLMFGYYVGVSGGVTSMDDFLKTFFPVIYRKKQADYDSNYYCKYDNQGLQLFNSSLYLAGLTATFFASYTTRRLGRRFTMLIAGIFFIIGVVLNAAAQDLAMLIAGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYFTVKIDGWGWRLSLGLAGIPAVLLTIGALLVVDTPNSLIERGKLDEGKEVLRKIRGTDKIEPEFLELVEASRVAKEVKHPFRNLLMRRNRPQLVIAIALQIFQQCTGINAIMFYAPVLFITLGFGNDASLYSAVITGAVNVLSTVVSIYVVDKLGRRILLLEAGVQMFFAQIIIAIILGIKVTDHSEPDNLGSAYAVVVVVMICTFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNFLFTFVIAQAFLSMLCHFNPNLFRPVYSGTDITYKHDELLCKCVAEQDLHSSCYHTHTPDNKCSAFSSTPSHCEIADEILKEDITVGISWSACHESYLSEATKYKDEARKLGVDNTNHGGPVVTHHVPK >KZM83156 pep chromosome:ASM162521v1:9:29223968:29225794:1 gene:DCAR_030725 transcript:KZM83156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPMMGLTENNSATYLSSGNPCLDLFFHVVPDTPASQLVERLEASWAHDPLTTLKLICNLRGVRGTGKSDKEGFYTAALWLHKNHPKTLACNVHAFALFGYFKDLLEIMFRVLEGPDARSIMREEWKSIKSGSRSKGVKRKFEDGNDGEKENADTKEARKKRRKRAKVPREERIEANRFKLMGEQQRARDLRKDKELERSKKAMDMYNTDVDYQFLFESISSLFADLLKADIEFVNSNEAKNISLAGKWCPTIDSSYDRYTLICASIAKKLFPCESDPEYEGIADDQYVFRVRHRLRKQVLVPLHEALKLPEVYMSAREWGSVAYNRVASVAMKKYTNIFKDHDEERFTKYLENVKQGKTKIAAGALLPHKIVKACLNPYSKNEEEKTVAELQWKRMVDDVTKKGKLTNCIAVCDVSGSMIGTPMEVSVSLGMLVSELSEEPWKGQVITFSENPQLHIIKGDTLKEKAEFVRDMQWNMNTDFQKVFDRILEIAVTAQLSQEQMIKTVFVFSDMEFDQASANPWKTDYKVIKKKFRKSGYRVPEIVFWNLRSSSSTPVKATQNGVAMLSGFSKNLLTLFLNGTEEINPELVMEAAISGEEYQRLALYD >KZM82330 pep chromosome:ASM162521v1:9:17285276:17287310:-1 gene:DCAR_029828 transcript:KZM82330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRITSLRRAYWGYTAPEYAIHGHLSEKVDTYSFGIVVLEIISGRRSTNFDMEPVTNSLLQDTWNLYESDMHSDLIDETLDPKDYNMDSVKRIIEIALMCTQSPASVRPAMSEVVVSLTNDSSMVPKPPPGKPTLF >KZM81874 pep chromosome:ASM162521v1:9:7339921:7343093:1 gene:DCAR_029487 transcript:KZM81874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDVADSCQTRAATNVFFDLALGYKSIIIPIFAIAIGIFISFSFAAMYGIVVAALGLLSTIATGLAMMHMIPLVTMLVAFRLLERYVYIFFCYQVVCIVLIQIGGDVLVLNNDNESVGKVALKMVEELRRQFYVVPGAFVMLTSPISVLAGALVSGVQERVGIDVVVEVEGEEGDEVGLMKEKRWKEEKERGRSGWGVIAGL >KZM82749 pep chromosome:ASM162521v1:9:23318487:23336038:1 gene:DCAR_030318 transcript:KZM82749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHQIKKCMAQMLLLAGPGVILSTFLLGAALKLIFPYNWSWTTSLLLGALLGATDPVAVVAHLKELGASKKLSTIIEGESVMNDGIAIVIFQLFFRMATGSGMKWAALVKFLAQVSLGAVGIGIAFGLASVLWLKFVFNDTVIEITLTLAMSYIAYFTFVFFTGGIVFLTLVLNGSTTQFILQFLDLDKLSAAKRRILNYTKYEMMNKALEVFGDFGDDEELGPADWPTVKKYIASLSDVEGEKTHPHTQSDSDDKLDVMNLEDIRIRFLNGVQAAYWKMLEEGRITQNIANVVMQSVDEALDLVYQAPLSDWKCVKANVHFPNYYKFLQIRLFPQKLVTYFIVERLESACYICSAFLRAHRISRRQLHGFIGDSEVASTVFGESETEGEEAKQFLEDVRVAFPQVLHVLKTRQVTYSVIKHLSDYVQNLEKVGLLEKKEIIHLHDAVQIDLKKLLRNPPLVKNPKSHDLVSVNPLLGALPPTTRDALLGSTKLTMKIRGSTLYKEGSMPNGIWVILNGVVVWASKSIRNKHSLHPTFTHGSSLGLYEVVNGRPYICDMITDSMVLCFFIKAEKVLALLSSDPAIEDFFWKESATVLSKILLPQLFEKVPMQEVRALVAEKSTMSRYPVEESIEVPHHFIGLLLEGHIKGQGIQEELIESPAALMPLYGDTNKQESDISGIESASFLHRASCYQVEPGTRVVMLNIAAYEVHRSLVPHTKDHLTRFKSSDHEGLMSWPDQCYKPTQPHEHPIAETNEHANNLSVRAMQLSMYGSMIEDDAGTSAQDFPENK >KZM81520 pep chromosome:ASM162521v1:9:2096564:2096869:-1 gene:DCAR_029133 transcript:KZM81520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSDRVFSRHVAIWSKNWIAFRYAALLALASFLLITDIYCSALLFMYDSDNIVYGLAVMGIGNFIAFAALAAQARELVDDSKTADGVPWWCYGCTNSRCN >KZM82555 pep chromosome:ASM162521v1:9:21052150:21052893:1 gene:DCAR_030124 transcript:KZM82555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPDQGPPLTQQSQDLEANEAEEEGEAASEESEDDETPLAVAAKKIRQMKLRTLGLTTTKDSPVMVAAAKLKTLKQIMDSEKQQEKTVAEQQALEMEDARRKTVKKEMQQRIDKGKMPMQTSQVEPRRSTRLFQKTAFSKFTNTEDNPVVLDEPEAEAEEDTLQTKEHSPPVQINSYTGPMKLGKKKPPPVKQFKTIVGQTELKSAPFLSHGRNVITKSSLAKALSNLKKTYLPGSTTKEKDTQQP >KZM82522 pep chromosome:ASM162521v1:9:20649232:20652032:1 gene:DCAR_030091 transcript:KZM82522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQDSSLVMLQTSFLPIQKCGKDTQFVFQRNPRKVSSYIVKATISSLQDKNNDYGTKLVTFLGKGGSGKTTSAVLAAQHYAMAGFKTHLVIHSQDPTAEYLLNCKIGTSPITINNNLSAVRLETTKMLLEPLRRLKEADARLNMTQGVLEGVVSEELGVLPGMDSIFSASALESFVGFLGDVPQKSNQKDVFDIIIYDGLNTEETIRMIGAASKTRLYLKYLRDLAEKTDLGRLAGPSLLRLVEESVSISSRRPRFTGKMSSEVWDDLGQTLERVSSVFADPHRFASYLVMDPNNPASLNSALRYWGCAIQAGAQVSGALSSVSPSYCVESVESIKKTFSPLPFAYAPNLSVNVPLDWNEIIHGHLSEDLRSLLTASGSSCVTPSIKFDPTNKTVTLLMPGFDKSEIKLYQFRGGSELLVEAGDQRRVIRLPSQIQGKVGGAKFIDRNIIITIR >KZM83477 pep chromosome:ASM162521v1:9:32270477:32273682:1 gene:DCAR_031046 transcript:KZM83477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQAHTLNPLFFPLFFALFLALFLPVSVSQISPGEKTILFQLQRLLEYPPVLQGWNNFTSFCYLPRSDYLSIVCSGNHVTKLSITGNRTSRQILSKKFSTDSFFTTLTKLSSLKSLSLVSLGLWGPLPHKINRFWSLEVLNISSNQISGNIPVSISTLPNLKTLVLANNLLNGTVPDLKGLTNLENLDLGNNFLGPRFPAFGEKIVSLVLRNNSIRSEIPSVFAKLVRLQILDVSSNKLVGPIPPFVFSLQSIKYLNLAKNQLNGALSAQVSCSKNLVFVDISNNLLYGKLPSCIASSTANRTVISLWNCLSNTSSKYQRANSFCRKQAIAVKPPAKAKPDEESTMKLGLVLGIIGGIVGVVGALGVLFLIIFRRAQAKKSGVFRSDSFVFDTNPGLGSPKGDSRHKPQTMRRMATFGLPPYQNFTFDEMEEATNNFDSSNLVGEGAQGQVYKGWLRDGSTVIVRCLKVKQKHSTQTLKQHAEIVSKLRHRHLVSVLGHCTVSHTDHPSSASTVFIVQEYMIKGSLKDHLSDMRKREVLKWPQRMSIAMSVAKGIQFLHTELVPAIFGNDLKVDNILLDDGLAPKITNYKIPFPTKQGSESPLSNRHGTSNLQNSTENLEKDDIFQFGVILLQLVTGKLLNSTAEIADMKVQLEMNLVESPATLREAVDPLIRGTFAYESLKTAVQISVNCLGEDSNNRPTIEDVLWHMQYSIQVQEGWTSSGNLSTKM >KZM82245 pep chromosome:ASM162521v1:9:14535029:14537866:1 gene:DCAR_029871 transcript:KZM82245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISATIARTVVGIIGNIISLILFLSPVKTFAKICKKGSVEQFSAMPYLATMFNCGMWILYGLPVFHPKSILVLTINGSGFVIELVFLVLYLVYSDTTKQRVKIGCIMGGELGFVGVVGLLVGTLVKSVKQRTTVIGSVCMLGCFLMYAAPLSVMRMVIRTKSVKYMPFLLSLFSFLNGLCWASYALIGQFDPYLLLARELPAASKNVLMQPV >KZM83593 pep chromosome:ASM162521v1:9:33169026:33172229:-1 gene:DCAR_031162 transcript:KZM83593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSRTRHSLDHFPHRRNSFRKKRNENMRLILVTLVGVVSGFLFGVSYPVLLSEKMTSIFAITKAQDFKDTWKIWVPSNPRGAERLVPGIVVPHSDLYLRRMYGKPSEDLSITPKYLVTFTVGYNQRHNIDAAIKKFSENFTILLFHYDNRASEWDEYEWSKKAIHVSAQGQTKWWYAKRFLHPDIVAAYDYIFIWDEDLGVENFDAEEYIKLVKKHGLEISQPGLKPESGQAWRMTRRMKDREVHKKAEEIPEWCKDPHLPPCAAFVEIMAPVFSRDAWRCVWHLIQNDLVHGWGLDFFLQQCIEPAYEKIGVVDAQWIVHQGIPSLGDQGKAGDGKVPKQGETRMQVRKRCRLEWRMFGQRFKDAEKDYYSSMGVDPSNIPYHTNFHKSMRMNNHSQITSRLRPPAKKV >KZM83276 pep chromosome:ASM162521v1:9:30344314:30344601:1 gene:DCAR_030845 transcript:KZM83276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITAKILEFKSHIVITIIVSLILALCFQVAPQFMTILSYFWPLFISTAMFLVLIVVFGQKTPSEFYGGKEGEEIMDYVAGQPDYLEESETLSEK >KZM81557 pep chromosome:ASM162521v1:9:2597996:2616155:1 gene:DCAR_029170 transcript:KZM81557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLHGYIIGNPLTDRDTDFNSRIPYANRFALLSDPLFQTDNYEYNVIWANDENVQRALGIRQGYIIGNPLTDRDTDFNSRIPYANRFALLSDPLFQTDNYEYNVIWANDENVQRALGIRQGTIQEFEECNMDHYSIGKDDTDTYSYNIASSIAYHRNMTNKSCRALIFRFSSRYNKKLLGRVRAFDQHYNMVLENVREMWTEHPKADKVKKKSLPVNKDRFISKMFLRGDSVVSFTV >KZM82662 pep chromosome:ASM162521v1:9:22308287:22309159:-1 gene:DCAR_030231 transcript:KZM82662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSSVDDVVLVGGSTRIPKVQQLLQQFFDGKELCKNINPDEAVAYGAAVQAATLSGEGHEKIMNLVLLDVTPLSLGIAVKGILMSVIIRRNTTIPTSMQEEFCTAFDDQKSVKISVYEGERTRTEDNNLLGEFELYGLPPGPRGKVKILVTFTIDANGVLSVSAENEDTGLRKSIKIKKSGTLTAAEIERMVRDAERFKVEDEEFRRKIRAMQAFEDYVYNTRDNTDGNYSLKASVKKMISYSIKEAIEWLDANRDAEEYEYQYKKQQFEAMCNHLIPGMGGIRIEEVE >KZM81942 pep chromosome:ASM162521v1:9:8875457:8877203:1 gene:DCAR_029555 transcript:KZM81942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYRGECKVETIEFNKGFAPLFGDGNIARSDDDKSVHLHLNQYTGSGFKSSDLYTHGFFSAKIKLPSTYTAGIVVAFYTTNGDVFEKTHDELDFEFLGNTKGKPWRFQTNLYGNGSTSRGREERYTLWFDPSKEFHRYSILWTSNNIIFYIDDVPIREILHNEAMGGDYPLKPMSLYATIWDASDWATSGGKYRVNYKYAPFVAEFTDLTLQGCSVDPLEEFTTPSCNEDDVTSGFGTVTPKQRMAMSKFRQRYMYYSYCYDTLRYPVALPECVIDPLLREQFKDTGRLKFGGKRRSYSQRRSKIARGRRYGN >KZM83590 pep chromosome:ASM162521v1:9:33164201:33164725:1 gene:DCAR_031159 transcript:KZM83590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNASSTTKMVLQSEMFPGGYKQQSYSHDGYSSSGYGQGPMHMGPTPSFSSSQMMHSGTHGHGHGQHHHSTTDFQSSASNGHMSGPFTHGNSFSHGMPAGGYGSSYTSNFSHGMPTGSYGTSYHGATVGPMGSKIESLKHDYNNYGHSSMMHSPMHGGHQSAEWKLKSIEDDD >KZM81542 pep chromosome:ASM162521v1:9:2308411:2309510:-1 gene:DCAR_029155 transcript:KZM81542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKAKSNESSRHKTLKGAERFSGKRPVAQWSKNWIIGRWIALVSLVFFLLVVDIQSFHLLRKYNPEDTVYGLFIMGLGNLVGFSFLGTQAQELVQDAKNTYDVPSWCYGLASSRAH >KZM81771 pep chromosome:ASM162521v1:9:5822890:5824143:-1 gene:DCAR_029384 transcript:KZM81771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSARKRKYGDGVDGSDSSSGASIKRQYSRTTGRSGSESRCHQCQRISSGRVLCCSKYPQMNDEAFIMACPVCRDNCNCTSCLSLEMPLQDTTRVELRFTKDDKLHHSKYLLKLLLPSVVRFNEEQITEREMEAKIQGTNHTG >KZM81466 pep chromosome:ASM162521v1:9:1531925:1532351:-1 gene:DCAR_029079 transcript:KZM81466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEKEFDTSYVGFTKDGAQLLVDNTDIKLVCKIKSFIKTPIFKLCFPLCKSHGGKVLDLLVLHIAGVDYLSAAAYDDLIPSYHVFLEGREIILVEGLKLDNVEAGAYTVNWLALRGLQ >KZM82367 pep chromosome:ASM162521v1:9:17989182:17989469:-1 gene:DCAR_029936 transcript:KZM82367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLYSGPNVYGLSKEAPIKQYTTAVGGVTIESPKFVKNGQLVLTSGALHKAMGDARMKLCEQQSKVCEQQSKVKQVSNPKDNANAAEQPQKSG >KZM81390 pep chromosome:ASM162521v1:9:647320:648507:-1 gene:DCAR_029003 transcript:KZM81390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDEVPGSVGTSASLALRLGQTIFSSASLLFMSLGVPFYSYTSFCFLVTIMGLVIPWSFTLALIDGYSVLVKCPIRRSGMAILVMIIIGDTVLSILTLAGACSTASVADLLMKADAPYCPSKVCSRYQLSATMAFLSWSLSLASSLFNLWLLPHI >KZM83376 pep chromosome:ASM162521v1:9:31277645:31277905:1 gene:DCAR_030945 transcript:KZM83376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRFGALQVLTLFITCSLVVQHFVVFAASRPLKICAPPKDLDEKMASGQHTARKTANSVLNRYKKLEDAFRPTSPGHSPGIGHPP >KZM81791 pep chromosome:ASM162521v1:9:6049114:6050551:1 gene:DCAR_029404 transcript:KZM81791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHYSFPRCLRLYVWRDKIRITKSPVCPSSCKNLIAGNINPTQGESERVKCMRMTYECICLNVFVNQTLFRLRSDLGKLKINVDGSVNMKNPGCGLGIVVRNDKGDCLEARCVYLNNSSSPLAVELLAIKEGLKTARGMRCSNFILESDCAQAVALIENFDRVRECNMVAHVLARLAMRSKISARWSEDFPSYVLSACTADLG >KZM82377 pep chromosome:ASM162521v1:9:18069125:18071011:-1 gene:DCAR_029946 transcript:KZM82377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFWATWVCYAVAGCVLATYAAAETKPYSYVSPPPPPSLSAPLPSTPPPFSYVSPPNLPSFSPPQPPILSPPAPPQPSSPLLSPPPSPPLYLPPLPRPPQVPPLLLPPTPPKLSPPLLLPPSPPLYLTPLPSPFSVPPLLSPPAPRRSFSPLSPPPSPPPYLPPLLRPPPIPPLLLPPTPPKLSPPLLSPPSPPLYLTPLPSPFLVPPLLSPPAPQRSISPLSPPPSPPLYLPPLLRPPPIPPLLSPPPKLSPPSPPSPPLYIPILPPPIPVPPLLSPFTPPKISPPSPPSPPLYSPPLPSPFSVPPLLSPPAPPKSSSPLLSPPPSPPIYLPPLPSSPPQRSPPPISPPTSPSPPLYLYPLPSPLSIPPLLSPPTPQLSPPSLSPPAPPSPPLYLSPLPSPFSVPPSSSPSVPPRQSPPPLYLPPLGSPLKPTPPISSPPPYGTLPPKTKTPYSYVSPPPPSSPHVHKSPPSVSPSPTPSPQPFPSISPMTPPPSPYTSNSPPSISPSPSNNACLTPPPSPSTPTSDPPPNSPSISPTKAPPTQPSPSPYAYISPPPPSPLPPSPLSPPHHHSHHRHHRHHHRHHHRHHHHHALCRSSPPPPVFSPPPAYAYLSPPPPPSSPFPYL >KZM82210 pep chromosome:ASM162521v1:9:13752611:13753600:1 gene:DCAR_029779 transcript:KZM82210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIQAACVHAHEKEWELTHIETVNRDMYDMIRLQHHVMIPENLLEEFRLFNSIYANHFVEGSSERIISCIPEHMNSVARYMAEYGMKNMHSFAEAPGLFGELKFYLDRDMGLVLPSPLVELADNFGLGEVINGPPSKPSLKRKRLTGNGVLFGIEEPDMLPYFKPEVPPYLYECNVPPLVWTSKMRGSGSLNQTIRGAGLDYYGKGKKDLYHGLAFNVDGVLSQKAIDILESGCLTSVAEVFGRKVVDLDEPVGKGLFARDILHHAVNGTLGIIETILNADKEVADPYSSAIQNLLPVDKVITAMGFPSPSGAGPSGTLKKVCRCSSI >KZM82420 pep chromosome:ASM162521v1:9:18635886:18657285:1 gene:DCAR_029989 transcript:KZM82420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLSSLPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAESSEELYEWKAALELALAQAPSAALSMGHNGIYRNDSNDTVEGREKRPVKSLVVGRPILLALEDIDGGPSFLEKALQFLEKYGTKVEGILRQSADVEEVDHRVQEYEQGKSDFGEEEDAHVIGDCVKHVLRELPSSPVPASCCNALLEAYKIDRKEARLNAMRSAILETFPEPNRRLLQRILKMMHTVSMHSSINRMTPSAVAACMAPLLLRPLLAGECELEDDFDMNGDSSAQLLAAANAANNAQAIITTLLEEYENIFDNDAMHRCSISADSLTENSVSDDSTDDGTLDIKKNGYHDAEHEVDQETDGDQDRVLSGKLSETSGYGGSDLYDYKVYGANDLDVGSPADKYILEAESSTHADSQSRVNCQANEQQVLQPKAVENGLSTSLALPGVDSYRSMGQILSSMDKEIPLSVSRTESSNERSMGKPASSNLNAKRSTIWGRSSTRKTPSMESIDSSGEEELALQRLEITKNDLRHRIAKEARGNAILQASLERRKQALHDRRMALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQYSGSCNMDSKTRAELEEIALAEADVARLKQKVAELHYQLNQQRQKHYGSLSDACDRHQHVHNNHSTQQKYFQQDFDTSLAFVNYERKQRIEETSLGNRNLKGEGLTSSSSNRQPVRKQLMESASFSDSKSTESSSMENIGAFNPASVPSSSRAAQLMDYPRHQSVASSTLVELTSRLDFFKERRSQLMEQLHSLDLNNGTRSPQDFMYNSTPNWN >KZM82579 pep chromosome:ASM162521v1:9:21349924:21350118:-1 gene:DCAR_030148 transcript:KZM82579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGTTKGDFEALSEWEYEDWLWASDEEEVQGEGVIEITDDEDEVEDASGLVVGSDQPGMGGRI >KZM83467 pep chromosome:ASM162521v1:9:32192972:32196364:1 gene:DCAR_031036 transcript:KZM83467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLQQKKTSRPIVSWSSQEDDILREQIRVHGTDNWSIIASNFTDKTTRQCRRRWYTYLNSDFKKGGWSPEEDILLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKREALAKQNATSYVNLNNKRVIPRLDADEDELSETGVLHKKIRTNESSDMTERSREEFREMDEQIRPPFAVMVQDFNILRDLETQASTDLIQGIYLKKDDPKIIALMQQAELLSSLALKFDAENKDQSIDNAWKILQEFLNQSKDADMIRLRITDVDFELENSKDSVSRDDIQQSWRASHFYADSPSSSEYSTGSTLLTHLTVDKPDRIAADASDAYHNSAHPQSNQIGQSIVDSVVEKHTVSCTTNQTKEILPISSLVPPCDDLNDDMGMVYDLPSNELNSPPQVAPVFRSLASGIPSPQFTESERHFLLKTLGVETASPNPSTTASRPPPCKRALLQSL >KZM83625 pep chromosome:ASM162521v1:9:33462771:33466055:-1 gene:DCAR_031194 transcript:KZM83625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRHTTTAAPPHLLLSLRRNPTKASSSRSNNRNPNNNKFQPTKHLLKAREAVRNQTSLSPSLPLDHTPTLSPDQAIGMVASAQANFMRVIVQISNTPTPTLGVELLCVVRALLKKIKRRVSVGDKVLVGSIDWVDGRGMIENVFQRKSEILDPPVANVDHLLVFFSLDQPKLEPFSLTRFLVEAESTGIPITLVLNKMELVDETTLITWKSRLRSWGYEPVFCSVETKHGLDTLKFILREKTSVIVGPSGVGKSSLINALRGDHSGIDSAGEDDCLDPFAGSKWFEDQRVGEVSVRSGRGKHTTRHVSLLPLSGGGYLADTPGFNQPSLMKVTRQSLPQYFPEIQKILSDSYPETCSFNDCLHLGDQGCIVKGDWERFPHYFQLHDEIKIREGYQLRTLGTKREGDVRYKIGDLGVKQAEPRLEPKKHRRQSRKKINQSVLQELDELDDDNLSDDDNNDPILRAMKNEHQ >KZM83049 pep chromosome:ASM162521v1:9:28318076:28318780:-1 gene:DCAR_030618 transcript:KZM83049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPEKSQTDSASAEPISSPRISFSSDFLDETNFIPSIKTSQVEKEPEKPREKTFEFLSSNNHTMLPADELFFEGKLLPYWQMHHEIKKITLRSEEGPKAKSKVEDLNLSKESRGSWFIDDDPSPRPPSCTVLWKELLRLRKQRPSTLSPSSSSSSSSSSSSLVDNQGTDKEDRAGNKDKNAKKSKKGLERTRSATMRIRPVINVPLCTQAKNSALPPLFSFKKGKLEKLNSQK >KZM82355 pep chromosome:ASM162521v1:9:17824256:17825995:1 gene:DCAR_029924 transcript:KZM82355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRFSIIQWEALALLLIGISINQMRSLPEGASALGLPIAMGAYIYTCIFEFNVQVTVPSLASVYNEYALKSQYDTSIYLQNVFLYGYGAIFNFLGILGTVIYKGTDQGISSSTHN >KZM81499 pep chromosome:ASM162521v1:9:1848329:1848535:-1 gene:DCAR_029112 transcript:KZM81499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYRGVSDHSDEAKTAAKGAPTTEGQQKKVTLTKKEKAGKDKELLSRGVVLDNNLESIKSQTIELID >KZM82694 pep chromosome:ASM162521v1:9:22583189:22584775:-1 gene:DCAR_030263 transcript:KZM82694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREKENFKNSNFKLSNNHANGDRNLQEGQWFRVMRHQVSGKRIVVPKKDIEVSVQDRVDNRTYAQVLMNTGSKREGIAGNHTDSIKARILKNGCMSVMVNNIPDQIRRRDSWLLFNRKRQIRDIILPKRRDKFNHRFGFLIVGSMTDAQELITSFNGTWIGRFKLTLYVARDFYGQPEHSFKKEVTRTDAKNRQRNRPQRKEALPTQVQSLGEQRVDGISVSGKRIVVPKKDIEVSVQDRVDNRTYAQVLMNTGSKREGIAGNHTDSIKARILKNGCMSVMVNNIPDQIRRRDSWLLFNRKRQIRDIILPKRRDKFNHRFGFLIVGSMTDAQELITSFNGTWIGRFKLTLYVARDFYGQPEHSFKKEVTRTDAKNRQRNRPQRKEALPTQVQSLGEQRVDGISENLVKQPSFRTIQGNISNGCQQLLNRSLVGSTKGSVQPDMLHAKILDRGFTFLTIRGLADKKFLISFISDDDKELDISGISDLFLDIKVVEDCDLIVPRTTWILSDGLPLSVWNKETWELILAD >KZM81602 pep chromosome:ASM162521v1:9:3313743:3315830:-1 gene:DCAR_029215 transcript:KZM81602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRISNDMGAENTQNSSLHSLPHEAEDSQYIHKVGVPPKPRLLKEIADTLKETFLPDDPWRPFKKQPRRRKLVLGLQALFPILEWGRDYNLSKFKGDLIAGLTIASLCIPQDIGYSKLAHLDPQFGLYSSFIPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNELDPETNKLEYQRLAFTATFFAGVTQATLGFFRLGFLIDFLSHAAVVGFMAGAAITIALQQLKGLLGIKKFTTKTDIISVMKSVFTAMHHGWNWQTILIGVSFLLFLLFAKYIGKKNKKLFWVPAIAPLLSVIISTFFVYITHAEKDGVQIVRRIKKGVNPSSIDQIFFTGDYLVKGFKIGVVAGMIALTEAVAIGRTFAAMKDYQIDGNKEMVALGTMNIVGSMTSCYVATGGLKLFNALLFLLSLSSKLHGRLLLSSL >KZM82339 pep chromosome:ASM162521v1:9:17533209:17539504:1 gene:DCAR_029908 transcript:KZM82339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLTFRAFPSCISHPFPSPNKSLPPYIPHSFFISFNYKHIFNFGISNKLARATHSSSAAESALDHDAEFITRVSACKDSNEALELIAEMTERSSGVVSVEDCCSIMSAALDCGNAELALSVFSAMRSTFDQGVGDKGLSVERWRWSRPDVKIYTLLICGLAASLRVSDALRVIANVCRVGVSPGEEVPFGKIVRCPSCMLAVAVAQPQDGIQACYTQKAVSCSKCRYQYELVSGDIIRIESEEISMNVPAWKRGLQFLNIIKEGIPAAVHSVVVQTPSGIARTHRFATATVDLPAQEGERVSIAVAAPSNCYREVGPLKLSAKPPTFYAGEPMCLTNHRDGRESPLLRAPRKEGSISLINPSTIFPLLAVLSFGDAASGIIDPSLPNLISGAAVSALAIGATLNNLVLPELSRIPQRTVDVIAIRQKLLAQYDVLQTRIKDLKEASENEVWMLARMCQLENKIFAVGETSYSARQARVKRVREGLKSSLKSRIELIESYAKISSMIEIEVEMDTDVLAAEAASNAVHIFDFTIAIKHRHKCIEKNSDWPEK >KZM82501 pep chromosome:ASM162521v1:9:20376609:20376902:1 gene:DCAR_030070 transcript:KZM82501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKRKRDGAGESSSKRRKEKKGEITVTDGEVEEFYAILRRIHVAVTYLKKGNGKGLLNNAFELEDFERVDCFEQRRGGEKRLQFDLNVEPDDEAE >KZM82647 pep chromosome:ASM162521v1:9:22184326:22185395:1 gene:DCAR_030216 transcript:KZM82647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTLGGKKTTKVMKINGETIKLKTPIQAGEVTKDYPGFVLLESEAVKHFGIRAKPLGIYQELKPRRLYFLVELPKFPEEKAPRKIRSGINMSAKERLDSLMLARRSVSDLSLLKPKSSMVEEVSKESVENDSKMLKIKIKLPKAEVEKLMRESKSEAEAAEKIMELYKRISASVVEGKEVQEHGSSGARREVRWNEGGLGRISGAVKARERRVGFMAINEGETQVAVA >KZM83011 pep chromosome:ASM162521v1:9:27651732:27657795:1 gene:DCAR_030580 transcript:KZM83011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSGCSIRAIWILNSQHTVVYSRRFPVVERRWRAACKLQEESVAEDDCVAPVLPSHSELATAFADRKNREGSTRGFGIRVVQSVKGSDSWVDDPITRHIISLSIKKEPEGEDQLLWPLILHVKGHFCILVLPLVEPRHLKAYGKMCRRSDCGSAVGEDESLSTLLLELPSMTGAFMVAHAIGDIITGDIVEPEVVVNVGPSVGGLLDTLTGSIGISARAKPVAAPVSTSSPLGTSVTGALSADVPKIGTRPLDKDALRTYISIAMPFGTPLDLNYSNFSAVKNNGFLSSDLPPADRKQPAWKPYLYKGKQRILLTIQETVNAAMYDRDEIPDNISISGQVNCRAELEGLPDVLFPLTGLDTARVEVLSFHPCAQVPEQGGGDKQSVMFTPPLGNFTLMRYQAFCGIGPPIKGFYQLSMVSENEGAFLFKLRLMEGYKAPLTMEVCSVTMPFPKRRVIHFDGTPSVGTVSYTDHSIEWKILTSGRGVSGKSIEATFSGSVKFAPWQHTSGSSGPGYGVLAGEDSDAEMESSNNMANVEDLLMEKMNKDLKSVDLEEPFCWQAYNYAKVSFKMVGSSLSGMSIDPKSINIFPPIKAPVEFSTQVISGDYILWNTLGKCPVAARGDLMC >KZM81875 pep chromosome:ASM162521v1:9:7447202:7448363:-1 gene:DCAR_029488 transcript:KZM81875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGMCIFSVLEIQEQSTYIRRCLYGQELLSHTPMVIEFLLNESEKYIDGVVQLHERNRKLLRCLLSWVQAGCLSEISAPSLPAHPLLSFVFNSLQQSRSFGHHYIQGATYSSRVSGRVKIFCLLKAK >KZM82142 pep chromosome:ASM162521v1:9:12124370:12126453:-1 gene:DCAR_029711 transcript:KZM82142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWYFSNDGDDLVVPKDMKPIDRLPSPNSWSDWGVSDFHKKNIDAENLCDELDLSISRHQMECSSNSSMSYGFQNNLQQWGTSTERQSDDIQMDEIYMNSLLDEDLATENLYGSSSFSPQSNSNFGGSNYYDNDTFSPKTDWNREASNSFPSIKEPVLKDSVASEHGTGRVYMHEQTSLEESVLQELEMVTSKLNLKTRNCFRDSLYRLAENSRTNTSTPVQNGDLVIEKKTVETVNNEKSSVQETEATESKTNAIDRAVANLMFAKMDFFVEEESQAPVKESQNVKCEADGRTEQFQYNSGEPWATCFPMLVGDAEVPVVGK >KZM83114 pep chromosome:ASM162521v1:9:28865167:28866168:1 gene:DCAR_030683 transcript:KZM83114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTWETPDPGYKKINVFCEISEDPLLHEAIVSIGALARDSGGAQLWAALGLLPNLTEEQAIMSGIQSALIHAQKMGWELIHIETSNVEVYDTIRHQEHVFLNDEQLEIYSSFNTIYANHYNGKKMKRVITSVPQRMNSSAAYLAHYGLTKRVVFGEISGSVGDLGYYLARDMGMTLPFPSIEVQTNLGEGEVIDGSPPPKKRKLDEQCCDEMPRQAYRDKGKNKVLEHFSFNENGVFGQKAIRIMDKGKLGCYSSVFDNPEVNMNAAVGKEIYSRDILHHALLGTLKSVIPKLYVSLPSLLGNDVDQLMSVDQVLDLLGFNGDKPSTSKNPV >KZM82632 pep chromosome:ASM162521v1:9:21981683:21982255:1 gene:DCAR_030201 transcript:KZM82632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQSSFCSTRISAASKASKVIFPGGEVRQFRHPVKAAELMLESPNSFLANTKSLNVGRRFAALSADEDLEFGNIYIIFPMKRLKSVVTSDDTDVLFMVANPTARASANKVKVLPESAATALPESEAGGSKTGFEEVEGLDTSEFKYRLSVSRSRKPVLDTITEEQSRHSKCFPRGVKQSCVNIGPSSTT >KZM83200 pep chromosome:ASM162521v1:9:29556625:29557554:-1 gene:DCAR_030769 transcript:KZM83200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNGGLTETCGLSGIGYPDEMKDGWEYERGSSYFQLLSWNVRLKVCFWCYKGPLRRAQIQARQGSGESFRAVSPGLTYDQLCIGDLLLARE >KZM82786 pep chromosome:ASM162521v1:9:24153651:24154236:1 gene:DCAR_030355 transcript:KZM82786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWPASSSTEGSLYTIRTQEDQNILHLAAAADSADKRKAAADNRKEMVQGILKYCPKMYKDDMLKQPDSNGDTPLHLIISQGCFIPELVKYEGLDTKTRNKQGFTPMDMLYVKDAIVEDQYVVNAYLCLASIGFNFALWLVASEVPRQERLLQ >KZM83201 pep chromosome:ASM162521v1:9:29561804:29562823:1 gene:DCAR_030770 transcript:KZM83201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENMGWGVLEAQGWRKGPWTSEEDRLLIEYVKLHGEGRWNSVARLQGLKRNGKSCRLRWVNYLRPDLKRGQITPNEESVIIELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKTKVSPSDANKSKARMLKRQQFQQQQLLLQQQQQYEVDMQRIMSNLRDQTEVPIPSLPQHQLMMKPQDSVASSNNCTQTVNSDQGRYDYSSMTMVSHFNDCASVAESSSDQDFFWDGNLWNLDDIQGNFSEVPAANRAAAPLQDFNSSPFMLFNCY >KZM83009 pep chromosome:ASM162521v1:9:27597374:27597886:1 gene:DCAR_030578 transcript:KZM83009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVLRPQDCLTHRIRVPPAAFHRRKTTFSGYTNRVPPVNKPFIRTEKPDRRWPEQRKRSPEKSAISKRSASSGDLMNKQAQINSKNNVIASENVRILRRGESLMSIRENEKKCEAVRVGLADVYSGSTATMSPSPRALPVPSFFKKGADRMLVDDLATKDLRRLLRLD >KZM82345 pep chromosome:ASM162521v1:9:17634944:17650747:1 gene:DCAR_029914 transcript:KZM82345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEGDSKQWMCGKGRTVNLLKVSSIVRDIGEPPCLHQLPLQVVTAINKMLKPEKWHATFDDDGKIFGFQKALKSIVLGAYLELNIRSLSAKIEYDRYGREQVEIREVKAELNQEIEERYKDLVKQCQMMHSSIGTGSLAYAVGSKVMDMRTMSKEDGTSEADVSSRRASNNNNIEKIETYCDSDYNCTDTSHACPRESSSDSVDLSDMRESTDGAPFESSCYIPSSSSCNCSSIKPGSAEHGSLFVAESYSDFPPLPVTNLFEKSSNNEKVPRSHDDRISGRRKLRFEDDHVYNFQINNNVDLIMESKKSSSSDMQHGDQSEIEMAHPDVCESISRFSDSLYEAEIVNRLRVSGASDVADTNATTSQGGAADEEKVSEWLWTLHQIVVDVVRTDSHLEFYEDTKNLARMADILAVYAWVDPATGMSDLLSPFVVLFEDDADAFWCFEMLLRRMRENFQMDGPTGVIKQLQALWHILEVADKEMFAHLSHIGAENLHFAFRMLLVLFRREISFNEALCMWEMMWAADFDESLACHLNESCPKLLTIQIHRESVADTVKESSEHHNCSSKGRSHMKPKSLEQSILENGIKTVPTHPFCGLTKNLWSKNDQFQICTIISSTKNGDDELPVFCVAAILILNRQKIIRETHSIDDLIKSHQDNQIMKLRVKFIMAILSSHLNVLRENIIQEVSSMFVKAAEVEEKTTDSGKKTVRFAKNLITSFHENVVENSQSQEDESEATEHHANEEGQ >KZM82457 pep chromosome:ASM162521v1:9:19678299:19680272:1 gene:DCAR_030026 transcript:KZM82457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSCKNKPSIQRAHAFLIVHGEVGSCLYQNRLVRLYGMVGQVESARKVFDAVPDPDLGTCKVMIRWYFINDLYRGVIGFYDCMRVCVRESDNVVFSIVLKACSELRYLGVGKKVHCHVVKAGNPDSFVLNGLVDVYAKCGEVSSSRRVFDEIVDRDVICWTSMIVAYVQNGCAEQGLSVFNRMRSGLVEGNVYTFGSLVTACTKMGALHQGKWIHGYMVKYGIVLNSYLVTALVDMYSKCGSITDAHRIFDECCIIDLVSWTSMIVGYTQQGYPEQALKLFTDKKWKGILPNSVTLASVISACRQADNSKFGRLVHGFGLKLGLQDANVSSALVGMYAKCDMMEDANNLFQTISEKDVITWNSIMSGYAQNGYAYEALKLFQHMRLLNLRPDAVTLVTVLSVCASVAALHFGYALHAHSIKVGLLSCNNVYIGTALLSFYAKCGDAASARHIFDGMEEKNEFTWNAVIGGYGMQGDCSVSLTLFDDMLKDKMEPNDITFTTILSACSHAGMVDEGKRYFSLMCQKYNFRPSLEHYACMVDLLSRAGRLEEAQDFIEKMPIQPDVTVLGAFLHGCNLHSGYNLGELALGKLLEMNPNDASYYVLMSQLYASDGKWSQAYQVRELMKARELNKSAALSLVDMQNLKSELPPLRLASQA >KZM82576 pep chromosome:ASM162521v1:9:21279084:21286345:1 gene:DCAR_030145 transcript:KZM82576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLSRSLVSSPIASLPSFSVKSGANKVVSLRSAFLPQRGFKNGFSCGGLKWKLERRESGVVVRCEAAVAEKEASETSEGETHEYQAEVTRLLDLIVHSLYSNKEIFLRELVSNASDALDKLRFLSVTEPSLLGDAGELEIRIRPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLAALKENKDKDVGADNALIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYIWEAAAESSKYVIKEETDPEKMLRRGTQITLYLRSDDKYEYTEPTKIQNLVKNYSQFVSFPIYTWQEKSRTIEENKDKDVGADNALIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYIWEAAAESSKYVIKEETDPEKMLRRGTQITLYLRSDDKYEYTEPTKIQNLVKNYSQFVSFPIYTWQEKSRTIEVEEEEEPKEGEEAKPEEEKKKIKKTKTEKYWDWELANETKPIWMRSPKEVEKEQYQEFYKKTFSEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPMNNEDITNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMMQDLADKEDKEDYKKFWENFGKFVKLGCIEDSGNHKRITPLLRFISSKSEEDLISLDDYVENMGENQKAIYYLATDSLKSAKNAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKERETKQEYNVLCDWIKQQLGDKVAKVQVSNRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRILEVNPDHPIIKDLNAACKNSPDSTEAIRAVDLLYDTALISSGFSPDSPAELGNKIYEMMAIALGGRWGRLEDEAEAVSEDTSTGSDGSSVDTAETEVVEPSEVRAEADPWSS >KZM83404 pep chromosome:ASM162521v1:9:31527078:31528373:1 gene:DCAR_030973 transcript:KZM83404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSLNTLKFSTPFLPPPPPLTPLHPLHKSQCKSTPILSTFTPNPPPQKQVYQPFRPPPAPIPPQFRSLDAPARLDILSNRLGLWFDYAPLIPSLTQEGFSAPTIEEITGLTGVEQNQLIVGAQVRDSLVQAEVEDEVLRFYDLGGAQLLYEIRLLSVEQRAAAARLIARENFDVKGAQEVARAIKDFPRRKREKGWECFEYRSPRDCLAFLYYRLALEHESFEVRKGGLVKALEMAESERAKKRILEDLERKGGGEKGEDVVVAVKVPVVRMKVGEVSEATSVAVLPVCRSEMREEEVVDAPWECGTGGEFGVVVAEKPWSRWVVLPGWEPVVGLKKGGVVVGFPDARALPWKVNKWYKEESILVVADRKAKEVVTDDAFYLVCKENGLKVERGSALKGSGIEESLGTVVLVVRPPKEDTENQLEEDWE >KZM83081 pep chromosome:ASM162521v1:9:28594970:28598448:1 gene:DCAR_030650 transcript:KZM83081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEIQPKSEDILNDEDEYSNISFRLMDVNDIDDFMVWATDDKVSQYCTWSTYTSKEQAMDFFTNIVATRSTSLYWGKGIVTRAVKKVASVIFAEWPHLERLEALVDVENAGSQRVLQKAGFQREGVLRKYVIQKGRTRDMVIFSLLSSEIAQKYYQVHVELLLFNLENCPIHAELLLFNLENCPIHAELLLFNLKNCPIYYFKWPVVYQHVKQDKNCIGDFEHYYEYALFTQLEWTNIIYLLHIAFKFRVAYVDPKSRVLVYNPKKVALNYLFGYFTIDLLLFFPWTQRFLGLTPIVSDWSYEPVQVLSFLQYLAVLCRLLSLFADQATGALFFESWSSKFALNLLAFFLFSHVVGSFWYNFALDRVDKCLRKACGESWCFDYIYCEPRNLYWEVIVDATLFEKWTNNKNATACFSRDAYDYGIYVQAVSLMKNSNLLMRYIYSLFWGFQQISTLAGNQIPAFFLVEVLFTMFVTATGLLLFSFLIGNIQNFLQALGRRSLENSLRRSDIEQWMSHRQLPEDLKAKIRESEQYNWLATKGLNELMLLENLPEDLQRDIRRHLFKFDNKLPIVASMDESIKDAIRERMKHNTYIEGSRVLVRGGLMDKMVYIVQGKLESTSEGENVVPLSEGDVCGAELITLCLEHYVLNRDGDKFRIPAGKLVSKRTVRCITNVEAFTLRAADLEDVFSLYSGLLIQNPLVQGAITKESLYPKSLLRSRSY >KZM82881 pep chromosome:ASM162521v1:9:25584345:25589069:1 gene:DCAR_030450 transcript:KZM82881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNQQKNGLDKNLSKQKKGVSDSLSSLPNAKQKGKVSDTKVDSGEELCNGTLSNTEHLREHSSRTDKGGDEKKSKQKFEKHMKSKKQGLSEGQGLDHPVISGRMSQDTLTSMSTAKASVSREEIGSSPISNLGSHLQSSLGGSLSGLRMEDTVESVEVLYRRLKTLGLSTLKASKEWLEKKKPLFSIVATNALHARDFVRMKFEHAYPIVLRWTMHFVNIMLLLAMIWLDCCLRGIDSILRMGTTSFLAVIWFSILSLVSMVGVTKFLVILALSAFTGIVAGFTIAVILIALSGTVLLWMYGSFWTTSIIALCGGMAFTFSHERIALLIATLYSVYCAWSYVGWLGLLFGLNISFISSDALIFFLRNNINERRSPDTPFESAGPGNFSEQRNASSSESGFVPTADRSSGVPSTSGSDSDLTPEEEVVRLLNCTDHYSALGFSKFGNIDVSILKREYRKKAMMVHPDKNMGNEKAAEAFKKLQNAYEVLLDSMKRKSYDDELKREELLNYFRRFQNAPQQDTGYSFFGSRFARTDPDGEEFSGDSRRIICRKCSNFHVWYHTKKLKSKARWCQDCNEFHQAKDGDGWVEQFSQPFLFGLMQKVDAPSAYICADSKIYNATEWYICQGMRCPANTHKPSFHVNTSVTSKHTGGKGMNNGPRGGGIPPSNMESMTEEEFVEWLQNAVQAGMFDNFADGNSDSPNARAGSSSKGSASNPGGGGASSTGGKRKKKGKKQW >KZM81617 pep chromosome:ASM162521v1:9:3555194:3558909:-1 gene:DCAR_029230 transcript:KZM81617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWKEPQQVAPFSKRILPCVASTALSSAPTRQAPTITSPILTSVINSCVVSTSCICEKFRFMVDFVPIRTCRNYADKGVEYPMWQPMSIKVSL >KZM82266 pep chromosome:ASM162521v1:9:15146678:15148839:-1 gene:DCAR_029850 transcript:KZM82266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIKPIENGECQEEIARNPDIIIVGAGVAGSALACTLGKDGRRVLVIERDLAEPDRIVGELLQPGGYLKLIELGLEDCVNEIDAQEVFGYALFKDGKSTKLSYPLKDFNPDVTGKSFHNGRFIQRMREKAKTISNVRLEQGTVTSLVERKGTVKGVQYKTRGGQEMTAYAPLTIVCDGCFSNLRRSLCNPRVEIPSCFVALILKDCQLPYPDHGHVLLANPSPILLYRISSTEIRCLVDVPGKRIPSIVNGDMANYLKTLVAPQIPPELYDAFITAVNEGNMKTMANRSMPASPYPTPGALLIGDSFNMRHPLTGGGMTVALSDIVVLRDLLRPLGNLNDASALCRYLESFYTLRKPLASTINTLAGALYKVFCASPDLARSEMRSACFDYLSLGGVCSSGPVALLSGLNPRPLSLVLHFFSVAIYGVGRLLLPFPSLQRLWLGIRLIMDATSIILPIIRAEGVRQMFLPAVFPAYFSSPLTC >KZM81484 pep chromosome:ASM162521v1:9:1731633:1737390:-1 gene:DCAR_029097 transcript:KZM81484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFLMQQCTKMEERSSTKPKELEQVHNTLTNGRCTPPGQMDNVTAEDVQKASAGTKVGVNVSVGDKIVPSADDSIKLLPFGELPQDMKMCSPGPVEVQAENQSKISPNSIKVTPDHNHKFGSEMVNNEAEENHVIATENTENGPIKGQNSEFGHINMEQKGTLPEEVTPNNCLESSIPQIDTQSRIYSSDLLGSPTGDAANDHIHLEQQINNAPNSSGLEQLGPGQETAAEGSSHLEETVEGTPVLPRNRPISMISSEGNIKVLSGKRKKNQNLLVSSSRTLRSRSQEKSKAPDTSNMVTEGADSQKKKKRKKRMEKNKIDEYSRIRTHLRYLLHRIQYEKNFIDAYSGEGWKGQSLEKLKPEKELQRARSEIVRRKLKIRDLFQRLDLLCSEGRIPDNLFDSEGEIDSEDIFCAKCGSKDVTLSNDIILCDGACERGFHQFCLDPPLLREHIPAGDEGWLCPGCDCKVDCLELLNDSQGTKILVTDSWEKVFAEEAAAAAAGKNLDDISGLPSDDSEDDDYNPDSPDLDENVQADVSSSDESDNHSGADDLRVLPQKELFLGLPSDDSEDDDYDPSSLNYDQKSKMESSSSDFTSDSEDLTVVVDKCKPSSEVQGPVTSSPDDVMEDEEGCGLPEEGDCASVYPRRQVKRLDYKKLHDSRRILYLFLTELFALAHVSLILKEEYGNTSSDSSDEDYMDLALPNTKKNISEEEDLLSPNLEVTTENGKESDDSEPDQKTNENTHNKRSSKTKFTVSGTNSTPARSCKGSPTTGGKSTSRLQKKVDVNGAYSTPARSCKDFAATSDKSTSSLKKKFDVDVTNSTPARSPKCSSATTRKGTPRSSFGEQATQRLLQSFKENQYPQRTVKESLATELQLTIQQVSKWFENARHSFRHSRGRASDVAKITPDKVTPQKSSNLSESDSRSVLNNTTCSEVKKKKQDEGTTAECCDKDTTSNMVAEEGNGCNSSSTNPRKRKAKFGSEATEPNTSSETLEQNAEVNPQKTSKRKTKFGSEATELDTSSETPEQNAEVDPQKTSKRKAKFGSDATEPNTSSDIPKQNEEANPPNTSKRKAKSGSEATELDTSSESPKQNAEINTPKTQGVRKSSRIQSKSKESIS >KZM82878 pep chromosome:ASM162521v1:9:25544842:25547275:1 gene:DCAR_030447 transcript:KZM82878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKRELVDRLSVADKIEPILLDGQVALTHKDCQEEPVEESTRPTGLSCQLAEIHEHVVAAEVQLKFVKTEYESLIEKLVLQFKQVRGCHEELQKVHFDMESPLNRSLATETHQSNENAELMTTVHWLRSELEASVIEIRVLSESISVLMPRLEEFKRKTVILEAELDHDSRVHNEFNYKLEIAGEEICELIFCNTELEIIIIVLKDKPDGQKGHIALMEKSSVESFKDRIRLMKLDKSCLNRFLEHKNSKTCMFT >KZM83072 pep chromosome:ASM162521v1:9:28521061:28525179:1 gene:DCAR_030641 transcript:KZM83072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDNDENNRGATEMPENGLYLYPVSAQASGEGLPYAPVDWPEPGDIWRWKVGNRVAVSGYFIDRYLYLPKRLQRVNKAKSFKSKLAVEQYIQKIGADVEAFFALFSWKIPSREQSEVKGEDLGSELQHGIRCCKAGNTSCGSLVESDEALPGHMTIDIAYGGYSFIRCEAVIGEGVICGHLAHMRCALGSCMAGVVEGCIGLDTEYYCRRCDTRTDLLSHVAKLLQTCEAIESRDEIMKILDLGASVLHGTQRLAAMKLLHHIELVMGKLQSGTCLEDVWKMEHTSAANLGILIHDALESSMNEKPAHDRIASPHKVSESFDPVIESLKLEVKVDEMLAALRKSQEQEYNMARDKLCEHKNYLKDLYQQLNKEKAELAQHAAHNAHRGALTKLVRNRLDQIKSEEIKLKEMEEVAKGFGRTSKKILKKHFDLHC >KZM83405 pep chromosome:ASM162521v1:9:31530512:31531042:-1 gene:DCAR_030974 transcript:KZM83405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKANPKVFFDILIGKSKARRVVMELFADVTPKTAENFRALCTGEKGMGTAGKYLHYKGSSFHRIIPKFMCQGGDFTKGNGTGGESIYGRTFADENFKLKHTGPGILSMANAGPNTNGSQFFICTEETPWLDGKHVVFGQVVEGLSVVKDMEGVGSNNGQTSSPVVIEDCGQITEN >KZM81500 pep chromosome:ASM162521v1:9:1850198:1851043:-1 gene:DCAR_029113 transcript:KZM81500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQKSTPVCMVELKALIYQKIGSHRAVDYFELLKSFLSLRLNKTEFDKYCTRIIGRDNIYLHNQLIRTILGSCYARNYPTLRTKNVAVAASPIAKVSSGLPVHSRFLANRERKFCDPSLLGPLGKNTELPSLGSRPPVEIVLGEDGNSLFCQKTRGLPDARSLMNILEQKLNMKGLNISVEGTNVLNNGLDCYLKKLIEPCIGLAGSRCMKERPQHITGQRVTALSGILPASGIFLPEPSHTIYATLSDFGAVMESNPRILGGNWNALREKIMLEHLKR >KZM81988 pep chromosome:ASM162521v1:9:9594824:9595345:-1 gene:DCAR_029601 transcript:KZM81988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMMKPPQNLKCPRCDSEETKFCYFNNSKSSQPRYRCKNCNRFWTHGGKLRDIPSNAAERRAERARDSFISTISSPPTVTYAAAEIDRARPLYLTRPRPNQPRLEFVRTDINSLSITKSHAAPKYVQVQKYHVQPNLSVFQASYGQGVPDRHYMGGSTSDPSRSSSGAWFSY >KZM82384 pep chromosome:ASM162521v1:9:18202603:18202920:-1 gene:DCAR_029953 transcript:KZM82384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTMTSSFLGSAVVAATKQPSAAVRPSSTVMAKASKLNTIQVNNKSEESGNGRREMMFAFAAAAACSVANIALAEEPKRGSAEAKKKYAQVCVTMPTARICRN >KZM83227 pep chromosome:ASM162521v1:9:29781117:29785964:-1 gene:DCAR_030796 transcript:KZM83227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNNLCFILPADSIDQKDETLHEVKQRDHYAQILKALRKSIYRFFVVKWASFCGPRKQPSPSLFHDMEGVQILDKVGANNPRTFSFAELYIGTKGFSPDEVLGSGGFGRVYKAVLPSDNSVVAVKCLMQRGERFEKTFAAELVAVAHLRHRNLVSLRGWCVHDDQLLLVYEYMPNRSLDRVLFKRQESVATPVILGWNRRVNIIGGLATALFYLHEQLETQIIHRDVKTSNVMLDSNFNARLGDFGLARWLEHELDHQIRTPVKYQEFQLADTTRIGGTIGYLPPESFQRRGSATSKSDVFSFGIVVLEIVSGRRAMDLAFQDDQIILLDWIRRLSDEGMVLKAGDSRLVDGSYKLSDMERLIHLGLLCTLNDPQSRPNMKWVLEVLSDNLCTKLPDLPSFKLHRLYISLSSSSSNMTTSRSSNATITTTTTTAASSSSTFLTAKEETMYTTAEYGSTDVSSSAEYEKSDVSPFTDYASTDIRSEDNKSKRRLNMFPVIETPREISFKEIISATKNFADSRRVAEVDFGTAYYGILDNNHHVLVKRLGMKTCPALRARFTNELQNLGNLRHRNLVQLRGWCTEQGEMLVVYDYSATRLLSHNLQHYHQRKGLPILKWHHRYNIVKSLASAIHYLHEEWDEQVIHRNITSSSIVLDGDMNPRLGSFALAEFLTRNEEGHHVVAAKNYSVNGIFGYMAPEYMEFGEPTTMADVYSFGVVVLEVVSGQMAVDFSQPEVLLVKRVQKIKGGKMQYAELADWRMDGEYDHKELVRMAKLGLACTHSDPKLRPSIKDIVRILDGCDKCFLEKGQKKETKEEWKENNYASLALIRRIQALGNFSLPLLWMIIILFLTVVVTKWMSSKSCGTSLRMPPGPWKLPVIGNLHQLIGVSMYHHKFTSLAKRHGPIVHLQIGELCVVLISSPELAEHVLRTNELNFAQRPQLLDQDLIPYQGSSLIFSPYNEYWKHIRRITMSDLLGVKRMQTFSFVREAEVGSLVETIYRVAADGSVNLSDQFYTLSNNIITRSVLGNKSFHQEEFRNALNEMTRLTGKVGLHDLFPSCKFLHFVTWKRVALRRVFRRLDKVLDAIIDEHKAVDHSRSKEKDLLDLLLNVYGKDVTSSGLTIDNIKNYVLDVFAGGSKPTRAILEWAMSELLRNPRIMEKAQAEVRSSVQGKSEVDKVDLQSLPYLNSVIKETFRLHMPGPLIPREAREDCKISGYDIPKKARIFVNQWAMSRDPKYWNDAESFEPERFLMSSTNNNIHGMEYIPFGAGRRICPGQNFALAFIRLALFQLLFHFDWKLPNGLDPLELDMSESYDITTRRKTDLYAVAIPLHGTVMPDSNTSCI >KZM82305 pep chromosome:ASM162521v1:9:17016242:17021231:1 gene:DCAR_029803 transcript:KZM82305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLIKEHLKRLAGIDLQICSAQVTESTDFTDLTNKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKGRLGVEVEMGGCKAPITTFIVEPFIPHDQEYYLNIVSERLGCSISFSECGGIDIEENWDKVKTIFLPTEKPMTQEVCAPLIATLPLEVRAKIGDFILAVFSVFTDLDFTFLEMNPFTLVNGEPYPLDMRGELDDTATFKNFKKWGKIEFPLPFGRVLSPTESFILSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYATELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHIYVRRGGPNYQTGLAKMRALGEELEIPLEVYGPEATMTGICKQAIECVMAAA >KZM82586 pep chromosome:ASM162521v1:9:21574981:21576788:1 gene:DCAR_030155 transcript:KZM82586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKKLASAVGGKTARACDGCIKKRARWYCAADDAFLCQACDSSVHSANLLAGRHERVRLKTASTERGSVEPSWHNGFTRKPRTPRKSKLQGVQKNPIHLVPEMGQDENSFEENDEQEQLLYQVPNLDLLSDQLCLAKQGKKSDGTINYDKYSLRGLSESNNDIEEFAADVESLLGKGLDEESFDMEKLGFLNCREKDLVESCDRSIETVKLEENKDTAVTMGINIGEIDITEKFELNFDDYESTENCAEDNEAGANGGTCVENEEFVVRNGDELIGKKRNRLLALDYEGVISAWADQKRPWVIGEGRPELDLNDFWPADFMEVCGGVDNQAYGEMGGSSNLMADGGREARVLRYREKRRRRLFCKKIRYEVRKLNAEKRPRIKGRFVKRPIDNAA >KZM82889 pep chromosome:ASM162521v1:9:25631020:25632309:-1 gene:DCAR_030458 transcript:KZM82889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSLAFATRNLTNLSNEACVGDVSIGLSFGGYQEICPEAEAIVYSWVDKAVADDPRMAASLLRLHFHDCIVNARAHTMGKARCTTFISRLNGSADISSGIGPDVNLDFISSLQQLCLAADANAALAQLDLVTPSTFDNQYYVNLISGEGLLTSDQVLVTGDEQTRELVRLYAEDQEIFFEEFRRSMIRMGRLQPAGTDGEIRKNCRAVN >KZM81410 pep chromosome:ASM162521v1:9:896116:896904:-1 gene:DCAR_029023 transcript:KZM81410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLEKSGTGSPQERRSSSSATINFVDPEFEGCDETEDFAFEFNERLDIHSRTADELFDGGKIKLLQQQQPKMHEHFNGVCVETTRRDDMLLEGNDDRRGRGRGRERVYYSSSSRRQIFSRSLSPVRSSTRAIELGHDEKCCSKNSSFLSSSSSSNSSNWYGRWKLTDILLFRNSSDGYAINRKYEKLKKMRSRNEDLSKNSSLGSNRTACVSMLNKKKKVGGDEWQHYKVYQAAAEEMRRKTFLPYRRNIISCMDMDSSVF >KZM82752 pep chromosome:ASM162521v1:9:23408024:23410706:1 gene:DCAR_030321 transcript:KZM82752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRGLTISHVKSHLQMYRSMKTNGNGEDGSSFIQESIKQSYEEEDDNDGCLEEEETSVGYHSSLQRPLMQVSSSHHNHFPCFHTSPPVPLAKRARNTDQYLQCNYDERMLMNLCSYNDFVVAEKNGDELLRKLETSLIRSQETSMHTAFSHHSLKVAEEYKACTSAMKKRRIESPGSTQEVDNDDLGCGLSLSLSLQQPSTQKSNGSSRSDTSEAISSSSYRPSLKHCASSSQEHNLNLELSISLCGA >KZM82646 pep chromosome:ASM162521v1:9:22175176:22180575:1 gene:DCAR_030215 transcript:KZM82646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPTGVGASIGGFAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMTNVLYVEGYALDRFAEGLWGLQPVHQNRVGLVLDAGIEKELLLRHLQVVDAARASLGLPVVEYAVTDTPLQVEKWVDPKSGQSTGRIQHPDSLLRAVQTLIDRSMVNAVAVVARFPDDEFEDTDDYRQGIGIDLLAGVEAVISHMVVKNFGIPCAHAPALLPMPLSTPVSPKAAAEEIGYTFLPCVLAGLSNAPQYVVDQRERDCILASDVDSVILPLDACGGDGALAFANRKRNKPLIIAVEENTTVLNDTPAKLGIEAVTVSNYWEAIGVVAAHKAGVDPYSLRKNRIKNIQHKSSITSNGHTVAIAR >KZM81967 pep chromosome:ASM162521v1:9:9323061:9324807:1 gene:DCAR_029580 transcript:KZM81967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNRQESYSRSMTTIKLPIETNFKLPAPIPTWPSGTNFASGVIDLGALQVAQITSLNKVWAVIVGGPDNNGATFYEPTSIPEGFFMLGCYAQPNNQPFYGWVLVAKDVSLPVEPPGLALPTDYTLVYESPPGSIVQSTGVGSIWLPVAPDGYSAMGYIVTGSRQKPPLDKVRVVRSVLTEDIERDNWIWGSSVFNIYGSRPVDRGSKALGISMGTFIVQADGKPMDKLACLSNLNFSYPSMPNLNQAQALIKAYSPVVYFHPDEQYFPSRVSWFFQNGALLYTRGQETSPGRIAHNGWNLPQNGSNDGAYWIDLPSDETESDNLKKGDLQDACSYLHIKPALGGTFTDIQVWLFYPFNGPSKIKIAWVTITSGQIGEHVGDWEHVTLRISNFNGELRSVYFSKHNKGDWISSPGLEFDSNNKPVVYSAFHSHASYSSAGSFIHKFAQEKMIIGAQNDTSKSGSSMDTGARYWILGADYLGGLGIVEQPWVNFAREWGPKKNSGLEDILHKLEKFVPFVLWKKLEDFLRSLTPELLGEEGPTGPKWKDFWSGDERV >KZM82709 pep chromosome:ASM162521v1:9:22819897:22820547:-1 gene:DCAR_030278 transcript:KZM82709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHPSIQVLNLAKNDITGNIPLCFGNFTAIITSYNSRKEDGPPYSRLSDEDIIIDVPKGYELTYSSTLDFLYFNDLSNYNISGEIPKELMNLHGLLSLNIAGNRLSGRIPDTIGKLDKLEFLDLSRNELAGHIPQSLSNLSFLSRLNLSFNDFSGRIPTGNQLRTLDDPSIYVGNNQLCGPPILKPCPSHTDSHDCQNNNEAEFYSDDEHLWFYAG >KZM83612 pep chromosome:ASM162521v1:9:33342500:33344479:1 gene:DCAR_031181 transcript:KZM83612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTNFPLRWETTGHQWWYASPIDWAAANGHYELVRELLYDDPNLLFKLTSLRRIHRLETMWDDELEFDDVAKNRSRVAQKLLLECENRRSTLIGSGYGGWLLYTAASAGDLDFVKKMLEKDPLIVFGEGEYGVTDIFYAAARSKSSEVFRLLIEFSGFWGPLKDDGGKKERKKTEVSSVFKSEMTNRVVHAAARGGNLEILKEFLKDCSDILVYRDSQDSTLLHTASGRGQIEIVKYLISSYDIISSKDKHGNTALHVAAYRGYLAVIEVLISTFPSSASATNNYGDTLLHMAVASFRTPGFRRQDRQIDFMKQLVHGNIVNMQKFINVQNNEGKTALHMAITENIHTDLVELLMKIPEISFNVRDAGGRTPLDLIRQQQQLPSSETLRKYLYSGRISKFEDYMIRRMLVSHIKMHSIRGSPGSSFRVVDAEIMHLRDAENAGATKCGHIEDASDVLITRHDSIKNPKLLDSKRFGSVNQAAKRLKVLLQWPMRKNKNVDDSISLDEDTDSLQSYDANKAFKYNSQISLRQRFSKQSSLPNNKRVMVPWLGDFPNASTKTKFNQGLGQGVLKMTPQFDAESPSSPSSESSESSHNSTFAQKGGDIVHETIGPSSSSVSVL >KZM82640 pep chromosome:ASM162521v1:9:22080470:22080763:-1 gene:DCAR_030209 transcript:KZM82640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLRMRIHDMKMDETKHEPNVPEKWMEWEKRYYQQSYSSDITKGVGALQLMLMNTRPSFALGMVALGLCSVLYSMGVAVFMLVDFAKLAVQMIRGF >KZM82448 pep chromosome:ASM162521v1:9:19522522:19527361:-1 gene:DCAR_030017 transcript:KZM82448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERATDSGKDGDHQRQVSDDDDEETEIEETAYDFHDFNIHQAVIKDNVRNEAYRAAILQHQDNYIKDRVVVDVGSGTGILSIFCAQAGAKRVYAVEDTEIALQAQKVMEANNLSERVIVLHGYVEDVGINEKVDVIVSDWMDQLLLYNHENMLGSVLTARDRLLKPGGLIMPSNATLYIAPATLPDRYSSKIDFWRNVYGIDMSAMVPWAIQDAYEDPCVEKIKSENLLALPQVMVVYLNNPIDVEQGHRIEGSVTLTPNQEEDGPKVHIRLEYK >KZM82651 pep chromosome:ASM162521v1:9:22218907:22222740:-1 gene:DCAR_030220 transcript:KZM82651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGSKIEDPFQLSFKADSWHSGSISFGRFETESLSWERKSSFSHNRYLEEVEKYSKPGSVSEKKAYFEAHYRKKALLRQRLSESQNGSEYQDVEIEGSENMDHGEDFDNSDAEIQQFTHSEESPDGWEYGGECAVSSHEGEGKGVLYSENEILIAMSSGDAVNITRESVKTGHENLIQVSQTEVEISPHINDESSVKQDPEDEMLQVCLTSTAVGSSLSSQTDKRDDNISSKQVSSSKGTTAVETKLARRGLKHHAQQGIISGGAPKRTEKKLSRSEKGGPPILKTEKKSLQTAVPSKCLIRKFPKSEHLCRSKAKTNLENGSEKEARTTKVAGSQSSGSEQAVRSGHQMEYRSNQSLSSRKEGVKQFSSGFNFKSDQRADKRKEYFNKLEEQMHAKEAEINQIQARTQEKTDAEIKQLRKSLNFRATPMPSFYHGAVSDTDRNKAKPSKVQSKSFSKRRPGSTKSKPEKGQCREPVIIKELPEVTMLTNSHKNSAASSRERNHPSEAGGNSEANPKIVQPQKLKSTVGRKVVKEQVVESKQKVGYRRSSNQMMRKNVKGVDMGSDSKMGHRAVGIAS >KZM83562 pep chromosome:ASM162521v1:9:33010242:33012833:1 gene:DCAR_031131 transcript:KZM83562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEEDNLKGHEHRTFKLQEPMHSPDGDYIDCVPSHLQPAFDHPHLKGQQPLDPPERPKGRHLGEMPSENYQLWSVSGEFCPEGTVPIRRTTEQDVLRASSVRRFGRKFQRPVRRDTSSSGHEHAVGYVSGQQYYGAKASINVWAPRVASRYEFSLSQMWVISGSFGNDLNTIEAGWQASSQLLSFHVSPELYGDNYPRFFTYWTTDAYQTTGCYNLLCSGFVQTNNRIAMGAAISPRSSYHGGQFDISILVWKDPKHGNWWLEFGSGTLVGYWPAFLFTHLRTHATMVQYGGEVVNTRSSGYHTPTQMGSGHFAWEGFGKASYFRNLQVVDWGNNLIPLPNLRVLADHPDCYDIRGGINRVWGNYFYYGGPGRNSRCP >KZM83627 pep chromosome:ASM162521v1:9:33478918:33481058:1 gene:DCAR_031196 transcript:KZM83627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFLANLTDLRQTRIINAASNQGLEILSISMKILASDSIQVEGRWIHFYKNVPITSRKLEPILQGLPTSKALLKRMEASESMQAEGRWIHFYKDSAVNIKKSMTNPPGIANVESSLKDNGGYSRSPLSKVVQNSPYAFSVETTNKVCKDIPQSGTQLRGNYGHDIAYQITNRGLERTPLSVTGGSTLSPIATSIGERSTPGTSLTNETTKTTEHPEFRRAEN >KZM82259 pep chromosome:ASM162521v1:9:15022289:15023143:1 gene:DCAR_029857 transcript:KZM82259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLIAFVDLSMIGAIGCGNVAQRSRALAARFKDAKKGKCFLMPYNDVNHWTLTASNPEAEIVYQMDPLKRRIANREWVEVVDNAIKLYKEDSKKIAKKKVVWENMAWIRRNNLVYTQDDINEIKIDFAKYFMKRHAC >KZM83119 pep chromosome:ASM162521v1:9:28912688:28913645:-1 gene:DCAR_030688 transcript:KZM83119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVVMQSILASPVKPAISTARRSLSGSASSLRVRCMAKASTSFFDVLAFSGPAPERINGRLAMIGFVAAMAVEVSNGQDVFSQISNGGVPWFLGTSVLLTLASLVPLFKGVSVETKSGGLMTSDAEMWNGRFAMLGLVALAFTEYIKGSALV >KZM83099 pep chromosome:ASM162521v1:9:28698500:28700619:1 gene:DCAR_030668 transcript:KZM83099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCVVSDWLLKLYLEARMRFTYVKAEQFADKVIVIYFVLLPLVDNNSAERVGLITERLKDVYNFLPPNKGFEIVFVAVDDDDTSFEEEMLFDPLPKTDLENDFEEVFSCMPWTAIPLSDRTSRELLQKRFGFRDNNGSLFVIDSKGVVLQTIVIYDFIRYGSLGYPFSSERLESLESECKAIAAEPTVEKLLSSPERDYVIANNGDKVPIHTLEDKVVALYFHAGNVTETDMLTEELRNVYEKLAEKEVKFEVVLLYLCDTPITIGFRNEDSFWRTFGTMPWLALPYKDPILKKLKIIYEYPEDYNYGDDEEISKLVIVGPHGEFCEPCGADILLNYRVPGYPFTREKALELETERIKKLKLEMILETNKVLTRNDGSKRIMLLFGLFEDEFLNMLKERYLSMKSTDGEFEVIHIYVSDTDDVVDPPAWFVYHLPKGFRYASDIIPADFDKRYFRQEEIYDVGDTRRLLAFDRDGMIVRDSAFPTIEDMNFPFYTRGLEKEALLELDSVFHWYEWEDAESWNREAGYIGPNQSCGRSVGSISALGVISFES >KZM82443 pep chromosome:ASM162521v1:9:19337093:19342965:-1 gene:DCAR_030012 transcript:KZM82443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVISSFPYMLSLLIIPISLYLFLILRKPNSQGSNLNVPPGSSGWPLVGESIKFALAGPQEFIKERTKKYSSDVFQTSLLGEKMAVFCGAQGNKFLFTNESKLLTSWWPQSMKKALLFPEFVEASLKEVSALKRSFMHDILKPEALKQYIPVMDSMAREHVDSEWAGNEVVKVFPMSKKYTFDLACRLFMSIVDAEHVTKLARHFTLVTSGMFSVPIDLPGTAYNGAIKGGRLVRATDSGDDGDHQRQVSDDDDEETEIEETAYDFHDFNIHQAVIKDNVRNEAYRAAILHHQDNYIKDKVVVDVGSGTGILSIFCAQAGAKRVYAVEDTEIALQAQKVMEANNLSERVIVLHGYVEDVGINEKVDVIVSDWMDQLLLYNYENMLGSVLTARDRLLKPGGLIMPSNATVLE >KZM83136 pep chromosome:ASM162521v1:9:29094528:29095844:1 gene:DCAR_030705 transcript:KZM83136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNPNPNPLRRSTSGGGSGGIILEKYQLGQILGRGSFAKVYRAKSLLDNANVAIKVIEKPAIADQTAESLLVREENCKSDVSVNAFDIISMSSGLDLSGLFEADLGKKERRFASRGSMKDVEERVERVSGELGYKVEKGKGREMGLVKGKMVLLVRILELVAKMGLLMVEMKVVSGGDELDKGDVDQLEALKLGLGDIAVSWHNNDVAVNA >KZM83463 pep chromosome:ASM162521v1:9:32146662:32149749:1 gene:DCAR_031032 transcript:KZM83463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKYGDIEFGFYPSVAPKTVEHIYKLVRLGCYNTNHFFRVDHGFVAQVADVGNGRSAPMNEEQKVEAYKTVVGEFSSVKHVRGILSMGRHSDPNSGGSSFSMLLGDAPHLDGQYAIFGKVTKGDETLKKLEQLPTRREGIFVMPTERIEILSSYYYDSEMETCQQDKSMLRRRLEASAVEIERQRMKCFP >KZM82528 pep chromosome:ASM162521v1:9:20703215:20713822:1 gene:DCAR_030097 transcript:KZM82528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKETFRYKGNKSRNRVHIPRRLRKEESVQGGAHSFEDDCKLLGNLLHDVLQHSVGNAIRDKLERIRVLAQSACVMRTSGIEDMADYLEKELASNLSNMTLEEASTLARAFSQFLSFMGIAETHHRLRNAQDAEKVSKSCDSTFNQLLQSGVSPDELYDAVCKQEVEIVLTAHPTQINRRTLQYKHIRLAHLLEYNNRPNLGREDREMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQSLWKAVPQYLRRVSNALKKHTGKPLPLTCTPVTFGSWMGGDRDGNPNVTARVTKDVSLLSRWMAIDLYIREVDHLRFELSVNKCTDRLSKMAHEILAKEALSENGNGSWNQQVNWSQAKHQNQYNSALPRQLPAGAHIPPCTECKDVTEYPRLELPGTEYMPLNREDGKASPSQDSFKGLTVTTENLNTTNSGSPQTTPRAGSGPLSAQRKLFAESQTGKTSFHKLLEPSLSQRPGIAPYRIILGDVKEKLVRTRTRLELQLDNSPIEQDPCDFYETSSQLLEPLLLCYDSLQSCGSGVIADGRLSDLIRRVATFGMGLMKLDLRQESGRHAETLDAITKYLDMGKYSEWDEGKKLEFLTRELKGKRPLVPPSIEVPPDVKEVLETFRIAAILGQDSLGAYVISMASNASDVLAVELLQKDTRLAVAGELGRPCPSGTLRVVPLFETVTDLRGAGSVIRELLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQENVVAACKEYGIKVTLFHGRGGSIGRGGGPTHLAIQSQPPGSVMGTLRTTEQGEMVQAKFGLPETAIRQLEIYTTAVLLATLRPPQPPKEEKWRTLMDKISEISCSTYRNTVYENPEFITYFQEATPQAELGNLNIGSRPTRRKSAGGIGHLRAIPWIFAWTQTRFVLPAWLGVGSGLKGVCEAGHTDELRAIYKEWPFFQSTIDLIEMVLGKADIPIAKHYDDVLVSESRRELGVELRKELSTTENYVLQVTGHEKLSENNKSLRKLIESRLPFLNPLNMLQVEILKRLRNDNNNSKLRDALLITINGIAAGMRNTG >KZM82223 pep chromosome:ASM162521v1:9:14025471:14030982:-1 gene:DCAR_029893 transcript:KZM82223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRTKSRKHSSREVRDYSDSEEDLKRNGKEEGSVRVSKDSMSGDKRKVAVKDLVGHGNGVDVADEVLVVSKRRKDKAEGGGGSDRWNADAPNDVFVDVKIETFNSKAKEKEKVLVDSSRSKTGRRHESDKKDELLTVVAEEESKSGRVESKRKGDKEYGRKEYKEKERWDKEKLLEDGKDAEFTRKHGLHSVDVAEERHGKRSRENIEGPVQDQLRNPELEKELDKRIRRRVDGSSDKDKYQDDARDYDEKRSSKGDRSKDVWSKDERHGDKYNEENEKYSRHKEDKYREDSKDSRYKDAKYREEGEKDNRYRDAKYREDSDRDNTNCDDNYREDGDRDKRHKDEKYREDGERNIRHREDKYHEDGVKDDRHRDDRYREDVNKDSRHKEEKHRENADRDRDGKRRDVKHRNDSDRDKRSRDVKYRDDHSSRDRISDSEKRLRDENNASDHHYRKSSNRDGSPTYDERGSRYKDDRGNRKATDKEDHNDIRSQSTKEQQFDAEKRSGSNKVDLITDRGRSNFRNADADVTLNHSRRRSSPSSSTHGARDHYRVSKLEESKYRDYGYDDRTRQNGSSGREFNGAKQNEKLLSSRLMEKSIQKDDSQFNESSVADRRLRSDARASPLRMVDKSPSSTSNDRRHLNRTDVRRSIEKEESGQRSGGSRDYTGREGKGGRELPMHTHAVDEYSQADGDKSSASSPFTRNFSGNSRSILPPPPFRTGVDSPSGFGPGEDDNRGRFNNRHRRGGDSNMGRSQGNAWKGIPNWPSPVTNGYMPFPHGPPPVGFHAVMQQFPSPPIFGVRPTMDMNHSLPYHMSDADRFPGHGRQLGWRNSVDDSAPSVHGWDANNSVFGDDNRGYGRVDWDQNRTQMGNRGWDTSGDMWKGSNSGLNTELTSAPQKEDLKHSAVDDVLAGQQAQIEQNQPNGKADSLDVQSIDALPNVTLNPSEKPPLKSRENSKMSTKDDVYDHNVYLSRLDISTDLTKPELYDQLTSLMDFDSNTLAFEDDVKILYVEQDGLEAKVSDQIMSSAMNNTVFQKAMSLYEKQKGETEAAKGERAQTPSSEYLKIQGLYNEKAALTDGNSRALVPFCVEQGREDAVSDHKEQDLPRDAEESQPACKKLDETFLTDNMVGSEGEVRMGNVTEMEVDPGSRQENVSTPLLNVEPSSPLLPSNLEEMPPGSPVVSHSADDEHRLTETKCATMLLSDVSAEAMMPESVESGSVYLSRIHHSPESTH >KZM82295 pep chromosome:ASM162521v1:9:16531733:16532774:-1 gene:DCAR_029793 transcript:KZM82295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYDRWNNLPKDILIDVVPRLSCRDDYYKFSVVYKSWNSIAPEAVDILADFVIAERPWWLLLRFPPRISMLLLAKEVAPGSTHYDTEFDLNKDYSYMNKDIIMTMMNFHDIVYYKEKFYAVNSVGDVYVYCIDDDQERGGHKATKIASIVPIDYSEQNYLVEALSACGLWLLVRHGMEKLVKRGLGRPSKYRTTNFDVAVKY >KZM82538 pep chromosome:ASM162521v1:9:20832521:20832802:1 gene:DCAR_030107 transcript:KZM82538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEPGEALTCGAPEEQRTGAVCNVYTRGDQPEPSGECCNAYRALRATAKTRAERRQFCSCVQEATSQSRYNRGATANPAARIPRFDSLSEKC >KZM81392 pep chromosome:ASM162521v1:9:655846:657063:-1 gene:DCAR_029005 transcript:KZM81392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRGFIAMKTDTMASEVLSSDFKELGEVAKKLANHAVTLGGLGFGTSFLAWVASFAAIYLLILDRTNWRTKILTALLVPYIFLTLPSILFNLFRGEFGKWIAFVAVVLRLFFPDHVPKHLEMPGALILILVVSPSFLADTIRNDWIGVAICLGIGCYLLQEHIRASGGFRNSFTKSHGVSNSVGIILLLVYPVWSLVLILL >KZM82216 pep chromosome:ASM162521v1:9:13936492:13938230:-1 gene:DCAR_029900 transcript:KZM82216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVALENWFFKIIISLKGTQALPFLASYGRANSHNASARWLSGALALPAAAYMLQENEANAAQMERTFIAIKPDGVQRGLISEIISRFERKGFKLVAIKITVPSKDFAKKHYHDLKERPFFDGLCDFLSSGPVIAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAQDEIKLWFKPEELTSYAINSEKWVYGVN >KZM81948 pep chromosome:ASM162521v1:9:9044068:9045984:-1 gene:DCAR_029561 transcript:KZM81948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNPELYEEGSACIDPSHMQYQALQEDGYSQTMVQNCNSTNFSMDDQQQMLSYPQQDAAAAAAAAAANMELEINQQMGLDLENCYNPNNNGEPHLMQDQNHQPSWETHQVLNFNHYQQIQEMQHNSNNNCSLENTANQYPQTPDLLNLFHLPNCSSSVLPNSSITFTNPTHKSGFFGDLPNTQDTTVGSSSVLYDPMFHLNLPPPPPIFRDLFQSNFPHGYNFSGTRNGALFDGMEDTEGNGEFDAGVLEFSRDLNCLGKGKGGKDVKHFATEKQRRVHLNGKYAVLKNLVPISTKPDRASVVGDAIDYIKELQRTVNELQILVEKKRYSRERLRRHMTEDESVLEVESKNMMKPQGDSDQAYNGNALRSSWLQRKSKNTEVDVRIIDDEVTIKLVQQKRINSLLFVSKVLDELQLDLQHVAGGLIGDFYSFLFNTKICEGSSVYASAIANKLIDVVDREYAASQPTSSY >KZM83174 pep chromosome:ASM162521v1:9:29356200:29357801:1 gene:DCAR_030743 transcript:KZM83174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDELKVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGDLKPGTLPPGVAASVNGVAFVGTIAGQLFFGWLGDKMGRKRVYGMTLMIMVICSIASGLSFSDKPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIIVSASFQSSFPAPTYEENPLASTVKQADYVWRIILMFGAIPAGMTYYWRMKMPETARYTALVAKNAKQAATDMSKVLQMEIDAEPEKVDEVTKKQFGLFSREFARRHGLHLLGTTSTWFLLDIAFYSSNLFQKDIFTNVGWLPPAKTMNAIHEVYKIARAQTLIALFSTVPGYWFTVAFIDKIGRFKIQLMGFFFMTVFMFSLAIPYDHWSQKGNRIGFVVLYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAMVGAFGFLYASQSQDKTKTDKGYPAGIGMKNSLIVLGVVNALGLLFTFLVPESNGKSLEELSQENVEEEEPESRPQHSRTVPV >KZM81793 pep chromosome:ASM162521v1:9:6062836:6066576:1 gene:DCAR_029406 transcript:KZM81793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSTFDSSVISGLHERHKREIELLTLTTQPIKTLKLFLMALIQYLERPLLWIIRKCRVLMLLGVLVGAIVVATDNRTRGKHVTELVNYLSFGFWWLALGVASSIGLGTGLHTFVLYLGPHIALFTMKAMQCGRVDLKNAPYDTIQLRSTPSWLDKDCSEYGPPMYSSPHSRVPLTSILHQVQLEAILWGIGTALGELPPYFISKAACISGDNAEGMKDLASSENDDKGFIGSHLKQMKQWLLSHSQHLNFFTILILASVPNPLFDLAGIMCGQFGIPFWKFFAATVTGKAIVKTHIQTAFIISICNNQLLELVEMELVWMLSFIPGFASFLPSLIAKLHIIKEKYMASSAPVSSNIKMEKWELSIASIWNTVVWLVLINFTFQIITATAQSYLKNQQEEEIVMLKDNIHALNQPIGVTENPVCD >KZM82297 pep chromosome:ASM162521v1:9:16630447:16641444:-1 gene:DCAR_029795 transcript:KZM82297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSSSFLSNNIMSSLLGSSSLVSTHTLIRRNSHLLKHANTPPKRRSFCSQSSAVTVHNTTISSNGTPKYGRLLPCPSHHGPPRVEHLVVKDGGTVLEYICKVLDLPPLFVADLIHFGAVHYALVCPKPPPTATPKEIELYKQYTDPAVLSKRVSIKGKTVREAQKTFRITSSDEFVETGTYLRVYLHPKRFPRCYEIDWRSRIISVTESYVVLDKPAGTSVGGTTNNIEETCATFATRALGLVDPLMTTHQIDNCTEGCVVLARTKEYCSVFHGKIRLLQCQKE >KZM82342 pep chromosome:ASM162521v1:9:17589610:17591010:-1 gene:DCAR_029911 transcript:KZM82342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITMRDRSKNRKPLQKGRNLSIEAIQTVQALKRVKDDDASLHRVFGTKFSRLLRLDMIAVLKELIRQDQCLLAFKAFEDIQNEHWYKPKLLLYAELISVFGRNEMFDKLELVFRKLEDEISIEPDIEGFNAILETLLSFGIIGLTMECFYLMKSKGCEPDRSTFKILISGLESKKETSLSVTIREEAEKAYGSPFEILEENEDGAMSSGMDLH >KZM83503 pep chromosome:ASM162521v1:9:32486233:32488185:1 gene:DCAR_031072 transcript:KZM83503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSYLVSRALWVYVMLVSVSAAESRILLDKEECHLDDLKGLKDFKASIKSDTSGRLETWTGERCCIWDGVSCDNKTGRVNKLYLPGFITSGDAPDQTYMEGWLSPSITLMVSLEVIDLSALSHLTGQIPPQIGFHLPKLRELTLFGNRLSGSLPESIGKLSNLEGLYLHENSFSRSLPSSLGSLKSLRRLYLHTNQFSGAIPESITNLTNMLSLDLHQNSLTGNIPADIGNLQFLEELDLSNNSLSGTIPSSICKLTFISTMYLNTNNLEGEIPFPTIAGQMSALKFLRLQNNRLSGRLPSAFGFLTSLQRVSLSNNQLEGPIPYSLGTLRSLSELYLDGNNFSGQIPSSLGHLSQLLALTISNNMLQEPLPHELSLLLNLQILDLSFNDINLSVIPEWLLGLPSLSQIYLAGCGIRGEIPDYLQKASSSLQVLDLSENHLTGEIPTWFGNLTQIWSLNLSRNSLTSTIPKTITNLGSLTVLDIHSNKLTGTINEVFHIQSRFEGSLTYIDLSDNRFTSGIEQIGRGSQTGIQYLNLSHNHFNGRIPTLIGKLKSVDSLDLSYNELSSQLPISLANAHSLERLKLQKNQITGNIPNQFLNLRRLKELDVSDNLLVGRIPLGKPLSDFPRSTYSGNKGLCGKPLDPCMS >KZM81541 pep chromosome:ASM162521v1:9:2302635:2303659:-1 gene:DCAR_029154 transcript:KZM81541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKRLSDVAKGSAIHRTPREAERFSGKRPVVQWSKNWIIGRWIALVSLVFFLLVVDIQSFHLLRKYNPEDTVYGLFIMGLGNLVGFSFLGSQAQELIQDAKSAYNVPSWCYGLASSTAH >KZM82325 pep chromosome:ASM162521v1:9:17273748:17274116:1 gene:DCAR_029823 transcript:KZM82325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNTASLTLLLALNLIFFAVVSATGTTPIPDSAYYHVSKCDPLKLGVCANVLNLVDVVVGSPPTLPCCSLIEGLVDLEAALCLCTAIKANILGIKLNVPIALSLVLNNCGKKVPSGFECY >KZM82083 pep chromosome:ASM162521v1:9:10994395:10996952:1 gene:DCAR_029696 transcript:KZM82083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHAAQARATAAPVKANKVAGVSLAEVFRTASLEVFVCFRCYLIMDNGEFSYQSVSSAYTFGFSVFFFREEWTTEMLLHNLSSIVDGYAADHEMSVSHENVTLHPEVVHRTNIPWDITAVIGAQQLRLSHYVVPEDVKASGYQIGADELGFVVEGRDQKKLIFHGGVPATEEWPRGAHDGLGIFASIFLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTRNGYRHKMSIYELLRGDIVYLAIGDQIPADGLFVSG >KZM81532 pep chromosome:ASM162521v1:9:2207177:2207902:1 gene:DCAR_029145 transcript:KZM81532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALTSFLLKDTHTWTAIWIASSAIFAILYSSYKACVKMKRAQLMPPVNRRYYRVLGYQVIPIHIIYNVASHWNPRGVVIDTLLIVVGNEDVVVPNVAEVLQDLGIQSVPQANAPEVTVQRDGRNQSVADTSVPEVAIQRDLEDQFVAHPPEVAKNNDGGTESIANANVPEIAVQRDVDDQSAAGEPEVPQKDDEENQSVDNEYATEIVIQKYVEDQSESDAGPSEVAHKDEEYHDLHMQM >KZM82435 pep chromosome:ASM162521v1:9:19135643:19141889:1 gene:DCAR_030004 transcript:KZM82435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVATQLYPATLVWTLDSTGTIIFCIYICIYLSPMMAPSMKSLTLLLLLVFSLSIPNSLSFQSDELLIEDEEFVKSPDLSRPSISPLTRKTVSDSGSDSKVQFVLEHAFGDSEFTPAGTFSARLKTWSHGGQTLTKLRFSRKDLSREDKESFKTLLEGDDFYRIRVPSNVISPPGRDYIISSVKARCLPQTGLDEHFVIHMDGVNILGVNYGSLAVCQYPRQYKLPSKWAFTSHTVLKSTEQAPRTPAFSEDIGSTEIGGEDEGVKPLERSFWAKYWMYLIPLGLIVMNAVTQAMNMPEEQAGGQPASQPQQVNGPLPRGPGSRR >KZM83007 pep chromosome:ASM162521v1:9:27584111:27588657:-1 gene:DCAR_030576 transcript:KZM83007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DXS-3 description:1-deoxy-D-xylulose-5-phosphate synthase MALCTLAFHGKFSKGASLDLQKSRLCFGTDLHSQYPQKLNQTRKRSLGICANLSETGEYHSQRPPTPLLDTINYPIHMKNLSTKELKQLADELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPQDKILWDVGHQSYPHKILTGRRDKMHTMRQTNGLAGFTKRAESDYDCFGTGHSSTTISAGLGMAVGRDLKGANNDVIAIIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAAPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHEIAAKVDEYARGMISGSGSSLFEELGLYYIGPVDGHNIDDLVAIMKEVKSTKSTGPVLIHVITEKGRGYPYAEKAADKYHGVAKFDPATGQQFKASAKTQSYTTYFADALIAEAESDKGIVAIHAAMGGGTGMNIFQRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHSGSFDVTYMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVELPTGNKGIPLEVGKGRVLIEGERVALLGYGAAVQSCLAAAYLLETRGLRPTVADARFCKPLDHALIRSLVKSHDVLITVEEGSIGGFGSHVVQFMALDGLLDENIKWRPIVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNILGQTREALEIMS >KZM82066 pep chromosome:ASM162521v1:9:10637603:10646362:-1 gene:DCAR_029679 transcript:KZM82066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPFFITPARGGMVLQFSAHDRFLAYTPEQHPIVLQIGGNKLDRLARATELANPYGYDEINLNCGCPSSKVAGHGCFGVSLMLEPKLVAEAMSVIAANCDVPVSVKCRIGVDNHDSYNELCDFISEVSAKSPTKHFIIHSRKALLNGISPADNRKIPPLKYEFYYALLRDFPDLMFTINGGIKSIAEVNAARREGAHGVMVGRAAYNNPWHALGHVDTAVYGAPHRGLTRRKVLEQYQVYGDSVLGKQGNNRPNIRDIVKPTLGLFHSAPGNGLWKRKADEALRRCMTVKSFFEETLDAIPDWVLDETLGEAPSSSVETFANSKTLLPPPYTYTESEQMVLCA >KZM82921 pep chromosome:ASM162521v1:9:25894957:25897875:1 gene:DCAR_030490 transcript:KZM82921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTWTRFSGSSRRSPFQPGDFAVEENVEFDQECVKVLILLCNPFSEEEDVEGGEEERPEYTCPFCAEDFDMVGLCCHLETDHKTETKNERRRRYRRASSLSFIRRELRQLNLPNVAEGSNWAAAAANTDADPLLSSFMSNPPAKDEQTSIEPRSSEKAVTVEGTSAGSSSESFADMMTVLDNSRVQPPVLTEQEREERAEKARRSNFVQGLVMSSFFGNDDF >KZM83095 pep chromosome:ASM162521v1:9:28667197:28669267:1 gene:DCAR_030664 transcript:KZM83095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCVVSDWLLKLYLEARMRFTYVKAEQFADKVIVIYFVLLPLVDNNSAERVGLITERLKDVYNFLPPNKGFEIVFVAVDDDDTSFEEEMLFDPLPKTDLENDFEEVFSCMPWTAIPLSDRTSRELLQKRFGFRDNNGSLFVIDSKGVVLQTIVIYDFIRYGSLGYPFSSERLESLESECKAIAAEPTVEKLLSSPERDYVIANNGDKVPIHTLEDKVVALYFHAGNVTETDMLTEELRNVYENLAEKEVKFEVVLLYLCDTPITIGFRNEDSFWRTFGTMPWLALPYKDPILKKLKIIYEYPEDYNYGDDEEISKLVIVGPHGEFCEPCGADILLNYRVPGYPFTREKALELETERIKKLKLEMILETNKVLTRNDGSKVSLSQLAGKRIMLLFGLFEDEFLNMLKERYLCMKSTDGEFEVIHIYVSDTDDVEGLPAWFVYHLPKGFRYASDIIPADFDKRYFRQEEIYDVGDTRRLLAFDRDGMIVRDSAFPTIEDMNFPFYTSGLEKEALLELDSVFHWYEWEDTESWNREAGYIGPNQSCG >KZM82722 pep chromosome:ASM162521v1:9:22975112:22981762:1 gene:DCAR_030291 transcript:KZM82722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEDDQPPLKRVKVAAKHLRGFTNGYSTKESTSSLSLMMARPLSSQGDDEILGTKGIVKKVELVRIITEALYSLGYERTGAHLEEESGIHLQSSVVKLFMQHILDGNWDKSINTLHKFSQLDETTIKSASFIILEQKFLELLDDEKLMDALKTLRTEIAPLCINSNRIHNLSARIVSPFQRDQTEIVANTAMKAKSRSMLLEELQRIFPPTVIIPERRLVHLVEQALELQRDACIFHNSLVGDMSLYTDHQCGRDQIPSHTLQILQEHSDEVWFLQFSHNGKYLASASGDHIVIIWEVNSDGRFTLKNRLSGHQKSIFHVSWSPDDLQLLTCGVQETARRWDVSSGECLHVYEKNGVSIVSCEWAPGGKEIYFGFTDKSISMWDLEGKEVECWKGQRIVSISDLGVTSDGKKIITICKNSVILLFDKELNVEKFIEEDETITSFSLSSDNKFLLVSLVNKEIHLWKIEGHTKLVGKYKGHKRTRYVLKACFGGFEQSFIACGSEDAQVKMKPKGCQSNGVHYINGGTPGENKGS >KZM81332 pep chromosome:ASM162521v1:9:113581:116771:1 gene:DCAR_028945 transcript:KZM81332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFEGYGFRGTSFEQTYRCYPATFIDKPQIENGDKIIMPPSALDRLASLHIDYPMLFELRNAATERVSHCGVLEFIAEEGMMMENLLLQEGDIVRVKNATLPKGTYVKLQPHTMDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIEAKPSNAITIIETDCEVDFAPPLDYKEPEKPATSLPSSKAPIEGQEAAAEAEPKFNPFTGAGRRLDGKPLKFESPPPSSSSGSRDKQPVTSSGRGQPSLGSSAQSSSRQSQGKLVFGSNANKPNGTPKEAAKETKPEQSSAKEEPKFQAFTGKKYSLRG >KZM82258 pep chromosome:ASM162521v1:9:14984847:14994534:1 gene:DCAR_029858 transcript:KZM82258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEIVSDASDQMNLEQPQNQSIVISDIFSATAYGDFSKLKKFVEEDGVSVMIPDGNGYYALQWASLNNFPDIAQYIIQHGADANATDHMKQTALHWAAVRGSIAVAGVLLQNGAQVEAADMNGYRAVHIAAQYGQTAFLNFIVAKFHAEFDVPDNDGRSPLHWAAYKGFADTVRLLLFRDASQERQDKEGCTPLHWAAYQGNVEVCTVLVYAGTKEELIVKDSTGLTPVQIASDKGHRSLTSFLLRAQHAQGISWKDRVFSGKLSFVGYAPILFFIIVITTLMFINTILLASNLPKVTAVVGLWGWTAVSISFCTVLMLIRCSSKDPGFIKFARGTGHFTDTEDPLLNVDLNNPAISLRDWSQLCPTCKIIRPTRSKHCPVCKHCVEQFDHHCPWISNCVGKRNKWDFFIFVFMGTSIAFLGAVVGFHRLWTSAPVLQDEEIWIHHLVVQHPGAVVFMFVDAIILISCGTLMTAQLYQISRNITTNELSNRARYGYLHGPDGRFHNPYDRGLLKNCSDFFIRGYTDDLIAHPPS >KZM83289 pep chromosome:ASM162521v1:9:30437378:30437764:-1 gene:DCAR_030858 transcript:KZM83289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSLVLLQERFRQLQRVKEIREEKEMLKLFAKSDTSSHVHKPSPHLFFQSELLHPLPQKVAAPTIPPSSHITCLSLWPDSLTTKRANFDIINTKNLSQLGHDSSSSLFYDVEDHENESSVDTSLHL >KZM82197 pep chromosome:ASM162521v1:9:13545414:13545866:-1 gene:DCAR_029766 transcript:KZM82197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQEEHAMEDLVVDSDRVRRSDAALERTKKDQASSSQGDKDSGLWRGHVVNPKFVCMLHRIMHMYPETFEHFTTKNKMLSAMNLNLLCTSLDNFGKVSLTEVDREMIDGYRDMCLLEESGIRCKLGGEPLELHRTSLLFSALDSRASWN >KZM83473 pep chromosome:ASM162521v1:9:32247745:32248926:-1 gene:DCAR_031042 transcript:KZM83473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSFLKVFIFLLLLPVVLSKPVVNNVLSYGAIGDGLNDDTSSLLKAWEATCKLSASSATMYFPPNRVFLTQPLLLKGPCKAEFVRVEINGTITAPSEPKNWKCGSDNCDTWLHFSHIDGIEVSGSGTISGRGQKWWDMKRGKDKPAALRVTNSRNVRLSGLRFKDNPKMHIVLNGVQTAYLSYLKIEAPGHSPNTDGIHIGDSTDVHIQHCNIGTGDDCISIGGGSKKLRINDIICGPGHGISIGSLGKHGDYDEVDDVEVIGAVFTGTTNGARIKTWQGGKGYARNIRFEHILCQNCDNPIIIDQFYCDHEKCEDHDSAVKVSNVKFVNVMGTSKRETAVKIECSKTVPCEDIVLDTIHLRSSQEKKKATSYSSNARGEVIGEVVPKVFFN >KZM81545 pep chromosome:ASM162521v1:9:2344714:2347587:1 gene:DCAR_029158 transcript:KZM81545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRKLKFDGFTKTVRQFGACPLEVNTRNLSSEHNTLCFSLADQLIRCGSVASAQKVVRRMINNCLTVSEACSVVDFAVSRGMELDCCSYGTLISKLVNSGEMRMAESLFVDRINSRCIDCNGSLLNLMVVCYCKLGKIEEAKSVFDRLVSGLYVLDKGSCFALLRELCMGDRVLEAFDYFRRIDDKGIFLAFWCYNILADGLCYRGYLDEAICLFDMMCHRGIRPSAYFCKSLVFAFCSRGRIEVAELLCMKMESFGYVLDKTMYTSVIREYCRRRKMKMALRVFFRMLKIGCELDTYIYNTLIYGFGNLGRFDECWALCNQMVESGLKPDTVTYSVMINNYCKKKKVDNALTILNRMSHSGPSPSVHCFTVLIDALYEANRNVEAEELYIKVLKSGVTPDHVLFFTLMKKCERGKWLHLVLMILQAIAENGCGIDISSSSISGNSRSKSDLELEINHLLREILGCNMPLASMAFSIYVSALGMSGNIDAALHCIDKMLSLGCQPLLFAFNSVIKGFCQEGYAKQANCLIELMQDQGILPDLTTYLIMIDEYSKRGDMPSTFDIINQMEARGLQPNVAIYDSIINGLSGEKRINDAKYMFNRMVEAGVAPDDILFISITKAFSQSGKAREAHQIFDNMVEYGFQPSCQVYSALISGFVKKNMTEKGSHYLDRMLADGFLPNIMLYTYLISQFLKKGEITFAFGLFDLMKRSQIKPDRVTYITLLSAVCNKTMFLGKRWPTSNTKLKMGQEMLYQLLSQRLVVPRVTKCSMWFITRRELILFALNLIKKEAATFMPDVYLYNCMISGFCWISEMEIAYNYVALMKRDGVHPNIVTFTILIDGHIRVNEIDRAVALYNKINGDGFVPDKTMYNTLIRGLCNARRPFCALALSVTMHKRGYSPSEASYERLLGCFFACGLSISALKIFEDMLAHGYKPCRYNLNRLYAMLHDNKSCDDA >KZM81586 pep chromosome:ASM162521v1:9:2957523:2959844:-1 gene:DCAR_029199 transcript:KZM81586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASMDYTEGMGLGATNQGIAMQTPFRSYVREMLALVQRENAERKALGALPLYQRTIP >KZM83017 pep chromosome:ASM162521v1:9:27704129:27705993:-1 gene:DCAR_030586 transcript:KZM83017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSMLEADPDGKTPLFLISQVPSDEKLLGLMFDCLVESKAANAALRFLEFTKFDPGSGSLELFACCLFENGLIGDGVGVFDELVERGSVPKLETWNFGLNGAIRVGRSDVVWKLYGDMLSYGVEPDVETVTCLILALCSEDKLPEGYDLFRQCLKDGNVPRNVAFIKLLSGFYKQRKYYGVSALLHGMIVNGRLPGIDIYQEIIHWLCWNNKGRVGYRIFNDLRGRGYALNSFMYATMIDGLCKMKQVEDARKLLYEMIEKGMKPGKNTYIVLISGFFQVGDINGAQETYKQMLERGYKQSIMSYNTMITGLCLNGKIGLAWDLFEQMHHKGIDRGLVDKSFNFMVREDIYIYQEIIRWLCKTNNSKEGFRIFNNFKDRGYAPNNVMYTTIIHGLCRTKLLRDARKLLSEMVEKGMMPCEQTYTFFISRLFQAGDVDGAQELHKEFLDRGYKDTTMSYNNMITGLCMNGKMEAACDLFKKMLDDRGFVENTCNFMIKRFCKDGKLVEGMKFLYNLLDWGFQPPAASYTNLIEKLCEAGELQEAKGLWEDMQDKGVLLSACTQNSIIKGLSQVENFEDANSKSSPE >KZM82249 pep chromosome:ASM162521v1:9:14585723:14586220:-1 gene:DCAR_029867 transcript:KZM82249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFTASFYPSSIQLGRNQIHHKFINNLPFTHPPISSFSLSRSNAPSLKLQATISTTDLVLDQETESGEKFDWYAQWYPVMPVCDLDKRAPHGKKVLGLDIVVWWDKNENEWKVFDDCCPHRLAPLSEGRIDQWGRLQCVYHGWCFGGAGDCKLIPQAPRDGPPV >KZM82303 pep chromosome:ASM162521v1:9:17004130:17004462:-1 gene:DCAR_029801 transcript:KZM82303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELFRLSDKVWGQGNDNQGNDDNKDKDMSIEINEDGVIEDSRKNKGTSIRINEDGRKEKDTSIGINEDGVKEKNTSIGINEDGVNEDGDEEENEGEGLGIKKLYPNLS >KZM81507 pep chromosome:ASM162521v1:9:1919791:1923970:-1 gene:DCAR_029120 transcript:KZM81507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVPPLLGRELKDPPADGISSLTFSSHSDNLLVSSWDKTVRLYDARSDVLRGEFRHGGAVLDCCFQDDFVGFSGGADHTVRRLVFNNEKEDVLGKHDSPVRCVEYSNATGQVITGSWDKTVKCWDPRGTGGEESHLVGTYYQPERVYSLSCVGHRLVVATAGRKVNVYDLRNMSRPEQQRTSSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEAGQSKKYAFKCHRQSENGKDIVYSVNAIAFHPIHGTFATGGSDGFVNVWDGNNKKRLYQYAKYPSSISALSFSKDGGLLAVASSYTFDEGEKPHEPDSIFVRNVNEVEVKPKPKALPNPTP >KZM83356 pep chromosome:ASM162521v1:9:31110917:31112698:-1 gene:DCAR_030925 transcript:KZM83356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSRSEFYGGPWVAKIRDVYLGTYDTEEEAALAFDHAAFRLRGRNAKLNLPNCSSKGELMSPDRPLSTFEKLFKGWSDAKDSGSVSCSGGLGSLQSNKEESDIFQSQGGAHAFQHYPNVELDWDAIMHQDRVAVENQVSRSTLKRKCRGLGIKDWRRGKQSIKGNMSSNLRRILSDDEQAGKNFYSGLPPGEKAPAVDQISQTLNEVTVRAMYNGVTIRFDLSDSSGIAELENNVIERLHLDRESFSIKYQDDEDIWILIACDKDVRKCIEISRSLKKTSITLLVDPPINHHKQ >KZM83262 pep chromosome:ASM162521v1:9:30218811:30221586:-1 gene:DCAR_030831 transcript:KZM83262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSGTEFEAKESDNHVAEMDDKVEEVNDSPIEQVRLTVPITDDPTLPCLTFRTWFLGIISCGVLAFLNQFFGFRQNPLYISSVSAQIVVLPLGKLMAATLPRKPVRFPGTRWEFSLNPGPFNLKEHVLITIFANSGSNSVYAVGIITIVKAFYHGEIHPMAAMLLTQTTQLLGYGWAGVFRKFLVDSPYMWWPSNLVQVSLFRALHEDEKRPKRGLTRLQFFLMVLVSSFSYYIVPNYLFPSITALSFVCWIWKDSVTAQQIGSGLKGLGIGSFALDWSTVAGFLGSPLATPGFAIMNIMAGFIIVVYILIPIAYWTDAYGAKRFPIYSSHVFDSEGNKYNVSTVLNSKTFSFNQKGYDDYSQINLSIFFVYAYGISFATLAATLSHVALFHGRSIWKQTRASVQDKFGDVHTRLMKKNYDPVPQWWFYSILIIVVALSLLACEGFGRQLQLPYWGVLLAISLALVFTLPIGVITATTNQQPGLNVITELIIGYMYPGKPLANVAFKTYGYISMSQAIMFLGDFKLGHYMKIPPKSMFIVQLVGTVIASSIYFGTSWWLLTTIEFICDPTKLPEGSPWTCPGDDVFYNASIIWGVVGPQRMFGNLGLYSKMNYFFLFGILAPFPVWILSKIYPEKKWIRLINMPILISGAGAMPPARAVNYICWISVGLFFNFVVYRRYKAWWARHNYILSAGLDAGVAFMAILCYFTLQVRDINGMNWWGLELDDHCPLASCPTAPGIVVDGCPVF >KZM81596 pep chromosome:ASM162521v1:9:3200931:3201833:1 gene:DCAR_029209 transcript:KZM81596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAAAAALLNGLGSSFLSGGKRSQSLLAATTSARTGGSVAPKRLTVVAAAAKKSWIPAVKGGGNLVDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAYSGIPWFEAGADPGAIAPFSFGTLLGTQLILMGWVESKRWVDFFNPESQSVEWATPWSKTAENFANATGEQGYPGGKFFDPLGFAGTIQNGVYIPDTDKLERLKLAEIKHSRLAMLAMLIFYFEAGQGKTPLGALGL >KZM83148 pep chromosome:ASM162521v1:9:29177306:29177769:-1 gene:DCAR_030717 transcript:KZM83148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTIFGILCVCVVYATIGINLPVTIGEVNNEARGEGPWYHNLGGHPIFSNTAIPVNNCNQQSCRSACLSSKPGGNLASVNCRDDKTCVCVWLNGI >KZM82735 pep chromosome:ASM162521v1:9:23173709:23175907:1 gene:DCAR_030304 transcript:KZM82735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTCVSLLVICSVFVLAAGSLRNLPTVSFEEGYAQIFGDDNLLIVKDGKSAHLSLDQRTGSGFVSHDLYNHGFFSASIKLPADYTAGVVVAFYMSNGDIFEKNHDEIDFEFLGNIRGKEWRVQTNVYGNGSTGAGREERYGLWFDPSEDFHQYSILWSDDKIIFYIDSVPIREVKKTEAMGGDFPSKPMSLYGTIWDGSNWATNGGKYKVNYKYSPYIAEFSDFVLHGCAVDPIEMSSTCQLVPQFKSVPTGITNESRTKMQSFRKKHMQYSYCYDKTRYKVPPSECVINPHEASRLHGFDPVRFGGSHSRHGKRRHDNQSNRDAINSV >KZM82922 pep chromosome:ASM162521v1:9:25899831:25900193:-1 gene:DCAR_030491 transcript:KZM82922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEFEGTAAKECIQQLEYSGSRTDEGRFEEQKMGEVEICTTQMRVKENENADLKSFKIAGTKDSNKNSFDQSAVVENCSVIGQKVTGAQECNVNISHSVHNNSDDIHDARRSGNQVIQK >KZM82057 pep chromosome:ASM162521v1:9:10563322:10563618:1 gene:DCAR_029670 transcript:KZM82057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLEECDAILILDFACDCDLMSVVRTRWYGPNAGWRFRECRDEECGFHKWVDEPPTDRTLEIIKELKERDSKHLDQARRRRDRLVAMYEARLTAEK >KZM83524 pep chromosome:ASM162521v1:9:32656847:32661188:-1 gene:DCAR_031093 transcript:KZM83524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETGTYKNLVKRESWRAVLTLAYQSLGIVYGDLSTSPLYVFKSTFAEDIDHSETNEEIYGVLSFVFWTITLVPLIKYVFIVLKADDNGEGGTFALYSLLCRHARVSSLPNNQLADEELSSYKKEISVDPDPSKFGSMLKSILEKHRVLQRILLILALIGACMVIGDGILTPAISVFSAVSGVEQLAMPKEHHKYVEVPIACIILIGLFALQHYGTHRVGFLFAPVVILWLFTISSIGSEAMFADLGHFSQLSIKIAFTTFVYPSLILAYMGQAAYLSRHHMSANDYDVGFYVSVPGLAVITVMLVTTCLMSVVMVLCWHQSIFRAICFVCFFGTIEALYFAASLIKFLEGAWVPIALALIFMIVMYVWHYGTLKKYEFDLQNKVSVDWLLSLGPSLGIVRVPGIGLIHTELVSGIPAIFSHFVTNLPAFHEVLVFLCVKSVPVPHVEHGERFLLGHIGPRECRVYRCIVRYGYRDVHKDDMEFEKDLLCSIAEFIRTQKSDTNGLDKHSAHDTEKMMVVRTPSTSTEGIHMWEDNLDDSDKIITSEEKSIDLPEVTKARKRVRFILPENPKIDREAEAELHDLMEARESGVAYILGHSYVKAKQGSSLMKKLAINYGYEFLRRNSRASTHALSVPHASTLEVGMGYLV >KZM82338 pep chromosome:ASM162521v1:9:17526758:17527396:1 gene:DCAR_029907 transcript:KZM82338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPLTTEAIAITEKKMDMTLDDIIKMSKTNTSKPKKQQRVPIKSQKNMNRAPLDKSVMMQSYMDSRSSIRQQG >KZM82312 pep chromosome:ASM162521v1:9:17109436:17114278:1 gene:DCAR_029810 transcript:KZM82312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRFKDLKSATRNFSESSKIGEGGSGDVYKGIIKNGDVVAVKKLSIVAGKGKPDFKSELKLISIVNHRNIIRLLGYAVRGSELLLVCEYMANRSLDKFLYGEFPNTKHRVSFNIHCQPFDMWIFGCKGNSGFSARSTAEEVTQGIDGAGLTAIVTGASNGIGTETTRVLALRGVHVVMAVRNVASGTRVKEEILKEIPRGRLTVMEIDLNSLASVRKFAREYIASGLPLNILINNAGVMAPPFTLSKDNIEQQFAVNHLGASNGIGTETTRVLALRGVHVVMAVRNVASGTRVKEEILKEIPRGRLTVMEIDLNSLASVRKFAREYIASGLPLNILINNAGVMAPPFTLSKDNIEQQFAVNHLGPFLLTNLLLETMKSTARKTQKEGRIVNVSSALHQNGYKEGIRFEKINDKASYNGNAAYGQSKLCNLLHTNELARRFKTYNPSESSVIFTKREGVNITANSLHPGIIATNLTNNLGLTGWVLNTFGKYLLKNVPQGAATTCYVALHPQVKGQSGEYFMDSNKAEANATSSLAKDPVLASKLWDFSLTMTNGK >KZM82902 pep chromosome:ASM162521v1:9:25725259:25730044:-1 gene:DCAR_030471 transcript:KZM82902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRDFLVGGRNEGLSLVQAQSRVPPAQRSQVQANSSGSDIVAASPHGVDACLHTAFERFQEFGLSSNYSPYYNSTPSSLTESQISAYLYKLQRGGHIQPFGCLICVNESDFSVIAFSVNAPEMLGFTSGNEISGNMMGVDMRSLFAPEESLRLANTIRSHQSQVSNPLCVYSRVSKRPFYAILHRIDVGYVIDFEPLETETPSLFIAGVLQSQKLAMYAMTRLQSLPHGNIQLLCDKVVSCMREFTGYDRVMVYKFHDDEHGEVVAESKIPDLDSYVGLHYPATDIPQAARFLFQKNKSRMIVDCHATFVPVIQDESLDKPLCLGGSTLRAPHGCHAQYMANMGSIGTLVMAVIVHANDKVTGSHQKHEEKLWGLVACHHKSARSLPFPRRQACEYIVQAFGFQLNGELQLLSRLREKHVLRTQTLFCGMLVRDSLAAIVTQNPSIMDLVKCDGAALYIGGRCYSLGVTPTEAHIKHIVEWLFSSHRKSAGLTTDSLVWAKYPEATSLGDEVCGMAVARITSKDYVFWFRSNRIKEIKWGGEKSNPDHKDNDKRMHPRSSFEVFLEVIKTRSLPWEDAEIDAIHSLQLILKELLKDVANNNPNDNMQARVGDEELKGKKKLDPVAAEMGRIIETANAPIFSVNAEGCINGWNAKIAELTGLPVDRAIGLSLFNQIVHEESKETAVKLVSQSLKGEEEKNVEIKMRKFGSSEDKMPVHVVVNTCCTKGDSDNIFGVCFVGQDVTPQKVLLGEFIKLEGDYQAIMHSPNPLIPAIFGSDLSTCCSEWNKAMENMTGWSRDIVIGKMLVGEVFGNFCKLKDEDAMTNLMIMILNAIEGQNTDTIPFAFFDRNGEIVQALISAHTRVNMNGEIIGTFCFLQIPSPDMKQALELEKQQDLELERKQALELESMQKLSYICEELKNPLSGICTTNSDLEGSGLTEEQKRYLQIRTACVKQITQIITDVDQDILDKGLFKFDKTDFLLGNVINVVISQVTLLLKGRDVQLIHNIPEEIRRLIVYGDEARVQQVLTTFLSSMVNHVPEGWVDFQLQPIMQQISGDTTNLPFEFRIGCCGEGLPQELIQEMFHMSGWVTPEGLGLSTCRKILSQMNGRVEYTKESNVCYFTITLDLPMSKNWEHQC >KZM81877 pep chromosome:ASM162521v1:9:7486987:7499161:1 gene:DCAR_029490 transcript:KZM81877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRARASLRHVLHKSSPLHSSISKGLLEGAKAFRGENGRLRLFRPEQNAIRMQIGAERMCMPSPSTHQFVEAVKEIALANHRWIPPTGKGSLYIRPLLMGSGAILGVAPSPEYTFLIYASPVGNYFKEGTAALNMYVEEEFHRASRGGAGGIKAITNYSPVLKAQANAKNRGFSDVLYLDSNSKTNIEEASSSNVFIVKGNVISTPALSGTILEGITRKSIIEIAKDLGYKVEERCVKVEELNDADEVFCTGTAAGVAPIGSVTYQDKSENLSVDWDNLGFNLMPADYMYMMKCTEGESFTQGHLDRYGSIELSPAACVLNYGQASDLGLFEGTKAFRGENGRLFLFRPEQNAVRMQIGADRMCMPAPSTEQFVDAVKEIALANHRWIPPTRKGSLYIRPLLIGSGPILGVAPAPEYTFLIYASPVGNYFKEGMAPLSLYVEDEYHRASPGGAGCVKAITNYSPVLKAQARAKSIGFSDVLYLDSSSKTIIEEASSSNFFIVKDNVISTPAPNGTFLEGITRKSIIEIARDLGYQVDERSVRVDELDHADEVFCTGTAAGVAAVGSITFRGKRFDYKVDGRLVSQRLYSTLVGIQRGVVQDKWKWLVRID >KZM82874 pep chromosome:ASM162521v1:9:25461214:25463417:1 gene:DCAR_030443 transcript:KZM82874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSGIYSEEVAEMIMNNCDDQEEETRIEDLRRGPWTAEEDFALMNYISHHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAASGTSSTAIATSGDSTFGMATEASCYDIDTINNISSVPSHFPSQVTMMQQPNNMMCDNLESIVIQSTTNNSSSLTPENSSTTASSESYGRSQVSTVSDITDCYNCPSLNLNPNQDYFFPDQLGFADTLISPMGYYNQSFGYQSMEQKTRNPWMDSGELSDNLWSVEDIWLLNQKLNNNI >KZM81509 pep chromosome:ASM162521v1:9:1937958:1939335:1 gene:DCAR_029122 transcript:KZM81509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGSILEGDWSSLDAMYSAEESEFTAQLLNYCSIPNDLHFDPSFRIFSDNADIDHFALGNTCFYPTLKHDSCYAGDFRHILAASDDFVFCNEDIEGENLSSVQILSSGDVYENVLMQLELEGHVADRVSDEEVVLSNSVQKSKKRSANSGDVQINKRRVKAKKNQKIERKDCMNNKEKNAAMQKRSLSNDSMVSHELNGTASNSPDSKEVTPVNSNGEVRAARGSATDPQSLYAKKRRERINEKFRTLQNLVPNGTKVDISTMLEDAVEYVKFLQLQIKLLSSDKWMYAPIAYNGIDLGLDSNLSRPLH >KZM82846 pep chromosome:ASM162521v1:9:25193917:25195555:-1 gene:DCAR_030415 transcript:KZM82846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSAAASSSTSGASKANYDLHGGGTGGSSNSASSSWKNSNAGAAHFPLPLHSKEESDEDMFTVPDIEAPEVAAAKMEQKDFNTENKSNVAGSGNNNKDDQQTTGKRRRGRNPVDREHRRLKRLLRNRVSAQQARERKKVYVSDLESRATELQDRNSKLEEKISTLINENTMLRKVLLNTRPKTDESS >KZM83261 pep chromosome:ASM162521v1:9:30213663:30218267:1 gene:DCAR_030830 transcript:KZM83261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAAAARGEKLSSGNVNHLIDSVDCFLFDCDGVIWKGDTLIDGSKEALDMLRSKDEIFSSSFAAAMYLKLIDFPPAKKVYVIGEEGILEELKLAGFTGIGGPEDGKKTAEFKANSLFEHDKSVGAVVVGLDQYINYYKLQYGTLCIRENPGCLFIATNRDAVGHMTDLQEWPGAGCMVAAICGATEKEPVVVGKPATFMMDFLLEKFKISTSRMCMVGDRLDTDILFGQNAGCKTLLVFSGVTTPSAFHDPSNHTHPDYYTDKLFDIVSLM >KZM83284 pep chromosome:ASM162521v1:9:30402996:30406583:1 gene:DCAR_030853 transcript:KZM83284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENLGHDFNLPDAILSVIPTDPYDQLDLARKITSMAIASRVSNLESETGRLRQSLSEKDRVIAQLEEKVAVLEDACQDAQVQLRVTTEDNMRLSRERDSFAMTAKKLSRDLAKLETFKRQLMQSLSEDDSSQAETVDIETCEQIPKAYPITEVTNGYKIQRSISGSTDSSTITDALMQTGQRAISPYITPRLTPTATPKVYSTNGSPRRYSSIESPQTTSGATSPTKSLYDGRASLSSWYPSSQQSSAASSPPRGRSTTARPPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTREETLRKAEEIFGADNKDLYLLFQGLLNRNTK >KZM81454 pep chromosome:ASM162521v1:9:1376602:1376913:-1 gene:DCAR_029067 transcript:KZM81454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPINIIRERVEELEGDDNKAARDFLNHLKKPREDHIRVGKLIQELQKKDDKYNQQGQVPNEAEACENQRFI >KZM82101 pep chromosome:ASM162521v1:9:11480762:11481076:1 gene:DCAR_031808 transcript:KZM82101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSDGSVRICQRCFSVTVWGVRYHVLSLPDEVVEEMDFETYIEVQFLTMNCYLHQERLREEAEARRVAAIRRREWIIRFAGMMSSILHKQEEEEKKAEEESSS >KZM82205 pep chromosome:ASM162521v1:9:13728346:13728630:1 gene:DCAR_029774 transcript:KZM82205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLIPDGAQEPVNFDVALGIADQVNGLVLGRETVQDQMAQIVNAVGNDGVQASVQFMVANGDEAVGKQKETHQTCLVENGLFFRGDPSIEDID >KZM82948 pep chromosome:ASM162521v1:9:26136969:26137720:-1 gene:DCAR_030517 transcript:KZM82948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFNSMLFLTLLVAISALMAAPSAVKAQRFDNYIINITGTVRCAVSATFFANGSSASRPFTNANVQLQCRRRNTTIASTTTDANGTFSIIRDPPLGRLTALITYSITARTCRAFVTTPLSACNSTLPTSGNLVSSLTQSSINTVGNTQVYTLRADNFVYST >KZM83617 pep chromosome:ASM162521v1:9:33376866:33384161:-1 gene:DCAR_031186 transcript:KZM83617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQQLPILQFEDKIKQTVENNPVVVIIGETGSGKSTQLSQILHRSGYSNSGLIAVTQPRRVAAVTVSRRVSEELGVRLGEEVGYSIRFEDRTSEKTRIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKLRASNLKVLITSATLDGEKVSKFFCDCPILNVPGKLFPVEILYSSERPKSYLESCLKVAIDIHVREPEGDILIFMTGQDDIEKLVSKLEEKIMSLEEGSCMDAIVLPLHGSLPPELQIRVFSPPPPNCRRFIVATNIAETSLTVDGVVYVVDSGYVKQRHYIPSTGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPSIIYNEEFLEATIPEIQRSSLAGSVLYLKSLDLPDIDILKFNFLDPPSSESLEDALKQLYLIDAIDDNGSITKIGHTMAELPLEPSLSRTLIEANKYGCLSQALTVAAMLSAETTLLPGRSKSNDKKRKQPLPDLPDGSGWGDHIQLLEIFEQWDQTDYAVDWCKDNGLQVRGMKFVKDVRKQLCQIMQKIAKGPLDVIRSKRWKDSQEDYKDLRKALCVGYAGQLAERMLRHNGYRTIGFKSQLVQVHPSSVLRTDDEGLLPNYVVYHELIATSRPFMRNVCAIEMNGGTGEPEEATEGSNSNFPKQEISAGAPDDRDNRIQAARDRFLARKSNK >KZM81404 pep chromosome:ASM162521v1:9:817311:818674:1 gene:DCAR_029017 transcript:KZM81404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPLLSFLVIPLFLAIITNGQLNYNFYESSCPHLPMIVRYNVWTAFRNDTRVAASLLRLHFHDCFVNGCDGSVLLDDTARFKGEKNAGPNKNSVKGFDIIDNIKADVERACPSTVSCADILTLAAREAVTAAGGPYWQVSLGRRDGLTASMKAANVNLPSPFEPLDKIIAKFTSNGLDTKDVVVLSGAHTIGYAQCFTFKQRLFNFKSSGKPDPTLHPSLLTDLQGSCPNVDGSNNKLNPLDTSTTYMFDNAYYKNLVNNYGLLESDQALMANQETAAMVNDYSMYPYLFSRDFAASMVKLGNIGVITGQEGQIRKKCGSVN >KZM82250 pep chromosome:ASM162521v1:9:14592117:14600769:1 gene:DCAR_029866 transcript:KZM82250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFTASFYPSSIQLGRNQIHHKFINNLPFTHPPISSFSLSRSNAPSLKLQATISTTDLVLDQETESGEKFDWYAQWYPVMPVCDLDKRAPHGKKVLGLDIVVWWDKNENEWKVFDDCCPHRLAPLSEGRIDQWGRLQCVYHGWCFGGAGDCKLIPQAPRDGPPIHMSKKACVPVYPSTVQNDIVWFWPNSGPSYKDILSRKQPPYIPELDDPSYARLMGNRDIQYGYEVLTENLMDPAHVPYAHYGIMGGGFSFIAPCIFYGHATVGGNKSKVSKESAETTKEQSAMPERRFLLIFICVPVSPGNSRLIWSFPRNFGVWIDQVVPRWMFHVGQNLILDSDLYLLHVEERKIMEAGSSNWHKACYVPTKSDALVVTFRRWLNKYARGQIDWGTKFSGALPTTPPKEQLLDRYWTHVVNCRSCSAAHKGLNALEVALQIIAIISVGVVAAAKQNMISAFTRNTLVIMAVLCFAASKLLAHFIYKNFHFHDYNHAFR >KZM82699 pep chromosome:ASM162521v1:9:22660900:22662699:-1 gene:DCAR_030268 transcript:KZM82699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREKENFKNSNFKLSNNHANGDRNLQEGQWFRVMRHQVSGKRIVVPKKDIEVSVQDRVDNRTYAQVLMNTGSKREGIAGNHTDSIKARILKNGCMSVMVNNIPDQIRRRDSWLLFNRKRQIRDIILPKRRDKFNHRFGFLIVGSMTDAQELITSFNGTWIGRFKLTLYVARDFYGQPEHSFKKEVTRTDAKNRQRNRPQRKEALPTQVQSLGEQRVDGISENLVKQPSFRTIQGSISNGCQQLLNRSLVGSTKGSVQPDMLHAKILDRGFTFLTIRGLADKKFLISFISHDDKELDTSGISDLILDIKVVEDFDLIVPRTTWIICDGLPLSVWKKETWELILADWGSLVTDFSDMSELATMTNGRVCIETHKVIPIDETIKVVINGLGYWVRIKETNLVFPNVRSQTWHSEVSSQCSTKKDTLKEAESIHSQSVNKSCSQEESIHIIDLQSHCLTTRPPLHITNRDHVLHLDKWENNIWEVREPDPISSLDSTKQSKGLVSVSMDQAYARAEGEITSGREVCLATNTSIPGDQLVSKMSKVSLGKKVGRPRKKVEVRNVFDIKGFKRRKRGAKNFSKVCKRWKSKHNVKKTPPVEENI >KZM81882 pep chromosome:ASM162521v1:9:7543258:7549349:-1 gene:DCAR_029495 transcript:KZM81882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGSGGSFVAVRRISQGFDRGNTCHSSSAEVVAGSAAWLGKGLSCVCAQRRDSDSRLSFDLTPAEEDCLQRLQSRIDVPYDTSLPEHQEALRALWDAAFPEEELHDLVSEQWKEMGWQGKDPSTDFRGGGYISLENLLYFAKNFPGQMKGTAIYSLAIELLSYFPGKRKCKCSFIIQKSFQVLLRKQEGDRAMWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFLAEKDYAFDLLYCITFKLMDQQWLEMRASYMDFNAVMKSTRRRLEEELMHEDITKLEDLPSYNLLIR >KZM81872 pep chromosome:ASM162521v1:9:7314648:7314983:1 gene:DCAR_029485 transcript:KZM81872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEGPFKPVTIPLDETFRGNDIDLPDNDPRVVRIVNGFQPEQISLSLSSTFDSVWVSWVTVHKFTKVLRECRIVDEMTSARFSTKFHKGYYTWIPSAMRDQVFMVIGYAV >KZM81399 pep chromosome:ASM162521v1:9:693639:695606:1 gene:DCAR_029012 transcript:KZM81399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTIMKAFGCLLLLSLFSFSFVLSTSEEVPEGSEFGVDARASFANPRLRNAYIALQAWKKAIFSDPKNMTGNWVGPNVCSYNGVFCERALDDPNLTVVAGIDLNSGDIAGHLVTQLGLLTDIALFHINSNRFCGIIPEEFFSDSVCALPSLQNFTYSYNYFQDEMKSCLAQKPNLVFDFKKNCLPDKPDQRTPEECYPVVKNPVKCEAVGCRPNPTHPSPVNISPPSKVQPETRNPQPKPQPPKTSPPPSPKPTPAPVVEPPPSQPVASPPPPVASPPPPVHSPPPPVASPPPPVHSPPPPVASPPPPVHSPPPPVASPPPPTPSPPPPTPSPPPPSPPPPVHSPPPPSPPPPSPSPPPPVHSPPPPSPSPPPPVHSPPPPVHSPPPPVFSPPPPVFSPPPPAPVHSPPPPPVLSPPPAPVHSPPPPVLSPPPPAPVHSPPPPVLSPPPAPVHSPPPPTPQQSPPPADDFILPDNIGALYASPPPPMFQGY >KZM81929 pep chromosome:ASM162521v1:9:8612677:8624621:-1 gene:DCAR_029542 transcript:KZM81929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRLIILAATVTSSVTDASVVSAGVFNVGERRCGEKGDDRGLDMGCFQSKTVNVQSPDKEPEPKPDLANGDQLDQDQVPAFKEFALADLRTATNGFSNDFIVSESGEKAPNVVYRGKLRSNRVVAIKRFSKQSWPDPQQFVTEASEVGKVRHKRLVNLIGYCAEGDERLLVAEFMPNDTLSKHLFHWEKQPLPWEMRVRVAHYIAEALDHCSAANRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFMRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNVLLLVDSSLEGQYANEDATALVELASQCLNYEARDRPEIKFLLTAVAPLQKQKEVASHALMGLTKTPAVLPTMLSPLGKACARMDLTAVHDILLKTGYRDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFTNAVDYYSKLVSMMSVPSGTIFVRRAISYLMLGQPEFALRDAMQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGAAFEAKKQNSWRN >KZM82027 pep chromosome:ASM162521v1:9:10091701:10092489:1 gene:DCAR_029640 transcript:KZM82027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSHLLLLLLFFLGVDGTTFILKNNCGATIWPGILTSYQAPALMNGGLELKAGESQNISAPDNWSGKFWARDGCSFDSQGMGKCYVGDCGGTLHCEGKDGDAPASAAEFVLGSDSDSYDISLVNGYNMEVSIEPVGGDGNCSKISCSVDLNQCPQQMQFKAQGKVVACMTPCLIFNNPMACCTGEFSDPEKCQANSYTEVFKSSCPLAYNFAFDDATKSYTCSGANYVVSFC >KZM83419 pep chromosome:ASM162521v1:9:31631666:31632076:-1 gene:DCAR_030988 transcript:KZM83419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQSVQRGDNLPLVVRRNNLYLSRKSTLLISGVGGSASDSVVDVLKNEDIGSGVNIEDEATKKLRIRMAEKRVRVFPPILSSLNRNGRPKFSLKTVRKDGRLQMSIVPNHFSEVVRTPQDGGRVSMELLETGGD >KZM82537 pep chromosome:ASM162521v1:9:20827310:20827582:-1 gene:DCAR_030106 transcript:KZM82537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKLKEEMDEGEIEPNVNTYRILISLYCGMGHWNNAYKFFREMMEEKCLKPSEAVYEMVLQQLRKAGQIKKHEELVEKMVIRGFVARPL >KZM82194 pep chromosome:ASM162521v1:9:13438426:13438725:1 gene:DCAR_029763 transcript:KZM82194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAGINALKEAKDEVFATKEEEARLKNTLEEAESEMHYLKEVIGEAKAESMKLQESLTDKENELRSVIQENKELQNTESASVIKVKELSKLKSSRHSI >KZM83188 pep chromosome:ASM162521v1:9:29474600:29476987:1 gene:DCAR_030757 transcript:KZM83188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSRSSGAFTEESLALQKKVLERSGIGEKTYLSEGLLTVPPKVGLSEARKEAEKVMFGAVDELFSKVRLKVNDIGILVLNCSMFSPTPSLSSAIVNRYKLRSNILSYNLGGMGCSAGMISIDLAKHLLQMQPNCYALVINVECSNQNWYRSMLISNCIFRVGGAAILLSNRSIDRFCSKYRLTHTVRTHKGADDLAYNSVSQQEDDDNIVGIKLSKELIAVAGDAIKTNITALGPLVLPFSEQFLFLATKLAKKIFKMKIAVYVPDFKLAIEHFCFHAGGPAVLDAMEKNLQMTEWHMEPSRMSLYRFGNTSSCSIWYELAYAEAKGRIRKGDRVWQIAFGSGFKCSSSVWRALTDVDPIGEENPWMDCINDFPVIVPKVQVFE >KZM83409 pep chromosome:ASM162521v1:9:31552538:31557451:1 gene:DCAR_030978 transcript:KZM83409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAKEDLEAQNNQKPLDRAVSHRNSTIKNQKSLERVVSQRAFQMSNSYPCQLCVLGFLCGVCITTVFLAALTSFGTLEFGSVSISTFTTGPLSSDFGSRVGDVAQKVSALDVEEKFYSEKNSELSIDERVTFMYSSWSTFLNKSLEDFKLLNSNGLGLPDPPNPKAPHLENCKLNAEVNQQLDERMKNKLLPPWTIWKGTLNNYLLSSAEEQLRNYNYRPIPGASYPPWITGSDEENYPLTRKVQSYIWFLQHPSTCRDPIVKFLVADWERLPGFGIGAQFAGMCGLLAIAINERRVLVTNYYNRADHDGCRGSSRSSWSCYFFPETSQECRDRAFQLMGEKKAWEKGTITTKENYTSKDIWTGRIPRQAVLHLRIPTTEINGSLLSHHRKMDRRWWRAQAIRYLMRFKSEYTCNLLNAARHAAFGLEAAKLVLSSRSENYSTSTSVSDRHKADIEDYVWSNHKPWMPRPLLSMHVRMGDKACEMKVVEFEEYMRLAHRIRNRFPHLNSIWLSTEMQEVVDKSRSYPHWKFYYTNVTRQVGNMTMATYEASLGRQISTNYPLVNFLMAAESDFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >KZM83256 pep chromosome:ASM162521v1:9:30185688:30187091:-1 gene:DCAR_030825 transcript:KZM83256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSPGDQPSETAINHQQVLPITTPTPPPKPLKKLTLIPLIFLIYFEVAGGPYGEEPAVKAAGPLFAILGFLIFPFIWSIPEALITAELSTAFPGNGGYVIWAHRAFGPFFGSLMGTLKFLSVVINIAAFPALGISYVQKLFPVFSSGSPRNLAMCISTLVLSFINFTGLNIVGHVAVFLGIVSLLPFIIMSLMAIPKISPKNWISLGQKSVKKDWNLYFNTLFWNLNFWDNVSTMAGEVEKPQKTFPIALLSAVILTCAAYIVPLMAVTGVGHVDQNEWDSGYMADAARMISGVWLKYWIEVGAVLSNIGLFQAQLSSSSYQLLGMAELGFLPKFFARRSKWFNTPYVGILLSTAIILSVSFLDFTNIIGAANFLYGLGMLLELLSFVWLRYIYPQLKRPFRVPMRLPGLIIMCLVPAVFLVFIMVIATKVVYLISGLMTLGAIGWYFLMKLCKARKWLEFYDVDE >KZM83283 pep chromosome:ASM162521v1:9:30396424:30396992:1 gene:DCAR_030852 transcript:KZM83283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISERRWKVHYKGMKDEDRSGILEKYVPLLRLARTDKLSMRQCDRLTIRMCPPKEDPSTTYEFGATVDAWLNNGW >KZM82793 pep chromosome:ASM162521v1:9:24258062:24259207:1 gene:DCAR_030362 transcript:KZM82793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPLQSLQKLPPHLEFLRVSACTSLQGFPDLSTLRDLETLDVYRNGSTLKVNIKESHLQIRGDSWSTFGAILQNREIAEWFSYKNSGCTLSFDVPPNTGDNFLGLAFWVVYNYINRGHSYLQFDITNITEGVTNHYSHGTDALHNLYNHLRCDGSDNRTLTETLENRRASQGAVSTTLSLLEFL >KZM82704 pep chromosome:ASM162521v1:9:22781608:22786641:1 gene:DCAR_030273 transcript:KZM82704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDEQTSTPNKSEGSARKKFKRLRHKVTAGKLFYSDEDEQESYSILELYRTEYEAAQLENQAAQTQPQDQAAQTQPHDQGPHDQAPQDQAPQDQDTEPQDEAAQDQNTEPQDHNQAEDDRDHNQNEQESQEEAQNEHESQNEQKADNIKEDTHNAHDHPVTKRPNIKLPTKKQAQENYEMFYVDRVRHKGIKLVERRFPSFRGWTEDKLKERQAIDVYGGPFGLGYVMVPLREVPSQTPKQYAKASNQNKEAPMQNPDWDDWNAHQNDDVLWEEYENRHKQAAANGCETFNENNDPKDMPGAGEQEEPDIEVDKQNGNPQASGAKDVVENLREMAQDLIETKLLFDTELKLALDKEPTNS >KZM83117 pep chromosome:ASM162521v1:9:28889362:28901285:1 gene:DCAR_030686 transcript:KZM83117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVPSTPRWSSNARPQDTAEYLIGTFIGGKSFPITSEFWQQLLQLPVDLRWPSHRVNEACEVFSQNNCLTRHLAKILIHLGWCLQELVTSSGVPSVALNNAINALRISSVFLKHLIENSKGNIYEELFLSVDGIEALPDSFPKDQSIEHFVFHGGLRFIGSVDVSLDTYILHHELLNFMLVAMSTQLLSGPSPGPDDAHPFTDAAMVQKEYIVGLVVRRLLVNYITRPQFPSNIASYAIIAEDSHPGVLQRVGSAAANLVMLPFSFIVSPSGETSKSPLAESSLNILLTLIHFRRCVTVEPGKLKSDDNGNSDSPLKEEIYLTENPYCKALEYARDVEFDRADIEGNAHSGPSIPFASLFDVLGMSLADEGAVLLLYSLVHGNAAFLEYVLVRTDLETLLIPLLETLYDPASRRSNQIYMVLVILLILSQDSSFNASVHKLVLPTVPWYKERLLHNSSLGSLMVIILIRTLKNNQSKLRDVYLHTNCLATLANMAPHVHHLSAYASQLLVSLFDMLSRRYSKLAEMKNSKMHSFNVELKDGDILPDDMVSSELHIYTDFLRIVLEILNVILTYALPRNPEVVYAMLQRQEIFLPFKSHPRFNELLENIFTVLDFFNSRIDAHKLEGEWSVEKVLQVIILNCRSWRGEGMKMFTQLRFTYEQESHPEEFFVPYVWQIVLARSDFSFNPKSINLFPVDLPEEGQNSYASVEADKGENNVDDREVQPAEAV >KZM82839 pep chromosome:ASM162521v1:9:25125738:25128080:1 gene:DCAR_030408 transcript:KZM82839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLSARSVSANFVKKFQFLQFNLISAVAQLSSDVSDSCSDEECDNFSKCEVVNKKDLILDTFGVTSHLRSLRGSPCLALSYFYRIREDGFRHSVWTYMAIIRILCYWGMFGEVDSVLLEVIESKVGDLGFGVAELFDALVEELKAERPKALVRALDALIKVHVVLQRFDDATDYLLKASSRGLVPSILTCNFLMNRLIEAGKGDMAAAVFQNLECFQLRPNVYSYCIMIKALFQKGDLEGAVNVLQKMEEVGVVPDAFTYSTCIEGLCLHGGSDLGYKILQAWISSKAAIDVYTYCVVIRGFVNDKKMIEAEGVLLDMEEAGIDPDVYCYGAMLQGYCEAGNVFRSLLLYGKMMKKGIETNCVIVNFVLKCLCRAGFIIDAVHKFIYFRDIGIVLDNVVHNTMIHELCKLGKLEEAMDLFNEMKINTVVPDIVHYTSLINAYFLHQKPGKAIYLFEEMMEMGIKPDIVTYNVLAGGLSRNGLVRQALGVLDLMRTQGLEPTIFTCNAIIEGLCAGGKVKEAESFFRSLKDKGLDNYAAAMINGYCDANMTRDAYKLYVSLTRRGIIVDKKSCLTLLDNLCLDGASREALMVFKDILVSDGIPCQVICGKLIAELCRAEDMKNAQWVFNSMVLEGVLPDVINYTIMLNGYCRLNCLKQACDLFSDMKEQGIKPDIITYTVLIDGHSDMKLKKAQKFDKDPANALTFWREMNEIGLNPDIKCYTALIENHRRPNNIQDAICLFGEMMDRGLEPNTVTYRALLGRYIRWGDVDRALNLFLRC >KZM81385 pep chromosome:ASM162521v1:9:620833:622008:1 gene:DCAR_028998 transcript:KZM81385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEQKRGWRSLVPHYLRARPSTRFCMFHKVQSSSYSPCETPVYLNVYDLTAINGYVYWAGLGIFHSGLEGLVDGVEYAFGAHDLAASGVFEIEPRQCPGFKFRKSIYMGSTYMDPFQVREFVEQQSAHYYGNSYHLVVKNCNHFCEDICYQLTGNRIPKWVNRLANIGSLCHSILPEALKTPAIRREPDFRCSDDEKKSLTNAFSCFTSMSMHHKEREVSINSLFLHSHYKGCLPPWENKKSDDDSEQRS >KZM81714 pep chromosome:ASM162521v1:9:4769885:4772336:1 gene:DCAR_029327 transcript:KZM81714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTVIKSSPSLTSETSMLSSVFIIVFTVLSFLSSSCCNASSPSSCGNIRNISCPFTLKGDKQKCDSSFFIQELSCVNNRTIIYLFPGKYMYYVETINYDKLYIRVVDQGLTKNNYFSRPLHAITEEDLVSTYDTDLESMNKPITMIECPSPVISRQYINITSTFSSFSAAVLGKSYVYSYAYVVLGYVPISKIEENCRISNVVWVSRQSPFRITASSKFSEIHDAMVYGFHFRWSYFYCAKCDDGNHNCDAVHPDHHFWSCSFYGSCQFYDLSDRCKYAGIIFAARFTLGMPLLLAVLAYQARRRHLSMYDTIKNFLQAQNNLMPIRYAFSDLRTITNNFRDKLGEGGFGTVYKGKLRSGLFVAVKILGKSNATAKEFINEVATSGRIHHVNVVQLIGFCFEGPKRALIYEFMPNGSLEKYIFSTEGTEEEIVPLSWEKMYEISYKVASGIDYLHRGCDIQILHFDIKPHNILLDKNFNPVISDFGLAKSYATDDSIVTLTAARGTMGYMAPEMFYKNIGGISYKADVYSFGMLLMDMAGRKKNLTPFADHVSQIHFPSWVYDQFSEGKEFEIENASEEERKLVKKMIIVALWCIQMKPSERPSMNKVIEMLEGDIEHLVMPPKPFLYPQQDPKEINNSTHSSLNLLQTEE >KZM82432 pep chromosome:ASM162521v1:9:19109096:19110315:-1 gene:DCAR_030001 transcript:KZM82432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLANLELEEIIDLSKTTSQLYGKTMERVSSALISKKKELLTALELAYGKILAEIRRELLQVASYICEVKTLTNTWEELRHKSAELTADRALPFRKRKFRDEEATTTSLTTVTEGKFCDR >KZM81900 pep chromosome:ASM162521v1:9:8179273:8180157:1 gene:DCAR_029513 transcript:KZM81900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSSEMEQNLRCPRCDSEETKFAYFNNNKTSQPRYRCKSCKKLWTHGGQVRDISSNGEERRVNRSRDSSPAIVSSAPPPPPLSSSMTSAGGIINETGRLNLTRPPPNHNQPPLKFVRMDIQNLSIKPLEPPHDVGQNNIVQQNQQVLIENYSQGFHAQQTPRNPLDIAWGNQSFLNTTHSSNYIEPNINTFGNNPIGGSTGGPSGSWGWSSAPVHLYIEPTNAHTFGENNNGMGGPNSNPSGLSSSAWSSSPPSYYIEPNNAWNNNNPTDGSARDPSGSSSGAWSSRAWSSN >KZM82784 pep chromosome:ASM162521v1:9:24030007:24030464:-1 gene:DCAR_030353 transcript:KZM82784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGELCEVKPSDFRPLEDFDGGISTIFFDSKAIKIFVVTNGARQLEMACPHLAQEKGQRQLGRGGFQKGQGQQGHRGSQEQEQEKYHLPERIIKVMGVMKIKLKNTLLLFSLF >KZM83046 pep chromosome:ASM162521v1:9:28299364:28300188:1 gene:DCAR_030615 transcript:KZM83046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYFETRMGKPFCIEIGYFDTVLEIKEKIQKYQGIPVSKQTLIFKGQYLEDGRDVEYCEIFHNSRIQLMLTPDATVKLEDSPAKTSKMQVLVRMASSKKQVEVDVEDSIMQLKEKILEVGGAALNGRDFSVFLHGNEVYENKFVRDYEISDNSEIDVLVKPLQHSSVTTLAPATSGGANSNSNFNSKKLKIFVSTQCGTNKIPVEINASDIVDELRRELRRLQEVLHFSLPPEGYFFIYKQNVMEDDRSFRWHHVCNGDMIEIFNGSVTGGSS >KZM82958 pep chromosome:ASM162521v1:9:26340171:26342154:1 gene:DCAR_030527 transcript:KZM82958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFKAVFYTFLVLISLSSMANAASHPRLNLFRNCKFDKIFQFGDSLSDTGNLVHERPFDISRRLPYGITYNHPTGRYSNGLIMIDYIALVAGLPLLSPYEGRSGHFRHGINFAVAGATALPVETLASKNIHGGRTSSSLDVQLRWMSEYLSSYCKTDYDCRDKLKNSLFMMGEVGGNDYNYALFGGKTIEEVKNLVPEVVQVIMEATRQVIKLGARKIVIPGNLPIGCVPSYLTMFQGNSTFDENHCLKEYNEFSVYHNRQLRGAIEELKKENPGVTIVYGDLYGALQWVFSRATHLGFDPNSLQKACCGSGGDYNFSFTRICGFPGVAMCSNPNKRISWDGVHLTEQANRYMATRLISNMAPMLQCQAS >KZM81607 pep chromosome:ASM162521v1:9:3362761:3366860:1 gene:DCAR_029220 transcript:KZM81607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVEVIVKELNLENVDPLPEDFDTTAIIKDPLPPLADEARESVKSGENGVVMKKEREIVLGRNVHTMCLEVTEPDADDEVTGEREAHMASVLARYRRSLLERTKHHLGYPYNLEFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRDTHYSIFKAARMYRMECEKVNTLTSGEIDCVDFKAKLLCHQDKPAIINVNIGTTVKGAVDDLDLVIKTLEETGFSHDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSRDVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYKGFQKEVQKCLRNAHYLKGRLRDAGIGVMLNELSSTVVFERPHDEEFIRKWQLACQGNIAHVVVMPNVTVEKLDKFVFELIEKRATWYNNGTVQSPCVASELGKENCLCNLHG >KZM82248 pep chromosome:ASM162521v1:9:14579593:14580753:1 gene:DCAR_029868 transcript:KZM82248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCASSKRIEASVASGAYRPALDFDINNIQEPWRTAQQDEDEDEEDVEDEMKKVASSPVALDKLNRSLEADQEAPPPTWEQVKKDLEFIKPTIQPTIQKPTAVMPSKPTSPVAPLPPKKIKRKSFSFHTLEELDTRRPLPESASVSSGLRKIESMNDVSGLSSVKAGLRKTNSVKQGGNSRPESTGIDSEGFKPVRQNIFLLKDRMERQKEGKEGGFLRRDPLSDYPELCPPGGADLVVVYTTSLGGVRRTYEDCYKVRSILELHRVVFDERDVSLHGDFLSELKELVGEGAGVPRLFVKGRYIGGVDEVVNLNETGKLRRIWNWVGVERGSGRQGCEGCGGARFVPCLDCGGSCRVVVVVEGKTQKQKCPECNENGLMHCPACL >KZM81401 pep chromosome:ASM162521v1:9:797899:802501:1 gene:DCAR_029014 transcript:KZM81401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLRFARLNLVDLAGSERQKTSGAEGDRLKEAANINKSLSTLGHVIMLLGDVVHAKTKHVPYRDSKLTFLLQDSLGGNSKTMIIANVSPSICCAAETLNTLKFAQRAKLIQNNAVVNEDSPEAVTALKYQIHLLKEELLVFKKQNVARSLTFGSTSIGDTRQEIGSACINKELEVGCQNKGGSSTLESNLKATSFNEQLFEAVQQENEEMHKILSSQTQEVVDLQLESDILKIMLQEERSLRRDQENKALCLDRNLELAEEKAAQLINQYENIKDELRVAKSLIEDLESQKLASSREMEDLWNSSNHYADKSTEISLLKNKALNQVNLFSSKLPKSEESNLEVQFTKMHNCLEEVRRLNTWHHNEFSPHGSNENGIDEVLRQDRAATAKLIVCLQGELSILQQQVQESYLREIETEGKFTILNIEHNELHKKLEAVTQNNRSLVEKIDEKDKEYKSLSDMLESMTTEIEAVLSCGQKALEDATDQIDDISGSLPQRRKLVSEQLSRMTRSISEKEFMIEELTRYLEDATTRRSEMESMLRSLRGAALVITQEHQQNFREKEKEFILLTSELSEKISIIAELENKLKRVEEARREASLCATAAFLVVSRLTEKNCENLNALKNKDIQLIESIELSMKKDVFLHSKFVENGQKQTCFPRSNLEVAHLQLCGALKHVSDMEQNLKQVEKINIMKTPKILAVLKDYLLTLESWLKHNVDVLEYEDEKLILDLSAKEIEVLVLASELEQMAFDKFRLQTEHARSFTVLEKVTEDLVLTYLDAELKDWILLDMESEVTSLLNVVAVTKSEVNKRNSEITEILKVKEDFEQELKLLKNVGSSDDDLRKEIGGVTKANMRLSSKIAKLKHEYEKSLDDMQVSSNEEGRRLQEALYHIQVLEQEIAAKDREPANNDKLAAGRPPIVKLKTLGVNGQEILMLDSSIAAAKSLTHDVLCDLRDLKSDICGYMVCLSGYVPELKPQWYMYYGVSILNIEHLQDQEVIKLKE >KZM82859 pep chromosome:ASM162521v1:9:25314131:25315787:-1 gene:DCAR_030428 transcript:KZM82859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVALNNSQDGVLRFISDLPPTHYTVKIKSFSLLTKNNIDKYYSGEFEAGGYKWKLVISPNGKNAKEYLSVYLAMVETPSLRPGWEVVATFTLFLLDQNRDNYLTVGDSSGKGRRFHGMKLEWGFDQFIHNKAFSDAVNGYLVEDSCVFGAEVFICKETIKGKAECLSMIKEAITYKHTWKIEDFWKLDQLSHESKAFNGGNYKWKILMYPNGKGNGTGTHLSLFLALAEPASLSPGSKIYVEFTIRMLDLMYGGHISGKATRWFYASNPESGWTRYISLDYFYLPKNGLLVKGSCSIEAEITIHGVADVA >KZM82611 pep chromosome:ASM162521v1:9:21826302:21828559:1 gene:DCAR_030180 transcript:KZM82611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLVLLILVLLSSQSSVDCVNDEGYALLSFKQAISDDPLGAFASWNISDETPCSWSGVTCKEERVVSVSIPKQKLQGLVSPSLGSLSQLRHVNLRNNRLIGSLPFELFRAQGLQSLVLYGNSLSGSVPFDIGKLSYLQTLDLSQNLLNGSLPSSLIQCKRLRTLVLSQNNFSGGLPEGFGTSLVSLEKLDISINRFSGPLPSDLGNLSNLQGTADLSHNLFSGSIPASLGNLPEKVYIDLTYNNLTGPIPQTGALINRGPTAFIGNPGLCGPPLKSQCSTSASSPSSSIPFLPSDVPPGDAAAKGAKRGLSKGSIIAIVVGDVIGICLFGLVFMYCYSRVCGIRRSENAYGSGKKLKGRTDCLCFGKDESETLSEKVEQYDLVPLGVQLGFDLEELLKASAFVLGKSGIGIVYKVVLEDGNTLAVRRLGEGGTQRFKEFQKEVEAIGKLRHPNIVTLRAYYWSVDEKLLIYDYIQNGNLATAIHGKPGMIPFTPLSWPSRLKIMKGVAKGLVYLHEFSPKKYVHGDLKPTNILLGQNMEALISDFGLGRLANIAGGTPIVESSRMASENLHEKQQDNAPSEVTMVNSPANLRSCYQDPEALKAIKPSQKWDVYSYGVILLEMISGRPPIIQLDTTEMDIVHWIQLCIEEKTPFSEVLDPYLIKDADREDEIIAVLKIAMTCIQSSPERRPSMRHIFDSLERLSAK >KZM81852 pep chromosome:ASM162521v1:9:6889700:6890305:1 gene:DCAR_029465 transcript:KZM81852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQTLSSSDLAVLESIRHHLLEDPENISDYFPSTSSCNAQVDEQSITTFCNLIFEENSHEEIKNGKHVDHRSTKEFDKSLKAHSQLNWKRYRGVRRRPWGKFAAEIRNPAKKGGRIWLGTYDKPEDAALAYDRAAFQLRGSRAKVNFPNMVGSDSSSETVNVIKNKRTAPEPSLSPVSSTDISSEKGRNNSENIPDEDLS >KZM81667 pep chromosome:ASM162521v1:9:4301192:4304183:1 gene:DCAR_029280 transcript:KZM81667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLLRSIRRRELSSAFQSLAGNAKTSWSASHMSNKWASLARPFSTKPAGNDVIGIDLGTTNSCVAVMEGKAAKVIENAEGSRTTPSVVAFNNKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRFDDQQTQKEMKMVPYKIVKAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAEAYLGKTVNSAVVTVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKSTNGDTFLGGEDFDNTLLDFLVSEFKRTDSIDLSKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADQSGAKHLNITLTRSKFEALVNSLIERTRAPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTKLITRNTTIPTKKSQTFSTAADNQTQVGIKVLQGEREMASDNKMLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKTTGKEQQITIRSSGGLSDDEIEKMVREAEMHAQKDQERKALIDARNTADTTAYSVEKSLNEYKDKIPSEVASEIEAAVADLRKASGGDSVEEIQSKIDAANKAVSKIGEHMSGGGSAGGSSSGGSQGGDQPPEAEYEEVKK >KZM82773 pep chromosome:ASM162521v1:9:23807811:23813027:-1 gene:DCAR_030342 transcript:KZM82773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSNTSFHHEQTFGSAVNQHAISFQSSAMDSTSQMIMMGNFYGTNSSGGMMFSGDPIRNPSVTQATNSSGSLLIDSVPGLQHDTGLAVEWSAEEQYRLEEGLVKYADEPSITRYIKIAATMREKTVRDVALRCRWMLRKRRKQDDYNVVKKVNDRKDKFTESSSKASTSSVPPLNLAGYSGTRKHQSGTGLMSHEASNGARILLEQNNEALGRISANLSTLQDNIDLFCRTRNNITAILNNMSNMPGIMSRMPALPLSINNELANSILPGSSKVKSSKCGKKIQCHLSFANEGRLTIYLFFQTPMLGLPNEICLKQESR >KZM83444 pep chromosome:ASM162521v1:9:31875722:31878637:-1 gene:DCAR_031013 transcript:KZM83444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQKLIKKERSAKRPHTKLVAKNPFTFAEYSSQELIIDSGRSGTRMSEGKDPSIKLFGKTIQLLLPEEDDDVSVLNHHELDSNRSDPVVSEGDFMNTKEQEEEKVALISEDPKTTNVDKENSAEEMKNQTTSSGMSEDSKTPNVDKETSSLETGEKEDASDMKNSDGTLKKPDKILPCPRCNSLDTKFCYYNNYNISQPRHFCKGCQRYWTAGGTMRNVPVGSGRRKNKSSVASHYRHILVSEAVQAARADVTNGMHHPTGSILTFGSDSPLCESMASSLVLAEKSRNSARNRFHGQEQTIPISYKGGEIADDHSSGSSSTVSNPTEKGFSGGQESVLTNNTQRTAAQVPCFPMPPWPCQWNAAQWRPQTTQPAFGPSGNPISYYPMPPYWGSTVPYTWNMPWLSPQPSLPDQFAHISSPESQTLGKHSRDGSTLRPLRPRKEDTLDKNDAERGVLVPKTLRINDPNEAAKSSIWSTLGIKTEDTDLGTGAGLYKAFLPKAAEKNHIRETSLALQANPAAMSRSLNFQETT >KZM83069 pep chromosome:ASM162521v1:9:28488339:28490954:1 gene:DCAR_030638 transcript:KZM83069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEKIGIAKDVTELIGKTPLVYLNHVVDGCVGRVAAKLEMMEPCSSVKDRIGYSMITDAEAKGLITPGESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERKIILRAFGAELILTDPAKGMKGAIQKAEEILAKTPNAYILQQFENPANPKIHYETTGPEIWKGSDGKIDAFVSGIGTGGTITGSGKFLKEQKPAIKLYGVEPVESAILSGGKPGPHKIQGIGAGFIPGVLDVDLIDEVVQVSSDEAIETAKLLAVKEGLLVGISSGAAAAAAIKIAKRPESAGKLIVVIFPSFGERYLSSVLFESVRHEAENMTFEI >KZM81860 pep chromosome:ASM162521v1:9:7039564:7041240:-1 gene:DCAR_029473 transcript:KZM81860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEGETSDTSFTSTMTLTKMGLSNVPQRFILPPSQRPNSGHGHHSTTNLPIIDLSNLNNPSHRARTIDDISAACKKLGFFQVINHGISTLTMNDAIDVAREFFNLPSDEKMHLASANVHNPVRYGTSLNHMKDKVHFWRDFIKHYSHPIEKWIEQWPSNPPSYKKKMGEYTKATYLLQKQLMQVVFQSLGLNPTYLQEDIDGGSQVMAVNYYPACPQPELALGMPPHSDFGSLTIINQSQEGLEIMDRDKKWHPVPLVEGALVVQLGDQMEIMSNGKYKSVIHRATVNAENKRISIASLHSLSLDKGVVPAPELVDEQHPISYNEGSFSDFLSYISGNDITEGRYIDTLKK >KZM83399 pep chromosome:ASM162521v1:9:31481542:31489257:1 gene:DCAR_030968 transcript:KZM83399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVNSGGAAAGNESFAGSDSAGGDEGDRNSAGNRWPREETLVLLQVRQSMDEAFRDSNLKAPLWDEVSRKLGEYGYTRSSKKCKEKFENIYKYHRRTKVGKSGRQDGKNYRYYEQLEIFDNQSSYPINQDKQTYSMDGTTSMPKPTSSSVMMAKPVNQVPHGDTHIPSFELMDTSTSTSSSSGGGHPKKKRKLMEYFNGLMKQVLERQENLQKKFIEAIEKLEKDRISREEEWRAQQLATKKREQGLLAHERSSSAAKDAAILSFLQKISEQSPPLQLPINSAPLEKILSMPQPQYSTRESSPVTKSIVHYSPGFPSSRWPKAEVEALITVRENLDMQYHDSGSKGSVWEEVSSAMTRLGYDRSSKRCKEKWENINKYYRRVKESNKKRRQDSKTCPYFEMLESLYEKRSKRQVQSCQCNELASCGTLWKLVNQPTSMERLYDLKHNTSKFHAASGFSLFLCHEFESEDTGKISDAVRNCVSDLKEFSKVVKLVAFEPFQSPLHALNQITAFSSGQMTDELHKFLVLGLPKPEEGNDSELSVGLAEPKLGSRIFQVTKIPCQTDEFILEVFRDWSNLHTDILESVIKRMTCFDIIRFKHVCRSWKIAVLNFWHISNPSSQPPYMIWSTTDNRGCCFLNLARQKLYHEFNNLWGDLNIYCIGCSKGWLILHVVDEEYRSSVFIFNPFCFVARKIVIPTDTLPDYRIIRKNYRTLLTSDPIHSGGKFGVIFLMYNKVRLLMQDSYHPENNKWIEDPSMSWSFSSLEESCIRKYGYIQSTSKFNSTTNCSPCFSKMTRSIPPRKIFWRPWAINNIILYLVESGVDILLVTRITGTLHENGQQVHATRYFDVFTYNFDDKRWVKVDSLGDRSLFLGGDHSLSLSVLDIQGCQGNSIYFTDHISSISNETGVDTGVFSLEDGSIKKLTWRRQRESNKEQFQFS >KZM82450 pep chromosome:ASM162521v1:9:19563810:19564544:-1 gene:DCAR_030019 transcript:KZM82450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTQDIEELEMFPSTHEIEEIFDEDFGAGNDQNISQESDQLPVNLISTLQPDSYTPASATSVSYRDRRFLTFTLSIPFATIFLVFAILLVYYRPFPPRLKLAVPKAYVHNFNFNNINATLFLKFKNPNHRIHLDLHSARAKLKFGHNFQTSKELLPISLQAHQHKYLEVNFFEKTSEFLPEVNSDARTGVAHKRYIFFLTISTKLRVQLDQISLTFPYAVTLKCLIIVDGHSPPGSVQYSSCN >KZM81598 pep chromosome:ASM162521v1:9:3212779:3217045:-1 gene:DCAR_029211 transcript:KZM81598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMKKIEHIVFTSYDEGFSGSDSFLQWWSQIKSSKHWQFAIFYALCAAYALISTIALVQLVRIQLRVPEYGWTTQKVFHMMNFAVNGIRAVQFGLYKIVFHIKPKAFDIIILDLPGLLFFSTYTLLVLFWAEIYHQARSLPIDKLRPAYFIINAFIYFIQVCIWTYMRISRSAAAVELARLFFAVMSLCAALGFMVYGGRLFIMLRRFPIESRGRQKKLHENFAASLNLLYVWQVGFVTGICCTCFLIRCLMATVSAFDEDADYDVLNHPIVDLIYYTMVEILPSALVLFILRKLPPKRVSEQYHPIR >KZM82634 pep chromosome:ASM162521v1:9:21991236:21992184:-1 gene:DCAR_030203 transcript:KZM82634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASTARGKSFTSEQDEAICRAYLSITEDPILSNSQRRSQFWSWVLAYKIKTNDNQISEASMKSRWQIIQKSCNKFRGCLRSIEALHQSGVTHQNIYDMAKQTYFDDTGKYFSGMDGCWAILENSIKWQDINTTENKSKNHAEPVIPNTCESPEPETTPLSGGSGSVSSPRKRPSGKKLAKRNKAKSKVEEVHNARHMDLLETLNKNMVDNQARKMELEERMVVSLERQTSCEEKKIERKDLEMEERIMGMNMEAIQDPETKAYYIHRRASILAKWSSQSSTNFFSEY >KZM83128 pep chromosome:ASM162521v1:9:28998694:28999227:-1 gene:DCAR_030697 transcript:KZM83128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSSLPLVLFVFLNLLFLGLVASTSDCMSPPKHSTHKHKHHAHKSKSPTSPSMPGSNPPSASPATPQRAPGSLGPRVSPPVTFPNFKKSGTCPRNAIRFGACANVLGGLLGVEVGNARKKPCCRLFGGLVEAESAVCLCTAIKANVLGFNLNIPVSFGLVLNVCDVQTPPGFQCA >KZM83311 pep chromosome:ASM162521v1:9:30704512:30704865:1 gene:DCAR_030880 transcript:KZM83311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNSVNFSTGNVLMMMVFLSVFSTRAVVGVVDYNSCLSQLIPCMSYLFDGTPNPGDECCAAAQALDNMAMASVSDKSEFCSCIKKFAVTPPTDFNRAYQLPILCKLKTPISRDIDC >KZM82322 pep chromosome:ASM162521v1:9:17239192:17240828:-1 gene:DCAR_029820 transcript:KZM82322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSPESPKPLEIILIPYVTPGHLVPLSEIGCLFASRNQQVTIITTTDTAPVVEKTIKQCKSSGHPISVHPIPFPYKDVGLPEGLAMEQAKDMDTATKYYHGLGLMRSAMQDFMRTRQPDCIIADKFFPWTSDFAETLDIPRIVFDPCFMFAKAVQEALLNPNSPHLLVKSDYEPFVITDLPRPITATRSRLPANNYAKLLALHREAEVKSFGVIINSMAEMEAEFSEYYAKKVGFKAFHVGPTCLIHENADAKIERSHDSVVSKDQVLTWLDSKNPSSVLYISFGSVCALPDAQLMEIACALESAGCDFIWVVRGKNDGDKNGDDNATWQFKKGKNDGDENNDDNATWRSKSKEGKNDGDQNRDDNATWPKESKNDGDENGDDNATWQPKEFNKNGKGLILKGWAPQLLILQHPSTGGFLTHCGSNSVMEAVLGIGVEVGVEDWRFFSEDRKIVTRDEIEKAVRVLMDGGDRVDEITRKSKELGDKAVGAMKRGGSSYENLSNLIGELKQLRVKRLEE >KZM82621 pep chromosome:ASM162521v1:9:21921401:21922822:-1 gene:DCAR_030190 transcript:KZM82621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYIVAMNGGDGPNSYTRNSKLQEESFNSTKPLLIGCIRDNLDIHKSCKVFRIADLGCSVGPNTFSCVNTIVQEVQLKFNAEFPGFTSLPKFQVFFSDTFSNDFNTLFNALPADRVYMAAGVPGSFHGPLFPKGSMNFMHSSCSCHWISEVPKEVLTKDSRAWNKGRITYVRSSCEVKKAFSAQFRSDFKAFIGARSEELAPGGLMVISIPCKTDESDFIMDLFDVLGDAFADMVHEGLVEEELLDSFNIPFCIPKPSEVIKVVSSDEHFNILKVEQSFVTAKLSSAEEIMFYTSQIRAVTEGLINKHFGPNILMDDLFDRYCHKLKEFSTRFQNIEKWGTLFLAVKRVIMKDELIQTSSEA >KZM81968 pep chromosome:ASM162521v1:9:9331814:9334275:1 gene:DCAR_029581 transcript:KZM81968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAQQGVGEVRRLHIVYFISRKGRIEHPHLIRVHHLSRGGVRLRDIKRWLSELRGKDMPESYAWSYKRRYKTGFVWQDLLDEDLITPIADNEYVLKGSEIPSVDSDLELGQFCDGKEGVKQKEQPKSEAKFNDSKSSSQAKSREQESPTSMLTKPLLEIEEQSSTFSSDSSMSLTDDSPKFEHKIHSTLRKEREDHIFPDEEAIQEVEREALGEKAPFIPNLANENKKIPTKNFGKSKKADPTPDQSSSSKSKFTRSRSYSNNASNIFRNLLTCGTVDTKDSSMMVIKRKSTSSKPSKLDLSTYKGNQNMAQICRGDVVGGSERISRNFWHETQENDHRKSCDGASHSRQKKEMNSQRPVPPAYRPRNGPNCSQCGKTFDPNQLHKHMSSCRGMKALAKAAAATTAANTSSYSHRRSTDSPRPSIFKPLLD >KZM81743 pep chromosome:ASM162521v1:9:5354978:5358211:1 gene:DCAR_029356 transcript:KZM81743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNYCETEGTEAIEVIFHRNVGKEHAFAAETFRRIRKLRFLQLTGVNLIGGFEGTLEKLRWLCWEYCPLKCFPSEFNPQQLVVLQLPCSSMIQMWKSDNVGTTSRVYDNLKTLNMSNSSYLITTPDFSALPSLETLNFEGCDSLVELDISIGSLGRLVFLKLTGCRKLRSLPDTICNLRALEVLNIGGCFSVEALPEQLGNIESLKELDAHNVALSKLPDSTGRLSKLVKLILTCHRKPMTIYHKDHQKHKTLKTLPDTICNLRELEVLSVGYSRGLAALPVELGNIESLKELDVHDVIVSKIPDSIGCLINLVKLRFTHNKNLETLPKTIGCLRSLKILDISYCRRLIALPVELGNMESLKELYAQSLAVSELPDSIGHLSKLVELRLSDNTKLKTLPDAICNLRSLEILDISYCRSLIALPVELGNMESLKELDAHGLAVSELPNSIGHLSKLVELRLSNNKELTTLPDTICHLRSLEILYIDSCSSLTALPADLGMIDSLKELHARCISVSKIPDSVGRLTKLVKLILRGNKNLKTLPHTMSNMRSLETLDIDDCSDLEALPAELGNIDSLKELNMKNVAVSIVPESIRYLPRAGSRYSMT >KZM83068 pep chromosome:ASM162521v1:9:28480997:28484069:1 gene:DCAR_030637 transcript:KZM83068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEKIGIARDVTELIGKTPLVYLNHVVDGCVGRVAAKLEMMEPCSSVKDRIGFSMITDAEAKGLITPGESVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSLERKIVLRAFGAELFLTDPAKGVKGAIQKAEEILAKTPNGYILQQFENPANPKIHYETTGPEIWKGSDGKVDAFVSGIGTGGTITGSGKFLKEQNPAIKLYGVEPVESAILSGGKPGPHKIQGIGAGFIPEVLDVDLIDEVVQVSSDEAIETAKLLAVKEGLLVGISSGAAAAAAIKIAKRPESAGKLIVVIFPSFGERYLSSVLFESVRHEAENMTFEI >KZM83113 pep chromosome:ASM162521v1:9:28843959:28845597:-1 gene:DCAR_030682 transcript:KZM83113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSKWITKFLTGKKDKEKSSNNEHISTSYENPSTPISILPVTPKEKRRWSFRRSSASQTPQKDLSSVETAAVVVPIQSSLEADNEQKKHAMALAMATTAAADAAVAAAQAAAAMMRLAAATPGRGSPVEEAAATKIQSVFRSYLARKALCALKGLVKLQALVRGHLVRKQATATLRCMQALVTVQARARAQRIRMVDDANPYNQRPSNYRKSTQQDERSRHSHYDLMEENIKIVEMDFGDARASLKSRGGYSNYTNTDHRFSPNHQFLKQDSQQVSPAPSAINDMSPRTYSGHFEDHSFGTTQSSPQYYSGNSKHDPSKVPFSYPREYAESMSYDYQFFPNYMANTQSSKAKVRSHSAPKQRPAETYERQLSRRRPSIEGRNVPRSVKMQRSSSHVSATAQNYQYPWSIKLDKSAVSLKDSECGSNSTMLTNAHYCRSLVGFDVQGHRY >KZM82641 pep chromosome:ASM162521v1:9:22083293:22086412:-1 gene:DCAR_030210 transcript:KZM82641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKKWLFTLFSLAFLSLLFFLSSILNFSSTSPHKPFSSTPLHTPTSPPSFAYYISGSHGDADRIFRLLLSIYHPRNMYLLHINAGGSDDERRKLGVLVKSVSVMRAFGNVEVVGKPDPNTYMGSSNIAAILRGGAILLKMDKGWDWFVTLSALDYPLITQDDLSHVFSSVRKDLNFIDHTSDLGWKEGARVLPVVVDPGIYLARRSQIFHATEKRKLPDAFKVFTGKSPPMLHFTNVVLAQEVYFHSVICNSPDFKNNTVNSDLRYMVWDDPPKMDPRFLKTSEYEGMVQSGAAFARQFQTDDAVLDMVDDRILNRGKHRAVPGAWCTGGRSWFSDPCSQWGDINVLKPSRHAKKFENTINNLLVDLKSQSSQCL >KZM83417 pep chromosome:ASM162521v1:9:31607911:31609807:1 gene:DCAR_030986 transcript:KZM83417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHQFESKADAGASKTYPQQAGTIRKGGYIVIKGRACKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTDSGNTKDDLKLPTDEALLAQIKDGFNEGKDLVVSVMSAMGEEQINALKDIGPK >KZM82700 pep chromosome:ASM162521v1:9:22747140:22750260:1 gene:DCAR_030269 transcript:KZM82700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRFITHQLFFSAVQSGDLKSLKEIIKNEGLDSDHAVDASVCSGLMELQSDKGETALYIAAEKNHEKMFIYLLQFCDLKIVKIKSKASGLDAFHVAAKHGHLGIVKELLGLWPELCKSCNASNTSPLYSAAEKNHLEVVNAILDADVSSIRIVRNNGKTALHNAGRYGRLGVVKALLDRDPEIVSIKDKKGQTALHMAVKGQDTSVIEDILMADHTILNERDKKGNTAVHIATRKCRPQIVSLLLSYTSINVNAINNNQETAMDLADKLQYGESALQIVEALTEAGAKYARHVGRLDEAMELKRTVSDIKHEVYSQLIQNEKTQRRVSGIAKELKKIHREAVQNTINSVTVVAVLFASIAFLAIFNLPGQYFADGPETGKAYIAGSTAFRIFCLLNATSLFISLAVVVVQITLIAWDTRAQRQIVSVVNKLMWAACISTCGAFLSIAFVVVGKGSSWMAITITVVGVPILLGTLVSLCYFVFRQHFGCFGNDSPRRIKRASGSKSFSWSAYSANISDYDDESDHDRVYAL >KZM83637 pep chromosome:ASM162521v1:9:33567549:33568403:-1 gene:DCAR_031206 transcript:KZM83637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKPPFMTEKSPSRLLILAVAILFLFSGALVLSSYILAVDSPFLLLRGGDNSDSTERTQLETILHYATSRVVPQQSLAEIKVSFDVLLSRAPCNFLVFGLGHDSQMWHSFNPRGTTLFLEEDPKWVQTILKTAPFLNARHVIYRTKLSEADKLLQSYRWQPECTQMMFLRGNDKCRLALTELPEEVYDKEWDLIMIDAPRGYFPEAPGRMAAIYSAAVMARNRKGKGETHVFLHDVDRRVEKAYAEEFLCRKYLVQSKGRLWHFEIPSPNTTASDSLPNTVFC >KZM83474 pep chromosome:ASM162521v1:9:32253633:32254811:-1 gene:DCAR_031043 transcript:KZM83474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLKVFILLLVLPLVLSKPVVNNVLSYGAIGDGLKDDTSSLLKAWEATCKSSSSSATMYFPPNRMFLTHPLLLKGPCKADVVRVEINGTITAPSEPKNWKCGSDNCDTWLHFSHIDGLEISGSGTISGRGQKWWDIKRGKDKPAALRITNSKNIGLSGLRFKDNPKMHIVLNGVQTAYLSYLKIEAPSHSPNTDGIHIGDSTDVHIQHCNIGTGDDCISIGGGSKKLRINDIICGPGHGISVGSLGKHGDYDEVDDVEIVGAVFTGTTNGARIKTWQGGKGYARNIRFEHILCQNCDNPIIIDQFYCDHEKCEDHDSAVKVSNVKFVNVMGTSKRETAVKIECSKTVPCEDIVLDTIHLRSSQEKKKATSYSSNARGEVRGEVVPKIVLN >KZM83253 pep chromosome:ASM162521v1:9:30163769:30164998:-1 gene:DCAR_030822 transcript:KZM83253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIISMSGSTTTPLTPLFKTHIPRRISAHPTNPAPPRRLSVSCSSDRVFNFAAGPATLPENVLKKAQSELYVYQNSGMSVMEMSHRGKEFTAIIQKAEADLRELLAVPENYSVLFLQGGATTQFAAIPLNICAPDDSADFIVTGSWGDKAYKEASKYCKPNLIWSGKSEKYTKIPAFGELKQNVGAKYLHICANETIHGVEFKDYPEVGNEGGVLVADMSSNFCSKKVDVSKFGIIYAGAQKNVGPSGVTIVIIRKDLIGKAQGSTPVMLDYKIHDENNSLYNTPPCYGIYMCGLVFEDLLAQGGLGEVEKKNVKKAGILYDAIDGSKGFYRCPVEKSVRSLMNVPFTLEKSELEAEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQARHG >KZM83573 pep chromosome:ASM162521v1:9:33072624:33072980:-1 gene:DCAR_031142 transcript:KZM83573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFTKINLAIFVLILLWFYNSHSTSASSFHSQSETRNSGLVPGCASGYRKLGLMICENAGDSTKLVSEAQR >KZM82212 pep chromosome:ASM162521v1:9:13762650:13764882:-1 gene:DCAR_029781 transcript:KZM82212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTMKKIQENLIEIEIEAENFLLARQQMVENDRVRNGNREALTALRKRARTTKTSVPSPFESIMKEIEGMGSKPLVKEVCATCGNHDSTEKTWMMFPGTDIFSRIPFHAAHTILEKDQGRLDYDANKLQSFVKEKMFYISEKGALADKISPGVLRSIVTLKDEVK >KZM82051 pep chromosome:ASM162521v1:9:10494148:10495014:1 gene:DCAR_029664 transcript:KZM82051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIINNNEREFEREQENVASPGINEGGSVDRWWGTSDSKDEDYVVASLESDSSFHSNSSNMDITDEIITNHKQQGVKTKAGAEKGKTKDKGATQVQIGVEKRNKGATSAFVKGKGKKKIGIITGNNIEIGANVEYFTDSTHYADSEEETIAKSSTDEDEIGGHFLMRKLRWRTLNLNWDNSFYLQKSSEYSHNLRTCPVRAHDKANGYEKVLKTRTQKIRKYNIEEGSVADPQ >KZM81679 pep chromosome:ASM162521v1:9:4397318:4401656:-1 gene:DCAR_029292 transcript:KZM81679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRCLCGFSDGELMRSDCKPCTRLMRQTAGIFTVGGGLGFWVLCRLQYDRVLRLLHEIRDDISEQNAVRNELWVTFPRQEQAISYQKEHSNVFIFSYQDHVNGQRRFVVSSYKEFWRRYKNMNPKYRHHYEVIQEGLPCHLYFDLEFNKIENSNNNGEEMVDILLSLVFDFMNEKYSIEGDKECVVELDSSTEEKFSRHIIICFPNTAFKNNRHAGAFVGEICSRIQNERGRDGRFEKLFILKDRNSSHVNKEVFIDRAVYSRNRCFRLPLSSKARKTSILVPTGRFKCRLMSEEDMFMASLICKVDVDVQKILICEMDIGCSNTLQFATQVHVNFHKDYGVPRNLLSNSCIIDSSRIFQTGRSLFPLLDMFVESVASLGNISGKIHSWHWFSEYGIMVYNMSKNRYCERIGRQHKSNHVIYVVDLRRASYYQKCHDPDCRGYRSPLRPVPEEIVPDTTVFFEGVKRHKIYENNVDNKTIDSVDSCLKDGWWLEAVKFAEKVEKKTLDFDVVS >KZM82892 pep chromosome:ASM162521v1:9:25666029:25670094:1 gene:DCAR_030461 transcript:KZM82892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGEGSGSGLVDTDDYESLISTTDAELLKQAWRNEKAAPEILRFEASLVQRSREQIQLMEETIEEYSKNGVDALTVSLYQMDLDRTMFLLRSYLRTRLQKIEKYAFHIQKTSELWNLLSKPEQTFAIRCTDDMGQLFEQSVLSKLPDRYKSHLKQSVISEEDDMVPEAHLDSFVICRCKRFLGAFQLDETGVEKPVDLEADDLYALRYKSIKPLVESGQVDLV >KZM83288 pep chromosome:ASM162521v1:9:30431426:30434137:-1 gene:DCAR_030857 transcript:KZM83288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRQQSRSGGGLPTSFSLPLLDASGSFNLQERVSNTDQVRESPTESASSRETWPNANADALLAHKLEKERENGFTEHSVTLNVSNLDRMSLRDIVRERVDSVAEKMQRLPDEFLEKLKNEVRSLLDGTGGSQHREEFLFLQKLILSRSDLTEKTLRMAHRVHLEILVAIRTAIQAFLHPSVSLSQASLIEVFLYKRCRNISCGSLLPAEDCTCDACSKRNGFCNLCMCLICNKFDFEVNTCRWIGCDLCNHWTHTDCAIRNGHIGMGPSVKSGAGLPEMIFRCRACNRTSELFGWVKDVFMSCAQSWDREALIRELDFVGRIFRGSEDSKGRKLFWKCEELIDKLKGGLTEPVVTRAVMMFFQEFEVDPLKSSESEESGNLIAPQEAFNRIADVVQEAVKKMEMVEEEKLRMVKKARLAVEACDQELKDKAREVVSLKMDHQRKKQQIDDLESIVRLKQAEADMFDLKASEARQESERIQRIVLAKSEKSEEDYATRYLKQRLNEAEAEKQYLFEKIKLQESSKAAQTQSSAGGSDTSQMLMYSKIQDLLKNVYNVPSKEGQSSSHHSLGALQ >KZM81441 pep chromosome:ASM162521v1:9:1248491:1250704:1 gene:DCAR_029054 transcript:KZM81441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTRSPSTVVSPDTDKPHILDLNKADGEEYHKFIKSIIDEFKTQEESQKLRGKDKPRESETLKEINDHIKLCFDLETLVVEVIDDKPGEDSPAQTPFEDDILPRRKFFQVMLTNNHRDLQILIQRSSMYLAGYKGKYRDDKAKIDDNVECWIILSGGCCDAAVKFVEEFLTPNKDFNQSLIPWETEIREAVKILSSLGYEIIIETKTGEKNKDMLKRKEKEKEKLKVEGKTEEELIEDLPEKIKFIKLLEKAIPQEDKSSGEMKKFLREDLEEECGQLLQKNGIFDELKLKTDDLDRGIINLYSEDLYEFYEELKKIATTLDKLMRYFRTGASYSVENEKIRDFEVNRQALIRAFKHLTQNPFKDDEEDFAKHITHLVVMICEATRFIPIAEHIEKCYTSTSNTKMSEKDVALINMWSDLSGIITRGWTYKGERDSGMVAFVNSVAVIKATNSLEE >KZM81901 pep chromosome:ASM162521v1:9:8197526:8198395:1 gene:DCAR_029514 transcript:KZM81901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSSEMEQNLRCPRCDSEETKFAYFNNNKTSQPRYRCKSCKKLWTHGGKVRDISSNGEERRVNRSRDSSPAIVSSAPPPPPLPSSMTSAGGIINETGRLNLTRPPPNHNQPPLKFVRMDIQNLSIKPLEPPHDVGQNNIVQQNQQVLIENYSQGFHAQQTPSNPGNQSFLNTTHSSNYIEPNINTFGNNPIGGFTGGPSGSWGWSSAPVHLYIEPTNAHTFGENNNGMGGPNSNPSGMSSSAWSSSPPSYYIEPNNAWNNNNPMDGSARDPSGSSSGAWSSRAWSSN >KZM82047 pep chromosome:ASM162521v1:9:10476629:10479995:-1 gene:DCAR_029660 transcript:KZM82047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMCVEEDGDDDTVTNTVMKKGFGDQDDKNRARENKPRTTKSGWDLNELSPPEKLKLWMEETSNKHIYMMYKIYFPFVLGMSKTESERYMEDEGEIKEDLVDPIDLNLSPSMTEKKDSGAQVVDMMMEIYFPSMFLSTKPLENPDQDSDETQNPDQDSEKIENLVEDSENARNPDQVLDEFAGFKHQEITGPTVERDVSALANETREVLETMMKTIYSLSKVLAVLGLFNLGLGAWISYITRESAIAEVSIQSVLAFGLPFSLAFMLRQSLKPMNFFRKMEDQGRLQILTLTLQIAKNLNVFFVRVRGVAYMCVAGAAVGLVFAVLYR >KZM82403 pep chromosome:ASM162521v1:9:18406363:18406701:1 gene:DCAR_029972 transcript:KZM82403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVAGLQPLTSLYPNVVAKEDFLTPNKLAPFKNYSSQLAALDFKSCATARRCIRNDKFRLSTIIARFRTYFDGGHAPTLYI >KZM82825 pep chromosome:ASM162521v1:9:24978673:24982700:1 gene:DCAR_030394 transcript:KZM82825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKCSNLKLLPEQLGDLKCLKMLDVSLTAIEQLPDSIAHLKKLVYFKLEELKRLPEQLGKMQCLEELYASDTAIEELPDSIGLLPRITALNFGDCKKLTYVPNSICNLKSLEYLNLFIGEDIIKFELIEAVNDMKLEYLSLSCNIRVWLPIILSFSSPRILSLRDECGSPSPTKPFSFFQLFNLQVLTLTNSTSHGSSFPELPLNLKELIVDKHASLEQVPDLSYLKHLNEMSIIRCCSLQSLHKLPPHVEFLTVEDCTSLQDFPDVSMLSDLKRLNVLRNGSNLKVSLEKNHLQLGRGYNKAFSAALQNREIAEWFDYKNREGCTLSFHVPPNLGDHFVGVAFWVVYKCSYEGWSEVEAVITNITEGITIRYTISYTQPPYDRISDAQSTVNWITAEDIPIKSGDKIMISFEVMGLCPGTEVNMLPLEDCIPDEEVQIICYNGIAAFNLSTNFHLIFYNLQLRIVQAYKTFQICRS >KZM83518 pep chromosome:ASM162521v1:9:32614694:32614909:1 gene:DCAR_031087 transcript:KZM83518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSSSLSCKPSGSVRKKVEKLQKLIPGGKGSSSNDHLFEMTADYILQLKLQLRVLQALSNAAATHICDQM >KZM82422 pep chromosome:ASM162521v1:9:18682722:18685378:1 gene:DCAR_029991 transcript:KZM82422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFSFARFAMTESVYLNKSLLRDFLSSISVVNAGQVLGITCQIQGRTLSITENTLNTALQLPTEDFEAVPDRAERTNFFFAIHCQRENGDLPGKMYVKHLPREWNFFFNSISHVFAPKTGGFHGITIFNQEIGIAIAQNTRINLGHLIMGAFQDSLRKNRNILLYPRFFQIVLNQMLTPAERAVYPNIDNVICSFMTTRVISMLENHQNYTNNEDVVLPEAMQEFLNNQNLPPPHIQNVADPVVQEEEAPETQAEEVTESSHQANIPETQTSQMEEEVIVEDAQTSSDDNAQSEGEDSLQDNSTDSEDEVNTPVQTTAADVMVDVDELFSNTYNPLLQSGIPSDSDNLSFDAPDWVQNLLDSNQLSPPLTSANEFEIPQVHNQGTTSQTIEAETTLPLSQPSLISEREGESALSAPHKESVSETAALSPSQERRIEPETTADMSISSPPQPNTIPMHSEVAHTVEELTVANTLSSMSGIDTVVSDPFQGQVPSQASGGNLDEMPLSTSLSTPLGGTFPDPSKDSSPLEGERQSVSEPFVSGSVPVIEDLSQSLSPSKAVVGNQGASPIQGSHPSSPVSTHPEIPTQDPSKDSLLSSGRQLVSYDSDSSDEETEDEGSRTFIAPSVTSLEEAKKISSAGTSKDAGNPLSERETLTEPIIQKPSAPLSALVLSEIRETPAERTSENPSTQPSIESAIPTVSVTEFEALKFKVQHLEAENLVLREELVEIKSTMKQRLAALEAKLLASQPSREDYSTEGERAAEKAKGKRVISGVSEELIDSALRHQFSYTHDEYIPEFVDDRVIRMVGAENEDLEEGEIPDAEVFADELAYHNDIFPAEEFEIANPQDIANVARDYAEQRRAREKWS >KZM82513 pep chromosome:ASM162521v1:9:20558955:20560121:1 gene:DCAR_030082 transcript:KZM82513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLSWRRMPRRVTLRWLVRRNRTHTTTPDYSSLPDEVIEQILLKLKCIKSIVTCTSVCKSCNLWNIITTSGPSYLTTEFFDMVHVNGTLYWLTSANRANWSDERKDWKLTSLTLKNGMFRETLIWPVERSNSVSFASTLGACSDSIFVLRVGCDRTSLHVYDESLNELHRNEFEKSGKEVCRVLGVRSNGIEVLFQKFGTDPPILVFDVGELKLKEFCPSAKTICRAIPFVETLVLLDDGDSRSIPKAG >KZM83531 pep chromosome:ASM162521v1:9:32723449:32728013:-1 gene:DCAR_031100 transcript:KZM83531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILVWFSGYCRLRDLKQLGLTYLVYPGAVHTRFEHSIGVYWLAGETINRINIDQGFELEIDHFDIQTVKLAGLLHDVGHGPFSHLFEKDFLPQVLHSNKWSHEEMSLKMIDYIVDEHNIEIESDVVRRVKVNFLKPLDTMRVIGDEICYRAKEYLTIHKLFSSRADLHRTVYSHAKVKAIDFMLVDALVKANNHLDIKSCIDDPAEYWKLDDSIVKFIETSKSEELKESRDLIRRIRRRDLYLFCNEFTVPKDQLEYFKDITPQDIICSQDYESDEKFPIPDEKISLLLPECYQDKIVRVYSKKPELVEVVSDAFENFQVKNYGMKTQVHGTPQKKRQRK >KZM82577 pep chromosome:ASM162521v1:9:21292962:21296147:-1 gene:DCAR_030146 transcript:KZM82577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKAENHAKKKQSTKNSSSSSRKAKKDVSVNEKTHLLPKKHGHGDFDGASFTGGVMNLSTTIIGAGVMALPATMKVLGLGLGIVSILFVAFLTEASISMLLKFGRHSSSYGSIMHDAFGNVGKKMLEGFVVINNVGVLIVYMIIIGDVLSGTSASGIHHAGVLEGWFGEQWWTGRFCILVVSTICVLAPLTSFKRIDSLSFTSGLAVALAIVFLVITAGITVYKCFDGTIAMPRLLPDITDVNSIWKLFTVVPVLVTAYICHFNVHSIESELEDPSLIRPVVQTSLLLCSTVYIMTSFFGFLLFGDATLDDVLANFDKNLGVPFSYQLNDVVRVSYALHLMLVFPIVFHPLRLNLDALLFPTSTPLLSDNVRFSWITIGLNSVVFLAANFIPSIWDAFQFTGATTAVCIGFIFPASIALRDTHGQATTKDKLLSAFMIGLAVFASSVAIYSDAYTLFKKTPSPRA >KZM81782 pep chromosome:ASM162521v1:9:5947239:5962011:-1 gene:DCAR_029395 transcript:KZM81782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASSGLAYPERFFAAAAYAGFDGSPNPSVTSKFSNDVALLLYGLYQQATVGPCKIPKPRSWNPVEQNKWTSWNGLDNMASTEAMRLFVKILEEEDPGWYSRASNFVPEPVQDIEMNSNTKIDSVVENGNALPETKIIPAENGNVLEAQDKDVVMVGLGSVGLYDQWVAPPVSGPRPKARYEHGAAVIDDRMYIYGGNHNGRYLNDLQVLDLKNWTWSRAEVKAAPVALEPSSAVASPCAGHSLIPWGKDKLLSIAGHTKDPSESMQVKVFDLQTYSWSALKTYGKPPVSRGGQSATLVGTSVVIFGGQDAKRSLLNDLHILDLETLTWDEIDTLGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQTMEWSRPTQQGPVPSPRAGHAGATVGESWFIAGGGDNKSGASETVVLNMSTLAWSVVTTVEGRVPLASEGLSLVLSSYSGEDILVSFGGYNGRYSNEVNVLKPSHKSSLQSKLDTPVPDSVSAVNNATNATRDVESDNEAGQEGKIREIVMDNVDSEPKVKNIKQTSEQMLAALKAEKADLESSLSKEKLQTIQLKHQVEEAESRNTDLYKELQSVRGQLASEQSRCFKLEVDVAELRQKLQNMETLQKELELLQRQKAASEQAALSAKQRQGSGGVWGWLAGSPGPKADDAKLESHDPLRLIKHSNMYIKIRATSFFSNAMDSIPLEITVKSACILKTVNFFNRRRIYIVVSLIDSNSISKQKTNVDFHNGSKPCWWFKMKFHVEESKMHANSCMLVFQVRCSKALGDKDIGYLYIPVRELFEGVRGGENARDVAYTVTTSSGKPKGILYLKYQFGENFMGRSVENEIIRVPLPPSAPPLEGFRAGNPSLAPSAPPYEGELEAM >KZM82789 pep chromosome:ASM162521v1:9:24169956:24173241:-1 gene:DCAR_030358 transcript:KZM82789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESTDDTYDDKFELKPGPKDPSVLHLQAEHRSSIIWNVGGGDVLRSRVRNPSSNRFPSLHHRMVPLLRDVGFDGVARLTGIYIDWSLVTALVERWRPETHTFHLPVGECTITLQDVSVILGLRVDGQAVTGSTDFKEGWSKLLENMFGEAPEEKYLDGGRLKMSWLDKILPELSDNANEDELIRYTQSYMLRLIGGILFTDHQGSEVHCMFLPLIQSFERSRTLAWGAGVLAYLYRELCKACKVGVVEIAGCVLLLQLWAWTRLPTLAPVPRGPYSDNKEIWGDLPGPFGLSTSISKDINQNRWCVPKSYADASCHNVSVNRFSLDVLGPDHFIWLPYADVLDDLSEICQEGNAVWCYKGPIICFYIVEPHEPDRCVRQFGMVQDIPSSATVYSRCLHKMTLKGKTETNWRDKHKEHILCWDNRLQFVNVINNVGAGVTTRYTEWYANVTRPYHTRVAAAQSYAVKILNRISAITKVNGDYNAIDVLAARARQILESQCSRGLRQDFPIDDSLVEKDQDLLEVKKRKVGHKGGRGGVNCRKRRKKRNDDETSVNLGDEGENHTSDAASNFVLQSSTPVGKILANIENEVDYNGVDPSPTSGYQNFNLGIDPTPPSLAPFEQQHTPFELQQAPVEQQQPPDPPSVEQQQPPVELEQPPEDKQPPVDKEVQLVQQQQKQPEEEPGEQQQPPVEREQPQVDEELQLVQQQQQQPEEDPEESIQEYMNILCCGDLERK >KZM83564 pep chromosome:ASM162521v1:9:33016540:33017226:1 gene:DCAR_031133 transcript:KZM83564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFITLSVIDVTIDRSIRLNHPIDELSHVVGSCNGLLCLADKANELYLWNPVTRQIKDMNGYKYTVVKNSDDDISVAFGFGFDPASSDYKAVRIVQKFDDNELVNRVEVYSLNEDCWKEINVELEIGLVDEPLCREVTGVNGSIYWFARKRPHDFGLVVLSFHMHSLNFCTIQLPDDLSLSPFYRWYDHEIGILQFKEYVALCCQFRGGCVIYTLLDGNCWCKNIYDC >KZM81747 pep chromosome:ASM162521v1:9:5377677:5381776:1 gene:DCAR_029360 transcript:KZM81747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASSLAFGTLAAIICVLSCLHTASADVVVLTQDNFHDQVGADRAALVEFYAPWCGHCKKLAPEYEKLAASFKKAKSVLIGKVDCDEHKGVCGKYGVSGYPTIKWFPKGSLEPKKYEGPRTAEALAEFVNSEGGTNVKITSVPSSVVILSSDNFDDVVMDTTKNVLVEFYAPWCGHCKNLAPIYEKVAAAFHMEDDVVIANLDADKYKNIGEKYGVSGFPTLKFFPKGNKAGEDYHGGRDLNDFVSFINEKCATSRDANGQLTSEAGIVSSLDSIVKEFVSADKDEKKAVYARLEEEVGKLDGSSARYGQIYLKSAKSCMDKGSDYPKNEIERLERLLSKVFLKSIVLS >KZM83085 pep chromosome:ASM162521v1:9:28608666:28609523:1 gene:DCAR_030654 transcript:KZM83085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRYIYSLSWGFQQISTLAGNQTPSVFVVEVLFTMFITAMGLLLFSFLIGNIQRFLQARGSRSFENSLRGSDIEQWMSHRRLPDDLKAKIRESERYNWLATRGLNELMLLENLPEDLQRDIRRHLFKFDKSLPIVALMDESILDAIRERMKHKTYIEGSRVLVRGGLMDKMVYIVQGKLESSSEDENVVPLSEGDVCGAELITLCLEHYVLNRDGDKFRIPAGKLVSKRTVRCLTNVEAFTLRAADLENVFSLYSGLLIQNSLVQGAITKESLYPKSLFRSRSY >KZM83433 pep chromosome:ASM162521v1:9:31789756:31790658:-1 gene:DCAR_031002 transcript:KZM83433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKASQYEAVESSKLSPPPRSNRGISILDLIFRGIALCATLAAAVAMATSEQQLPFFTRFLKFDAQYDDFPTFSFFVLVNALACGYFAFSIPLSILNIARKGAQRSRTILIILDSVMVILILLIIIQGTALARRH >KZM83206 pep chromosome:ASM162521v1:9:29599411:29601366:1 gene:DCAR_030775 transcript:KZM83206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQMSKDAQANTESMMDSAKGSANAAQEKASNAASSAQEKASNAASSAQDKASSAADSAQQKASNTMNAAGEQKDNAMASAKESKDQSSGWIQEKAGQVGSAAQGAIDGVKNSLGVGEKK >KZM82954 pep chromosome:ASM162521v1:9:26286652:26289241:1 gene:DCAR_030523 transcript:KZM82954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDLLTGDELLSDSFLYKEIENGCLWEVEGKWVVQGAVDVDIGANPSAEGGGDDEGVDDQAQKVVDIVDTFRLQEQPPFDKKQFVAFIKKYIKTLTPKLEADNQEEFKKGIEGATKYLLGKIKDLQFFVGESMHDDGSLVFAYYKDGATDPTFLYFAHGLKEIKC >KZM81469 pep chromosome:ASM162521v1:9:1585289:1586710:1 gene:DCAR_029082 transcript:KZM81469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVYQDSKKDLVHILLVSFIGQGHVNPLLRLGNLLASSGFLVTFSSCSEVGNSMRKANNNIDELVPVGDGMIRFEFFDDGLPESDPRRHDLDFYMPHLELHGKEAVTGIVKKHEKEGRPVSCIINNPFIPWVSDIAEALSIRNAVLWVQSCACFSAYYHYHNKLSQFPSESEPEIDVQLPSMPLLKHDEIPSFLHPSTPYPALRRTILAQFKNLSKPFCVLVETFQELESEVIDYMSKLCPIKAIGPLFKNPKSQLSNIQGDCLKPVDDCIDFLNSKSPSSVVYISFGSVISMNQKQTNELAQGLLNSGVSFLWVFRPPLPGFDVAVLPEKFLEAAGDRGKVVQWCSQKQVLANPAVACFLTHCGWNSTLEALTTGVPVITYPAWGDQVTNAKFLVDVLKVGVRLSRGNQSEKNVISRDDIEKSLREATIGENAAEIKRNALKWKEAAEEAVAEGGSSDRNLKEFVDKLMMN >KZM82064 pep chromosome:ASM162521v1:9:10620448:10621995:1 gene:DCAR_029677 transcript:KZM82064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDEAETSTSHDKKTVLRKFRSICVFCGSRAGYNSSFTHAALQLGKLMVERKINLVYGGGSIGLMGMIAETVYKGGCHVLGVIPKALVADEISGKTIGDVKIVGDMHQRKSEMSKHADAFIALPGGYGTMEELLEVITWSQLGIHEKPVGLLNVDGYYDSLLALFDKGVEDGFIDDSARNIVVSANTPQDLISKMENYVAVHEIVASRQSWEVDQSLEATTSGELV >KZM82633 pep chromosome:ASM162521v1:9:21983565:21985962:-1 gene:DCAR_030202 transcript:KZM82633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLEYTALDRINDFLSRVNLGERTIRGCLEAYSCKHTGSDKKLSFSLENEILDYLGKSADSDSSSPVEYLTCRSSRKMLIYLVLTLYHMYPDYDFSAVKAHQFFTEETWDNFKQVFEVYMFDAAKDWAEENEGSSLLSTLHKALDEVVKLEECEIYSFNPDSEADPFLESGAIWAFTFFFYNRKLKRVVSFRFSCISNLVAEGSLLDDVCDFEEDGEIFDDMDM >KZM81671 pep chromosome:ASM162521v1:9:4350109:4350501:1 gene:DCAR_029284 transcript:KZM81671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDAELASELASKIEKMNTQLAQREEAIKRSSEILFQEMCKHLEMQESEVMKKWKIMSEDDKLGLVKEFVFEWGGDFQPLSAKSVIEMVEVYLSEDGDYEDSDVFDSDDDDSSPMFGGLLNFFGLSQNK >KZM82676 pep chromosome:ASM162521v1:9:22433815:22434891:1 gene:DCAR_030245 transcript:KZM82676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDKPSLPPPTLAQYPELILGAIEALDDKNGASTEAISKHIESMYGELPAAHSTLLSHHLNKMKQTGELVMYKNNYLKPDPTRTSRRGRGRPPKAKVSPAGDVPVSPPRSRGRPKKAVESEAKKSGTRPRGRPRKNVEPKSEAKLSDPRPRGRPPKIVDPAGASSEIRPRGRPVKAKSIDQGALVPVKRRGRPAKIDSSATKTLSRPRGRPPKNSLAGPSRGRGRPKNAKAKAPVAPKISTGPRGGRPLNDNAEDFEGPISLPVTNSPSLSGRKRGRPKKEKDASATQPGAKRGRGRPPKETSV >KZM82168 pep chromosome:ASM162521v1:9:12734949:12747305:1 gene:DCAR_029737 transcript:KZM82168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRKFVVHHRDSNFDVDYDTNDCLEVLKFQLFSLTSIPPDEQKILSGDGDKLVVDDSDLDSVSDKLRLISISDREEEDKNPQVFDFEKSDEEFARMLQEQEDRLMLQQVVVSEEKGVIEQKIRPYIDQVLMYEDPHRQDVARKSVPVETLEEKAAVALAKVGNFTPSKSDQDYAFLLQLLFWFKTSFRWVNAPDCDVCGKETLSHGMGVPDPTETHFGASRVELYRCMTCSRITRFPRYNDSLKLLETRKGRCGEWANCFTLYCRAFGYDSRLIMDFTDHVWTECFLPSLGRWMHLDPCEGIYDNPLLYEKGWKKNLNYIIAIARDGAYDVTKRYTRKWHEVLHRRNLTSEPALSSFLSDMRRDCRKNFTSQNCSELEERDSKEADALEKDLYMKDDPLTSLPGRISGDKEWRLLRSEIGPNGISSISTSSCPVRVCIDEHVTKIYNGFYPLIVHMVDQSYSKPRTVEILNIIKRILANIKKSPFRKRRTSIDLASNDAKHFVSQTLPYLGELFDALSLKTETDAVGKVDVCLAAEPVKTALALPVVFHALDDVIQNVNRNDKFNGESLAWPLLKLNRLCSGSVLASSEELPFGIVTSAFDGNRMTKWEEPNGAKGCWIIYKVPENQFHELVAYELMSANDAPERDPMNWIIEGSKDGGSTWHTLDEQINQIFVNRFQRKTYKITAQPALSNTYRLRFLSVRDVQATSRLQIGSIDFYVKGNTSYSST >KZM81613 pep chromosome:ASM162521v1:9:3472466:3474703:1 gene:DCAR_029226 transcript:KZM81613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVMNIVNSLKPALFMIMVQTTFAVVNVFYKLAADDGMNLSVLIAYRFIFAAAFMLPLAFFVERNKRTKLTGTIISQAFLCGLLGGSLAQNMYLQGLALTSATFATATMNLIPAMTFVLAISFRLEKLDWGKAAGKAKVIGTFLGVTGAMLLTFYKGPNITIWKPDIDLLQNSRRHQGGDVAAPHLVQIFGAFLAISGSLCNAAWLILQAKMQEQYPCPYSMTALMNIMAAVQATVYALVMERDWTQWHLGWNIRLLTTIYSGIIASGLIFTVLAWCVKKRGPIFVSAFSPFMLLVVVIAGFLVLDENLRLGSLLGAVTIVCGLYVVLWGKEKQMKEKIIP >KZM82058 pep chromosome:ASM162521v1:9:10565005:10565544:-1 gene:DCAR_029671 transcript:KZM82058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHEAPILTQGSQSTQGEGTLEWPEVVGTPPQTVWPSWPVVEVQSPSEVGATHVNVVIKGKHTLKGGKGNNNKRLPPTNYNGPILHGCSSKTPVQQFKTNVGGTEVVSAAFTKKGKYMVTHCALSQALAIAKRKLGEANSDAAVWSDDTMHKDGHGDEVGGGGCHVDYNLNVEAVVAY >KZM81461 pep chromosome:ASM162521v1:9:1461204:1464197:-1 gene:DCAR_029074 transcript:KZM81461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKTWLWRKKPSEKTILATDKTDQNEEEKEVHLESLVKNLNETLTSVLRDSNTKDDLVAKHEKRAQDAIAGQKKAEMEAVFLKQELDEALLQNVSANETITYLTSALKESIQKIASAREDHEKSLHDAVMSTSREFEKVQKKLEDRFTETTKRLENLMVENSHLSEALLLKENMIQSLNRLKAQTETEFETLMARLDKMEKENGFLKYEFRILEKELEIRNEELEFNRRSADASHKQHLESMKKVSKLEAECQRLRVLMRKRLPGPAAFAEMRNEVEIHGRKHTETTRRNMNNATGLTIERSSETYGKNSSFLIGRLSIVEEENKILKELLIKKDDEIHSSRTSFSQTASKLSHVEAQLRDLLKDPKHVKKSMYGHSSNRSLSSSFDLAYDDELVHSRSLALISQEEAKSTPDCKMTGVSDMSLMDDFVEMEKLAIVAVHSPSGSSQVSSDVSKTFSNRLMSDSREHDLEISGKELVPVEPLVEISDACWPQDVLKVILDQKRISNKSLDELLEEIKVALSNKIRTSSKDFDQAALLPVSGYITWKTPTSSPLKDCLKKMSDIGILVDERESSRNEPKIVKAQDEDLEALLKVANEKNDKLMLQLAETEERIGSLQTELGTLKESKSIIAEQLEHQKLLNEDLHMQLTVSKLELDKLYEKLSYLEVELEERSRCCEEFEATCLELGLQLERKRKDLNSEPLICSVTNKDRTKKDLDPDKKLLQTDSEINAASAKLAECQETIFNLGKQLKALSSRKESSEFEKVVSTPNTTESKSKLNQRSSLRDMLDEHSGEPTTLESPKTKEIISTSEKKISSTIHYKNQDVLISPKVHFLGGKHEALTPVRTMAIIPSKKQEGSSLLKKLFLRRKRGTKVKRSLSFRT >KZM81457 pep chromosome:ASM162521v1:9:1419089:1419340:-1 gene:DCAR_029070 transcript:KZM81457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTPSPSTMVSPHKDKPHILDLNKDDGDEYSKFIKSIIDEFESLKESGKLCGTQKSGTLQISIPKSKIDDNAECKDDKGGDR >KZM82734 pep chromosome:ASM162521v1:9:23158631:23161962:1 gene:DCAR_030303 transcript:KZM82734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDRENSSDSKKNQFVFGESNGGPDEKIGFFEKGINFLGKSDKDCDGSQLKSLKIGSSGSQELTLSYLCDNSKLGFLDKEILLSSNEKVSGKGKEIVSEDQNQGNGRWVERDFLQLSNENRGSSLKREVEDDEVDREIREKKPKIETLNLSLGLSDASDNMQNVEPPIRAMPSRSVQSLAPSNNNTQTTYSNDFSANSASYSYSHPFSHNASCSLTRNSTEYYENSVGSHRRDCDYIWNAGEGTNGSVHSRFRPVGDGVALANHGTGILQPTQGNNPVNKDSSNSLRRTTSSDTQSFFPSELPARPQMDTHSGDSRGQGSDQIRGVENSDGGRGRKLSRPERILREIVSESVPVMARIIQEIPDELVESTKEYLKNVITTADKKDELVRLQNRLARRSDFSNENLSKSNKNQLEMLVAIKTGLESFLSSRLRLSVTELVEIFMLERCRNVNCKRVLPVEDCDCKICSTKKGFCSECMCPVCFKFDCASNTCSWVGCDVCSHWCHAACGIQSNLIRPGPSLRGRPGTTEMQFHCLGCDHNSEMFGFIKDVFNSCATQWGLETLVKELECVGKIFKDSEDFKGKELLVKVEEMLLKLKNKMISPSDACISIIQFFTYAEGMSDIPSLSIQAKDLASIQTTVQKDLAPLPRSDSLLSKSPFYNGGYSIAKKDLLPHDLHQNDISTPLMSDKVIEDEWSVKLPKKDGFESLESVVRVKDAEARMFQSKADEARKEAEAYKKMIRIKNEKLDEEYAENLAALCLQETEERLRKRLENLKALEDSHCDYYKMKLRMQTDIAGLLERMESTKQQWV >KZM82979 pep chromosome:ASM162521v1:9:26853264:26855072:-1 gene:DCAR_030548 transcript:KZM82979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLRVVGGDGGENTSWAEVPAEYWSLVAGDGAIPVIHQPSTPLKIDDERNKQEGETTAAAHRIVKWPIANNKAHEQQTSAGPSNPSAASKTNPPASSNASANVPSKTTTSHGGIIRPLKPPAAISRQNPLGVKPIPGQKFTSLKNLEAAKTKMQKNMGKKN >KZM81886 pep chromosome:ASM162521v1:9:7707269:7707568:1 gene:DCAR_029499 transcript:KZM81886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCIDNLQHHHNNKQPPTALSLPSTSFSGIVSSFTVAPYYTCITYYICSFATILLQRLPETTSSDTYCAPPPLATASPPPSGGTTKPRSDAKCRDKNV >KZM82079 pep chromosome:ASM162521v1:9:10967538:10975224:1 gene:DCAR_029692 transcript:KZM82079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPARSSVIIVGAGISGLSAAKVLVENGVEDIIILEASERVGGRIRKQEFGGVSVELGAGWVAGVGGTKINPVWDLAKQANLRTCFSDYSNARYNIYDPSGKIFPSGIAADSYQKAVESAMQKLKNEEEKNDLDGTKNTDQPCSPETPIELAIDFILHDFEMAEVEPISTYVDFGEKEFLVADERGYEHLLYKMAEKFLFTSDGKISDSRLKLNKVVRELQHSRNGVLVTTEDDCTYQANYLILSASIGVLQSDLISFKPPLPRWKLEAINELDVIVYTKIFLKFPYKFWPAGAEKEFFMYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVEAQSDQETLKEAMDVLRKMFGPNIPDASDILVPRWWNNRFQRGSYSNYPIYVNQQLVDNIKAPVGRIFFTGEHTSEKFNGYVHGGYLSGIDTGNALLDEIKESQRKNLIQPFLVDPLLALTESLSLSTQADAVSPLHKCDIPTQHFLTSTKLGFSEPIL >KZM81526 pep chromosome:ASM162521v1:9:2154307:2156146:-1 gene:DCAR_029139 transcript:KZM81526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGYKTADAYGTGKTAKLVDRSSDLRLVAVWSKNWIIGRWISLVFLVSFLLVVDVLCFHLLRKYNPEDTVYGLFIIGLGSLVGFGFLGSQAQELIQDAKTVNDVPSWCYGLASSRG >KZM82324 pep chromosome:ASM162521v1:9:17266494:17266862:1 gene:DCAR_029822 transcript:KZM82324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKTASLTLLLALNLVFFAVVSATSATPVPASTYYPVNKCDPLKLGVCANVLNLVDVVVGSPPTLPCCSLIKGLVDLEVALCLCTAIKANILGIKLDVPIALSLVLNNCGKKVPSGFECY >KZM82566 pep chromosome:ASM162521v1:9:21189724:21190689:-1 gene:DCAR_030135 transcript:KZM82566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENDQNFSDSSCDLPCRRHPNASSVGICAYCLKDRLLKLVCPDCGEQRLSSCSCSEVSSYRNSCSAVEVGSVGRISFLIESERFEDFPEIRSHVKVLKRSSSCCVEAKKSSGFWKIGHFFRKNRGKQSKNGEVYEMKPGISMADCEGISRSKSLCSFRRSSFNDPDQESAEFALSSAKVSDVNEAAEPRKSSFLRRILFEAKNMRNHKEMRCGGESLGAKQCGLKDDLSEVDDDGSEFIDLNLGLSRSSKTEDSVPVSNHFDSVQDRLFGHGGSCRITVNDKEIKKGSKGKKVWRWILSHQHQHGWRSSSKKDATQILNV >KZM81339 pep chromosome:ASM162521v1:9:160624:163029:1 gene:DCAR_028952 transcript:KZM81339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQDSSLYIKKESGEAVHGLSETVVGVLGGGQLGRMLCQAASQMAIKVVILDPLENCPANTLADYHMVGSYNDSATVEEFSKRCGVVTVEIEHVDAATLEKLEQQGVNCQPKASTIRIIQDKYLQKVHFSKHDIPLPEFMQLNDIESARRAGDQFGYPLMLKSRKLAYDGRGNAVAKSEKELDSALNALGGFSHGLYAEKWAPFVKCSLIMLNCQFA >KZM83252 pep chromosome:ASM162521v1:9:30156942:30157151:1 gene:DCAR_030821 transcript:KZM83252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGWPNDIDNIATVLNNSGPAPPEHIRKDVLRRCRRYNYVWVGKIKGTRLESHEIEYIMGYPHDHTSI >KZM82920 pep chromosome:ASM162521v1:9:25876709:25882462:-1 gene:DCAR_030489 transcript:KZM82920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSVAAKSAGDGVSDEIPEGLRVMIVDDDPTCLMILQKMLRSCGYQDTSASLANFGSLHLLERAKDAFGRCASLRYAFGAVYPCALRVTQCHRADIALSLLREKKSGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDNNKVVMKGVTHGACDYLIKPIRIESLKTLWQHVLRKKKHENKDFQQSRSVEDGDRKQKAPEEADYSFSANEGSWMIKKRKDDEDESEDKDDTTSLKRARVVWSKYRIYLKRVSGQQQNGLSDSFLGTQEAPFGSMSSFNGQELQSLAASGQIPEQSLAALQDVSQSTISPLVDQGNIFSFESPTLHFVEGQQQLSSNSEQMNFLPGIPTNMEPKQLSDMHQTANLFAGMMQGNQSSSSMVPMTQQASAQIQNETNGNLASMFSSSIATPISSNGIANGVLGYNGVINNVQGPAYDQVSQPSAMDFSVNHSTDLLGNGFSVGDNSDIPPTLSTGVFQGDASMEVEGSGGDARTTYDIINDLLEQKSQDWSSLNPELTFGASPLVNMQGDLGTFPSFLGQQDFLGNLSTGNSSSSSLGQGVFLSGEENMPIVGQQPTVLNTESSFQVEAKSFPETSYQNALLPGNGGQEDLMSALLKQQDGTGRGEDEFGFDGYSMDHLPI >KZM83482 pep chromosome:ASM162521v1:9:32366817:32368540:1 gene:DCAR_031051 transcript:KZM83482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMQLLYNNKLIIFDRTDFGLSNLSLPSGKCRYNDEIIDIDCTAHSISYDVALNTYRPLMVQTDFWCSSAAVFPDGTLLQTGGYLAGAKKIRQFSPCDDEQCDWIELEQNLTVQRWYASNQILPDGRIIIVGGRRAFSYEFFPKNQENVTLNGDFYLKFLRETSDFQEENNLYPFLHVLPDGNLFIFANQRSVLLDYTNHRVLKEYPVIPLAKRSYPATGSSVLLPLKLKAGEAFPEAEVMICGGSYGGAYLKARVGEYVAASNSCGRLKVSDPDPKWVMEEMPMGRVMPDMLLVPTGDVVILNGAGRGTAGWERAEDPVLYPILFSNDVEDPKKRFTVLNPTIIARMYHSAATLLPDGRILVGGSNPHIQYNFSNVKYPTELSLEAFSPPYLAADLRHLQPSIISVEYPTDYGQQFSVMFSLGQDVKTGVINVVMIAPSFTTHSFAMNQRLLVLDSSDVQQLSDVAYKATVSAPSSRNVAPPGYYMLFVVNEGVPSHCVWIKMNV >KZM82657 pep chromosome:ASM162521v1:9:22274655:22288106:1 gene:DCAR_030226 transcript:KZM82657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLKRVLQEGGRDYYEQQPSTSSSSSILQSLPLHVPFDRGNYLLVKSIQELRSKKDGLVTVGIGGPSGSGKTSLAEKVASVIRCTVISMEHYRIVVDDGNDLNPIDFDLLVQNLEDLIRGKDALTPVFDFQEKRRIGSKVIKNASAGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVKYDIGESCSLDYLIDSIFPLFRKHIEPDLHHAQSKSGLSAYAFHGKEPIPENFIEMYLRPPSASEEAHINDWIKVRQSGIKYYLSLGDQRIVDKNYIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRSSTSVSDGNLSMSLESIDTLGETYMVLRGTNRKTVGAEASRMGINGPWITKSYLEMILERKGYEMEILDGVPRVNTPPPLSSRSVTTTEGGLIAAPKPLRIPPNIVNPLDEMSQPWTRSPTKSKMEPVLATWQFISSDHPVSGGPAIDPSSRDTLQLAPMPDSYDLDRGLLLAVQAIQALLETKGHPVIVGIGGPSGSGKTSLARKMANIVGCEVVSLESYHRSEQVKDFKNDDFSSLDLALLSKNIDDMRNFRKTKVPVFDLETGARSGLKELEVSEDCGVVIFEGVYALHPDIRESLDLWIAVVGGVHSHLISRVQRDKSRAGSFMSQTEIMTTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKEVAYQDILKILDPTKICSSVQNFIDIYLRLSGIPSNSQLAESDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISTSTVAGLLNLGYQAVAYIEASAYIYQDGKILIEVDHLQDVPSPYLQIKGVNREIVVAAGLKLKLEGSYTTKSYLQIIMERLPVLERSASGIRSQQAGRLQELVDFIQTQGSSTASESSPSREASPLEGIIDDMQTRIKRLERWQAINTANTITSILNLFRVI >KZM83619 pep chromosome:ASM162521v1:9:33391559:33392528:1 gene:DCAR_031188 transcript:KZM83619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWEPASSEHEVLLRRGLLHPLGVSFLAIMQKACTKAQANGGLIGSTTRRIARFTAPALPFIHAVQYEWLVVLAFLDDHIRVVASILKNRYPSSTYLFRKIDRLVDIVVVLPERLDDTMSNFPVIIQRIPLLDSALTTLISCINLILSIFTHWRISYYTREKDITVDVSFDNFSDGSDRMVQLNTDQFKEEVKEAKAGMKGSYKDVYERGKRELLFGKKRENANDQDLLESYYSTEEALECDDNAGTGSDDNIVVSEDPIMELFDTSWHMNPAKAGSLPAGSFPFT >KZM81540 pep chromosome:ASM162521v1:9:2296880:2300018:1 gene:DCAR_029153 transcript:KZM81540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKASQQLYESLNGSAESETGKLAEGLYGQRNVAVWSKNWIAFRYAALLGLASFLLITDIQCCRLLFLYDPENISYGLFLMGMGNLIAFGVLAAQARELIDDSKTANDVPWWCYGCTSSR >KZM82642 pep chromosome:ASM162521v1:9:22099071:22106361:1 gene:DCAR_030211 transcript:KZM82642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNTQQQQSIWTGIIELTKSAQHRSTDPLTWAVQLSSALSSAGVSLPSIEASKLIVDYICWENNVPITWKFLEMALTMKILPPMLVLALLSVSYKRVGRDASDAEIEEIIDMGSKAPLSDQQEQVQENIYSQINAFCTSMDDILRTDISSTKEPMSTEQNAGLRRSGLSLAVGRSAPTKTSPVVPETKPLKLSEVSQMFKDLSGYTLKLKPSQIPHKEAGQGLFIDGEADVGSIIAFYPGVIYSPAYYRYIPGYPRVDAENPYLITRYDGTVINAQPWGVGGETLELWDGSSVSEISQAVQDAETGSDRVWKMLSKPLEGKQLWGNGEVLERRNPLAFAHFANHPAQGMDPNVMVCSYDFPLFEKDMRMYIPNIAIGQGEEVKMKKIGSFWFKTGSSGSSVSAYPVLKTLVLVATRALSDEEVLLNYRLSNAKKRPSWYTPVDEEEDSRRWS >KZM82673 pep chromosome:ASM162521v1:9:22413203:22416686:1 gene:DCAR_030242 transcript:KZM82673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKFATMVHRNTNKITLILVYVLLEWTLIALLLLNSLLSYFIIKFANYFGLKPPCFLCSRIDHFFEPEKGESFDKDLVCEAHATEISQLGYCGNHKKLASFRRMCEDCSCSRPDFCGVSKNFSFLPWVKEIGMVGGADGKLFDDGVLSLRCSCCDVSLEKQVYSPYVLIKPSWEDLKGEDEENFSKKEEFSDRIGSGFLVDHFEDDHEVERKNEGEMICDANGGYSLIEGKADEDSDSSLSVTKFGVEELKRGHNDEIEMLLEGDREEDLSKVMKDLISDEIVSKEEASSKIHPEDLEFFIDFSGHQLVPIELIDSITEENELNKAEENRRSSDLQENNWSSESATVDQVEKVIEEHRFEAFESMDMEETENSLVFNAKESFSEYENADKSRGMEEIENALSYNAKESVSEYKNADKSVEMGAAEHSLYFHANESNANYGNVDITQTTGNSFADINNVQGIAATEGLKLESDVPTVCEDVFRVESTEKELGLLTGTQTAAEDAEGIETYVAAEETPIIVNETEVEVSIGTEIPDLYSTDETQAQDTNCLHEDPSMSSANFHEDDREHGIEIKLQQEEALRLGNLAIEISENMTNNQVPASTESNVIDEEKIPDTPTSLDSFHHLHKKLLLLDRKESGTEESLDGSVLSEFESGDGVITVERLTSALRAERKALHVVYTELEEERSASAIAASQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEEKQELEKELDMYRKKVTDYEAKEKMRMLRRSKDGSARSAFSSASFSNREDSDDVSTDFNQEVEEEDGFYSHQESGNQNTPVDAVLHLGESFGEFEEERMSILEQLKVLEDKLFTLSEDEHHYEHVRPTKHFSQGNGNHLDENSDFSGEECNGFANGDSREMNGKHYQEGRIIGAKAKRLLPLFDATTTENENGEVNGHENGHGDEELNDHENGELNGHENGFESVVTRFELEERRVAIEEEVDQLYARMHALETDKEFLKHCISSLKKGDKGIELLQEILQHLRDLRNMELRAKDFTDGTLLV >KZM83493 pep chromosome:ASM162521v1:9:32433183:32433782:1 gene:DCAR_031062 transcript:KZM83493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANQSAVHSSNGTGGLIMPKKSGQVLIFRSSSKWKSHFQSSKQTDKLLVIHFTATWCGPCHTMEPVIRGFAAKYALPAFILIKKGKTVDKVVGAEKAALQHKIEKCMV >KZM82719 pep chromosome:ASM162521v1:9:22962509:22963186:1 gene:DCAR_030288 transcript:KZM82719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTKYIVAFLILLPFIAALSRPGPRTPNSHIAEDGMV >KZM82732 pep chromosome:ASM162521v1:9:23144155:23145523:-1 gene:DCAR_030301 transcript:KZM82732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYLTNNVPETISLNVSGSLTFSTTSANKVYINPEVDHVTSIKERFSALSIQAKPIQGTSAAKLTPEEEMFINRMTVDALVKATGAGEMKESVVTLKATITGINSGQGWYYIACRSCVKKAEFENGVYVCRSCGTLEYPLALYRVKAEVQDRTGTTTVVMFNYPAENLLDTSAKKLLGKMKPGDDSVPKELNTLLGKELVFKLKLDKYNLIEGLQDYRVSTVFTPVEGLEAVYAQKEARKETACQSGPATSSNTVLTTEDKKKRKRSSCEEDASQELGEDGSQELNEAQ >KZM81435 pep chromosome:ASM162521v1:9:1168188:1181152:1 gene:DCAR_029048 transcript:KZM81435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMVEAWREIPDALDEANEDKQQFIAVHPVAPRIDPIIPDASSYQNTYCKLPVVPFVDYSESTFNNIVLAAKVVGGNLKFSKLKPSQITIMSSFTRAAASATQAVLRGSQYSARFGYPPSCRVYVSGLSADTTDQKLFDAFERFGRVLHANVVYNHSTGYSKGFGFVTYASVQEAAAGINGMDDQYIVDTVVCASVFVVFTHFTSASSTKVFPWVFELLVALFPVTYLLEGQVRIKSLLAESGVGVVGEDESKFTTLLAVEWTSLFGLFAAIFMLIALGIKFELASLMRGKFLDGLVVFAAYAAPKVPQTHPRATT >KZM83010 pep chromosome:ASM162521v1:9:27632685:27633653:-1 gene:DCAR_030579 transcript:KZM83010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGKCCSRCTSNSDGHPSSSDGRASDDKDSPYHGQGKHIIVDRSVEPVPVPSHNFELDYTVLTQRGYYPDMLNKENQDTFCVRTCMQGDPNVHFFGVFDGHGQTGGQCADFVKRRLVENLLKESTLVDDPVKAYNAAFLATNDELHDSEIDDSMSGTTAITVLVVGDMLYVANVGDSRAVIAVKKGNQLVAEDLSCDQTPFRKDECERVKLEGARVLSVDQVEGLKDPTVQTWGDEETEGSDPPRLWVQNAMYPGTAFTRSVGDSLAEKIGVFAVPEIKTVKLTPDHPFFVVASDGVFEFLSSQTVVDMVSNNFSSKLI >KZM82478 pep chromosome:ASM162521v1:9:19919181:19921796:-1 gene:DCAR_030047 transcript:KZM82478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQVQKNTLYVGGLAEEVNETILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAQPIWADADTWFERQQQEEEMQRMQAENKVAMEAAEELHRKKMAEDRDGEKDEETKDDPMAMAEAEALKQNT >KZM82535 pep chromosome:ASM162521v1:9:20779571:20785106:1 gene:DCAR_030104 transcript:KZM82535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRSGGKKYKFKSSRSAKHGKSQKRLKQNSDDEVEEQLSTAVFDDYWRQKVFSSRYVFIPMCQSNHWNLLIICNIGEDMNSETKSPCIFLLDSLQFGEATRLETKLREFVFHLYESGNRKESVEEIFNIPYNIPSIPQQEDGTKYGYYMLFYMFKFLTACPYQFDMSKDCPGFMNEDWFDLDEFQKFYEDLTSVKEKEFSLSDTTIDKKKDGEGSSIQNINNVNHDMLQTPPSHDLFKSNDALPALVKIKRAKKRKNVKEEEEETEEEEPTENIRKPETDMPNKILLRAYPKTFTDAIQALTEDQKKWDEMLFHDQRVKITEQDVADTLGLPKGEKEICFEKGKVNRDKFSRWRAQFPDKDENRITELTVYEAITRSRVVDLHFKQNFMILMMNLFIYTNNSSFLCQDVLGFEDEFENASQYNWCKLVIESLRTSHEEWWDDPHKKYYTGSLVFLLFFYLGRSVHTEYRAERTRHVFIGWRDSLIENRNRSESIDGTFLKGEIVGPLHNENTGERQTTKNVSLEQQRHQDGNQDDEEAAIFELRKIKGKLPAEDFVDIFQEGDNLKTPKETLRGVEMSPQLFKEAEINTGIMFVTRDINFMYEDNEIITEEKCKNNLKMANDLFPNNPSLKLYEDTFAKMYQPPNQEEEEEEHQSDRDPEWPYCTNKDWKTIDILALPKFDKAYNKMIDIDDFLGDLTLGGERIDFDRFEREEDNEYIPGRLRREVKVGDSQKSPYLDRTIDFNRQKITKAEEEVWNWIIGDTSDPTGCH >KZM81934 pep chromosome:ASM162521v1:9:8701287:8730030:-1 gene:DCAR_029547 transcript:KZM81934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTEQVLEETCGSVRIYTLNRTKQLNALSFAMISRLLELFHACDHDPSVKLIIMKGNGRAFCAGGDVAAVVRDISKGDWKLGANYFRKEFTLNYLMATYSKPQVSFLNGIVMGGGAGASIHGRFRIATENSVFAMPETALGLFPDVGASYYLSRLRGFFGEYVGLTGARLDGAEMLACGLATHFVPSKKLSLLEEELRKVDSFDATIISSIISQFSQYPSLKANSAYNRLHIIDKCFSRKTVEEIISVLESKAVEHKDEWITSTVQTLKKASPTSLKISLRSIREGRLEGVGECLVREYRMVCCVMKGEVSRDFFEGCRALLLDKDKNPNWQPSRLECVTDEMVDRHFSKVDDIDWEDLKLPPRSNLPVHAIAKL >KZM81961 pep chromosome:ASM162521v1:9:9194451:9198607:1 gene:DCAR_029574 transcript:KZM81961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGVGNDVQDALVRQTIGIGPYLSFPRVNDVPMQWVQLLNGFDQQELYGWPMLTPLKIQMQKCDKCSLEFCSTVNYRRHIRVHRRLKVDKDSYKSRDSLGAFWDKVSLDEAKNILSFKDVTLEGLPGSSIIKALASFIRAPGFCTLPQVYVKAGLVLLDAIEATPSRLPISSQELFTVLDDASERTFLSGSTEGSVQKFIFDGEARKVGLEIKNLVACTSFLIEQSLVKAWIADKDAEALRCQKLLVEEEEAAQRRQAELLERKRLRKLRQKEQKTKGRSCKETTVLTSAADILETVPSAESSTPPQSEADLHAVSSPPQSEVDLHSSSSPPQSEGDLHTSSSLPHSEGDLHELEDHSSVIEPVHIFNNEVNGHINIEGGYNSELVDIGRAKNVELPESQDSNNQQIVDSKLQVLESKSEQNACHPSHSLDVVKPDPIQAQAPPNDLMVAPVNGSDISTGKGTVENGRECVSALLHEREISQTDHTSCEVMIGSITVTLRNCTTQKQHDDSQSESLHENCSIDHVTCENVGAHYKQIKPESTMNNTLISNDRHEVESEFVVQTGVTGVGTMPDKCSHASSEVDSDSKQNDFIQPAKVALSSHSARAFLAHRWKEAISEDHETLVLSQEAESQGHCDAINECSEAVSTVKAIDSEELSVLGRAKNFLDIVGAPEYPTSRPVDSKRTSKPRKGYQMKVQR >KZM83488 pep chromosome:ASM162521v1:9:32400165:32404535:-1 gene:DCAR_031057 transcript:KZM83488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTKEEEEEKQEVWSWGAGTDGQLATGRIQDEHLPQLLPCSLFQHPISNLSCGGAHVIALLQGGKVLTWGRGTSGQLGHGDLLSCSLPKPVEFLQDFVVTCVSAGWNHSGFVSDKGCLFTCGDGSFGQLGHGDLKSQCTPLIVSSFASRHVKKIACGMRHTLALLEGPSGDQVYGFGSAKRGQLGIPYENVRYMSTPQCTRGLEDSNIVRISANGDHTAALSTDGHMYIWGRGFTGTSDISYPQCAISSTSLSQMALGWHHALVLTGNGEVFMFGGTRHGVLSDHQKTSMLKKATRNAEEPNKIIMERVPGLDGVKILQVAAGAEHSALVKEDGAIMTWGWGEHGQLGLGSTFDQTTPQFVSLNQRDSGQYTSIRVYCGSGFTYVIRTASPC >KZM83304 pep chromosome:ASM162521v1:9:30672029:30673065:-1 gene:DCAR_030873 transcript:KZM83304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFNIFTCLVVVSLAMGAGMVRAHVHHIVKGETGWEQFFELGFKSSELMMFKVGDHLWFRNAQDGIVELQSRKEYLSCDISNPIKMYTDGPDKISLQQEGIRYFASGNPRECKNGLKLHVEVHPHDKNDGTQTADSTKIASAVVKAAGPTSASAHLGGFCYLVLVGLLVLVVALV >KZM83615 pep chromosome:ASM162521v1:9:33360776:33368016:-1 gene:DCAR_031184 transcript:KZM83615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAPREIEKLMLHNAGFLAQKRLARGLRLNYTEAVALIATQILEFVHDGDKSVAQLMDIGRQLLGRRQVLSAVPHLLDSVQVEGTFPDGTKLITVHYPISSENGNLELALQGSFLPVPSLEKFPSVEGDLIPGEIRFGAGCITLNPGRKTIKLRVKNTGDRPVQVGSHYHFIDVNPYLVFDRRKSYGMRLNIPAGTATRFEPSRSAVQNIVAVQYMLSCPDVDHVLGLKLNLRFSCIRMYNDQFTPIFFMFYWILILAKWTLISLLLASHLIGHPGDAKTVTLVQISGKQIIRGGNGIADGHFHDANIEATMERALERGFGHLEEMTDRASATVEDCETVLSREAYANIYGPTTGDKIRLGDTDLFAEIERDYAAYGDECVFGGGKVLRQGMGQACGCNQVDSLDTVITNAVIIDFTGIFKADIGIKEGIIVSLGKAGNPDVMDGVMNNMTVGVNTEVIAGEGMIVTAGAVDCHVHFICPQLAHEAIASGITTMVGGGTGPSHGTRATTCTPAPWHMKLMLQSTDELPLNFGFTGKGNTSKPEGLHEIISAGAMGLKLHEDWGTTTAAIDNCLTVADQYDIQVNIHTDTLNESGFVEHTIDAFKGRTIHTYHSEGAGGGHAPDIIRVCGVKNVLPSSTNPTRPFTSNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTAHKMKLTRGPIEGDGPDNDNLRIKRYIAKYTINPAIANGFSHCVGSVEVNKLADLVIWKPSFFGAKPELVIKGGEIAWANMGDPNASIPTPQPVIMRPMFGAFGKAGSSNSMAFVSKAAVDCGIKDTYGLNKRVEAVSNVRKLTKLDMKLNDALPDIKVDPETYLVTADGVALTCNAATELPLSKNYFLF >KZM82771 pep chromosome:ASM162521v1:9:23797761:23799026:-1 gene:DCAR_030340 transcript:KZM82771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSPNPPLLASYAQLARRSGEALGEFCQKNEIVMEECELPLIDLGGLRNGNEAEKLACVSAICKASSEWGFFQVVNHGISLELLRKMRTEQMKLFESSFEQKYSCGLLNKSYRWGNQTATTTKQLSWSEAFHVPLSKISEEACCGEFKSLRQVMGTYAGEMQKLARMLARVLVTNMGEEREEVYNICDESTCFLRLNRYPVCPVASDVFGLVPHTDSDFLTILHQDEVGGLQLMKDSKWVAVKPNQDALIVNIGDLFQAWSNDVYKSVEHKVMVNTKVERYSIAYFLCPSTDSVIGSCKEPSVYKKFTFGEYRSQIQQDVKKTGHKIGLPRFLNCDQSLNYCTL >KZM82661 pep chromosome:ASM162521v1:9:22304746:22305039:1 gene:DCAR_030230 transcript:KZM82661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFSEEEGVRRSGRSGRSSAALSRTAIFYFCIIFICVQVCLCSANHESIAPFSWPLARKLGSSSTVPFAAASPSPLASDNSDDKRIIHTGPNPLHN >KZM82361 pep chromosome:ASM162521v1:9:17872378:17878739:1 gene:DCAR_029930 transcript:KZM82361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRQVSSLISICKQNGSTYLQKPIVSTSMIPQHPLQFSKWGNSKIGFLGTRAYSLLSLNDLRDNKGARKPKTRKGRGIGSGKGKTAGRGHKGQKARGTHKFGFEGGQTPLRRRLPKRGFHNPFSLTFQPVGLGKIAKLINAGRIDSSELITMKTLKEAGAIGKQIRDGVRLMGRGAEHIEWPIHLEVSRVTVRAKAAVEAAGGSVRRVYYNKLGFRALLKPEWFEKKGRLLPRAARPPPKQADKVDSIGRLPAPTKPIPFTAEEKEAMSSPAI >KZM82015 pep chromosome:ASM162521v1:9:9932137:9933601:1 gene:DCAR_029628 transcript:KZM82015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSSSRDHILLDEHAADVAEPTATNVTPHLKIYTYAQLKRATGNFNPRNMLRENCLGRVYKGWVDEGTLAPSSKNGVGIPVAVRKSNPGQRLLQWQVEAEFLGRFSHPHIVKLLGYYRDDKQFLLVYEYMHKGSLENHLFRNGGAGHLSWKTRLKIAIGAAQGLVFLYTNKQVMYRSFQTAMILLDKDFNAKLSDFGRVKFQGHSRFSTDVGIDGDQIIADHPDLFYAPPEYVATGHYSHDVYSFGLVLLEMITGQRVFDRTRPSQQFNLANWAKPYLHKKDTLKIIMDPNLQGQYPINAAFETSQLILKCLEYSKTDRPSINDVLQALERIIAINMASQEQ >KZM83550 pep chromosome:ASM162521v1:9:32879359:32883180:1 gene:DCAR_031119 transcript:KZM83550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHYKRITGGDELGIKSAQITESTNINELVEKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVDNFVKERLGKEVEMGGCKGPITTFIVEPFVPHNEEFYLNMVSDRLGCSISFSECGGIDIEENWDKVKTIFVPTGMSMTPEICAPLVATLPLEIKSVIEEFIKVIFILFADLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVMSSTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFAEELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVVGGGIANFTDVAATFNGIIRALKEKESKLKAARMHIYVRRGGPNYQKGLAKMRALGEEIGIPIEVYGPEKTMTGICKQAIECISAAA >KZM81433 pep chromosome:ASM162521v1:9:1164062:1164433:-1 gene:DCAR_029046 transcript:KZM81433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLCPGKLTSIAAAITSPIRASQKRPNVNYISGLNSFGGLKAQNNVVALGLPVCTEQSFANFAYSLKHSNQRSGGGGALTSTCNAVAEIFRIAAVINGLTLVGVVIGFVLLRIEAAVEEAE >KZM82176 pep chromosome:ASM162521v1:9:12949939:12950325:-1 gene:DCAR_029745 transcript:KZM82176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTLINLDYSPQVDVNYKLDLSEETQKEKPVAIASQLVPPERRRFRGIRRRPWGKFAAEIRSPAKKGKRVWLGTYETPEDAALAYDRAAFKIHGSAAKLNFPHLIGSDVPEPVKVTPRQRTSSTLSS >KZM83061 pep chromosome:ASM162521v1:9:28438873:28440735:1 gene:DCAR_030630 transcript:KZM83061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEREKGKKLMHSASSVCISVNSPSSSNREFHDGHDKDPRGWARRIFSSLYQFIPGIMHPHAKSVQRWNKIFIFSCLFTGILDTLFLFLLYSKKDNMCIAVNLTMTQVLIVLRSQANIIYMFHMLLQFRLAYFARKSRILVQHPKKIALNYLYGYFLVDFFVVLPLSQVIMWLVLQKYKGSSGAKDAVIFLQVGINFQYLAMFFRILLMVVGQSTSSVLFESWLAKFVLNILGFVLFSHVIGSCWYLFALQRVTQCLRNACGELWCFPYIYCGDGNTKGRLEDDPTSWEKWKDNKKASACFGPFGPGESHYGIYVQAVSLTTKSNLPIRYIYSLFWGFQQISTMAGNQIPAFVVGEVLFTMSITATGLVLFSLLVGNMQNFLQAVGRKSLEMSVRRLDIEQWMSHRKVPEELRKKARESERYNWSATRGLNESTLLENLPEDLQRDIRRHVYNFVERFPIFGLMDDSILDAIRERLKHKTYIKGSKIFVRGGLIDKMIYIVQGKVESIGEDNNSVLLSEGDVCGKELITLCLEHFARDRIGKKIKIPADKLLSNRMVRCLTNVEAYAIRAADLEEVASLYSGLQTRDPTLQGVTRKESAYTQGRGANRIKLAWRCRKKQP >KZM83476 pep chromosome:ASM162521v1:9:32258293:32262562:-1 gene:DCAR_031045 transcript:KZM83476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILGTSASTKENLPIKKEEATVSPKAEPVLPSPDIHNDENAPRNISPIDDSEKRRLEQAAITAQAAIRGYQAREKLHSLEAITRLQALARGHLVRRQAVATLQSVRGIIKIQALVRGQRVRRSIGSEIREREPHKEIDDQCLSSFGNIGSQRTEMLLKTAFVGKLISSMPAAMPLQLCYEPEEPNSLSNWLNRWTLLQVLGPHSQFKETIGVTGSSSLSVGTDKARSRGSVSRITSSDNDNGLKRSVPESERNKVKLKKVTKDPVKSIQEHPQNGTKKVLRNPKKVSKPLVETSVKAVAGSERRKHSIGKSSKSDISEGISNPVEKVIEVSEKAESKPSDVEISQELPAEGTDSKLDNHSYAPLQPSETPVQQKEEVTPVQQKEEVTPVQTKEELTLVDEEIKKKNALINDENKKASMRRASLPAKHDYLEKDIHSTPRVPSYMAATESAKAKLRAQASPNFDQDDAEKYALRRRHSLPSSNSGKFGSSPRMHKLIQASIKGGMRGDRSLMSSRDSSDSRVIQAEWKR >KZM83398 pep chromosome:ASM162521v1:9:31474420:31475839:1 gene:DCAR_030967 transcript:KZM83398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDFEQESETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KZM82364 pep chromosome:ASM162521v1:9:17929387:17930738:1 gene:DCAR_029933 transcript:KZM82364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLMNLSFVDKVGFHKLLHATTCLKCGSAKAGTMPLCVAPGVRIVLFIDCQKDITLSTEKAHGSGSMNIRDTNSTDTEDHMFEDGSSHGLHSGNHHNRSRYSMENEEESRLVLYGHDCVQDHLIHSSSRNRAFVEARGSGSMNIRDTDSTNTEEQIFEDGPSHGVPSGHNHNHSSISVECEEESRLASYGHDCMQDHVIHSSSRNGAVEEARGSVLRI >KZM82981 pep chromosome:ASM162521v1:9:26860282:26862114:-1 gene:DCAR_030550 transcript:KZM82981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTKSEIGWHSAQPNKQACVPGAKDTLVFLPGVEKFSRGLVKGKNYFGSEPELLEPHTEDDEGEKEEEDGSIEEISDRSSNQLVKIHGPDSVKPVKKVKNLKTFYDDQRERNPVNIQLSQKHKRNDLDTSTSVRKRYRTVASCSEGIGSGRSTIPSVPEIGHHANVCSSNIHDRNNKSFTPLTRFIQREDGNQFTTRKPLLEPRRFSR >KZM83521 pep chromosome:ASM162521v1:9:32638164:32643034:1 gene:DCAR_031090 transcript:KZM83521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLPLLMLYFLTLASFSVCGELVYEEGYTVTTLLDGNKRGINPYSVLPSDTTSSDLIVLDSSGSAFYTLSFRDSREGVIKKYSGKGSAGFSDGELDSAMFNKPKSFAVDLKGNLYVADKSNHAIRKISKSGVTTIAGGYSQKPGHSDGPARNASFSDDFELSFIPGSCSLVISDHGNKLIRQINLKAEDCLKDSPSELYRQEGSSKLWYTGTWKHFLINLGRGAVILCFDTKSAIASSTMCAFLRRLTRLCMSYVTLMISIQKVKPKKHSPIYVSLIDNDLSCTTITESQEYADQLQDLVSFDGSMKSPDISPTLDSSCNNLGKIDKMIQGNLICFSDQTDSVALPQLVANCSSAVKRNQSKGDKLL >KZM83126 pep chromosome:ASM162521v1:9:28989912:28990457:-1 gene:DCAR_030695 transcript:KZM83126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSSLPLVLFLFLNLLFTGLAVSSSDCNSLPKHSSKHKHKHHDRNSNSPPMSNSNPPKLAPHFPLPSSPRSAPGTPELPPVSPPLAFPNSRKSSTCARNAIRFGACTKVLGGLLGVRAGTAPKKPCCRLFGGLVEVESAVCLCTAIKANVLGSNLNIPISLGLLLNVCDIQTPPGFQCS >KZM82486 pep chromosome:ASM162521v1:9:20004047:20006695:-1 gene:DCAR_030055 transcript:KZM82486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYEKLIRRMNPPRVVIDNESCKNATVIQVDSANEHGILLEVVQVLTDLNLTVTKAYISSDGGWFMDVFNVTDQDGNKVTDVEVLDYIYKILTFIFGPVFNVTDQDGNKVTDVEVLDYIYKALGPDSDFGYSIRRSVGVKSAVDHTAIELIGCDRPGLLSELSAVLTHLKCNVVSAEVWTHNTRAAAVVQVTDEETGGAITDSERLSVIKQLLCNVLNGSNKSRKAKTVVSHGITHTERRLHQMMFADRDYERNSDEPLEDKSRPEVNVINWYDKDYSVVSIRCKDRPKLIFDAICTLTDMQYVVFHGNVDASGPEAHQEYCIRHIDGSPVKSEAERQRVVQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVATRGGKAVNTFYVCGASGYPVDSKIIDSIRQSIGQTILKVKGNTNELNQTTQESPTRFLFGGLFKSRSFCNFGLVRSYS >KZM83587 pep chromosome:ASM162521v1:9:33141607:33143886:-1 gene:DCAR_031156 transcript:KZM83587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSRPDTFCTNESVRSISSEVSCDLLIQVKGTRYFLHKFPLLTKCLRLQKLCSDSPESSPCQIVQLPEFPGGVEAFELCAKFCYGITITLSAYNIVSARLAAEYLQMTEDVEKGNLIYKLEVFLNSCVLHGWKDSVVTLQSTKAYPSWAEDLSITSRCIEAIALKVLSQPSKVPQARRSRDNTQSCNVAESQPSKHVTKGWWADDVADLGIDLYWRTMIAIKSKRKVPSSLIGDALRIYASRRLPNISKHLDADKQVETDLKSDANEVSSKHRFLLESIISLLPVDRSAVSCSFLLKLLKAANILEASFSSKMELARRIAVQLEEATVSDFLIPSSSRNQDTKYDVDIVITILEQFMLQGQSPTTSPSRAEGDFQRQRSRSAENIDFEFQESRRSSSASHSSKLKVSKLVDGYLQVVAWEKQLPLAKFLQIAEALPAFSRPKHDGLYKAIDIYLKGHPELNKSERKRLCRVLDCQKLSTEACSDAAQNELLPLRVVVQVLFTEQARAAKNGQEATNIPSNIKALLHSHVDSPRPLGSSVTTKASGADDQWSISVLGTPKSNLSTLKMKLAEDEDLDENCTDETERSPRIRAFCALPDRPKRMLSKLWSINRHRSEKV >KZM83510 pep chromosome:ASM162521v1:9:32546460:32547455:1 gene:DCAR_031079 transcript:KZM83510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRWVPTKFLGRGSYGCVFRAEFASPSLAYACNVPRTVAVKSVSERLLWSLALEKSVLCDLGGCKEIVRCFEDEDFQSTNEDGTSCYNIVLEYADGGTLQQVIESRGWIPEYEASWYALMLLKGLSRVHGKGYVHCDMKPSNVLVFNIPRDEFKGVVKCNLKLADFGLAKKGGEMSLGAGEEYKHRGTLLYSSPESVVFGVHEAAMDIWAVGCIVLELLLGEGGLWKKCIDEDAQCLAEMIANYEDDRLILLVPELDHLSENGKDFVRRCLTKRVEDRWTADELLSHPFITCNQRLVRQFEARYSYQNLMKYQQDTLLSRAIFGPFMGVF >KZM83537 pep chromosome:ASM162521v1:9:32775040:32775750:-1 gene:DCAR_031106 transcript:KZM83537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNFGSSSTQSLLSHEDEEKEIVSEGFNFKFNFFGSSTKQEGKDSNVEKDGAYNETEVDYDNADDEEEFSFVIDNSSSMPVSGNSAFTNAQIQPKFSLFNRDLQLPQDNYTVSENQLPSESHVKEVLTKTSPDMTLHKGEPNPTYGTSPGLYYAGGKKSLELSQKSNSAGFSRLWGLQDVLHRSNSDGSDSFIAKAAKLHRSLKENNRRWTMKMYSHQSRIEVQKNKLFLASARK >KZM81924 pep chromosome:ASM162521v1:9:8557619:8562493:-1 gene:DCAR_029537 transcript:KZM81924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKTEEEEVNRLENQVDNGGGGAWEYLSLVRKLKLRRPNKVVKHGLSILNDPTKRSALGPDEWTLYEQVATAAMDCQCTDVAKDCIKILQKKFPGSKRVGRLEAILLEAKGSWAEADKAYASLLEENPLDQVILKRRVAMAKAQGNVSLAIERLNQYLEIFMADPDAWRELAEIYISLQMYKQAAFCYEELILAQPMIPLYHLAYADVLYTLGGLENILTAKRYYASTIDLTGGKNVRALMGICLCTSAVAQLSKGRNKEDKDGSELHSLASTALEKNYKKISPDNLPLLTSTLKSLKLQS >KZM82451 pep chromosome:ASM162521v1:9:19572263:19576703:-1 gene:DCAR_030020 transcript:KZM82451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLNLSEYCNDEELVRFALTKLVDDEIEEDEQFWNQDALKEVAEDTNYQEEGEAADVFDSDFDEDEPDQEEAVENEPDDRVQSRKRLVYPGHPASKKKQKKKVISALQRDPNDEQVHGRSTDYKPDELTEDLEVERSIRKSTRTSVIVRQAERDAIRAAVQATMKPIKRKKEGEEKRMTQEEMLLEAAQTGCSYLEFRNGASFHSKVPATPVPYSQKSVCAVTGLPSKYRDPKTGVPYATKEAFKVIQER >KZM82884 pep chromosome:ASM162521v1:9:25594565:25597839:-1 gene:DCAR_030453 transcript:KZM82884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNAIDSTPQIKVHKTSSTIDKSDHHLKPYLVQADMSSSDHRKIDEKSAPRHLQKSDQYCQMIAQHILLMLMEDMGTEYLVQPVARAEDEEEASDFEPDENVEDDEFEDDDDDDEDEDVDDAGKVESPPKRKRSGKEDSDDGGEDDVRPSKR >KZM81490 pep chromosome:ASM162521v1:9:1777807:1780061:1 gene:DCAR_029103 transcript:KZM81490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQGFFSALREEVVRGLSPGRSRAKSPGRIGSSMSGLLFRRKNHGHDGNFENPENYVARSGSLRTLAPLIEGPDPNGGEIGDSKRVGLGQWVKGQLSRAPSMAASNGDWLFLHTLTLTHEFKCVNEFKSLLTKALSLGVTETSSAQYILQQYTAASGGQKVQNSIRNAYAMGKVKMLASEFETATRVTKNKNASRDAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASLFADSRCIGEKKINGEECFILKLCADPQTLKARSEGPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSSGGDAVYWETTINSYMEDYRPVEGIMIAHSGRSVVTLFRFGEMAMSHTKTRMEEAWTIEEVAFNVPGLSLDCFIPPADLRSGSISEARELPQDGREKSTTGVAAHRAKVAALENTHDDDMNWKVKV >KZM81731 pep chromosome:ASM162521v1:9:5015892:5019055:1 gene:DCAR_029344 transcript:KZM81731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAMWLYPKIMGFNPPEKWGHTACYSNGFLYVCGGCCWGLHFRDVLVLNLETMAWDKLVTTGQDPGPRDSHTAVLVEQKMIIFGGTDGTKKVNDVHVLDLMSREWSRPHCKGIAPSPRESHTATLFGNCKLVVFGGSGEGGANYLNDVHVLDLKSMSWSSPQVKGDIPVPRDSHSAVAVENKLIVYGGDCGVRYQGDVDVLDMDTMTWSRMAVHGPSPGVRAGHVAVSYHTKASQKVYVIGGVGDKKYYNDVWLLDTSTCLWSRLEICGQKPQGRFSHTAVATDTDIAIYGGCGEDDRPLNELLILQLGAEHPDGRNNLMSSRFGSQGKTEFAKKARLFPETINMDGNVGLETNEDNETERILAFQLGPDTVHPKRRRTSNPMIYEIESDPEEHSLSLSQHSSPSYSDQDQTPANKVTWLAKTPQLFPVHDAQFSATDQNPSEAGQLESQIGTDVHGKVDGVFDSGLLMTAIVNGRVFRGVLFSPGPQVTSRDVTPGKNILQPNPHSSHVNSSSLRRSEQSTTVQPPKPNYNFQQAYGNKQNSFGESSLMLGRDLEANSELQGVVLTLGGPGNSGGL >KZM83269 pep chromosome:ASM162521v1:9:30312336:30312977:-1 gene:DCAR_030838 transcript:KZM83269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTKRSERIGRNHKAPRVQLYYGEAWFDLYDPPNKTLPTDELNSLVILAQLAIYHYNVNQGTNYENVKVLQAERRSCYYKYNIVFEASLLHDNSAVEIFKAEVVTSNPGCRTMKSVEIKSVKINSLQSSDESDDQVF >KZM82828 pep chromosome:ASM162521v1:9:25038316:25041351:1 gene:DCAR_030397 transcript:KZM82828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKCSNLKLLPEQLGELKGLQRLDASETAIEQLPDSIAQLKKLVDLNLSYCEKLRKLPEQFGNMEGLEELQAYGSGIEQLPDSFSNLLKLRSLNLSYCSELKRLPEQLGKMQCLEFLYASDTAIEELPDSIGSLPGIRMLDFNECNKLTCIPTGIRNLKSLEYLWLTSGEDIKEMELIEAVNDMKLEYLSLSCNIRVWLPFILSCSSLTYLILHDEGGRPFPTKPFSFFQLFNLESLDLINCTSHGSSFPELPLNLRTLKVESHASLEQLPDLSYLKHLKKISIRRCCSLQSLHKLPPHLNFLTVADCTSLQEFPDLSELRDLMYLTVLRNGSNLKVSLEENHLQFELSSS >KZM82130 pep chromosome:ASM162521v1:9:11855863:11858610:1 gene:DCAR_031837 transcript:KZM82130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPNSFLPILLFTAFLLSPSQASNIDPKCDVPESGSTLQVLHVNSPCSPFRPQKQPSWEESVLKMQSDDTTRLLFLSNLVAGRSVAPVASGRGILQTPTYIVRARVGTPPQTFLMAVDNSNDAAWLPCSGCTGCASTTFASDKSASFKTLGCKASQCKQVAHQTTCTATACSFNTTYGSSSIAANLSQDSLKLATDVIPGYTFGCIQKTTGGSVPPQGLLGLGRGPLSLLSQTQSLYRSTFSYCLPSFKSLNFSGSLRLGPVQPVRMKFTQLLRNPSRSSLYYVNLVAIKVGRKIVDIPASALAFDATNGAGTIIDSGTVFTRLVEPAYIAVRNEFRRRMGKAAVVSSLGGFDTCYSVPITIPTITFMFKGINMTLPQDNFLIHSASSSITCLAMAASPNNVNSVLNVIASMQQQNLRVLFDVPNSKLGMARETCT >KZM82202 pep chromosome:ASM162521v1:9:13673401:13673872:-1 gene:DCAR_029771 transcript:KZM82202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEYTEKDTEKDNEKDNEKDNDKDTQKDNEAEEAANTKEIDFQAHGPNWLIGRTGRTRKTVNNQQRQSKKAEELASLRKEIAAEMEDKLNKKLKRILGHLAEKNPSLHIDVDEICACCESEHEPETDSEAADDS >KZM82423 pep chromosome:ASM162521v1:9:18719598:18725708:1 gene:DCAR_029992 transcript:KZM82423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGERAANLALLGLSLAPLIVKVDPNLNVVLTACLTVYVGCYRSVKPTPPTETMSNEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIVALSATLLPSISRFLPKNWNEDVINWRFPYFRSVEVEFTRSQIVAAIPGTFFCAWYVSQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPYSMLGLGDIVIPGIFVALALRFDVSRAKQSQYFKSAFLGYTLGLVLTIVVMNWFQAAQPALLYIVPAVIGCLAFHCIWNGEVKELMEFDESKNSDSQDNDNDDSKISKKDE >KZM81533 pep chromosome:ASM162521v1:9:2209847:2210497:-1 gene:DCAR_029146 transcript:KZM81533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENLGVVFKLALAALGVCALGDANTWNVWWIAGFAVFITLCYCFRVCVEMKRAQLTRTCHYVNRRNNKKVWFFTITHAFEFLLPLLCLFLAYTYFMELHFQKHHIESDWKIVATAIKVAIVFVSSVIVILSACCKRHYVTPDDQNQLLPISTDPTFPRNWNEVFVALPAHVDNPTVPDANVAEMVPRESEDQVVADARQTYVKNQPVAADPPEVI >KZM81675 pep chromosome:ASM162521v1:9:4374258:4376366:1 gene:DCAR_029288 transcript:KZM81675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSSLSCANDLRIRSETNQKQDGSLEFERFLKNKLSPDSLIKVLDSVKDVNISLKLFKWASLQKRFKHNIDTYSRIIYKLGMLGMVEEMEGFCKEIVKEQCVGSEEGLLRLLELFVKELRLDEALLVFASLRSSGCEISIEVYNVLMGSLVEEKQDLKSVLFVYKEMVKAGMVPTVDTLNFLLEALFEANQVEIALNQYRRMRKKGCPPNSRTFEIVISSLIAQDWEEESLVVLREMSDHGCEPDLIFYTHIIPLFCRLNKLEVGLRLFRKMRTSNFVPDSMTCGVLIKCLCENLYVDDAIAVLDEMEHINLPPPDHVFVNIVDGFCALSKLNEAKMFLQDRHILGTCFHNALLKGYCSAGCLRGAKVVYDRMVEVKIDDCDSWNSLLRCLALSPVFNRSLEVLGKMIVSSIVPECATYSALIIAKCKATEVNNAMNLFSQVCARSWVLDEFAYAELIQCLCQGEKIHEAVEVFCYMSANRCSLLMSSFSMLIDRLCKTGEVETSVRLLSLTNYSGTYCSSATYNTIMLCLFKSEKINDLRILVARMFVEGCPFDVEVYCILIQSMVAAGRAKDCVVLLNLMLSEGLIPNHDTLANLISFLKKRSQSHVILPIISKFLSGHEIFDPAMYNTLIHCMWNEGFKTEASHLLDIMLEKGWIPDAGTHKLLISSSVSEERDEEMSRHENAYVQDKVTSILAEGLA >KZM81715 pep chromosome:ASM162521v1:9:4774639:4776053:1 gene:DCAR_029328 transcript:KZM81715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKG >KZM81800 pep chromosome:ASM162521v1:9:6184330:6185805:1 gene:DCAR_029413 transcript:KZM81800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSPPKHKKLATPLDTTLLTQTLNKVTPLLSSMQVDKCLARLQELQYTANGGSKVISGVNLSPRSTRCYLRTSLRCKQESARIRNTTPLKSSYGKSTTTGEWQRMSLPAMLLRETMEEIIQTTQFARDTVAAIAPANRPSSDKDPKTPLSIKQNHRPKLENSVLKARRNREKQTTLPSIRSNADDPYLQRAKTHINFKTISPSKRATHKENSQHFKANRVSPGNNLWPKKAVLFPNHIFDSSSSISKTKSPLITRTRQATPHKFLVKSPGASASKFQVKIKSPPLSLSPPKNLYLNRRSPKVSTAAKLRRSFSPSRLANRLVSPLKSRKLGIEKSDAMKIMMSGLKQRPSCSTSMSFLARRN >KZM82690 pep chromosome:ASM162521v1:9:22552607:22556272:-1 gene:DCAR_030259 transcript:KZM82690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQLVDSEAATKEFVGMEGNVLQKHVSFFDQNKDGIVYPWETFKGFRQIGCGLALSSVAAVFINVGLSSKTRPGKFPSLLFPIEIQNIHKGKHGSDSDVYDTEGRFVPTKFEEIFSKHAQSNKSALTSDELMAMVKGNREPKNYSGWLASYVEWKILYVLCKDKDGLLHRDTIRAVYDGSLFEQMARDKAASDKK >KZM81862 pep chromosome:ASM162521v1:9:7056267:7056917:1 gene:DCAR_029475 transcript:KZM81862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLALPKRLKSRVCSKFCDKGVSFSGKSEHSSMDRNNSELYLKNCQIFQENERLRKQAEELRQEQRALFTELTQKVAALISTPPAADGNSKKTEPKK >KZM81972 pep chromosome:ASM162521v1:9:9393251:9396908:-1 gene:DCAR_029585 transcript:KZM81972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLQSLDQNIFSSVQNLVTCGNKVPNSIPSQYAYFQNPTDSTALPGDNIPVIDYSLLISEDHDQQTKVVCELGKACRDWGFFMVKNHGIPEELYEAMFQKCEEFNLMSEDEKQEFKMKKDVMDRIKFGSSNNLSSTRVRFWRDYLKLFLHPDFNSPHKPQGFSETLLEVSTKQREVMKNLLRGVSKSLEVEESYLYNLADMDMGMDFFAMNIYPPCPQPELAVGLSPHTDFGLLIILASNEVAGLQIQQNGVWFNVDVHPNYLMVNLGDHMEILTNGKYKSAVHRATVNSKTTRISMVTLYGAEVNKLVVPAPEFVDDNNPPAYRGMKYGDYFIADQTSTTKGVTSLDLVRI >KZM82473 pep chromosome:ASM162521v1:9:19888022:19889325:1 gene:DCAR_030042 transcript:KZM82473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQGNRSKGADNTMNEDETVDSGYSKSSSSLSSYSSATCESPSQNGSPVQVPVTQVMERPVEVSSDRIPASIFENKASTPTDWSVVSNDSLFSIQIGKLSFSRDQFLTLTDDKFDELFKSEEMRKSAEVIRSSLHSVFSVGNQQFDMGKICEVQDETLEDSPSNNSDQFKHGVPHIEPNGGSSANQQQFDESGISGQPLAFPKRRNPGAGVSAFVHGTLGVSGQVGIGAQCGQAAAVRGKSAALSGHPVAVNGQAVAVTGQTVGASGQAGVAIGKAVAASGQAAVVNGLAVVVVHGQAAVVVHGQAAVVVNGKAAIAVNGKAAVAVNGLSHAASFSVQALTATVQVLVRHSAALATVEGNSYVAFYSPVNVHINALPC >KZM82084 pep chromosome:ASM162521v1:9:11004804:11004953:-1 gene:DCAR_029697 transcript:KZM82084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLTGSIPTTFGTIKFNIGKIIIKFKIISKAKCTDMPNFQRHFKFGDV >KZM81342 pep chromosome:ASM162521v1:9:180062:189908:1 gene:DCAR_028955 transcript:KZM81342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTEIIPDTNPTQNPKLGYESVKKKRKRNRGKKEDEKLCSGEMNEKGESGGEEKEFVGTKEVEHDNEVEQGDEVEEDKKIKKKKVKSGSGIMSSVTFESMSLSEPTMKAIKDMGFHYTTEIQARSLPLLIKGIDVLGAARTGSGKTLAFLVPAVELLYQLHFAPRNGTGVIIICPTRELAIQTHAVAKELLKYHSQTHGLVIGGSARKTEAERLAKGVNLLVATPGRLLDHLQNTKGFIYNRLKCLTIDEADRILEANFEEEMKQIIKILPKERQAALFSATQTEKVADLACLSLKDPVYVGVDDERNWVTNEGLEQGYCVVPCAKRFIYIHVDCFDIHGQQKQQKRTNTFFDFCKAEKGMLLCTNVAARGLDIPSVDWIIQYDPPDDRKEYVHRVGRTARGEGSKGKALLFLTPEELQFLSHLKAAKVPVKEYEFPEKKLANVQSHLEKLVSKNYYLNKSAKEAYRSYVLAYNSHSSKDIFNVHRLDLQDQGVSKFIICLDNTPPVLNLLAGVAASFCFDNPPKTVVHPNSGIQNEKMDEVEMDSAVAIHMGTEVQAIDDQSGKMDSDTGMTPEMIQ >KZM81405 pep chromosome:ASM162521v1:9:827837:844510:1 gene:DCAR_029018 transcript:KZM81405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKRTKCYLDISIGGELEGRIIIELYSDVVPKTCENFRALCTGEKGIGSCTSAPLHYKGVCFHRVIKSLMVQGGDISAGNGTGGESIYGLKFEDENFELKHERKGMLSMANTGPDTNGSQFFITTTRTSHLDGKNVVFGKVIKGMGVVRSIEHVPTEDDYLPSVDVLIADCGEIPEGADEGLANFFKDGDMYPDWPADLDTSPSELSWWMNAADSVKGFGNDHFRKLDYKMALKKYRKALRYLDVCWEKEGIDEETSSGLRKIKSQIFTNSSACKLKLGDLKGALLDTDFAIRDGENNVKAYFRQGQAHMALKDIDAAVESFRKALELEPANVGIKKELAAANKKLTYKFDPKSEKNFSSPSAAMTEYWVSQGNKWCDFCKIFISSNPISIRNHELGQRHKDAVAKKITTMRQDKAAKDKEVKEAARSLEQIEAKAQRSYQKDISTFKEARNSNAQALVSQEDGQGAFKGSTISADWEFDSATGYYYDQLNGCHYDPNSGFYYTDAIGKWVTTQEEALSAPRSSSSSVNQKSMFKKPLLSSDSKPDVKNRTSSPASVNPARSAKGAPSSLMVHKRKRQDKPKAISAEEVAALKAREAARKRVEDREKSLLGLYKH >KZM81754 pep chromosome:ASM162521v1:9:5446074:5451504:1 gene:DCAR_029367 transcript:KZM81754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKSKREESLLKKRREGLQANQQFPVPSQSVSGLEKKLENLPGMIAGVWSDDSSMQLEATTQFRKLLSIERSPPIQEVIQSGVVPRFIEFLMRAEYPQLQAVWALGNVAGDSPKCRDFVLGNGALVPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPAFDQMRPVLPALQRLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLYLVSQGCIKPMCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGQSGDVNVYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDDETVPPGDGAVQQQQGYNFGGGGVPVPSGGFNFQ >KZM81987 pep chromosome:ASM162521v1:9:9586858:9588054:1 gene:DCAR_029600 transcript:KZM81987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKHFSHIHILGHQQIIPQGHEIECSGCKLQASGLVHGCWDCNFFLHDQCFTASRAMIHPSHPSHPLTLAPFPTYPSNTFYCNSCNLVGTGFAYSCSLCEFDIHVHCAYMSNTTPQSSYQNNVHQTYVPNNSGSIPAYPPQTYNPQNPYTNQNATPYPNMPGSHNHNSPPTQSASHSHDTMPNANPQSGAAGETRHFTHGHPLCLSEIREKQMKVCSGCDENNSGSAYVCKDNQCDFNLHKSCFDLPEEIRHNSHPNHPLRLIIIPDQTNGFTCDGCHKNGFSFTYSCRACDFTLHTDCASLPKTVKRKDHEHPLTLYYEMKSEFRCDVCQESASEGCWRYRCRKCDFDTHTYCVKSSAKPYNAELDYYNESEKVMAALVAAKIQHDTNAFILNNLI >KZM82033 pep chromosome:ASM162521v1:9:10181724:10182288:-1 gene:DCAR_029646 transcript:KZM82033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGGDWDDLSAQLALLELGLCEGLGSSRSGIADPISAGNVKIDNLGVGSSKPGEVKPDDDIYEQYKKRMMLGYRYRPNPMGNPRKAYY >KZM82411 pep chromosome:ASM162521v1:9:18562148:18564712:-1 gene:DCAR_029980 transcript:KZM82411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFNAPGRNHLFVPGPVNIPEQVLRAMNRNNEDYRSPAIPAMTKTLLEDVKQIFKTTSGTPFLFPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQKRLNFNVDVVESDWGQGANLEVLASKLAEDTAHTIKAICIVHNETATGVTNNLATVRKILDHYQHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEATKTAKSVRVFFDWNDYLKFYKIGTYWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHTRLAKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYSVQLGSGVAAASAYLQNSMPMIPSRI >KZM82353 pep chromosome:ASM162521v1:9:17798633:17801472:-1 gene:DCAR_029922 transcript:KZM82353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCMSNLNVPSALLKKTKQIKAYSRSWRHGRKHHSHQINVLQNASGQDIFTRYKLGRELGRGEFGVTYECIDIENGEKVACKKISKDKLRTEIDVEDVRREVDIMRHLPSHPNIVTFIDVYEDKEAIYLVMELCGGGELFDRIVARGHYSERGAALVTKTMLEVVKVCHDHGVMHRDLKPENFLFANEDENAPLKAIDFGLSMFFEPGQSFGEIVGSPYYMAPEVLRRKYGAEVDVWSAGVILYILLCGVPPFWAETEEGIALSIIKGRIDFNREPWPKVSEDAKELVKGMLDPNPSSRMTVEEVLGHRWIQNAHKVPDIPLGDNVKTRIQQFSLMNKFKKKVLGMVAASLPDEEIHGIKEMFNMWDIDKNGNLTPEELKLGLINYGSKVSDPDVQLFMEAADLDGNGTLSCEEFVTIALHLKRISSDEQLKQAFNSFDKNKNGYIEFEELREALFEEHEEPNNEQAVHDIILDSDLDKDGRISYKEFAAMMKVGMDWKMASRQYSRVMLNALSMKIFKDKSMHMKACKTMKR >KZM81411 pep chromosome:ASM162521v1:9:901924:902661:-1 gene:DCAR_029024 transcript:KZM81411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMEVMIQSPNTEAEEFDFSSTDFGFGFNGHSQVPADLLFDGGKIRPLKLLPRSEFQYNIFQHNVATYSPTSPPSPKRMLSKGAALVAPQHKKTLCSPETKEEPILVNQSTFSGSDVLTSSSSSSIWSRRWKLTDLFTSLSYSTDKNVTKDDSASILRSHKKDSNEWSFRSESSSKSGSSRGGGCRRRGRVSAHEWHYKQNRAAAEEMRRKTFLPYKKSLLGCMDVDPSGVLDFSRGHGVEITC >KZM81735 pep chromosome:ASM162521v1:9:5171081:5171500:-1 gene:DCAR_029348 transcript:KZM81735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRPSPALHHYWRLVRSSVMGRNRGMGLLGANASVGISRSIGTQSGRSTGGSYNRGRGSWRSCRGGLDRVTRGLWLTDIAHHHLAIAILFLIAGHMYKTNWGIGHGLKDILEAHKGPFTGQGHNGLYEILITSWHAHQ >KZM82558 pep chromosome:ASM162521v1:9:21098199:21099344:-1 gene:DCAR_030127 transcript:KZM82558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLCGKVRAFDVAWGLIDDMKENGIEVSNSTFSVLIRRYVRAGLASEAILAFNRMGEYGCVADKIAFTNVVSVLCKKRRAVEAQEFFDGLKGKFEADVVVYTSLVNGWCRAGNISEAERVFSEMKEVGIQPNVYTYSIVIDALCRCGQITRAHDVFAEMIDAGCQPNAITFNNLMRVHVKAGRTEKVLQVYNQMRKLSCAADTVTYNFLIESHCRDENRDEAVKVINTMVRKGCEANASTFNPIFRCILKAGDVNSAHRLFARMKEIKCKPNTVTYNILMRMFADSKSADMVIKLKEEMDEGEIEPNVNTYRILISLYCGMGHWNNAYKFFREMMEEKCLKPSEAVYEMVLQQLRKAGQIKKHEELVEKMVIRGFVARPL >KZM82849 pep chromosome:ASM162521v1:9:25220843:25221646:1 gene:DCAR_030418 transcript:KZM82849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFPAQYRKGTPQELFDMFIHKVALSSKLPTKDAVNLLHDTRILVPPTIVMVSYGERGSLAFFRFGDKEWTHVEIQSRHTDDIVYHKGRFYTVNNEGQVFVCDVQNGCGRSRATRIASLPFETFQDRKYLVESVSGSTLFLLVHCCKFRNFYTLPRPSKYRTTNFLVWKMELQNLDDCLEIPSCNLTIENNIGNQAIFVGRNTSVSISPSETVRPNCIYFTDDRTDCYHRVGGGHDMGIFSMEDQTIEPHFPGKSIHFISPPLWYI >KZM81737 pep chromosome:ASM162521v1:9:5263592:5276494:1 gene:DCAR_029350 transcript:KZM81737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICMGKSAKCAHASSNQFMETTSSSSDKQQESFSTNKKSVSLSFNKTVVAPEGEISVSNSLRAFSYHDLKNATKNFRSDSLLGEGGFGWVYKAWLDENSFAASKSGSGISVAIKKLKTGSCQGHREWLTEVNYLGQLHHENLVKLIGYCVESDNRLLVYEFMSKGSLENHLFRRGVQPIPWSTRIHIATDVARGISFLHNLDSNVIYRDLKASNILLDSDFQGFLNNLQDWESSLKDKEKKLKSQSLSKEKTKIGIVGEDRKQRGKPASNNSSTAQFDYLRSFNAMDNLSSAVMTEGSAVDANSEKELGNENFKQKKYQEAIDCYSRSIAFLPTAVAYANRAMAYLKLRRQEAEDDCTEALNLDDRYIKAYSRRSTARKELHKLKESVEDAEFALRLEPHNQEIKRQHTESKALYEKEILKKAAASLKSAEKGVQRVAKPVIEMSSQNNGVQTVSSGSENMGVAAMNVDRCNSYTLMPVFLDDLQAHGRFSAHMMILNLSAACELMDVIVTPRPVHRNHKTGQEELKASVQELAARAAALATAEAAKNITPPTSAYQFEVSWRGFSGDRSLQARLLKVTSPVALPRIFKNSLSAPILIDIVRCIATIFNEEPAMSVKYLESLTKVSRFDIIIMCLPSTDKADLVKMWDHVFLKDGTSAEYAGVLRNLRPKYCPYQ >KZM82970 pep chromosome:ASM162521v1:9:26645538:26647312:-1 gene:DCAR_030539 transcript:KZM82970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRESQEFWGVNMILIDDSNSRIHAFANSKYCDDLLKDMKEGQIYEICNFKVKDYLGDEKFRAVRNKKHLFFTPHTKFQQADTIRLNIEKYAFDLFHYDEIDKLEDDNRFLIGVAHLSNYPATRVFINPEHYSVDRLKKSLEEEKIVPEVVFMPNQPKPAEVTKKLLKVKEIKNLPKDFEEGIIYCEVTVKRFMDKSSWYFRKCTGCDLELEVQDAKFKCLRDGGCGRIYPYPEKRFRVGTLCSDETGSIAIIFPDNEITRMIDKTVIDLHAECADEAEEEKFPEILNFLFQTEIYHKP >KZM83462 pep chromosome:ASM162521v1:9:32131757:32133097:-1 gene:DCAR_031031 transcript:KZM83462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEERSCLVSRRFSRNCDKENSWACMNYRIEKIEIQQGKRLLESDGDEEEGPRKLPKQLDACEDSNLAPRSQELAMIPTDQSGNQRHAGDHSDSSSLIHAIGRDNSISALIRSSRSDYGSLASLNRSFRELIRSGELYRLRRQNGVIEHWVYFSCQLLGWEAFDPTSRRWMRLPTMTSDECFMFSDKESLAVGTELLVFGKVFLSLFIFRYSVLTNTWSSGMNMNFPRCLFGSASVGEIAILAGGCDLSGNTLSVAELYNSELGAWETLPNMNKTRKMCSAVFMDGKFYVIGGIGGSESRLLTCGEEYNLQTRVWTEIPNMSPVRSRPDSEAGMPVTAEAPPLVAVVDNELYAADYAEMEVRKYDKNRRIWETVGRLPERADSMNGWGLAFKACGDRLIVIGGPRAAGEGFIEINAWAPRDGPPRWNLLGRKQSGSFVYNCAVMGC >KZM83464 pep chromosome:ASM162521v1:9:32163135:32164741:1 gene:DCAR_031033 transcript:KZM83464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSGQSSGASRISDDQIADLVSKLQQLIPEIRNRRRNSDKVSASKVLQETCNYIRNLHREVDDLSDRLSELLESTDSNSAEAAVIRSLLM >KZM83523 pep chromosome:ASM162521v1:9:32653831:32656116:1 gene:DCAR_031092 transcript:KZM83523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVSGVNFHFLLLLAYLAFQAILISGYDRSTYIVHMDKSLMPKTFASHDIWYSTTINSVKTQDLASSPSLVYIYDHAFHGFSALLSKNELESLKKSPGFVSAYTDRNVTLDTTHTPEFLSLNPTTGLWPASDYGKDVIIGVIDTGIWPESASFKDDGMSEVPSRWKGTCEVGQEFNASNCNLKLIGARYFNKGVLAAKPNITLSMNSARDTQGHGTHTSSTTGGNYVEGASYFGYASGTARGMAPKARLAMYKVIWDEGRYASDVLAGMDQAVADGVDIISISMGFDNVSLYEDPIAIASFGAMEKGVLVSSSAGNGYPDLGYLHNGIPWVLTVAAGSIDRTPSGSLVLGNGLSLTGWTMFPANAFVRDVPLFYSKNVSSCYSAEQLALVPTDAVIICDDEYTAALDMLSFVANSNAVAGIIISDDQSLFEFNKFPYPGVVISPKQGRDVVKYAQTNSKPTVTITFQQTFVGTKPAPVVAAYSCRGPSSSYPGILKPDIMAPGTLVVAAWTPNVHSSIIWPNNIELSSDFNAITGTSMACPHVSGLAALLKGAHPEWSPAAIRSAMMTTANPLDNSHHQIGDVGTDFDPATPLAMGAGQVDPNRALNPGLIYDATTEDYVNLLCSTNFTRNQIYTITRSNYNCSNPSSDLNYPSFITLYNSTLPSGAIVDYFTRTVTNVGDGSATYKAKVIAPKGAVVTVSPDTLVFDKTYEKLSYSLSIAFTGDNNGTVKFGSLTWIEDNSKYTVRSPIVVSPYVNAWG >KZM82055 pep chromosome:ASM162521v1:9:10543449:10546063:1 gene:DCAR_029668 transcript:KZM82055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAVIDWKDSKFVKDEALENINAPQWVDFNAPLQPVDDDSWFCKPDCNHPKTVDDFLKNPPSKLQRSASVSEILPFGDRTRREAALKKRGLVPASSAKESKLEKLAEDRENQNPNLSTPLKYKAKLKEAIKSSAEKQFESISLKDEKPKLKSTLSARNLFAGRDLLNQISDFCSDLKKLALRAKERENMEHENLNKTPVAVQMYEEKECSDSKLKIAVDDRKPLLEARKEKSEVLEKSNGKEKLRRKIAGHTVNSNHEVLGSCRRNEDTENTPIVLDLKYKGCKEENLAQIRTNPPSPQCFSAKRGVTSAALTPTAFKPRTQERGILQELELRKDVRKDAGDSKGTPGRMVPLPAEREPAKTLDVFWFLKPCTLAS >KZM83487 pep chromosome:ASM162521v1:9:32395230:32398420:1 gene:DCAR_031056 transcript:KZM83487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGNYGGRRGGVSLVLRGYGGSLGFVEGRGRKVESWWCLCKGGEGIDGEVGLEAEILEFMNKSEKPDKFPTKKELIDGGRIDLVEAIIKTGGWLSLGWETDDDECDELEECTVKDSESADFDIVDFQERVKSLQGRISFQEDEVESMYSSQSVSSSGRSVDVAAEDNSGIMGILNRLEKDRIMSLGTSTGHQSKGSSENGDHLGYSRDMSKTENIRAGSGSPTKRMVNNLVNDISSNGSVSGVDDVRYSGNPNMWRTWSRERAGLKDMEFEAAEICFDECQFQGKDGQSEDIILSITDGTTEALIETSELNHIQIQTRLQQMGRELASTLHFLRSQSKDLTHKDHIHGNNYGELQKIYDTWEFHENDVINARAKLRSIRAKLAILEGKMALSIIESQKIVEEKQRRVDCARRALQLLQSITIIWPNSASEVLLTGSFDGWTTQRKMEKSRTGIFSVTLQLYPGRYEIKFIVDGAWRCDPLRPTVHNDGYENNLLIVT >KZM82669 pep chromosome:ASM162521v1:9:22368899:22369978:1 gene:DCAR_030238 transcript:KZM82669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTWELPDENFIKINVFCIISEVPLPNGNSLGVGVIARNDGAENLWTAMGTMANLSEEQALLSGIQSACIEAKKKGWKLLHIETSNRDVFDTIIAQHHIILREDQREAYALFNTVHANNRKNGRTDRCISCVPASMNGTARYLAEYGMRNMSEFAEFKGMIGDLSYHLDRDMGMALMSPLLEVGSNMGEGEVIDAPKPPSPVRGLKRKFSSLDRATPTAHPSFVPTTLSLSIPGSSLHANRDKGKSKLYKDYAFNDDGVVCPRAIKMMEEGKLAGFNDFFKHEVVDMDVPLLSGIYARDVLHHAVQGTLHSLLADRSSQWDSFSRMFSGFMQVEEVLQAMGFSTPPSKGSKKFKGPSN >KZM81992 pep chromosome:ASM162521v1:9:9664917:9668611:1 gene:DCAR_029605 transcript:KZM81992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKIEGQNNNQSQSIWTTWEDLLLSSAVRRYGLSDWSTVATELQSRVNNNQFTPQFCADKFSDLKRRFDVSGDGENVAGDGENVAVPWLDELKNLRIKELKEIVQGRGVSIQSLNLKVKRLEEERERSGKEDGSDKQKPDLEAERSENVQNDENDVVMAEKDEKIGEVAGDSVSGDRSERENRSVNESNSTEKKRMETGPVRTGGDKPVGPVQADSWNDSSKPSDEKKAENESVKVVESAGGESKGGKDSNEAQSSASLTRKSRSSTSNSGGGDERMTSKTTSRDSVKNTEQLNRFLNSIRSSKNGPVFEARLQSQKTEKYNSIIRQHVDLETIQSRVNNGSYSSCTTKFYLDLLLLFNNAIVFYPKSSPESTAAVDLRKFVLKGLNKKRGAKQSNPSPESGPTTLLKIKSDPERSDSLLAKQKNSAPFIVCRKRSSITSKPSASNKPQKPEDKQVLDTKQPPARPSSSAKPSSSSPNEEESLLKLNPKEKPVTGARSMRRSSSARINNASAKNTANTTVKTPVTSPSMNPGSSTAKGSEGSKADNKKKTNPLLVKKREAADFLKRIKKSSPAKGTLLDTLKNLPENSNSSSKRETREQPKKKVVDERKESTRGRQKGRGGGKRAKEEEASQSKRNVGRPPKRGRDDGVASGKRGKEIVEEEVVAKRPNKRSKR >KZM82028 pep chromosome:ASM162521v1:9:10096617:10097257:-1 gene:DCAR_029641 transcript:KZM82028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCVISSVSSQPQFLYTQPPSKVLHLKNLPWECTVEELIELGKPFGKVVNTKCNVGANRNQAFIEFVELNQAIAMISYFASSSEAAQVRGKTVYLQYFNRQEIVNNKTTADVAGNVLEMLQIAENLVA >KZM82252 pep chromosome:ASM162521v1:9:14762977:14765477:-1 gene:DCAR_029864 transcript:KZM82252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVLIDEKGNLMHASVPRHLVSRFKRHVSECKLYSLRNVKITTNTYPYRPLASDKKLLFLATIEVVKLDADAVRIGMHGFQFVSLPVLQARADDVTILSADIVGCFYGYGEVETVGARLKRKRDIKIFTDYSVTSTITLWGKLGELFDPTLYTQDGGPYVMVVTSVSVKTYQRALTFATTSASRIYVNPDEQHVSSVRERFSALSTKVLALEGTSASKLPLEEAMFVNQITVDDLVGATCSGELKAAIATLKVIITAVNTRFEWYYVSCKSCVKKATPVGGVYVCAECKKPVDYPLHM >KZM81797 pep chromosome:ASM162521v1:9:6161045:6161386:-1 gene:DCAR_029410 transcript:KZM81797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIAVLLLMVLAVAVAEAAFICNGPLNQQAGAKCVAYTRTGADKEPSAECCKAYKAHVESAKTVAERRALCACVQNNDRTNPGNNITKVDSLQGKCGLPFLFSAARGFDCNT >KZM81691 pep chromosome:ASM162521v1:9:4544388:4551028:-1 gene:DCAR_029304 transcript:KZM81691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLADNAPNAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRTGTEMLTNEAGEVTSHLQGMFSRTIRLLEAGLKPVYVFDGKPPLLKSQELAKRYSRRADATEDLNKAIESGNQEEIEKFSKRTVKVTKQHNDDCKKLLRLMGVPVIEVTLELYYKCSSMAPSEAEAQCAALCKSDKVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFDVSKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGLTALKLIRQHGSIESILENLNKERYQVPDDWPYQEARRLFKEPSVLSDEEQLDLKWSAPDEDGLINFLVNENGFNSDRVTKAVEKIKAAKNKSAQGRLESFFKPMSKPSVPIKRKETTEKAAKETSNKKSKPGSSKKKK >KZM82509 pep chromosome:ASM162521v1:9:20528574:20529665:-1 gene:DCAR_030078 transcript:KZM82509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSCIDDQSTTSTDSFPPPQTSPPCLIFDIEKSPEGLSRMGSGTSVILDSENNNSSNNNSLEAESRKLPSSKFKGVVPQPNGRWGAQIYEKHQRVWLGTFNLENEAASAYDIAAQRFRGRDAVTNFKPLSETENDDHESRFLNSHSKAEIVDMLRKHTYNDELHQSKRNNMFVNDVISLSCEKNVATRENLFEKTVTPSDVGKLNRLVIPKQHAEKHFPIQNGAISKGILLNFEDFNGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLKAGDVVSFMKSTGPDQQLFIDWKERNGPGLGHEAVGFAPVRMVRLFGINIVTAVNINNKVVIEEVDSCNKKRMREMEILGLECGKKQRIIDVV >KZM82059 pep chromosome:ASM162521v1:9:10570051:10570878:-1 gene:DCAR_029672 transcript:KZM82059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADLFRNLHLPGLVFASTPSPQLSGLTLAPAPSDNCPVPSPAPSDADMTRNPTPLDPDIVTAPSPEPSSRRMALVAADIWPALGLAPSDTDMAPAPSPAPSSTDMAPAPSQAPSSTGMAPAPSQAPSSTGMAPAPSQAPSSTGMAPSPSPAPSSLSMETFPLSVHPGSPAMGPVPDSDPFDMGIHLPPDLAKETLNLDCTGCRIDPFALAACGNLINGTLKPDTLNLCCSALSSLSRQDASVCLCHAIKIEALVIADFDVPDAIRKALAGCRKY >KZM82728 pep chromosome:ASM162521v1:9:23105333:23108125:-1 gene:DCAR_030297 transcript:KZM82728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDFFDGMTSLQSVYIGYNAFRAWQLPDSLKSVGTLQKFSATSCNITGKIPDFFGSDTFAGLTLLDLAFNSLEGELPVSFAGSSIQTLWLNGQNSEARLSGSIAVLQNMTELTEVWLHSNLFSGPIPDLSRLGQLQNLSLRDNSLTGVVPVSVVEMPSLVIVNLTNNMLQGPMPKFKDSVQVDMAGINSFCLDKPGVPCDFRVNELLAVAESVGYPSKFAENWKGNDPCTPWLGLTCNGGNITVINFQKMGLAGTISPNFSSITSLQRLILSNNNLTGVIPDELTSLVNLKELDVSNNQLHGKVPSFKSNVRVIVTGNVDIGKDSGPSTTPTTPAGKIPGSSPGSTAEAPRGGENKSSTGVVVGSVVGGVCAFVVAGFLVICLYRAKRKRSGIEQHPGTTVIHPRHSGSDQDAVKITVTGSSVNGGSTSETLSLGSSGRRDMHIVEAGNMVRHRNLVVLLGYCLDGNERLLVYEYMPQGTLSRYLFSWEEEGLKPLEWTKRLTIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPDGKASLVTRLAGTFGYLAPEYAVTGRVTTKIDVFSFGVILMELITGRRALDETQQEDSVHLVPWFRRMHLNKETFRKAIDPTIVVDEEVLASISTIAELAGHCCAREPHQRPDMSHAVNVLSSLAELWKPSEPDPDDIYGIDLDITLPQAVKKWQALEGMSGMDYSSSGIGSSDNTQTSIPTRPSGFADSFTSSDGR >KZM81686 pep chromosome:ASM162521v1:9:4462798:4463073:-1 gene:DCAR_029299 transcript:KZM81686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDAVMYGGIVGVILGCLEARQKSQDNAALRSRLDRKHPDYAAFDHASDTKRPDFAALRSEYDALRSEYAALRSGLDRKLDDNQPKPPPKN >KZM82584 pep chromosome:ASM162521v1:9:21556002:21557510:-1 gene:DCAR_030153 transcript:KZM82584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQIIISNISSSARLKYVKPGYQFLANHFVAWISVPILVILFIQVLSRGNLEILALLNSSHYGHVQILFSSFLVISLSTLYNKSKRRTIYLVDFACYKAPAELRVTFDAFIEHSKIILKSEPKSLEFQLKVLERAGLGEDTCLPSALHLLPPDPTLEACREEAEMVIFSAMDSLFLKSGLSPKDIDILVVNCSFCLSIPSLSSMVINKYQMKDSVKSFNILGMGCSASLISVSLVRDLLQVHPSYNAVVVSAELMTTIFYQGKERSMLVPNCLFRMGGAAILLSTRRTFCNIRAKYRLLHLVRTHTADDDTSYNCVQLKEDLEGNLGAWLSKELMAVAGKGLKLNLTALGPLVLPPSEKILYFMNFIARKISSSRWRPYIPKFKQAFEHFCIHAGGRAVIDGVQDSLQLSVEQVEASRSTLHRFGNTSSSSLWYEMSYIEAKGRMKKGDRILQISFGSGYKCNSAVWECAETVKIPTGDPWRDCIHKYPACVPQLIKSADQ >KZM81448 pep chromosome:ASM162521v1:9:1341415:1343664:-1 gene:DCAR_029061 transcript:KZM81448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTHSPPTVVLSDEDKQHILDLSKDDGDEYGKFIKSIIDEFKPLNPNRKLSGTRESKTLEDIEDKIKSCLGVESIVTEVIDDNLGKVSVVETLIEGNISSAEKFFQVKLTYEQYDLHILIHKSSLYLVGYRREYKPKKEEATVNKDDKGKIDDNAESNDDETEIDDNAECWIILSEEKDVKTTAAAVEYLKQFQTSFTLKTGDKPKDIPERKAEQEKKLKEKANAKTEAQLKDELLQRIELIKLLEKEIPEEDESTRGLKKGLAGNLKEKCCQLQQMNGKIEELKMEIEKLDKGIISLYSAVTVAEDLYQFCDDLNKISTTLGELLQHFGTGATYSVANEEIKKIDVNRQALIDAFEHLTQYPFDEDDHKDLAKHITHLVVMICEATRFIPIADHIKKCYTSTSNTKLSDDDVALINMWSNLSGIIKRGWTYKGERESRLAKLVKCVAVMKAHNSFEE >KZM82466 pep chromosome:ASM162521v1:9:19819016:19819288:1 gene:DCAR_030035 transcript:KZM82466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKATQEVANAIVEAQSLAMGGNVNGLSLGPDIPTISLSRTVALPELRRIRRTFIKLTGQSSLSGAPPPSDANSTKRMFVDYLNRELGSG >KZM82739 pep chromosome:ASM162521v1:9:23214763:23224394:1 gene:DCAR_030308 transcript:KZM82739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQSLAIVLQAALSPRPDERKAAEQSLNQIQYAPQHLVRMLQIIVDGNCDIAVRQVASIHFKNFIAKNWSPHDLGEQSKILPADKDLVRQNILVFIVQVPPLLRAQLGECLKTIIHADYPEQWPALLHWVKHNLQNQQVYGALFVLRILSRKYEFKSDEERAPVHYIVQETFPNMLIIFNGLVQIASPSIEVADLIKLICKIFWSCIYLEIPKQLFESNAFNAWMVLFLNILERPVPLEGQPADPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPENRAFAQMFQKNYAGKILECHLNLLNVIRVGGYLPDRVTNLILQYLSNSVSKGTMYNLLQPRMDIILFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKENLQKFILYIVEIFRRYNDAAIEHKPYRQKDGALLAIGALCDKLKQTEPYKSELERMLVQHVFPEFNSPVGHLRAKAAWVAGQYAHINFSDTNNFRKALQSVVAGMRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPFALGLCQNLAAAFWRCMHTAEDNDEADDPGALAAVGCLRAISTILESVSSLPQLFVSIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFYSPTISMDMWTLWPLLMEALSDWAIDFFSNILVPLDNFISRGTMHYLTCKEPDYQQSLWNVISTIMVDKNMEDNDIEPAPKLIEVVFQNCRERVDHWVEPYIRITVERLRRTEGAYLKCLLIQVIANALYYNASLTLNILQKLGVATEIFNHWFQMLQQTKRSGVRVNFKREHDKKVCCLGLTALITLPVDQLPGEALQRVFRATLDLLVAYKDQVAEAAKEAEAEHDDDMNGLQSDEEDDAEWSDKEMGVDAENGDEDDNKTLQRLAAQHAEQRRVEIEKDKLEKASRVAPPS >KZM82832 pep chromosome:ASM162521v1:9:25097667:25100436:-1 gene:DCAR_030401 transcript:KZM82832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLEFPTKESRRTSGTTMIQDNVLAITDHVILPISVVLNAIKEIKTEGIEQTDPLFSRVLRPGGQILFQTSQSTLDQVTTSSLQGKLLVAGYVDVQIGTMTLTVPSEVSQPITVKAKRPSWKVGSSFSIKKAMKNLPKLQIDDDMDLIDEDSLLSEDDLKKPQIPPVGDCEVSNTRKACKNCSCGRAEAEQKVQKIGPTMDQLENPQSACGSCGLGDAFRCGSCPYKGLPPFKLGEKVSLSGNFLAADI >KZM81331 pep chromosome:ASM162521v1:9:100830:101396:1 gene:DCAR_028944 transcript:KZM81331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSNSNAAASALNNNNNNNDNMNNGGGVSSKSKTNDGGERKGGGGAGIKGEMVGKTIIGIICGTLVYYHCAYRNSTFLSLLSDVFIVLLCSLAILGLLFRQINIQVPVDPLEWQISQDTANSIFACLANTIGAAESVLRVAATGQDKRLFFKVFFSHMILY >KZM81832 pep chromosome:ASM162521v1:9:6636828:6637433:1 gene:DCAR_029445 transcript:KZM81832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHESCATLAFQLNYFTCLQWIISLYVLMFIEGVYTYNFKLKSSHHDMFSSSRIWLLPQMDDDYYSLRYTDPKEYFAGVRREWAFRLEESNQLRNDLIGLGAKLPVRDSLGVYPARNFNGSWGDYRRLVIEAVGLIREENNRMLLRRCRFYMLKLVKDSAAASGREMTFEEECQLLQNPNYLSDEPMSDEEATDDDGSE >KZM82542 pep chromosome:ASM162521v1:9:20889275:20890075:1 gene:DCAR_030111 transcript:KZM82542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIDVRVHHRGYFVHPPNGIVQYVGGKVDELVEDTEKMCFADLEHYSVAFGYDTGSSLVYFQNDGHSFDNNVRVLYNDDGIKEMIEICKPFGCINLFVDHFDLEDLQTEDVIREEKLMGEKELGDSSFEDDPDYVAEGQDSESDESEFYTDGSDGELMKNVNNRRIRKAAEANKINFELRRTMIKEREKNIEEEDYLSDELMSLSSSSEDEAVKKGYIGPPPVKNSKKRKHSFYNPKKITDGIKFSPGMRFGSMEEFXKLDYNDD >KZM83571 pep chromosome:ASM162521v1:9:33065751:33066295:-1 gene:DCAR_031140 transcript:KZM83571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLTKLYLLALAFILLSNQSARCITASSLHQGDKQNRWFLSCGNGYRKLGHLNCGNAGESTNMRKTPWSESLKTALSPPPAPVMRGGSPSEDIAPPPPNK >KZM82794 pep chromosome:ASM162521v1:9:24343741:24345664:-1 gene:DCAR_030363 transcript:KZM82794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALFMGSSSLQVNKGFSDFTGLRSSAALPFNRRTSDDLLSVVAFQTSVIGGGNKRGVVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVVAINDTGGVKQASHLLKYDSTLGIFDADVKPVGTDGISVDGKVIQVVSNRNPSNLPWGELGIDLVIEGTGVFVDREGAGKHLEAGAKKVLITAPGKGDIPTYVVGVNAELYDHSETIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVTKKTFAEEVNAAFKESSDNELKGILALCEEPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVASQWK >KZM82834 pep chromosome:ASM162521v1:9:25103356:25104541:-1 gene:DCAR_030403 transcript:KZM82834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLSSSLILPTSNNNQINVLDSGYHKNIHSTLKYTSTHCIFTKTPCQTDKSFNINLKNKPLRRFRSIVVECTNSSFEFFDSKSGVTEEWSYQEDEDTDDIDSPWEGAVVYQRNASISHVEYCTTLERLGLGEYSTEVSKSRASLMGLRVTKAVKDYPLGTPVMVSLDITRKKQKLRLDGIIRTVLTLGCNRCGEPAAECVFSNFSLLLTEEPVEEPDILDMGVMFGDSTNKGVGEDLDDDSIDWEDRLFFPREEKTIDISKHIRDMVHLEITINSVCDPTCKGLCLDCGANLNLKNCGCSKRKAKETASGPLGGLKEKMQQS >KZM82166 pep chromosome:ASM162521v1:9:12714707:12716605:1 gene:DCAR_029735 transcript:KZM82166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATKSKSKSKEKPLTKATKELQKASQKYPESNNGSTPASAYNPLSGTFHSLDSASGTSSPPLQSNGRFRNIEETDDHSGNLHRTAIEYDSLSNNDSCSGESEDHKEKATTTAIRPDVVTVSDNEKREKIRQKNERKHQRQRERRAQELHERCSGYLMSRKLESLSQQIVAMGFTSERATMALMLNEGRLQESVNWLFEGTEQESQTKDIGSGANLKIDIAEELARISEMEVRHKCSKQEVERVVVSCEGDLDKAEETLNSQKPEPITHPKPEESASLKHAMMHQEKPTSAVTTLLNRNEGEMAYSKGAVALPTHPATGSRNQLSSKIIPSKPQTEKRVSGNYNSSLSYSSTGPIQVASSSAKVMAQLGVGLEGRPFHQGAAIKEPIVMMQRPQSINIKPSSVINSSASPPGTNQWYGNNVMGVDNMILNGKMVHSQSSGNFGTGSQHFYPQSQSRNLGPDNPSSRQYNTQAQYMQQRAYMSNSVDPVAARPVAPSWSPVGTSSSPSLTVPSSLGLFSGFGSGATSGLPSHVDWNARDMMSHCDYNSIDWTLKSNPMSPPKLNDLMLEDRSGGLMRGLSSMHSTNGVRVAGLQDGGPTEATTSGVPREWTSPFAGKDIFSLPRQFVTSPSP >KZM83239 pep chromosome:ASM162521v1:9:29907365:29916605:1 gene:DCAR_030808 transcript:KZM83239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHNVRDPLMNVEYHEKCPGCQVDQQKAAQHGHLPISRLFTVFIAVLTTAMQVSSLFPFIYFMVQDFNIAKQEEDISYYAGFVGSSYMLGRALTSVFWGKVADRYGRKPVIIIGTSTIVIFNTLFGLSINYWMAVIMRFLLGSLNGLLGPIRAYTFEILQEEHHALGQSIVAASWGTGLILGPALGGFLAKPADNFPHLFSPRSIFARFPYFLPCLALSLFALVVVIASLWLPETLHNHDLRKIPSDDPIVDLEISALVESDATVCTHEKKKSKSKESLYSNWPLMSSILVYCVFSIHEMAYLEIFPLWAESPRRLGGLSYSTINVGEVLAISGITYSLIILMVISLEYWSGLGLLLFELYLYPKLEKKVGCILITRISAVVSIPLLTSYTYIAMLSGILLSISINCASLFKNVLSEFIVTGLFILQNRAVDQHQRGTANGIAMAFMSLSKAIGPAIGGALLSWAQGRRDVAFLPGPQMVWFILNIVQAIGVTMTFKPFLVERPL >KZM82067 pep chromosome:ASM162521v1:9:10654819:10663722:-1 gene:DCAR_029680 transcript:KZM82067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCKRICYCTLGFGGVKRDEFCDEIARLEEFIRDPWLLRACEDATVQVLVPKVVVAPPPVPVREAVVVKEAAAAAVVDREAEELASAQNKRAAMQKKAAEASLVAEDYARRMEIGDKEGVVKDISGAEQGVSNVKVMCRLCFNGEHEGSEKARKMLTCKSCDKKYHRSCLKAWAQNRDLFHWSSWTCPSCRTCEVCRRTGDPNKFMFCKRCDGAYHCYCQHPPHKNVSHGPYLCPKHTRCHSCGSNVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEATPMVCCDICQRWVHCQCDGISDERYLQYQVNNNLQYKCATCRGECYQVRDLEDAVQELWKRRDIFDRDLIANLRAAAGLPTQEEIFSISPFSDDEDSGPIAPKNEYGRSVKFSLKGLVDKSPKKNKDHGKKASNKNLGKKKGYQMSLTSKHGEGHSDARSMVCSLADDKDEDLQPYRSGERNLCPHPIAGNLTEGVCSTNQAMKHKFVDEVGASDENKALRIIQLKSNKPQGGDSGKQASKSKSTKGPKLVIHLGARNKNAAVSPRSDASSAQREGSEDAHQQKVNDRSRERHEPMTSVDAKGDVKDYPDQIEGSKIRGRAPNLIKIKNVNSEVHDKNHNVGGGKFSDGYGTVSPIKKRVLFGKMSTEGIIATTGIRTQMSATRGDKLFSMKSEEARHDDSIDDELNDGKRHQHSVSVPVSKDSKPLLKLKFKNPFNENSSSWAGEDEKSSVKGQRSKRKRPSPLREKTAKEEEDASYWYEDGSQDEVMEANWILQTLVKDAMGKRVEVHQPSNNSWHKGTVTEVFEGTPTLAVALDDGRAKTLDLEKEGIRFDSRKQRR >KZM82037 pep chromosome:ASM162521v1:9:10313128:10315994:1 gene:DCAR_029650 transcript:KZM82037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRWVVSFFLLAEASVCLYLTPVSFYQYLTFAIYGQPGSIVCHGDKSTARDTMKNAGFTIVPGSDGLLQNTKEAIRLARDIGYPVMIKAEILVFATAGGGGRGMRLAKERDKFRKLLQAVESEASAAFGNDGVYLEKYIHNPMHIEVQNTLHILYLGT >KZM82199 pep chromosome:ASM162521v1:9:13578315:13578563:-1 gene:DCAR_029768 transcript:KZM82199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVGATEIANKDIEIDANTSAKDVGAVKKASSTRRKKVRSMVEILHVNDEEKSGQLASHNTTPKNGSSLQRRCHYNNCTNT >KZM83579 pep chromosome:ASM162521v1:9:33090155:33090953:-1 gene:DCAR_031148 transcript:KZM83579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAWEMENSRWISDCRIGSRKLGYLNCEDADSLNMRKTTWSASLKTALSPPPAPVKRVASRLGNVAPPPPNI >KZM82016 pep chromosome:ASM162521v1:9:9934768:9936243:-1 gene:DCAR_029629 transcript:KZM82016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPQEHIVMIPFLAQGHIIPFLELAKKLQETTNFTITLVSTPLNIQKLKATQSSTCKIHLVSLPFNPSDHDLPPNAETTEALPLYQIGALCHASTALETPFQQLISTLTFEQGKPPLCIISDVFMGWTNRVAKLLDIINVSLSTCGAYGTAAFHSVWQSLPQRYVKSDDEEFVLPGFPETCKITRAHLHKHVRNADGSDRGSKFFQPQLSLCLGSFGWLCNTVEEIEPLGVQVLKNYTKLPVWCIGPLLPPRMLDSSSCSNEIFDKRAGIEPGLSPETCVQWLDSYPENSVLYISFGSQNTISPAQMMELAKGIEESGKPFIWTMIEEEMGVGVELARGLESCITKNDVKRVIEIVMENEGIRRKAGEISEMIKQGARQNNGKKGSSLQALDDFVSALLAYSRT >KZM81912 pep chromosome:ASM162521v1:9:8356442:8358688:1 gene:DCAR_029525 transcript:KZM81912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCRGIQTIAYTIVNGSYVQKAPSELQDKVKDKTLITVDSVGAFQKLPMVMPSVDVFYSAMKKAKRVPPTKGIANIAKRERNKGAKQLDTLMKELAVSLRTYKENFPKKHHLHPYERSLVDLTLGDGNYEEVLKKVDALRKKVVSVGKEHASLCAQVGVPS >KZM82736 pep chromosome:ASM162521v1:9:23181040:23185509:1 gene:DCAR_030305 transcript:KZM82736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPGGNVEKMPGGIEMMGQFHQRQWVPDERDGFISWIRSEFAAANAIIDSMCSHLRSVGEAGEYDGVIGSLQQRRVNWYPVLHMQQYFSVAEVVHSLQQVAWRRERGLRDRGDRGYGYRGFEQKGGKRYGGGYRQGGNRGGEVSGQEGNVGSGKGDDGKLAAVIVKTDDKDLSIKSQVDNDSQCSGNSQAKPSSEGVGVDNSVTSATKGTSEVKLEKASSTLPISLGRKDISVNAKTFVGTEMVDGKPVNAVDGLKLYEELVDSSELAQLVSLANDLRTSGRKGYLPGPTFIASHRPSRGHGRDIIQLGVPIVDPPSEDGTVGNTFKDRRIEPIPSMMQDFIERLTALQVITVQPDSCIIDFYNEGDHSQPFMWSHRFGRPVCVLFLTECDMIFGKVIVPDHLGDYKGSINLSVTPGSMLVMQGRSTDFARHALPAMQKHRILVTLTKSQPKKPSGGHYSSAAAATQSQWGSPHNKSSNHVNNSPSLKHYASVSTAGVLPAPPICMPLPPSSGVQPIFMPAAVTPVLPFPPPVALPPTSAGWTVGGPRHPPPRLPVPGTGVFLPPGSGDVVNQASTNEYLSTLAEKDNDSAKSNGNNSAATDIANGSGKMAGKDCNGADETNGERPVGEEQPSVVTNVANEPAETVL >KZM83505 pep chromosome:ASM162521v1:9:32502224:32503315:-1 gene:DCAR_031074 transcript:KZM83505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRWVPTKFLGQGSYGCVFRAEFASPSLAYACNVPRTVAVKSVSQSLLWSLAFEKSVLCELGGCKEIVRCFEDEDFKTTNEDGTTSYNIVLEYADGGTLKQLIYSRGRIPEYEASCYALMLLKGLSRVHGQGYVHCDMKPSNVLVFNIPRDEFKGVVKCNLKLADFGLAKKGGEKSLGAGEEYKHRGTLLYNSPESVVFGVHEAAMDIWAVGCIVLELLLGEGGLWKKRIDEDAQCLAEMIVNYEDDRLILLVPELDHLSENAKDFVRRCLTRRPEDRWTADELLRHPFVTCNQKLVKQFEARYSYQNLMKYQYRKYLIGTIRNKFLRLSANECLRGFRLIQGDRIRDLCGLWWLRNTSCFE >KZM83344 pep chromosome:ASM162521v1:9:31047519:31048305:1 gene:DCAR_030913 transcript:KZM83344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNLSYAHPFFPMSFLSKIRLQQEMLRYLSSRQMHQENDVPEFQKKAMTCFRIMSRSFIDPGKTEENILIRDELKDADVWKNITTLHDQVLYVYKLVVLGYGYKSEIDHSISLEMIVGA >KZM82412 pep chromosome:ASM162521v1:9:18572751:18576935:1 gene:DCAR_029981 transcript:KZM82412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEALVGSGAINPFYANCRRFQNHLYFPKLVSVKYSATSKSRFLNLVVSASFESAQPSTMDRFDNTLPSKEVLEIWRSADAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTARAMNGSVPFEEALAARLSLFNPSLRQVQDFLETRPPKISPGIAELVSKLRNNDTNVYLISGGFRQMINPVASILGIPQENIFANQLLFGSAGEFLGFDKDEPTSRSGGKATAVQQLKKAHNYKALVMIGDGATDLEARQPGGADLYICYAGVQLREAVAAEANWLVFNFRDLIDSLE >KZM82431 pep chromosome:ASM162521v1:9:19100946:19106530:1 gene:DCAR_030000 transcript:KZM82431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLATTPKSNVNSTCYSPVLRPHYYLSHSNLSFRLSSPNPIIRLQAKGFQSSWNRSTLVKAQFNEVADGSTNSAVTSSSKAESHVTEDKDVISSSEPNPASEESISEFIDQVKNLVKLVDSRDIVELQLKQLGCELTIRKKEALPQPPAPAPAQVMMHAPAQPPAFQAASPPPAPSGPAASSGTPPAAAPPALKPAKSSHPPLKCPMSGTFYRSPAPGEPVFVKVGDKVQKGQVLCIIEAMKLMNEIEADHSGTLTEILVDDGKPVSVDMPLFVIEP >KZM83504 pep chromosome:ASM162521v1:9:32488642:32493303:-1 gene:DCAR_031073 transcript:KZM83504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCTSRPESCVGGRKGKKLRRKRRGGAKRRASSRLYASASSGGAQSDEVVSRKVEGLGCNAKGSLDDMWFDSAAILESDCSDDDFQSISDDVSSQHESEAASRLSISSLKDAHHRNGDANVPYPHHSAQLQKQEDYSAGHSARNSVSDTSGGSLHRGEQDSRLRSDGTSHDNSVFVDETSSVEGSGGRGDDLLDNCGIIPNNCLPCLASTVPTVEKRRSFSSSPPHAKKKAAIKLSFKWKEGNSSSSLLSSKMLIKRPIAGSQVPFCPADKKMPDSWSQIAASTFRVRGENYLRDKKKDLAPSHAAYNPFGVDVYLSQRKIDHIARFVGLPNISSYGELPPILVVNCQIPLYPAALFQSETDGEGINFVLYFKLSESYSKELPSHCKEGIKKLIDDEVEKVKGSSVPFRERLKILGRVVNVDDLQLSAAERKLMHAYNEKPVLSRPQHEFYLGNKPEELPEQILCCVRLNEIDYSNYQKLGLSQESP >KZM83623 pep chromosome:ASM162521v1:9:33447762:33453327:-1 gene:DCAR_031192 transcript:KZM83623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILEAYKDDPGNTKYAFKHLLFSVTDPQYRIKPAGVSDIMWAEAMGKLEGMESSKRERLWPQLVQGFKDLSERLKLQDEILVSDADRLRITQSNVKVLQRHFQADTIPRIERMRQKEHGIQRRLLRVMRMVEALEGKGFRLPLMKGEVELAEKLAAITRQLKGSGAELSRRVQNLLTLARVQANDLGGSVFLPGSTKIHEQSLASMQEVLQQQTEAISRMGGVLKRDLRDMEIMMAEETDA >KZM82727 pep chromosome:ASM162521v1:9:23092607:23094504:-1 gene:DCAR_030296 transcript:KZM82727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNYPTLFITLVTIILILFKKSRTSKPKNLPPGPWKLPILGNLHQVIGPLPHRGFKELAKKHGPIMHLQLGQVSLMVVSSSKVAAEALKTNDISLADKPQQLLTEIILENCRDFVFARYGDYWRQMRKICTLEMLSVNKVKSFRSIREDESWHLIDTVHKSLGSPMNMSHMFAELSYNITCRAAIGKRGDKEVIEMVEDIAYWAAGFFINDLFPSVKFLSVLNGMKPALKKIRRKIDHIFKEIIDEHKEKLARREKGVAVDTQDEDLVDVLLRVNETQRLQFPINDNDIQAITLDMLTAGTDTSSTVLEWAMSALMKHPRVMNKAQEEVRELLKGKEKVTETDIIGQLSYLKLVIKETLRLHTPLPLLVARECRKECEIEGYTIPEKTKLLVNVWAIGRDPDRWADAEKFVPERFENSGMDFSGTNFDYLPFGAGRRMCPGINFGVAGVELPLAQLLYHFDWKVPNDGRPEDLNMEESFGTTNKRKHNLVLVPTLPQKA >KZM82648 pep chromosome:ASM162521v1:9:22188459:22188719:1 gene:DCAR_030217 transcript:KZM82648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPVRSCLLRKIRAALVICMIVLGVMAPEGDSMKVKSMEFFGSIQSELPRGPVPPSDPSPCHNRVKLMHEGSMESYGQAHFIMCP >KZM81846 pep chromosome:ASM162521v1:9:6811191:6812309:1 gene:DCAR_029459 transcript:KZM81846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVCVAALEKLLNNCAGKYAARDEASLCTLNGLLSRMGTLNEKFQNCAPSSLFWHTQLRIKVGKFWDMYVYQNGEQSGSRLEFFDNAGRYLTWLTA >KZM81477 pep chromosome:ASM162521v1:9:1656729:1657171:1 gene:DCAR_029090 transcript:KZM81477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKAYQTQATKNVYFNVTGDDVHFGVICPSKPRPIARILAEVEKHGMEIQAVEEPGEHVKSMLIIHARDAREGIAKVIPLKVQCRTAAEEMQSLLIDLDLTL >KZM81368 pep chromosome:ASM162521v1:9:385042:394362:-1 gene:DCAR_028981 transcript:KZM81368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMSSRGTGSWTAKQNKAFERALAVYDQETPDRWQNVAKAVGDKTADEVKRHYEILVEDVRRIENGKVPLPMYRTTGGSSRVSMHDNEERWTFYLILSRQDQSLGVIDICLHLQNNISDILDLTFSIDTYEEKLILNEHPSPGGAGKKKIRGGQRLSIGASIRAPNHHSSVGAGNHVQYLSGLCRTSTMTREFLVLGRHLLTELRDKIYCLTDQLMERAGQHETSGYFLIAIVFCNDLRDTTAIDYSKPILDWLKDSKKGALEKWEYILSGRLQPRQKALVDDKKKEILPGFKAVRMQETQFCELNFQLGLDAL >KZM82111 pep chromosome:ASM162521v1:9:11620771:11623665:-1 gene:DCAR_031818 transcript:KZM82111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRVCENDEYEQGEWEKLPEELLVMVVEKIPVDEMMRVVPLVCKAWWAVLSGPHCWKNIDLLDWSVTKKRSKQVDVAVKKLVRYSKSSFQMLSTFRLGSAGFAFAANRAKCLKILIMRGSNVTDQMVIRHAVSLSNLTHLDVSFCLNISSKGLEAFGKHCKSLIQLKRDMAPPSLGMSIQEEASMVDESEAMVIANTMSKLEHLEITYGRFTNRGINSVLDKCKALSHLDIYGCWGVWPDEALEKKCEELAFFLSSWGDDDIESQ >KZM83245 pep chromosome:ASM162521v1:9:29966543:29981340:-1 gene:DCAR_030814 transcript:KZM83245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSLIAKSKEGGADVIQTYVFWSGHEPVKGKYYFEGRYNLVKFVKLVGSNGLYLHLRIVWLRDVPGIEFRTNNEPFKREMQRFVKKIVELMREENLFSWQGGPIIMLQIENEYGNIEGAYGQKGKDYVKWAANMAVGLGAGVPWVMCQQVDAPEFILDACNGYYCDSFRPNSKKKPMLWTENWDGWFASWGGAIPHRPVEDLAFAVARFFQRGGSFQNYYMYFGGTNFGRTSGGPNYITSYDYDAPLDEYGLLSQPKWGHLKDLHAAIKLCEPALVAADSPQYMKLGPQQEVHVYRGNITQGLTTAFSTSKSVCAAFLANIDEHKAADIEFLGQVGAQTSIKLVDFNLPSSKMNVPQPLTFQDEVTYIANDWMTLKEPIGLWSKNNFTSLSIMEHLNVTKDKSDYLWYTTRKGSAKGDWIKVVHPIQLVQGYNDIVLLSETVGLQNYGAFLEKDGAGFKGRIKLTNSKNGDVDLTESSWTYQVGLKGEFQKLYTREKAESVRWMKLTPDATPSIFSWYKTYFDAPVGTDPVVLNLGSMGKGQAWVNGHHIGRYWDLISPKDGCRPCDYRGAYSSEKCTTNCGNPTQIWYHVPRSWLQRDQNLLVMFEETGGNPYKITINTISAQTICGRVPETHYPPLHIWGHPDFINGKISINDMTPELHLQCEDEHTISSIEFASYGTPQGNCQNLSKGNCHAPNSLSVVSQACQGRNSCSIAVSNDVFGDPCRGIVKTLSVQARCLPSSFARSASM >KZM82034 pep chromosome:ASM162521v1:9:10229565:10233297:-1 gene:DCAR_029647 transcript:KZM82034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNMRVCIRSRSCHPNLSFVTRASRHVHPSEYDSLAQDHLPHSKLSKLTPSYSFSNVIVSGVIHGFSGKGSSQAVDIGELSIYPHQISSLDSFRLNGSVVPVLKVDRTGLAGGCGHYTDVSRPPRTPLSHISNYSCLSREQEYLGGSRLKATLQAPNVVTDENGQFRSKVRRCNKDRRALRPLTINLENVVDFDDDVPLSDILSGLRTDRVRLKTLKRKGTFVVDEIKKKLNFGPAISELPPHNVVGYEDIG >KZM82097 pep chromosome:ASM162521v1:9:11390659:11408843:1 gene:DCAR_022671 transcript:KZM82097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTLSRYNEWLESSVSEEESEVLEEEVQDSEFQKVQFEDLKSEYMKIMEKALTDLDFKELQQLERLMTEGLLSVKEKKEQLLEEKLEQLINKGKEVMLANDILHREVEELLSFFPKNAPPVSKYLNNQKPNKYHLIEHVASSQASACVPGTEIEDSMTTLFLGNHWNLLILCNLGEDLEMNEKSPCMLLLDSLQEAEPKNLEPCIKHNMEDDGQNVDNEIAAEEIEKLPKDEEEAEMVIQCEPIRSLVLYTPESSQEQQEPNQPTPPASETIATHEKNGKEKLAAAEPSVRKSPRLQPIKEDEEDYSINIVHPEGGANAEKGPAEKAQRKVRATKNEGVNKRKAVEEVQHNKEKKLKKKVEAENEDEEVEEEEEKDDDEKPKKILIRAYPSTFSKTISRLSEAQRQWVKSAGFGALLHFTLGEELPHKTIVNCLWWFEHNKCEFGLFPDRNLKITEDDVFDIIGLPQGKLDVKLEDSKDKIQSWGKQFKERQPSRITEKMLREKIAESRDADEHFKQNFMILMANLFIRTDKTSFVCPKILRFSGNFDNARDYNWCKLVIQNLKEAHEQWWNDPKTQYYTGCFVFLLYFYLARTSHPDVRVKKTWPAFVGWKNSCIDDRAKREGLDNNFGYGDIVPEFETPDESKQNDVNSEQYKGGNSNFTTPKETLKGVGPSRLFSPQDNVDASILSIARDVEQNHNSTEVLTEDEISSRLQHHLSQMEKLKKEFGETLDKGKQLLPESDKMKEYEQRFEEMTTGRNEPSYAAYGLNNHLKRVLKPTDHQKSPFKIRGIDLNTQRFSKDEEEVWSWINGRKNRAMIEIFLWNNVVCLKHHIQSLQIGKEVLFHVVDAYTSILNEDEKFRAAESPYRFFCSTMVTMGNVVKGSQLVANSTDPNITYMKFKSNMDAILFKHRVDINHVDLIFFPIFSGNHFYLICFNLRKICVDIIDNRSGDRVDIMYDGIPEALGIEQKRQLEKARKIYAAKIVYSEINILKDHMKTEIKFVNQN >KZM82354 pep chromosome:ASM162521v1:9:17806403:17809917:1 gene:DCAR_029923 transcript:KZM82354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSLLAQCLPGLAPQDKGSQSVSVISDRDVHLSSPAVEILPSRMAHPYKYAGENVDLQGVSIFKGRVSVADMIGLSGSDLIASKVDGSVKSWENSIDLVNVLKHDIRDGQLSFRGKRVLELSCSYGLPGIFACLKGAATVHFQDLNAETIRCTTIPNVLANLELARDRQSRQPESPLTPSRQPLAPSVHFYAGDWEELPMVLSVVKNDAPEVPTGMSLSFSEEDFNDGCSSQDGSIMGQDFSLRRSRKLSGSRAWERASEMDQGEGGYDVILLTEIPYSATSLKKLYALIKKASFIYFLLIVRFFVVIKLSVNGLQI >KZM81357 pep chromosome:ASM162521v1:9:291152:304036:1 gene:DCAR_028970 transcript:KZM81357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDSDAGASSRDWLFPSPAGGKQQQRDWIYPSPLLSSSRLSKTPTRRFFSTYPPPPRAPAVPVATVTTPQNRYYPASAGIRRRINFPRRSLKEDVSVEEKKAVPASNAAKCLKAADAAAFRFKFSWQRFVVIAFPVAILVALISSLVNKNFSLQSQVTDLQHQIYRLNGRLRLCSGLDSLNSNDSSYEESDTDSYYSKSLKITALAVSLILLALPFFFLKYVDYVSKKSKSPDSIAEEVSLNKQLEYKVDVFLSVYPYAKPLALLVATLLLIFLGGLALFGVTDDSLTDCLWLSWTFVAASGNHADSEGLGPRLVSVTISCGGMLIFAMMLGLVSDSISEKLDSLRKGKSEVVKQNHTLILGWSDKLGSLLNQLAIANENLGGGIVVVMAEKDKEEMEVDIAKMEFDFRGTSVICRSGSPLILADLKKVSVSKARAIIVLAEDGNADQSDARALRTVLSLTGVKEGLQGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFEGCEFYIKRWPQLDGMQFEDVLISFPDAIPCGVKAASHFGKIILNPEDSYILQEGDEVLVIAEDDDTYSPTKFPTVREAPFIHISRPERKPQKILLCGWRRDIDDMIVVRRGNLPKDFIEAESEERILFCGWRRDMEDMIMVLDAFLAPNSELWMFNDVPEKEREKKLIDGGLDLNRLVNITLVNREGNAVIRRHLESLPLESFNSILILADESVEDSAIKADSRSLATLLLIRDIQAKRLPYRENKVSHVHRDSFSQGSWMGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEMHIRQAELYLHEGEELSFFEVLLRARQRREIVIGFRTANAERAVINPPNKMEKRRWWLSELGFEGSSGNEERRERKSDYENSEDERRTRIGSLKKKALNASSKFKHSLKKKGSRRKSDGRVSSVSIEDIRDADELRAVDAFRQVLVLDELLPEQHDDYHMMLRFLKARKFDIEKAKQMWADMIQWRKDFGTDTILEDFEFHELNEVLKYYPQGNHGVDKEGRPVYIERLGKVEPNKLMQVTTMDRYIKYHVREFEKTFKVKFPACSIAAKRHVDSSTTILDVQGVGLKNFTKVARELVMRLQKIDGDNYPESLHQMFIINAGPGFRLLWSTVKSFLDPKTTSKIHVLGNKYQNKLLEIIDTSELPEFLGGICTCADQGGCLRSDKGPWKNPDILKMVLRGEAGHARQVVKVLNSEGKVVAYVKPRYPMVKGSDTSTAESGSDAEDIASPKSMRSYSHLRLTPVHEEAKVIGAIHSSAFLGYDEYIPMVDKAVDAGWKKQSSFKKLAASTGTPVPTPPPLASQKTPEGYHARIKTILLTFFMTLYTLFYSVARHVMNIYSGISSLDSQNVQEVATGTVPKDELYPPSPTSKFTETELLSSVLKRLGELEEKMDTLKAKPSEMPHEKEELLNAAVCRVDALEAELIATKKALHEAWMRQEELLAYIDCQEETKFRKKSCW >KZM82042 pep chromosome:ASM162521v1:9:10423664:10424112:1 gene:DCAR_029655 transcript:KZM82042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFCKAKILILKLQKSRQKPFVCPAAQEGCAFEEEELRELKLEWHKELLSKMKEQKASIEALKDDNDISALKQPLWKFW >KZM82273 pep chromosome:ASM162521v1:9:15245791:15246384:-1 gene:DCAR_029843 transcript:KZM82273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSIKSAAPLILIDIDILPKICSLLQEDGFLDLFFLIQVWFPFQTPETVNKILNNLDWSRVHEVVEPFKNLECRVFNKFVDHCVKIGVKGGLYYYACWKLIRGKNPTHHLQVLRVISNDDNLSFLAYYVFQSLYDPSTLKHNSILLHQKLSTDSDFRSDFINNCTTLNGRYRKYNRTFGRPDFFPKMVSVHYTFQV >KZM81716 pep chromosome:ASM162521v1:9:4778566:4779678:-1 gene:DCAR_029329 transcript:KZM81716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNNVFATPLVKAASLQSRAYVTFLAGNGDYWKGVVGLAKGLRKVKSAYPLVVAVLPDVPEDHRQKLLSQGCIIRDIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFSNIDHLFDMPKGYFYAVKDCFCEKTWSDSPQYKIGYCQQCPNKVQWPSNLGPKPPLYFNAGMFVYEPSLSIYDDLLSSVKTTPPTSFAEQDFLNMFFRDVYRPIPSDYNLVLAMLWRHPENIKMTNVKVVHYCAAGSKPWRYTGEEENMDRKDIKKLVKMWWDIYDDKSLDYKPTTIRALVNPEAETDDGVAEILVALSKAGIVNMVSAPRAA >KZM81931 pep chromosome:ASM162521v1:9:8678495:8681346:1 gene:DCAR_029544 transcript:KZM81931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLVLSVAIFLLVLLGFLRRVNGWFYDYKVGEKSYELPPGDMGWPFIGNMWSFLLAFKSNNPDSFVSSFIQRFGRTGIYKAFMFGNPSVIVTTPEACKRVLTDDETFMPGWPVSTVKLMGEKSFIGISFEEHKRLRRLTAAPINGNEALSIYMCYIEDLVKSALDKWSKMGKIEFLTEVRRLTFRIVMYIFLSSESEAVLEALEREYTTLNYGVRALAINIPGFAYYSALKSRKKLVALFQSILNERRSRIKSNSASSKRDMMDALLHVEDENGRKLNDEEIIDVLLMYLNAGHESSGHIIMWATVFLQKNPEIFAKAKAEQEAVAKNRPPNQKGMTLREYRQMEYLSKVIDETLRLVTFSLTVFREAKKDVKVNGYTIPKGWKVLVWFRTVHHDEDIYPEPLEFNPSRWDDFTPKAGSFLPFGAGSRLCPGNDLAKLEISVFLHHFLLNYKLERENPECPLMFLPHTRPKDNCMGTIRRVSSEN >KZM82950 pep chromosome:ASM162521v1:9:26242605:26258356:-1 gene:DCAR_030519 transcript:KZM82950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTSLASTSYSSSSSCYIAPHDHRKPGLNSIQVLVSKINEYLDEFIGDDKARKNVKHKCGSRLKTGKQEFFEFSEQSIISNLYWGIESIEAAIQAKDNAEERVVRLKNAEKMLQVPALLSEHGVTAEIRNCYLVCCSYFYLSLVRKLQNDEWQVAIHFLQALMVSPMIVFKDFAPKLCTNIFESCSVSGVNARTKSGAMNHVSCEGEIGEGLRLVAKQYKGWLMYYQVMFYGDASRSRRVSATFPDNYNKSENSLNMKSSSESSHPPERECSQQAYSNFEKVHPLDTLEKVPIASAEESKVSTYLRSLLVDDRSRTSDIRCLRDILKESQSSTPVSSSSRTDFIDDDDLQEYAEASEASFGLERTVSGNPEEVSDQWDAVIRREDMTIFGSGTFSSSLRNLNLSQLEAGLFESHTFLNSHMEEETSRRRMHLQDFEQTDQIGSATLQNYYYSQEYPPGNSARRKKKFSSENSIDEIFLHPEENSHIEQVGVLEKLISKLCFSENLGEEDYTVEITTVYEILSKKTGAKYSMLKDIIIDQLLMAISTSKEEGVIRTSVSILSTIISVNKSVVEDIKKKGLRLSDLVTALKRNVYEAATLIYFVNPSPAEINNLELLPVLLEVICSSNSQKCSLSSPKLTPPAASLMIIEVLITACDYATNNMHLEAISSPRVLCGLLNAPKQNNLQEFISLAAVLVRCMRFDGKCRKQISQFTPIAPVISLLLSEHKPAIYAGLEFFNEILRIPRTSAISLLQLVEKEGRTNDIRKSLLQILQSQPEHKLLAANLLLHFEMLEDLSGTSIYCTEAMEIILETMACDETPATQKNSAFILSNLGGTYAWTGEPYTVAWLVKKAGLSSLHHKNIIRKIDWSDQSIQDAGTDTWSSKIARHIIKFGKPVFHALVKGLQGNSKRIARECLITLAWLGCEIVKTPNDLRYSACEILLDSIEQYVHPGLELEDRLLACLCIYNYASGRAMQKLVHFSEGVRESLRRLSSITWMAEELLKVADYLQPDKWRISCVHTQVLEMGNNSSGSVNALIYFRGELYSGYADGSIKAWDIKGQSATLVRDIKAHKKAVTCFSLFEPQNCLLTGSADKTIRMWQIIEQKLECIQVIAAKSSVQSLDTSGNMIFVVTQGNNMKVFDTSKKDTDVYKKKNVKCINAKEGKYYLGCLDSSLQEVTLINNRHQELKAPIWSWRIQRKSINSIALYKDWLYSASSVVEGSSVKEWRRNSKPQMLIVPGKSTTITAMRVVEDFIYLQCNSSANSLQIWLRGTQHKVGRLSAGSKITSLLTANDMVLCGTETGLIKDMLGRPRTSGFSISVSVLIKYMLREQRLLFVLIGFAVPALVFNVFHVSTSSRIKHVSVAESWQSTELSRIPRRIAYELPEVMNRAGKVPLGLKRKRLRVVVTGGAGFVGSHLVDRLLERGDSVIVVDNFFTGRKENLLHQLKNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRVAETLTMDYHRGLNIEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGDHVGPFNLGNPGEFTMLELAQVVQDTIDRNAKIEYRPNTEDDPHMRKPDISKAKKLLGWEPMVSLRDGLPQMASSEFEVGKCWPSVFSSVFVSKSLRNVIILPRRLNITGTVYCTRKVKLTANGTVPSYLPPFRKATVILRCDNTDLANAITNSSGKFSIVSEARYIISPVYFYVGAGVGKCQLIVKEPLAYCDFTLPIRQLVANISAPPGPLYPVPGGILKPVISNFFLPPEA >KZM82124 pep chromosome:ASM162521v1:9:11796688:11797443:-1 gene:DCAR_031831 transcript:KZM82124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFRENFLKSSLFNRSLTINSSANEYSKNPSSNSSFDRSGSMRKPSNSFETVKGKVLGIRNLFESRKHSKPSETEPPDSSHKSVLSDSRVSFKDDFSISLPGDKVVVYFTSLRGIRRTFEDCYSVRMILRGFRINVDERDISMDSAYRNELEKIMGRKNVSLPQVFIKGKHIGGAEIVKQLNETGELRKYLKGLSTREPGFVCYSCGDVRFIPCVTCDGSRKIFDEDADMLKRCDECNENGLIRCPTCCD >KZM82806 pep chromosome:ASM162521v1:9:24696846:24697770:-1 gene:DCAR_030375 transcript:KZM82806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRNFVVSQYYAAPSDVQTLGHQFFNAMDRDGNGSVDYTEFKNFLVSEGFEYYAGRNLFMSLDGDKNRGLDFWEIMTLYYIIKSKKPFCVKCDIFLRDAYSVCNDCGGGPYYFCNDCYQCHLQEPSFSVQPTSNPLMSETPNVNAMPMASSPNYEMDAVNGSTSVCSKPFCTCFCNEP >KZM82989 pep chromosome:ASM162521v1:9:27157318:27157893:-1 gene:DCAR_030558 transcript:KZM82989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAEEDNGFTYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPMSEADLASFISDCVLSEDKINQVLPIGGPGKALTPLEQGEMLFRLVGKKPNFIKVPIEVMDFAIGVLDFLVKIFPGMEDAAEFGKIGRYYAAESMLILDPETGEYNADKTPSYGKDTLEEFFERVLREGMSGQELGEQTIF >KZM83166 pep chromosome:ASM162521v1:9:29297255:29299027:-1 gene:DCAR_030735 transcript:KZM83166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEPWLMKMRSNVSANVKQALLLEAPKKGNKRQEPKQIIGILSFEVANVMSKTVILHKSLVDNEIVKLKGEIFNSDGIKALISNDEVYLFELALAEKVDELNRVAEVVSRLGRKCSIPALQGFEHVYGDIVGGVIEVREMGFLVKDMEGMIKKMEKYVNSTAKLYGEMEVLGELEQSIKKFQRVQYEESRRAFEQKLVCRKEDVRHLKEISLWNQTYDKVVDLLARTVCTLYVRICHVFGRPVMKGEFAGSSISGARFHLNGSAHVKEECGMKPGQVDVLLGNSVSVSRALSKTYSYNHSGLMEKGLADRRELNFRPEVASQRGDVSLFRAPSHFACGFGPGRLLLECLSVSSSASKVDDNDDDSVGYDDRMSQVSGCCSISSGVKKGNSNQSDCFSQSLQSSMTNNPKSGPKNRLMTYAPQSTVGGSALALHYANVVIIIEKLLRYPHLVGVEARDDLYSMLPASLKLSLKISIKSYIKDLAIFDAPLAHGWKERLDQILKWLAPMAHNMIRWQSERNFEQQQQIVTLTNVLLLQTLYFADRAKTEAAICELLVGLNYICRYEHQQNALLNCASSFDFRGDMDWRSQH >KZM82480 pep chromosome:ASM162521v1:9:19938621:19942970:1 gene:DCAR_030049 transcript:KZM82480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAGADKKKVRRSSAAPQNGANSNSDPAPKKKASNKDVFQLFAEKLRDHKGLVSRWAVLQETRVEYFRGKDFASFLRNHSELKDILESDRNLEAEDIANVLLEKNLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPEQVFSENDAFFAWTFVKRNPLWQTLLSFFWPVLTLAICLFPVYPHQCKLLILYCCAGVLLLILSLLAVRALVFGAIWITLGKRVWIFPNILAEEATLKDLFRFWPPKDEEEGPKWTSRLFYAAVAALVIVLLRHHAPDEAARARYQKRVSNIIDDVLEWNPRLALSGMMDKQPVVNSTDSNDNITNESKTSSQEPAVHDDEDGETISMQHEDEEVTGDLENKDDDM >KZM81695 pep chromosome:ASM162521v1:9:4586786:4587145:-1 gene:DCAR_029308 transcript:KZM81695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGHLSTRYEVLMSVDPKLGRPRRCWSQKLNSRLKGFRVSRLRKLNWKAYSVVIWSRKIARIYAELVNRILNIQGVCPGIIFSCQWGLPVLSHPNAKCRRSALRFERNSSFNDIILTR >KZM81851 pep chromosome:ASM162521v1:9:6882716:6883132:-1 gene:DCAR_029464 transcript:KZM81851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSETLLGRDLEVLESIRKHLLDDPDVSDSFPAIIPSNNPIWEWDTNFSNFFVEESSSVKLISFDQENNGKSDIGDDHGREEDLKQHAGVKKRSRNYCVEKKRPAKNGPTMWLEKCNKSDGSLAYDGTTVRFCGSGTK >KZM81462 pep chromosome:ASM162521v1:9:1474524:1486099:1 gene:DCAR_029075 transcript:KZM81462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEPETPPATPTHIHNKTTTDITDENVLNKHEDDDEDEDVCRICRNGGDAANPLRYPCACSGSIKFVHQDCLLQWLNHSNARGCEVCKHPFSFSPVYAENTPARLPVHEFLIGLAMKACHALQFIVRLSFVLSVWLLIIPFITFWMWRLAFVRSFTEAYKLFLGHLSVTAVLTDCLHGFLLSASIVFIFLGITSLRDYIRHLREIGGQDGDREDGGDRNGAGVARGPPRQANRAGDGNGEDAGGAQGVAGAGQLIRRNAENVAARWEMQAARLEAHMEQIFDGMDEADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFIGVVVLVPFTLGRIIVYYLSWLLFSTTTPVLAAVLSFTESTVSLASAAMSKALIAGTNMTSNSPETLVNQVADKMLSNATVLADLSNNGPLTLSTDLLKGSTQGPSRLSDFATLAVGYMFIFSLVFFYLGTIALVRYARGEPFTMGRFYGIATIIETVPSLLRQFLAAMRHLLTMIKVAFLLVIELGVFPLMCGWWLDVCTVRMFGKSIAERVDFFSVSPLGSSLIHWIVGIFYMLQISVFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDISVSDPFTEIPVDMLLFQICIPFAIEHFELRTTIKSLLRYWFTAVGWALDLTVFLLPAHEDSSGQESGYAEPLRQDRQQPQRRGQEASVGLVPNSLNRNRLAANANIAEEYGNNEQSNMERYSFVLRIVLLLLAAWMTLLVFNSASVVLPISLGRVLFNAVPVTPFTHGIKCNDLYAFVIGSYVICTAVAGASPIFLFYQDWALGLIFLKIWTRLVMLDHRLPLVDESWRLKFERVKENGFTRLQGFWVLQEIVIPILKNLLTALSVPYILARGVFPIFGYPLIINSAVYRFAWLGCLVLGLVCFCAKRFHVWVTNLHNSIRDDLYLIGRRLHNFREDKDARRIVSEVISAMQNANVDSESLVHEREGAGTGLRQRPVNRPDA >KZM82559 pep chromosome:ASM162521v1:9:21102543:21103064:1 gene:DCAR_030128 transcript:KZM82559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAYLAVVLMVVVAALMLVKPGEALTCGAPEEQRTGAVCNVYTRGDQPEPSGECCNAYRALRATAKTRAERRQLCSCVQEATSQSRYNRGAPANPAARIPRFDSLSEKCGLPFLFSADPEFDCKTVN >KZM82746 pep chromosome:ASM162521v1:9:23285532:23289005:1 gene:DCAR_030315 transcript:KZM82746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPSRAAVFSMILVILMSCRGITADIFRFLRDDHRFKAGDSVPLYSNKVGPFHNPSYSLTIADTSACFLVSVRPTDISTYPSVYQWYTDYYGTYLIMLLDDVKEKREALGEVLNGDRLVSAPYVLDFLMDKESEVVCRKRLTRREVAQFRSAVDKDYYFQMYYDDLPIWGFIGKVDREGKVVPSEYRYYLYKHIQFDVLYNNDRVIEINARMDPHSVIDLTEDKEVDVEFTYSVKWKETDIAFENRMDKFSQASSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYARDEESADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFALTVFIFVLALIGVFYPYNRGALFTALVVMYALTSGFAGYTSASFYCQLEGTNWAMTSPLLVMGGVAGKNSKTEFHAPCRTTKYPREIPDLPWYRSTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALIYFQLAAEDHEWWWRSFLCGGSTGIFIYGYCLYYYYARSDMTGFMQISFFFGYMACICYGFFLMLGTIGFRAALLFVRHIYRSIKCE >KZM82838 pep chromosome:ASM162521v1:9:25122889:25124121:-1 gene:DCAR_030407 transcript:KZM82838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRILVSKLSNLKTQTKPSHFFSTLSSPTQSFRSIKSIIKSQKDPEKIAHLFTSPSPVATYRRHRPLLRLAVLKLSRLQRPELIEKIINSVIASSSDDQLNLESFWTHVVVLYGEVGMIGNAHQVFDRMLHRKETCPVSEKAVCAMLEAYLSSGVCDSRFHKVFSEVKEKVGVCAGVRSYNLVMRAFCEGGEIGLARKLVEKMGMEGGVGTDIESYNVLLGAYLEKGGTSGFDWAVNEVLSRGLEGNLVTYNYRIMWLCKTKEVVKARDLLDEMVKKGVKPNADSYNTIILWSCKVGDLESAKKVLERMVKDGYVLQRSLGYFILLRGMVEAGEFEPGLDIFRESLKKNWIPPFETMKGLIIGLVQMSKVESAKQVVEETGKKLRGSAADSWKKLEATLPPPLVNNSTV >KZM82862 pep chromosome:ASM162521v1:9:25340510:25353393:-1 gene:DCAR_030431 transcript:KZM82862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDTAPSSVRSISRNSIKFTEPNENDLESLSSDRFANFPPPRTPLNSIPDPCQYLEHKASQEIDCEGSRQGKSSDARLEASVAMMMSRRGGNVGNCDGSRVSSKWKANSEPNSANSTPARRISSVGVSTGPRVSVYGGEKGGSSCKASKRLSVGNFEVPEEIMKFDLVEDPLFWNDHNVQTLIRIRPLNNSEKVSQGYGRCLKQESPQSLMWLGHPELRFTFDHIACETISQEKLFRVAGLPMVDNCMSGYNSCMFAYGQTGSGKTYTMIGDIGQMDGKLNEDCGITPRIFEYLFKRIHEEEESRRDERLEYSCKCSFLEIYNEQITDLLDPSSTNLQLREDLKNGVYVENLKEYNVRTVTDVLKLLFQGVANRKMAATNMNSESSRSHSVFTCIIESHWEKDSVTHLRFGRLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDVAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSVCSASETLSTLKFAQRAKLIQNNAKVNEDASGDVTALQRQIQQLKGQLSFLMKAGSNPRPLSHSAPSSQTSMREDFPETEDSAGESDTYHDQNMTHDQDRKLKRLEATLKGALRREKFAETEVKRLEAEVAHMNRLAQQREEDAQLTKMMLRDYEKKFKRLELLADGLVSADKYLVDENQSLKEENELLRARSIRNPELTRFALENMKLLEQLQLFQNFYEQGEREILLSEVSELRNKLLEALEAQENYDQSKYISRRDDQGNNVAEELEGCRDMNTKLIRKFEDLQTEVTQYMNQDQATVHSAEIIPIKSIYGDMEVSSSQGDGIQQNQSDHHMTVPLPLQSSDTLKELVDAKVLIEALENDQICLIKELEFAQQENHRLMEKLSNKDNVERHATITVDDHYTNRDVMNKNLDVSPEGNKHIMNDGLQLKLDEMTKDLEDAQLLSSHYLNNTAIDLSRKHETDLICAEAEMEASKTILHLQEEVATLQSKLQDTVHCMTEENDRLRIKLAEKEDEKQGIHAEWEKASLDLTNILLEGSKSLKDASFQIEHISSSFPHVKNETRERVERVVEVCMEKEEKILLLERSLEDAQKAVLQMEQRLKSLKGAAMVFTEIQHIENCPSIKETTVLDEKISIIELFERKLKSKEDQLIEADKCANAALLVVNRLVDHMDFALTENSKEDTCWSATSQTTGMKKVLPLDENQSDSAMLESETVSNAKSYLSSIHSDIHKSAFLYKEVIQEFAIDVQRMKKYWVELKENCKSVRHVPESSKDDDGHKMLIQITDELANINARLETTRACTNNLVDMCALQATAEALQEVEIKLANFSLDSTVSSESTTSGLDEFSSRCNRFPVMSPGQTLKLESEEGQLPKSSQPMKLSKEIQATIFCLRQEFRMIYDAFISVNLRLAQLFKEKDMGDYITGKENCLPQLPKFMNDDEQNILDYELFEFTVPETSNFVQPTELVIQAKAGCSNLKEFVPDWELSHTSTFFKKFEEARATMQEADVMLNALLKANEDSRQLSGTWRQAGEDLMLEKVSLTEEIEQLKSSLHLKDAENEILHDQIHGSFIEIANLVTSLEGSILHTQRDTEKMCKAVFSDALMMVKEIMKHICISRASLEGICTEAMEREFAYFVLHQCTVGEYLKKFKSSSEEISLHQNKLQQNHDYLLTCKHMIGESDMSTNIMQGKAQGISASLSRMEDVKSGKSYTDTIFENLELRKELQRKDVLLKGLLFDISLLQESTSTSKDLKVETENLVASLSQNQHKLQIKISEVEDLLVQNTNLEQRLANTESALFVANSDLEQMKETIDDLSSQNDEFKVLLRDLYLRKSEAEEQLAEQRDVVKALEKEILLKTNSAEKQLYSSFEDLENYSRMVSGERDQLCEQIVVLQDKLDMAYSLADENEAIAVEARQESEASKMYAEQKEEEVKILEHSVEELECTINVLEKKVNEMDEEVERHRIMRDSLEVETQALRERLLTVENYAENMESEHSTGRPAEDQLSRSLELHDAHKRILALEKELAEQTKEIRQSKEYISELLLHAEAQASQYQQKYKTLEAMVQEVKTDSSNAISVSSMLDKTEKGLSKPRGSSSPFRCIGGLVQQMNVEKEKELSAAKIRIEELIVLNASRQKEVCMLNTRLAAAESMTHDVIRDLLGVKLDMTNYANMMDQFQVQKLVEEAQQQAEKFITMADKTNLQKKVAELDDLVKKICGTQTTQPRHGLMRSSTEYNKLPTDSGRQLDRRSQHYKSENSRAHGKLDGHGTDLKFRKPKV >KZM83063 pep chromosome:ASM162521v1:9:28445904:28448387:-1 gene:DCAR_030632 transcript:KZM83063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLDFNDHKLQKNGNRVEAVLHLLKKQAPLSLKQDKYCSTSCIERFLKSKGENVKKAAKHLRACLSWRESIGIENLIADEFSAEIADGVAYVSGYDDESRPVLIFRIKQDYHKFHSQKMLARLVVFTLEVAIQSMAKNVEQFVVLIDASFFRSGAAFMNMLLATLKIIADYYPSRLHKAFVIDPPSIFSYLWKGVKPFVELSPLTAIVCSLDFEDSMEFNDLTSYPRASSLRFNPCSVPSATKIGSCSSSRFSFTVAHHFDSVKPWYLNFGDTSASKVGPNNPSLVGPSLTSSANARSFSFASPTARAPRGNINNVVRKSFFPTTPLPQKTHAFDHTSVKQPRTPKASFLQSPAMSFFTGKKDCHISKSDKCRESFSMFLKFYRRPYDEMTYRSKMRPPLGGLVSIISPQLRRRHMSISQRF >KZM81514 pep chromosome:ASM162521v1:9:2005865:2007284:-1 gene:DCAR_029127 transcript:KZM81514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWIRPVTLSRLWNRCYNLFSSSDFFISTINTIKDVLSWSGLFIISLLIGIWIKLAITGLASFLPGDVPSWKTSWTVGIAIFTFSCYCLEECLKMKRAQLARALLDPSRRNNIEFRNGTVY >KZM82656 pep chromosome:ASM162521v1:9:22267373:22272249:1 gene:DCAR_030225 transcript:KZM82656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSTDAEFQIPVHTHLSDNGIREKVKIPASKPYISLIGNQNAANQTVITWGDKAFDIAKDDTVLGTYRSASVTVLSDYLCAAGITFEVHGFVLHLWLVKQQKGLLPYPKFRTVPVSCFETPTRADKICQQLSHSTQGSGSHSSANEASLMCENAYLNTAARIQQHIAGQQINNTRRVNLLNHYTPANTTTQTSPDTEITVPVPELDKIGVRRTVWYSDEASHGPRNLLNSFNKVGENSGSGRTDIGKKNIDTCDMKCHAPDTIVRAGLSEDCHGPEFESTSTNNNGT >KZM83047 pep chromosome:ASM162521v1:9:28301385:28302143:1 gene:DCAR_030616 transcript:KZM83047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEQVFPSPETTSLSEKPKNHRIFIIAQLILRALVPLFTLAAIIRTITSAQITMVPDGGSFGARYSYSSALRYMVIADIVVGVSCFLSLILVFVCFIYPKWNIRIKNYVYIFLHDLVLLLLITSATSAASSIGMLALHGESKIGWVAICISYPKFCHRIILGVVLSYMALSCLFILTILGLLTIHLPSLFE >KZM83130 pep chromosome:ASM162521v1:9:29013696:29014229:-1 gene:DCAR_030699 transcript:KZM83130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSSLPLVLFVFLNLLFLGLAASTSDCMSPPKHSTHKHKHHSHKSKSPTSPSMPGSNPPSASPATPQRAPGSPGPRVSPPISFPNFKKSGTCPRNAIRFGACAKVLGGLLGVEVGNAPKKPCCRLFGGLVEAESAVSLYQAIKANVLGFNLNIPVSFGLVLNVCDVQTPPGFQCA >KZM81885 pep chromosome:ASM162521v1:9:7693654:7697374:-1 gene:DCAR_029498 transcript:KZM81885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFKGQEDRKGMENMESTPEEKPKPQKNKPICPHLRVPMSLEGVMEDMRLMTGSRPKRVSDKRPKKVKQALELLPECAPQSVSYILELLATHHCPGCHLYRAENHIQDAPFGPPFAMIQGTLEAHGTIFNKIPKELSYQINRGSVAWIGSGPEFFISLANHNEWKNEYSVFGFVLPEYMKVMEIISQLPTKPDLWNNINVSVLKKTVPIRFRRFSEDLAAQ >KZM82812 pep chromosome:ASM162521v1:9:24767723:24769759:-1 gene:DCAR_030381 transcript:KZM82812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKNGQIDCWEFMQFLRVQGYKDYADHKLFQILDIDKSEGLDFWEVMTLYYIIKSGRPFCWSCQKFITAVYFVCVNCFEKSAAPVYLCPGCYEACKYRHSHGPLPPQFLDNYTILEAHRVSSLAKME >KZM81957 pep chromosome:ASM162521v1:9:9142325:9145534:1 gene:DCAR_029570 transcript:KZM81957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGEEEEDEMENEVEEEAKPELPEGFFEIEHIRRKRVKKGEVQYLVKWRGWPESANTWEPVEHLEAVPDVVDAFEQRQSGKHKSSKRKGRPGGSTSQAKRRQSTAPTVSVDLVQDHSPIPYNNGDSLNNGMDTNMGGIHISETVENNGSGVLSSQVQGAQEQNGGDANHSETINEAAGNLGVDMQGWRAPEVNDHARDGEDSAHAGHSISRRKKSAVVKRFRKDETDCRTNHTMSEDSVRVTRSRLQAEQQRQKNPVDESGTHDDAAIVQIIKPMSFEASGPDQDVVVTFTARRSDGKEIIVDNKFLKVNNPLMVIIFLSLDLYPVPVIVL >KZM83607 pep chromosome:ASM162521v1:9:33318818:33321568:1 gene:DCAR_031176 transcript:KZM83607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSNGFSSPRAEAFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCCLAREALDLLRERKDGFDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELKNIWQHVFRKKIHEVRDIEGLESGIEEFQMMRNGISDQSDDGFSFCGGDLNSGRKRKDFDNKHDDKNFGDPSAGKRTRVVWTVDLHQKFVKAVNYMGFDISSPDLGPKGPLVSDAHEIQKATSSSGVGLHYSFGTPDLDTKYTTFSSALPPQFSWNREHKPQIDPNNVFNHLSLPDLDQVQVNQKTFLPSYPTPFNKERDKLTHIKAMPPRATECISLNIRQQMPGENTFGLNPVQSECSTTAFNPSESITRTAWSSKDQVLDHISVSDLDSFNGNLILGKNSALTSLNENFPPCLLPEDCSPTYFEMQNSDFSDSNNPGLLLEPSMYLYDSLKFDYEYPCDPIEYPVMDQGLFIA >KZM83037 pep chromosome:ASM162521v1:9:28157304:28160245:-1 gene:DCAR_030606 transcript:KZM83037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVNTDAKTSENITRNLKEFNLTDLERATNNFEEVLGRGPSGRVFIGWVDENTYAPTTPYTGLPIAVRRFIPDQQGHIEWQMEVDLSRECSHPNLVKLLGYCSEGEERLVVYEYMQNRDLDTHIFKPPSQAKKNAIEPLRWEVRLKILIGVARCLEFLHTAEQIFICRDLKTSHILLDEKFDAKLSPHGLARLTESNRDTDVTTHSAATLCYAAPEYVISGVVSLETDVYAFGVVLLELMTGIRANEMLHFTDSITYHDDKQKRFVFYATSLDTYLDPWLEDSYPGGARSQLFPFIASVITECLDSSPSMRPSMSEIVESLERIATICG >KZM82924 pep chromosome:ASM162521v1:9:25912843:25914514:-1 gene:DCAR_030493 transcript:KZM82924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIISFGALVTLEELTSASQHFKEGASLRVTGKLQDYNVETAIAIINDGAATLKVDTQHLKINFRMSSIYQFIGELHFDSPDEVVLKARVGRNVDGIDLNLYHQSIKLLQEFQSDQIRKQAP >KZM83608 pep chromosome:ASM162521v1:9:33322431:33323340:-1 gene:DCAR_031177 transcript:KZM83608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCEKDGLKRGPWTIEEDHKLMRFILNNGIQCWRTVPQLAGLMRCGKSCRLRWINYLRPDLKRGALTESEEDMIINLHSCLGNRWSKIAAHFPGRTDNEIKNHWNTRIKKKLKLLGVDPLTHKPLNNHNNCNSASEVLNQSCSSTEEGNTGINLQNTIVFNQFPYSQGTQNSSFGIPDIRIADTSKIPTSLDGGSVYSERTDFGNTFYRGNDELQSADYLRQWISNPGSWGGYNHFGQGFLS >KZM81955 pep chromosome:ASM162521v1:9:9123273:9127038:1 gene:DCAR_029568 transcript:KZM81955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYIHVSSFQRQRLATVATVLEKAHLEDYLKSASTNRPLSISASTATPVLDLELESHSSSAIATKPWTYSSAIGPHTEANFGAISTSETLVSKDETTIAAAAAEAVALAKTAAKVAKDVAAMVNLYKSTKPFTDADSSLFDGTRMTQLRETVQLGQLGVLEKSESDVELDDEEPTLEDLEILQEELSQSIAVRSSRQPERQAKRARAAERTSVGVVSVTSGSTSKKKRSPVQEIDYSDPLRHLRGSTSSKLLTGREERELSRGIQIHLKLEKLYDEYKERYGSEPNYMQWAAAAGIDQLTLRKNITYGKICKDKMIKSNIRLVISIAKNYQGVGMNLQDLVQEGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQCRTIRLPFHMVDATYKVKEAKKQLFNENGKHPSNEEVAEATGLSMKRLEAVLLTPKTPRSLDQKFGLDYMKPSEAISSEELLIKQLMKEDLDKVLDTLNPRERQVVRWRFGLDDGRMKTLQEIGEIMGVSRXVRQIESCAFRKLKNKKRTKNLHQYLVSH >KZM82822 pep chromosome:ASM162521v1:9:24878626:24891253:-1 gene:DCAR_030391 transcript:KZM82822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARKERESLEDENAKEQIMKLEEEMSIGEKEYNEIMDQIDEIEDNLSMKEALLFHVAVREISYIERECQLLVENFNRNLRLKNIDSVSKSSLTKLSRLDIQNELKSTQRQLWEQMTLPSVMENDDNELPFDQDSTDFVERIKKALEDSREMQRNLNSGIRKNMKRFGDEKRFIVNSPVADIVKGYPEIESKWMFGNKEVVSPRAASNHLHHSWKKWREDVKADLKKDLLEDEEFGKKYVAQRQERILLDRDRVVSKTWYNEEKNRREMDPIAVPYAVSRKLVESARIRHDWGAMYVTLKGDDKEYYVDTKEYDMLFEGLGGFDGLYMKMLASDVPTSIQLMWIPFSELSIGQHLLLMMRFAYQSWMGVWNSGNVTVVRQKIFERFKNLNEDILLVIVFPIVEFVIPSSSAGLAWYMDWLTVADMNFRSRNSLDFVWYLGFTVRTVIYGYVLLHVFRFMKRKIPRLLGFGPLRRDPNMRKLRRLKAYFRYRKRSMKRKRKAGVDPISTAFDQMKRVKNPPIELKNFSSIDSMREEINEVVAFLKNPRAFQDMGARAPRGVLIVGERGTGKTSLALAIAAEAKVPLVEVKAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFLHTKKQDHEAFINQLLVELDGFEKQEGVVLMATTRNLKQIDEALQRPGRMDRIFHLQQPTPTEREKILLTAAKESMDNEIIDYVDWSKVAEKTSILRPAELKLVPVALEGSAYRSKFLDTDELMSYCSWFATFSNSVPEWVRKTKIGKGISKMLVNHLGLTLTKEDLQSVVDLMEPYGQISNGIELLSPPLDWTRETKFPHAVWASGRGLIALLLPNFDVVDNLWLEPFSWEGIGCTKITKAKNEGSMNGNVESRSYLEKKLVFCFGSYVAAQLLLPFGEENILSSSEIKQAEEIATRMVIQYGWGPDDSPTIYHHNNASTALSMGNKHEYEMAAKVEKLYYLAYDKAKVILQSNYQVLEKIVEELLEHEILTRKDLERIVSDNGGVWEKEPFYLSDVYEEEPVFRDLIENGNASGTALLGTAN >KZM82133 pep chromosome:ASM162521v1:9:11912148:11915136:-1 gene:DCAR_031840 transcript:KZM82133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGGIKDASASSQNSVELESLARFAVDQHNNKENALLEFARVVKAKEQVVAGTMHHLTLEVTEAGKRKLYEAKIWVKPWMNFKELQEFKHVEDCPSITPSDLGVKKGDHPVGWQSVPVHDPVVQDAADHAIKTIQQRSNSLVPYQLQEIVHANVEVIEESAKFDILLKVTRGDKEEKFKVEVHKSDEGAFKLNHMAPHDE >KZM81577 pep chromosome:ASM162521v1:9:2807947:2810362:-1 gene:DCAR_029190 transcript:KZM81577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSESEAAGGRDFVTGELNNTKHGVQNDGFELRGQSWFVSTDVPTDFLVQIGDISFHLHKYPLLSKSGKLNRIVYDSREGELNKIALDDLPGGPEAFELAAKFCYGIAVDLTATNISGLRCASEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWQYTGKPPKVPSPNWNEMRDLSPSRNQQVPADWWFEDVSILRIDHFVRVMTAIKVKGMRFELIGASLTHYAIKWLPGFLKEGSGSDGSLSSHSDVSAGWNGGLHLIVAGTKEQPQTVKTKDQQMIIESLISIIPPQKDSVSCSFLLHLLRMANMVKVAPALVTELEKRVGMQFEQATLEDLLIPSYSKSETMYDIDLVQRLLEHFLVQEQIESSSPSRQSMYEGSQRSHNSNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPETARSCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALANQSVKDTGESLYQPMVSNRKTLLEGTPQSFQEGWATAKKDISTLKFELQTVSAKYLQLQNDMDNLQRQFDKLVKPKQGSAWSSGWKKLSKMTKMSNLENNDLGSQINAEQTKKTNRRWRNSIS >KZM82533 pep chromosome:ASM162521v1:9:20756393:20760432:-1 gene:DCAR_030102 transcript:KZM82533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPDRIGTLFHISILDLSGNSLTGLIPPSIRNLSELDTLQLEDNMLSGEIPSWLFDIESLKNLYLGGNKFLWNNHVKIVPSCMLSHLSLKSCKISAEIPEWISTQKNLNLLELSDNQLTGNFPLWIAEMEIEYLLLSRNKLNGSIPFSLFQSQNLSVLDLSRNNFSGELPQNVGHALKMKVLVLSGNSFSGSFPKSIADLPSLMLLDLSRNRLSGNTLPVFKSNLSPSYVDLSSNELSGDIPVSLVSGTFILALGKNKFSGSFPRNLTNDVLLEYLDLHDNNITGYFPDYLISQLSNLQVLSLRNNSLYGPLPSNSFYGSRLQILDLSSNSLVGSIPTELGNLPGMSGRLSGYMVGYTIGELELNWIEATVSLFSGMHTITIEMNDLTVNWKNAMQGLSSQDRHIYTLIDLSNNKFSGDVPDSFGNLIGLKLLNLSYNKLSGYIPQSFGDLESIEALDLSNNNIPGAIPQSFRKLDQLSVFDVSNNKLSGEIPRGGQMDTMNDPSYFANNSGLCGMQIRVNCSKDEPTPNDGEEEDEDDHDEKEPWLLWIGVWIGFPLGFISSVLTAFLGNLPKNLTNKNQLQYLDLHDNNISGDLSEFISQLSYLQVLSLRTNSVHGSLSSNSFYNQSSLRILDLSSNNLVGSIPSELRNLVEMTDIGSFFLISMRIDVIEVKWTESTVTLLTGMFTSVIEMNDLTVNWKNAEQGISSRSRHIYSFLDLSSNKLSGDIPISLGNLKGLKLLNISNNKLSGHIPQSFGDLESIETLDLSRNNIVGTIPQSFTKLKQISVLDVSNNKLSGQIPRGGQMDTMNDLSHFANNSGLCGMQIRVKCSKDEPTPDDAQEDNGDGKQAPWFLWTGLWIGFPLGFVASVSTIFLSGYFVIPTLKYHSLHYRHR >KZM82418 pep chromosome:ASM162521v1:9:18606797:18607009:1 gene:DCAR_029987 transcript:KZM82418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLFGFDASSSRRGGSSSKGAVTGRLRWVGDCGWGWSWGTLSGDVEFSGHSEEREIVDLWAEKRCVCSV >KZM82302 pep chromosome:ASM162521v1:9:16996592:16999804:1 gene:DCAR_029800 transcript:KZM82302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNGNAKPPVKKESGGGAYAINIDNFSKRLKMLYSHWTESRHEIWGACEVLAIATPPPSEDLRYLKSSALNVWLVGYEFPDTVMVFMKKQIHFLCSQKKVSLLEVLKKPAKETVGVEVVMHVKTKSDDGTALMGSIFLAIHGQSRSEGHDTPIVGHIAREAPEGNLLETWDEKLKGEDFQLVDITNGFSELFAVKDSNEITNVKKAAYLTSSVMKHYVVPKLEKVIDEEKKISHSTLMDDTEKVILDPAKAKVKLKAENVDICYPPIFQSGGEFDLRPSASSNDQHLYFDSTSVIICAIGSRYNSYCSNIARTFLIDANAVQSKAYEVLLRAHEAAIGTLKSGNKVSAAYQAALSVVEKDAPELIAHLTKSAGTGIGLEFRESGLNLNNKNDRVLKAGMVFNVSLGFQNLQTDTMNPKTQKISLLLADTVIVGVNSPEVVTSISSKAVKDVAYSFNEEEEEEKQPKVKPEANGTDASSSKATLRSVNHETSKEELRRQHQAELARQKNEETARRLAGGGSGSMDNRGAMKGSGDLNAYKNINDLPTPRDLMIQVDQKHEAILLPVHGSMVPFHIATVKSVTSQQDTNRTCYIRIIFNVPGTPFNPYDSNTLKYQGSIYIKEASFRSRDPRHSSEIVQQIKTLRRQVTSRESERAERATLVTQEKLQVGGAKFKPIRLLDLWIRPVFGGRGRKLTGTLEAHTNGFRYSTSRNDERADIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYAEVMDVVQTIGGGKRSAYDPDEIEEEQRERARKNKINMDFQNFVNRVNDLWGQPQFKGHDLEFDQPLRELGFHGVPHKSSAFIVPTSSCLVELIETPFVVITLVEIEIVNLERVGLGQKNFDMAVVFKDFKRDVFRIDSIPSTSLDGIKEWLDTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEASDSESDNSQESDQGYVPSDAQSDSASEEEEDDDSASLVDSEEDGEEDSEEVSEEEEGKTWEELEKEAVNADKENGAESDSEEERARRKIKAFGKSRVPDRRHPSGSLPKRPRMR >KZM82351 pep chromosome:ASM162521v1:9:17775806:17777850:1 gene:DCAR_029920 transcript:KZM82351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISFRQFCWWLRSLSDKVHFQLQNELKLHDGRHGGALVSHDSILADEMGESSIISNILSMDFDLWDEALPSPQNLVKLPGETDKQFGPLGAMNMKKEVVFLAGPGRRDVHKMRWGNNGGTATDTLFGFRNKGSRLPRIHRRGMEEYSGE >KZM82936 pep chromosome:ASM162521v1:9:26010434:26016328:1 gene:DCAR_030505 transcript:KZM82936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNKEDSGPGERFQMIQPHRDLELNFEIDVAKTLEDYLLRICSGEGDSEEDGPPSINFAEAAMLLQNSIQVYSRKVEYLYSCVLNTLKFLKQQSEPNQSEDDGDSSDGSARDEGGGKRPAHDDANIDFWVPNDIPVEPNITLESGACCILDDFVKPPADLLLLEGDCLDANGEGGELDSYLLAKCELYKDFILLDPSDAAAIDEYLKENQEPEVKQNGVSRGSSQRSHKSFLSPTKEKGGSALKSPNAHFDQSPGLDHGFDFIDFNNNVPQNNNSEFEMEGGGYPGSEDDDDSDEDDLWKPLNPHEPGNLKVKPFKEVKANRWDGSKPSKRTSVTKEFPLAPFHGPISSDIAHVWESRRHPSGSQQSKYPLYEKLRQSLVLGEHDTFDEYKSKAVDEDNGYDSEDDYSGPEFDMPENTFMNEDVAYMNEDNNNGGAPFHTDTASGNDDPTPDANLEDLCHKHLDSLVPNLKEIEEQNEMAVRVSTWKDRIEQSLEEQDALPPFDIHTYGEKLLEKLSVENEGEKTMSFTDVVKGQEKHDVARTFCAMLQLVNNGNIDLLRVEDNGDKEDNQDKERKCYTAKKPFYIKLLRHKRRQEVQLRSSKKAKSPATNTKASKGKGKSAKKKH >KZM83141 pep chromosome:ASM162521v1:9:29120629:29127591:1 gene:DCAR_030710 transcript:KZM83141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGGYNKRKPPANNRRPKGATAAIDKPKPSKSKSSGSLKNQIRSTERFLRKDLPADVREVQEKKLEELKKQIELQTRLVNERKTFLLDRKKKFFERRKIERRMRRLEKQHRISAGQTQEAEISDQLLKLKEDLEYVRFFPKKEKYVPLFMGGDDTETVDRRNVLRKQIKANIIAAAASGKDLEETGSEDDGHLDPSEDDFFLIGSSSDEADADDEWTDKSTREQASSTSGKAASGMSSDEKTQRQLSARALMPPPGPSKRLSSVNVKPSGNSGVRRNTISLTSNSSNLSSNSDARKPRRKRRPKKKKEQVV >KZM83383 pep chromosome:ASM162521v1:9:31357268:31359293:-1 gene:DCAR_030952 transcript:KZM83383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVAYRTWNPPANNNPRDDELPPPNHYSKIDTQAVLQNTKLRLAEKFCLLAGISWYEVIAAQKHKYCYENVRKWDDSGAKDALFEAHERFCSMINGLPCEHPLPDPDLYVEKVNWDPVIDPGLISEFEKLEKEKNSTNNKISGSGVRNNITVDNPRESHHLEDNVDVKDVAQGCNKCGDLLESKSAMDLWQQDGPDGDEATKDNKQGSAVNKPSDWNKGLDDARESTRYKSDALKNPGGLNCWNSKPSELGNHNNNLKVYSGMRTRACRKREEYQASHRSQKHEDADSESPQFQGNERPRKRYNLRSSKY >KZM82263 pep chromosome:ASM162521v1:9:15097071:15099741:-1 gene:DCAR_029853 transcript:KZM82263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQTENKIAAILMKEAAELRRQAEKDGVHAYLRQPNVRGRPNSRFLTATVLGVQQANRAVEVNEMWRIRQKEQELDSRLQRRQRDLRSSDRSYREQEMDDGLKRKWSDQSSSGRSYRDIEVDDRQKRRRSDESSSGRSPKDKNHDGEMSNRSNQRYSLSEEGLKDDEIENFLHSRVKRGRGAVGSRMDETGPYLPPCQDSTLEHFSFVDMVSTKERDRSAILGPEKPSQLVSWSSSDEDSVQEKHKKSKKVKKASSSERSRKHKSKSKSKDRNKKKRKEERRHRKHK >KZM83553 pep chromosome:ASM162521v1:9:32954361:32955116:1 gene:DCAR_031122 transcript:KZM83553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKTRGRQRIEIKKLQGNQQQVTFSKRRKGLFNKASELCVVTNAEVAVLAQSVGGRVFAFGHPSVDRVVEAYLGNNVVDWGEVGEDLRSNYEEWNKKYLEIVEETKVEKAKVSIVEESSRRFWWENCFEDLEVDELEIFIDSMEKLKTNLMVKGEELKINEIANADDPQSLDDQVVVPNSDQFVSYNVGFANGDLMMPAVNYGSSGLNKYGFVNDGLVLDDNGGDNLQDLSDFGTFGVPNTSDLYFSQD >KZM81999 pep chromosome:ASM162521v1:9:9700798:9705815:-1 gene:DCAR_029612 transcript:KZM81999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYMNSVLYDAVTNDNHNELAAMQALNLEDQRTPTNSTVLHLACQYGSINCVHHILNHHGAALLLKTNSRGETALHLAAKQGQLNVVQALVDRATALAQQHNNVPNHPASMMVQNLMRTADEDLETALHGAVRYNRIDVVRFLVERDRSYLHPQNKYKETPLYLASIRYYPQIIATILDNCDWPNISGIAGGKTDLYAMCGGPEGRTALHAAVLDYRNPGGHECVQLLLDWNIGLLKEVDDYGWTVFHYAAHNDLYTIIELIVGFLIADEDKYVAYHKDKMYGRTPLHIAAYTGNVGVMITFVHHFPDCWEITDGSGRNILHIAVEEDRKEVIDYILFRGFKASNNLLTKRDNSGNTPLHLITKLGIAVPRLMRIRVRDRIMGIRGIDPRPLGILMRWLRSKLMDRRRAEWEVDWEVLDSNNYTPLDVLHLEEEKHTLANQLLVRTSLIEANVRKHWWLWRTLREPNAESGKRIVKHTEITNNLEVEEHRKAMNTHMVVSALIATVAFSALFNVPGGFDGSKGSPVLLRKTRFAFFIIFDAIALMLSVASLLRYFVTSSNKDANQVKLIVQTTATSNFLAIVTMMIAFVGGTSAMLADNSILANVVGVLTLFFLIGAIPISVYIGRGGVRSRTLLPTSNSV >KZM82861 pep chromosome:ASM162521v1:9:25335638:25339187:1 gene:DCAR_030430 transcript:KZM82861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAKHFSDLKWCCMFGEIEVDAEALSENVLRCQTPSHTPGVVPFKITCSNRLACSEVREFEFRRSTSTNSCLMADKKASQDELRVLTRLIKLLSSGVNDKNSYCTVEECDGCKVMNVIHAINTDDMNNLGSFDKTLTASQGNSNDVLFRVLLKDKLYDWLACQTHNLGKGLNILDDEGQGVIHLAAALGFEWAIGPIIAAGISPNFRDAKGKTALHWASYYGREDTVIVLVKLGASAGSVDDPTPEFPGGQKAADLASSRGHKGIAGFLAETDLVSHLSLLTFNGSMPNNATAGVKLDNTVENAVMYGFPPDEVPKEEISLRKSLSAVRNSAHAASLIQDAFRVRSFRHKEMPKSNSDLSDNPLDLVALGCLSKVRNMHDFDDYLHSVAAIKIQQKYRGWKGRKEFLKIRKRIVNIQAHVRGHQVRKHYKKVVWSASILEKIILRWRRKRCGLRGYRLTKAAEAPEPDLEKDNEYDFMRIGRKQKAEGVELALARVQSMARNPEARDQYLRLVRKLEKDKEESAQYR >KZM81522 pep chromosome:ASM162521v1:9:2111062:2112499:1 gene:DCAR_029135 transcript:KZM81522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSRNASNKVKLKFKITDGRLKDPNFNKVQMPKKKKPAQVCTISEVQNASAQFIQEEVICKATIISIETTEIWNQLICTSCYRDTQMENNKQHCKYCSRIVPHPLKRFHVTAIAQDDTGDLKIILKDREIRNLIHKYVEELETEDNSFPKKIKDIEGSGYTIKLLITSRNVEKRDLSYIATDIVTGYNYIDESVQQKDSSMQTSTSAAEPSGSSIQLDEISQLDYATQ >KZM82395 pep chromosome:ASM162521v1:9:18287003:18287515:-1 gene:DCAR_029964 transcript:KZM82395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEYPEVEMSDVEDPTDSSPPPSDYDPSSDSDGSINNFDLESDNDSDGPIEDNPGEIVNRPAKKSELEIEVESLRAELEETNLKLDTAEHRLVRLREHLLEGCDRFYSTSLRGRCVNKRAKKYIKSFAKETRVEVLRSVALVGNALRGGDHYDYPASTSASPSDNYSSD >KZM81363 pep chromosome:ASM162521v1:9:358030:360564:-1 gene:DCAR_028976 transcript:KZM81363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNHVDKGKQPMYPYQLVWIPRWPILEEKNQLSNFVGHHAYDPRINQWPSQKNKEISSDISGSVKPCMKINAIDFGILKNDQEMNSRNQRRDRPELFDSTITNFILESQPTSNSEYVSSLLSLAPPGTESSFMGSHIQHQSVSQCPTELVTSHKIFGTSLGFSAPLSEDIRGTSSRVSHQISDLGERNIGCVTIPKAPSFQFRVEENDNHARSIPSSKRKLSDTNILKVGEHEKRNDSSGVLSDNRSRRNNLPPAFHEQQYNNMNNFSSINMFSTRAPEANTMRTHTSADNLGGFTPIYQVNHTFPVMGNTDTNLQKKDGNFRDSKVVTQMQENVPNKCYSVPQPIGHGGQGVEFLVLSSDSEGNNAVNDVRATEVVSKNGAAETHTVDMNIFKEKHVSGLPSSSSKKNVSSYMDSPHPNLATGTPGVRVQSQKHSVPAIPDINIPLPVEPGGASVVHNADPSTSKSRSLDMDYVPEQSSNWLKRLKICEPCNRSVGTKSLSLDETTTNKEANQFVSRGVGGETSNSEPVPVSTGKELMTVDKVTTTPLKDVDSRSNDNADGNDGTNRLLSWIQRWQKPPTATPKNMSEPVVICDPQACKESLEELDNKPLLSIAAMALLGKGYSDVKCQYRNEGTYTVWES >KZM83407 pep chromosome:ASM162521v1:9:31547069:31547599:1 gene:DCAR_030976 transcript:KZM83407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSLSDYEKLQAEMSDLKIKHKELLASHEEKCRELEELKSSLTMSSSAKETDDMEVLDHNVL >KZM81857 pep chromosome:ASM162521v1:9:6987687:7011359:1 gene:DCAR_029470 transcript:KZM81857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIQSLSALGIDVNEHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLPLKPVSVEITYGLERIIMLLQGVDHFKKIQYAHGITYGELFSENEKEMSAYYLEHASVDHIKKHFDFFEAESRSLLDLGLAIPAYDQLLKTSHAFNTLDSRGFVGVTERARYFGRMRSLARQCALLWSETRESLGYPLGTAVESEDLIVQKEVLEAAVKMVQMEPRSFVLEIGTEELPPSDVAHASQELQSLIVQLLEKQRLSHGKVLVFGTPRRLVVNVESLFPKQDEIEAEIRGPPVAKAFDNEGRPTKAADGFCRRYSVPLDSLYRRVEGKTEYVYVRVTEPARFAVEVLSENLPGAIGKISFPKSMRWNSEVDNAESYVKVMQQAGVSVDIEQRKKTILELSNALAKRVDGQIVMQNNLLDEVVNLVEAPVPVLGEFNESFLVLPKELLIMVMQKHQKYFALTDAHGKLLPYFISVANGTINKKVVQKGNESVLRARYEDAKFFYELDTGKKFTDFRDQLKGILFHEKLGTMLDKMIRIQGTVSELGLALGIDEDKLNTVRDAASLAMSDLATAVVTEFTALSGIMARHYALRDGYSDEISEALFEITLPRFSGDILPQTDAGTVLAIADRLDSLVGLFGAGCQPSSTNDPFGIRRISYGLVQVLVENNKNLDLRQALELVASVQPLKIDDKIIDAVQQFVTRRLEQFLVDKGISPEVVRSILKERANWPCLAAKSVYKMDSLSRGDLLPKVVEAYSRPTRIVRGKEEILVDAEVDEAAFEAKEEKVLWTTFLLLRSKIHPGIEVDEFFENSSQLLQPLEDFFEHVFVMVDDEKLRKNRLALLQKIAGLPKGIVDLSLLPGF >KZM82365 pep chromosome:ASM162521v1:9:17963178:17978205:1 gene:DCAR_029934 transcript:KZM82365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQHLGNSSFSSAKFIVAIGAVAVLLLLAVALLCAYEICAVYVTAGSSASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRSYKFAYSDCIEMGPVASLPEPPDPNELALHLGLLYCGSLLVLVVYSILYGLTAKKSQWLGAITSAAVIILDWNMGACLYGFQLLQSRVAALFVAGASRVFLICFGVHYWYLGHCVSYAVVASVLLGAAVSRHLSVTNPITARRDALQSTVIRLREGFRRKEQNSSSISSEACGSSVKRSSGAVTGQLGNPAHVTSDATTWNIIEAVNSEKSLDSGSPSLAIRSSSCRSVVQEPEVGTSYADNCSLVVCSSSGLESQGCESSESTSANQQALDLNLALMFQEKLMSDPRITSILKKRARQGDHDLTALLQDKGLDPNFAMMLKENGLDPKILALLQRSSLDADRDHCDNSNIVVTESNSSDNILPNQISLSEELRLQGLGKWLQFCRLVLHHIVGTPERAWVLFSFIFIIETVIVAVFRPKIIKVINSTHQQFEFGFAVLLLSPVVCSIMAFLRSLQAEDMAMTSKPRKYGFIAWLLSTSVGLLLSFLSKSSVLLGLSLTIPLIVACLSIAIPIWIRNGYQFWVSRRGSDHTGSHRTLWFKEGVVLFICVSLFTGSVLALGAIVSAKPLDELGYKGWNGSQNGGSSPYASSVYLGWAMASLVALIVTGVLPIISWFATYRFSISSAICVGIFTVVLVAFCGASYLEVVNNRDEQVPRKADFLAALLPLMCIPALLSLCSGLVKWKDDDWKLSRGVFVFVVIGLLLLLGGISAVTVIITPWTIGAAFLLVLLLIVLAIGVIHYWASNNFYLTRKQMFFVCFLAFLLALAAFFVGWFQDKPFLGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDSHADCGQNVSAAFIMLYGIALAIEGWGVVASLKIYPPFAGSAVSAVTLVVAFGFAVSRPCLTLKMMEDSVHFLSKETVVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPTVTRDRAGNFVLPRADVMKLRDRLRNEELAAGSIFLKIRNGTMLRHDSTSDVGYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLNAKKIKKWMPEDRRQFEIIQDSYLREKEMEEEIFMQRREEEGRGKERRKALLEKEERKWKEIEASLMSSIPNAGNREAAALAAAVRAVGGDSVLDDSFARERVSSIARHIRASQLSRRALQTGISGAVCVLDDEPTTSGRHLGQIDPSICQSQKVSFSIAVLIQPESGPVYLLGTEFQKRVCWEIFVAGSEQGIEAGQVGLRMITKGDRQTTVAKEWSVGAASIADGRWHMVTVTIDADIGEATCYLDGGFDGYQTGLPLRYDNGIWEQGTEIWVGVKPPIDVDAFGRSDSDGAESKMHVMDLFMWGRCLTEDEIATLPSSIGSVDYNMIDLPSDNWKWADSPSRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDRESVILDVDSFTRRLRKPRMETCDEINQRMLSVELAVKEALCARGEPHFTDQEFPPNDQSLFVDPYNPPSKLQVVSEWMRPTEIVKKNHQDSHPCLFSGSTNPSDVCQGRLGDCWFLSAVAVLTEVSQISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESHGKPAFATSRKGNELWVSLLEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHIPQSRDGIFWMSWQDFQIHFRSIYVCRVYPPEMRHSVHGQWHGYSAGGCQDYDTWHQNPQFRMRATGPDASLPIHVFITLTQGVGFSRTTAGFRNYQSSHDSLMFYIGMRILKTRGRRANYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASIILEAL >KZM82960 pep chromosome:ASM162521v1:9:26349032:26349376:-1 gene:DCAR_030529 transcript:KZM82960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTTLLVICATLLITILSISAVDASAASNSYLSFLPASGTVADFISDESEFDLDSDINRRILATRRRYISYGALSRNTVPCSRRGASYYNCRQGAQANPYNRGCSKITRCRR >KZM82639 pep chromosome:ASM162521v1:9:22029863:22030123:-1 gene:DCAR_030208 transcript:KZM82639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIERNYEPPAEWMDWEKKHYASYDTYICEAMGFLQAQLMNTRPSFAIGMMALVALSVPTSAAVVVSHFFDIVNAGAAGISSHML >KZM81798 pep chromosome:ASM162521v1:9:6167174:6171153:1 gene:DCAR_029411 transcript:KZM81798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWNDVYKVVVAMVPLYVPLILGYGSVKWWHMFNPEQCEAINRMNCYFIYPLFMFEFTTHINPFNMNYLFVAGDVIAKCFLGLVLGGWTYFFSERYEWFVTGFSISAINNTSIVGLPLVGAMYGSLGEELVIQSALLQLIIWVMILLFMLEVRRARQSFESVSAIEVSGKDLEENIGVQVNDVRVVRPSTWAVIKIVLGKLAKNPNCHACIAGFIWALVASKWHFQMPSIIEGSITILSKAGIGTSMFCMGLFMALNEKIFACGAKATLLTMIFRFIMGPFSMGLACLALGLRGQVLRIAIVQAALPPAVISFIYAKEYGLQADVTSTAVIFGTAISLPIVIAFYAILEWIDV >KZM82021 pep chromosome:ASM162521v1:9:9979381:9987621:1 gene:DCAR_029634 transcript:KZM82021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNGVKLLRLLSRHSLRSRLLHDPSSIAIYESFLKGATRNFGTVIGGHSRNVTNSHIINVGHTNCLSLGVVKANLGGLRSIHGTTSMASKDFYETLGVTKNATPSDIKKAYYGQAKKLHPDTNKDDPEAEKKFQEVQKAYEVLKDEDKRAQYDQLGHENYIRFGEGGGGDPDQGGWGQGFPFGGSPFGAEDIFSKIFGNRDFGGRDVKVSLELSFMEAVQGCTKTVLFQTELPCDACGGSGVPPGTKPETCRRCRGAGMTNQQSGPFRIQVTCSQCGGSGKYVKSLCKSCNGQRVVRGPKSVKLDIMPGVDESETMKVARSGGADPDGNQHGDLYVTFKVREDPIFRREGPDIHVDAILSITQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKKKGIKTRNSFSFGDQFVHFNVTIPMNLTQRQRELIEEFSKEEHGEDDKRDEKRNAAGASG >KZM83120 pep chromosome:ASM162521v1:9:28914662:28918239:1 gene:DCAR_030689 transcript:KZM83120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWCNVAGLIRLISFLSFVPFLSSLSISVENIECVYEYALYEGDNITGNFVVLDHDIFWSSDHPGIDFIVTSPGGNTIHAINGTSGDKFHFKAPRSGLYKFCFHNPYSTPETISFYIHVGHIPNDQDLAKDEHVDPINVKIAQLREALEAVVVEQKYLRARDIRQRNINESTRSRVIFYTITEYILLALASALQVVYIRRLFSTSVAYNRV >KZM82329 pep chromosome:ASM162521v1:9:17284090:17284458:1 gene:DCAR_029827 transcript:KZM82329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKTASLTLLLSLNLLFFAVVSATGATPIPDSTYYTVSKCDPLKLGVCANVLNLVNVVVGSPPTLPCCSLIKGVVDLEAALCLCTAIKANILGIKLNVPIALSLVLNNCGKELPSGFECY >KZM81880 pep chromosome:ASM162521v1:9:7537456:7538744:-1 gene:DCAR_029493 transcript:KZM81880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRFMETYGYDMILGSIAAFYVVMIPYTKVEESFNVQAMHDILYHQHHIENYDHLDFPGVVPRTFIGNPTMQ >KZM81915 pep chromosome:ASM162521v1:9:8474633:8476759:1 gene:DCAR_029528 transcript:KZM81915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFLLLVLLLVSFAPRLSFSLNQEAACLHEIKLFHFDDPDNVLSDWILKENDTRCHWFGITCDRLTLSVNSINLSNAHIAGAFPSSLLCLGLPNLNFVILANNSINSTLSDDISTCRSLQYLDLSQNLLTGKLPATLPNIPHIIHIDFSGNSFFGDVPASFGMFRTIETIFLSQNFLDGAIPEFLGNISSLKQLDLSMNQLLPSRIPPGLGKSLSACSVHVQCMFCACYVQQVGALDEDNLTETVAAGKVFKVVLSNADAVAVKKIWDGSKLADEKGDVERCGSVQNEGSNHRLRRSERFLNIGLLCTSLLPINHPSMRRALDMLLEIGGFRSQMKNLRRNDEMSPYYDKDASIKEVEAKDT >KZM82594 pep chromosome:ASM162521v1:9:21654828:21656174:1 gene:DCAR_030163 transcript:KZM82594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLESADYKHNMVMEYPVEAESLRKLCYKSMKRGLDFFSSPCHDSYATTDPVSKKTRMSHKLPTFQDAAGSRTRLDHSQTLPHDSTTTLALSVPQNPLPKKKGFQPNSLADEAGFAGKSGEILSSDQASCNKFYSDTRKSLVKHPVWKTYRVLSNHLGWVRSVAFDPSNEWFCTGSADRTIKIWDLASGKLQHTLTGHIGQVRSLAVSNRHTYLFSGGDDKQVKCWDLEQNKVIRSYHGHLSGVYCMALHPTIDVLVTGGRDSVCRVWDVRSKVQIHVLSGHDSTVCSVFTRSTDPQVVTGSHDATIKFWDLRYGKTMTTLTHHKKSVRAMVPHPVEDNFASASPDSIKKFNLPGGVFMHNMTSRQNTIINAMAVNRDGLMVTGGDDGSLWFWDWKTSHNFQQSKSKVQPGSLDCEAAIYAASFDVTGSRLVTCEADKTVKIWKEETM >KZM82927 pep chromosome:ASM162521v1:9:25933283:25939219:-1 gene:DCAR_030496 transcript:KZM82927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRKQNLKNIAAEGFAMLEQHVHKYQQPKSHVFEVIIPANVAAQAKKEVVSIDCYQAAQMYATTCSRATAAINLLRERKCCFDLVLIDVQTPDMDGFKLLELAGLEMNLPVIMMSAYGRTSAIIRGIRHGACDYLVKPIHEENLKNIWQHVVRKKCNETKKQEIFSSLDKKDRNRSATSDLEHASAVNEDGSESDDEISASEKPIELWSVELHQKFVIAVNQLGIDKAVPKKILKLMNVPGLTRENVASHLQRFRLYFRRLSVLQQPMGMPFPFYGHVKHDPRLSLREISDPQTLAASRPIPLQTLTDLHAELLGRPVNDQKIILQGSRQGQKNALGDAGIAHQQPISLLWGHFLHIFPAIFLFCSVVWLIIEL >KZM81564 pep chromosome:ASM162521v1:9:2683659:2685557:1 gene:DCAR_029177 transcript:KZM81564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPPVANPPPQGYPQPDQPKDGYPQQQQGYPQPGYPPQGYPQPGYPPQGYPQPGYPPQGYPPQGYPPPAYAPQGYPPQGYAPPPPQQQKQSGSFMEGCLAALCCCCLLDAFF >KZM81753 pep chromosome:ASM162521v1:9:5438234:5440652:1 gene:DCAR_029366 transcript:KZM81753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGGSGDACYYSVLGIRKDASFSDVRAAYRKLAMQWHPDRCEKNGLSAVEAKERFQKIQEAYSVLSDETKRAMYNAGMLDLYVDEDDEGMKDFMRELFTMAENYRNDNLNAQNIWSVPVMFFSHRLSDVLHPPVALD >KZM81334 pep chromosome:ASM162521v1:9:127399:128980:1 gene:DCAR_028947 transcript:KZM81334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKKFYRKKQRNNKKASKSRKKKQRNNKKKAVADLNNRDAFFKDLENVDKPSPTVPAPEEYCCAVNFKSLATLKGTSDTNDGLDIYDNTEVVYKPPVPAPEEYRGPIIFHPLADSGRSFCHTYLQPQFTRPPVINCTSDTKDGLDIYDNTEVGYKPPVPAPEEYRGPIIFHPLADSGRSFCHTYLQPQFTRPPVINCTSDTKDGLDIYDNTEVGYKPPVPAPEEYRGPIIFHPLADSGRSFCHTYLQPQFTRPPVINCTSDTKDGLDIYDNTEVVYKPLVPAPEEYHGPIIFHALAASGSSFCHTYLQPQFTRPPVINSTSDTKDGLDFYENTEVVYKPPVPAPEEFAAL >KZM81527 pep chromosome:ASM162521v1:9:2158308:2158529:-1 gene:DCAR_029140 transcript:KZM81527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCVINENDWDGVLRDLDYRIINTFSMFIAGSPHVDSWGMLEMVAIACAHLSQIIIVHYSRTLVPHYLGLVC >KZM81738 pep chromosome:ASM162521v1:9:5278205:5279179:-1 gene:DCAR_029351 transcript:KZM81738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQDLPLVQKVCEIYDKLSKLNSLKPSKDVNTLFTDLVLTCIPPAAIDVTKLCKNVQEIRSRLIKICGEAEGLLESHYSSILGSFDDNPLDHLSIFPYYNNYIKLSLLEFTILTQFGPLDEPKRVAFLGSGPLPLSSIVLATYHLTNTCFHNYDIDSSANHLASRLVSKDPDLSERMFFHTVDVMDVTSALKEYEVVFLAALVGMDKTEKVRVIDHLAKYMAPGAILMLRSAHGARAFLYPVIDPCDLLGFEVLSVFHPTDDVINSVVIARKLPLPFHSTNADQQGKLEGTIVLPIKCSEIDSFIYPLNQIGLIEDLALEEQV >KZM83346 pep chromosome:ASM162521v1:9:31057879:31058208:1 gene:DCAR_030915 transcript:KZM83346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAADGEQQPGQVLSVNVINSSSTQLESFELGTVVSPLFDHGTEQGEQVLEIQKCATNTHSYEEISTTAASKRTPEGVEQIISCMSLNLFVLMDIFNYILFFTLVIIH >KZM83290 pep chromosome:ASM162521v1:9:30446907:30450542:1 gene:DCAR_030859 transcript:KZM83290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEANLNVDYEAQSLLVFTGDASPRRALSRMIVLLHSISKFRSEAERSYTSIDDTNVEDDEGQPELTVLVDGSSAQDNSLGSNKDRLDSEVTSQTSRGDSHHGIELLTQFKISEISVTTHIASGNASTVPSVLPKSQQDKLQRSQTKKITQIMKERDLKTFNSFGGIDGVADALSTDLVKGLHDKDDICRRKMEIDSQKQFPESCFFPLLIDTCKSSTTILLLVVAVLSLAFGLQEEGPETGWHDGAILFLMITVLVLLTSLCRYNHEKKLLRRLRKQGAPMKTVHVTRGECNQPISISDLVRGDIVLLERGYEVPCDGFYIDGKSLEVDAGSQSIIDDKNPFLFYGSRVINGSGRMVVASVGTTTVWDNLMKTNSRDKKSIVEAHLDKLMKGIHIFGLLITILMFTASFLRFVLKKPDEANGYRSEVKDKPTSIRIICNTIEKIVTEPKGTARTLRSLLGISLVGIMENVPMVVSIAITLWNDKVLSDKATCHDLLACIKSSSVTRICTDKIGGLTEQQMEVSMVSVGCDVISDSSSLSPKVLEALCEGIGTSVFTSADACHVMEASLCLWANDAIGLMSNNLKTNWELLQWTESDASKNSCGVLIKKTEEDVMRLHWKGPVGEILAKCSLCYDKEGNLHEMNTQKRLDFERVNKDMLDKQLKTVALACRPAFARRLEGNDLNLIGLIGLKDSSKETTNMAIALAFSEGSIRTILVSADDVSSLEPIAVQHGLLKQASNLVLKGEDFQKLTKAERMEKIDQICVLGNCLPADKQSFVKCLKDRGEVVAMVGMQTTDAPALRECDIGVALGTWNSAIARESSDITIWNEGLCFLFDVIICGRWVYGKLQKFIQVLLIMTVSSLLLNFVGVVSTGDAPMTAVQVFGLNLLMTFIDGMALLGSPQARRQCYESTDGPTGSLITMAMIRNVIAQSSYQITILMTLQQKGHLIEGTTKKSIQSMIFNSLIMCQAFNLFNARELQNKNFFKGIIHDFWFLCAMAIYSFLHILYFAIGYRLAKDARLDYRLWEGCFLIGIVSWLFDWIWKVLLPSSRIECFTGWLINCTGKCKMTVLKKGWWLVKGGCLHSESLPPETNSNLHDPLINTLDSLSTPNPYETLV >KZM83294 pep chromosome:ASM162521v1:9:30535450:30535830:-1 gene:DCAR_030863 transcript:KZM83294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSCLVLLALLALSLLVLPGQGYLNQWYPVKNLNDPHVQSFAKSAVEEYNAGLNKTTQYNSLDYLKTVKGKYKYVELDAGKDFLLNIVAKKRGDGSMGNYQALIYVDTFFANSTTLIRFKRLDN >KZM82437 pep chromosome:ASM162521v1:9:19153833:19155527:-1 gene:DCAR_030006 transcript:KZM82437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPFIFLFVVSCLSSVIHGSSHPKTPPPGLAQTKGQPGLASPKAPPGLAKPKGAPPGLAEAKGAASGQAKAKSSTPGLAEAKGAAPGQAEAKGAAPGLTKTKGAAPGLTEAKGAAPGLKEAKGAAAGLAKAKGAAPGLAEAKGAAPGLAEAKGAAAGLAKATGAAPGLAEAKGAAAGLAKATGAAPGLAEAKGAAAGLAKATGAAPGLAEAKGAAAGLAKATGAAPGLAEAKGAAPGLAEAKAAEPEVAPPKPLPPGLSEDYYAKTCPSFDKILLETITPKQQSNPTTAAATLRVYFHDCFVEGCDGSVLLNPGADGPTERENPLNLDLPGDAFDVIVRVKTALELSCPGVVSCSDILAAATRHLIKQTGGPLYKLMLGKKDSRVSIAKNVDHNLVDEKVDFDTMYAPFSKKGFTIRDMVVLLGGGHTLGFAHCNRFASRIYKFSPTQEIDPSLNPGFAQRLRQLCANYTQNEGMSAFLDPVSPGKFDNNFFNNVMRGLDLLPSDHILLTDPRSKPMVEEYALDQNKFFADFSKSMEKLNVLDVKTGNAGEVRTNCFAVNGKA >KZM82291 pep chromosome:ASM162521v1:9:16263370:16266946:1 gene:DCAR_029789 transcript:KZM82291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEELRSLEYTPTWVIAAVCFIIVFISLVAERGLHRLGKCLKHRGQDALYEALQKLKEELMLLGFISLLLTVSQGPISNMCISLHLASIMLPCKLPHESSSAPATEHFHLHHTYNNGRRRLLSEGSESQYCAHKNKVPLFSREALHQLHIFIFVLAVVHVIFCATTMVLGGLKIQEWKRWENSSMTETNAPNVIRRHHLQFSKSRAFGYWRKYRVIGWMRSFFKQFYGSVTKADYIALRAGFIELLLLVGAHLEHIITRLARGVAERNTAEGQAAPVKPSDDHFFLGHPKFILHLIHFILFQNSFEIAFFIWIWTSYGFRSCVMEKLVYIIPRLVIGFIVQVLCSYITLPLYALVTQMGSQFKPAIFKEGIRNLVGDWAGGHVHNSLTNMPSSADTINKLETEPYNGIHVEEQEIIVDQRTNSSAVELFPSFPNNGTSYP >KZM82911 pep chromosome:ASM162521v1:9:25804514:25808804:1 gene:DCAR_030480 transcript:KZM82911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERDIDDLPKNNANYTALTPLGFLERAALVHPNRTSIVHGSLSYTWLQTYQRCRRLASALAKRSISSGSTVAIIAPNTPALYEAHFGIPMAGAVVNTVNVRLNAGTISFLLEHSRSAVVMVDQEFFKLAEEALKLLADKAKSNFKPPILIVIADEDCDQKSLQYALDRGAVEYEKFLETGDPEFSWKPPQDEWKTIALGYTSGTTASPKGVVLHHRGAYLMALSNVVIWKMTEGVVYLWTLPMFHCNGWCFPWTLAALCGTSICLRQVTAKGVYSAIANNGVTHFCAAPVVLNTLVNAPQNEKVVPLPRVVHVMTAGAAPPTSVLQGMAQHGFRVTHTYGLSETYGPSTVNAWKPEWDKLSLEEQAKRNSRQGVRYVGLEGLDVVNTKDMKPVPADGTTVGEIVFRGNVVMKGYLKNPKANAEAFANGWYHSGDLAVKHPDGYIEIKDRSKDIIISGGENISSVEVENVLYKHPAVMEASVVARPDEQWGESPCAFITLKPGVNQSDEHKISEDVMKFCRSNMPAYWVPKSVVFGPLPKTATGKVQKHVLRAKAKEMGPVRKSRL >KZM83112 pep chromosome:ASM162521v1:9:28841397:28842518:1 gene:DCAR_030681 transcript:KZM83112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIPYLNSPLHSSLIILLFLTTFSHQTTLPPSYPPPQNPSLQNAYLALQAFKHAITSDPKGFTSNWYGPYVCNYTGVYCAPAPDNPSHQTVAGIDLNHAEISGYLPEPLGLLKDLALFHINSNHFYGTLPQSFSNLRLLYELDISNNAFSGEFPRVVFDLTSLKFLDIRFNQFQGEIPPNIFNMKLDALFINNNNFQSNIPENIGNSPVSVFVLANNNLKGCFPSSITKMSNLNELILSNSGLTSCLPYDIGALTGLTVFDISFNTMTGPLPESMGRMKSLEQLNVAHNRFSGKVPASICYLPRLENFTYSDNYFYGEDSMCLKLAEKDDRKNCLPARPLQRSVEECKAFYWKHVDCASSGCSARSHPPYGH >KZM83588 pep chromosome:ASM162521v1:9:33155891:33156097:1 gene:DCAR_031157 transcript:KZM83588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVNCRAVVDGHGVDKQKQGVPSQLTLYYTINFTAKLRSYYSSSCKLITLQGTTVCLSFPLQSHGHAC >KZM83109 pep chromosome:ASM162521v1:9:28808057:28812367:-1 gene:DCAR_030678 transcript:KZM83109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTRNEIEEVPETQKSEIEEEVCSKVNVPDEQKELPETKKSEIQEGGGLKVNATDEKEEVPTTEKSEIQEEGSSMVNATASAIPDNVSGKAPGSISSKDKIFRADKIDLKSLDIQLERHLSRAWSSKKVDPQKPKEIWEIDLAKLDIKRLVARGTYGTIYRGTYDNQDVAVKVLDWGEDGMATTAETASLRASFRQEVAVWHQLDHPNVTKFIGASMGTSDLKIPNTPSSSSGALADLPARACCVVVEYVPGGTLKSLLFKNRKKKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKAENMLLDYQRNLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGVCLWEIYCCDLPYVNLSFAEVSSAVVRLNLRPDIPRCCPSSFASIMKKCWDANPQKRPEMEEVVRLLEAIDTSKGGGMIPEDQASGCFCFAPMRGP >KZM83240 pep chromosome:ASM162521v1:9:29919296:29926728:1 gene:DCAR_030809 transcript:KZM83240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDNVRDPLLNVEYYENCPGCQVDQQKAALQGHLPIWRLLTVFVTVLATAMQISSLFPFVYFMVQDFNIADQDEDISYYAGFVGSSFMLGRALTSVFWGKVADLYGRRPHFLNGQAYTFEILQKEHHALGLSVVAASWGTGLILGPALGGFLAKPADNFPDLFSPQSIFARFPYFLPCLSLSLFALVVLIASLWLPETLHNHDSRKIPSDDPIGRLEISAPAESDATVCTHEETKLNSKESIYSNWPLMSSILVYCVFAIHEMAYLETFPLWAESPRRLGGLSYSTVDVGEVLAISAVLKLSTGHPYYSIYVNWNKEFTVTGLFILQNRAVDQHQRGTANGISMSFMSLSKAIGPAIGGALLSWAQGRQDAAFLPGMSV >KZM81360 pep chromosome:ASM162521v1:9:345106:345756:-1 gene:DCAR_028973 transcript:KZM81360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIFRFKRLRNFRSLFRFIEICIVLFIISTFSTEFLTFYSRICGNFFNFFFRLSIEILSPTTTIFVIGNMIILILFSNYGNFSAQESGSCNNAGVYSKHLEFFEENANMVIEQGKPEIYEEKNISVDLCNIREVSKMQRSKSEVFKGYHVVEDHSCGKVTRSYRKSVSYNNRVGAEMTGDEFRQMVEGFIARQQRSLREQEEKDEFPAHVTSET >KZM82404 pep chromosome:ASM162521v1:9:18413548:18415951:1 gene:DCAR_029973 transcript:KZM82404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSLSSSCCKASSSSPSSCGDIKNISCPFTLKGDSQKCDASFFIQELSCINNRTVLYLLPDKLLYYVKSINYTNYFIRIVDPGIKKNNYSSLPSHYISQEDLPLHNVEYNQPITTIDCPSPVNSIRYINITSLTSSYSASALGKYYVYSYSYIVAGDLSILELEYNCRINKQTLISEESALGSYLTSRLWNNTAVEYNLSEIHNAMVYGFDLPWTYFYCLNCDEATNGTGECATFPDPPDLWACDAHRPCILSHPSSYHLSISCFFQNWHGIIDQLKRGKIRERIGEVAGIIFGARFSLGIPFVLALLVYRARRRHLSMYDTIEDFLQAQNNLMPIRYSYSDIKKITDNFKNKLGEGGFGTVYKGKLRSGIFVAVKLLGNSKATGKEFINEVATSGRIHHVNVVELIGFCFEGPKRALIYEFMPNGSLEKYIFRKEEVQEEIVSLSWEKMYEISCKVAGGIDYLHRGCDMQILHFDIKPHNILLDKNFNPVISDFGLAKLHATNDSIVTLTAARGTLGYMAPEMFYKNIGGISHKADVYSFGMLLMEMAGRRKKLNPFVDHVSQIHFPSWVHDQFSEGKELEMEDVTTEERKLVRKMIIVALWCIQMKPSERPSMNKVIEMLERDVEDLIMPPKPFLYPQEDPAETNNSTHSSLS >KZM83147 pep chromosome:ASM162521v1:9:29174255:29175329:1 gene:DCAR_030716 transcript:KZM83147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGDNVEKYRSFLDSENAKDVQWRFGAPPNYDIVNKLFEEGQTKIWPAGSLEEKVQNLVKTWEMEIFHKARLEDFKTLDPNKFTISINGRKGMTMGEIKKIKGAYNAMLQTQLPEHYRVYNPAEESADSSHIIFTTAFPRGFALEILQVYTGPPVIVYKFRHWGFVEGPFKGHAPTGEKAEFQGIAIFELGEDSKIVKVELFYDRGELIGGLMKGGKTNDSISEIASSCPIMRSTG >KZM82131 pep chromosome:ASM162521v1:9:11885515:11887799:-1 gene:DCAR_031838 transcript:KZM82131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCLPEQLEMDRSSGSMVKNLKGDSSSQCYGSVGPTDLYDEVLQCNSEAFESYVPGISRETQAHNVMALLDLRTSMMRFFNATRKHSSLMC >KZM82152 pep chromosome:ASM162521v1:9:12336607:12341268:-1 gene:DCAR_029721 transcript:KZM82152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEATAVQKVVVIQDASREVSWSAIRYVMTNTQLLKAGDELILIPVLHQVNNPMGYKNKVDSSSMFGSNSKMVAEEVCRIDELYRKTADMKQISMQCEQQKIKLVLKVYAGQSARVVAIEAALNLEATWVVLDRQMKKDKKYFMEKLSCGISRMKRDNTIEKLRGPKTLESTLLVGEKSTSGYVSYGEMIPDSCNNDLSPQNSPSAQEQASGNKLSFGDICKAPRLDSHHKSYVSSSKSSFSTDHTMWSSTIPSSASTSNTEGSSSSFVEVNNFLSTFHKDQKYHKLNAKDPEKEQEFQNAICSVCKNRRPKSGWKRDFSYAELHEATDGFSDKNFLSEGGFGCVYKGRLKNGLQVAVKQHKDASLQGEKEFKSEVYVLSKARHQNLVMLLGSCSEGSHRLLVYEYVCDGSLDQHLSKHTKKPLNWGKRIKIALGAAEGLEFLQKNKIIHRDVRPNNILVTHDHESLLGDFGLARNQYDDLENPSENSVVGTLGYVAPEYAECGKASTKTDVYSFGVVLLQLITGLRTTDKSLGAKSLVGWARPLLKEKNYPDLIDSRIGDSFDVHQLFWMVRLAEKCLSLDPSKRYNMTRVVNALHYIMESNTSFIKDFSPTQSDSLNSTTESTQSQAGSRTYESKEDTEQGKKLKIDDVFASSKTAVSVSEDHYDYGESEAAALEKNGLLYNEMII >KZM82153 pep chromosome:ASM162521v1:9:12345200:12347134:-1 gene:DCAR_029722 transcript:KZM82153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSSIRLISYSEELIDGRPLYVSSNCLPVKALYYEPAGHSYHLAAQKLLGFFEKKDVEGDNQDVPNDKVNESIHSSGSYSSSKGKKKSGSGGDQQDHYALLGLSHLRYLATEDQIKKSYRETALKYHPDKQAALILSEVTEAAKQAKKDEIENHFKSIQEAYEALIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVYGPAFMRNGRWSVNQPVPPLGDDSSSLKEVDDFYNFWYAFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLSEKARKEEYARVRILVDNAYKRDPRIVRRKEQEKAEKQRRKEAKYLAKKMQEEEAARIVEEEKRKKEEEQKRAAEVALQQKKLKEKEKKLLRKEKARLRTLSGSVLSQHLLDLCEDDVEKLCTSLDIEQLKILCNNIEGEEGLGRAELIREALACNNGEKQDGMKQPMQNGHATKVASKIPLGNYEKKEKPWSKEEIELLRKGMQKFPKGTSRRWEVVSDYIGTERSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAVSIASPLTTREEVVGESLTNGVKETAVKTEDLKESSSKPESPQKSDDVDAASSDQDVWSAVQERALVQALKTFPKETTQRWERVATAVPGKTVNQCKKKFASLKESFRSKKSAV >KZM83050 pep chromosome:ASM162521v1:9:28321211:28324799:1 gene:DCAR_030619 transcript:KZM83050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGIVEGGQAEQQTQPVERLNQAVQQQLNLESVKTRAISLFKAVSRILDEFDAIARANSLPKWQDVLSQFSMVNLELYNIVEDIKKVSKAFVVHPKNVNAQNATILPVMLSSKLLPEMEMDDNAKREQLLHGMHNLQLLSQIDKLKTRIDMIGAACESAEKVIADTRKAYFGTRQGPSLLPTLDKVQAAKIQEQESLLRSAVNQGEGLRIPGEQRQITSALPMHLVDVLNVGESSQTFSDGSGMYTKNNPTFSSNNITSQGNLIQASGSQLIGRTAASPGATGSTSFDNTTSPLPYANSPRSGANILNTPSPQQPSQLQQQQQRQKMMQLPQHQQQLLAQQQFRQSSVPGIGQANLLYYIKLFYPTPVPI >KZM81589 pep chromosome:ASM162521v1:9:2972733:2976800:-1 gene:DCAR_029202 transcript:KZM81589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTENAFGSFAARRFWEKTQKQNAAAGLVVATHNINETRVPYFRSAGFLDVNDVKSPRDEQDHGTHAASIAAIRYVSNANLMGLANGTTRGGYPSARIAVYKTGLISPTKLKMMLMDHQRKKDDSDIKSARTHPKLQDIDPLDQNLYKLSILKDVPRIAAVKIFKQERVPETESQEDLQANGGQAAPQPSVLPAYI >KZM82376 pep chromosome:ASM162521v1:9:18061970:18063811:-1 gene:DCAR_029945 transcript:KZM82376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTGLISDGLAASRLVAFCAMSEAGCIRYCKDLLMFTPQSKVFSWNVTIRGHLERDFDVKEGLFLYKLMLATSACRPDHYTFPLLFKCCARLLWLPVAYGIVGQVLRWGFDSDLFVRNAMIHVFVSCGELGVACKVFDESCVRDLVSWNSLINGYARNGKAVDAVRIFREMEMVGIQPDDVTMLGVVSSCAQLEDLNSGVEFHRLIEEKNIDLTVSLANALVDMYVKCGDLSAAEDMFNKMKKRTVVSWTTMVMGYIKFGILDTAKRLFDEMPEKDVVPWNAMIGGYVQANRSKEALDLFHNMQARNVKPDEVTMVNCLSACSQLGALEVGIWIQHYIAKHKLCVNVSLGTALVDMYVKCGNMDKALQVFSEMPDKNSLTWTCIIGGFATHGNAHDAISYFLWMINCGLQPDAVTFLGLLSACCHGGLVDEGRMLFTQMSSKFNIKPKLKHYSCMVDLLGRAGLLKEAEELIKNMPMKADAVVWGALLFACRVHKNVEIGERAAFKLIEMDPLDSGNYVLLANIYGEANMWERARETRELMKKNRVDKVPGCSSIEIDGNVYEFMIRDKSHQQATHIYDCLSQLTSHLELVGHVYSPSEDDILLSSNVVHLI >KZM81984 pep chromosome:ASM162521v1:9:9571377:9572513:-1 gene:DCAR_029597 transcript:KZM81984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDSLPEELCIQILSRLPAECLVRFRCVCKTWFNLISSAPFFIDHLTHQSTDMNTNKYLVFNHDNFYSLRVVDESENTSLEINFRAELVVYGSCNGLVCLSHESVEMNSDIYLWNPVIRKIKKLPVPSLVFDVSPDMVDFGDDELVTSVYVCFGYEDDDYKVVMVGVVEGVCSVGIYSLGNNCWSVVDDLENDDDDLDPFRLHDLVSRDSRFVNGAAYFKTSDDADNDNVIVCFDMSRKVIREIGLPDEIHEIDSYTMDVYGESLAVLTVSVYANGLGCLSISLLSDESVPSWEKIFVIDLSWRMNINPRVPVRFINKGKVLIKVGVKLFVCNIETQKLVELKGEGMTHNIRDCLGESLALLGEDNVDVRAMSYQRR >KZM82919 pep chromosome:ASM162521v1:9:25869291:25872969:1 gene:DCAR_030488 transcript:KZM82919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFTFFIITIALLLPISAPQPTVAPPPSSATCNEIFISYTVTSVKKIPPTQKRNQPYRFESSLFVVNNGLDELKSWRVFVGFRNEEVLVSASNAVLSDGTSLPGKVGNGSVFVGYPNADLKTGVETAGDLSQMSVKVDLVGTEFGVGAGSFPLPSNVSLVNDGFLCPKPTLQGKNQMELCCTKDPKFKSNVTEDEEFLRRQDGDLTIMYDVTKTYESYYWADVTISNHNPLGRLDNWKLNWDWMRDEFIYTMKGAYPSVLDSSNCIYGRQGEFYKTMDFSTVMNCQRRPTIVDLPLTKTNDTALGLVPFCCRNGTILPPTMDPSKSISAFQMQVYKMPPDLNRSQLSPPQNWKISGRLNPDYVCGPPVRVSPSRFSDPSGLSDSTAVASWQVVCNITQAKGSSPRCCVSFSAYYNESIIPCKTCACGCPSNTARTCSTSASALLLPSQALLVPFENRTRMSLAWADIKHLPVSNPLPCGDNCGVSINWHLLTDYRGGWSARMTVFNWEDEAFVDWFAAVELDKAALGFKEVYSFNGSALNGVNNTIFMEGLPGLNYLVGETDAPRPDRDPRVPGKQQSVISFTKKKTPGINIPAGDGFPTKVFFNGEECSLPRILPSGNSYRLHSSVSTSFVLALVILVLIQQ >KZM82500 pep chromosome:ASM162521v1:9:20336137:20336388:1 gene:DCAR_030069 transcript:KZM82500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRSAGGRGGSAGGRGRGDKNASAGGESGKDTDSGECLTGAADGNDGALRGEGSQAGGGECSTGAADGNDGTLGGQGSQAG >KZM83216 pep chromosome:ASM162521v1:9:29675028:29675789:-1 gene:DCAR_030785 transcript:KZM83216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHS-4 description:Chalcone synthase MPGADYRLTKLLGLSPSVRRFMLYQQGCFAGGTALRLAKDLAENNKGARVLVVCSEISVFKFHAPSEDHVDSLVGQALFGDGAGSVIVGSDLIAGVEKPLFEIVSAAQTILPDSEGAIVSHLGVVGLTLNLLKTVAGLVSKNIENSLVEAFQPLGISDWNSIFWIAHPGGRAILDQVETELGLVPEKLQCSREVLRDYGNMSSASVLFILDEMRKASAKDGQRTTGEGLDWGVLFGFGPGITVETVVLHSVPT >KZM82671 pep chromosome:ASM162521v1:9:22378825:22381671:1 gene:DCAR_030240 transcript:KZM82671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHMTFLVLVYALLAALACLQFGRGSTVEDYRNTSCIESEKLALLHLKHGLVDDFNYLSSWDGDDCCAWHGVGCNNGTGHVTRLDLRNGMLRADHIYHSLLDLKYLTYLDLSNNNFEKLKIPQFFGSFKDLTYLNLSYTNFEGLVPHHLGNLSKLRHLDLGLNYYSNPNAFDLSNLYNSLLSIDSMWWLSKLSSLVHLDLSYVNLSKATDWFSSINMLPHSIVVLNLLSCQLSNNIPRHLPFVNLTSLISLNLEENSLNSSFPLWVLNNSGLAHLSLRLNRFHGSIPDSLGTLTSLVEIDLSYNSFNGLINPESIGRLVSLSSLDLSSNEFQGHLPKSMRRLSSLTYLGLMDNNFQGSIPHSISNLTELTYLYMSSNELRGDLPEEVCQLSKLKSLDVSFNQMSGRIPDCIGQLSNLISLGLYDNSWEGFVTAHHFVNLTKLIDLSISSYSNLALDVSINWVPPFQLQFIYIESLKVGPKFPRWLLTQRQFLDFRMINTSISDTIPTDWFSNLLSHAYLVYLSDNDINVEQLSSISAVPNGLQTLALSNTRLFGGFPLFLCNITSLRTLVLSNNYFTGELPQCLANLTQLQDLDVMNNNFSGTIHASLGSLRHLEYLNFHDNNFQRKLPLSFQNLTELVILDVGKNNLSDVLPSWTVEQLLGLRILILRSNKFYGKIPTNLCHHPAIQVLNFAHNQIVGNIPPCFGNFSTMITVNKSDDFHPDFPWRWAYSKMIIDDAKGHELNYTSGLEFLHSIDLSNNNIDGEIPEELMDLRGLTSLKLAGNHLAGRIPERIGNLERLEFLDLSGNKLFGHIPQSLSNLDFLSHLNLSFNNLSGRLPTGNHIQTLYDPSINDGNNQLCGQPILEPCVGDTGSPDVPYYDEGNSDLDEEHVWFYAGIGPGLLVGFLGFCACLHYIKSWRYSYFHLIDRVFDKIVVAFALWKRKFQN >KZM83038 pep chromosome:ASM162521v1:9:28171746:28172195:1 gene:DCAR_030607 transcript:KZM83038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSIAISLVVVLVCLILASETAAKKRKSPPPPKQSPTLYHSPPPPRAVEYPPPPPPIYSPPPPPPPPPPPPPPPPMHSLPPPFHYHSPRHPVHAPPPPKHHSHHYKYKSPPPPAHYPAPKYHYKYKSPPPPPPATHHYYFNSPPPHHY >KZM81978 pep chromosome:ASM162521v1:9:9493656:9493928:1 gene:DCAR_029591 transcript:KZM81978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLTEYDLGDMLVKIALFLLVQALVYCILSNSSNLFSNSPPRSPGNFRPARSVSIRRFLAALSDMPAGGELSPTTPKNQEQSSPVHHNS >KZM83232 pep chromosome:ASM162521v1:9:29833233:29842485:1 gene:DCAR_030801 transcript:KZM83232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDAKETLLKKDYYENCSGCKVEQYKAAQRGLPVKQLFVIWIIVLATVLPISSLFPFLYFMVRDFNIAKQEEDISYYAGFVGSSYMLGRALGSVFWGKVADRYGRKPVIIIGTSTVVIFNTLFGLSLNYWMAIITRFLLGCLNGLLGPIKAYACEIFREEHQALGLSTVTAAWGTGLIIGPALGGFLAQPADNYPDLFSSQSLFGRFPYFLPCLAISLFALVVDIACLWLPETLHKHESDSILDVDTIEKLESAPFVSEATEYTHEKKGSDPQGSIFTNWPLMSSIIVYCVFSLHDMAYSEIFSLWAVSPKRLGGLSFTTEDVGEVLVISGIGLLIFQILVYPLLEKKVGFIMIARISGVISIPLLTSYSFIATLSGLVLVIVLNCASVLKNILSISIITGLFIMQNRAVDQNQRGAANGLAMTLMSLSKAVGPAVGGALLSWAQGRLNAAFLPDVSKRGLQLDVPCKVARFLRLYPSVFEEFVGPNYDLPWFKLTGKAVELDQEERAVYRDFRDDLQGRLKKFILMSGEKRLPLKIIRGMQWYLGLPDEYLDDPEKNLDGCFRIVDIEDGLKGLAVECEEKVLSFVQRNAMRRGGYNGGSMEVVEFPLFPSKGMRLKRKIGDWFHKFQEVPYVSPYDXEKIAGAQMVFFILNVVEAIGVAMTFKPFLVERQK >KZM81712 pep chromosome:ASM162521v1:9:4757920:4760903:1 gene:DCAR_029325 transcript:KZM81712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLPFLVMVLLQAGYAGMNILSKLAMNSGMNPLIHVAYRQIFAAVVLVPFAYFLESLTLNQLTYFVGLSHSTPTIACALTNLLPAVTFLMAVPCRLESVAIKSRSGQAKVVGTIVCVGGAMLLSFYHGSQVPIGESKIHWNYIIRNSRNSTNQTNHHNFMLGPFLLIASPVCWAVWFILQAKMSSTYPAPYSSSALMCLMASFQCGVVCNALSYCLMSWCIKRKGPLYVSVFSPFLLVIVAILSWALLEEKLYVGTVAGSGLIVTGLYTVLWGKKEEMISLANDFKIKHVEEFDIAKLENGDIEFVKCEK >KZM83018 pep chromosome:ASM162521v1:9:27717672:27718982:1 gene:DCAR_030587 transcript:KZM83018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSHETDNNIEIWKIKKLIKALEAARGNGTSMISLIMPPKDQVSRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNRVPPNGLVLYIGTIVTDEGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFDEISQDTGKYVFGVEDTLKALEMGAVEILIVWENLDINRYVLKHGTTNEIIIKHLNKEQESDQGNFRDSETNAELEVQDKMSLLEWFASEYKNFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDDLSDDEVYEDSD >KZM83592 pep chromosome:ASM162521v1:9:33168402:33168716:1 gene:DCAR_031161 transcript:KZM83592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTSFHDYKHKLQYKHHSHQKPENAHMPHKLIDAPPYSSIYVTSQGFEAPTRRNLLLNYEHANHEKAQCDGKVKVNIDADAEDYINLKHKNFLRGDSYWSTDR >KZM83004 pep chromosome:ASM162521v1:9:27510721:27514531:-1 gene:DCAR_030573 transcript:KZM83004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITQVSETSQPVITAVSHDAPPQADPHKTESTTEVTNSASSSRRSDLSLQIPPRHVGFGNSHRGKGLFKSPSIPSGSSSAGLLRGLSFKKKVTNYDGEKNQLLNSDPKGAPGSPVLASFKAKFSWDRSTSLPVTPADNSSPSTPFPASARTYGEQTKSRIGTAQAVSRSLSVPGRNMVIVKSVSFANRKENVQTDTVDGQKTDVEDDEEEIPEEEAVCRICLDPCEEGNTLKMECSCKGALRLIHEECAIKWFSTRPNKNCDVCGREVSNLPVTLLRAPTSTQRDRRTEIHDLKTDAVVIAAPFSLMLGLLASALAIILASRDYIWTYATFEFALVAMTLHLFYSICRLKAVYAILISALLGLGLCTSLNAVYLRYFVRRLQVRQNSSPV >KZM81845 pep chromosome:ASM162521v1:9:6806254:6808038:1 gene:DCAR_029458 transcript:KZM81845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSSLSNLLKGRISRPQLLKIHAKVFVLGAHQDNLVATRLIGHYPSQLALSVFNYLHSPNIFPCNAIIRSLAQEGYSDSAFLACFRASDVGYVKQVHTHVLKLGYGDDFVVCNGLVGVYARGFSDLVSARKLFDEMPEKGLVCCWTSLIAGYAKLGQSEEALQLFLVMVRDNLRPDDETMVSVLSACSNLDIENNEKWAKILSQFEKNCVSKRFGFDALYTVLVYIYGKLEKVEDGRQIFNKISAQGKTSVIAWNVMIGAYVQNSDASEALSLFRQMEDFKTCPNHVTMVSVLSACAQIGDLELGLWIHEYMKSKEWKGCRALNRNLNTTLIDMYCKCGDLKRARDVFGGMFTKDVVAFNVMIMGLAINGEGQEALSMFSGMQDVGLIPDAGTFLGVLCACSHSGFLEKGRHVFADMRQRFSVYPKLEHYACYVDLLSRSGCIQEALEVVISMPYEPNGFVWGSLLGGCVLHKKLEYAENISKMLVRADPDNSAGYVLLSNAYASDRRWGDVSELRCFMKEKGVKKHSANSWVSIEGAVHEFFAGSPSHPQIGQLLDILDGLSMKMKLGIT >KZM83040 pep chromosome:ASM162521v1:9:28203320:28204054:-1 gene:DCAR_030609 transcript:KZM83040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKRGAEVWSEISRTQQSTDHSGSRQSEPAYGKMTLEEFFVRAGVVREESARQAQPPPPPSLPPQLPQLQPQPHSQLAYGMHVNYENTAASSFMVLGYGGAGSLIFLLYSNHCHKLGRKRNGPVERVIENRRKKILKNRESAAYTVELEAELNHWKVKNAYQLPQT >KZM81555 pep chromosome:ASM162521v1:9:2569798:2571474:1 gene:DCAR_029168 transcript:KZM81555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLGVVLFILLSLQLLSSYSVHSRTIVENLPGFDDPLPFKLETGYVGTGQNEDIQLLYYFVESERNPEEDPLMLWIAGGPVCSTLGAFFRENGEFFLVHINKFLS >KZM82790 pep chromosome:ASM162521v1:9:24186665:24188357:1 gene:DCAR_030359 transcript:KZM82790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSNIIHIKRQFTVEGRIWEPPVRSRMIDDSNLRRIPDNDRISNLPAELIHCILAHLPIHDVARTSVWSRLWRNLWKMHPLLILDQQFFLTLRFKKTKDTILSAFSAAINMILLSHTGPILVFKLFIPPNLDMCYIHLWIKHLSFKGIRTLVLYNSETSPLSSPSHLFDCSELNQATLSKQTSHFECFANLTTVFLVEIPISAGMSFGTQLQKLYMVKCTGIEHLACQLTKNDNLRTLSIYLSDTVDLGWIECIKELESFGLFLPSADFHINNSVYLTDLLSNSPRVNTLQLNGFTLEVLGRGPSVLEGLTMKMVNLKNLSLYRLGYNMRQVLTCLCLIRNLPRLQDLFIGLDLEVKSSDLAESTIEHHLKSLDWSDVFLYQLEAVKISGVDGSRSALHLIELILASAPSLRVMSLFRSKTVSDPREKLRIEQELCLLPRKSKAAQLFWI >KZM83086 pep chromosome:ASM162521v1:9:28610236:28610493:1 gene:DCAR_030655 transcript:KZM83086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFRLLSRADQIGGSSRAVPATRHRRFSFRPGTEPAQLAVKACRNMLVRSKTVTGTEGSTIRHTIHGSARGTRVSGGLVVQRVR >KZM83635 pep chromosome:ASM162521v1:9:33558487:33562066:-1 gene:DCAR_031204 transcript:KZM83635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENLSKGIKSAIDQVIYHILCYEDDCDLSQYEVYVRHDEHKLIEYKLTMGEIVDEARDELESLEKKYEIVLGEEIINMQMKKKLVHLKFKHYIFGEWKVVKGDEITRESGCVDDINIEIEDPFDDVKEVEDKEVGEDRVLETRPQNDYFQIVEEYKVVGDLIEVDHIERSYNVDLPHNKIPDLLSELAKKMAAPSMSLGEEFQPEAAIVNYFGAGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSREDEPIAMFLRSGDIVLMAGEVRECFHGIVVLFFVFLFSAFSSY >KZM83631 pep chromosome:ASM162521v1:9:33525543:33525926:-1 gene:DCAR_031200 transcript:KZM83631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEADENETIQPTFPSGRIKRMMKLDKDIKKINSEALFLVSCSLDLFMESLAKRCALVAIEKKRKTVNLDYLRIAVKRHQPTSDFLLDSLPMPSAKSPPLPTRPSDDKLLPPRTRRIDHIFHKAAS >KZM81365 pep chromosome:ASM162521v1:9:375785:377624:1 gene:DCAR_028978 transcript:KZM81365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVEGEEYKWTEVKLPSIHPEPESESEPESEPELERGTAERRRGRDIVIAIDHGPNSKHAFDWAIAHLCRLADTIYLVHAVSDLKNEIVYVATQGLMEKLALEAMEVAMVKTKARIVEGDAGKAICNEAERIKPAAVVMGTRGRSLIQSVVQGSVSEHCFHHCKSAPLIIVPGIGETSVCW >KZM82581 pep chromosome:ASM162521v1:9:21518949:21519341:-1 gene:DCAR_030150 transcript:KZM82581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKHRWRPYVPDFKQAFEHFCIHAGGRAVIDGVQDSLQLSMEQAEASRSTLHRFGNTSSSSLWYEMSYIEAKGRMKKGDRILQISFGSGYKCNSAVWECTETVETPTGEPWMDCIDKYPACIPQLIKSAD >KZM82476 pep chromosome:ASM162521v1:9:19903621:19909841:1 gene:DCAR_030045 transcript:KZM82476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTQETKWKAEEVIGGNAEALRALRELIIFPHLYIQEAQKLGLKWPSGLLLHGPPGTGKTSLVRAVVRECGAHLTVISPHSVNRAHAGESEKILREAFAEASKHAKLGKPAVIFIDEIDAICPRRDSRREQDIRVTSQLFMLMDSQNSLSTFMPKVVVVASTNRVDAIDPALRRSGRFDSEIDVTTPNEEERLDILKLYTKRLPLDPSVDLQFIASSCNGYVGADIEALCREAAMYAAKEHPDENQGGVFSLTMDDWIHAKSKIGPSITRGVTVEIPKITWDDIGGLKDLKIKLKQSVEWPLKHASAFSRLGVSPVRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELFSMYVGEGEALLRNTFRRARLAAPSIIFFDEADIVAAKRGGNSSGSSTVGERLLSTLLTEMDGLEQAKGILVLAATNRPYAIDSALMRPGRFDLVLYVPPPDLESRYEILRVHTRHISVASDVDLRQLAKDTELFNGAELEGLCREAGFLALRENISAKIVCNRHFRTARSSLKPSLSREDIISYSSFRKNPSPISSGTVKSNSKQKNKNFLGSAAPVTVVFVLSVLYAGFKYILQHPDVVVPSELATT >KZM81409 pep chromosome:ASM162521v1:9:884767:887417:1 gene:DCAR_029022 transcript:KZM81409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWEEIDIEIGEALDRLDCMKDDGVIHLYSTKPNRSSLSSTTFQPISNRNQKFAAHLLPQAIDQTTRMVVFKLLNHAIFDDLNACIFAGKQASVYHATKHDGQEFAVKVFKDRDHNTQGHYRSRRGNFKSNSRKIVKTWAENEMKNLMRLKAAGIRCPTPIVLRLHVVVMEFIGKSGSPAPCLKDANLSVDKMRECYIQMIIVMRNLYQKCKLVHGDLSEYNILYHEGDLHITDVSRSVDLDDPLFLDLLYWDCIHVSGFFKKNGVEVIAISYLFNFVVDSSIPDESVGCYIEESDIPRVRSGQEELITSGFLIEHTNNRRTESRTGENEVGGDDDSSDSGVNPYDTMKEGTQRTLPADKKAARKENKKKVKEEKREARKTKTAKYVKKKKNSVKRTSKPTNTISRFL >KZM81897 pep chromosome:ASM162521v1:9:8037969:8038931:1 gene:DCAR_029510 transcript:KZM81897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPHKALKFPSLLRRALSLSFSTQTPTTAHHYDDLINAAGRSGDFSAVRRLLTNRWRDGFFNTKFTFNFITSDLAALEETLQTLKSLARGFAKKGAYDSLIARLSKLDHTRHALRVAKIMAAENVGINAVSFHPILNYVSRKNADEAMGVLEMMREIKVWPDVTSYNYVLTAYCCDGKLEEAVKLVDEMDENGVVYDSRTYDALVLGACKVKRVDGGLVLLRRMVDEGVPALYSTHIHVINELLKMGYYGQAVEFVLIYAGRDKAMDHECFGVLARRLISLKRFDDAKLVLEEMRSRGLEIGGKLSEAVEIWDGNLGTN >KZM81998 pep chromosome:ASM162521v1:9:9699059:9700111:1 gene:DCAR_029611 transcript:KZM81998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHMGTSLPAFPVVTSGVFGRVCSGFGDERTGSPIVVMFRVPRIYALTKTHNTFTDTIDSFLSRIMRVHMGTSLPAFLVAFIPDSGTNKLGRRSWSRSAFRVSMAESSVEILSWEI >KZM83435 pep chromosome:ASM162521v1:9:31799693:31802390:-1 gene:DCAR_031004 transcript:KZM83435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQKNDYGKRSHYQSDYSGNGGSKRRNPTDDRESHAIGPDDTVYRYLCPVRKIGSIIGRGGDIAKQLRQETRAKIRINETVPGCEERVVIIYSSSEETNSFGDSGDLVSPAQDALFKVHDRIVAEDAPADDEFEEPHQVTVRMLVPSDQIGCVIGKGGQVIQTIRSETRAQIRVLSSEQHLPTCASNSDELLQISGEGPVVKKALYQLACRLHDNPSRSQHLLLSSATIQRSEGAYIPSAGAPIMGVGSLMGPYGGYRSDARDWPASVKEFSLRLVCPTENIGAVIGKGGSIIKQIRQESGASIKVDSSAAEGDDCIISVSAKEAFEESSPTIDATMRLQPRCSEKTEKDSGDSVITTRLLVPSSRIGCLLGKGGSIISEMRNATRASIRILSKEDLPKVAHEDDEMVQITGDLDVATNALLQVMMRLRSNTFEMEGALLAPAVPYLPMPVDMSDSPRYESRDSKSRGRGYSSSSRYESNDYPPNDAYGSYGGSQGGGSGYGAYGVYSGRSGGSGLYGESPVSYGKHHGY >KZM81659 pep chromosome:ASM162521v1:9:4213978:4217938:-1 gene:DCAR_029272 transcript:KZM81659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAAMATCSSFSYGRPHQTLDSPSSTSSPLSHLPPFKISSFLGTRIWNSRKQSLLRIGFRAANGSRGGVVKAVATPESAVELPLTAENIESVLDEIRPYLISDGGNVALHEIDGYVVRLKLQGACGSCPSSTMTMKMGIERRLMEKIPEIVAVEQVPDEETGLELNEENIEKVLEEIRPFLVGAAGGSLELVAIEEPIVKVRLTGPAASVMTVRVAVTQKLREKIPSIAAVQLLQ >KZM81238 pep chromosome:ASM162521v1:Pt:64525:64644:-1 gene:DCAR_032500 transcript:KZM81238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbF description:photosystem II protein VI MTIDRTYPIFTVRWLAVHGLAVPTVSFLGSISAMQFIQR >KZM81261 pep chromosome:ASM162521v1:Pt:81312:82643:-1 gene:DCAR_032523 transcript:KZM81261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl16 description:ribosomal protein L16 MLSPKRTRFRKQHRGRMKGISYRGNHISFGKYALQALEPAWITSRQIEAGRRAMTRNARRGGKIWVRIFPDKPVTVRPAETRMGSGKGSPEYWVAVVKPGRILYEMGGVTENIARRAISIASSKMPIRTQFIISG >KZM81236 pep chromosome:ASM162521v1:Pt:64131:64253:-1 gene:DCAR_032498 transcript:KZM81236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbJ description:photosystem II protein J MADTTGRIPLWIIGTVAGILVIGLIGIFFYGSYSGLGSSL >KZM81258 pep chromosome:ASM162521v1:Pt:79876:80109:-1 gene:DCAR_032520 transcript:KZM81258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:infA description:translation initiation factor 1 MKEQKWIHEGLITESLPNGMFRIRLDNQDMILGYVSGKIRRSFIRILPGDRVKIEVSRYDSTRGRIIYRLRNKDSKD >KZM81260 pep chromosome:ASM162521v1:Pt:80838:81206:-1 gene:DCAR_032522 transcript:KZM81260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl14 description:ribosomal protein L14 MIQPQTLLNVADNSGARELMCIRIIGASNRRYAHIGDVIVAVIKEAVPNMPLARSEVVRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRIFGAIARELRQLNFTKIVSLAPEVL >KZM81231 pep chromosome:ASM162521v1:Pt:57575:59050:1 gene:DCAR_032493 transcript:KZM81231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:accD description:acetyl-CoA carboxylase beta subunit MERWWFDSILFKKGFEHRCGLSKSMGGLGPIENTSESEDPNRNDMKKNSHSWGSRDNSSYSNVDYLFGVKDIWNFISDETFLVVDRNGNSYSIYLDIEKHIFEIDSGHFFQSGLESSFYSYWNLSYLNNGSKTDDPHDDHYMDDTQYSWNNHINSYIDIYLESQIFIDTYIVSGSDNYSNSYISRSVCGESESKGSNISTSTNGSTIIESSNDLDITQKYRHLWVQCENCYGLNYKKILKSKMNLCEQCGYHLKMSSSDRIELSIDPDTWDAMDEDMVSLDPIEFHSEEEPYKDRIDSYQRKTGLTEAVQTGIGQLNGIPIALGVMDFQFMGGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARMQEGSLSLMQMAKISSALYDYQSNKKLFYVPILTSPTTGGVTASFGMLGDIIIAEPNAYIAFAGKRVIEQTLNKTVPEGSQAAEYLFQKGLFDLIVPRNLLKSVLSELFQLHAFFPLNKNSIEH >KZM81246 pep chromosome:ASM162521v1:Pt:96968:69846:-1 gene:DCAR_032508 transcript:KZM81246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps12 description:ribosomal protein S12 MPTIKQLIRNTRQPIRNVTKSPALRGCPQRRGTCTRVYTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNSQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSKYGVKKPK >KZM81268 pep chromosome:ASM162521v1:Pt:93932:96146:-1 gene:DCAR_032530 transcript:KZM81268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhB description:NADH dehydrogenase subunit 2 MIWHVQNENFILDSTRIFMKAFHLLLFDGSLIVPECILIFGLILLLMIDSTSDQKDIPWLYFISSTSLVMSITALLFRWREEPVISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLETLAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVLIGLLTSVVSIYYYLKIIKLLMTGRTQEITPHVRNYRRSPFRSNNSIELSMIVCVIASTIPGISMNPIIAIAQDTLF >KZM81210 pep chromosome:ASM162521v1:Pt:14365:15108:-1 gene:DCAR_032472 transcript:KZM81210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpI description:ATP synthase CF0 A subunit MNVLSCSINKLNGLYDISGVEVGQHFYWKIGGFQVHGQVLITSWVVIAILLGSATLAVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSVAYFYAGISKKGLGYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >KZM81273 pep chromosome:ASM162521v1:Pt:116753:118255:-1 gene:DCAR_032536 transcript:KZM81273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhD description:NADH dehydrogenase subunit 4 TNFFPWLTIIVILPIFAGSIILFLPHRGNRVIRWYTICICILELLLTTYAFCYHFQLDDPLIQLVEDFKWIDFFDFHWRLGIDGLSIGPILLTGFITTLATLAAWPVTRDSRLFHFLMLAMYSGQIGLFASRDLLLFFIMWELELIPVYLLLSMWGGKKRLYSATKFILYTAGGSVFLLMGVLGVGLYGSTEPTLNFATLVNQSYPVALEIILYIGFFIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLIRINMELLSHAHSIFSPWLVIVGTIQIIYAASTSLGQRNLKKRIAYSSVSHMGFILIGIGSINDTGLNGAILQIVSHGFIGAALFFLAGTSYDRIRLVYLDEMGGIAIPMPKIFTMFSSFSMASLALPGMSGFVAEFIVFFGIITSQKYLLISKLGITFVMAIGIILTPIYSLSMLRQMFYGYKLFNAPNSYVFDSGPRELFVSIAIFIPVIGIGMYPDFVLSLSVDKVEVLLSNFVYR >KZM81282 pep chromosome:ASM162521v1:Pt:143129:143596:1 gene:DCAR_032545 transcript:KZM81282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 description:ribosomal protein S7 MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRAVKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLAASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >KZM81213 pep chromosome:ASM162521v1:Pt:20692:23489:-1 gene:DCAR_032475 transcript:KZM81213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoC1 description:RNA polymerase beta' subunit MNPNFSSMIDQYKHQQLRIGSVSPQQISTWANKILPNGERVGEVTKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDKKKDRKSCEQCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKRLPSYIANLLDKPLKELEGLVYCDFSFARPIAKKPTFLRLRGLFEYEIQSWKYSIPLFFTTQGFDTFRNREISTGAGAIREQLADLDLRIIIDSSLVEWKELGEDGPTGNEWEDRKVGRRKDFLVRRMELAKHFIRTNIDPKWMVLCLLPVLPPELRPIVQIDGGKLMSSDINELYRRVIYRNNTLTDLLTTSRSTPGELIMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLYQCGLPREIAIELFQTFVIRSLIRQQLASNIGVAKSKIREKKPIVWEILREVMRGHPVLLNRAPTLHRLGIQAFQPVLVEGRAICLHPLVRKGFNADFDGDQMAVHVPLSFEAQAEARLLMFSHINLLSPAIGDPISVPTQDMLIGLYVLTSGNRRGICVNRYNPSNHRNYQNKRIYENNYKYTKEKEPFFCNSYDAIGAYRQKRINLDSPLWLRWRLDQRVIAAREAPLEVHYESLGTYYDIYGQYLIVRSIKKEILSIYIRTTVGHISIYREIEEAIQGFCQAC >KZM81286 pep chromosome:ASM162521v1:Pt:154228:155703:1 gene:DCAR_032549 transcript:KZM81286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl2 description:ribosomal protein L2 MAIHLYKTSTPSTRNRTVDSRVKSNPRNNLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKRWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPTTPWGYPALGRRSRKRNKYSDNLILRRRSK >KZM81206 pep chromosome:ASM162521v1:Pt:8191:8301:1 gene:DCAR_032468 transcript:KZM81206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbI description:photosystem II protein I MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >KZM81237 pep chromosome:ASM162521v1:Pt:64386:64502:-1 gene:DCAR_032499 transcript:KZM81237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbL description:photosystem II protein L MTQSNPNEQDVELNRTSLYWGLLLIFVLAVLFSNYFFN >KZM81250 pep chromosome:ASM162521v1:Pt:74262:74363:1 gene:DCAR_032512 transcript:KZM81250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbT description:photosystem II protein T MEALVYTFLLVSTLGIIFFAIFFREPPKVPTKK >KZM81205 pep chromosome:ASM162521v1:Pt:7594:7779:1 gene:DCAR_032467 transcript:KZM81205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbK description:photosystem II protein K MLNIFSLICICLNSAFYSSSLFFAKLPEAYAFLNPIVDLMPVIPLFFFLLAFVWQAAVSFR >KZM81218 pep chromosome:ASM162521v1:Pt:34103:35524:1 gene:DCAR_032480 transcript:KZM81218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbC description:photosystem II 44 kDa protein MKTLYSLRRFYPVETLFNGTLALAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGGMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLNPSIIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICIFGGIWHILTKPFAWARRALVWSGEAYLSYSLAALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >KZM81254 pep chromosome:ASM162521v1:Pt:76596:77851:1 gene:DCAR_032516 transcript:KZM81254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petD description:cytochrome b6/f complex subunit IV MGVTKKPDLNDPVLRAKLAKGMGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPVGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAVALWLGIGATLPIDKSLTLGLF >KZM81275 pep chromosome:ASM162521v1:Pt:118877:119182:-1 gene:DCAR_032538 transcript:KZM81275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhE description:NADH dehydrogenase subunit 4L MMLEHVLVLSAYLFSVGLYGLITSRNMVRALMCLELILNAVNINFVTFSDFFDSRQLKGSIFSIFVIAIAAAEAAIGLAIVSSIYRNRKSTRINQSNLLNK >KZM81271 pep chromosome:ASM162521v1:Pt:114542:114709:1 gene:DCAR_032534 transcript:KZM81271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 description:ribosomal protein L32 MAVPKKRTSISKKRIRKNIWKGKGSWAALKALSLGKSLSTGNSKSFFVRQKKNKS >KZM81253 pep chromosome:ASM162521v1:Pt:75023:76420:1 gene:DCAR_032515 transcript:KZM81253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petB description:cytochrome b6 MSKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTDAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPRDQIGYWAVKIVTGVPEAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >KZM81255 pep chromosome:ASM162521v1:Pt:77989:79050:-1 gene:DCAR_032517 transcript:KZM81255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoA description:RNA polymerase alpha subunit MVREKVTVSTRTLQWKCVESKADNKRLYYGRFILSPLMKGQADTIGISMRRALLGEIEGTCITRAKSEKIPHEYSTLVGIQESVHDILMNLKEIVLRSNLYGTCDASICVRGPGYVTAQDIILPPYVEVVDNTQHIASLTEPIELCIGLQIERNRGYLIKTPNNNSKDGSYPIDAVFMPVRNANHSIHSYGNGNDKQEILFLEIWTNGSLTPKEALHEASRNLIDLFIPFLHTEEENLHLANNQHMVPLPPFTFHDKLDKLRKNKKKRALKSIFIDQSELPPRIYNCLKRSNIYTLLDLLNNSQEDLMKIEHFRIKDVKQILGILEKNFSINLGKRPKMGFESLAQLIDSKSG >KZM81228 pep chromosome:ASM162521v1:Pt:52900:53301:-1 gene:DCAR_032490 transcript:KZM81228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpE description:ATP synthase CF1 epsilon subunit MTLNLCVLTPNRTVWNSKVNEIILSTNSGQIGVLPNHASVATAVDIGILKIRLDGQWLTMALMGGFARIGNNEITVLVNDAEKGSDIDSQEAQQTLEIAEANFRKAEGKRQKIEANLALRRARTRVETINAIS >KZM81226 pep chromosome:ASM162521v1:Pt:49736:50413:-1 gene:DCAR_032488 transcript:KZM81226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhK description:NADH dehydrogenase subunit K MNSIEFPLLDRTTQNSVISTTSNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTISGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKISREIYEDRIKSQRENRCFTTNHKLKVGRSIHTGNYDREFLYQPTSTSEIPPETFFKYKSSVSSHELVN >KZM81270 pep chromosome:ASM162521v1:Pt:111260:113479:-1 gene:DCAR_032533 transcript:KZM81270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhF description:NADH dehydrogenase subunit 5 MEQTYQYAWIIPFLPLPVPMLIGAGLLLFPSATKSLRRMWAFQSVFLLSIVMLFSINLSIQQINGSSIYQYVWSWIINNDFSLEFGYLIDPLTSIMSILITTIGIMVLIYSDNYMSYDQGYLRFFAYMSFFSTSMLGLVTSSNLIQIYIFWELVGMCSYLLIGFWFTRPVAANACQKAFVTNRVGDFGLLLGILGFYWITGSFEFRDLFKILNNLISNNEVNFLFVTFCAVLLFAGAVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLIPLFIVIPHIMNFISLVGVITILFGATFALAQKDIKRGLAYSTMSQLGYMMLALGMGSYRSALFHLITHAYSKALLFLGSGSVIHSMETLVGYSPNKSQNMVFMGGLTKHVPITKTSFLLGTLSLCGIPPLACFWSKDEILNDTWLYSPIFAIIAWFTAGLTAFYMFRIYLLTFEGHLNVHFQNYSGKKNTPFYSISLWGKEDSKRINKNFSLLTMKNPEIFSFFSKKTYQIDQNARNITQPFITITRFGNKKFFLYPYESDNTMLFPILVLVLFTLFVGSLGIPFNHEGVRLDILSKWLTPSINLLHKNLNNSIGWYEFLKDALFSVSIAIFGIFIAFFLYKPVYSSLQNWDLINSFVKTGPKRILLDKIINGIYDWSYNRGYIDAFYARFFIGGIRGLAKLTSFFDRRVIDGITNGVGVLSFFLGEGIKSIGGGRISSYLFLYFFFVAILLLIINFY >KZM81265 pep chromosome:ASM162521v1:Pt:84338:85813:-1 gene:DCAR_032527 transcript:KZM81265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl2 description:ribosomal protein L2 MAIHLYKTSTPSTRNRTVDSRVKSNPRNNLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKRWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPTTPWGYPALGRRSRKRNKYSDNLILRRRSK >KZM81276 pep chromosome:ASM162521v1:Pt:119399:119929:-1 gene:DCAR_032539 transcript:KZM81276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhG description:NADH dehydrogenase subunit 6 MDLPGPIHDFLLVFLGSGLILGGLGVVLLPNPIYSAFSLGLVLVCTSLFYILSNSHFVAAAQLLIYVGAINVLIIFAVMFMNGSEYYKDFHLWTVGDGVTSMVCTSIFVSLITTIPDTSWYGIIWTTKSNQIVEQDLISNSQQIGIHLSTDFFLPFELISIILLVSLIGAITVARQ >KZM81202 pep chromosome:ASM162521v1:Pt:264:1325:-1 gene:DCAR_032464 transcript:KZM81202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbA description:photosystem II protein D1 MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEAPSTNG >KZM81212 pep chromosome:ASM162521v1:Pt:16306:20478:-1 gene:DCAR_032474 transcript:KZM81212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoC2 description:RNA polymerase beta'' subunit MEVLMAERANLVFHNKVIDGTAMKRLISRLIDHFGMAYTSHILDQVKTLGFQQATATSISLGIDDLLTIPSKRWLVQDAEEQSFILEKHHHSGNVHAVEKLRQSIEIWYATSEFLRQEMNPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRKDCGTARGISVSLGNGMMSENIFIQTLIGRVLADDIYMGTRCIATRNQDIGSGLVNQFITFRAQPIYIRTPFTCRSTSWICQLCYGRSPTHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGIAEHVRAPSNGKIKFNEDLVHPTRTRHGHPAFVCSIDLYVTIESEDILHNVNIPPKSFLLVQNDQYVESEQVIAEIRAGTSTLNFKEKVRKHIYSDSEGEMHWNTDVYHAPEFTYGNVHLLPKTSHLWILLGEPRRSDLISLSIHKDQDQMNARSFSVKKRSISNLSVTNDQVRHKFFSSDFFGKKEEEHPDYSELNRIVRCNLRYPTIPYADYDLLAKRRRKRFIIPLQSIQERENELMPPSGISIEIPINGIFRINSILAFFDDPRYRRKSSGITKYGTIEVDPIAKKEDLIEYRGVKEFKPKYQIKVDRFFFIPEEMHILPGSSSIMVRNNSIIGIDTQIALTTRSRVGGLVRVEIKKKRIELKIFSGDIHFPGETDKISRHSGVLIPPGTGKTNSKESKKGKNWIYVQRITPTKKKYFVLVRPVVTYEITDGINLVRLFPPDLLQEMDNVQLRVVNYILYGNGKPIREIYNTSIQLVRTCLVLNCTQDKKSSYIEETRTSFVEIGINGLSRDFIKIDLVKSPISYTAKRNDPSRSGLIYENGSDCTNINPFSSRFFYYSNARIKESLNQNQGTIHTLFNRNKEYQSLIILSSSNCFRMGPFNNVKYHNVIKESIKKDPPIPIRNLLGPLGTALKTANFYPFSHLITYNQILVINYLQLDNLKQTFQVIKYFLMDEIGKIYNYDLCSNIILNPFNLNWYFLQHNYCEGMSTIMSLGQFICENVCIAKNGPHLKSGQVLIVKMDSVVIRSAKPYLATPGATVHGHYGEILYEGDTLVTFIYEKSRSGDITQGLPKVEQVLEVRSIDSISMNLEKRVEGWHECITRTLGIPWGFLIGAELTIVQSRIALVNKIQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAERMGRALEEAICYRAVLLGITKASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFEGLVHSSRQHTNLSLETKNNNIFEGEMRDILFHHRKFFDSCFSKNFHDTSEQSFIGIGFNDS >KZM81214 pep chromosome:ASM162521v1:Pt:23495:26707:-1 gene:DCAR_032476 transcript:KZM81214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoB description:RNA polymerase beta subunit MLRDGNEGMSTIPGFNQIQFEGFCRFMDQGLTEELYKFPKIEDTDQEIEFQLFVETYQLVEPLIKERDAVYESLTYSSEFYISAGLIWKTSRDMQEQTIFLGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYRSELDHNGISVYTGTIISDWGGRLELEIDKKARIWARVSRKQKISILVLSSAMGSNLREILENVCYPEIFLSFLTDKEKKKIGSKENAILEFYQQFACVGGDPVFSESLCKELQKKFFQQKCELGKIGRRNMNRRLRLDISQNNTFLLPRDILAAADHLIGMKFGMGTLDDMNHLKNKRIRSVADLIQDQLGLALVRLENVIRGTIGGALRHKLIPSPQNLVTSTPLTSTYESFFGLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHAKIGRGGSLESPFYEISQRSKGARMLYLSPGKDEYYMVAAGNPLALNQGLQEEQVVPARYRQEFLTIAWEQVHLRSIFSFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQAALDSGVLAIAEHEGKVIYTDTDKILLSGNGDTLNIPLVMYQRSNKNTCMHQKPQVQRGKYIKKGQILAYGAATIGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTHVTSQGPERVTREIPHLEAHLLRNLDKNGIVMLGSWVETGEILVGKLTPQMVKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQKRVGSSYNPETIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQIFECSLGLAGGLLDRHYRIAPFDERYEQEASRKLVFSELYQASKQTATPWVFEPEYPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAYILQEMLTYKSDHIRARQEVLGTTIIGGAIPNPEDAPESFRLLVRELRSLALELNHFFVSEKTFKIKRKEA >KZM81215 pep chromosome:ASM162521v1:Pt:28680:28769:1 gene:DCAR_032477 transcript:KZM81215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petN description:cytochrome b6/f complex subunit VIII MDIVSLAWAALMVVFTFSLSLVVWGRSGL >KZM81242 pep chromosome:ASM162521v1:Pt:67130:67258:1 gene:DCAR_032504 transcript:KZM81242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaJ description:photosystem I subunit IX MRDLKTYLSVAPVLSTLWFGSLAGLLIEINRFFPDALTFPFF >KZM81229 pep chromosome:ASM162521v1:Pt:53298:54794:-1 gene:DCAR_032491 transcript:KZM81229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpB description:ATP synthase CF1 beta subunit MKINPTNSSSLTSTLEKKNLGNITQIIGPVLDVAFPPGKMPNIYNALVVKGRDTAGQQINVTCEVQQLLGNNRVRAVAMSATDGLTRGMEVMDTGAPLSVPVGGTTLGRIFNVLGEPVDNLGPVDTRTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEENIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGTLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEDHYETAQKVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLSETIRGFRLILSGELDSLPEQAFYLVGNIDEATAKAMNLEMESNSNK >KZM81252 pep chromosome:ASM162521v1:Pt:74665:74886:1 gene:DCAR_032514 transcript:KZM81252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH description:photosystem II protein H MATQTVENVSRSRPKPTTVGGLLKPLNSEYGKVAPGWGTAPLMGVAMALFAIFLSIILEIYNSSVLLDGISMN >KZM81240 pep chromosome:ASM162521v1:Pt:65977:66072:1 gene:DCAR_032502 transcript:KZM81240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petL description:cytochrome b6/f complex subunit VI MPTITSYFGFLLAALTVTSALFIGLSKIRLI >KZM81225 pep chromosome:ASM162521v1:Pt:49150:49626:-1 gene:DCAR_032487 transcript:KZM81225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ description:NADH dehydrogenase subunit J MQGRLSAWLVKHGLIHRSLGFDYQGIETLQIKPEDWHSIAVILYIYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYGVDQPEEVCIKVFSPRKNPRIPSVFWVWKGVDFQERESFDMLGISYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >KZM81269 pep chromosome:ASM162521v1:Pt:96445:96912:-1 gene:DCAR_032531 transcript:KZM81269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 description:ribosomal protein S7 MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRAVKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLAASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >KZM81216 pep chromosome:ASM162521v1:Pt:29898:30014:-1 gene:DCAR_032478 transcript:KZM81216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM description:photosystem II protein M MEVNILAFIATALFILVPTAFLLIIYVKTETQNKNKKD >KZM81239 pep chromosome:ASM162521v1:Pt:64655:64906:-1 gene:DCAR_032501 transcript:KZM81239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbE description:photosystem II protein V MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDPLEQLDEFSRSF >KZM81274 pep chromosome:ASM162521v1:Pt:118371:118616:-1 gene:DCAR_032537 transcript:KZM81274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaC description:photosystem I subunit VII MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVSLWNETTRSMGLAY >KZM81217 pep chromosome:ASM162521v1:Pt:33094:34155:1 gene:DCAR_032479 transcript:KZM81217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbD description:photosystem II protein D2 MTIALGKFTKDEKDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >KZM81223 pep chromosome:ASM162521v1:Pt:42787:44790:-1 gene:DCAR_032485 transcript:KZM81223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ycf3 description:photosystem I assembly protein Ycf3 MPRSRINGNFIDKTFSIIANILLRIIPTTSGEKEAFTYYRDGMSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAIRQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAHNWLKITRRFE >KZM81248 pep chromosome:ASM162521v1:Pt:70019:72071:-1 gene:DCAR_032510 transcript:KZM81248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:clpP description:ATP-dependent Clp protease proteolytic subunit MPIGVPKVPFRSPGEEDASWVDVYNRLYRERLLFLGQEVDSEISNQLIGLMVYLSIENDTKDLYLFINSPGGWVIPGVAIYDTMQFVRPDVQTICMGLAASMGSFILAGGEITKRLAFPHARVMIHQPASSFYEAQTGEFILEAEELLKLRETLTRVYVQRTDKPLWVVSEDMERDVFMSATEAQAYGIVDLVAVVE >KZM81245 pep chromosome:ASM162521v1:Pt:68566:68952:-1 gene:DCAR_032507 transcript:KZM81245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl20 description:ribosomal protein L20 MTRIRRGNIARRRRTKIRLFASSFRGAHSRLTRTITQQKIRALVSSHRDRDKQKRNFRRLWITRINAVIREIGVSYSYSRLIHALYKKQVLLNRKILAQIAISNKNCLYMISNEIIKEVDWKESTGII >KZM81283 pep chromosome:ASM162521v1:Pt:143895:146109:1 gene:DCAR_032546 transcript:KZM81283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhB description:NADH dehydrogenase subunit 2 MIWHVQNENFILDSTRIFMKAFHLLLFDGSLIVPECILIFGLILLLMIDSTSDQKDIPWLYFISSTSLVMSITALLFRWREEPVISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLETLAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVLIGLLTSVVSIYYYLKIIKLLMTGRTQEITPHVRNYRRSPFRSNNSIELSMIVCVIASTIPGISMNPIIAIAQDTLF >KZM81230 pep chromosome:ASM162521v1:Pt:55565:56992:1 gene:DCAR_032492 transcript:KZM81230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rbcL description:ribulose-1,5-bisphosphate carboxylase/oxygenase large subunit MSPQTETKAGVGFKAGVKDYKLTYYTPDYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYGIEPVAGEENQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPVAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIYKAQAETGEIKGHYLNATAGTCEEMMKRAIFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGIHFRVLAKALRMSGGDHIHSGTVVGKLEGERDITLGFVDLLRDDFIEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEIIREATKWSPELAAACEVWKEIKFEFEAMDTL >KZM81263 pep chromosome:ASM162521v1:Pt:83433:83930:-1 gene:DCAR_032525 transcript:KZM81263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl22 description:ribosomal protein L22 MLKKLTKIKTEVYALGQHISMSAHKARRVVDQIRGRSYEETLMILELMPYRACYPILKLVYSAAANANYNMDSNESNLVISKAEVSEGTATKKLKPRARGRSFTIKRPTCHIAIVVKDISLDEYQYLGVDFIDSFRCSKKLQSKKKYTAMSYHDMYTNGGIWDKK >KZM81232 pep chromosome:ASM162521v1:Pt:59492:59602:1 gene:DCAR_032494 transcript:KZM81232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaI description:photosystem I subunit VIII MTTFDFPSVLVPLVGLIFPAMAMASLFLHVQNNKTV >KZM81244 pep chromosome:ASM162521v1:Pt:68058:68363:1 gene:DCAR_032506 transcript:KZM81244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps18 description:ribosomal protein S18 MDKSKRPFLKSKRSFRRRLPPIQSGDRIDYRNMSLISRFISEQGKILSRRVNRLTLKQQRLITIAIKQARILSLLPFLNNEKQFERTESTTRTAGLRARNK >KZM81209 pep chromosome:ASM162521v1:Pt:13376:13621:-1 gene:DCAR_032471 transcript:KZM81209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH description:ATP synthase CF0 C subunit MNPLISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >KZM81203 pep chromosome:ASM162521v1:Pt:1795:3333:-1 gene:DCAR_032465 transcript:KZM81203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matK description:maturase K MEEFQRYLKLNRSQQHYFLYPLIFQEYIYALAHDHGLNRNRSIFLENVGYNKFSLLIVKRAIERMYQYEQKHLILFDNDFNQNRFFGRNNNFSFQMISEGFAVIVEIPFYLRLLSSLEKKGIVKSNNLRSIHSIFPFLEDNFSHLIYVLEILIPYPAHLEILIQTLRYWVKDASSLHLLRFFLHEYRSWNTPNKASSFFSKRNQRFFFVLYNSHICEYESIFVFLRNQSSHLCSTSSGTLLERIYFYGKLEHLVLVEAFAKAFQANLWLFKDPFMHYVRYQGKSILASKGTPPLMKKWTYYFVNLWECRFYLWSQPGRICINQLYNNSLSLLGYISSAGLNPSMVRSQMLENAFIIDNPIKKFDTLVPIVPLIGSLAKARFCNVLGHPISKAVWTDLSDSDIIVRFGRICRNLSHFFSGSSQKKSLYRIKYILRLSCARTLARKHKSTVRAFLKRSGSGLLEEFFTAEEQVLYLTFPRASSTSHRLYRRRIWYLDIICINDLASHELNDSLV >KZM81221 pep chromosome:ASM162521v1:Pt:37604:39808:-1 gene:DCAR_032483 transcript:KZM81221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaB description:photosystem I P700 chlorophyll a apoprotein A2 MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSAISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFIFLVAGHMYRTNFGIGHSMKDLLDAHIPPGGRLGRGHKGLYDTINNSLHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYSPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTNGPAFNAGRSIWLPGWLNAVNETSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >KZM81256 pep chromosome:ASM162521v1:Pt:79118:79534:-1 gene:DCAR_032518 transcript:KZM81256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps11 description:ribosomal protein S11 MAKTIPRIGSRKNGRIGSRKNTRRIPKGVIHVQASFNNTIVTVTDVRGRVVSWSSAGTCGFKGTRRGTPFAAQTAAGNAIRTVVDQGMQRAEVMIKGPGLGRDAALRAIRRSGILLTFVRDVTPMPHNGCRPPKKRRV >KZM81227 pep chromosome:ASM162521v1:Pt:50466:50828:-1 gene:DCAR_032489 transcript:KZM81227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC description:NADH dehydrogenase subunit 3 MFLLYEYDIFWAFLIISSLIPILAFFVSGVLAPINKGPEKLSSYESGIEPMGNAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGISVFVEALIFVLILIVGLVYAWRKGALEWS >KZM81241 pep chromosome:ASM162521v1:Pt:66225:66338:1 gene:DCAR_032503 transcript:KZM81241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petG description:cytochrome b6/f complex subunit V MIEVFLFGIVLGLIPVTLAGLFVTAYLQYRRGDQSDL >KZM81249 pep chromosome:ASM162521v1:Pt:72529:74055:1 gene:DCAR_032511 transcript:KZM81249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbB description:photosystem II 47 kDa protein MGLPWYRVHTVVLNDPGRLLAVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITSSWGGWSITGGATPNPGIWSYEGVAGAHIVFSGLCFLAAIWHWTYWDLAIFCDERTGKPSLDLPKIFGIHLFLAGLACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQSVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQTV >KZM81204 pep chromosome:ASM162521v1:Pt:4835:5948:-1 gene:DCAR_032466 transcript:KZM81204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps16 description:ribosomal protein S16 MVKLRLKRCGRKQRAVYRIVAIDVRSRREGRDLRNVGFYDPIKNQSYLNVPAILYFLEKGAQPTGTVRDLLKKAEVFK >KZM81243 pep chromosome:ASM162521v1:Pt:67696:67896:1 gene:DCAR_032505 transcript:KZM81243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl33 description:ribosomal protein L33 MAKGKDVRITVILECTGCVRNGVNKVSTGISRYITEKNRHNTPNRLELRKFCPFCYKHTMHGEIKK >KZM81234 pep chromosome:ASM162521v1:Pt:61271:61960:1 gene:DCAR_032496 transcript:KZM81234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cemA description:envelope membrane protein MSKKKAFTSLLYLASIVFLPWWISLSFNKSLESWVTDWWNTRQSEIFWNDIQEKNIIKNFIELEEILLLEEMIKEDSETHLQNLRIGIHKETLQLIKIHNEDHIHTILHFSTNIICFVILSGYSILGNEELVILNSWAQEFIYNLSDTIKAFWILLLTDFFIGFHSTRGWELMIGFVYKDFGFAHNDQILSSLVCIFPVILDTLFKFWVFRYLNCVSPSLVVIYHSMNE >KZM81267 pep chromosome:ASM162521v1:Pt:86441:92716:1 gene:DCAR_032529 transcript:KZM81267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHQFKFWIFELREILREIKNSHYFLDSWTQFNSVGSFIHIFFHQEHFIKLFDPRIWSILLSRNSQGSTSNRYFTIKGVVILFVVAVLIYRINSRNMVERKNLYLIGLLPIPMNSIGPRNDTLEESVGSSNINRLIVSLLYLPKGKKISESCFLNPKESTWVLPITKKCSMPESNWGSRWWRNWIGKKRDSSQLKGSSDQSRDPLDSISNEDSEYHTLINQRKIQQLKERSILWDPSFLQTERTEIESDRFPKSLSGYSSMSRLFTEREKQVINHLLPEEIEEFLGNPTRSVRSFFSDRWSELHLGSNPTERSTRDHKLLKKQQDLSFVPSRRSEKKEMVNIFKIITYLQNTVSIHPISSYPGCDMVPKDEPDMDSSNKISFLNKNPFLDLFHLFHDRNMGGYTLHHDFESEERFQEMADLFTLSITEPDLVYHKGFAFSIDSYGLDQKQFLNEVFNSRDESKKKSLLALPPFFYEENESFYRRIIKKWVRISCGNDLEDPKPKKMVFASNNLNVLNRFFLMNRSDRNFEYGIQRDQIGKDTLNHRTRMKYMINQHLSNLKKSQKRWFDPLILISRTERSTNRDPDAYRYKWSNGSNNFQEHLDHFVSERKSRFRVVFDRLRINQYSIDWSEVIDKKGLSKPFRFFLSKSLLFLSKSLLFLSKFLFFLSNSLPFFFVSFGNIPIHRSEIYIYELKGPNDQLCNQLLESIGLQIVHLKKLKPFLLDDHDTSQKSKFLINGGTISPFLFNKIPKWMIYSFHTRNNRRKSFANTDSYFSTIFHDQDYWLNPVKPFHRSSLISSFYKANQLRFLNNPHHFCFYCNKRFPFYVEKARINNSDFTYGQFLNILFIHNKIFSLCVGKKKHAFWGRDTISPIESRVSNIFIPNDFPQGGGDETYNLYKSSHFPSRSDPFVRRAIYSIADISGTPLTEGQIVNFERTYCQPLSDLNLSDSEGKNSHQYLNFNSNMGLIHTPCSEKYLPSEKRKNRSLFLKKYVEKGQMYRTFQRDSAFSTLSKWNLFQTYIPWFLTSTGHKYLNWIFLDTFSGLLPIHLLPIHRLPILSSSQKFVSIFHDIMHVLDISWRILQKKLGLPQRNPIRKISSKCLHNLLLSEEMIHRNNESPLISTHLRSPNVREFLYSILFLLLVAGYLVRTHLIFVSRASSELQIEFEKVKSLMISSYMIELRKLLDRYPTSEPNSFWLKNLFLVALEQLGDSLEEIWGFASGGNMLLGGDSAYGVKSIRSKKKYLNINLIDLISIIPNPISRITFSRNTRHLSHTSKEIYSLIRKRKNVNGDWIDDKIESWVANSDSIDDEEREFLVQLSTLTTEKRIDQILLSLTHSDHLSKNDSGYQMIEQRGAIYLRYLVDIHKKYLMNYEFNTSCLAERRIFLAHYQTITYSQTSCGANSFHLPSHGKPFSLRLALSPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNLSEDIDASEDIDASEDIDASEDIDASDDIDRDLHTELELLTMDMMSEKDRFYITLQFELAKAMSPCIIWIPNIHDLDVNESNYFSLGLLVNHLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFRLEKKMFHTNGFGSITMGSNARDLVALTNEALSISITQKKSIIDTNTIRSAFHRQTWDLRSQVRSVQDHGILFYQIGRAVAQNVLLSNCPIDPISIYIKKKSCNEGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDERNGITSYGLVENDSDLVHGLLQVEGALVGSSRTEKDCSQFDNDRVTLLLRPEPRNPLDMMQNGSCSILDQRFLYEKNESEFEEGEGALDPQQIEEDLFNHIVWAPRIWHPWGILFDCIERPNELGFPYWSRSFRGKRILYDEEDELQENDSEFLQSGTMQYQTRDRSSKEQGFFRISQFIWDPADPLFVLFKDQSSVSVFSHRELFADEEMSKGLLTSQTDPPTSIYKRWFIKKTQEKHFELLINRQRWFRTTSSLSNGSFRSNTLSESYQYLSNLFLSNGTLLDQMTKTLLRKRWLFPDEMKIGFMEQEKDFPFLSRKVMWP >KZM81272 pep chromosome:ASM162521v1:Pt:115563:116537:1 gene:DCAR_032535 transcript:KZM81272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccsA description:cytochrome c biogenesis protein MIFSTLEHILTHISFSIVCIVITIHLITLLIDEIIKLNNSSEKGMIATFLCITVLLVTRCIYSGHLPLSDLYESLIFLSWSLSFIHIVPYFKKNKSHLSTITASSVIFTQGFATSGLLTEIHQSAILVPALQSEWLIMHVSMMILGYAALLCGSLLSVALLVLTFRKNRNLLCKKNPLLLKLTEEFSFGEIQYINEINNIFGNASFFSDNNYYRSQLIQQLDYWSYRVISLGFIFLTIGILSGAVWANEAWGSYWNWDPKETWAFITWIVFAVYLHTRTNTNLQVENSAIVASMGFLIIWICYFGVNLLGIGLHTYGSFTLTSN >KZM81211 pep chromosome:ASM162521v1:Pt:15388:16098:-1 gene:DCAR_032473 transcript:KZM81211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps2 description:ribosomal protein S2 MTKRYWNIDLEEMMKAGVHFGHGTRKWNPKMAPYISAKRKGIHIINLTRTARFLSEACDLVFDAASRGKQFLIVGTKNKAADLVAWAAIRARCHYVNKKWLGGMLTNWSTTETRLHKFRDLRTEQKTGRLRRLPKRDAAVLKRQLSHLQTYLGGIKYMTGLPDIVIIIDQHEEYTALQECITLGIPTISLIDTNCDPDLADISIPANDDAISSIRLILNKLVFAIREGRSSYIRNP >KZM81235 pep chromosome:ASM162521v1:Pt:62212:63174:1 gene:DCAR_032497 transcript:KZM81235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petA description:cytochrome f MQTRKTFSWIKEQINRSISVSLMIYIITRPSISIAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQTVLPDTVFEAVVRIPYDMQLKQVLANGKRGTLNVGAVLILPEGFELAPADRISPEMKEKIGNLSFQSYRPNKKNILVIGPVPGQKYSEITFPILSPDPATTKEVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSAGIVGKIIRKEKGGYEITIADASDGRQVVDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASVILAQIFLVLKKKQFEKVQLSEMNF >KZM81220 pep chromosome:ASM162521v1:Pt:37172:37474:-1 gene:DCAR_032482 transcript:KZM81220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 description:ribosomal protein S14 MARKGLIQRENKRQKLEQKYHSIRRSSKKEIRQVLSLSDKWEIYGKLQSPPRNSAPTRLHRRCFSTGRPRANYRDFGLSGQILREMVHACLLPGATRSSW >KZM81207 pep chromosome:ASM162521v1:Pt:10139:11662:-1 gene:DCAR_032469 transcript:KZM81207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpA description:ATP synthase CF1 alpha subunit MVTIRADEISKIIRERIEEYNREVKIVNTGTVLQVGDGIARIHGLDEVMAGELVEFQEGTVGIALNLESTNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLGRVVNALAKPIDGRGEISASEYRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAIGQKASSVAQVVTNFQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEFFMYRKRHTLIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLGSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLAVEEQIMTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTNKPQFQEIISSTKIFTEEAEALLKEAIQEQMERFILQEQV >KZM81266 pep chromosome:ASM162521v1:Pt:85832:86113:-1 gene:DCAR_032528 transcript:KZM81266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl23 description:ribosomal protein L23 MDGIKYAVFTDKSIRLLGKNQYTSNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPGKGRRMGPIMGQTMHYRRMIITLQPGYSIPPLRKKRT >KZM81222 pep chromosome:ASM162521v1:Pt:39834:42086:-1 gene:DCAR_032484 transcript:KZM81222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaA description:photosystem I P700 chlorophyll a apoprotein A1 MIIRSPEPEVKILVDRDHIKTSFEEWARPGHFSRTLAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQLWRASGITSELQLYCTAIGALIFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLDWSKYSDFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLIAGHMYKTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIIVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWACIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPSATAPGATTSTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >KZM81208 pep chromosome:ASM162521v1:Pt:11717:12996:-1 gene:DCAR_032470 transcript:KZM81208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpF description:ATP synthase CF0 B subunit MKNVTDSFVSLGHWPSAGSFGFNTDILATNLINLSVVLGVLVFFGKGVLSDLLDNRKQRILNTIRNSEELRGGAIEQLEKARTRLRKVEMEADQFRVNGYSEIERERLNFINSTSKTLKQLENYKNETINFEQQRAINQVRQLVFQQALQGALGTLSSCLNNELHLRTIRANIGMLGAITD >KZM81279 pep chromosome:ASM162521v1:Pt:123061:124242:-1 gene:DCAR_032542 transcript:KZM81279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhH description:NADH dehydrogenase subunit 7 MTAPATRNDLMIVNMGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGVAADLPHGWIDKCLDFCDYFLTRVTEYQRLITRNPIFLERVEGVGIIGGEEAINWGLSGPMLRASGIQWDLRKVDHYESYDEFDWGVQWQKEGDSLARYLVRISEMTESVKIIQQALEGITGGPYENLEIRRFDKVWDPEWNDFDYRFISKKPSPTFELSKQELYVRVEAPKGELGIFLIGDKGIFPWRWKIRPPGFINLQILPQLVKRMKLADIMTILGSIDIIMGEVDR >KZM81259 pep chromosome:ASM162521v1:Pt:80231:80635:-1 gene:DCAR_032521 transcript:KZM81259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps8 description:ribosomal protein S8 MGRDIIADIITSIRNADMDRKRAVRIASTNITENIVKILLREGFIENVRKHKETKKDFLVLTLRHRRNRKRSYRNFLNLKRISRPGLRIYSNYQRIPRILGGMGIVIISTSRGIMTDREARLERIGGEVLCYIW >KZM81278 pep chromosome:ASM162521v1:Pt:120871:123059:-1 gene:DCAR_032541 transcript:KZM81278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhA description:NADH dehydrogenase subunit 1 MIIDTTEVQAINSFFRLESLKEVYDIIWMLVPILTLVLGITIGVLVIVWLEREISAGIQQRIGPEYAGPLGILQALADGTKLLFKENLLPSRGDTRLFSIGPSIAVTSILLSYLVIPFGYRLVLADVSIGVFLWIAISSIAPVGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLLSNSSSTVDIVEAQSKYGFWGWNLWRQPIGFIVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKFGLFYVASYLNLLVSSLFVTVLYLGGWNLSLPHIALPFFFEINKAGRVFGTIIGIFITLAKTYLFLFIAITTRWTLPRLRMDQLLNLGWKFLLPISLGNLLLTTSSQLLSL >KZM81280 pep chromosome:ASM162521v1:Pt:124334:124606:-1 gene:DCAR_032543 transcript:KZM81280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps15 description:ribosomal protein S15 MIKNSFSAIFFKEENEDNKGSVEFQVVSFTNRIRKLTSHLELHKKDYLSQRGLRKILGKRQRLLAYLSKKNRVRYKELIGQLEIRETKKN >KZM81285 pep chromosome:ASM162521v1:Pt:153928:154209:1 gene:DCAR_032548 transcript:KZM81285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl23 description:ribosomal protein L23 MDGIKYAVFTDKSIRLLGKNQYTSNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPGKGRRMGPIMGQTMHYRRMIITLQPGYSIPPLRKKRT >KZM81262 pep chromosome:ASM162521v1:Pt:82792:83448:-1 gene:DCAR_032524 transcript:KZM81262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps3 description:ribosomal protein S3 MGQKINPLGFRLGTTQSHHSLWFTQPKNYSEGLQEDQKIRDFIKNYVQKNLKISSGVEGIARIEIHKRIDLIQVIIYMGFPKLLIENRPGGIEKLQMNLQKEFNCVNRKLNITITRIAKPYGSPNILAEFIAGQLKNRVSFRKAMKKAIELTEQADTKGIQVQISGRIDGKEIARVEWIREGRVPLQTIRAKIDYCSYTVRTIYGVLGIKIWIFLDGE >KZM81224 pep chromosome:ASM162521v1:Pt:45935:46540:-1 gene:DCAR_032486 transcript:KZM81224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 description:ribosomal protein S4 MSRYRGPRFKKIRRLGALPGLTNKRPRAGSDLRNQSRSGKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAGKAKGSTGQVLLQLLEMRLDNILFRLGMATTIPGARQLVNHRHILVNGRIVDIPSYRCKPRDIITARDEQNSRALIQNSFNSPSQDEMPKHLTLQPFQYKGLVNQIIDSKWVGLKINELLVVEYYSRQT >KZM81233 pep chromosome:ASM162521v1:Pt:60025:60579:1 gene:DCAR_032495 transcript:KZM81233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ycf4 description:photosystem I assembly protein Ycf4 MSCRSEHIWIQPITGSRKTSNLCWAIILFLGSLGFLLVGTSSYLGRNLISLFPSQQILFFPQGIVMSFYGIAGLFISSYLWCTISWNVGGGYDRFDRKEGMVCLFRWGFPGKNRRIFLRFLIKDIQSVRIEVKEGIYARRVLYMDIRGQGAIPLTRTDENVTPREIEQKAAELAYFLRVPIEVF >KZM81277 pep chromosome:ASM162521v1:Pt:120283:120777:-1 gene:DCAR_032540 transcript:KZM81277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhI description:NADH dehydrogenase subunit I MFSMVTEFMNYSQQTVRAARYIGQGFMITLSHASRLPVTIQYPYEKLITSERFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWKLEKDIRKKRLLNYSIDFGICIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALGRLPMSIINDYTTRTILNLPMK >KZM81251 pep chromosome:ASM162521v1:Pt:74431:74562:-1 gene:DCAR_032513 transcript:KZM81251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbN description:photosystem II protein N METATLVAIFISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >KZM81281 pep chromosome:ASM162521v1:Pt:124995:130475:-1 gene:DCAR_032544 transcript:KZM81281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ycf1 description:Ycf1 MILKSFLLGNLVSLCMKIINSVVVVGLYYGFLTTFSIGPSYLFLLRAQVMEEGEEGTEKKVSATTGFITGQLMMFISIYYAPLHLALGRPHTITVLALPYLLFHFFWKNHKNFFDYGSTTRNSMRNLSIQCVFLNNLIFQLFNHFILPSSMLARLVNIYMFRCNNKMLFVTSSFVGWLIGHILFMKWVGLVLVWIRQNNSIRSNKYIRSNKSELINYMARIFSILLFITCVYYLGRIPSPIFTKKLNPQTEEGWESEEETDVEIETASETKGTKQEQEGSTEEDPSPSLFSEEKEDPDKIDETEEIRVNGKEKTKDEFHFTEIRYNNSPVYKGLFWFEKPLLTFLFDYKRWNRPLRYIKNNRFERTTRKEMSQYFFYTCRSDGKERISFTYPPSLSTFGEMIQRRMSLPTLEKLSSAELYNHWVYTNQHKNNNLNNEFLNRIEALDTGFFSLDILEKSTRLCNDKTRKYYLPKMYDPILNGLYRGTIQKKISPSIINKISLENFIETLEINKIHSLLLPDTDYQEFEQKIDQFDKKTFSTENRHLFTLISKLDRESGSRKGLSLFSEKEQGGVGSKKRDKFYKFLLNTILTSPNDQKTKQKFVIKEISKKVPRWSYKLITELEFLSGTAHEGLPLDYQIRSRKRDGIIIYRPTKRSRNASIKNWMSFRDYSEESDFRREFIKGSMRVQRRKTVIWKLFQANAHSPLFLDRIKKYPLFSFNIPERMKSFFRNCMGKGSGLKDYTEEQEDKIKEKASIKIAEAWDFIPYPQATRSLILIIQSIFRKYILLPSLIIVKNIGRILLSQPPEWTEDFDEWNREKHIICTYNGVQVSEFELPKNWFKDGIQIKIVFPFYLKPWHRSKLRTSFSSYKDLKKEEQPKFYFLTAWGAQTTLNFGSIPPKPSFFKPILKELKKKMQKTKNNNLKVLRVLKERTKDFLQDSKEPKEVIIKNVLFLFIKRIKKELFKIHPTRLFILKKVYESGETNKKKDYIIRNHQIHDSFIKIKSTDNKNDSLRDKKIKNLTDRTSTIRTKTKGLMKEKNSNAKKRGSPNKTSFNRKNKSPNILLKILKIKRRSTRLIYKFYLFITIFIKRIYIDIFVCIIHIARISTQLLLESINFCFDKYIYIYNNKTNKTNYNNKTNQEIKKKKEINFISTIKSALYNIQNSKRNSRLFFDLSSLSQAYVFYKLSQTPIIHFYKLRDIFEYNGTPFFLKTAMKNYFLTQGIFHSELRHTKLSSYETNQWKSWLRGHYQYNLSQIRWSGLIPKKWRTTINEGGMTPNKDLTKCNSYEKDRLLYHKKQKDFKVYPLPNQKDNFLKYYIYDLFSYKSINSEIKKSSYIFFGSPSELKNNQKIAFNYNKKKQNLSENLEEIPINHYLETGDIMYMKKNPDRKYFDWTILNFFIRQKIDIEAWTKMDYNSNINTKLGNTNYHKIYKMDKNAILSLTIHQDLERNPINDKKIFFDWMEMNEEILNPISTNLKLTFFPEFVPLYNVYKTKPWIIPSKLLLFNLNKKKNINENKKFHFFRPSNEKIYYELMNRNQEEKKTAGRRGLRSYAQNQDKMKKKYKIRNKMRREIIFLRKHYLLFQWIIDDGLIPKLTERMINNIKIYCYLLGLRNPRDTTISSIQRKELNLDIMVIRQKLTPIELNKKGIFFLDPTRLSVKNDGYFIIYQTVGISLVHKSKYQTPQRYREQRYINKKKLDESIPRYQIILRKRDKKHYDFVVPENILSSRRRRELRILLSFNSKNLNSVDKNPVFCNKKNIKRRNPFLDQKKHLDRDKNELIKLKLFLWPNYRLEDLACMNRYWFNTNNGSRFSILRIYPQFKIG >KZM81284 pep chromosome:ASM162521v1:Pt:147325:153600:-1 gene:DCAR_032547 transcript:KZM81284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHQFKFWIFELREILREIKNSHYFLDSWTQFNSVGSFIHIFFHQEHFIKLFDPRIWSILLSRNSQGSTSNRYFTIKGVVILFVVAVLIYRINSRNMVERKNLYLIGLLPIPMNSIGPRNDTLEESVGSSNINRLIVSLLYLPKGKKISESCFLNPKESTWVLPITKKCSMPESNWGSRWWRNWIGKKRDSSQLKGSSDQSRDPLDSISNEDSEYHTLINQRKIQQLKERSILWDPSFLQTERTEIESDRFPKSLSGYSSMSRLFTEREKQVINHLLPEEIEEFLGNPTRSVRSFFSDRWSELHLGSNPTERSTRDHKLLKKQQDLSFVPSRRSEKKEMVNIFKIITYLQNTVSIHPISSYPGCDMVPKDEPDMDSSNKISFLNKNPFLDLFHLFHDRNMGGYTLHHDFESEERFQEMADLFTLSITEPDLVYHKGFAFSIDSYGLDQKQFLNEVFNSRDESKKKSLLALPPFFYEENESFYRRIIKKWVRISCGNDLEDPKPKKMVFASNNLNVLNRFFLMNRSDRNFEYGIQRDQIGKDTLNHRTRMKYMINQHLSNLKKSQKRWFDPLILISRTERSTNRDPDAYRYKWSNGSNNFQEHLDHFVSERKSRFRVVFDRLRINQYSIDWSEVIDKKGLSKPFRFFLSKSLLFLSKSLLFLSKFLFFLSNSLPFFFVSFGNIPIHRSEIYIYELKGPNDQLCNQLLESIGLQIVHLKKLKPFLLDDHDTSQKSKFLINGGTISPFLFNKIPKWMIYSFHTRNNRRKSFANTDSYFSTIFHDQDYWLNPVKPFHRSSLISSFYKANQLRFLNNPHHFCFYCNKRFPFYVEKARINNSDFTYGQFLNILFIHNKIFSLCVGKKKHAFWGRDTISPIESRVSNIFIPNDFPQGGGDETYNLYKSSHFPSRSDPFVRRAIYSIADISGTPLTEGQIVNFERTYCQPLSDLNLSDSEGKNSHQYLNFNSNMGLIHTPCSEKYLPSEKRKNRSLFLKKYVEKGQMYRTFQRDSAFSTLSKWNLFQTYIPWFLTSTGHKYLNWIFLDTFSGLLPIHLLPIHRLPILSSSQKFVSIFHDIMHVLDISWRILQKKLGLPQRNPIRKISSKCLHNLLLSEEMIHRNNESPLISTHLRSPNVREFLYSILFLLLVAGYLVRTHLIFVSRASSELQIEFEKVKSLMISSYMIELRKLLDRYPTSEPNSFWLKNLFLVALEQLGDSLEEIWGFASGGNMLLGGDSAYGVKSIRSKKKYLNINLIDLISIIPNPISRITFSRNTRHLSHTSKEIYSLIRKRKNVNGDWIDDKIESWVANSDSIDDEEREFLVQLSTLTTEKRIDQILLSLTHSDHLSKNDSGYQMIEQRGAIYLRYLVDIHKKYLMNYEFNTSCLAERRIFLAHYQTITYSQTSCGANSFHLPSHGKPFSLRLALSPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNLSEDIDASEDIDASEDIDASEDIDASDDIDRDLHTELELLTMDMMSEKDRFYITLQFELAKAMSPCIIWIPNIHDLDVNESNYFSLGLLVNHLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFRLEKKMFHTNGFGSITMGSNARDLVALTNEALSISITQKKSIIDTNTIRSAFHRQTWDLRSQVRSVQDHGILFYQIGRAVAQNVLLSNCPIDPISIYIKKKSCNEGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDERNGITSYGLVENDSDLVHGLLQVEGALVGSSRTEKDCSQFDNDRVTLLLRPEPRNPLDMMQNGSCSILDQRFLYEKNESEFEEGEGALDPQQIEEDLFNHIVWAPRIWHPWGILFDCIERPNELGFPYWSRSFRGKRILYDEEDELQENDSEFLQSGTMQYQTRDRSSKEQGFFRISQFIWDPADPLFVLFKDQSSVSVFSHRELFADEEMSKGLLTSQTDPPTSIYKRWFIKKTQEKHFELLINRQRWFRTTSSLSNGSFRSNTLSESYQYLSNLFLSNGTLLDQMTKTLLRKRWLFPDEMKIGFMEQEKDFPFLSRKVMWP >KZM81219 pep chromosome:ASM162521v1:Pt:36199:36387:1 gene:DCAR_032481 transcript:KZM81219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbZ description:photosystem II protein Z MTLAFQLAVFALIATSSILLIGVPVVFASPDGWSSNKNVLFSGTSLWIGLVFLVGILNSLIS >KZM81257 pep chromosome:ASM162521v1:Pt:79646:79759:-1 gene:DCAR_032519 transcript:KZM81257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl36 description:ribosomal protein L36 MKIRASVRKICEKCRLIRRRGRIIVICSNPRHKQRQG >KZM81264 pep chromosome:ASM162521v1:Pt:83995:84273:-1 gene:DCAR_032526 transcript:KZM81264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps19 description:ribosomal protein S19 VTRSLKKNPFVANRLLRKIDNLNTKAEKEIIITWSRASTIIPTMIGHTIAIHNGKEHLPIYITDRMVGHKLGEFAPTLNFRGHAKSDNRSRR >KZM81321 pep chromosome:ASM162521v1:Mt:242619:243662:-1 gene:DCAR_032463 transcript:KZM81321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein 2-like MYRRKKMGIVLHSLSVSNVLRSQNHYLSNGYGYCILKRFNSSLCTRQQLLEDILFVHNDLTTTTSWSMPLDQLESILQQICSDEYHLSPLRYLLIPEYAFSKYYAEVLISSYPDIMFRKSRRHRGSIEVLLPHKDDVVVYTGLARFLYRFSCGSLPLNDDYRILNRSSIEAFYKSLLGIRVQRLYSIELDESLNFIPKTHLLETLSPILHDKSVYRLISQLMELNILHQDMYHPPSPYGIPTLGEICRVLFNLYLAESFDPGFARKYPSIEFERYYDTVFIFIKDNEQEKAFFGIEDLLVDLELVNGYIKSIDTLGCMYSRIGPVKKVFRIAPDGTIERGEDRFDCI >KZM81291 pep chromosome:ASM162521v1:Mt:49525:58369:1 gene:DCAR_032432 transcript:KZM81291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:nad4 description:NADH dehydrogenase subunit 4 MLEYFCECYSDLSGPILCPVLGSITPLFIPNSRIRPIRLIGLCASLITFLYPPVLRIQFDPSTAKSQFVESLRWLPYENIHFYLGIDGISLFFVILTTFLIPICISVGWSGMRSYGKEYITASLIREFLMIAVFRMLDPLLFYVFPESVPIPMFIIIGVWGSRQRKIKAAYQFFLYTLLGSVFMLLAILLILLQTGTTDLQISLTTEFSERRQIFLWIASFASFAVKVPMVPVHIWSPEAHVEAPTAGSVILAGIPLKLGTYGFLRFSIPMFPEATLCSTPFIYTSSAIAIIYTSSTTLRQIDLKKIIAYSPVAHMNLVTIGMFSRNIQGIGGSIPPMSSHGLVPSALFLCVGVLYDRHKTRLVRYYGGSVSTMPNLPTIFFSSTLANMSSPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAAYSLWLYNRAVSGNLKPDFLHKFSDPNGREVSIFIPFLVGLVRMGVHPKVFPDRMHTSVSNLVQHGKFN >KZM81312 pep chromosome:ASM162521v1:Mt:216073:216345:1 gene:DCAR_032454 transcript:KZM81312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:nad4L description:NADH dehydrogenase subunit 4L MIISISGIRGILLNRRNIPIMSMPIESMLLAVNSNFLVFSVSSDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVESINSIQG >KZM81303 pep chromosome:ASM162521v1:Mt:152261:152881:-1 gene:DCAR_032445 transcript:KZM81303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccmB description:cytochrome c maturation protein CcmB MRRLFLSLYHKQIFPSTPITSFSLFLSYIVVTPLMLGFEKDFSCHSHLGPIRIPPLFPFPSAPFPRNDKEDGTLELYYLSAYCLPKILLLQLVGHWVIQISRVFCGFPMLQLPYQFGRSGMDRLNIPLGSLVLTLLCGIHSRSALGITSSSGWNSLQNPTTSPTSLPPTLSRTSIETEWFHVLSSIGYSSPFVSLSPILVSISSQD >KZM81302 pep chromosome:ASM162521v1:Mt:145248:146699:1 gene:DCAR_032444 transcript:KZM81302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl2 description:ribosomal protein L2 MAIHLYKTSTPSTRNRTVDSRVKSNPRNNLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLMPLGTAIHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKRWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPQPLGVILHLGEEVEKGINIVII >KZM81316 pep chromosome:ASM162521v1:Mt:228473:229669:1 gene:DCAR_032458 transcript:KZM81316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cob description:apocytochrome b MTIRNQRFSLLKQPIFSILNQHLINYPTPSNLNYWAGFGPLAGICLVIQIVTGVFLAMHYTPHVDLAFNSVEHIMRDVEGGWFLRYMHANGASMFLIVVHFHMFRSLYYGSYSSPREFVRCSGVVIFLLMIVTAFIGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVGNATLNRFFSLHYLLPFLLVGASILHLGALHQYGSNNPLGINSYTDKIASYPYYYVKDLVGWVAFAIFSSIFIFYAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKAGGVAAIAPVFICLLALPFLNQSMYVRSAKFRPIYHIIYLLFLADRLLLGWIGCQPVEAPFVTIGQISPFVFFLFFAIMPIPGRVQRENPNYFSENFAFSYPKK >KZM81310 pep chromosome:ASM162521v1:Mt:189832:193044:1 gene:DCAR_032452 transcript:KZM81310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cox2 description:cytochrome oxidase subunit 2 MSFTGIFHFFTNSPCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRILVRALWHFHSKKNPIPQRIVHGTTIEILRTIFPSIIPMFIAIPSFALLYSMDEVVVDPAMTIKAIGHQWYRSYEYSDYNSSDEQSLTFDSYTIPEDDPELGQSRLLEVDNRVVVPAKTHLRIIVTSADVPHSWAVPSSGVKCDAVPGRLNQISISVQREGVYYGQCSEICGTNHASIVVEAVSRKDYGSRVSNQLIPQTGEA >KZM81318 pep chromosome:ASM162521v1:Mt:233466:234083:-1 gene:DCAR_032460 transcript:KZM81318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:nad6 description:NADH dehydrogenase subunit 6 MILSVLSSLALVSALMVVRAKNPVHSVSFSIPVFRNTSGLLLLLGLDFFAMIFPVVYIGAIAVSFLFVVMMFHIQIAEIHEEVLRYLPVSGIIGLIFWWEMFFILDNESIPLLPTQRNTTSLRYMVYAGKVRSWTNLETLGNLLYTYYSVWFLVPSLILLVAMIGAIVLTMHRTTKVKRQDVFRRNAIDSRRTIMRRTTDPLTID >KZM81293 pep chromosome:ASM162521v1:Mt:60024:60650:1 gene:DCAR_032434 transcript:KZM81293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps1 description:ribosomal protein S1 MMTIYLSRSFPRSNSSFFLCSGNALQSEVLRLREDIFLMDAGLGTPRICMQDEPTGVPINRTTRFENKVGSLDLVAGESLIKKHILERFFIDLVAGESLIKERAAARFNKLVGSTDLVAGEPLLLLPRRFRKNRAWMKLNKIWRTNTKVKGLICRKIKGGYSVAIAGFLTFLPFRHSRKRKSFPRFTIESINPKKVVTVKKVVTVVVF >KZM81288 pep chromosome:ASM162521v1:Mt:16628:18367:1 gene:DCAR_032429 transcript:KZM81288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccmFN description:CcmFN MSIYELFHYSLFPGLFVAFTYNKKQPPVFGAALAFWCILLSFLGLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCRILSFYGFLLCYRGRPQSHNVSKRGGHRETLFYSFVSNFVKNPILSLPRYEQKSRAAPQLYTPFVLRTFVDSELRSRRNRTFDGPALFYVYAPLYPERKMSFAPLGARRSRGSREGKRMSPLLHLARDDKERASSIDEQRIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNGIVALHSPPMRKDAAEKNGTLFRSAGCVGSRITSELFTLKFKHVGEKCYPALLLRSNRSPLMLLRRRFFALSSLWTGALVDTGREQAKRVVRNGKKDTTTSPLCWTAGANTVVSDQDQEPIRIWILTCRWFLTVGILPGSWWAHHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHSWTSFLNIVTLPCCVSGTSSIRSGLLAPVHSFATDDTRGIFLWRFFLLMTGISMILFSQMKQQASVRRTYKKEMVMARSTLVHLRHSARAQPRPVMLWKN >KZM81287 pep chromosome:ASM162521v1:Mt:4195:4674:-1 gene:DCAR_032428 transcript:KZM81287 gene_biotype:protein_coding transcript_biotype:protein_coding description:orfB-F1 related MPQLDKFTYFTQFFWSCLFLFTFYIAICNDGDGLLGISRILKLRNQLLSHQENNIRSKDPNSLEDILRKGFSTGVSYMYSSLFEVSQWCNAVDLLGKRRRIPLISCFGEISGSRGMERNIFYLISKSSYSTSSNPGWGITCRNDITLIHVPHGQRSFGF >KZM81306 pep chromosome:ASM162521v1:Mt:159778:163218:-1 gene:DCAR_032448 transcript:KZM81306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps3 description:ribosomal protein S3 MARKGNPISVRLEKNRSSDSSRFSDYYYGKSVYQDVNMRSYFGSIRPPTRLTFGFRLGRCLILHFPKRTFIHLFLPRRPRRLKRREKSRPGKKKKKGGRWWAFGKVGPIGCLHSSDDTEKERNEVRGRETGKRAESIGLDDREKKNAIWIWPKKKQRYGYHDRSPSIKILLSKSLRVSGAFKHPKYAGVVNDIAFLIKNDDSFRKTKFLKLFFQKKFRSDSPTSHLLKRTLPSVRPSLNLSAVQYCLLNTKKKMHFDPVVVLNHFLASGVAEPSTMGGANAQGRSLDKRIRSRIAFFVESSTGEKKSLAEAKKRLTRLIRLANDLRFAGTTKTTISLFPFFGATFFFPRGGVGVYKNLIFEDAREPLLGKLRKKCWNLMGKDKVMELIDKFIDLGGVGELIKGIEMMLEIILRNRIIPYGYNSYLNEVKKMRSLLFNRTNTNTLIESVKIKSVYQSASPIAQDISFQPRNKTRSFRSIFSKIVKDIPLVMKKGVEGIRICCSGRLKGAEIARTECGKSGKTSRNVFNQKIDYAPAEVSTRYGISGVKVWISYSLQKRGRAISET >KZM81319 pep chromosome:ASM162521v1:Mt:235121:238000:1 gene:DCAR_032461 transcript:KZM81319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpo description:DNA-directed RNA polymerase MNQKKDSQETTWITRTHEFLPPIGSDDREKVIGFWSDFYKSVFELSESTSSYQDCFPTKNDSIYKQIVFKRLEQCRGSHLSDEQLRKLQLFIEDSTLEFDEKSMYKTVPNAVKMMILRGEESVVDDSSGKRKKAGFQSVKKGYDSSAMTYLLKSSKLTAEEKDSLNVFGQHTLEVLLIHVLSVLFNSVESDSVIRLSTLIDRLDTTVRSQIQLLKNRKSRYEQSISTAKAGVDIAPKPNGSPKNTFHFGNELASFLERKDLIEISIIGNIEDEPNRKKNGSFYLAKHQYVHCKIPASDLPIRFSLPMVCKPEDWQSILQDNQKPKYLSDLRGGYLSSLTADVDERYRLLSSKNLDNFYLEFHDDNSTELCDIMNKLQSQPFKVNSSFLSYIRQNESLLVEQGLILPSFLSKLQIKDIYEKFKKKYYSYHFSKSVRLATLFKELMKLIQRAGYEQYILKLAIAYEGYHFYLPAFLDFRGRIYRSGILHFHERDLARSLVIFAKEDSQVSSSIPISFYCAASHLYRSFDNNDDAVEWFEEAKGTFEEAPNDLLIKFACDAKKPLQFLSCVVVFKEYSTTQDLQAITSYPITQDASASAYQLMSYFLLDKDLAKNTNLIQRPGDSNIQDIYSYLLKEVQVYINDNDKYKNDSLILTVSDNLTRKIVKGIFMPMIYGKTLMSTGIFLQESFSFDLGKRQNAVAQVFYDFFKVKYSRLNCLMNMIQNIGWFSSERGNPVCYKVPLFTTIQDYRKSSETHIWVYSKSTHSRKKVTLSIPSEERDSRKTLSSTFVNFIHQKDACLAMNVVKFLLSDPSIPIPIYTVHDNFITIADCCFSLPLLYISAYKELGPPLLTINEFIYMNCSKPGYHDPEKFKRVIPSRELESLLNNCIPTEFRNKKNLWNKKKTIIVNSYNTYVNAVCGPIDSDTTNAPVATLLATRYEAHERKWESFKKELSDRYCLQY >KZM81297 pep chromosome:ASM162521v1:Mt:74647:75798:-1 gene:DCAR_032438 transcript:KZM81297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atp6 description:ATP synthase F0 subunit 6 MPIFRRFDENRLNSNKTYSKKSYTTQFAPEPTTTVNPSAASGAQAQALTERAVELRARIHDVLGELMVNRSPDEVLTAAQAVHGESDLIVFLECLLNDLNINGVQSEAFAETWFIAGQAPTIPSPLEQFAILPLIPMNIGHLYFSFTNPSLFMLLTLSLVLLLVHFVTKNGGGNSVPNAWQSLVELIYDFVPNPVNEQIGGLSGNVKQKFSPRISVTFTFSFFRNPQGMIPYSFTVTSHFLITLGLSFSIFIGITLVGFQRNGLHFLSFSLPAGVPLPLAPFLVLLELIPHCFRALSSGIRLFANMMAGHSSVKILSGFAWTMLCMNDLFYFIGDLGPLFIVLALTGPELGVAISQAHVSTISICIYLNDATNLHQSEVVIYN >KZM81317 pep chromosome:ASM162521v1:Mt:229932:230510:1 gene:DCAR_032459 transcript:KZM81317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atp4 description:ATPase subunit 4 MRLISTNMQARKMLFAAIPSICASSSKKISIYNEEMIVARCFIGFIIFSRKSLGKTFKVTLDGRIQAIQEESQQFPNPNEVVPPESNEQQRLLRISLRICGTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLVTGFHFSVSERFVPGSTLKASIVELIREGLVVLRMVRVGGFS >KZM81311 pep chromosome:ASM162521v1:Mt:199232:199984:1 gene:DCAR_032453 transcript:KZM81311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccmC description:cytochrome c biogenesis C MPVSLLQPYFLMSKTRSYAQILIGSRLFLTAMAIHLSLRVAPLDLQQGGNSRIPYVHVPAARMSIIVYIATAINTFLFLLTKHPLFLRSSGTGTEMGAFSTLFTLVTGGFRGRPMWGTFRVWDARLTSVFISFLIYLGALRFQKLSVEPAPISIRVGPIDIPIIKSSVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANSPFSTRILFVLETRLPIPSFLESSLTEEIEAREGIPKPSSLAESLCIHG >KZM81290 pep chromosome:ASM162521v1:Mt:41390:43519:-1 gene:DCAR_032431 transcript:KZM81290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccmFc description:cytochrome c biogenesis FC MVQLHNFFFFITSMVVPRGTAAPVLLKWFVSRDVPTGAPSSNGTIIPIPIPSFPLLVYLHSKKFIRSTDGAKSGVLVRASRPILLPDIIGRSSSETRARNALFRFVPAFHFLLLESKGDFSYLESFCGVLRLLFFRTFFSLPRDRSAKRERARRRKRQTLRPNGNQQRRNDKMRCPGHPHLEGRVEGFGPVAFPVPPSSGGACVGGAQPEIGLEALTLPTSRQLMAVGHDYYKKAPMKMNISHGGVCICMLGVLLSSTNTKKIQFTQRLPLGSELHMGKERCCLRGLDHLHGPTSHSICGNLMIYTPSLTNDRLRFEHDESLRADLLPINFPASYENGKLEHFLHRWMKNREHKNLWLTMFPEKRYFRETTNTTEVAIHTNPFTDLYAPIGTGSSRTGGWYTTIMKLPFLFFIRIGFLLASLGGSHSLLRQLQKDKLRWNRKGSAEFIIA >KZM81301 pep chromosome:ASM162521v1:Mt:135904:137871:-1 gene:DCAR_032442 transcript:KZM81301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matR description:maturase MKEAIRMVLESIYDPEFPDTSHFRSGRGCHSALRRIKGEWGTSRWFLEFDIRKCFHTIDRHRLIPIFKEEIDDPKFFYPIQKVFSAGRPVGGEKGPYSVPHSVLLSALPGNIYLHKLDQEIGRIRQKYEIPIVQRIRSVLLKTGRIDDQENSGEEASFNAPQDNRAFIVGRVKSIQRKAAFHSLVSSWHTPPTSTPRLRGDQKTPFVFPPSSALAAFLNKPSSLLCAAFLIEAAGLAPKAEFYGRERCNNNLAMSSFFKYCKRKSLLIEPGREAVLVIGSERGLARKLAPLKSHYLIRICYARYADDLLLGIVGAVELLIEIQKRIAHFLQSGLNLWVGSAGSTTIAARSTIEFLGTVIREVPPRTTPIQLLRELEKRLRVKHRIHITACHLRSAIHSKFRNLGNSIPIKELTKGMSGTGSLLDAVQLAETLGTAGVRSPQVSVLWGTVKHIRQGSRGISLLHSSGRSKAPSDVQQAVSRSGMSVRKLSLYTPASRKAAGEGGGHWARSISSEFPIQIEAPIKKILRRLRDRGLISRRRPWPIHVACLTNVSDGDIVNWSAGIAISPLSYYRCRDNLYQVRTIVDHQIRWSAIFTPAHKHKSSARNIIPKYSKDSNIVNQEGGKTLAEFPNSIELGKLGSGQDPNNKEHSTTSLV >KZM81294 pep chromosome:ASM162521v1:Mt:60978:61334:1 gene:DCAR_032435 transcript:KZM81294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:nad3 description:NADH dehydrogenase subunit 3 MSEFAPICIYLVISPLVSLIPLGVPFLFASNSSTYPDKLSAYECGFDPFGDARSRFDIRFYLVSILFIIPDPEVTFSFPWAVPLNKIDPFGSWSMMAFLLILTIGSLYEWKRGASDRE >KZM81315 pep chromosome:ASM162521v1:Mt:226467:227963:1 gene:DCAR_032457 transcript:KZM81315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cox1 description:cytochrome oxidase subunit 1 MGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSPALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSPHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVLVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGSIFLFTIGGLTGIVPANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNPTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSSGSYISVVGICRFFVVVTITSSSGNNKRCAPSPWAVEQNPNTPEWLIQSPPAFHTFGELPAIKETKGYVK >KZM81304 pep chromosome:ASM162521v1:Mt:157873:158670:-1 gene:DCAR_032446 transcript:KZM81304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cox3 description:cytochrome oxidase subunit 3 MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHPFQGGATLLSLGLIFLLYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGFILFIVSEVMFLFALFWASSHSSLAPTVEIGGIWPPKGIGVLDPREIPFLNTLILPSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIGTLFLIVCGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >KZM81305 pep chromosome:ASM162521v1:Mt:159582:159797:-1 gene:DCAR_032447 transcript:KZM81305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl16 description:ribosomal protein L16 VLYPKRRKYSKYRKGRCSRGCKPDGTQLGFGRYGTKSCRAGRLAYRAIEAARRAIIGQFHRAMSGKFRKKW >KZM81313 pep chromosome:ASM162521v1:Mt:216853:217692:1 gene:DCAR_032455 transcript:KZM81313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 description:ribosomal protein S4 MHRGRERTSYIPFLLNPETRSDVIPVRLHFRETIPQARQPISHRRVCVNNGMVSITHFKVSRGDIISFQENDARARGEEIRRSFYIEISVEKIIGKFRDHPVRMWRRTKTEWFRLLKTKRGCRLLLKDGFLQQLRSSMQEEDLERTKNFFGSIKIKVCLGSSFAEHNRMKRNLYHFKSLFLSKRRNEKNRNLPTRTRSPIVYNSSLYSNSTYCSAPSHQFTMKRKIKRIELPTHYSEVNHRTLKAVVSYGPNIGHIPHDIRLKDPNLLLRSGNGRGQNI >KZM81307 pep chromosome:ASM162521v1:Mt:166865:173064:-1 gene:DCAR_032449 transcript:KZM81307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:nad7 description:NADH dehydrogenase subunit 7 MTTRNEQIKNFTSNFGPQHPAAHGVSRLVLEMNGEVVERAEPHIGSLHRGTEKLIEYKTYLQALPYSDRLDYVSTMAQEHAHSSAVERLLNCEVPLRAQYIRVLFREITRISNHSLALTTHAMDVGASTPFLWAFEEREKLLEFYERVSGARMHASFIRPGGVAQDLPLGLCRDIDSSTQQFSSRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGPGVCWDSRRAAPYDVHDQLDPDVPVGTRGDRYDRYCIRIEEMRQSVRIIVQCPNKMPSGMIKADDRKLCPPSRGRMKLSMESSIHHFEPYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRRKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVSGEVDR >KZM81289 pep chromosome:ASM162521v1:Mt:25297:25647:1 gene:DCAR_032430 transcript:KZM81289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps13 description:ribosomal protein S13 MLYISGARSVADKQVRIASTKMYGIGPKKAIQVRYRLGISGNIKIKELTKYQIDQMEQMIGQDHVVHWELKRGERADIERFISISCYRGIRHQDGLPLRGQRTHTNARTCRKQIRK >KZM81295 pep chromosome:ASM162521v1:Mt:61383:61760:1 gene:DCAR_032436 transcript:KZM81295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps12 description:ribosomal protein S12 MPTLNQLIRHGREEKRRTDRTRASDQCPQKQGVRPRVPTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNLQEHSMVLIRGGRVKDSPGVKSHCIRGVKDLLGIPDRRSGRSKYGAEKPKSI >KZM81314 pep chromosome:ASM162521v1:Mt:225638:226084:1 gene:DCAR_032456 transcript:KZM81314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 description:ribosomal protein S7 MGDLDGEQKQLIKKLVNFRMKEGKKTRVRAIVYKTLHRPGRTERDVIKLMVDALENIKPICEVERVGRAGTIYDVPGIVARDRQQTLAIRWTLEAAFKRRISYRTSLEQCSFDEILDAYRKRGIARKKRGNLHRLASTNRSIAHFRWW >KZM81320 pep chromosome:ASM162521v1:Mt:237930:242576:1 gene:DCAR_032462 transcript:KZM81320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpo description:DNA-directed DNA polymerase MRLMSESGNLSKKSFPIDIVYSISMLVKKGAYKRVHTTRSYTSRYFDSDLFYTRIRHTTYASPHPFLIQAAHDIDPGFTVLEIVLIIIAIFDLLIRYVFSSVKGYSKFLITLTLIDKDGKDITYTICDAIPLTPLDGSAFLPYKDIFSYIYRAVIQVFETYKGAIISKIALRIFLTGQNLEKHLSKDEIIKILDTLLEDLSHDDDGYNSDWDGSENGNHVGNKNESKKSLGLLSLVLNDKRDVKPPVAKPISNKKRTYNSNISQIISSNPNPKGVTPFMVADIETILHKDDTGMEVQTPYAVGLLVVLPEKEVDKADIMTFYSEDLLYKAIYSSFKDRSEKILYEMVKRIDVVATTQYKTAKSIYFHNLSRFDGIILLKHLICHHPYYSIQPLIRNHRIYEIKVYKKMQQEVNEKMVDKKGVLLFSFKDSLNLLPGKLATLAQSLCPDLGAKYDFDHEQLKTVEDISLREEELREYLKQDVLLLGGVLKKAQEIILNIYNVNINTVLTISSLAMRIFRIQYYDASSFPIHIPNVNEDQFIRKGYYGGHVDVYKPRGENLYYYDVNSLYPYVMQEYPMPAGKPYWNGDLRKKDLDTLYGFIEAYVKCPDSIKKPFLPYREKVNGSLIFPTGYFVGVYYSEELKYARDLGYTIYPLRGYLFKKTESPFKTFVNDLYNSRLEAKKDGNEGMSYVYKILMNSLYGRFGINPKMTITEICNQDCYNQLVRKDTFINGDKLYEDTYVANLKKDLSTDSWDPPKNAAVQLAAAITACARIHMYPYIAREDCYYTDTDSIVLGNPLSDDMVSSSVLGKLKLEDQIAWGLFLAPKTYCYTTIDGKDVLKHKGAAKHFVDIDWYENQYANRKNEKSVPYSTPFGVDWNQLRIVKKTSHLAMNVDIGTKRKVVYSENNVWLETTPFKVENLKDCSNQDLRYIIKKIQHEKDKEDETTNTSSTTPTDGKKETESSNVDDSTSSPTSSSTTIDDRTSTNAPYSTVENPRSGNDSDEDGYASDRNERSDSRSGNDSNNHNSNERSGGNNVDERSDSRSGYGSSHESNDNSNSSGSDEDGDNKDEILPDKEDETTNTSEKSSSTTPTDGNKETEARTVRSDGNGSYYDSTSYSTVDTQHTDDHDSEPENSSPGNDSNNNNDNSSGNGKGWSLEKEYDNNSGSDNKSCTPPENHSSSDSDNNNNRTSSPTTTNSSSGWDALVKEMKREKEQKERDTTSSTSSTCEPTSTYAPTANDYTTARNVDDYSQRDTIGENELIEHYVIRMENKDRVKEIWDRKARAEEMLSKLNSDNVYNPRTRNYWKDEIRCVNRAYAIFKTQKDIREGKTTVEDITLSNWYAGDYSADIPYPYDPDVKILLDKFKDKEKAQHVWLWKERTHMELKREAEKGDNADQRLLMLYKYRIACVKVAYSKYMDSQQMDGDERLESLADFLPSNDSYDYESNGSYYDSYDVESNGKNDDSSLTTSVNDTTTSEDRVTNDYTTSSSNDSYYDSSSSIDISAQELDRSSDKTDDHTQEQRDNAHLSEPFKRDKEVEHLEKNQDDP >KZM81292 pep chromosome:ASM162521v1:Mt:58698:59270:1 gene:DCAR_032433 transcript:KZM81292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl5 description:ribosomal protein L5 MFPLHLNYEDVSRQDLLLKPNHANVMEVPGSCKIRVVPKAAPSDFIIKNGKFAMEIPCGQKLIQKIRASTGKSFRSNPFLGSNKGSVSNLDLARQSALRGHGMSHFLFRISTVMSLLDSPVEIRENSIQFSMETEFCELSPELGEHFEIFEHIRGFNATIVTSANTQDETLPLWSGFLKKEERVPWGYTN >KZM81308 pep chromosome:ASM162521v1:Mt:185100:185822:-1 gene:DCAR_032450 transcript:KZM81308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mttB description:MttB MLIGFGLTWFTRYWFPEELISPLAKPFLTLPLDSYFVRTQSTEASPTYVATSPIACSYFVFPLISHQIWCFLIPSCYGEQRTKYNRFLHLSGSRFSLFLFLTLPRVVPNVWHFPYFMGATSTNSLMIKLQPKIYDHIMLTVRISFIPSVCSQVPVIVIRLPEPRGLSVETSTNNRRFLMVFPLLTAALSTPPDIWCQIVARFLISLIIELAISVASIVQVREEGWTSGMRESGSIDKKEE >KZM81309 pep chromosome:ASM162521v1:Mt:188744:189238:1 gene:DCAR_032451 transcript:KZM81309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl10 description:ribosomal protein L10 MPFGKSILQRESLLRVSGEERSPEILISFHSSGSTSNQWRKLKNPWFPGRTLFRPSCFGTGKKKRFFAQLAHSAGPTCISYLAEEASDRLEFLPSWDSMDQDLLLLYGQYRSTFTLVDHMDVEKTYHFDELETSLFNFYLPSSYLCFVCSREELNLLNLGIPPK >KZM81298 pep chromosome:ASM162521v1:Mt:79310:79882:-1 gene:DCAR_032439 transcript:KZM81298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:nad9 description:NADH dehydrogenase subunit 9 MDNQFIFKYSWETLPKKWVKKMERSEHGNRSDTNTDYPFPLLCFLKLHTYTRVQVLIDICGVDHPSRKRRFEVVYNLLSTRYNSRIRVQTSADEVTRISPVVSLFSSAGRWEREVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDSASPWEQRSDV